From c7ba678fbb65b3ef42458e3de30bd78357b54c3b Mon Sep 17 00:00:00 2001 From: =?UTF-8?q?R=C3=A9mi=20Heredero?= <63239207+Klagarge@users.noreply.github.com> Date: Wed, 24 Nov 2021 10:50:51 +0100 Subject: [PATCH] Initial commit --- .gitignore | 30 + Board/concat/eln_cursor.ucf | 80 + .../.hdlsidedata/_fpga_cursor_entity.vhg._fpf | 1 + .../.hdlsidedata/_fpga_cursor_struct.vhg._fpf | 1 + Board/hds/@f@p@g@a_cursor/struct.bd | 13173 ++++++++++++ Board/hds/@f@p@g@a_cursor/symbol.sb | 2729 +++ Board/hds/_fpga_cursor._epf | 3 + Board/ise/eln_cursor.xise | 460 + Board/ise/fpga_cursor-buttonsV1.bit | Bin 0 -> 283872 bytes Board/ise/fpga_cursor-buttonsV1.mcs | 17742 ++++++++++++++++ Board/ise/fpga_cursor-buttonsV2.bit | Bin 0 -> 283872 bytes Board/ise/fpga_cursor-buttonsV2.mcs | 17742 ++++++++++++++++ .../_cursorcircuit_entity.vhg._fpf | 1 + .../_cursorcircuit_struct.vhg._fpf | 1 + .../.hdlsidedata/_lcddisplay_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_lcddisplay_fsm.vhg._fpf | 1 + Cursor/hds/_cursorcircuit._epf | 3 + Cursor/hds/cursor@circuit/student@version.bd | 4837 +++++ Cursor/hds/cursor@circuit/symbol.sb | 2949 +++ Cursor/hds/lcd@display/fsm.sm | 6594 ++++++ Cursor/hds/lcd@display/symbol.sb | 1632 ++ Cursor_test/hdl/cursor_tester_test.vhd | 148 + Cursor_test/hdl/divider_tester_test.vhd | 22 + ...sitioncounter_tester_generatedinstance.vhd | 8 + .../.hdlsidedata/_cursor_tb_entity.vhg._fpf | 1 + .../.hdlsidedata/_cursor_tb_struct.vhg._fpf | 1 + .../_cursor_tester_entity.vhg._fpf | 1 + .../.hdlsidedata/_cursor_tester_test.vhd._fpf | 4 + .../_divider_tester_test.vhd._fpf | 4 + ...ncounter_tester_generatedinstance.vhd._fpf | 1 + Cursor_test/hds/_cursor_tb._epf | 2 + Cursor_test/hds/_divider_tb._epf | 2 + Cursor_test/hds/_positioncounter_tb._epf | 2 + Cursor_test/hds/cursor_tb/struct.bd | 4174 ++++ Cursor_test/hds/cursor_tb/symbol.sb | 1230 ++ Cursor_test/hds/cursor_tester/interface | 2253 ++ Cursor_test/hds/cursor_tester/test.vhd | 118 + Cursor_test/hds/divider_tb/struct.bd | 2770 +++ Cursor_test/hds/divider_tb/symbol.sb | 1230 ++ Cursor_test/hds/divider_tester/interface | 1584 ++ Cursor_test/hds/divider_tester/test.vhd | 21 + Cursor_test/hds/position@counter_tb/struct.bd | 3009 +++ Cursor_test/hds/position@counter_tb/symbol.sb | 1230 ++ .../hds/position@counter_tester/interface | 1737 ++ .../hds/position@counter_tester/test.vhd | 57 + .../hds/pulse@width@modulator_tb/struct.bd | 2752 +++ .../hds/pulse@width@modulator_tb/symbol.sb | 1226 ++ .../pulse@width@modulator_tester/interface | 1575 ++ .../hds/pulse@width@modulator_tester/test.vhd | 29 + LICENSE | 11 + Libs/Common/hdl/blinker_arch.vhd | 89 + Libs/Common/hdl/commonLib.vhd | 68 + Libs/Common/hdl/commonLib_body.vhd | 105 + Libs/Common/hdl/debouncerULogicVector_RTL.vhd | 97 + Libs/Common/hdl/debouncer_RTL.vhd | 83 + Libs/Common/hdl/edgeDetector_rtl.vhd | 48 + Libs/Common/hdl/rotaryToUnsigned_rtl.vhd | 76 + Libs/Common/hdl/spikeFilter_RTL.vhd | 82 + Libs/Common/hdl/toggler_RTL.vhd | 90 + .../hds/.hdlsidedata/_blinker_arch.vhd._fpf | 4 + .../hds/.hdlsidedata/_blinker_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_commonLib.vhd._fpf | 1 + .../hds/.hdlsidedata/_commonLib_body.vhd._fpf | 1 + .../hds/.hdlsidedata/_debounce_rtl.vhd._fpf | 4 + .../_debouncerULogicVector_RTL.vhd._fpf | 1 + .../hds/.hdlsidedata/_debouncer_RTL.vhd._fpf | 1 + .../.hdlsidedata/_debouncer_entity.vhg._fpf | 1 + .../_debouncerulogicvector_entity.vhd._fpf | 1 + .../_debouncerulogicvector_entity.vhg._fpf | 1 + .../.hdlsidedata/_edgeDetector_rtl.vhd._fpf | 1 + .../_edgedetector_entity.vhd._fpf | 1 + .../_rotaryToUnsigned_rtl.vhd._fpf | 1 + .../_rotarytounsigned_entity.vhd._fpf | 1 + .../.hdlsidedata/_spikeFilter_RTL.vhd._fpf | 1 + .../.hdlsidedata/_spikefilter_entity.vhd._fpf | 1 + .../.hdlsidedata/_spikefilter_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_toggler_RTL.vhd._fpf | 1 + .../hds/.hdlsidedata/_toggler_entity.vhg._fpf | 1 + Libs/Common/hds/_blinker._epf | 2 + Libs/Common/hds/_debounce._epf | 2 + Libs/Common/hds/_debouncer._epf | 2 + Libs/Common/hds/_edgedetector._epf | 2 + Libs/Common/hds/_rotarytounsigned._epf | 2 + Libs/Common/hds/_toggler._epf | 2 + Libs/Common/hds/blinker/symbol.sb | 1557 ++ Libs/Common/hds/debouncer/symbol.sb | 1552 ++ .../hds/debouncer@u@logic@vector/symbol.sb | 1576 ++ Libs/Common/hds/edge@detector/symbol.sb | 1647 ++ Libs/Common/hds/rotary@to@unsigned/symbol.sb | 1602 ++ Libs/Common/hds/spike@filter/symbol.sb | 1552 ++ Libs/Common/hds/toggler/symbol.sb | 1552 ++ Libs/Common_test/hdl/clockGenerator_sim.vhd | 13 + Libs/Common_test/hdl/commonLib_tb_test.vhd | 26 + .../hdl/debouncerULogicVector_tester_RTL.vhd | 57 + .../Common_test/hdl/debouncer_tester_test.vhd | 49 + Libs/Common_test/hdl/requiredBitNb.txt | 40 + .../hdl/rotaryToUnsigned_tester_test.vhd | 47 + .../hdl/spikeFilter_tester_test.vhd | 47 + Libs/Common_test/hdl/testUtils_pkg.vhd | 127 + Libs/Common_test/hdl/testUtils_pkg_body.vhd | 924 + Libs/Common_test/hdl/testUtils_tb_test.vhd | 102 + Libs/Common_test/hdl/toggler_tester_test.vhd | 56 + .../.hdlsidedata/_clockGenerator_sim.vhd._fpf | 1 + .../.hdlsidedata/_commonLib_tb_test.vhd._fpf | 4 + ..._debouncerULogicVector_tester_RTL.vhd._fpf | 4 + .../_debouncer_tester_test.vhd._fpf | 1 + .../_rotaryToUnsigned_tester_test.vhd._fpf | 1 + .../_rotarytounsigned_tb_entity.vhd._fpf | 1 + .../_rotarytounsigned_tb_struct.vhd._fpf | 1 + .../_rotarytounsigned_tester_entity.vhd._fpf | 1 + .../_spikeFilter_tester_test.vhd._fpf | 4 + .../hds/.hdlsidedata/_testUtils_pkg.vhd._fpf | 1 + .../.hdlsidedata/_testUtils_pkg_body.vhd._fpf | 1 + .../.hdlsidedata/_testUtils_tb_test.vhd._fpf | 1 + .../_toggler_tester_test.vhd._fpf | 1 + Libs/Common_test/hds/_clockgenerator._epf | 2 + Libs/Common_test/hds/_commonlib_tb._epf | 3 + Libs/Common_test/hds/_debouncer_tb._epf | 3 + Libs/Common_test/hds/_debouncer_tester._epf | 2 + .../hds/_debouncerulogicvector_tb._epf | 3 + .../hds/_debouncerulogicvector_tester._epf | 2 + .../Common_test/hds/_rotarytounsigned_tb._epf | 3 + .../hds/_rotarytounsigned_tester._epf | 2 + Libs/Common_test/hds/_spikefilter_tb._epf | 3 + Libs/Common_test/hds/_testutils_tb._epf | 3 + Libs/Common_test/hds/_toggler_tb._epf | 3 + Libs/Common_test/hds/_toggler_tester._epf | 2 + .../Common_test/hds/clock@generator/symbol.sb | 1499 ++ Libs/Common_test/hds/common@lib_tb/symbol.sb | 1276 ++ .../hds/debouncer@u@logic@vector_tb/struct.bd | 2771 +++ .../hds/debouncer@u@logic@vector_tb/symbol.sb | 1278 ++ .../debouncer@u@logic@vector_tester/interface | 1252 ++ Libs/Common_test/hds/debouncer_tb/struct.bd | 2714 +++ Libs/Common_test/hds/debouncer_tb/symbol.sb | 1278 ++ .../hds/debouncer_tester/interface | 1523 ++ .../hds/rotary@to@unsigned_tb/struct.bd | 2783 +++ .../hds/rotary@to@unsigned_tb/symbol.sb | 1278 ++ .../hds/rotary@to@unsigned_tester/interface | 1562 ++ .../Common_test/hds/spike@filter_tb/struct.bd | 2725 +++ .../Common_test/hds/spike@filter_tb/symbol.sb | 1278 ++ .../hds/spike@filter_tester/interface | 1206 ++ Libs/Common_test/hds/test@utils_tb/symbol.sb | 1275 ++ Libs/Common_test/hds/toggler_tb/struct.bd | 2714 +++ Libs/Common_test/hds/toggler_tb/symbol.sb | 1278 ++ Libs/Common_test/hds/toggler_tester/interface | 1523 ++ Libs/Common_test/sim/debouncerULogicVector.do | 24 + Libs/Common_test/sim/rotaryToUnsigned.do | 26 + Libs/Common_test/sim/spikeFilter.do | 23 + Libs/Gates/hdl/and2_sim.vhd | 4 + Libs/Gates/hdl/and2inv1_sim.vhd | 4 + Libs/Gates/hdl/and2inv2_sim.vhd | 4 + Libs/Gates/hdl/and3_sim.vhd | 4 + Libs/Gates/hdl/and3inv1_sim.vhd | 4 + Libs/Gates/hdl/and3inv2_sim.vhd | 4 + Libs/Gates/hdl/and3inv3_sim.vhd | 4 + Libs/Gates/hdl/and4_sim.vhd | 4 + Libs/Gates/hdl/and4inv1_sim.vhd | 4 + Libs/Gates/hdl/and4inv2_sim.vhd | 4 + Libs/Gates/hdl/and4inv3_sim.vhd | 4 + Libs/Gates/hdl/and4inv4_sim.vhd | 4 + Libs/Gates/hdl/and5_sim.vhd | 4 + Libs/Gates/hdl/bufferLogicVector_sim.vhd | 4 + Libs/Gates/hdl/bufferSigned_sim.vhd | 4 + Libs/Gates/hdl/bufferULogicVector_sim.vhd | 4 + Libs/Gates/hdl/bufferULogic_sim.vhd | 4 + Libs/Gates/hdl/bufferUnsigned_sim.vhd | 4 + Libs/Gates/hdl/demux1to2_sim.vhd | 19 + Libs/Gates/hdl/demux1to4_sim.vhd | 23 + Libs/Gates/hdl/gates_pkg.vhd | 9 + Libs/Gates/hdl/inverter_sim.vhd | 4 + Libs/Gates/hdl/logic0_sim.vhd | 4 + Libs/Gates/hdl/logic1_sim.vhd | 4 + Libs/Gates/hdl/mux16to1_sim.vhd | 33 + Libs/Gates/hdl/mux2to1Signed_sim.vhd | 22 + Libs/Gates/hdl/mux2to1ULogicVector_sim.vhd | 22 + Libs/Gates/hdl/mux2to1Unsigned_sim.vhd | 22 + Libs/Gates/hdl/mux2to1_sim.vhd | 43 + Libs/Gates/hdl/mux4to1Signed_sim.vhd | 16 + Libs/Gates/hdl/mux4to1ULogicVector_sim.vhd | 16 + Libs/Gates/hdl/mux4to1Unsigned_sim.vhd | 16 + Libs/Gates/hdl/mux4to1_sim.vhd | 16 + Libs/Gates/hdl/nand2_sim.vhd | 4 + Libs/Gates/hdl/nor2_m_sim.vhd | 4 + Libs/Gates/hdl/nor2_sim.vhd | 4 + Libs/Gates/hdl/onesSigned_sim.vhd | 4 + Libs/Gates/hdl/onesUnsigned_sim.vhd | 4 + Libs/Gates/hdl/or2_m_sim.vhd | 4 + Libs/Gates/hdl/or2_sim.vhd | 4 + Libs/Gates/hdl/or2inv1_sim.vhd | 4 + Libs/Gates/hdl/or2inv2_sim.vhd | 4 + Libs/Gates/hdl/or3_m_sim.vhd | 4 + Libs/Gates/hdl/or3_sim.vhd | 4 + Libs/Gates/hdl/or4_m_sim.vhd | 4 + Libs/Gates/hdl/or4_sim.vhd | 4 + Libs/Gates/hdl/or5_m_sim.vhd | 4 + Libs/Gates/hdl/or5_sim.vhd | 4 + Libs/Gates/hdl/transLogUlog_sim.vhd | 4 + Libs/Gates/hdl/transSignedUlog_sim.vhd | 4 + Libs/Gates/hdl/transSignedUnsigned_sim.vhd | 4 + Libs/Gates/hdl/transUlogSigned_sim.vhd | 4 + Libs/Gates/hdl/transUlogUnsigned_sim.vhd | 4 + Libs/Gates/hdl/transUnsignedSigned_sim.vhd | 4 + Libs/Gates/hdl/transUnsignedUlog_sim.vhd | 4 + Libs/Gates/hdl/xnor2_sim.vhd | 4 + Libs/Gates/hdl/xor2_sim.vhd | 4 + Libs/Gates/hdl/xor3_sim.vhd | 4 + Libs/Gates/hdl/xor4_sim.vhd | 4 + Libs/Gates/hdl/xor5_sim.vhd | 4 + Libs/Gates/hdl/zeroSigned_sim.vhd | 4 + Libs/Gates/hdl/zeroUnsigned_sim.vhd | 4 + .../hds/.hdlsidedata/_and2_entity.vhd._fpf | 1 + .../hds/.hdlsidedata/_and2_entity.vhg._fpf | 1 + .../Gates/hds/.hdlsidedata/_and2_sim.vhd._fpf | 1 + .../.hdlsidedata/_and2inv1_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_and2inv1_sim.vhd._fpf | 1 + .../.hdlsidedata/_and2inv2_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_and2inv2_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_and3_entity.vhd._fpf | 1 + .../hds/.hdlsidedata/_and3_entity.vhg._fpf | 1 + .../Gates/hds/.hdlsidedata/_and3_sim.vhd._fpf | 1 + .../.hdlsidedata/_and3inv1_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_and3inv1_sim.vhd._fpf | 1 + .../.hdlsidedata/_and3inv2_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_and3inv2_sim.vhd._fpf | 1 + .../.hdlsidedata/_and3inv3_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_and3inv3_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_and4_entity.vhg._fpf | 1 + .../Gates/hds/.hdlsidedata/_and4_sim.vhd._fpf | 1 + .../.hdlsidedata/_and4inv1_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_and4inv1_sim.vhd._fpf | 1 + .../.hdlsidedata/_and4inv2_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_and4inv2_sim.vhd._fpf | 1 + .../.hdlsidedata/_and4inv3_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_and4inv3_sim.vhd._fpf | 1 + .../.hdlsidedata/_and4inv4_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_and4inv4_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_and5_entity.vhg._fpf | 1 + .../Gates/hds/.hdlsidedata/_and5_sim.vhd._fpf | 1 + .../_bufferLogicVector_sim.vhd._fpf | 1 + .../.hdlsidedata/_bufferSigned_sim.vhd._fpf | 1 + .../_bufferULogicVector_sim.vhd._fpf | 1 + .../.hdlsidedata/_bufferULogic_sim.vhd._fpf | 1 + .../.hdlsidedata/_bufferUnsigned_sim.vhd._fpf | 1 + .../_bufferlogicvector_entity.vhg._fpf | 1 + .../_buffersigned_entity.vhg._fpf | 1 + .../_bufferulogic_entity.vhd._fpf | 1 + .../_bufferulogic_entity.vhg._fpf | 1 + .../_bufferulogicvector_entity.vhg._fpf | 1 + .../_bufferunsigned_entity.vhg._fpf | 1 + .../.hdlsidedata/_demux1to2_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_demux1to2_sim.vhd._fpf | 4 + .../.hdlsidedata/_demux1to4_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_demux1to4_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_gates_pkg.vhd._fpf | 4 + .../.hdlsidedata/_inverter_entity.vhd._fpf | 1 + .../.hdlsidedata/_inverter_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_inverter_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_logic0_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_logic0_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_logic1_entity.vhd._fpf | 1 + .../hds/.hdlsidedata/_logic1_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_logic1_sim.vhd._fpf | 1 + .../.hdlsidedata/_mux16to1_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_mux16to1_sim.vhd._fpf | 1 + .../.hdlsidedata/_mux2to1Signed_sim.vhd._fpf | 4 + .../_mux2to1ULogicVector_sim.vhd._fpf | 1 + .../_mux2to1Unsigned_sim.vhd._fpf | 4 + .../hds/.hdlsidedata/_mux2to1_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_mux2to1_sim.vhd._fpf | 1 + .../_mux2to1signed_entity.vhg._fpf | 1 + .../_mux2to1ulogicvector_entity.vhg._fpf | 1 + .../_mux2to1unsigned_entity.vhg._fpf | 1 + .../.hdlsidedata/_mux4to1Signed_sim.vhd._fpf | 1 + .../_mux4to1ULogicVector_sim.vhd._fpf | 1 + .../_mux4to1Unsigned_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_mux4to1_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_mux4to1_sim.vhd._fpf | 1 + .../_mux4to1signed_entity.vhg._fpf | 1 + .../_mux4to1ulogicvector_entity.vhg._fpf | 1 + .../_mux4to1unsigned_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_nand2_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_nand2_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_nor2_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_nor2_m_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_nor2_m_sim.vhd._fpf | 1 + .../Gates/hds/.hdlsidedata/_nor2_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_onesSigned_sim.vhd._fpf | 1 + .../.hdlsidedata/_onesUnsigned_sim.vhd._fpf | 1 + .../.hdlsidedata/_onessigned_entity.vhg._fpf | 1 + .../_onesunsigned_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_or2_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_or2_m_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_or2_m_sim.vhd._fpf | 1 + Libs/Gates/hds/.hdlsidedata/_or2_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_or2inv1_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_or2inv1_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_or2inv2_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_or2inv2_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_or3_entity.vhd._fpf | 1 + .../hds/.hdlsidedata/_or3_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_or3_m_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_or3_m_sim.vhd._fpf | 1 + Libs/Gates/hds/.hdlsidedata/_or3_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_or4_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_or4_m_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_or4_m_sim.vhd._fpf | 1 + Libs/Gates/hds/.hdlsidedata/_or4_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_or5_entity.vhd._fpf | 1 + .../hds/.hdlsidedata/_or5_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_or5_m_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_or5_m_sim.vhd._fpf | 1 + Libs/Gates/hds/.hdlsidedata/_or5_sim.vhd._fpf | 1 + .../.hdlsidedata/_transLogUlog_sim.vhd._fpf | 4 + .../_transSignedUlog_sim.vhd._fpf | 4 + .../_transSignedUnsigned_sim.vhd._fpf | 4 + .../_transUlogSigned_sim.vhd._fpf | 4 + .../_transUlogUnsigned_sim.vhd._fpf | 4 + .../_transUnsignedSigned_sim.vhd._fpf | 4 + .../_transUnsignedUlog_sim.vhd._fpf | 1 + .../_translogulog_entity.vhg._fpf | 1 + .../_transsignedulog_entity.vhg._fpf | 1 + .../_transsignedunsigned_entity.vhg._fpf | 1 + .../_transulogsigned_entity.vhg._fpf | 1 + .../_transulogunsigned_entity.vhg._fpf | 1 + .../_transunsignedsigned_entity.vhg._fpf | 1 + .../_transunsignedulog_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_xnor2_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_xnor2_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_xor2_entity.vhg._fpf | 1 + .../Gates/hds/.hdlsidedata/_xor2_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_xor3_entity.vhd._fpf | 1 + .../hds/.hdlsidedata/_xor3_entity.vhg._fpf | 1 + .../Gates/hds/.hdlsidedata/_xor3_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_xor4_entity.vhg._fpf | 1 + .../Gates/hds/.hdlsidedata/_xor4_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_xor5_entity.vhg._fpf | 1 + .../Gates/hds/.hdlsidedata/_xor5_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_zeroSigned_sim.vhd._fpf | 1 + .../.hdlsidedata/_zeroUnsigned_sim.vhd._fpf | 1 + .../.hdlsidedata/_zerosigned_entity.vhg._fpf | 1 + .../_zerounsigned_entity.vhg._fpf | 1 + Libs/Gates/hds/_and2._epf | 2 + Libs/Gates/hds/_and5._epf | 2 + Libs/Gates/hds/_bufferlogicvector._epf | 2 + Libs/Gates/hds/_buffersigned._epf | 2 + Libs/Gates/hds/_bufferulogic._epf | 2 + Libs/Gates/hds/_bufferulogicvector._epf | 2 + Libs/Gates/hds/_bufferunsigned._epf | 2 + Libs/Gates/hds/_inverter._epf | 2 + Libs/Gates/hds/_logic0._epf | 2 + Libs/Gates/hds/_logic1._epf | 2 + Libs/Gates/hds/_mux16to1._epf | 2 + Libs/Gates/hds/_mux2to1._epf | 2 + Libs/Gates/hds/_mux2to1signed._epf | 2 + Libs/Gates/hds/_mux2to1ulogicvector._epf | 2 + Libs/Gates/hds/_mux2to1unsigned._epf | 2 + Libs/Gates/hds/_mux4to1._epf | 2 + Libs/Gates/hds/_mux4to1signed._epf | 2 + Libs/Gates/hds/_mux4to1ulogicvector._epf | 2 + Libs/Gates/hds/_mux4to1unsigned._epf | 2 + Libs/Gates/hds/_onessigned._epf | 2 + Libs/Gates/hds/_onesunsigned._epf | 2 + Libs/Gates/hds/_or3_m._epf | 2 + Libs/Gates/hds/_translogulog._epf | 2 + Libs/Gates/hds/_transsignedulog._epf | 2 + Libs/Gates/hds/_transsignedunsigned._epf | 2 + Libs/Gates/hds/_transulogsigned._epf | 2 + Libs/Gates/hds/_transulogunsigned._epf | 2 + Libs/Gates/hds/_transunsignedsigned._epf | 2 + Libs/Gates/hds/_transunsignedulog._epf | 2 + Libs/Gates/hds/_xnor2._epf | 2 + Libs/Gates/hds/_zerosigned._epf | 2 + Libs/Gates/hds/_zerounsigned._epf | 2 + Libs/Gates/hds/and2/symbol.sb | 1479 ++ Libs/Gates/hds/and2inv1/symbol.sb | 1488 ++ Libs/Gates/hds/and2inv2/symbol.sb | 1501 ++ Libs/Gates/hds/and3/symbol.sb | 1556 ++ Libs/Gates/hds/and3inv1/symbol.sb | 1550 ++ Libs/Gates/hds/and3inv2/symbol.sb | 1563 ++ Libs/Gates/hds/and3inv3/symbol.sb | 1576 ++ Libs/Gates/hds/and4/symbol.sb | 1598 ++ Libs/Gates/hds/and4inv1/symbol.sb | 1611 ++ Libs/Gates/hds/and4inv2/symbol.sb | 1624 ++ Libs/Gates/hds/and4inv3/symbol.sb | 1637 ++ Libs/Gates/hds/and4inv4/symbol.sb | 1650 ++ Libs/Gates/hds/and5/symbol.sb | 1679 ++ Libs/Gates/hds/buffer@logic@vector/symbol.sb | 1465 ++ Libs/Gates/hds/buffer@signed/symbol.sb | 1466 ++ Libs/Gates/hds/buffer@ulogic/symbol.sb | 1437 ++ Libs/Gates/hds/buffer@ulogic@vector/symbol.sb | 1461 ++ Libs/Gates/hds/buffer@unsigned/symbol.sb | 1466 ++ Libs/Gates/hds/demux1to2/symbol.sb | 1537 ++ Libs/Gates/hds/demux1to4/symbol.sb | 1670 ++ Libs/Gates/hds/inverter/symbol.sb | 1449 ++ Libs/Gates/hds/logic0/symbol.sb | 1337 ++ Libs/Gates/hds/logic1/symbol.sb | 1337 ++ Libs/Gates/hds/mux16to1/symbol.sb | 2408 +++ Libs/Gates/hds/mux2to1/symbol.sb | 1569 ++ Libs/Gates/hds/mux2to1@signed/symbol.sb | 1591 ++ .../hds/mux2to1@u@logic@vector/symbol.sb | 1591 ++ Libs/Gates/hds/mux2to1@unsigned/symbol.sb | 1587 ++ Libs/Gates/hds/mux4to1/symbol.sb | 1708 ++ Libs/Gates/hds/mux4to1@signed/symbol.sb | 1722 ++ .../hds/mux4to1@u@logic@vector/symbol.sb | 1722 ++ Libs/Gates/hds/mux4to1@unsigned/symbol.sb | 1722 ++ Libs/Gates/hds/nand2/symbol.sb | 1487 ++ Libs/Gates/hds/nor2/symbol.sb | 1489 ++ Libs/Gates/hds/nor2_m/symbol.sb | 1496 ++ Libs/Gates/hds/ones@signed/symbol.sb | 1388 ++ Libs/Gates/hds/ones@unsigned/symbol.sb | 1388 ++ Libs/Gates/hds/or2/symbol.sb | 1481 ++ Libs/Gates/hds/or2_m/symbol.sb | 1506 ++ Libs/Gates/hds/or2inv1/symbol.sb | 1490 ++ Libs/Gates/hds/or2inv2/symbol.sb | 1503 ++ Libs/Gates/hds/or3/symbol.sb | 1541 ++ Libs/Gates/hds/or3_m/symbol.sb | 1593 ++ Libs/Gates/hds/or4/symbol.sb | 1602 ++ Libs/Gates/hds/or4_m/symbol.sb | 1647 ++ Libs/Gates/hds/or5/symbol.sb | 1663 ++ Libs/Gates/hds/or5_m/symbol.sb | 1719 ++ Libs/Gates/hds/trans@log@ulog/symbol.sb | 1453 ++ Libs/Gates/hds/trans@signed@ulog/symbol.sb | 1468 ++ .../Gates/hds/trans@signed@unsigned/symbol.sb | 1473 ++ Libs/Gates/hds/trans@ulog@signed/symbol.sb | 1473 ++ Libs/Gates/hds/trans@ulog@unsigned/symbol.sb | 1473 ++ .../Gates/hds/trans@unsigned@signed/symbol.sb | 1472 ++ Libs/Gates/hds/trans@unsigned@ulog/symbol.sb | 1473 ++ Libs/Gates/hds/xnor2/symbol.sb | 1530 ++ Libs/Gates/hds/xor2/symbol.sb | 1501 ++ Libs/Gates/hds/xor3/symbol.sb | 1541 ++ Libs/Gates/hds/xor4/symbol.sb | 1603 ++ Libs/Gates/hds/xor5/symbol.sb | 1664 ++ Libs/Gates/hds/zero@signed/symbol.sb | 1389 ++ Libs/Gates/hds/zero@unsigned/symbol.sb | 1389 ++ Libs/IO/hdl/tristateBufferSigned_sim.vhd | 5 + .../IO/hdl/tristateBufferULogicVector_sim.vhd | 5 + Libs/IO/hdl/tristateBufferULogic_sim.vhd | 5 + Libs/IO/hdl/tristateBufferUnsigned_sim.vhd | 5 + .../_tristateBufferSigned_sim.vhd._fpf | 4 + .../_tristateBufferULogicVector_sim.vhd._fpf | 4 + .../_tristateBufferULogic_sim.vhd._fpf | 4 + .../_tristateBufferUnsigned_sim.vhd._fpf | 4 + .../_tristatebuffersigned_entity.vhg._fpf | 1 + .../_tristatebufferulogic_entity.vhg._fpf | 1 + ...tristatebufferulogicvector_entity.vhg._fpf | 1 + .../_tristatebufferunsigned_entity.vhg._fpf | 1 + Libs/IO/hds/_tristatebuffersigned._epf | 2 + Libs/IO/hds/_tristatebufferulogicvector._epf | 2 + Libs/IO/hds/tristate@buffer@signed/symbol.sb | 1509 ++ Libs/IO/hds/tristate@buffer@u@logic/symbol.sb | 1480 ++ .../tristate@buffer@u@logic@vector/symbol.sb | 1504 ++ .../IO/hds/tristate@buffer@unsigned/symbol.sb | 1509 ++ Libs/Lcd/hdl/helloWorld_RTL.vhd | 159 + Libs/Lcd/hdl/lcdCharacterEncoder_RTL.vhd | 213 + Libs/Lcd/hdl/lcdInitializer_RTL.vhd | 105 + Libs/Lcd/hdl/lcdSerializer_RTL.vhd | 143 + .../hds/.hdlsidedata/_helloWorld_RTL.vhd._fpf | 4 + .../.hdlsidedata/_helloworld_entity.vhg._fpf | 1 + .../_lcdCharacterEncoder_RTL.vhd._fpf | 1 + .../.hdlsidedata/_lcdInitializer_RTL.vhd._fpf | 1 + .../.hdlsidedata/_lcdSerializer_RTL.vhd._fpf | 1 + .../_lcdcharacterencoder_entity.vhg._fpf | 1 + .../_lcdcontroller_entity.vhg._fpf | 1 + .../_lcdcontroller_struct.vhg._fpf | 1 + .../_lcdinitializer_entity.vhg._fpf | 1 + .../_lcdserializer_entity.vhg._fpf | 1 + Libs/Lcd/hds/_helloworld._epf | 3 + Libs/Lcd/hds/_lcdcharacterencoder._epf | 2 + Libs/Lcd/hds/_lcdcontroller._epf | 3 + Libs/Lcd/hds/_lcddemo._epf | 3 + Libs/Lcd/hds/_lcdinitializer._epf | 2 + Libs/Lcd/hds/_lcdserializer._epf | 2 + Libs/Lcd/hds/hello@world/symbol.sb | 1650 ++ Libs/Lcd/hds/lcd@character@encoder/symbol.sb | 2071 ++ Libs/Lcd/hds/lcd@controller/struct.bd | 7037 ++++++ Libs/Lcd/hds/lcd@controller/symbol.sb | 1962 ++ Libs/Lcd/hds/lcd@demo/struct.bd | 5667 +++++ Libs/Lcd/hds/lcd@demo/symbol.sb | 2070 ++ Libs/Lcd/hds/lcd@initializer/symbol.sb | 1886 ++ Libs/Lcd/hds/lcd@serializer/symbol.sb | 1948 ++ Libs/Lcd_test/LCD_explanation.txt | 188 + Libs/Lcd_test/dogm132-5e.pdf | Bin 0 -> 652088 bytes .../hdl/lcdController_tester_test.vhd | 47 + Libs/Lcd_test/hdl/lcdDemo_tester_test.vhd | 111 + .../hdl/lcdSerializer_tester_test.vhd | 69 + .../_lcdController_tester_test.vhd._fpf | 4 + .../_lcdDemo_tester_test.vhd._fpf | 1 + .../_lcdSerializer_tester_test.vhd._fpf | 4 + .../msimSimulationReplay.sh | 3 + Libs/Lcd_test/hds/_lcdcontroller_tb._epf | 3 + Libs/Lcd_test/hds/_lcdcontroller_tester._epf | 2 + Libs/Lcd_test/hds/_lcddemo_tb._epf | 3 + Libs/Lcd_test/hds/_lcddemo_tester._epf | 2 + Libs/Lcd_test/hds/_lcdserializer_tb._epf | 3 + Libs/Lcd_test/hds/_lcdserializer_tester._epf | 2 + Libs/Lcd_test/hds/lcd@controller_tb/struct.bd | 3437 +++ .../struct.bd.info/msimSimulationReplay.sh | 3 + Libs/Lcd_test/hds/lcd@controller_tb/symbol.sb | 1297 ++ .../hds/lcd@controller_tester/interface | 1661 ++ Libs/Lcd_test/hds/lcd@demo_tb/struct.bd | 3526 +++ .../struct.bd.info/msimSimulationReplay.sh | 3 + Libs/Lcd_test/hds/lcd@demo_tb/symbol.sb | 1297 ++ Libs/Lcd_test/hds/lcd@demo_tester/interface | 1702 ++ Libs/Lcd_test/hds/lcd@serializer_tb/struct.bd | 3436 +++ .../struct.bd.info/msimSimulationReplay.sh | 3 + Libs/Lcd_test/hds/lcd@serializer_tb/symbol.sb | 1297 ++ .../hds/lcd@serializer_tester/interface | 1657 ++ Libs/Lcd_test/sim/lcdController.do | 45 + Libs/Lcd_test/sim/lcdDemo.do | 71 + Libs/Lcd_test/sim/lcdFont.txt | 128 + Libs/Lcd_test/sim/lcdSerializer.do | 43 + Libs/Lcd_test/st7565r.pdf | Bin 0 -> 1620419 bytes .../Memory/hdl/bramDualportWritefirst_bhv.vhd | 54 + Libs/Memory/hdl/bram_bhv.vhd | 39 + Libs/Memory/hdl/bram_dualport_writefirst.vhd | 55 + ...oTxBuswidthAdaptionRxbigger_behavioral.vhd | 73 + ...oTxBuswidthAdaptionTxbigger_behavioral.vhd | 75 + Libs/Memory/hdl/fifo_bram_rtl.vhd | 165 + Libs/Memory/hdl/fifo_minimal.vhd | 63 + Libs/Memory/hdl/fifo_oneRegister_rtl.vhd | 37 + Libs/Memory/hdl/fifo_pim.vhd | 118 + Libs/Memory/hdl/fifo_rtl_minimal.vhd | 97 + Libs/Memory/hdl/fifobridgerxtotx_rtl.vhd | 84 + Libs/Memory/hdl/flashController_RTL.vhd | 140 + .../hdl/sdramControllerBuildAddress_RTL.vhd | 36 + .../hdl/sdramControllerRefreshCounter_RTL.vhd | 48 + Libs/Memory/hdl/sdramControllerSR_RTL.vhd | 18 + .../hdl/sdramControllerSampleDataIn_RTL.vhd | 17 + .../hdl/sdramControllerStoreData_RTL.vhd | 14 + ...dramControllerTimingsShiftRegister_RTL.vhd | 24 + .../_bramDualportWritefirst_bhv.vhd._fpf | 4 + .../hds/.hdlsidedata/_bram_bhv.vhd._fpf | 1 + .../_bram_dualport_writefirst.vhd._fpf | 1 + .../_bramdualportwritefirst_entity.vhd._fpf | 1 + .../_bramdualportwritefirst_entity.vhg._fpf | 1 + ...swidthAdaptionRxbigger_behavioral.vhd._fpf | 4 + ...swidthAdaptionTxbigger_behavioral.vhd._fpf | 4 + .../.hdlsidedata/_fifo_bram_entity.vhd._fpf | 1 + .../.hdlsidedata/_fifo_bram_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_fifo_bram_rtl.vhd._fpf | 1 + .../hds/.hdlsidedata/_fifo_entity.vhd._fpf | 1 + .../hds/.hdlsidedata/_fifo_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_fifo_minimal.vhd._fpf | 1 + .../_fifo_oneRegister_rtl.vhd._fpf | 4 + .../_fifo_oneregister_entity.vhd._fpf | 1 + .../_fifo_oneregister_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_fifo_pim.vhd._fpf | 1 + .../hds/.hdlsidedata/_fifo_rtl.vhd._fpf | 1 + .../.hdlsidedata/_fifo_rtl_minimal.vhd._fpf | 1 + .../hds/.hdlsidedata/_fifo_struct.vhd._fpf | 1 + .../hds/.hdlsidedata/_fifo_struct.vhg._fpf | 1 + .../_fifobridgerxtotx_rtl.vhd._fpf | 1 + .../_flashController_RTL.vhd._fpf | 4 + .../_sdramControllerBuildAddress_RTL.vhd._fpf | 4 + ...sdramControllerRefreshCounter_RTL.vhd._fpf | 4 + .../_sdramControllerSR_RTL.vhd._fpf | 4 + .../_sdramControllerSampleDataIn_RTL.vhd._fpf | 4 + .../_sdramControllerStoreData_RTL.vhd._fpf | 4 + ...ontrollerTimingsShiftRegister_RTL.vhd._fpf | 4 + Libs/Memory/hds/@f@i@f@o/struct.bd | 4408 ++++ Libs/Memory/hds/@f@i@f@o/symbol.sb | 1860 ++ Libs/Memory/hds/@f@i@f@o_bram/symbol.sb | 1848 ++ .../hds/@f@i@f@o_one@register/symbol.sb | 1828 ++ Libs/Memory/hds/_bram._epf | 3 + Libs/Memory/hds/_bramdualportwritefirst._epf | 3 + Libs/Memory/hds/_fifo._epf | 3 + Libs/Memory/hds/_fifo_bram._epf | 3 + Libs/Memory/hds/_fifo_oneregister._epf | 3 + Libs/Memory/hds/_fifobridge._epf | 3 + .../hds/_fifobridgebuswidthadaption._epf | 3 + Libs/Memory/hds/_fifobridgerxtotx._epf | 2 + ...obridgerxtotxbuswidthadaptionrxbigger._epf | 2 + ...obridgerxtotxbuswidthadaptiontxbigger._epf | 2 + Libs/Memory/hds/_flashcontroller._epf | 3 + Libs/Memory/hds/_sdramcontroller._epf | 3 + .../hds/_sdramcontrollerbuildaddress._epf | 2 + Libs/Memory/hds/_sdramcontrollerfsm._epf | 2 + .../hds/_sdramcontrollerrefreshcounter._epf | 2 + .../hds/_sdramcontrollersampledatain._epf | 2 + Libs/Memory/hds/_sdramcontrollersr._epf | 2 + .../Memory/hds/_sdramcontrollerstoredata._epf | 2 + .../_sdramcontrollertimingsshiftregister._epf | 2 + Libs/Memory/hds/bram/symbol.sb | 1778 ++ .../hds/bram@dualport@writefirst/symbol.sb | 2162 ++ Libs/Memory/hds/fifo@bridge/struct.bd | 4613 ++++ Libs/Memory/hds/fifo@bridge/symbol.sb | 2251 ++ .../fifo@bridge@bus@width@adaption/struct.bd | 3912 ++++ .../fifo@bridge@bus@width@adaption/symbol.sb | 1810 ++ .../Memory/hds/fifo@bridge@rx@to@tx/symbol.sb | 1875 ++ .../interface | 1823 ++ .../interface | 1823 ++ Libs/Memory/hds/flash@controller/symbol.sb | 2518 +++ Libs/Memory/hds/sdram@controller/struct.bd | 9775 +++++++++ Libs/Memory/hds/sdram@controller/symbol.sb | 2973 +++ .../sdram@controller@build@address/symbol.sb | 1823 ++ Libs/Memory/hds/sdram@controller@fsm/fsm.sm | 11074 ++++++++++ .../Memory/hds/sdram@controller@fsm/symbol.sb | 2624 +++ .../symbol.sb | 1625 ++ .../Memory/hds/sdram@controller@s@r/symbol.sb | 1581 ++ .../sdram@controller@sample@data@in/symbol.sb | 1606 ++ .../hds/sdram@controller@store@data/symbol.sb | 1606 ++ .../symbol.sb | 1544 ++ Libs/Memory_test/hdl/Flash_behav.vhd | 528 + Libs/Memory_test/hdl/bram_tester_test.vhd | 83 + Libs/Memory_test/hdl/fifo_tester_test.vhd | 339 + .../hdl/flashController_tester_test.vhd | 88 + Libs/Memory_test/hdl/flash_tester_test.vhd | 102 + Libs/Memory_test/hdl/mti_pkg_pkg.vhd | 18 + Libs/Memory_test/hdl/mti_pkg_pkg_body.vhd | 234 + .../hdl/sdramController_tester_test.vhd | 82 + .../hdl/sdram_mt48lc16m16a2_sim.vhd | 1363 ++ .../hds/.hdlsidedata/_Flash_behav.vhd._fpf | 1 + .../.hdlsidedata/_bram_tester_test.vhd._fpf | 4 + .../.hdlsidedata/_fifo_tester_test.vhd._fpf | 4 + .../_flashController_tester_test.vhd._fpf | 4 + .../.hdlsidedata/_flash_tester_test.vhd._fpf | 1 + .../hds/.hdlsidedata/_mti_pkg_pkg.vhd._fpf | 4 + .../.hdlsidedata/_mti_pkg_pkg_body.vhd._fpf | 4 + .../_sdramController_tester_test.vhd._fpf | 1 + .../_sdram_mt48lc16m16a2_sim.vhd._fpf | 4 + Libs/Memory_test/hds/_bram_tb._epf | 3 + Libs/Memory_test/hds/_bram_tester._epf | 2 + Libs/Memory_test/hds/_fifo_tb._epf | 3 + Libs/Memory_test/hds/_flash_28f128j3a._epf | 2 + Libs/Memory_test/hds/_flash_tb._epf | 3 + Libs/Memory_test/hds/_flash_tester._epf | 2 + Libs/Memory_test/hds/_flashcontroller_tb._epf | 3 + .../hds/_flashcontroller_tester._epf | 2 + Libs/Memory_test/hds/_sdramcontroller_tb._epf | 3 + .../hds/_sdramcontroller_tester._epf | 2 + Libs/Memory_test/hds/bram_tb/struct.bd | 3661 ++++ Libs/Memory_test/hds/bram_tb/symbol.sb | 1256 ++ Libs/Memory_test/hds/bram_tester/interface | 2134 ++ Libs/Memory_test/hds/fifo_tb/struct.bd | 3219 +++ .../struct.bd.info/msimSimulationReplay.sh | 3 + Libs/Memory_test/hds/fifo_tb/symbol.sb | 1256 ++ Libs/Memory_test/hds/fifo_tester/interface | 1504 ++ .../hds/flash@controller_tb/struct.bd | 5566 +++++ .../hds/flash@controller_tb/symbol.sb | 1256 ++ .../hds/flash@controller_tester/interface | 1973 ++ .../hds/flash_28@f128@j3@a/symbol.sb | 1951 ++ Libs/Memory_test/hds/flash_tb/struct.bd | 3224 +++ Libs/Memory_test/hds/flash_tb/symbol.sb | 1256 ++ Libs/Memory_test/hds/flash_tester/interface | 1841 ++ .../hds/sdram@controller_tb/struct.bd | 6053 ++++++ .../hds/sdram@controller_tb/symbol.sb | 1256 ++ .../hds/sdram@controller_tester/interface | 1971 ++ .../hds/sdram_mt48lc16m16a2/symbol.sb | 2448 +++ Libs/Sequential/hdl/DFFE_pre_sim.vhd | 16 + Libs/Sequential/hdl/DFFE_sim.vhd | 16 + Libs/Sequential/hdl/DFFE_sim1.vhd | 16 + Libs/Sequential/hdl/DFF_pre_sim.vhd | 13 + Libs/Sequential/hdl/DFF_sim.vhd | 13 + Libs/Sequential/hdl/DFF_sim1.vhd | 18 + Libs/Sequential/hdl/DFF_sim11.vhd | 18 + Libs/Sequential/hdl/DFF_sim2.vhd | 13 + Libs/Sequential/hdl/TFF_pre_sim.vhd | 18 + Libs/Sequential/hdl/TFF_sim.vhd | 18 + Libs/Sequential/hdl/accumulator_RTL.vhd | 46 + .../hdl/counterEnableResetSync_rtl.vhd | 46 + Libs/Sequential/hdl/counterEnable_rtl.vhd | 44 + Libs/Sequential/hdl/counterRestart_RTL.vhd | 22 + .../hdl/counterUpDownEnable_RTL.vhd | 24 + Libs/Sequential/hdl/counterUpDown_RTL.vhd | 22 + Libs/Sequential/hdl/counter_rtl.vhd | 18 + Libs/Sequential/hdl/edgeDetector_arch.vhd | 50 + Libs/Sequential/hdl/freqDividerEnable_RTL.vhd | 28 + Libs/Sequential/hdl/freqDivider_RTL.vhd | 26 + .../hdl/registerLogicVector_sim.vhd | 16 + Libs/Sequential/hdl/registerSigned_sim.vhd | 16 + .../hdl/registerULogicVectorTo_RTL.vhd | 16 + .../hdl/registerULogicVector_sim.vhd | 16 + .../hdl/registerULogicVector_sim1.vhd | 16 + Libs/Sequential/hdl/registerUnsigned_sim.vhd | 16 + .../hds/.hdlsidedata/_DFFE_pre_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_DFFE_sim.vhd._fpf | 4 + .../hds/.hdlsidedata/_DFFE_sim1.vhd._fpf | 1 + .../hds/.hdlsidedata/_DFF_pre_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_DFF_sim.vhd._fpf | 4 + .../hds/.hdlsidedata/_DFF_sim1.vhd._fpf | 1 + .../hds/.hdlsidedata/_DFF_sim11.vhd._fpf | 1 + .../hds/.hdlsidedata/_DFF_sim2.vhd._fpf | 1 + .../hds/.hdlsidedata/_SRAM_sim.vhd._fpf | 4 + .../hds/.hdlsidedata/_TFF_pre_sim.vhd._fpf | 1 + .../hds/.hdlsidedata/_TFF_sim.vhd._fpf | 1 + .../.hdlsidedata/_accumulator_RTL.vhd._fpf | 4 + .../.hdlsidedata/_accumulator_entity.vhg._fpf | 1 + .../_counterEnableResetSync_rtl.vhd._fpf | 1 + .../.hdlsidedata/_counterEnable_rtl.vhd._fpf | 4 + .../.hdlsidedata/_counterRestart_RTL.vhd._fpf | 4 + .../_counterUpDownEnable_RTL.vhd._fpf | 4 + .../.hdlsidedata/_counterUpDown_RTL.vhd._fpf | 4 + .../.hdlsidedata/_counterUpDown_arch.vhd._fpf | 4 + .../hds/.hdlsidedata/_counter_arch.vhd._fpf | 4 + .../hds/.hdlsidedata/_counter_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_counter_rtl.vhd._fpf | 4 + .../_counterenable_entity.vhg._fpf | 1 + .../_counterenableresetsync_entity.vhg._fpf | 1 + .../_counterrestart_entity.vhg._fpf | 1 + .../_counterupdown_entity.vhg._fpf | 1 + .../_counterupdownenable_entity.vhg._fpf | 1 + .../_counterupdownenable_struct.vhg._fpf | 1 + .../hds/.hdlsidedata/_dff_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_dff_pre_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_dffe_entity.vhg._fpf | 1 + .../.hdlsidedata/_dffe_pre_entity.vhg._fpf | 1 + .../.hdlsidedata/_edgeDetector_RTL.vhd._fpf | 4 + .../.hdlsidedata/_edgeDetector_arch.vhd._fpf | 4 + .../_edgedetector_entity.vhg._fpf | 1 + .../_freqDividerEnable_RTL.vhd._fpf | 1 + .../.hdlsidedata/_freqDivider_RTL.vhd._fpf | 1 + .../.hdlsidedata/_freqdivider_entity.vhg._fpf | 1 + .../_freqdividerenable_entity.vhg._fpf | 1 + .../_registerLogicVector_sim.vhd._fpf | 1 + .../.hdlsidedata/_registerSigned_sim.vhd._fpf | 4 + .../_registerULogicVectorTo_RTL.vhd._fpf | 1 + .../_registerULogicVector_sim.vhd._fpf | 4 + .../_registerULogicVector_sim1.vhd._fpf | 1 + .../_registerUnsigned_sim.vhd._fpf | 4 + .../_registerfile_entity.vhg._fpf | 1 + .../_registerfile_struct.vhg._fpf | 1 + .../_registerlogicvector_entity.vhg._fpf | 1 + .../_registersigned_entity.vhg._fpf | 1 + .../_registerulogicvector_entity.vhd._fpf | 1 + .../_registerulogicvector_entity.vhg._fpf | 1 + .../_registerulogicvectorto_entity.vhg._fpf | 1 + .../_registerunsigned_entity.vhg._fpf | 1 + .../.hdlsidedata/_reglatch_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_sram_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_sram_struct.vhg._fpf | 1 + .../hds/.hdlsidedata/_tff_entity.vhg._fpf | 1 + .../hds/.hdlsidedata/_tff_pre_entity.vhg._fpf | 1 + Libs/Sequential/hds/@d@f@f/symbol.sb | 1579 ++ Libs/Sequential/hds/@d@f@f@e/symbol.sb | 1631 ++ Libs/Sequential/hds/@d@f@f@e_pre/symbol.sb | 1641 ++ Libs/Sequential/hds/@d@f@f_pre/symbol.sb | 1589 ++ Libs/Sequential/hds/@s@r@a@m/struct.bd | 3031 +++ Libs/Sequential/hds/@s@r@a@m/symbol.sb | 1342 ++ Libs/Sequential/hds/@t@f@f/symbol.sb | 1575 ++ Libs/Sequential/hds/@t@f@f_pre/symbol.sb | 1585 ++ Libs/Sequential/hds/_accumulator._epf | 2 + Libs/Sequential/hds/_counter._epf | 2 + Libs/Sequential/hds/_counterenable._epf | 2 + .../hds/_counterenableresetsync._epf | 2 + Libs/Sequential/hds/_counterrestart._epf | 2 + Libs/Sequential/hds/_counterupdown._epf | 2 + Libs/Sequential/hds/_counterupdownenable._epf | 2 + Libs/Sequential/hds/_dff._epf | 2 + Libs/Sequential/hds/_dff_pre._epf | 2 + Libs/Sequential/hds/_dffe._epf | 2 + Libs/Sequential/hds/_dffe_pre._epf | 2 + Libs/Sequential/hds/_edgedetector._epf | 2 + Libs/Sequential/hds/_freqdivider._epf | 2 + Libs/Sequential/hds/_freqdividerenable._epf | 2 + Libs/Sequential/hds/_registerfile._epf | 2 + Libs/Sequential/hds/_registerlogicvector._epf | 2 + Libs/Sequential/hds/_registersigned._epf | 2 + .../Sequential/hds/_registerulogicvector._epf | 2 + .../hds/_registerulogicvectorto._epf | 2 + Libs/Sequential/hds/_registerunsigned._epf | 2 + Libs/Sequential/hds/_reglatch._epf | 2 + Libs/Sequential/hds/_sram._epf | 2 + Libs/Sequential/hds/_tff._epf | 2 + Libs/Sequential/hds/_tff_pre._epf | 2 + Libs/Sequential/hds/accumulator/symbol.sb | 1665 ++ Libs/Sequential/hds/counter/symbol.sb | 1527 ++ Libs/Sequential/hds/counter@enable/symbol.sb | 1588 ++ .../hds/counter@enable@reset@sync/symbol.sb | 1649 ++ Libs/Sequential/hds/counter@restart/symbol.sb | 1580 ++ Libs/Sequential/hds/counter@up@down/symbol.sb | 1649 ++ .../hds/counter@up@down@enable/struct.bd | 4591 ++++ .../hds/counter@up@down@enable/symbol.sb | 1715 ++ Libs/Sequential/hds/edge@detector/symbol.sb | 1561 ++ Libs/Sequential/hds/freq@divider/symbol.sb | 1525 ++ .../hds/freq@divider@enable/symbol.sb | 1586 ++ Libs/Sequential/hds/reg@latch/symbol.sb | 1162 + Libs/Sequential/hds/register@file/struct.bd | 3140 +++ Libs/Sequential/hds/register@file/symbol.sb | 1467 ++ .../hds/register@logic@vector/symbol.sb | 1658 ++ Libs/Sequential/hds/register@signed/symbol.sb | 1650 ++ .../hds/register@u@logic@vector/symbol.sb | 1646 ++ .../hds/register@u@logic@vector@to/symbol.sb | 1646 ++ .../hds/register@unsigned/symbol.sb | 1646 ++ Prefs/hds.hdp | 50 + Prefs/hds_team/shared.hdp | 23 + Prefs/hds_team/v2015.2/hds_team_prefs | 55 + Prefs/hds_team/v2015.2/title_block.tmpl | 273 + Prefs/hds_team/v2018.1/hds_team_prefs | 55 + Prefs/hds_team/v2018.1/title_block.tmpl | 273 + Prefs/hds_team/v2019.2/hds_team_prefs | 55 + Prefs/hds_team/v2019.2/title_block.tmpl | 273 + Prefs/hds_user-linux/v2015.2/hds_user_prefs | 5510 +++++ .../v2015.2/tasks/actel_place_and_route.tsk | 39 + .../v2015.2/tasks/altera_megawizard.tsk | 39 + .../v2015.2/tasks/altera_sopc_builder.tsk | 39 + .../v2015.2/tasks/c_c_wrapper_generator.tsk | 39 + .../v2015.2/tasks/designchecker.tsk | 43 + .../v2015.2/tasks/designchecker_flow.tsk | 57 + .../v2015.2/tasks/fpga_library_compile.tsk | 39 + .../v2015.2/tasks/fpga_technology_setup.tsk | 39 + .../hds_user-linux/v2015.2/tasks/generate.tsk | 41 + .../v2015.2/tasks/i_o_design_flow.tsk | 72 + .../v2015.2/tasks/lattice_place_and_route.tsk | 39 + .../v2015.2/tasks/leonardospectrum.tsk | 101 + .../v2015.2/tasks/leonardospectrum_flow.tsk | 50 + .../v2015.2/tasks/modelsim_compile.tsk | 48 + .../v2015.2/tasks/modelsim_flow.tsk | 74 + .../v2015.2/tasks/modelsim_simulate.tsk | 48 + .../v2015.2/tasks/precision_synthesis.tsk | 101 + .../tasks/precision_synthesis_flow.tsk | 50 + .../v2015.2/tasks/quartus_ii_synthesis.tsk | 94 + .../tasks/quartus_ii_synthesis_flow.tsk | 50 + .../v2015.2/tasks/quartus_place_and_route.tsk | 39 + .../v2015.2/tasks/quartus_programmer.tsk | 39 + .../v2015.2/tasks/questasim_compile.tsk | 39 + .../v2015.2/tasks/questasim_flow.tsk | 66 + .../v2015.2/tasks/questasim_simulate.tsk | 39 + .../v2015.2/tasks/register_assistant.tsk | 45 + .../v2015.2/tasks/systemverilog_assistant.tsk | 45 + .../v2015.2/tasks/xilinx_core_generator.tsk | 39 + .../v2015.2/tasks/xilinx_import.tsk | 39 + .../v2015.2/tasks/xilinx_place_and_route.tsk | 39 + .../v2015.2/tasks/xilinx_platform_studio.tsk | 39 + .../v2015.2/tasks/xilinx_synthesis_tool.tsk | 94 + .../tasks/xilinx_synthesis_tool_flow.tsk | 50 + .../v2015.2/tasks/xilinx_vivado_flow.tsk | 72 + .../templates/text_files/new_document.txt | 13 + .../vhdl_architecture/architecture.vhd | 15 + .../templates/vhdl_combined/combined.vhd | 17 + .../vhdl_configuration/configuration.vhd | 19 + .../v2015.2/templates/vhdl_entity/entity.vhd | 15 + .../vhdl_package_body/package_body.vhd | 16 + .../vhdl_package_header/package_header.vhd | 18 + Prefs/hds_user-linux/v2018.1/hds_user_prefs | 5790 +++++ .../v2018.1/tasks/actel_place_and_route.tsk | 39 + .../v2018.1/tasks/altera_megawizard.tsk | 39 + .../v2018.1/tasks/altera_sopc_builder.tsk | 39 + .../v2018.1/tasks/c_c_wrapper_generator.tsk | 39 + .../v2018.1/tasks/designchecker.tsk | 43 + .../v2018.1/tasks/designchecker_flow.tsk | 57 + .../v2018.1/tasks/fpga_library_compile.tsk | 39 + .../v2018.1/tasks/fpga_technology_setup.tsk | 39 + .../hds_user-linux/v2018.1/tasks/generate.tsk | 41 + .../v2018.1/tasks/i_o_design_flow.tsk | 72 + .../v2018.1/tasks/lattice_place_and_route.tsk | 39 + .../v2018.1/tasks/leonardospectrum.tsk | 101 + .../v2018.1/tasks/leonardospectrum_flow.tsk | 50 + .../v2018.1/tasks/modelsim_compile.tsk | 48 + .../v2018.1/tasks/modelsim_flow.tsk | 74 + .../v2018.1/tasks/modelsim_simulate.tsk | 48 + .../v2018.1/tasks/precision_synthesis.tsk | 101 + .../tasks/precision_synthesis_flow.tsk | 50 + .../v2018.1/tasks/quartus_place_and_route.tsk | 39 + .../v2018.1/tasks/quartus_prime_import.tsk | 39 + .../v2018.1/tasks/quartus_programmer.tsk | 39 + .../v2018.1/tasks/quartus_synthesis.tsk | 94 + .../v2018.1/tasks/quartus_synthesis_flow.tsk | 50 + .../tasks/quartus_synthesis_invoke.tsk | 39 + .../tasks/quartus_synthesis_prepare_data.tsk | 39 + .../v2018.1/tasks/questasim_compile.tsk | 39 + .../v2018.1/tasks/questasim_flow.tsk | 66 + .../v2018.1/tasks/questasim_simulate.tsk | 39 + .../v2018.1/tasks/register_assistant.tsk | 45 + .../v2018.1/tasks/svassistant_flow.tsk | 78 + .../v2018.1/tasks/xilinx_core_generator.tsk | 39 + .../v2018.1/tasks/xilinx_import.tsk | 39 + .../v2018.1/tasks/xilinx_place_and_route.tsk | 39 + .../v2018.1/tasks/xilinx_platform_studio.tsk | 39 + .../v2018.1/tasks/xilinx_synthesis_tool.tsk | 94 + .../tasks/xilinx_synthesis_tool_flow.tsk | 50 + .../v2018.1/tasks/xilinx_vivado_flow.tsk | 72 + .../templates/text_files/new_document.txt | 13 + .../vhdl_architecture/architecture.vhd | 15 + .../templates/vhdl_combined/combined.vhd | 17 + .../vhdl_configuration/configuration.vhd | 19 + .../v2018.1/templates/vhdl_entity/entity.vhd | 15 + .../vhdl_package_body/package_body.vhd | 16 + .../vhdl_package_header/package_header.vhd | 18 + Prefs/hds_user/v2015.2/hds_user_prefs | 6011 ++++++ Prefs/hds_user/v2015.2/tasks/generate.tsk | 41 + .../v2015.2/tasks/modelsim_compile.tsk | 98 + .../hds_user/v2015.2/tasks/modelsim_flow.tsk | 83 + .../v2015.2/tasks/modelsim_simulate.tsk | 96 + .../v2015.2/tasks/prepare_for_synthesis.tsk | 162 + .../tasks/xilinx_project_navigator.tsk | 163 + .../templates/text_files/new_document.txt | 13 + .../vhdl_architecture/architecture.vhd | 15 + .../templates/vhdl_combined/combined.vhd | 17 + .../vhdl_configuration/configuration.vhd | 19 + .../v2015.2/templates/vhdl_entity/entity.vhd | 15 + .../vhdl_package_body/package_body.vhd | 16 + .../vhdl_package_header/package_header.vhd | 18 + Prefs/hds_user/v2018.1/hds_user_prefs | 6826 ++++++ Prefs/hds_user/v2018.1/tasks/generate.tsk | 41 + .../v2018.1/tasks/modelsim_compile.tsk | 98 + .../hds_user/v2018.1/tasks/modelsim_flow.tsk | 83 + .../v2018.1/tasks/modelsim_simulate.tsk | 96 + .../v2018.1/tasks/prepare_for_synthesis.tsk | 162 + .../tasks/xilinx_project_navigator.tsk | 163 + .../templates/text_files/new_document.txt | 13 + .../vhdl_architecture/architecture.vhd | 15 + .../templates/vhdl_combined/combined.vhd | 17 + .../vhdl_configuration/configuration.vhd | 19 + .../v2018.1/templates/vhdl_entity/entity.vhd | 15 + .../vhdl_package_body/package_body.vhd | 16 + .../vhdl_package_header/package_header.vhd | 18 + Prefs/hds_user/v2019.2/hds_user_prefs | 6487 ++++++ Prefs/hds_user/v2019.2/tasks/generate.tsk | 41 + .../v2019.2/tasks/modelsim_compile.tsk | 98 + .../hds_user/v2019.2/tasks/modelsim_flow.tsk | 83 + .../v2019.2/tasks/modelsim_simulate.tsk | 96 + .../v2019.2/tasks/prepare_for_synthesis.tsk | 162 + .../tasks/xilinx_project_navigator.tsk | 163 + .../templates/text_files/new_document.txt | 13 + .../vhdl_architecture/architecture.vhd | 15 + .../templates/vhdl_combined/combined.vhd | 17 + .../vhdl_configuration/configuration.vhd | 19 + .../v2019.2/templates/vhdl_entity/entity.vhd | 15 + .../vhdl_package_body/package_body.vhd | 16 + .../vhdl_package_header/package_header.vhd | 18 + README.md | 93 + Scripts/cleanGenerated.bash | 38 + Scripts/cleanGenerated.bat | 42 + Scripts/cleanScratch.bat | 38 + Scripts/hdlDesigner.bash | 154 + Scripts/hdlDesigner.bat | 246 + Scripts/searchPaths.bat | 157 + Scripts/start_libero.pl | 37 + Scripts/trimLibs.pl | 161 + Scripts/update_ise.pl | 91 + Scripts/update_libero.pl | 107 + Simulation/cursor.do | 43 + Simulation/divider.do | 21 + Simulation/lcdFont.txt | 128 + Simulation/positionCounter.do | 30 + Simulation/pulseWidthModulator.do | 21 + Simulation/rampCounter.do | 20 + doc/Board/cursor-chariot-assembly.pdf | 11693 ++++++++++ doc/Board/cursor-power-circuit.pdf | Bin 0 -> 64986 bytes doc/Board/fpga_ebs-v2_2-schematics.pdf | Bin 0 -> 298277 bytes doc/Board/fpga_ebs-v2_2.jpg | Bin 0 -> 677551 bytes doc/Board/fpga_pp_heb-lcd-v2-schematic.pdf | Bin 0 -> 47694 bytes doc/Board/fpga_pp_heb-lcd_v2.jpg | Bin 0 -> 524869 bytes doc/Components/cursor_L6207N.pdf | Bin 0 -> 397272 bytes doc/Components/cursor_encoder_module.pdf | Bin 0 -> 242655 bytes .../cursor_lcd_controller_st7565r.pdf | Bin 0 -> 1620419 bytes doc/Components/cursor_lcd_dogm132-5e.pdf | Bin 0 -> 652088 bytes doc/Components/fpga_oscillator_66MHz.pdf | Bin 0 -> 1352313 bytes doc/Components/fpga_spartan_3E.pdf | Bin 0 -> 5489182 bytes doc/How_To_Programm_FPGA.pdf | Bin 0 -> 506640 bytes doc/cursor-range-position-diagram.pdf | Bin 0 -> 9397 bytes doc/cursor-toplevel-empty.pdf | Bin 0 -> 12004 bytes doc/evaluation-bewertung-cursor.pdf | Bin 0 -> 219482 bytes doc/lcd-explanations.pdf | Bin 0 -> 352637 bytes eln_cursor.bash | 32 + eln_cursor.bat | 76 + img/ELN_cursor.gif | Bin 0 -> 85869 bytes img/ELN_cursor.png | Bin 0 -> 25356 bytes img/ELN_cursor.svg | 119 + img/eln_labs_deployment-students.png | Bin 0 -> 1616331 bytes img/screenshot.png | Bin 0 -> 25091 bytes 961 files changed, 501515 insertions(+) create mode 100644 .gitignore create mode 100644 Board/concat/eln_cursor.ucf create mode 100644 Board/hds/.hdlsidedata/_fpga_cursor_entity.vhg._fpf create mode 100644 Board/hds/.hdlsidedata/_fpga_cursor_struct.vhg._fpf create mode 100644 Board/hds/@f@p@g@a_cursor/struct.bd create mode 100644 Board/hds/@f@p@g@a_cursor/symbol.sb create mode 100644 Board/hds/_fpga_cursor._epf create mode 100644 Board/ise/eln_cursor.xise create mode 100644 Board/ise/fpga_cursor-buttonsV1.bit create mode 100644 Board/ise/fpga_cursor-buttonsV1.mcs create mode 100644 Board/ise/fpga_cursor-buttonsV2.bit create mode 100644 Board/ise/fpga_cursor-buttonsV2.mcs create mode 100644 Cursor/hds/.hdlsidedata/_cursorcircuit_entity.vhg._fpf create mode 100644 Cursor/hds/.hdlsidedata/_cursorcircuit_struct.vhg._fpf create mode 100644 Cursor/hds/.hdlsidedata/_lcddisplay_entity.vhg._fpf create mode 100644 Cursor/hds/.hdlsidedata/_lcddisplay_fsm.vhg._fpf create mode 100644 Cursor/hds/_cursorcircuit._epf create mode 100644 Cursor/hds/cursor@circuit/student@version.bd create mode 100644 Cursor/hds/cursor@circuit/symbol.sb create mode 100644 Cursor/hds/lcd@display/fsm.sm create mode 100644 Cursor/hds/lcd@display/symbol.sb create mode 100644 Cursor_test/hdl/cursor_tester_test.vhd create mode 100644 Cursor_test/hdl/divider_tester_test.vhd create mode 100644 Cursor_test/hdl/positioncounter_tester_generatedinstance.vhd create mode 100644 Cursor_test/hds/.hdlsidedata/_cursor_tb_entity.vhg._fpf create mode 100644 Cursor_test/hds/.hdlsidedata/_cursor_tb_struct.vhg._fpf create mode 100644 Cursor_test/hds/.hdlsidedata/_cursor_tester_entity.vhg._fpf create mode 100644 Cursor_test/hds/.hdlsidedata/_cursor_tester_test.vhd._fpf create mode 100644 Cursor_test/hds/.hdlsidedata/_divider_tester_test.vhd._fpf create mode 100644 Cursor_test/hds/.hdlsidedata/_positioncounter_tester_generatedinstance.vhd._fpf create mode 100644 Cursor_test/hds/_cursor_tb._epf create mode 100644 Cursor_test/hds/_divider_tb._epf create mode 100644 Cursor_test/hds/_positioncounter_tb._epf create mode 100644 Cursor_test/hds/cursor_tb/struct.bd create mode 100644 Cursor_test/hds/cursor_tb/symbol.sb create mode 100644 Cursor_test/hds/cursor_tester/interface create mode 100644 Cursor_test/hds/cursor_tester/test.vhd create mode 100644 Cursor_test/hds/divider_tb/struct.bd create mode 100644 Cursor_test/hds/divider_tb/symbol.sb create mode 100644 Cursor_test/hds/divider_tester/interface create mode 100644 Cursor_test/hds/divider_tester/test.vhd create mode 100644 Cursor_test/hds/position@counter_tb/struct.bd create mode 100644 Cursor_test/hds/position@counter_tb/symbol.sb create mode 100644 Cursor_test/hds/position@counter_tester/interface create mode 100644 Cursor_test/hds/position@counter_tester/test.vhd create mode 100644 Cursor_test/hds/pulse@width@modulator_tb/struct.bd create mode 100644 Cursor_test/hds/pulse@width@modulator_tb/symbol.sb create mode 100644 Cursor_test/hds/pulse@width@modulator_tester/interface create mode 100644 Cursor_test/hds/pulse@width@modulator_tester/test.vhd create mode 100644 LICENSE create mode 100644 Libs/Common/hdl/blinker_arch.vhd create mode 100644 Libs/Common/hdl/commonLib.vhd create mode 100644 Libs/Common/hdl/commonLib_body.vhd create mode 100644 Libs/Common/hdl/debouncerULogicVector_RTL.vhd create mode 100644 Libs/Common/hdl/debouncer_RTL.vhd create mode 100644 Libs/Common/hdl/edgeDetector_rtl.vhd create mode 100644 Libs/Common/hdl/rotaryToUnsigned_rtl.vhd create mode 100644 Libs/Common/hdl/spikeFilter_RTL.vhd create mode 100644 Libs/Common/hdl/toggler_RTL.vhd create mode 100644 Libs/Common/hds/.hdlsidedata/_blinker_arch.vhd._fpf create mode 100644 Libs/Common/hds/.hdlsidedata/_blinker_entity.vhg._fpf create mode 100644 Libs/Common/hds/.hdlsidedata/_commonLib.vhd._fpf create mode 100644 Libs/Common/hds/.hdlsidedata/_commonLib_body.vhd._fpf create mode 100644 Libs/Common/hds/.hdlsidedata/_debounce_rtl.vhd._fpf create mode 100644 Libs/Common/hds/.hdlsidedata/_debouncerULogicVector_RTL.vhd._fpf create mode 100644 Libs/Common/hds/.hdlsidedata/_debouncer_RTL.vhd._fpf create mode 100644 Libs/Common/hds/.hdlsidedata/_debouncer_entity.vhg._fpf create mode 100755 Libs/Common/hds/.hdlsidedata/_debouncerulogicvector_entity.vhd._fpf create mode 100644 Libs/Common/hds/.hdlsidedata/_debouncerulogicvector_entity.vhg._fpf create mode 100644 Libs/Common/hds/.hdlsidedata/_edgeDetector_rtl.vhd._fpf create mode 100755 Libs/Common/hds/.hdlsidedata/_edgedetector_entity.vhd._fpf create mode 100644 Libs/Common/hds/.hdlsidedata/_rotaryToUnsigned_rtl.vhd._fpf create mode 100755 Libs/Common/hds/.hdlsidedata/_rotarytounsigned_entity.vhd._fpf create mode 100644 Libs/Common/hds/.hdlsidedata/_spikeFilter_RTL.vhd._fpf create mode 100755 Libs/Common/hds/.hdlsidedata/_spikefilter_entity.vhd._fpf create mode 100644 Libs/Common/hds/.hdlsidedata/_spikefilter_entity.vhg._fpf create mode 100644 Libs/Common/hds/.hdlsidedata/_toggler_RTL.vhd._fpf create mode 100644 Libs/Common/hds/.hdlsidedata/_toggler_entity.vhg._fpf create mode 100644 Libs/Common/hds/_blinker._epf create mode 100644 Libs/Common/hds/_debounce._epf create mode 100644 Libs/Common/hds/_debouncer._epf create mode 100755 Libs/Common/hds/_edgedetector._epf create mode 100755 Libs/Common/hds/_rotarytounsigned._epf create mode 100644 Libs/Common/hds/_toggler._epf create mode 100644 Libs/Common/hds/blinker/symbol.sb create mode 100644 Libs/Common/hds/debouncer/symbol.sb create mode 100644 Libs/Common/hds/debouncer@u@logic@vector/symbol.sb create mode 100644 Libs/Common/hds/edge@detector/symbol.sb create mode 100644 Libs/Common/hds/rotary@to@unsigned/symbol.sb create mode 100644 Libs/Common/hds/spike@filter/symbol.sb create mode 100644 Libs/Common/hds/toggler/symbol.sb create mode 100644 Libs/Common_test/hdl/clockGenerator_sim.vhd create mode 100644 Libs/Common_test/hdl/commonLib_tb_test.vhd create mode 100644 Libs/Common_test/hdl/debouncerULogicVector_tester_RTL.vhd create mode 100644 Libs/Common_test/hdl/debouncer_tester_test.vhd create mode 100644 Libs/Common_test/hdl/requiredBitNb.txt create mode 100644 Libs/Common_test/hdl/rotaryToUnsigned_tester_test.vhd create mode 100644 Libs/Common_test/hdl/spikeFilter_tester_test.vhd create mode 100644 Libs/Common_test/hdl/testUtils_pkg.vhd create mode 100644 Libs/Common_test/hdl/testUtils_pkg_body.vhd create mode 100644 Libs/Common_test/hdl/testUtils_tb_test.vhd create mode 100644 Libs/Common_test/hdl/toggler_tester_test.vhd create mode 100644 Libs/Common_test/hds/.hdlsidedata/_clockGenerator_sim.vhd._fpf create mode 100644 Libs/Common_test/hds/.hdlsidedata/_commonLib_tb_test.vhd._fpf create mode 100644 Libs/Common_test/hds/.hdlsidedata/_debouncerULogicVector_tester_RTL.vhd._fpf create mode 100644 Libs/Common_test/hds/.hdlsidedata/_debouncer_tester_test.vhd._fpf create mode 100644 Libs/Common_test/hds/.hdlsidedata/_rotaryToUnsigned_tester_test.vhd._fpf create mode 100755 Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tb_entity.vhd._fpf create mode 100755 Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tb_struct.vhd._fpf create mode 100755 Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tester_entity.vhd._fpf create mode 100644 Libs/Common_test/hds/.hdlsidedata/_spikeFilter_tester_test.vhd._fpf create mode 100644 Libs/Common_test/hds/.hdlsidedata/_testUtils_pkg.vhd._fpf create mode 100644 Libs/Common_test/hds/.hdlsidedata/_testUtils_pkg_body.vhd._fpf create mode 100644 Libs/Common_test/hds/.hdlsidedata/_testUtils_tb_test.vhd._fpf create mode 100644 Libs/Common_test/hds/.hdlsidedata/_toggler_tester_test.vhd._fpf create mode 100644 Libs/Common_test/hds/_clockgenerator._epf create mode 100644 Libs/Common_test/hds/_commonlib_tb._epf create mode 100644 Libs/Common_test/hds/_debouncer_tb._epf create mode 100644 Libs/Common_test/hds/_debouncer_tester._epf create mode 100644 Libs/Common_test/hds/_debouncerulogicvector_tb._epf create mode 100644 Libs/Common_test/hds/_debouncerulogicvector_tester._epf create mode 100755 Libs/Common_test/hds/_rotarytounsigned_tb._epf create mode 100755 Libs/Common_test/hds/_rotarytounsigned_tester._epf create mode 100644 Libs/Common_test/hds/_spikefilter_tb._epf create mode 100644 Libs/Common_test/hds/_testutils_tb._epf create mode 100644 Libs/Common_test/hds/_toggler_tb._epf create mode 100644 Libs/Common_test/hds/_toggler_tester._epf create mode 100644 Libs/Common_test/hds/clock@generator/symbol.sb create mode 100644 Libs/Common_test/hds/common@lib_tb/symbol.sb create mode 100644 Libs/Common_test/hds/debouncer@u@logic@vector_tb/struct.bd create mode 100644 Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb create mode 100644 Libs/Common_test/hds/debouncer@u@logic@vector_tester/interface create mode 100644 Libs/Common_test/hds/debouncer_tb/struct.bd create mode 100644 Libs/Common_test/hds/debouncer_tb/symbol.sb create mode 100644 Libs/Common_test/hds/debouncer_tester/interface create mode 100644 Libs/Common_test/hds/rotary@to@unsigned_tb/struct.bd create mode 100644 Libs/Common_test/hds/rotary@to@unsigned_tb/symbol.sb create mode 100644 Libs/Common_test/hds/rotary@to@unsigned_tester/interface create mode 100644 Libs/Common_test/hds/spike@filter_tb/struct.bd create mode 100644 Libs/Common_test/hds/spike@filter_tb/symbol.sb create mode 100644 Libs/Common_test/hds/spike@filter_tester/interface create mode 100644 Libs/Common_test/hds/test@utils_tb/symbol.sb create mode 100644 Libs/Common_test/hds/toggler_tb/struct.bd create mode 100644 Libs/Common_test/hds/toggler_tb/symbol.sb create mode 100644 Libs/Common_test/hds/toggler_tester/interface create mode 100644 Libs/Common_test/sim/debouncerULogicVector.do create mode 100644 Libs/Common_test/sim/rotaryToUnsigned.do create mode 100644 Libs/Common_test/sim/spikeFilter.do create mode 100644 Libs/Gates/hdl/and2_sim.vhd create mode 100644 Libs/Gates/hdl/and2inv1_sim.vhd create mode 100644 Libs/Gates/hdl/and2inv2_sim.vhd create mode 100644 Libs/Gates/hdl/and3_sim.vhd create mode 100644 Libs/Gates/hdl/and3inv1_sim.vhd create mode 100644 Libs/Gates/hdl/and3inv2_sim.vhd create mode 100644 Libs/Gates/hdl/and3inv3_sim.vhd create mode 100644 Libs/Gates/hdl/and4_sim.vhd create mode 100644 Libs/Gates/hdl/and4inv1_sim.vhd create mode 100644 Libs/Gates/hdl/and4inv2_sim.vhd create mode 100644 Libs/Gates/hdl/and4inv3_sim.vhd create mode 100644 Libs/Gates/hdl/and4inv4_sim.vhd create mode 100644 Libs/Gates/hdl/and5_sim.vhd create mode 100644 Libs/Gates/hdl/bufferLogicVector_sim.vhd create mode 100644 Libs/Gates/hdl/bufferSigned_sim.vhd create mode 100644 Libs/Gates/hdl/bufferULogicVector_sim.vhd create mode 100644 Libs/Gates/hdl/bufferULogic_sim.vhd create mode 100644 Libs/Gates/hdl/bufferUnsigned_sim.vhd create mode 100644 Libs/Gates/hdl/demux1to2_sim.vhd create mode 100644 Libs/Gates/hdl/demux1to4_sim.vhd create mode 100644 Libs/Gates/hdl/gates_pkg.vhd create mode 100644 Libs/Gates/hdl/inverter_sim.vhd create mode 100644 Libs/Gates/hdl/logic0_sim.vhd create mode 100644 Libs/Gates/hdl/logic1_sim.vhd create mode 100644 Libs/Gates/hdl/mux16to1_sim.vhd create mode 100644 Libs/Gates/hdl/mux2to1Signed_sim.vhd create mode 100644 Libs/Gates/hdl/mux2to1ULogicVector_sim.vhd create mode 100644 Libs/Gates/hdl/mux2to1Unsigned_sim.vhd create mode 100644 Libs/Gates/hdl/mux2to1_sim.vhd create mode 100644 Libs/Gates/hdl/mux4to1Signed_sim.vhd create mode 100644 Libs/Gates/hdl/mux4to1ULogicVector_sim.vhd create mode 100644 Libs/Gates/hdl/mux4to1Unsigned_sim.vhd create mode 100644 Libs/Gates/hdl/mux4to1_sim.vhd create mode 100644 Libs/Gates/hdl/nand2_sim.vhd create mode 100644 Libs/Gates/hdl/nor2_m_sim.vhd create mode 100644 Libs/Gates/hdl/nor2_sim.vhd create mode 100644 Libs/Gates/hdl/onesSigned_sim.vhd create mode 100644 Libs/Gates/hdl/onesUnsigned_sim.vhd create mode 100644 Libs/Gates/hdl/or2_m_sim.vhd create mode 100644 Libs/Gates/hdl/or2_sim.vhd create mode 100644 Libs/Gates/hdl/or2inv1_sim.vhd create mode 100644 Libs/Gates/hdl/or2inv2_sim.vhd create mode 100644 Libs/Gates/hdl/or3_m_sim.vhd create mode 100644 Libs/Gates/hdl/or3_sim.vhd create mode 100644 Libs/Gates/hdl/or4_m_sim.vhd create mode 100644 Libs/Gates/hdl/or4_sim.vhd create mode 100644 Libs/Gates/hdl/or5_m_sim.vhd create mode 100644 Libs/Gates/hdl/or5_sim.vhd create mode 100644 Libs/Gates/hdl/transLogUlog_sim.vhd create mode 100644 Libs/Gates/hdl/transSignedUlog_sim.vhd create mode 100644 Libs/Gates/hdl/transSignedUnsigned_sim.vhd create mode 100644 Libs/Gates/hdl/transUlogSigned_sim.vhd create mode 100644 Libs/Gates/hdl/transUlogUnsigned_sim.vhd create mode 100644 Libs/Gates/hdl/transUnsignedSigned_sim.vhd create mode 100644 Libs/Gates/hdl/transUnsignedUlog_sim.vhd create mode 100644 Libs/Gates/hdl/xnor2_sim.vhd create mode 100644 Libs/Gates/hdl/xor2_sim.vhd create mode 100644 Libs/Gates/hdl/xor3_sim.vhd create mode 100644 Libs/Gates/hdl/xor4_sim.vhd create mode 100644 Libs/Gates/hdl/xor5_sim.vhd create mode 100644 Libs/Gates/hdl/zeroSigned_sim.vhd create mode 100644 Libs/Gates/hdl/zeroUnsigned_sim.vhd create mode 100644 Libs/Gates/hds/.hdlsidedata/_and2_entity.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and2_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and2_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and2inv1_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and2inv1_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and2inv2_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and2inv2_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and3_entity.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and3_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and3_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and3inv1_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and3inv1_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and3inv2_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and3inv2_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and3inv3_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and3inv3_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and4_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and4_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and4inv1_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and4inv1_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and4inv2_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and4inv2_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and4inv3_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and4inv3_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and4inv4_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and4inv4_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and5_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_and5_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_bufferLogicVector_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_bufferSigned_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_bufferULogicVector_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_bufferULogic_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_bufferUnsigned_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_bufferlogicvector_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_buffersigned_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_bufferulogic_entity.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_bufferulogic_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_bufferulogicvector_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_bufferunsigned_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_demux1to2_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_demux1to2_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_demux1to4_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_demux1to4_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_gates_pkg.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_inverter_entity.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_inverter_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_inverter_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_logic0_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_logic0_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_logic1_entity.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_logic1_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_logic1_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_mux16to1_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_mux16to1_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_mux2to1Signed_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_mux2to1ULogicVector_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_mux2to1Unsigned_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_mux2to1_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_mux2to1_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_mux2to1signed_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_mux2to1ulogicvector_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_mux2to1unsigned_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_mux4to1Signed_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_mux4to1ULogicVector_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_mux4to1Unsigned_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_mux4to1_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_mux4to1_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_mux4to1signed_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_mux4to1ulogicvector_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_mux4to1unsigned_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_nand2_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_nand2_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_nor2_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_nor2_m_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_nor2_m_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_nor2_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_onesSigned_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_onesUnsigned_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_onessigned_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_onesunsigned_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_or2_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_or2_m_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_or2_m_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_or2_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_or2inv1_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_or2inv1_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_or2inv2_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_or2inv2_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_or3_entity.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_or3_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_or3_m_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_or3_m_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_or3_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_or4_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_or4_m_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_or4_m_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_or4_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_or5_entity.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_or5_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_or5_m_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_or5_m_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_or5_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_transLogUlog_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_transSignedUlog_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_transSignedUnsigned_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_transUlogSigned_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_transUlogUnsigned_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_transUnsignedSigned_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_transUnsignedUlog_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_translogulog_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_transsignedulog_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_transsignedunsigned_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_transulogsigned_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_transulogunsigned_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_transunsignedsigned_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_transunsignedulog_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_xnor2_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_xnor2_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_xor2_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_xor2_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_xor3_entity.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_xor3_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_xor3_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_xor4_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_xor4_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_xor5_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_xor5_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_zeroSigned_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_zeroUnsigned_sim.vhd._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_zerosigned_entity.vhg._fpf create mode 100644 Libs/Gates/hds/.hdlsidedata/_zerounsigned_entity.vhg._fpf create mode 100644 Libs/Gates/hds/_and2._epf create mode 100644 Libs/Gates/hds/_and5._epf create mode 100644 Libs/Gates/hds/_bufferlogicvector._epf create mode 100644 Libs/Gates/hds/_buffersigned._epf create mode 100644 Libs/Gates/hds/_bufferulogic._epf create mode 100644 Libs/Gates/hds/_bufferulogicvector._epf create mode 100644 Libs/Gates/hds/_bufferunsigned._epf create mode 100644 Libs/Gates/hds/_inverter._epf create mode 100644 Libs/Gates/hds/_logic0._epf create mode 100644 Libs/Gates/hds/_logic1._epf create mode 100644 Libs/Gates/hds/_mux16to1._epf create mode 100644 Libs/Gates/hds/_mux2to1._epf create mode 100644 Libs/Gates/hds/_mux2to1signed._epf create mode 100644 Libs/Gates/hds/_mux2to1ulogicvector._epf create mode 100644 Libs/Gates/hds/_mux2to1unsigned._epf create mode 100644 Libs/Gates/hds/_mux4to1._epf create mode 100644 Libs/Gates/hds/_mux4to1signed._epf create mode 100644 Libs/Gates/hds/_mux4to1ulogicvector._epf create mode 100644 Libs/Gates/hds/_mux4to1unsigned._epf create mode 100644 Libs/Gates/hds/_onessigned._epf create mode 100644 Libs/Gates/hds/_onesunsigned._epf create mode 100644 Libs/Gates/hds/_or3_m._epf create mode 100644 Libs/Gates/hds/_translogulog._epf create mode 100644 Libs/Gates/hds/_transsignedulog._epf create mode 100644 Libs/Gates/hds/_transsignedunsigned._epf create mode 100644 Libs/Gates/hds/_transulogsigned._epf create mode 100644 Libs/Gates/hds/_transulogunsigned._epf create mode 100644 Libs/Gates/hds/_transunsignedsigned._epf create mode 100644 Libs/Gates/hds/_transunsignedulog._epf create mode 100644 Libs/Gates/hds/_xnor2._epf create mode 100644 Libs/Gates/hds/_zerosigned._epf create mode 100644 Libs/Gates/hds/_zerounsigned._epf create mode 100644 Libs/Gates/hds/and2/symbol.sb create mode 100644 Libs/Gates/hds/and2inv1/symbol.sb create mode 100644 Libs/Gates/hds/and2inv2/symbol.sb create mode 100644 Libs/Gates/hds/and3/symbol.sb create mode 100644 Libs/Gates/hds/and3inv1/symbol.sb create mode 100644 Libs/Gates/hds/and3inv2/symbol.sb create mode 100644 Libs/Gates/hds/and3inv3/symbol.sb create mode 100644 Libs/Gates/hds/and4/symbol.sb create mode 100644 Libs/Gates/hds/and4inv1/symbol.sb create mode 100644 Libs/Gates/hds/and4inv2/symbol.sb create mode 100644 Libs/Gates/hds/and4inv3/symbol.sb create mode 100644 Libs/Gates/hds/and4inv4/symbol.sb create mode 100644 Libs/Gates/hds/and5/symbol.sb create mode 100644 Libs/Gates/hds/buffer@logic@vector/symbol.sb create mode 100644 Libs/Gates/hds/buffer@signed/symbol.sb create mode 100644 Libs/Gates/hds/buffer@ulogic/symbol.sb create mode 100644 Libs/Gates/hds/buffer@ulogic@vector/symbol.sb create mode 100644 Libs/Gates/hds/buffer@unsigned/symbol.sb create mode 100644 Libs/Gates/hds/demux1to2/symbol.sb create mode 100644 Libs/Gates/hds/demux1to4/symbol.sb create mode 100644 Libs/Gates/hds/inverter/symbol.sb create mode 100644 Libs/Gates/hds/logic0/symbol.sb create mode 100644 Libs/Gates/hds/logic1/symbol.sb create mode 100644 Libs/Gates/hds/mux16to1/symbol.sb create mode 100644 Libs/Gates/hds/mux2to1/symbol.sb create mode 100644 Libs/Gates/hds/mux2to1@signed/symbol.sb create mode 100644 Libs/Gates/hds/mux2to1@u@logic@vector/symbol.sb create mode 100644 Libs/Gates/hds/mux2to1@unsigned/symbol.sb create mode 100644 Libs/Gates/hds/mux4to1/symbol.sb create mode 100644 Libs/Gates/hds/mux4to1@signed/symbol.sb create mode 100644 Libs/Gates/hds/mux4to1@u@logic@vector/symbol.sb create mode 100644 Libs/Gates/hds/mux4to1@unsigned/symbol.sb create mode 100644 Libs/Gates/hds/nand2/symbol.sb create mode 100644 Libs/Gates/hds/nor2/symbol.sb create mode 100644 Libs/Gates/hds/nor2_m/symbol.sb create mode 100644 Libs/Gates/hds/ones@signed/symbol.sb create mode 100644 Libs/Gates/hds/ones@unsigned/symbol.sb create mode 100644 Libs/Gates/hds/or2/symbol.sb create mode 100644 Libs/Gates/hds/or2_m/symbol.sb create mode 100644 Libs/Gates/hds/or2inv1/symbol.sb create mode 100644 Libs/Gates/hds/or2inv2/symbol.sb create mode 100644 Libs/Gates/hds/or3/symbol.sb create mode 100644 Libs/Gates/hds/or3_m/symbol.sb create mode 100644 Libs/Gates/hds/or4/symbol.sb create mode 100644 Libs/Gates/hds/or4_m/symbol.sb create mode 100644 Libs/Gates/hds/or5/symbol.sb create mode 100644 Libs/Gates/hds/or5_m/symbol.sb create mode 100644 Libs/Gates/hds/trans@log@ulog/symbol.sb create mode 100644 Libs/Gates/hds/trans@signed@ulog/symbol.sb create mode 100644 Libs/Gates/hds/trans@signed@unsigned/symbol.sb create mode 100644 Libs/Gates/hds/trans@ulog@signed/symbol.sb create mode 100644 Libs/Gates/hds/trans@ulog@unsigned/symbol.sb create mode 100644 Libs/Gates/hds/trans@unsigned@signed/symbol.sb create mode 100644 Libs/Gates/hds/trans@unsigned@ulog/symbol.sb create mode 100644 Libs/Gates/hds/xnor2/symbol.sb create mode 100644 Libs/Gates/hds/xor2/symbol.sb create mode 100644 Libs/Gates/hds/xor3/symbol.sb create mode 100644 Libs/Gates/hds/xor4/symbol.sb create mode 100644 Libs/Gates/hds/xor5/symbol.sb create mode 100644 Libs/Gates/hds/zero@signed/symbol.sb create mode 100644 Libs/Gates/hds/zero@unsigned/symbol.sb create mode 100644 Libs/IO/hdl/tristateBufferSigned_sim.vhd create mode 100644 Libs/IO/hdl/tristateBufferULogicVector_sim.vhd create mode 100644 Libs/IO/hdl/tristateBufferULogic_sim.vhd create mode 100644 Libs/IO/hdl/tristateBufferUnsigned_sim.vhd create mode 100644 Libs/IO/hds/.hdlsidedata/_tristateBufferSigned_sim.vhd._fpf create mode 100644 Libs/IO/hds/.hdlsidedata/_tristateBufferULogicVector_sim.vhd._fpf create mode 100644 Libs/IO/hds/.hdlsidedata/_tristateBufferULogic_sim.vhd._fpf create mode 100644 Libs/IO/hds/.hdlsidedata/_tristateBufferUnsigned_sim.vhd._fpf create mode 100644 Libs/IO/hds/.hdlsidedata/_tristatebuffersigned_entity.vhg._fpf create mode 100644 Libs/IO/hds/.hdlsidedata/_tristatebufferulogic_entity.vhg._fpf create mode 100644 Libs/IO/hds/.hdlsidedata/_tristatebufferulogicvector_entity.vhg._fpf create mode 100644 Libs/IO/hds/.hdlsidedata/_tristatebufferunsigned_entity.vhg._fpf create mode 100644 Libs/IO/hds/_tristatebuffersigned._epf create mode 100644 Libs/IO/hds/_tristatebufferulogicvector._epf create mode 100644 Libs/IO/hds/tristate@buffer@signed/symbol.sb create mode 100644 Libs/IO/hds/tristate@buffer@u@logic/symbol.sb create mode 100644 Libs/IO/hds/tristate@buffer@u@logic@vector/symbol.sb create mode 100644 Libs/IO/hds/tristate@buffer@unsigned/symbol.sb create mode 100644 Libs/Lcd/hdl/helloWorld_RTL.vhd create mode 100644 Libs/Lcd/hdl/lcdCharacterEncoder_RTL.vhd create mode 100644 Libs/Lcd/hdl/lcdInitializer_RTL.vhd create mode 100644 Libs/Lcd/hdl/lcdSerializer_RTL.vhd create mode 100644 Libs/Lcd/hds/.hdlsidedata/_helloWorld_RTL.vhd._fpf create mode 100644 Libs/Lcd/hds/.hdlsidedata/_helloworld_entity.vhg._fpf create mode 100644 Libs/Lcd/hds/.hdlsidedata/_lcdCharacterEncoder_RTL.vhd._fpf create mode 100644 Libs/Lcd/hds/.hdlsidedata/_lcdInitializer_RTL.vhd._fpf create mode 100644 Libs/Lcd/hds/.hdlsidedata/_lcdSerializer_RTL.vhd._fpf create mode 100644 Libs/Lcd/hds/.hdlsidedata/_lcdcharacterencoder_entity.vhg._fpf create mode 100644 Libs/Lcd/hds/.hdlsidedata/_lcdcontroller_entity.vhg._fpf create mode 100644 Libs/Lcd/hds/.hdlsidedata/_lcdcontroller_struct.vhg._fpf create mode 100644 Libs/Lcd/hds/.hdlsidedata/_lcdinitializer_entity.vhg._fpf create mode 100644 Libs/Lcd/hds/.hdlsidedata/_lcdserializer_entity.vhg._fpf create mode 100644 Libs/Lcd/hds/_helloworld._epf create mode 100644 Libs/Lcd/hds/_lcdcharacterencoder._epf create mode 100644 Libs/Lcd/hds/_lcdcontroller._epf create mode 100644 Libs/Lcd/hds/_lcddemo._epf create mode 100644 Libs/Lcd/hds/_lcdinitializer._epf create mode 100644 Libs/Lcd/hds/_lcdserializer._epf create mode 100644 Libs/Lcd/hds/hello@world/symbol.sb create mode 100644 Libs/Lcd/hds/lcd@character@encoder/symbol.sb create mode 100644 Libs/Lcd/hds/lcd@controller/struct.bd create mode 100644 Libs/Lcd/hds/lcd@controller/symbol.sb create mode 100644 Libs/Lcd/hds/lcd@demo/struct.bd create mode 100644 Libs/Lcd/hds/lcd@demo/symbol.sb create mode 100644 Libs/Lcd/hds/lcd@initializer/symbol.sb create mode 100644 Libs/Lcd/hds/lcd@serializer/symbol.sb create mode 100644 Libs/Lcd_test/LCD_explanation.txt create mode 100644 Libs/Lcd_test/dogm132-5e.pdf create mode 100644 Libs/Lcd_test/hdl/lcdController_tester_test.vhd create mode 100644 Libs/Lcd_test/hdl/lcdDemo_tester_test.vhd create mode 100644 Libs/Lcd_test/hdl/lcdSerializer_tester_test.vhd create mode 100644 Libs/Lcd_test/hds/.hdlsidedata/_lcdController_tester_test.vhd._fpf create mode 100644 Libs/Lcd_test/hds/.hdlsidedata/_lcdDemo_tester_test.vhd._fpf create mode 100644 Libs/Lcd_test/hds/.hdlsidedata/_lcdSerializer_tester_test.vhd._fpf create mode 100644 Libs/Lcd_test/hds/.hdlsidedata/lcdController_tester_test.vhd.info/msimSimulationReplay.sh create mode 100644 Libs/Lcd_test/hds/_lcdcontroller_tb._epf create mode 100644 Libs/Lcd_test/hds/_lcdcontroller_tester._epf create mode 100644 Libs/Lcd_test/hds/_lcddemo_tb._epf create mode 100644 Libs/Lcd_test/hds/_lcddemo_tester._epf create mode 100644 Libs/Lcd_test/hds/_lcdserializer_tb._epf create mode 100644 Libs/Lcd_test/hds/_lcdserializer_tester._epf create mode 100644 Libs/Lcd_test/hds/lcd@controller_tb/struct.bd create mode 100644 Libs/Lcd_test/hds/lcd@controller_tb/struct.bd.info/msimSimulationReplay.sh create mode 100644 Libs/Lcd_test/hds/lcd@controller_tb/symbol.sb create mode 100644 Libs/Lcd_test/hds/lcd@controller_tester/interface create mode 100644 Libs/Lcd_test/hds/lcd@demo_tb/struct.bd create mode 100644 Libs/Lcd_test/hds/lcd@demo_tb/struct.bd.info/msimSimulationReplay.sh create mode 100644 Libs/Lcd_test/hds/lcd@demo_tb/symbol.sb create mode 100644 Libs/Lcd_test/hds/lcd@demo_tester/interface create mode 100644 Libs/Lcd_test/hds/lcd@serializer_tb/struct.bd create mode 100644 Libs/Lcd_test/hds/lcd@serializer_tb/struct.bd.info/msimSimulationReplay.sh create mode 100644 Libs/Lcd_test/hds/lcd@serializer_tb/symbol.sb create mode 100644 Libs/Lcd_test/hds/lcd@serializer_tester/interface create mode 100644 Libs/Lcd_test/sim/lcdController.do create mode 100644 Libs/Lcd_test/sim/lcdDemo.do create mode 100644 Libs/Lcd_test/sim/lcdFont.txt create mode 100644 Libs/Lcd_test/sim/lcdSerializer.do create mode 100644 Libs/Lcd_test/st7565r.pdf create mode 100644 Libs/Memory/hdl/bramDualportWritefirst_bhv.vhd create mode 100644 Libs/Memory/hdl/bram_bhv.vhd create mode 100644 Libs/Memory/hdl/bram_dualport_writefirst.vhd create mode 100644 Libs/Memory/hdl/fifoBridgeRxToTxBuswidthAdaptionRxbigger_behavioral.vhd create mode 100644 Libs/Memory/hdl/fifoBridgeRxToTxBuswidthAdaptionTxbigger_behavioral.vhd create mode 100644 Libs/Memory/hdl/fifo_bram_rtl.vhd create mode 100644 Libs/Memory/hdl/fifo_minimal.vhd create mode 100644 Libs/Memory/hdl/fifo_oneRegister_rtl.vhd create mode 100644 Libs/Memory/hdl/fifo_pim.vhd create mode 100644 Libs/Memory/hdl/fifo_rtl_minimal.vhd create mode 100644 Libs/Memory/hdl/fifobridgerxtotx_rtl.vhd create mode 100644 Libs/Memory/hdl/flashController_RTL.vhd create mode 100644 Libs/Memory/hdl/sdramControllerBuildAddress_RTL.vhd create mode 100644 Libs/Memory/hdl/sdramControllerRefreshCounter_RTL.vhd create mode 100644 Libs/Memory/hdl/sdramControllerSR_RTL.vhd create mode 100644 Libs/Memory/hdl/sdramControllerSampleDataIn_RTL.vhd create mode 100644 Libs/Memory/hdl/sdramControllerStoreData_RTL.vhd create mode 100644 Libs/Memory/hdl/sdramControllerTimingsShiftRegister_RTL.vhd create mode 100644 Libs/Memory/hds/.hdlsidedata/_bramDualportWritefirst_bhv.vhd._fpf create mode 100755 Libs/Memory/hds/.hdlsidedata/_bram_bhv.vhd._fpf create mode 100644 Libs/Memory/hds/.hdlsidedata/_bram_dualport_writefirst.vhd._fpf create mode 100755 Libs/Memory/hds/.hdlsidedata/_bramdualportwritefirst_entity.vhd._fpf create mode 100644 Libs/Memory/hds/.hdlsidedata/_bramdualportwritefirst_entity.vhg._fpf create mode 100644 Libs/Memory/hds/.hdlsidedata/_fifoBridgeRxToTxBuswidthAdaptionRxbigger_behavioral.vhd._fpf create mode 100644 Libs/Memory/hds/.hdlsidedata/_fifoBridgeRxToTxBuswidthAdaptionTxbigger_behavioral.vhd._fpf create mode 100755 Libs/Memory/hds/.hdlsidedata/_fifo_bram_entity.vhd._fpf create mode 100644 Libs/Memory/hds/.hdlsidedata/_fifo_bram_entity.vhg._fpf create mode 100644 Libs/Memory/hds/.hdlsidedata/_fifo_bram_rtl.vhd._fpf create mode 100755 Libs/Memory/hds/.hdlsidedata/_fifo_entity.vhd._fpf create mode 100644 Libs/Memory/hds/.hdlsidedata/_fifo_entity.vhg._fpf create mode 100644 Libs/Memory/hds/.hdlsidedata/_fifo_minimal.vhd._fpf create mode 100644 Libs/Memory/hds/.hdlsidedata/_fifo_oneRegister_rtl.vhd._fpf create mode 100755 Libs/Memory/hds/.hdlsidedata/_fifo_oneregister_entity.vhd._fpf create mode 100644 Libs/Memory/hds/.hdlsidedata/_fifo_oneregister_entity.vhg._fpf create mode 100644 Libs/Memory/hds/.hdlsidedata/_fifo_pim.vhd._fpf create mode 100644 Libs/Memory/hds/.hdlsidedata/_fifo_rtl.vhd._fpf create mode 100644 Libs/Memory/hds/.hdlsidedata/_fifo_rtl_minimal.vhd._fpf create mode 100755 Libs/Memory/hds/.hdlsidedata/_fifo_struct.vhd._fpf create mode 100644 Libs/Memory/hds/.hdlsidedata/_fifo_struct.vhg._fpf create mode 100644 Libs/Memory/hds/.hdlsidedata/_fifobridgerxtotx_rtl.vhd._fpf create mode 100644 Libs/Memory/hds/.hdlsidedata/_flashController_RTL.vhd._fpf create mode 100644 Libs/Memory/hds/.hdlsidedata/_sdramControllerBuildAddress_RTL.vhd._fpf create mode 100644 Libs/Memory/hds/.hdlsidedata/_sdramControllerRefreshCounter_RTL.vhd._fpf create mode 100644 Libs/Memory/hds/.hdlsidedata/_sdramControllerSR_RTL.vhd._fpf create mode 100644 Libs/Memory/hds/.hdlsidedata/_sdramControllerSampleDataIn_RTL.vhd._fpf create mode 100644 Libs/Memory/hds/.hdlsidedata/_sdramControllerStoreData_RTL.vhd._fpf create mode 100644 Libs/Memory/hds/.hdlsidedata/_sdramControllerTimingsShiftRegister_RTL.vhd._fpf create mode 100644 Libs/Memory/hds/@f@i@f@o/struct.bd create mode 100644 Libs/Memory/hds/@f@i@f@o/symbol.sb create mode 100644 Libs/Memory/hds/@f@i@f@o_bram/symbol.sb create mode 100644 Libs/Memory/hds/@f@i@f@o_one@register/symbol.sb create mode 100644 Libs/Memory/hds/_bram._epf create mode 100644 Libs/Memory/hds/_bramdualportwritefirst._epf create mode 100644 Libs/Memory/hds/_fifo._epf create mode 100644 Libs/Memory/hds/_fifo_bram._epf create mode 100644 Libs/Memory/hds/_fifo_oneregister._epf create mode 100644 Libs/Memory/hds/_fifobridge._epf create mode 100644 Libs/Memory/hds/_fifobridgebuswidthadaption._epf create mode 100644 Libs/Memory/hds/_fifobridgerxtotx._epf create mode 100644 Libs/Memory/hds/_fifobridgerxtotxbuswidthadaptionrxbigger._epf create mode 100644 Libs/Memory/hds/_fifobridgerxtotxbuswidthadaptiontxbigger._epf create mode 100644 Libs/Memory/hds/_flashcontroller._epf create mode 100644 Libs/Memory/hds/_sdramcontroller._epf create mode 100644 Libs/Memory/hds/_sdramcontrollerbuildaddress._epf create mode 100644 Libs/Memory/hds/_sdramcontrollerfsm._epf create mode 100644 Libs/Memory/hds/_sdramcontrollerrefreshcounter._epf create mode 100644 Libs/Memory/hds/_sdramcontrollersampledatain._epf create mode 100644 Libs/Memory/hds/_sdramcontrollersr._epf create mode 100644 Libs/Memory/hds/_sdramcontrollerstoredata._epf create mode 100644 Libs/Memory/hds/_sdramcontrollertimingsshiftregister._epf create mode 100644 Libs/Memory/hds/bram/symbol.sb create mode 100644 Libs/Memory/hds/bram@dualport@writefirst/symbol.sb create mode 100644 Libs/Memory/hds/fifo@bridge/struct.bd create mode 100644 Libs/Memory/hds/fifo@bridge/symbol.sb create mode 100644 Libs/Memory/hds/fifo@bridge@bus@width@adaption/struct.bd create mode 100644 Libs/Memory/hds/fifo@bridge@bus@width@adaption/symbol.sb create mode 100644 Libs/Memory/hds/fifo@bridge@rx@to@tx/symbol.sb create mode 100644 Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@rx@bigger/interface create mode 100644 Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@txbigger/interface create mode 100644 Libs/Memory/hds/flash@controller/symbol.sb create mode 100644 Libs/Memory/hds/sdram@controller/struct.bd create mode 100644 Libs/Memory/hds/sdram@controller/symbol.sb create mode 100644 Libs/Memory/hds/sdram@controller@build@address/symbol.sb create mode 100644 Libs/Memory/hds/sdram@controller@fsm/fsm.sm create mode 100644 Libs/Memory/hds/sdram@controller@fsm/symbol.sb create mode 100644 Libs/Memory/hds/sdram@controller@refresh@counter/symbol.sb create mode 100644 Libs/Memory/hds/sdram@controller@s@r/symbol.sb create mode 100644 Libs/Memory/hds/sdram@controller@sample@data@in/symbol.sb create mode 100644 Libs/Memory/hds/sdram@controller@store@data/symbol.sb create mode 100644 Libs/Memory/hds/sdram@controller@timings@shift@register/symbol.sb create mode 100644 Libs/Memory_test/hdl/Flash_behav.vhd create mode 100644 Libs/Memory_test/hdl/bram_tester_test.vhd create mode 100644 Libs/Memory_test/hdl/fifo_tester_test.vhd create mode 100644 Libs/Memory_test/hdl/flashController_tester_test.vhd create mode 100644 Libs/Memory_test/hdl/flash_tester_test.vhd create mode 100644 Libs/Memory_test/hdl/mti_pkg_pkg.vhd create mode 100644 Libs/Memory_test/hdl/mti_pkg_pkg_body.vhd create mode 100644 Libs/Memory_test/hdl/sdramController_tester_test.vhd create mode 100644 Libs/Memory_test/hdl/sdram_mt48lc16m16a2_sim.vhd create mode 100644 Libs/Memory_test/hds/.hdlsidedata/_Flash_behav.vhd._fpf create mode 100644 Libs/Memory_test/hds/.hdlsidedata/_bram_tester_test.vhd._fpf create mode 100644 Libs/Memory_test/hds/.hdlsidedata/_fifo_tester_test.vhd._fpf create mode 100644 Libs/Memory_test/hds/.hdlsidedata/_flashController_tester_test.vhd._fpf create mode 100644 Libs/Memory_test/hds/.hdlsidedata/_flash_tester_test.vhd._fpf create mode 100644 Libs/Memory_test/hds/.hdlsidedata/_mti_pkg_pkg.vhd._fpf create mode 100644 Libs/Memory_test/hds/.hdlsidedata/_mti_pkg_pkg_body.vhd._fpf create mode 100644 Libs/Memory_test/hds/.hdlsidedata/_sdramController_tester_test.vhd._fpf create mode 100644 Libs/Memory_test/hds/.hdlsidedata/_sdram_mt48lc16m16a2_sim.vhd._fpf create mode 100644 Libs/Memory_test/hds/_bram_tb._epf create mode 100644 Libs/Memory_test/hds/_bram_tester._epf create mode 100644 Libs/Memory_test/hds/_fifo_tb._epf create mode 100644 Libs/Memory_test/hds/_flash_28f128j3a._epf create mode 100644 Libs/Memory_test/hds/_flash_tb._epf create mode 100644 Libs/Memory_test/hds/_flash_tester._epf create mode 100644 Libs/Memory_test/hds/_flashcontroller_tb._epf create mode 100644 Libs/Memory_test/hds/_flashcontroller_tester._epf create mode 100644 Libs/Memory_test/hds/_sdramcontroller_tb._epf create mode 100644 Libs/Memory_test/hds/_sdramcontroller_tester._epf create mode 100644 Libs/Memory_test/hds/bram_tb/struct.bd create mode 100644 Libs/Memory_test/hds/bram_tb/symbol.sb create mode 100644 Libs/Memory_test/hds/bram_tester/interface create mode 100644 Libs/Memory_test/hds/fifo_tb/struct.bd create mode 100644 Libs/Memory_test/hds/fifo_tb/struct.bd.info/msimSimulationReplay.sh create mode 100644 Libs/Memory_test/hds/fifo_tb/symbol.sb create mode 100644 Libs/Memory_test/hds/fifo_tester/interface create mode 100644 Libs/Memory_test/hds/flash@controller_tb/struct.bd create mode 100644 Libs/Memory_test/hds/flash@controller_tb/symbol.sb create mode 100644 Libs/Memory_test/hds/flash@controller_tester/interface create mode 100644 Libs/Memory_test/hds/flash_28@f128@j3@a/symbol.sb create mode 100644 Libs/Memory_test/hds/flash_tb/struct.bd create mode 100644 Libs/Memory_test/hds/flash_tb/symbol.sb create mode 100644 Libs/Memory_test/hds/flash_tester/interface create mode 100644 Libs/Memory_test/hds/sdram@controller_tb/struct.bd create mode 100644 Libs/Memory_test/hds/sdram@controller_tb/symbol.sb create mode 100644 Libs/Memory_test/hds/sdram@controller_tester/interface create mode 100644 Libs/Memory_test/hds/sdram_mt48lc16m16a2/symbol.sb create mode 100644 Libs/Sequential/hdl/DFFE_pre_sim.vhd create mode 100644 Libs/Sequential/hdl/DFFE_sim.vhd create mode 100644 Libs/Sequential/hdl/DFFE_sim1.vhd create mode 100644 Libs/Sequential/hdl/DFF_pre_sim.vhd create mode 100644 Libs/Sequential/hdl/DFF_sim.vhd create mode 100644 Libs/Sequential/hdl/DFF_sim1.vhd create mode 100644 Libs/Sequential/hdl/DFF_sim11.vhd create mode 100644 Libs/Sequential/hdl/DFF_sim2.vhd create mode 100644 Libs/Sequential/hdl/TFF_pre_sim.vhd create mode 100644 Libs/Sequential/hdl/TFF_sim.vhd create mode 100644 Libs/Sequential/hdl/accumulator_RTL.vhd create mode 100644 Libs/Sequential/hdl/counterEnableResetSync_rtl.vhd create mode 100644 Libs/Sequential/hdl/counterEnable_rtl.vhd create mode 100644 Libs/Sequential/hdl/counterRestart_RTL.vhd create mode 100644 Libs/Sequential/hdl/counterUpDownEnable_RTL.vhd create mode 100644 Libs/Sequential/hdl/counterUpDown_RTL.vhd create mode 100644 Libs/Sequential/hdl/counter_rtl.vhd create mode 100644 Libs/Sequential/hdl/edgeDetector_arch.vhd create mode 100644 Libs/Sequential/hdl/freqDividerEnable_RTL.vhd create mode 100644 Libs/Sequential/hdl/freqDivider_RTL.vhd create mode 100644 Libs/Sequential/hdl/registerLogicVector_sim.vhd create mode 100644 Libs/Sequential/hdl/registerSigned_sim.vhd create mode 100644 Libs/Sequential/hdl/registerULogicVectorTo_RTL.vhd create mode 100644 Libs/Sequential/hdl/registerULogicVector_sim.vhd create mode 100644 Libs/Sequential/hdl/registerULogicVector_sim1.vhd create mode 100644 Libs/Sequential/hdl/registerUnsigned_sim.vhd create mode 100755 Libs/Sequential/hds/.hdlsidedata/_DFFE_pre_sim.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_DFFE_sim.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_DFFE_sim1.vhd._fpf create mode 100755 Libs/Sequential/hds/.hdlsidedata/_DFF_pre_sim.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_DFF_sim.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_DFF_sim1.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_DFF_sim11.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_DFF_sim2.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_SRAM_sim.vhd._fpf create mode 100755 Libs/Sequential/hds/.hdlsidedata/_TFF_pre_sim.vhd._fpf create mode 100755 Libs/Sequential/hds/.hdlsidedata/_TFF_sim.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_accumulator_RTL.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_accumulator_entity.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_counterEnableResetSync_rtl.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_counterEnable_rtl.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_counterRestart_RTL.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_counterUpDownEnable_RTL.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_counterUpDown_RTL.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_counterUpDown_arch.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_counter_arch.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_counter_entity.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_counter_rtl.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_counterenable_entity.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_counterenableresetsync_entity.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_counterrestart_entity.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_counterupdown_entity.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_counterupdownenable_entity.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_counterupdownenable_struct.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_dff_entity.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_dff_pre_entity.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_dffe_entity.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_dffe_pre_entity.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_edgeDetector_RTL.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_edgeDetector_arch.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_edgedetector_entity.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_freqDividerEnable_RTL.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_freqDivider_RTL.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_freqdivider_entity.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_freqdividerenable_entity.vhg._fpf create mode 100755 Libs/Sequential/hds/.hdlsidedata/_registerLogicVector_sim.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_registerSigned_sim.vhd._fpf create mode 100755 Libs/Sequential/hds/.hdlsidedata/_registerULogicVectorTo_RTL.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_registerULogicVector_sim.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_registerULogicVector_sim1.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_registerUnsigned_sim.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_registerfile_entity.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_registerfile_struct.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_registerlogicvector_entity.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_registersigned_entity.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_registerulogicvector_entity.vhd._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_registerulogicvector_entity.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_registerulogicvectorto_entity.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_registerunsigned_entity.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_reglatch_entity.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_sram_entity.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_sram_struct.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_tff_entity.vhg._fpf create mode 100644 Libs/Sequential/hds/.hdlsidedata/_tff_pre_entity.vhg._fpf create mode 100644 Libs/Sequential/hds/@d@f@f/symbol.sb create mode 100644 Libs/Sequential/hds/@d@f@f@e/symbol.sb create mode 100644 Libs/Sequential/hds/@d@f@f@e_pre/symbol.sb create mode 100644 Libs/Sequential/hds/@d@f@f_pre/symbol.sb create mode 100644 Libs/Sequential/hds/@s@r@a@m/struct.bd create mode 100644 Libs/Sequential/hds/@s@r@a@m/symbol.sb create mode 100644 Libs/Sequential/hds/@t@f@f/symbol.sb create mode 100644 Libs/Sequential/hds/@t@f@f_pre/symbol.sb create mode 100644 Libs/Sequential/hds/_accumulator._epf create mode 100644 Libs/Sequential/hds/_counter._epf create mode 100644 Libs/Sequential/hds/_counterenable._epf create mode 100644 Libs/Sequential/hds/_counterenableresetsync._epf create mode 100644 Libs/Sequential/hds/_counterrestart._epf create mode 100644 Libs/Sequential/hds/_counterupdown._epf create mode 100644 Libs/Sequential/hds/_counterupdownenable._epf create mode 100644 Libs/Sequential/hds/_dff._epf create mode 100644 Libs/Sequential/hds/_dff_pre._epf create mode 100644 Libs/Sequential/hds/_dffe._epf create mode 100644 Libs/Sequential/hds/_dffe_pre._epf create mode 100644 Libs/Sequential/hds/_edgedetector._epf create mode 100644 Libs/Sequential/hds/_freqdivider._epf create mode 100644 Libs/Sequential/hds/_freqdividerenable._epf create mode 100644 Libs/Sequential/hds/_registerfile._epf create mode 100644 Libs/Sequential/hds/_registerlogicvector._epf create mode 100644 Libs/Sequential/hds/_registersigned._epf create mode 100644 Libs/Sequential/hds/_registerulogicvector._epf create mode 100755 Libs/Sequential/hds/_registerulogicvectorto._epf create mode 100644 Libs/Sequential/hds/_registerunsigned._epf create mode 100644 Libs/Sequential/hds/_reglatch._epf create mode 100644 Libs/Sequential/hds/_sram._epf create mode 100644 Libs/Sequential/hds/_tff._epf create mode 100644 Libs/Sequential/hds/_tff_pre._epf create mode 100644 Libs/Sequential/hds/accumulator/symbol.sb create mode 100644 Libs/Sequential/hds/counter/symbol.sb create mode 100644 Libs/Sequential/hds/counter@enable/symbol.sb create mode 100644 Libs/Sequential/hds/counter@enable@reset@sync/symbol.sb create mode 100644 Libs/Sequential/hds/counter@restart/symbol.sb create mode 100644 Libs/Sequential/hds/counter@up@down/symbol.sb create mode 100644 Libs/Sequential/hds/counter@up@down@enable/struct.bd create mode 100644 Libs/Sequential/hds/counter@up@down@enable/symbol.sb create mode 100644 Libs/Sequential/hds/edge@detector/symbol.sb create mode 100644 Libs/Sequential/hds/freq@divider/symbol.sb create mode 100644 Libs/Sequential/hds/freq@divider@enable/symbol.sb create mode 100644 Libs/Sequential/hds/reg@latch/symbol.sb create mode 100644 Libs/Sequential/hds/register@file/struct.bd create mode 100644 Libs/Sequential/hds/register@file/symbol.sb create mode 100644 Libs/Sequential/hds/register@logic@vector/symbol.sb create mode 100644 Libs/Sequential/hds/register@signed/symbol.sb create mode 100644 Libs/Sequential/hds/register@u@logic@vector/symbol.sb create mode 100644 Libs/Sequential/hds/register@u@logic@vector@to/symbol.sb create mode 100644 Libs/Sequential/hds/register@unsigned/symbol.sb create mode 100644 Prefs/hds.hdp create mode 100644 Prefs/hds_team/shared.hdp create mode 100644 Prefs/hds_team/v2015.2/hds_team_prefs create mode 100644 Prefs/hds_team/v2015.2/title_block.tmpl create mode 100644 Prefs/hds_team/v2018.1/hds_team_prefs create mode 100644 Prefs/hds_team/v2018.1/title_block.tmpl create mode 100644 Prefs/hds_team/v2019.2/hds_team_prefs create mode 100644 Prefs/hds_team/v2019.2/title_block.tmpl create mode 100644 Prefs/hds_user-linux/v2015.2/hds_user_prefs create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/actel_place_and_route.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/altera_megawizard.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/altera_sopc_builder.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/c_c_wrapper_generator.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/designchecker.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/designchecker_flow.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/fpga_library_compile.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/fpga_technology_setup.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/generate.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/i_o_design_flow.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/lattice_place_and_route.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/leonardospectrum.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/leonardospectrum_flow.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/modelsim_compile.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/modelsim_flow.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/modelsim_simulate.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/precision_synthesis.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/precision_synthesis_flow.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/quartus_ii_synthesis.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/quartus_ii_synthesis_flow.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/quartus_place_and_route.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/quartus_programmer.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/questasim_compile.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/questasim_flow.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/questasim_simulate.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/register_assistant.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/systemverilog_assistant.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/xilinx_core_generator.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/xilinx_import.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/xilinx_place_and_route.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/xilinx_platform_studio.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/xilinx_synthesis_tool.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/xilinx_synthesis_tool_flow.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/tasks/xilinx_vivado_flow.tsk create mode 100644 Prefs/hds_user-linux/v2015.2/templates/text_files/new_document.txt create mode 100644 Prefs/hds_user-linux/v2015.2/templates/vhdl_architecture/architecture.vhd create mode 100644 Prefs/hds_user-linux/v2015.2/templates/vhdl_combined/combined.vhd create mode 100644 Prefs/hds_user-linux/v2015.2/templates/vhdl_configuration/configuration.vhd create mode 100644 Prefs/hds_user-linux/v2015.2/templates/vhdl_entity/entity.vhd create mode 100644 Prefs/hds_user-linux/v2015.2/templates/vhdl_package_body/package_body.vhd create mode 100644 Prefs/hds_user-linux/v2015.2/templates/vhdl_package_header/package_header.vhd create mode 100644 Prefs/hds_user-linux/v2018.1/hds_user_prefs create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/actel_place_and_route.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/altera_megawizard.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/altera_sopc_builder.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/c_c_wrapper_generator.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/designchecker.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/designchecker_flow.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/fpga_library_compile.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/fpga_technology_setup.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/generate.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/i_o_design_flow.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/lattice_place_and_route.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/leonardospectrum.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/leonardospectrum_flow.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/modelsim_compile.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/modelsim_flow.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/modelsim_simulate.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/precision_synthesis.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/precision_synthesis_flow.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/quartus_place_and_route.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/quartus_prime_import.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/quartus_programmer.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis_flow.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis_invoke.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis_prepare_data.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/questasim_compile.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/questasim_flow.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/questasim_simulate.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/register_assistant.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/svassistant_flow.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/xilinx_core_generator.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/xilinx_import.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/xilinx_place_and_route.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/xilinx_platform_studio.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/xilinx_synthesis_tool.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/xilinx_synthesis_tool_flow.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/tasks/xilinx_vivado_flow.tsk create mode 100644 Prefs/hds_user-linux/v2018.1/templates/text_files/new_document.txt create mode 100644 Prefs/hds_user-linux/v2018.1/templates/vhdl_architecture/architecture.vhd create mode 100644 Prefs/hds_user-linux/v2018.1/templates/vhdl_combined/combined.vhd create mode 100644 Prefs/hds_user-linux/v2018.1/templates/vhdl_configuration/configuration.vhd create mode 100644 Prefs/hds_user-linux/v2018.1/templates/vhdl_entity/entity.vhd create mode 100644 Prefs/hds_user-linux/v2018.1/templates/vhdl_package_body/package_body.vhd create mode 100644 Prefs/hds_user-linux/v2018.1/templates/vhdl_package_header/package_header.vhd create mode 100644 Prefs/hds_user/v2015.2/hds_user_prefs create mode 100644 Prefs/hds_user/v2015.2/tasks/generate.tsk create mode 100644 Prefs/hds_user/v2015.2/tasks/modelsim_compile.tsk create mode 100644 Prefs/hds_user/v2015.2/tasks/modelsim_flow.tsk create mode 100644 Prefs/hds_user/v2015.2/tasks/modelsim_simulate.tsk create mode 100644 Prefs/hds_user/v2015.2/tasks/prepare_for_synthesis.tsk create mode 100644 Prefs/hds_user/v2015.2/tasks/xilinx_project_navigator.tsk create mode 100644 Prefs/hds_user/v2015.2/templates/text_files/new_document.txt create mode 100644 Prefs/hds_user/v2015.2/templates/vhdl_architecture/architecture.vhd create mode 100644 Prefs/hds_user/v2015.2/templates/vhdl_combined/combined.vhd create mode 100644 Prefs/hds_user/v2015.2/templates/vhdl_configuration/configuration.vhd create mode 100644 Prefs/hds_user/v2015.2/templates/vhdl_entity/entity.vhd create mode 100644 Prefs/hds_user/v2015.2/templates/vhdl_package_body/package_body.vhd create mode 100644 Prefs/hds_user/v2015.2/templates/vhdl_package_header/package_header.vhd create mode 100644 Prefs/hds_user/v2018.1/hds_user_prefs create mode 100644 Prefs/hds_user/v2018.1/tasks/generate.tsk create mode 100644 Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk create mode 100644 Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk create mode 100644 Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk create mode 100644 Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk create mode 100644 Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk create mode 100644 Prefs/hds_user/v2018.1/templates/text_files/new_document.txt create mode 100644 Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd create mode 100644 Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd create mode 100644 Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd create mode 100644 Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd create mode 100644 Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd create mode 100644 Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd create mode 100644 Prefs/hds_user/v2019.2/hds_user_prefs create mode 100644 Prefs/hds_user/v2019.2/tasks/generate.tsk create mode 100644 Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk create mode 100644 Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk create mode 100644 Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk create mode 100644 Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk create mode 100644 Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk create mode 100644 Prefs/hds_user/v2019.2/templates/text_files/new_document.txt create mode 100644 Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd create mode 100644 Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd create mode 100644 Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd create mode 100644 Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd create mode 100644 Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd create mode 100644 Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd create mode 100644 README.md create mode 100755 Scripts/cleanGenerated.bash create mode 100644 Scripts/cleanGenerated.bat create mode 100644 Scripts/cleanScratch.bat create mode 100755 Scripts/hdlDesigner.bash create mode 100644 Scripts/hdlDesigner.bat create mode 100644 Scripts/searchPaths.bat create mode 100644 Scripts/start_libero.pl create mode 100644 Scripts/trimLibs.pl create mode 100644 Scripts/update_ise.pl create mode 100644 Scripts/update_libero.pl create mode 100644 Simulation/cursor.do create mode 100644 Simulation/divider.do create mode 100644 Simulation/lcdFont.txt create mode 100644 Simulation/positionCounter.do create mode 100644 Simulation/pulseWidthModulator.do create mode 100644 Simulation/rampCounter.do create mode 100644 doc/Board/cursor-chariot-assembly.pdf create mode 100644 doc/Board/cursor-power-circuit.pdf create mode 100644 doc/Board/fpga_ebs-v2_2-schematics.pdf create mode 100644 doc/Board/fpga_ebs-v2_2.jpg create mode 100644 doc/Board/fpga_pp_heb-lcd-v2-schematic.pdf create mode 100644 doc/Board/fpga_pp_heb-lcd_v2.jpg create mode 100644 doc/Components/cursor_L6207N.pdf create mode 100644 doc/Components/cursor_encoder_module.pdf create mode 100644 doc/Components/cursor_lcd_controller_st7565r.pdf create mode 100644 doc/Components/cursor_lcd_dogm132-5e.pdf create mode 100644 doc/Components/fpga_oscillator_66MHz.pdf create mode 100644 doc/Components/fpga_spartan_3E.pdf create mode 100644 doc/How_To_Programm_FPGA.pdf create mode 100644 doc/cursor-range-position-diagram.pdf create mode 100644 doc/cursor-toplevel-empty.pdf create mode 100644 doc/evaluation-bewertung-cursor.pdf create mode 100644 doc/lcd-explanations.pdf create mode 100644 eln_cursor.bash create mode 100644 eln_cursor.bat create mode 100644 img/ELN_cursor.gif create mode 100644 img/ELN_cursor.png create mode 100644 img/ELN_cursor.svg create mode 100644 img/eln_labs_deployment-students.png create mode 100644 img/screenshot.png diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..67f7228 --- /dev/null +++ b/.gitignore @@ -0,0 +1,30 @@ +# Ignore HDL Designer Folder +Prefs/hds_user/logs/ +Prefs/dc_user/ +Prefs/dp_user/ +Prefs/hds.info/ + +# Ignore HDL Designer Task generated files +Board/concat/concatenated.vhd +Board/concat/eln_cursor.vhd + +# Ignore files automatically generated by HDL Designer +.cache.dat +*.bak +*.lck +*.vhd.info +default_view +*_entity.vhd +*_struct.vhd +*_fsm.vhd +*.vhg +*.DS_STORE +*.xrf/ + +# Ignore verilog and c files +*.v +*.sv +*.svh +*.c +*.cpp +*.psl \ No newline at end of file diff --git a/Board/concat/eln_cursor.ucf b/Board/concat/eln_cursor.ucf new file mode 100644 index 0000000..5a570c1 --- /dev/null +++ b/Board/concat/eln_cursor.ucf @@ -0,0 +1,80 @@ +#------------------------------------------------------------------------------- +# Clock, reset +# +NET "clock" LOC = "A10" ; +NET "reset_n" LOC = "A15" | PULLUP ; +NET "testMode" LOC = "T10"; + +#------------------------------------------------------------------------------- +# Buttons, V1 board +# +#NET "restart_n" LOC = "E8" ; +#NET "go1_n" LOC = "G9" ; +#NET "go2_n" LOC = "F9" ; +#NET "button4_n" LOC = "F7" ; + +#------------------------------------------------------------------------------- +# Buttons, V2 board +# +NET "restart_n" LOC = "G9" ; +NET "go1_n" LOC = "F9" ; +NET "go2_n" LOC = "F7" ; +NET "button4_n" LOC = "F8" ; + +#------------------------------------------------------------------------------- +# Sensors +# +NET "sensor1_n" LOC = "B6" ; +NET "sensor2_n" LOC = "A6" ; +NET "encoderA_n" LOC = "G4" ; +NET "encoderB_n" LOC = "E2" ; +NET "encoderI_n" LOC = "G3" ; + +#------------------------------------------------------------------------------- +# Motor control +# +NET "motorOn" LOC = "B3" ; +NET "side1" LOC = "G6" ; +NET "side2" LOC = "C5" ; + +#------------------------------------------------------------------------------- +# LEDs, V1 board +# +#NET "LED1" LOC = "B16"; +#NET "LED2" LOC = "A16"; +#NET "LEDs_n<1>" LOC = "E7" ; +#NET "LEDs_n<2>" LOC = "B14"; +#NET "LEDs_n<3>" LOC = "B13"; +#NET "LEDs_n<4>" LOC = "B11"; +#NET "LEDs_n<5>" LOC = "A8" ; +#NET "LEDs_n<6>" LOC = "C7" ; +#NET "LEDs_n<7>" LOC = "A14"; +#NET "LEDs_n<8>" LOC = "A11"; + +#------------------------------------------------------------------------------- +# LEDs, V2 board +# +NET "LED1" LOC = "B16"; +NET "LED2" LOC = "A16"; +NET "LEDs<1>" LOC = "E9" ; +NET "LEDs<2>" LOC = "A8"; +NET "LEDs<3>" LOC = "F11"; +NET "LEDs<4>" LOC = "B11"; +NET "LEDs<5>" LOC = "B13"; +NET "LEDs<6>" LOC = "B14"; +NET "LEDs<7>" LOC = "E7" ; +NET "LEDs<8>" LOC = "E8" ; + +#------------------------------------------------------------------------------- +# LCD +# +NET "LCD_CS1_n" LOC = "A11"; +NET "LCD_SCL" LOC = "D7" ; +NET "LCD_SI" LOC = "C7" ; +NET "LCD_A0" LOC = "A14"; +NET "LCD_RST_n" LOC = "A13"; + +#------------------------------------------------------------------------------- +# Globals +# +NET "*" IOSTANDARD = LVCMOS33; diff --git a/Board/hds/.hdlsidedata/_fpga_cursor_entity.vhg._fpf b/Board/hds/.hdlsidedata/_fpga_cursor_entity.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Board/hds/.hdlsidedata/_fpga_cursor_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Board/hds/.hdlsidedata/_fpga_cursor_struct.vhg._fpf b/Board/hds/.hdlsidedata/_fpga_cursor_struct.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Board/hds/.hdlsidedata/_fpga_cursor_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Board/hds/@f@p@g@a_cursor/struct.bd b/Board/hds/@f@p@g@a_cursor/struct.bd new file mode 100644 index 0000000..58d6ef1 --- /dev/null +++ b/Board/hds/@f@p@g@a_cursor/struct.bd @@ -0,0 +1,13173 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +(DmPackageRef +library "Common" +unitName "CommonLib" +) +] +instances [ +(Instance +name "I1" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 1661,0 +) +(Instance +name "I2" +duLibraryName "sequential" +duName "DFF" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 2212,0 +) +(Instance +name "I3" +duLibraryName "sequential" +duName "DFF" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 2262,0 +) +(Instance +name "I4" +duLibraryName "sequential" +duName "DFF" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 2306,0 +) +(Instance +name "I5" +duLibraryName "sequential" +duName "DFF" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 2361,0 +) +(Instance +name "I6" +duLibraryName "sequential" +duName "DFF" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 2473,0 +) +(Instance +name "I7" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 2576,0 +) +(Instance +name "I8" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 2624,0 +) +(Instance +name "I9" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 2998,0 +) +(Instance +name "I10" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 3024,0 +) +(Instance +name "I11" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 3044,0 +) +(Instance +name "I12" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 3223,0 +) +(Instance +name "I13" +duLibraryName "sequential" +duName "DFF" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 3243,0 +) +(Instance +name "I14" +duLibraryName "sequential" +duName "DFF" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 3330,0 +) +(Instance +name "I15" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 3356,0 +) +(Instance +name "I16" +duLibraryName "sequential" +duName "DFF" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 4087,0 +) +(Instance +name "I17" +duLibraryName "sequential" +duName "DFF" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 4113,0 +) +(Instance +name "I18" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 4139,0 +) +(Instance +name "I19" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 4159,0 +) +(Instance +name "I20" +duLibraryName "sequential" +duName "DFF" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 4235,0 +) +(Instance +name "I21" +duLibraryName "gates" +duName "inverter" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 4261,0 +) +(Instance +name "I0" +duLibraryName "Cursor" +duName "cursorCircuit" +elements [ +(GiElement +name "position0" +type "positive" +value "position0" +) +(GiElement +name "position1" +type "positive" +value "position1" +) +(GiElement +name "position2" +type "positive" +value "position2" +) +(GiElement +name "slopeShiftBitNb" +type "positive" +value "slopeShiftBitNb" +) +(GiElement +name "pwmBitNb" +type "positive" +value "pwmBitNb" +) +(GiElement +name "testLineNb" +type "positive" +value "testLineNb" +) +] +mwi 0 +uid 5636,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +] +libraryRefs [ +"ieee" +"gates" +"Common" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\FPGA_cursor" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "FPGA_cursor" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:01" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_cursor\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_cursor\\Synthesis" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "FPGA_cursor" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\FPGA_cursor\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "/usr/opt/Modelsim/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "08:13:01" +) +(vvPair +variable "unit" +value "FPGA_cursor" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Net +uid 1325,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +declText (MLText +uid 1326,0 +va (VaSet +isHidden 1 +) +xt "-5000,100800,8400,102000" +st "clock : std_ulogic" +) +) +*2 (Grouping +uid 1487,0 +optionalChildren [ +*3 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "121000,110000,140000,112000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "121200,110400,136600,111600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,110000,115000,112000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "Verdana,12,1" +) +xt "95150,110300,108850,111700" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "94000,116000,115000,118000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "94200,116400,112600,117600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "115000,110000,121000,112000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "115200,110400,119900,111600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "94000,112000,115000,114000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "94200,112400,109400,113600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,112000,94000,114000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,112400,92600,113600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,114000,94000,116000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,114400,92600,115600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "115000,112000,140000,118000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "115200,112200,129300,113400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*11 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "94000,114000,115000,116000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "94200,114400,109700,115600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,116000,94000,118000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,116400,93500,117600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "89000,110000,140000,118000" +) +oxt "13000,22000,64000,30000" +) +*13 (PortIoIn +uid 1583,0 +shape (CompositeShape +uid 1584,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1585,0 +sl 0 +ro 270 +xt "26000,77625,27500,78375" +) +(Line +uid 1586,0 +sl 0 +ro 270 +xt "27500,78000,28000,78000" +pts [ +"27500,78000" +"28000,78000" +] +) +] +) +tg (WTG +uid 1587,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1588,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "21200,77300,25000,78700" +st "clock" +ju 2 +blo "25000,78500" +tm "WireNameMgr" +) +s (Text +uid 1589,0 +va (VaSet +) +xt "21200,78700,21200,78700" +ju 2 +blo "21200,78700" +tm "SignalTypeMgr" +) +) +) +*14 (PortIoIn +uid 1590,0 +shape (CompositeShape +uid 1591,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1592,0 +sl 0 +ro 270 +xt "26000,91625,27500,92375" +) +(Line +uid 1593,0 +sl 0 +ro 270 +xt "27500,92000,28000,92000" +pts [ +"27500,92000" +"28000,92000" +] +) +] +) +tg (WTG +uid 1594,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1595,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "19300,91300,25000,92700" +st "reset_n" +ju 2 +blo "25000,92500" +tm "WireNameMgr" +) +s (Text +uid 1596,0 +va (VaSet +) +xt "19300,92700,19300,92700" +ju 2 +blo "19300,92700" +tm "SignalTypeMgr" +) +) +) +*15 (Net +uid 1633,0 +decl (Decl +n "reset_n" +t "std_ulogic" +o 8 +suid 2,0 +) +declText (MLText +uid 1634,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,46000,11300,47000" +st "reset_n : std_ulogic" +) +) +*16 (Net +uid 1635,0 +decl (Decl +n "reset" +t "std_ulogic" +o 36 +suid 3,0 +) +declText (MLText +uid 1636,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,46000,14100,47000" +st "SIGNAL reset : std_ulogic" +) +) +*17 (SaComponent +uid 1661,0 +optionalChildren [ +*18 (CptPort +uid 1652,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1653,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "32250,91625,33000,92375" +) +tg (CPTG +uid 1654,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1655,0 +va (VaSet +isHidden 1 +) +xt "33000,91500,34800,92500" +st "in1" +blo "33000,92300" +) +s (Text +uid 1670,0 +va (VaSet +isHidden 1 +) +xt "33000,92500,33000,92500" +blo "33000,92500" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*19 (CptPort +uid 1656,0 +optionalChildren [ +*20 (Circle +uid 1660,0 +va (VaSet +fg "0,65535,0" +) +xt "38000,91625,38750,92375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 1657,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "38750,91625,39500,92375" +) +tg (CPTG +uid 1658,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1659,0 +va (VaSet +isHidden 1 +) +xt "35350,91500,37750,92500" +st "out1" +ju 2 +blo "37750,92300" +) +s (Text +uid 1671,0 +va (VaSet +isHidden 1 +) +xt "37750,92500,37750,92500" +ju 2 +blo "37750,92500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 1662,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,89000,38000,95000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 1663,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*21 (Text +uid 1664,0 +va (VaSet +isHidden 1 +) +xt "33910,87700,36910,88700" +st "gates" +blo "33910,88500" +tm "BdLibraryNameMgr" +) +*22 (Text +uid 1665,0 +va (VaSet +isHidden 1 +) +xt "33910,88700,38710,89700" +st "inverter" +blo "33910,89500" +tm "CptNameMgr" +) +*23 (Text +uid 1666,0 +va (VaSet +) +xt "33910,88700,35110,89700" +st "I1" +blo "33910,89500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1667,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1668,0 +text (MLText +uid 1669,0 +va (VaSet +isHidden 1 +) +xt "33000,95400,46400,96600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*24 (Net +uid 2135,0 +decl (Decl +n "testMode" +t "std_uLogic" +o 12 +suid 4,0 +) +declText (MLText +uid 2136,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,46000,11900,47000" +st "testMode : std_uLogic" +) +) +*25 (PortIoIn +uid 2167,0 +shape (CompositeShape +uid 2168,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2169,0 +sl 0 +ro 270 +xt "26000,47625,27500,48375" +) +(Line +uid 2170,0 +sl 0 +ro 270 +xt "27500,48000,28000,48000" +pts [ +"27500,48000" +"28000,48000" +] +) +] +) +tg (WTG +uid 2171,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2172,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "21500,47300,25000,48600" +st "go2_n" +ju 2 +blo "25000,48300" +tm "WireNameMgr" +) +s (Text +uid 2173,0 +va (VaSet +) +xt "21000,48700,21000,48700" +ju 2 +blo "21000,48700" +tm "SignalTypeMgr" +) +) +) +*26 (PortIoIn +uid 2174,0 +shape (CompositeShape +uid 2175,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2176,0 +sl 0 +ro 270 +xt "26000,59625,27500,60375" +) +(Line +uid 2177,0 +sl 0 +ro 270 +xt "27500,60000,28000,60000" +pts [ +"27500,60000" +"28000,60000" +] +) +] +) +tg (WTG +uid 2178,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2179,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "17600,59300,25000,60700" +st "button4_n" +ju 2 +blo "25000,60500" +tm "WireNameMgr" +) +s (Text +uid 2180,0 +va (VaSet +) +xt "17600,60700,17600,60700" +ju 2 +blo "17600,60700" +tm "SignalTypeMgr" +) +) +) +*27 (PortIoIn +uid 2181,0 +shape (CompositeShape +uid 2182,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2183,0 +sl 0 +ro 270 +xt "26000,23625,27500,24375" +) +(Line +uid 2184,0 +sl 0 +ro 270 +xt "27500,24000,28000,24000" +pts [ +"27500,24000" +"28000,24000" +] +) +] +) +tg (WTG +uid 2185,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2186,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "18300,23300,25000,24700" +st "restart_n" +ju 2 +blo "25000,24500" +tm "WireNameMgr" +) +s (Text +uid 2187,0 +va (VaSet +) +xt "18300,24700,18300,24700" +ju 2 +blo "18300,24700" +tm "SignalTypeMgr" +) +) +) +*28 (PortIoIn +uid 2188,0 +shape (CompositeShape +uid 2189,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2190,0 +sl 0 +ro 270 +xt "26000,75625,27500,76375" +) +(Line +uid 2191,0 +sl 0 +ro 270 +xt "27500,76000,28000,76000" +pts [ +"27500,76000" +"28000,76000" +] +) +] +) +tg (WTG +uid 2192,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2193,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "18300,75300,25000,76700" +st "testMode" +ju 2 +blo "25000,76500" +tm "WireNameMgr" +) +s (Text +uid 2194,0 +va (VaSet +) +xt "18300,76700,18300,76700" +ju 2 +blo "18300,76700" +tm "SignalTypeMgr" +) +) +) +*29 (SaComponent +uid 2212,0 +optionalChildren [ +*30 (CptPort +uid 2195,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2196,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,47625,41000,48375" +) +tg (CPTG +uid 2197,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2198,0 +va (VaSet +) +xt "42000,47300,42600,48300" +st "D" +blo "42000,48100" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*31 (CptPort +uid 2199,0 +optionalChildren [ +*32 (FFT +pts [ +"41750,52000" +"41000,52375" +"41000,51625" +] +uid 2203,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,51625,41750,52375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2200,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,51625,41000,52375" +) +tg (CPTG +uid 2201,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2202,0 +va (VaSet +) +xt "42000,51400,43800,52400" +st "CLK" +blo "42000,52200" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*33 (CptPort +uid 2204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2205,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43625,54000,44375,54750" +) +tg (CPTG +uid 2206,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2207,0 +va (VaSet +) +xt "43000,52600,44800,53600" +st "CLR" +blo "43000,53400" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*34 (CptPort +uid 2208,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2209,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "47000,47625,47750,48375" +) +tg (CPTG +uid 2210,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2211,0 +va (VaSet +) +xt "45400,47300,46000,48300" +st "Q" +ju 2 +blo "46000,48100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 2213,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,46000,47000,54000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 2214,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 2215,0 +va (VaSet +) +xt "44600,53700,51200,54700" +st "sequential" +blo "44600,54500" +tm "BdLibraryNameMgr" +) +*36 (Text +uid 2216,0 +va (VaSet +) +xt "44600,54700,46400,55700" +st "DFF" +blo "44600,55500" +tm "CptNameMgr" +) +*37 (Text +uid 2217,0 +va (VaSet +) +xt "44600,55700,45800,56700" +st "I2" +blo "44600,56500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2218,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2219,0 +text (MLText +uid 2220,0 +va (VaSet +isHidden 1 +) +xt "48000,53400,61400,54600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*38 (SaComponent +uid 2262,0 +optionalChildren [ +*39 (CptPort +uid 2271,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2272,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,59625,41000,60375" +) +tg (CPTG +uid 2273,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2274,0 +va (VaSet +) +xt "42000,59300,42600,60300" +st "D" +blo "42000,60100" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*40 (CptPort +uid 2275,0 +optionalChildren [ +*41 (FFT +pts [ +"41750,64000" +"41000,64375" +"41000,63625" +] +uid 2279,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,63625,41750,64375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2276,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,63625,41000,64375" +) +tg (CPTG +uid 2277,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2278,0 +va (VaSet +) +xt "42000,63400,43800,64400" +st "CLK" +blo "42000,64200" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*42 (CptPort +uid 2280,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2281,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43625,66000,44375,66750" +) +tg (CPTG +uid 2282,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2283,0 +va (VaSet +) +xt "43000,64600,44800,65600" +st "CLR" +blo "43000,65400" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*43 (CptPort +uid 2284,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2285,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "47000,59625,47750,60375" +) +tg (CPTG +uid 2286,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2287,0 +va (VaSet +) +xt "45400,59300,46000,60300" +st "Q" +ju 2 +blo "46000,60100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 2263,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,58000,47000,66000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 2264,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +uid 2265,0 +va (VaSet +) +xt "44600,65700,51200,66700" +st "sequential" +blo "44600,66500" +tm "BdLibraryNameMgr" +) +*45 (Text +uid 2266,0 +va (VaSet +) +xt "44600,66700,46400,67700" +st "DFF" +blo "44600,67500" +tm "CptNameMgr" +) +*46 (Text +uid 2267,0 +va (VaSet +) +xt "44600,67700,45800,68700" +st "I3" +blo "44600,68500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2268,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2269,0 +text (MLText +uid 2270,0 +va (VaSet +isHidden 1 +) +xt "48000,65400,61400,66600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*47 (SaComponent +uid 2306,0 +optionalChildren [ +*48 (CptPort +uid 2315,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2316,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,23625,41000,24375" +) +tg (CPTG +uid 2317,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2318,0 +va (VaSet +) +xt "42000,23300,42600,24300" +st "D" +blo "42000,24100" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*49 (CptPort +uid 2319,0 +optionalChildren [ +*50 (FFT +pts [ +"41750,28000" +"41000,28375" +"41000,27625" +] +uid 2323,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,27625,41750,28375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2320,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,27625,41000,28375" +) +tg (CPTG +uid 2321,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2322,0 +va (VaSet +) +xt "42000,27400,43800,28400" +st "CLK" +blo "42000,28200" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*51 (CptPort +uid 2324,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2325,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43625,30000,44375,30750" +) +tg (CPTG +uid 2326,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2327,0 +va (VaSet +) +xt "43000,28600,44800,29600" +st "CLR" +blo "43000,29400" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*52 (CptPort +uid 2328,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2329,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "47000,23625,47750,24375" +) +tg (CPTG +uid 2330,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2331,0 +va (VaSet +) +xt "45400,23300,46000,24300" +st "Q" +ju 2 +blo "46000,24100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 2307,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,22000,47000,30000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 2308,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 2309,0 +va (VaSet +) +xt "44600,29700,51200,30700" +st "sequential" +blo "44600,30500" +tm "BdLibraryNameMgr" +) +*54 (Text +uid 2310,0 +va (VaSet +) +xt "44600,30700,46400,31700" +st "DFF" +blo "44600,31500" +tm "CptNameMgr" +) +*55 (Text +uid 2311,0 +va (VaSet +) +xt "44600,31700,45800,32700" +st "I4" +blo "44600,32500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2312,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2313,0 +text (MLText +uid 2314,0 +va (VaSet +isHidden 1 +) +xt "48000,29400,61400,30600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*56 (PortIoIn +uid 2354,0 +shape (CompositeShape +uid 2355,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2356,0 +sl 0 +ro 90 +xt "120500,63625,122000,64375" +) +(Line +uid 2357,0 +sl 0 +ro 90 +xt "120000,64000,120500,64000" +pts [ +"120500,64000" +"120000,64000" +] +) +] +) +tg (WTG +uid 2358,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2359,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "123000,63300,130500,64700" +st "sensor2_n" +blo "123000,64500" +tm "WireNameMgr" +) +s (Text +uid 2360,0 +va (VaSet +) +xt "123000,64700,123000,64700" +blo "123000,64700" +tm "SignalTypeMgr" +) +) +) +*57 (SaComponent +uid 2361,0 +optionalChildren [ +*58 (CptPort +uid 2370,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2371,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "103000,63625,103750,64375" +) +tg (CPTG +uid 2372,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2373,0 +va (VaSet +) +xt "101400,63300,102000,64300" +st "D" +ju 2 +blo "102000,64100" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*59 (CptPort +uid 2374,0 +optionalChildren [ +*60 (FFT +pts [ +"102250,68000" +"103000,67625" +"103000,68375" +] +uid 2378,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "102250,67625,103000,68375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2375,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "103000,67625,103750,68375" +) +tg (CPTG +uid 2376,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2377,0 +va (VaSet +) +xt "100200,67400,102000,68400" +st "CLK" +ju 2 +blo "102000,68200" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*61 (CptPort +uid 2379,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2380,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "99625,70000,100375,70750" +) +tg (CPTG +uid 2381,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2382,0 +va (VaSet +) +xt "98600,68600,100400,69600" +st "CLR" +blo "98600,69400" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*62 (CptPort +uid 2383,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2384,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "96250,63625,97000,64375" +) +tg (CPTG +uid 2385,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2386,0 +va (VaSet +) +xt "98000,63300,98600,64300" +st "Q" +blo "98000,64100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 2362,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97000,62000,103000,70000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 2363,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +uid 2364,0 +va (VaSet +) +xt "95600,69700,102200,70700" +st "sequential" +blo "95600,70500" +tm "BdLibraryNameMgr" +) +*64 (Text +uid 2365,0 +va (VaSet +) +xt "95600,70700,97400,71700" +st "DFF" +blo "95600,71500" +tm "CptNameMgr" +) +*65 (Text +uid 2366,0 +va (VaSet +) +xt "95600,71700,96800,72700" +st "I5" +blo "95600,72500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2367,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2368,0 +text (MLText +uid 2369,0 +va (VaSet +isHidden 1 +) +xt "104000,69400,117400,70600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*66 (PortIoOut +uid 2445,0 +shape (CompositeShape +uid 2446,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2447,0 +sl 0 +ro 270 +xt "120500,45625,122000,46375" +) +(Line +uid 2448,0 +sl 0 +ro 270 +xt "120000,46000,120500,46000" +pts [ +"120000,46000" +"120500,46000" +] +) +] +) +tg (WTG +uid 2449,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2450,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "123000,45300,127200,46700" +st "side1" +blo "123000,46500" +tm "WireNameMgr" +) +s (Text +uid 2451,0 +va (VaSet +) +xt "123000,46700,123000,46700" +blo "123000,46700" +tm "SignalTypeMgr" +) +) +) +*67 (PortIoOut +uid 2452,0 +shape (CompositeShape +uid 2453,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2454,0 +sl 0 +ro 270 +xt "120500,47625,122000,48375" +) +(Line +uid 2455,0 +sl 0 +ro 270 +xt "120000,48000,120500,48000" +pts [ +"120000,48000" +"120500,48000" +] +) +] +) +tg (WTG +uid 2456,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2457,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "123000,47300,127200,48700" +st "side2" +blo "123000,48500" +tm "WireNameMgr" +) +s (Text +uid 2458,0 +va (VaSet +) +xt "123000,48700,123000,48700" +blo "123000,48700" +tm "SignalTypeMgr" +) +) +) +*68 (SaComponent +uid 2473,0 +optionalChildren [ +*69 (CptPort +uid 2482,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2483,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,81625,41000,82375" +) +tg (CPTG +uid 2484,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2485,0 +va (VaSet +) +xt "42000,81300,42600,82300" +st "D" +blo "42000,82100" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*70 (CptPort +uid 2486,0 +optionalChildren [ +*71 (FFT +pts [ +"41750,86000" +"41000,86375" +"41000,85625" +] +uid 2490,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,85625,41750,86375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2487,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,85625,41000,86375" +) +tg (CPTG +uid 2488,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2489,0 +va (VaSet +) +xt "42000,85400,43800,86400" +st "CLK" +blo "42000,86200" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*72 (CptPort +uid 2491,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2492,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43625,88000,44375,88750" +) +tg (CPTG +uid 2493,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2494,0 +va (VaSet +) +xt "43000,86600,44800,87600" +st "CLR" +blo "43000,87400" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*73 (CptPort +uid 2495,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2496,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "47000,81625,47750,82375" +) +tg (CPTG +uid 2497,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2498,0 +va (VaSet +) +xt "45400,81300,46000,82300" +st "Q" +ju 2 +blo "46000,82100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 2474,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,80000,47000,88000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 2475,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +uid 2476,0 +va (VaSet +) +xt "44600,87700,51200,88700" +st "sequential" +blo "44600,88500" +tm "BdLibraryNameMgr" +) +*75 (Text +uid 2477,0 +va (VaSet +) +xt "44600,88700,46400,89700" +st "DFF" +blo "44600,89500" +tm "CptNameMgr" +) +*76 (Text +uid 2478,0 +va (VaSet +) +xt "44600,89700,45800,90700" +st "I6" +blo "44600,90500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2479,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2480,0 +text (MLText +uid 2481,0 +va (VaSet +isHidden 1 +) +xt "48000,87400,61400,88600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*77 (Net +uid 2521,0 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 37 +suid 5,0 +) +declText (MLText +uid 2522,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,73000,15000,74000" +st "SIGNAL resetSynch : std_ulogic" +) +) +*78 (HdlText +uid 2543,0 +optionalChildren [ +*79 (EmbeddedText +uid 2548,0 +commentText (CommentText +uid 2549,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2550,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "29000,81000,35000,83000" +) +autoResize 1 +oxt "0,0,18000,5000" +text (MLText +uid 2551,0 +va (VaSet +) +xt "29200,81200,37400,82400" +st " +logic1 <= '1'; +" +tm "HdlTextMgr" +visibleHeight 2000 +visibleWidth 6000 +) +) +) +] +shape (Rectangle +uid 2544,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "28000,80000,36000,84000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2545,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 2546,0 +va (VaSet +) +xt "28400,84000,30200,85000" +st "eb1" +blo "28400,84800" +tm "HdlTextNameMgr" +) +*81 (Text +uid 2547,0 +va (VaSet +) +xt "28400,85000,29000,86000" +st "1" +blo "28400,85800" +tm "HdlTextNumberMgr" +) +] +) +) +*82 (Net +uid 2574,0 +decl (Decl +n "logic1" +t "std_uLogic" +o 35 +suid 6,0 +) +declText (MLText +uid 2575,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,2300,80000" +st "SIGNAL logic1 : std_uLogic" +) +) +*83 (SaComponent +uid 2576,0 +optionalChildren [ +*84 (CptPort +uid 2585,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2586,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "49250,81625,50000,82375" +) +tg (CPTG +uid 2587,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2588,0 +va (VaSet +isHidden 1 +) +xt "50000,81500,51800,82500" +st "in1" +blo "50000,82300" +) +s (Text +uid 2589,0 +va (VaSet +isHidden 1 +) +xt "50000,82500,50000,82500" +blo "50000,82500" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*85 (CptPort +uid 2590,0 +optionalChildren [ +*86 (Circle +uid 2595,0 +va (VaSet +fg "0,65535,0" +) +xt "55000,81625,55750,82375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2591,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "55750,81625,56500,82375" +) +tg (CPTG +uid 2592,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2593,0 +va (VaSet +isHidden 1 +) +xt "52350,81500,54750,82500" +st "out1" +ju 2 +blo "54750,82300" +) +s (Text +uid 2594,0 +va (VaSet +isHidden 1 +) +xt "54750,82500,54750,82500" +ju 2 +blo "54750,82500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 2577,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,79000,55000,85000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 2578,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 2579,0 +va (VaSet +isHidden 1 +) +xt "50910,77700,53910,78700" +st "gates" +blo "50910,78500" +tm "BdLibraryNameMgr" +) +*88 (Text +uid 2580,0 +va (VaSet +isHidden 1 +) +xt "50910,78700,55710,79700" +st "inverter" +blo "50910,79500" +tm "CptNameMgr" +) +*89 (Text +uid 2581,0 +va (VaSet +) +xt "50910,78700,52110,79700" +st "I7" +blo "50910,79500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2582,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2583,0 +text (MLText +uid 2584,0 +va (VaSet +isHidden 1 +) +xt "50000,85400,63400,86600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*90 (Net +uid 2602,0 +decl (Decl +n "resetSynch_n" +t "std_ulogic" +o 38 +suid 7,0 +) +declText (MLText +uid 2603,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,3400,80000" +st "SIGNAL resetSynch_n : std_ulogic" +) +) +*91 (SaComponent +uid 2624,0 +optionalChildren [ +*92 (CptPort +uid 2633,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2634,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "114000,63625,114750,64375" +) +tg (CPTG +uid 2635,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2636,0 +va (VaSet +isHidden 1 +) +xt "178950,63500,180750,64500" +st "in1" +ju 2 +blo "180750,64300" +) +s (Text +uid 2637,0 +va (VaSet +isHidden 1 +) +xt "180750,64500,180750,64500" +ju 2 +blo "180750,64500" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*93 (CptPort +uid 2638,0 +optionalChildren [ +*94 (Circle +uid 2643,0 +va (VaSet +fg "0,65535,0" +) +xt "108250,63625,109000,64375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 2639,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "107500,63625,108250,64375" +) +tg (CPTG +uid 2640,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2641,0 +va (VaSet +isHidden 1 +) +xt "170100,63500,172500,64500" +st "out1" +blo "170100,64300" +) +s (Text +uid 2642,0 +va (VaSet +isHidden 1 +) +xt "170100,64500,170100,64500" +blo "170100,64500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 2625,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109000,61000,114000,67000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 2626,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*95 (Text +uid 2627,0 +va (VaSet +isHidden 1 +) +xt "109910,59700,112910,60700" +st "gates" +blo "109910,60500" +tm "BdLibraryNameMgr" +) +*96 (Text +uid 2628,0 +va (VaSet +isHidden 1 +) +xt "109910,60700,114710,61700" +st "inverter" +blo "109910,61500" +tm "CptNameMgr" +) +*97 (Text +uid 2629,0 +va (VaSet +) +xt "109910,60700,111110,61700" +st "I8" +blo "109910,61500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2630,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2631,0 +text (MLText +uid 2632,0 +va (VaSet +isHidden 1 +) +xt "109000,67400,122400,68600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*98 (PortIoOut +uid 2673,0 +shape (CompositeShape +uid 2674,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2675,0 +sl 0 +ro 270 +xt "120500,31625,122000,32375" +) +(Line +uid 2676,0 +sl 0 +ro 270 +xt "120000,32000,120500,32000" +pts [ +"120000,32000" +"120500,32000" +] +) +] +) +tg (WTG +uid 2677,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2678,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "123000,31300,127000,32700" +st "LED1" +blo "123000,32500" +tm "WireNameMgr" +) +s (Text +uid 2679,0 +va (VaSet +font "Verdana,12,0" +) +xt "123000,32700,123000,32700" +blo "123000,32700" +tm "SignalTypeMgr" +) +) +) +*99 (Net +uid 2686,0 +decl (Decl +n "LED1" +t "std_uLogic" +o 18 +suid 8,0 +) +declText (MLText +uid 2687,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,-600,80000" +st "LED1 : std_uLogic" +) +) +*100 (PortIoOut +uid 2688,0 +shape (CompositeShape +uid 2689,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2690,0 +sl 0 +ro 270 +xt "120500,33625,122000,34375" +) +(Line +uid 2691,0 +sl 0 +ro 270 +xt "120000,34000,120500,34000" +pts [ +"120000,34000" +"120500,34000" +] +) +] +) +tg (WTG +uid 2692,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2693,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "123000,33300,127000,34700" +st "LED2" +blo "123000,34500" +tm "WireNameMgr" +) +s (Text +uid 2694,0 +va (VaSet +font "Verdana,12,0" +) +xt "123000,34700,123000,34700" +blo "123000,34700" +tm "SignalTypeMgr" +) +) +) +*101 (Net +uid 2701,0 +decl (Decl +n "LED2" +t "std_ulogic" +o 19 +suid 9,0 +) +declText (MLText +uid 2702,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,-800,80000" +st "LED2 : std_ulogic" +) +) +*102 (Net +uid 2784,0 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 TO testLineNb)" +o 46 +suid 10,0 +) +declText (MLText +uid 2785,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,13300,80000" +st "SIGNAL testOut : std_uLogic_vector(1 TO testLineNb)" +) +) +*103 (HdlText +uid 2792,0 +optionalChildren [ +*104 (EmbeddedText +uid 2797,0 +commentText (CommentText +uid 2798,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 2799,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "92000,29000,112000,39000" +) +oxt "0,0,18000,5000" +text (MLText +uid 2800,0 +va (VaSet +) +xt "92200,29200,108500,35200" +st " +LED1 <= testOut(16); +--LED2 <= not testOut(16); +LED2 <= testOut(15); + +LEDs <= testOut(1 to 8); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 10000 +visibleWidth 20000 +) +) +) +] +shape (Rectangle +uid 2793,0 +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "92000,28000,112000,40000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 2794,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*105 (Text +uid 2795,0 +va (VaSet +) +xt "92400,40000,94200,41000" +st "eb2" +blo "92400,40800" +tm "HdlTextNameMgr" +) +*106 (Text +uid 2796,0 +va (VaSet +) +xt "92400,41000,93000,42000" +st "2" +blo "92400,41800" +tm "HdlTextNumberMgr" +) +] +) +) +*107 (SaComponent +uid 2998,0 +optionalChildren [ +*108 (CptPort +uid 3007,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3008,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "32250,47625,33000,48375" +) +tg (CPTG +uid 3009,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3010,0 +va (VaSet +isHidden 1 +) +xt "33000,47500,34800,48500" +st "in1" +blo "33000,48300" +) +s (Text +uid 3011,0 +va (VaSet +isHidden 1 +) +xt "33000,48500,33000,48500" +blo "33000,48500" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*109 (CptPort +uid 3012,0 +optionalChildren [ +*110 (Circle +uid 3017,0 +va (VaSet +fg "0,65535,0" +) +xt "38000,47625,38750,48375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 3013,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "38750,47625,39500,48375" +) +tg (CPTG +uid 3014,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3015,0 +va (VaSet +isHidden 1 +) +xt "35350,47500,37750,48500" +st "out1" +ju 2 +blo "37750,48300" +) +s (Text +uid 3016,0 +va (VaSet +isHidden 1 +) +xt "37750,48500,37750,48500" +ju 2 +blo "37750,48500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 2999,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,45000,38000,51000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 3000,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*111 (Text +uid 3001,0 +va (VaSet +isHidden 1 +) +xt "33910,43700,36910,44700" +st "gates" +blo "33910,44500" +tm "BdLibraryNameMgr" +) +*112 (Text +uid 3002,0 +va (VaSet +isHidden 1 +) +xt "33910,44700,38710,45700" +st "inverter" +blo "33910,45500" +tm "CptNameMgr" +) +*113 (Text +uid 3003,0 +va (VaSet +) +xt "33910,44700,35110,45700" +st "I9" +blo "33910,45500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3004,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3005,0 +text (MLText +uid 3006,0 +va (VaSet +isHidden 1 +) +xt "33000,51400,46400,52600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*114 (SaComponent +uid 3024,0 +optionalChildren [ +*115 (CptPort +uid 3033,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3034,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "32250,23625,33000,24375" +) +tg (CPTG +uid 3035,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3036,0 +va (VaSet +isHidden 1 +) +xt "33000,23500,34800,24500" +st "in1" +blo "33000,24300" +) +s (Text +uid 3037,0 +va (VaSet +isHidden 1 +) +xt "33000,24500,33000,24500" +blo "33000,24500" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*116 (CptPort +uid 3038,0 +optionalChildren [ +*117 (Circle +uid 3043,0 +va (VaSet +fg "0,65535,0" +) +xt "38000,23625,38750,24375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 3039,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "38750,23625,39500,24375" +) +tg (CPTG +uid 3040,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3041,0 +va (VaSet +isHidden 1 +) +xt "35350,23500,37750,24500" +st "out1" +ju 2 +blo "37750,24300" +) +s (Text +uid 3042,0 +va (VaSet +isHidden 1 +) +xt "37750,24500,37750,24500" +ju 2 +blo "37750,24500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 3025,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,21000,38000,27000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 3026,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*118 (Text +uid 3027,0 +va (VaSet +isHidden 1 +) +xt "33910,19700,36910,20700" +st "gates" +blo "33910,20500" +tm "BdLibraryNameMgr" +) +*119 (Text +uid 3028,0 +va (VaSet +isHidden 1 +) +xt "33910,20700,38710,21700" +st "inverter" +blo "33910,21500" +tm "CptNameMgr" +) +*120 (Text +uid 3029,0 +va (VaSet +) +xt "33910,20700,35710,21700" +st "I10" +blo "33910,21500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3030,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3031,0 +text (MLText +uid 3032,0 +va (VaSet +isHidden 1 +) +xt "33000,27400,46400,28600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*121 (SaComponent +uid 3044,0 +optionalChildren [ +*122 (CptPort +uid 3053,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3054,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "32250,59625,33000,60375" +) +tg (CPTG +uid 3055,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3056,0 +va (VaSet +isHidden 1 +) +xt "33000,59500,34800,60500" +st "in1" +blo "33000,60300" +) +s (Text +uid 3057,0 +va (VaSet +isHidden 1 +) +xt "33000,60500,33000,60500" +blo "33000,60500" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*123 (CptPort +uid 3058,0 +optionalChildren [ +*124 (Circle +uid 3063,0 +va (VaSet +fg "0,65535,0" +) +xt "38000,59625,38750,60375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 3059,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "38750,59625,39500,60375" +) +tg (CPTG +uid 3060,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3061,0 +va (VaSet +isHidden 1 +) +xt "35350,59500,37750,60500" +st "out1" +ju 2 +blo "37750,60300" +) +s (Text +uid 3062,0 +va (VaSet +isHidden 1 +) +xt "37750,60500,37750,60500" +ju 2 +blo "37750,60500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 3045,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,57000,38000,63000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 3046,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*125 (Text +uid 3047,0 +va (VaSet +isHidden 1 +) +xt "33910,55700,36910,56700" +st "gates" +blo "33910,56500" +tm "BdLibraryNameMgr" +) +*126 (Text +uid 3048,0 +va (VaSet +isHidden 1 +) +xt "33910,56700,38710,57700" +st "inverter" +blo "33910,57500" +tm "CptNameMgr" +) +*127 (Text +uid 3049,0 +va (VaSet +) +xt "33910,56700,35710,57700" +st "I11" +blo "33910,57500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3050,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3051,0 +text (MLText +uid 3052,0 +va (VaSet +isHidden 1 +) +xt "33000,63400,46400,64600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*128 (PortIoIn +uid 3216,0 +shape (CompositeShape +uid 3217,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 3218,0 +sl 0 +ro 270 +xt "26000,35625,27500,36375" +) +(Line +uid 3219,0 +sl 0 +ro 270 +xt "27500,36000,28000,36000" +pts [ +"27500,36000" +"28000,36000" +] +) +] +) +tg (WTG +uid 3220,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3221,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "20200,35300,25000,36700" +st "go1_n" +ju 2 +blo "25000,36500" +tm "WireNameMgr" +) +s (Text +uid 3222,0 +va (VaSet +) +xt "20200,36700,20200,36700" +ju 2 +blo "20200,36700" +tm "SignalTypeMgr" +) +) +) +*129 (SaComponent +uid 3223,0 +optionalChildren [ +*130 (CptPort +uid 3232,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3233,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "32250,35625,33000,36375" +) +tg (CPTG +uid 3234,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3235,0 +va (VaSet +isHidden 1 +) +xt "33000,35500,34800,36500" +st "in1" +blo "33000,36300" +) +s (Text +uid 3236,0 +va (VaSet +isHidden 1 +) +xt "33000,36500,33000,36500" +blo "33000,36500" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*131 (CptPort +uid 3237,0 +optionalChildren [ +*132 (Circle +uid 3242,0 +va (VaSet +fg "0,65535,0" +) +xt "38000,35625,38750,36375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 3238,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "38750,35625,39500,36375" +) +tg (CPTG +uid 3239,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3240,0 +va (VaSet +isHidden 1 +) +xt "35350,35500,37750,36500" +st "out1" +ju 2 +blo "37750,36300" +) +s (Text +uid 3241,0 +va (VaSet +isHidden 1 +) +xt "37750,36500,37750,36500" +ju 2 +blo "37750,36500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 3224,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,33000,38000,39000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 3225,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*133 (Text +uid 3226,0 +va (VaSet +isHidden 1 +) +xt "33910,31700,36910,32700" +st "gates" +blo "33910,32500" +tm "BdLibraryNameMgr" +) +*134 (Text +uid 3227,0 +va (VaSet +isHidden 1 +) +xt "33910,32700,38710,33700" +st "inverter" +blo "33910,33500" +tm "CptNameMgr" +) +*135 (Text +uid 3228,0 +va (VaSet +) +xt "33910,32700,35710,33700" +st "I12" +blo "33910,33500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3229,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3230,0 +text (MLText +uid 3231,0 +va (VaSet +isHidden 1 +) +xt "33000,39400,46400,40600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*136 (SaComponent +uid 3243,0 +optionalChildren [ +*137 (CptPort +uid 3252,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3253,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,35625,41000,36375" +) +tg (CPTG +uid 3254,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3255,0 +va (VaSet +) +xt "42000,35300,42600,36300" +st "D" +blo "42000,36100" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*138 (CptPort +uid 3256,0 +optionalChildren [ +*139 (FFT +pts [ +"41750,40000" +"41000,40375" +"41000,39625" +] +uid 3260,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,39625,41750,40375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 3257,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "40250,39625,41000,40375" +) +tg (CPTG +uid 3258,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3259,0 +va (VaSet +) +xt "42000,39400,43800,40400" +st "CLK" +blo "42000,40200" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*140 (CptPort +uid 3261,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3262,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "43625,42000,44375,42750" +) +tg (CPTG +uid 3263,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3264,0 +va (VaSet +) +xt "43000,40600,44800,41600" +st "CLR" +blo "43000,41400" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*141 (CptPort +uid 3265,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3266,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "47000,35625,47750,36375" +) +tg (CPTG +uid 3267,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3268,0 +va (VaSet +) +xt "45400,35300,46000,36300" +st "Q" +ju 2 +blo "46000,36100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 3244,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,34000,47000,42000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 3245,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*142 (Text +uid 3246,0 +va (VaSet +) +xt "44600,41700,51200,42700" +st "sequential" +blo "44600,42500" +tm "BdLibraryNameMgr" +) +*143 (Text +uid 3247,0 +va (VaSet +) +xt "44600,42700,46400,43700" +st "DFF" +blo "44600,43500" +tm "CptNameMgr" +) +*144 (Text +uid 3248,0 +va (VaSet +) +xt "44600,43700,46400,44700" +st "I13" +blo "44600,44500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3249,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3250,0 +text (MLText +uid 3251,0 +va (VaSet +isHidden 1 +) +xt "48000,41400,61400,42600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*145 (Net +uid 3308,0 +decl (Decl +n "restart" +t "std_uLogic" +o 39 +suid 11,0 +) +declText (MLText +uid 3309,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,2300,80000" +st "SIGNAL restart : std_uLogic" +) +) +*146 (Net +uid 3310,0 +decl (Decl +n "restart_n" +t "std_uLogic" +o 9 +suid 12,0 +) +declText (MLText +uid 3311,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,-500,80000" +st "restart_n : std_uLogic" +) +) +*147 (Net +uid 3312,0 +decl (Decl +n "restartSynch" +t "std_uLogic" +o 40 +suid 13,0 +) +declText (MLText +uid 3313,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,3200,80000" +st "SIGNAL restartSynch : std_uLogic" +) +) +*148 (Net +uid 3324,0 +decl (Decl +n "sensor1_n" +t "std_uLogic" +o 10 +suid 14,0 +) +declText (MLText +uid 3325,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,0,80000" +st "sensor1_n : std_uLogic" +) +) +*149 (Net +uid 3326,0 +decl (Decl +n "sensor1" +t "std_uLogic" +o 41 +suid 15,0 +) +declText (MLText +uid 3327,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,2800,80000" +st "SIGNAL sensor1 : std_uLogic" +) +) +*150 (Net +uid 3328,0 +decl (Decl +n "sensor1Synch" +t "std_uLogic" +o 42 +suid 16,0 +) +declText (MLText +uid 3329,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,3700,80000" +st "SIGNAL sensor1Synch : std_uLogic" +) +) +*151 (SaComponent +uid 3330,0 +optionalChildren [ +*152 (CptPort +uid 3339,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3340,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "103000,51625,103750,52375" +) +tg (CPTG +uid 3341,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3342,0 +va (VaSet +) +xt "101400,51300,102000,52300" +st "D" +ju 2 +blo "102000,52100" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*153 (CptPort +uid 3343,0 +optionalChildren [ +*154 (FFT +pts [ +"102250,56000" +"103000,55625" +"103000,56375" +] +uid 3347,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "102250,55625,103000,56375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 3344,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "103000,55625,103750,56375" +) +tg (CPTG +uid 3345,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3346,0 +va (VaSet +) +xt "100200,55400,102000,56400" +st "CLK" +ju 2 +blo "102000,56200" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*155 (CptPort +uid 3348,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3349,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "99625,58000,100375,58750" +) +tg (CPTG +uid 3350,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3351,0 +va (VaSet +) +xt "98600,56600,100400,57600" +st "CLR" +blo "98600,57400" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*156 (CptPort +uid 3352,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3353,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "96250,51625,97000,52375" +) +tg (CPTG +uid 3354,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3355,0 +va (VaSet +) +xt "98000,51300,98600,52300" +st "Q" +blo "98000,52100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 3331,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97000,50000,103000,58000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 3332,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*157 (Text +uid 3333,0 +va (VaSet +) +xt "95600,57700,102200,58700" +st "sequential" +blo "95600,58500" +tm "BdLibraryNameMgr" +) +*158 (Text +uid 3334,0 +va (VaSet +) +xt "95600,58700,97400,59700" +st "DFF" +blo "95600,59500" +tm "CptNameMgr" +) +*159 (Text +uid 3335,0 +va (VaSet +) +xt "95600,59700,97400,60700" +st "I14" +blo "95600,60500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3336,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3337,0 +text (MLText +uid 3338,0 +va (VaSet +isHidden 1 +) +xt "104000,57400,117400,58600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*160 (SaComponent +uid 3356,0 +optionalChildren [ +*161 (CptPort +uid 3365,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3366,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "114000,51625,114750,52375" +) +tg (CPTG +uid 3367,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3368,0 +va (VaSet +isHidden 1 +) +xt "178950,51500,180750,52500" +st "in1" +ju 2 +blo "180750,52300" +) +s (Text +uid 3369,0 +va (VaSet +isHidden 1 +) +xt "180750,52500,180750,52500" +ju 2 +blo "180750,52500" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*162 (CptPort +uid 3370,0 +optionalChildren [ +*163 (Circle +uid 3375,0 +va (VaSet +fg "0,65535,0" +) +xt "108250,51625,109000,52375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 3371,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "107500,51625,108250,52375" +) +tg (CPTG +uid 3372,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3373,0 +va (VaSet +isHidden 1 +) +xt "170100,51500,172500,52500" +st "out1" +blo "170100,52300" +) +s (Text +uid 3374,0 +va (VaSet +isHidden 1 +) +xt "170100,52500,170100,52500" +blo "170100,52500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 3357,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109000,49000,114000,55000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 3358,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*164 (Text +uid 3359,0 +va (VaSet +isHidden 1 +) +xt "109910,47700,112910,48700" +st "gates" +blo "109910,48500" +tm "BdLibraryNameMgr" +) +*165 (Text +uid 3360,0 +va (VaSet +isHidden 1 +) +xt "109910,48700,114710,49700" +st "inverter" +blo "109910,49500" +tm "CptNameMgr" +) +*166 (Text +uid 3361,0 +va (VaSet +) +xt "109910,48700,111710,49700" +st "I15" +blo "109910,49500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3362,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3363,0 +text (MLText +uid 3364,0 +va (VaSet +isHidden 1 +) +xt "109000,55400,122400,56600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*167 (PortIoIn +uid 3376,0 +shape (CompositeShape +uid 3377,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 3378,0 +sl 0 +ro 90 +xt "120500,51625,122000,52375" +) +(Line +uid 3379,0 +sl 0 +ro 90 +xt "120000,52000,120500,52000" +pts [ +"120500,52000" +"120000,52000" +] +) +] +) +tg (WTG +uid 3380,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3381,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "123000,51300,130500,52700" +st "sensor1_n" +blo "123000,52500" +tm "WireNameMgr" +) +s (Text +uid 3382,0 +va (VaSet +) +xt "123000,52700,123000,52700" +blo "123000,52700" +tm "SignalTypeMgr" +) +) +) +*168 (Net +uid 3410,0 +decl (Decl +n "sensor2Synch" +t "std_uLogic" +o 44 +suid 17,0 +) +declText (MLText +uid 3411,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,3700,80000" +st "SIGNAL sensor2Synch : std_uLogic" +) +) +*169 (Net +uid 3412,0 +decl (Decl +n "sensor2" +t "std_uLogic" +o 43 +suid 18,0 +) +declText (MLText +uid 3413,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,2800,80000" +st "SIGNAL sensor2 : std_uLogic" +) +) +*170 (Net +uid 3414,0 +decl (Decl +n "sensor2_n" +t "std_uLogic" +o 11 +suid 19,0 +) +declText (MLText +uid 3415,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,0,80000" +st "sensor2_n : std_uLogic" +) +) +*171 (Net +uid 3527,0 +decl (Decl +n "motorOn" +t "std_uLogic" +o 21 +suid 20,0 +) +declText (MLText +uid 3528,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,0,80000" +st "motorOn : std_uLogic" +) +) +*172 (PortIoOut +uid 3535,0 +shape (CompositeShape +uid 3536,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 3537,0 +sl 0 +ro 270 +xt "120500,43625,122000,44375" +) +(Line +uid 3538,0 +sl 0 +ro 270 +xt "120000,44000,120500,44000" +pts [ +"120000,44000" +"120500,44000" +] +) +] +) +tg (WTG +uid 3539,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3540,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "123000,43300,129300,44700" +st "motorOn" +blo "123000,44500" +tm "WireNameMgr" +) +s (Text +uid 3541,0 +va (VaSet +font "Verdana,12,0" +) +xt "123000,44700,123000,44700" +blo "123000,44700" +tm "SignalTypeMgr" +) +) +) +*173 (PortIoOut +uid 3736,0 +shape (CompositeShape +uid 3737,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 3738,0 +sl 0 +ro 270 +xt "120500,35625,122000,36375" +) +(Line +uid 3739,0 +sl 0 +ro 270 +xt "120000,36000,120500,36000" +pts [ +"120000,36000" +"120500,36000" +] +) +] +) +tg (WTG +uid 3740,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3741,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "123000,35300,134100,36700" +st "LEDs : (1 TO 8)" +blo "123000,36500" +tm "WireNameMgr" +) +s (Text +uid 3742,0 +va (VaSet +font "Verdana,12,0" +) +xt "123000,36700,123000,36700" +blo "123000,36700" +tm "SignalTypeMgr" +) +) +) +*174 (Net +uid 3920,0 +decl (Decl +n "side1" +t "std_uLogic" +o 22 +suid 22,0 +) +declText (MLText +uid 3921,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,-800,80000" +st "side1 : std_uLogic" +) +) +*175 (Net +uid 3922,0 +decl (Decl +n "side2" +t "std_uLogic" +o 23 +suid 23,0 +) +declText (MLText +uid 3923,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-12000,79000,-800,80000" +st "side2 : std_uLogic" +) +) +*176 (Net +uid 4071,0 +decl (Decl +n "setPoint" +t "std_uLogic" +o 45 +suid 25,0 +) +declText (MLText +uid 4072,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-53000,78600,-38400,79600" +st "SIGNAL setPoint : std_uLogic" +) +) +*177 (Net +uid 4075,0 +decl (Decl +n "go2Synch" +t "std_uLogic" +o 34 +suid 27,0 +) +declText (MLText +uid 4076,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-53000,78600,-37700,79600" +st "SIGNAL go2Synch : std_uLogic" +) +) +*178 (Net +uid 4077,0 +decl (Decl +n "go2" +t "std_uLogic" +o 33 +suid 28,0 +) +declText (MLText +uid 4078,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-53000,78600,-38600,79600" +st "SIGNAL go2 : std_uLogic" +) +) +*179 (Net +uid 4079,0 +decl (Decl +n "go2_n" +t "std_uLogic" +o 7 +suid 29,0 +) +declText (MLText +uid 4080,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-53000,78600,-41400,79600" +st "go2_n : std_uLogic" +) +) +*180 (Net +uid 4081,0 +decl (Decl +n "go1Synch" +t "std_uLogic" +o 32 +suid 30,0 +) +declText (MLText +uid 4082,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-53000,78600,-37700,79600" +st "SIGNAL go1Synch : std_uLogic" +) +) +*181 (Net +uid 4083,0 +decl (Decl +n "go1" +t "std_uLogic" +o 31 +suid 31,0 +) +declText (MLText +uid 4084,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-53000,78600,-38600,79600" +st "SIGNAL go1 : std_uLogic" +) +) +*182 (Net +uid 4085,0 +decl (Decl +n "go1_n" +t "std_uLogic" +o 6 +suid 32,0 +) +declText (MLText +uid 4086,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-53000,78600,-41400,79600" +st "go1_n : std_uLogic" +) +) +*183 (SaComponent +uid 4087,0 +optionalChildren [ +*184 (CptPort +uid 4096,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4097,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "103000,87625,103750,88375" +) +tg (CPTG +uid 4098,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4099,0 +va (VaSet +) +xt "101400,87300,102000,88300" +st "D" +ju 2 +blo "102000,88100" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*185 (CptPort +uid 4100,0 +optionalChildren [ +*186 (FFT +pts [ +"102250,92000" +"103000,91625" +"103000,92375" +] +uid 4104,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "102250,91625,103000,92375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 4101,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "103000,91625,103750,92375" +) +tg (CPTG +uid 4102,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4103,0 +va (VaSet +) +xt "100200,91400,102000,92400" +st "CLK" +ju 2 +blo "102000,92200" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*187 (CptPort +uid 4105,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4106,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "99625,94000,100375,94750" +) +tg (CPTG +uid 4107,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4108,0 +va (VaSet +) +xt "98600,92600,100400,93600" +st "CLR" +blo "98600,93400" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*188 (CptPort +uid 4109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4110,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "96250,87625,97000,88375" +) +tg (CPTG +uid 4111,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4112,0 +va (VaSet +) +xt "98000,87300,98600,88300" +st "Q" +blo "98000,88100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 4088,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97000,86000,103000,94000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 4089,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*189 (Text +uid 4090,0 +va (VaSet +) +xt "95600,93700,102200,94700" +st "sequential" +blo "95600,94500" +tm "BdLibraryNameMgr" +) +*190 (Text +uid 4091,0 +va (VaSet +) +xt "95600,94700,97400,95700" +st "DFF" +blo "95600,95500" +tm "CptNameMgr" +) +*191 (Text +uid 4092,0 +va (VaSet +) +xt "95600,95700,97400,96700" +st "I16" +blo "95600,96500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4093,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4094,0 +text (MLText +uid 4095,0 +va (VaSet +isHidden 1 +) +xt "104000,93400,117400,94600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*192 (SaComponent +uid 4113,0 +optionalChildren [ +*193 (CptPort +uid 4122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4123,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "103000,75625,103750,76375" +) +tg (CPTG +uid 4124,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4125,0 +va (VaSet +) +xt "101400,75300,102000,76300" +st "D" +ju 2 +blo "102000,76100" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*194 (CptPort +uid 4126,0 +optionalChildren [ +*195 (FFT +pts [ +"102250,80000" +"103000,79625" +"103000,80375" +] +uid 4130,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "102250,79625,103000,80375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 4127,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "103000,79625,103750,80375" +) +tg (CPTG +uid 4128,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4129,0 +va (VaSet +) +xt "100200,79400,102000,80400" +st "CLK" +ju 2 +blo "102000,80200" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*196 (CptPort +uid 4131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4132,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "99625,82000,100375,82750" +) +tg (CPTG +uid 4133,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4134,0 +va (VaSet +) +xt "98600,80600,100400,81600" +st "CLR" +blo "98600,81400" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*197 (CptPort +uid 4135,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4136,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "96250,75625,97000,76375" +) +tg (CPTG +uid 4137,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4138,0 +va (VaSet +) +xt "98000,75300,98600,76300" +st "Q" +blo "98000,76100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 4114,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97000,74000,103000,82000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 4115,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*198 (Text +uid 4116,0 +va (VaSet +) +xt "95600,81700,102200,82700" +st "sequential" +blo "95600,82500" +tm "BdLibraryNameMgr" +) +*199 (Text +uid 4117,0 +va (VaSet +) +xt "95600,82700,97400,83700" +st "DFF" +blo "95600,83500" +tm "CptNameMgr" +) +*200 (Text +uid 4118,0 +va (VaSet +) +xt "95600,83700,97400,84700" +st "I17" +blo "95600,84500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4119,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4120,0 +text (MLText +uid 4121,0 +va (VaSet +isHidden 1 +) +xt "104000,81400,117400,82600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*201 (SaComponent +uid 4139,0 +optionalChildren [ +*202 (CptPort +uid 4148,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4149,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "114000,87625,114750,88375" +) +tg (CPTG +uid 4150,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4151,0 +va (VaSet +isHidden 1 +) +xt "178950,87500,180750,88500" +st "in1" +ju 2 +blo "180750,88300" +) +s (Text +uid 4152,0 +va (VaSet +isHidden 1 +) +xt "180750,88500,180750,88500" +ju 2 +blo "180750,88500" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*203 (CptPort +uid 4153,0 +optionalChildren [ +*204 (Circle +uid 4158,0 +va (VaSet +fg "0,65535,0" +) +xt "108250,87625,109000,88375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 4154,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "107500,87625,108250,88375" +) +tg (CPTG +uid 4155,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4156,0 +va (VaSet +isHidden 1 +) +xt "170100,87500,172500,88500" +st "out1" +blo "170100,88300" +) +s (Text +uid 4157,0 +va (VaSet +isHidden 1 +) +xt "170100,88500,170100,88500" +blo "170100,88500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 4140,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109000,85000,114000,91000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 4141,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*205 (Text +uid 4142,0 +va (VaSet +isHidden 1 +) +xt "109910,83700,112910,84700" +st "gates" +blo "109910,84500" +tm "BdLibraryNameMgr" +) +*206 (Text +uid 4143,0 +va (VaSet +isHidden 1 +) +xt "109910,84700,114710,85700" +st "inverter" +blo "109910,85500" +tm "CptNameMgr" +) +*207 (Text +uid 4144,0 +va (VaSet +) +xt "109910,84700,111710,85700" +st "I18" +blo "109910,85500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4145,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4146,0 +text (MLText +uid 4147,0 +va (VaSet +isHidden 1 +) +xt "109000,91400,122400,92600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*208 (SaComponent +uid 4159,0 +optionalChildren [ +*209 (CptPort +uid 4168,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4169,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "114000,75625,114750,76375" +) +tg (CPTG +uid 4170,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4171,0 +va (VaSet +isHidden 1 +) +xt "178950,75500,180750,76500" +st "in1" +ju 2 +blo "180750,76300" +) +s (Text +uid 4172,0 +va (VaSet +isHidden 1 +) +xt "180750,76500,180750,76500" +ju 2 +blo "180750,76500" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*210 (CptPort +uid 4173,0 +optionalChildren [ +*211 (Circle +uid 4178,0 +va (VaSet +fg "0,65535,0" +) +xt "108250,75625,109000,76375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 4174,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "107500,75625,108250,76375" +) +tg (CPTG +uid 4175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4176,0 +va (VaSet +isHidden 1 +) +xt "170100,75500,172500,76500" +st "out1" +blo "170100,76300" +) +s (Text +uid 4177,0 +va (VaSet +isHidden 1 +) +xt "170100,76500,170100,76500" +blo "170100,76500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 4160,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109000,73000,114000,79000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 4161,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*212 (Text +uid 4162,0 +va (VaSet +isHidden 1 +) +xt "109910,71700,112910,72700" +st "gates" +blo "109910,72500" +tm "BdLibraryNameMgr" +) +*213 (Text +uid 4163,0 +va (VaSet +isHidden 1 +) +xt "109910,72700,114710,73700" +st "inverter" +blo "109910,73500" +tm "CptNameMgr" +) +*214 (Text +uid 4164,0 +va (VaSet +) +xt "109910,72700,111710,73700" +st "I19" +blo "109910,73500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4165,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4166,0 +text (MLText +uid 4167,0 +va (VaSet +isHidden 1 +) +xt "109000,79400,122400,80600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*215 (PortIoIn +uid 4179,0 +shape (CompositeShape +uid 4180,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4181,0 +sl 0 +ro 90 +xt "120500,87625,122000,88375" +) +(Line +uid 4182,0 +sl 0 +ro 90 +xt "120000,88000,120500,88000" +pts [ +"120500,88000" +"120000,88000" +] +) +] +) +tg (WTG +uid 4183,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4184,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "123000,87300,132100,88700" +st "encoderB_n" +blo "123000,88500" +tm "WireNameMgr" +) +s (Text +uid 4185,0 +va (VaSet +) +xt "123000,88700,123000,88700" +blo "123000,88700" +tm "SignalTypeMgr" +) +) +) +*216 (PortIoIn +uid 4186,0 +shape (CompositeShape +uid 4187,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4188,0 +sl 0 +ro 90 +xt "120500,75625,122000,76375" +) +(Line +uid 4189,0 +sl 0 +ro 90 +xt "120000,76000,120500,76000" +pts [ +"120500,76000" +"120000,76000" +] +) +] +) +tg (WTG +uid 4190,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4191,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "123000,75300,132100,76700" +st "encoderA_n" +blo "123000,76500" +tm "WireNameMgr" +) +s (Text +uid 4192,0 +va (VaSet +) +xt "123000,76700,123000,76700" +blo "123000,76700" +tm "SignalTypeMgr" +) +) +) +*217 (SaComponent +uid 4235,0 +optionalChildren [ +*218 (CptPort +uid 4244,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4245,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "103000,99625,103750,100375" +) +tg (CPTG +uid 4246,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4247,0 +va (VaSet +) +xt "101400,99300,102000,100300" +st "D" +ju 2 +blo "102000,100100" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +) +) +) +*219 (CptPort +uid 4248,0 +optionalChildren [ +*220 (FFT +pts [ +"102250,104000" +"103000,103625" +"103000,104375" +] +uid 4252,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "102250,103625,103000,104375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 4249,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "103000,103625,103750,104375" +) +tg (CPTG +uid 4250,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4251,0 +va (VaSet +) +xt "100200,103400,102000,104400" +st "CLK" +ju 2 +blo "102000,104200" +) +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +) +) +) +*221 (CptPort +uid 4253,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4254,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "99625,106000,100375,106750" +) +tg (CPTG +uid 4255,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4256,0 +va (VaSet +) +xt "98600,104600,100400,105600" +st "CLR" +blo "98600,105400" +) +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +) +) +) +*222 (CptPort +uid 4257,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4258,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "96250,99625,97000,100375" +) +tg (CPTG +uid 4259,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4260,0 +va (VaSet +) +xt "98000,99300,98600,100300" +st "Q" +blo "98000,100100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +) +) +) +] +shape (Rectangle +uid 4236,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97000,98000,103000,106000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 4237,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*223 (Text +uid 4238,0 +va (VaSet +) +xt "95600,105700,102200,106700" +st "sequential" +blo "95600,106500" +tm "BdLibraryNameMgr" +) +*224 (Text +uid 4239,0 +va (VaSet +) +xt "95600,106700,97400,107700" +st "DFF" +blo "95600,107500" +tm "CptNameMgr" +) +*225 (Text +uid 4240,0 +va (VaSet +) +xt "95600,107700,97400,108700" +st "I20" +blo "95600,108500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4241,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4242,0 +text (MLText +uid 4243,0 +va (VaSet +isHidden 1 +) +xt "104000,105400,117400,106600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*226 (SaComponent +uid 4261,0 +optionalChildren [ +*227 (CptPort +uid 4270,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4271,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "114000,99625,114750,100375" +) +tg (CPTG +uid 4272,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4273,0 +va (VaSet +isHidden 1 +) +xt "178950,99500,180750,100500" +st "in1" +ju 2 +blo "180750,100300" +) +s (Text +uid 4274,0 +va (VaSet +isHidden 1 +) +xt "180750,100500,180750,100500" +ju 2 +blo "180750,100500" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +) +) +) +*228 (CptPort +uid 4275,0 +optionalChildren [ +*229 (Circle +uid 4280,0 +va (VaSet +fg "0,65535,0" +) +xt "108250,99625,109000,100375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 4276,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "107500,99625,108250,100375" +) +tg (CPTG +uid 4277,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4278,0 +va (VaSet +isHidden 1 +) +xt "170100,99500,172500,100500" +st "out1" +blo "170100,100300" +) +s (Text +uid 4279,0 +va (VaSet +isHidden 1 +) +xt "170100,100500,170100,100500" +blo "170100,100500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +) +) +) +] +shape (Buf +uid 4262,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "109000,97000,114000,103000" +) +showPorts 0 +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 4263,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*230 (Text +uid 4264,0 +va (VaSet +isHidden 1 +) +xt "109910,95700,112910,96700" +st "gates" +blo "109910,96500" +tm "BdLibraryNameMgr" +) +*231 (Text +uid 4265,0 +va (VaSet +isHidden 1 +) +xt "109910,96700,114710,97700" +st "inverter" +blo "109910,97500" +tm "CptNameMgr" +) +*232 (Text +uid 4266,0 +va (VaSet +) +xt "109910,96700,111710,97700" +st "I21" +blo "109910,97500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4267,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4268,0 +text (MLText +uid 4269,0 +va (VaSet +isHidden 1 +) +xt "109000,103400,122400,104600" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*233 (PortIoIn +uid 4281,0 +shape (CompositeShape +uid 4282,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4283,0 +sl 0 +ro 90 +xt "120500,99625,122000,100375" +) +(Line +uid 4284,0 +sl 0 +ro 90 +xt "120000,100000,120500,100000" +pts [ +"120500,100000" +"120000,100000" +] +) +] +) +tg (WTG +uid 4285,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4286,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "123000,99300,131800,100700" +st "encoderI_n" +blo "123000,100500" +tm "WireNameMgr" +) +s (Text +uid 4287,0 +va (VaSet +) +xt "123000,100700,123000,100700" +blo "123000,100700" +tm "SignalTypeMgr" +) +) +) +*234 (Net +uid 4335,0 +decl (Decl +n "encoderASynch" +t "std_uLogic" +o 26 +suid 33,0 +) +declText (MLText +uid 4336,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,74600,16000,75600" +st "SIGNAL encoderASynch : std_uLogic" +) +) +*235 (Net +uid 4341,0 +decl (Decl +n "encoderBSynch" +t "std_uLogic" +o 28 +suid 34,0 +) +declText (MLText +uid 4342,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,74600,16000,75600" +st "SIGNAL encoderBSynch : std_uLogic" +) +) +*236 (Net +uid 4343,0 +decl (Decl +n "encoderB" +t "std_uLogic" +o 27 +suid 35,0 +) +declText (MLText +uid 4344,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,74600,15100,75600" +st "SIGNAL encoderB : std_uLogic" +) +) +*237 (Net +uid 4347,0 +decl (Decl +n "encoderISynch" +t "std_uLogic" +o 30 +suid 36,0 +) +declText (MLText +uid 4348,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,74600,15800,75600" +st "SIGNAL encoderISynch : std_uLogic" +) +) +*238 (Net +uid 4349,0 +decl (Decl +n "encoderI" +t "std_uLogic" +o 29 +suid 37,0 +) +declText (MLText +uid 4350,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,74600,14900,75600" +st "SIGNAL encoderI : std_uLogic" +) +) +*239 (Net +uid 4351,0 +decl (Decl +n "encoderI_n" +t "std_uLogic" +o 5 +suid 38,0 +) +declText (MLText +uid 4352,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,74600,12100,75600" +st "encoderI_n : std_uLogic" +) +) +*240 (Net +uid 4353,0 +decl (Decl +n "encoderA" +t "std_uLogic" +o 25 +suid 39,0 +) +declText (MLText +uid 4354,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,74600,15100,75600" +st "SIGNAL encoderA : std_uLogic" +) +) +*241 (Net +uid 4355,0 +decl (Decl +n "encoderA_n" +t "std_uLogic" +o 3 +suid 40,0 +) +declText (MLText +uid 4356,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,74600,12300,75600" +st "encoderA_n : std_uLogic" +) +) +*242 (Net +uid 4357,0 +decl (Decl +n "encoderB_n" +t "std_uLogic" +o 4 +suid 41,0 +) +declText (MLText +uid 4358,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,74600,12300,75600" +st "encoderB_n : std_uLogic" +) +) +*243 (Net +uid 4689,0 +decl (Decl +n "button4_n" +t "std_uLogic" +o 1 +suid 42,0 +) +declText (MLText +uid 4690,0 +va (VaSet +isHidden 1 +) +xt "0,74600,14900,75800" +st "button4_n : std_uLogic" +) +) +*244 (Net +uid 4691,0 +decl (Decl +n "button4Synch" +t "std_uLogic" +o 24 +suid 43,0 +) +declText (MLText +uid 4692,0 +va (VaSet +isHidden 1 +) +xt "0,74600,20400,75800" +st "SIGNAL button4Synch : std_uLogic" +) +) +*245 (PortIoOut +uid 4922,0 +shape (CompositeShape +uid 4923,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4924,0 +sl 0 +ro 90 +xt "58000,63625,59500,64375" +) +(Line +uid 4925,0 +sl 0 +ro 90 +xt "59500,64000,60000,64000" +pts [ +"60000,64000" +"59500,64000" +] +) +] +) +tg (WTG +uid 4926,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4927,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "48800,63300,57000,64700" +st "LCD_CS1_n" +ju 2 +blo "57000,64500" +tm "WireNameMgr" +) +s (Text +uid 4928,0 +va (VaSet +font "Verdana,12,0" +) +xt "48800,64700,48800,64700" +ju 2 +blo "48800,64700" +tm "SignalTypeMgr" +) +) +) +*246 (PortIoOut +uid 4929,0 +shape (CompositeShape +uid 4930,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4931,0 +sl 0 +ro 90 +xt "58000,65625,59500,66375" +) +(Line +uid 4932,0 +sl 0 +ro 90 +xt "59500,66000,60000,66000" +pts [ +"60000,66000" +"59500,66000" +] +) +] +) +tg (WTG +uid 4933,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4934,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "50500,65300,57000,66700" +st "LCD_SCL" +ju 2 +blo "57000,66500" +tm "WireNameMgr" +) +s (Text +uid 4935,0 +va (VaSet +font "Verdana,12,0" +) +xt "50500,66700,50500,66700" +ju 2 +blo "50500,66700" +tm "SignalTypeMgr" +) +) +) +*247 (PortIoOut +uid 4936,0 +shape (CompositeShape +uid 4937,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4938,0 +sl 0 +ro 90 +xt "58000,67625,59500,68375" +) +(Line +uid 4939,0 +sl 0 +ro 90 +xt "59500,68000,60000,68000" +pts [ +"60000,68000" +"59500,68000" +] +) +] +) +tg (WTG +uid 4940,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4941,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "51600,67300,57000,68700" +st "LCD_SI" +ju 2 +blo "57000,68500" +tm "WireNameMgr" +) +s (Text +uid 4942,0 +va (VaSet +font "Verdana,12,0" +) +xt "51600,68700,51600,68700" +ju 2 +blo "51600,68700" +tm "SignalTypeMgr" +) +) +) +*248 (PortIoOut +uid 4943,0 +shape (CompositeShape +uid 4944,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4945,0 +sl 0 +ro 90 +xt "58000,69625,59500,70375" +) +(Line +uid 4946,0 +sl 0 +ro 90 +xt "59500,70000,60000,70000" +pts [ +"60000,70000" +"59500,70000" +] +) +] +) +tg (WTG +uid 4947,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4948,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "51300,69300,57000,70700" +st "LCD_A0" +ju 2 +blo "57000,70500" +tm "WireNameMgr" +) +s (Text +uid 4949,0 +va (VaSet +font "Verdana,12,0" +) +xt "51300,70700,51300,70700" +ju 2 +blo "51300,70700" +tm "SignalTypeMgr" +) +) +) +*249 (PortIoOut +uid 4950,0 +shape (CompositeShape +uid 4951,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4952,0 +sl 0 +ro 90 +xt "58000,71625,59500,72375" +) +(Line +uid 4953,0 +sl 0 +ro 90 +xt "59500,72000,60000,72000" +pts [ +"60000,72000" +"59500,72000" +] +) +] +) +tg (WTG +uid 4954,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4955,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "49000,71300,57000,72700" +st "LCD_RST_n" +ju 2 +blo "57000,72500" +tm "WireNameMgr" +) +s (Text +uid 4956,0 +va (VaSet +font "Verdana,12,0" +) +xt "49000,72700,49000,72700" +ju 2 +blo "49000,72700" +tm "SignalTypeMgr" +) +) +) +*250 (Net +uid 5038,0 +decl (Decl +n "LCD_CS1_n" +t "std_ulogic" +o 14 +suid 49,0 +) +declText (MLText +uid 5039,0 +va (VaSet +isHidden 1 +) +xt "0,74600,15700,75800" +st "LCD_CS1_n : std_ulogic" +) +) +*251 (Net +uid 5040,0 +decl (Decl +n "LCD_SCL" +t "std_ulogic" +o 16 +suid 50,0 +) +declText (MLText +uid 5041,0 +va (VaSet +isHidden 1 +) +xt "0,74600,15000,75800" +st "LCD_SCL : std_ulogic" +) +) +*252 (Net +uid 5042,0 +decl (Decl +n "LCD_SI" +t "std_ulogic" +o 17 +suid 51,0 +) +declText (MLText +uid 5043,0 +va (VaSet +isHidden 1 +) +xt "0,74600,14400,75800" +st "LCD_SI : std_ulogic" +) +) +*253 (Net +uid 5044,0 +decl (Decl +n "LCD_A0" +t "std_ulogic" +o 13 +suid 52,0 +) +declText (MLText +uid 5045,0 +va (VaSet +isHidden 1 +) +xt "0,74600,14700,75800" +st "LCD_A0 : std_ulogic" +) +) +*254 (Net +uid 5046,0 +decl (Decl +n "LCD_RST_n" +t "std_ulogic" +o 15 +suid 53,0 +) +declText (MLText +uid 5047,0 +va (VaSet +isHidden 1 +) +xt "0,74600,15600,75800" +st "LCD_RST_n : std_ulogic" +) +) +*255 (SaComponent +uid 5636,0 +optionalChildren [ +*256 (CptPort +uid 5531,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5532,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,77625,68000,78375" +) +tg (CPTG +uid 5533,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5534,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,77300,72500,78600" +st "clock" +blo "69000,78300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*257 (CptPort +uid 5536,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5537,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,79625,68000,80375" +) +tg (CPTG +uid 5538,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5539,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,79300,72500,80600" +st "reset" +blo "69000,80300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 2,0 +) +) +) +*258 (CptPort +uid 5541,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5542,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,55625,84750,56375" +) +tg (CPTG +uid 5543,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5544,0 +va (VaSet +font "Verdana,12,0" +) +xt "79500,55400,83000,56700" +st "side1" +ju 2 +blo "83000,56400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 19 +suid 3,0 +) +) +) +*259 (CptPort +uid 5546,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5547,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,53625,68000,54375" +) +tg (CPTG +uid 5548,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5549,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,53300,73900,54600" +st "restart" +blo "69000,54300" +) +) +thePort (LogicalPort +decl (Decl +n "restart" +t "std_uLogic" +o 9 +suid 4,0 +) +) +) +*260 (CptPort +uid 5551,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5552,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,57625,68000,58375" +) +tg (CPTG +uid 5553,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5554,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,57300,71100,58600" +st "go2" +blo "69000,58300" +) +) +thePort (LogicalPort +decl (Decl +n "go2" +t "std_uLogic" +o 7 +suid 5,0 +) +) +) +*261 (CptPort +uid 5556,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5557,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,61625,84750,62375" +) +tg (CPTG +uid 5558,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5559,0 +va (VaSet +font "Verdana,12,0" +) +xt "78100,61400,83000,62700" +st "sensor1" +ju 2 +blo "83000,62400" +) +) +thePort (LogicalPort +decl (Decl +n "sensor1" +t "std_uLogic" +o 10 +suid 6,0 +) +) +) +*262 (CptPort +uid 5561,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5562,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,75625,68000,76375" +) +tg (CPTG +uid 5563,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5564,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,75300,74600,76600" +st "testMode" +blo "69000,76300" +) +) +thePort (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 12 +suid 7,0 +) +) +) +*263 (CptPort +uid 5566,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5567,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "75625,49250,76375,50000" +) +tg (CPTG +uid 5568,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5569,0 +va (VaSet +font "Verdana,12,0" +) +xt "73700,51000,78600,52300" +st "testOut" +ju 2 +blo "78600,52000" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 TO testLineNb)" +o 21 +suid 8,0 +) +) +) +*264 (CptPort +uid 5571,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5572,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,55625,68000,56375" +) +tg (CPTG +uid 5573,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5574,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,55300,71100,56600" +st "go1" +blo "69000,56300" +) +) +thePort (LogicalPort +decl (Decl +n "go1" +t "std_uLogic" +o 6 +suid 9,0 +) +) +) +*265 (CptPort +uid 5576,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5577,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,57625,84750,58375" +) +tg (CPTG +uid 5578,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5579,0 +va (VaSet +font "Verdana,12,0" +) +xt "79500,57400,83000,58700" +st "side2" +ju 2 +blo "83000,58400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 20 +suid 10,0 +) +) +) +*266 (CptPort +uid 5581,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5582,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,63625,84750,64375" +) +tg (CPTG +uid 5583,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5584,0 +va (VaSet +font "Verdana,12,0" +) +xt "78100,63300,83000,64600" +st "sensor2" +ju 2 +blo "83000,64300" +) +) +thePort (LogicalPort +decl (Decl +n "sensor2" +t "std_uLogic" +o 11 +suid 11,0 +) +) +) +*267 (CptPort +uid 5586,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5587,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,53625,84750,54375" +) +tg (CPTG +uid 5588,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5589,0 +va (VaSet +font "Verdana,12,0" +) +xt "78100,53400,83000,54700" +st "motorOn" +ju 2 +blo "83000,54400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 18 +suid 12,0 +) +) +) +*268 (CptPort +uid 5591,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5592,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,67625,84750,68375" +) +tg (CPTG +uid 5593,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5594,0 +va (VaSet +font "Verdana,12,0" +) +xt "77400,67400,83000,68700" +st "encoderA" +ju 2 +blo "83000,68400" +) +) +thePort (LogicalPort +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 13,0 +) +) +) +*269 (CptPort +uid 5596,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5597,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,69625,84750,70375" +) +tg (CPTG +uid 5598,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5599,0 +va (VaSet +font "Verdana,12,0" +) +xt "77400,69400,83000,70700" +st "encoderB" +ju 2 +blo "83000,70400" +) +) +thePort (LogicalPort +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 14,0 +) +) +) +*270 (CptPort +uid 5601,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5602,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "84000,71625,84750,72375" +) +tg (CPTG +uid 5603,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5604,0 +va (VaSet +font "Verdana,12,0" +) +xt "77400,71400,83000,72700" +st "encoderI" +ju 2 +blo "83000,72400" +) +) +thePort (LogicalPort +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 15,0 +) +) +) +*271 (CptPort +uid 5606,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5607,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,59625,68000,60375" +) +tg (CPTG +uid 5608,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5609,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,59300,73900,60600" +st "button4" +blo "69000,60300" +) +) +thePort (LogicalPort +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 16,0 +) +) +) +*272 (CptPort +uid 5611,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5612,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,63625,68000,64375" +) +tg (CPTG +uid 5613,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5614,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,63300,72500,64600" +st "CS1_n" +blo "69000,64300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 14 +suid 2017,0 +) +) +) +*273 (CptPort +uid 5616,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5617,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,65625,68000,66375" +) +tg (CPTG +uid 5618,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5619,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,65300,71100,66600" +st "SCL" +blo "69000,66300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 16 +suid 2018,0 +) +) +) +*274 (CptPort +uid 5621,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5622,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,67625,68000,68375" +) +tg (CPTG +uid 5623,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5624,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,67300,70400,68600" +st "SI" +blo "69000,68300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 17 +suid 2019,0 +) +) +) +*275 (CptPort +uid 5626,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5627,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,69625,68000,70375" +) +tg (CPTG +uid 5628,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5629,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,69300,70400,70600" +st "A0" +blo "69000,70300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 13 +suid 2020,0 +) +) +) +*276 (CptPort +uid 5631,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5632,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "67250,71625,68000,72375" +) +tg (CPTG +uid 5633,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5634,0 +va (VaSet +font "Verdana,12,0" +) +xt "69000,71300,72500,72600" +st "RST_n" +blo "69000,72300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 15 +suid 2021,0 +) +) +) +] +shape (Rectangle +uid 5637,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "68000,50000,84000,82000" +) +oxt "40000,2000,56000,34000" +ttg (MlTextGroup +uid 5638,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*277 (Text +uid 5639,0 +va (VaSet +font "Verdana,8,1" +) +xt "68100,81700,71100,82600" +st "Cursor" +blo "68100,82400" +tm "BdLibraryNameMgr" +) +*278 (Text +uid 5640,0 +va (VaSet +font "Verdana,8,1" +) +xt "68100,82700,75100,83600" +st "cursorCircuit" +blo "68100,83400" +tm "CptNameMgr" +) +*279 (Text +uid 5641,0 +va (VaSet +font "Verdana,8,1" +) +xt "68100,83700,69100,84600" +st "I0" +blo "68100,84400" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 5642,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 5643,0 +text (MLText +uid 5644,0 +va (VaSet +font "Verdana,8,0" +) +xt "68000,85200,90000,91200" +st "position0 = position0 ( positive ) +position1 = position1 ( positive ) +position2 = position2 ( positive ) +slopeShiftBitNb = slopeShiftBitNb ( positive ) +pwmBitNb = pwmBitNb ( positive ) +testLineNb = testLineNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "position0" +type "positive" +value "position0" +) +(GiElement +name "position1" +type "positive" +value "position1" +) +(GiElement +name "position2" +type "positive" +value "position2" +) +(GiElement +name "slopeShiftBitNb" +type "positive" +value "slopeShiftBitNb" +) +(GiElement +name "pwmBitNb" +type "positive" +value "pwmBitNb" +) +(GiElement +name "testLineNb" +type "positive" +value "testLineNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*280 (Net +uid 5716,0 +decl (Decl +n "LEDs" +t "std_uLogic_vector" +b "(1 TO 8)" +o 20 +suid 55,0 +) +declText (MLText +uid 5717,0 +va (VaSet +isHidden 1 +) +xt "0,0,22900,1200" +st "LEDs : std_uLogic_vector(1 TO 8)" +) +) +*281 (Wire +uid 1317,0 +shape (OrthoPolyLine +uid 1318,0 +va (VaSet +vasetType 3 +) +xt "28000,92000,33000,92000" +pts [ +"33000,92000" +"28000,92000" +] +) +start &18 +end &14 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1321,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1322,0 +va (VaSet +font "Verdana,12,0" +) +xt "27000,90600,32700,92000" +st "reset_n" +blo "27000,91800" +tm "WireNameMgr" +) +) +on &15 +) +*282 (Wire +uid 1327,0 +shape (OrthoPolyLine +uid 1328,0 +va (VaSet +vasetType 3 +) +xt "28000,78000,67250,78000" +pts [ +"67250,78000" +"28000,78000" +] +) +start &256 +end &13 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1331,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1332,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,76600,31800,78000" +st "clock" +blo "28000,77800" +tm "WireNameMgr" +) +) +on &1 +) +*283 (Wire +uid 1625,0 +shape (OrthoPolyLine +uid 1626,0 +va (VaSet +vasetType 3 +) +xt "55750,80000,67250,82000" +pts [ +"67250,80000" +"59000,80000" +"59000,82000" +"55750,82000" +] +) +start &257 +end &85 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1629,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1630,0 +va (VaSet +font "Verdana,12,0" +) +xt "60000,78600,68600,80000" +st "resetSynch" +blo "60000,79800" +tm "WireNameMgr" +) +) +on &77 +) +*284 (Wire +uid 2137,0 +shape (OrthoPolyLine +uid 2138,0 +va (VaSet +vasetType 3 +) +xt "28000,76000,67250,76000" +pts [ +"67250,76000" +"28000,76000" +] +) +start &262 +end &28 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2141,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2142,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,74600,34700,76000" +st "testMode" +blo "28000,75800" +tm "WireNameMgr" +) +) +on &24 +) +*285 (Wire +uid 2145,0 +shape (OrthoPolyLine +uid 2146,0 +va (VaSet +vasetType 3 +) +xt "28000,24000,33000,24000" +pts [ +"33000,24000" +"28000,24000" +] +) +start &115 +end &27 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2149,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2150,0 +va (VaSet +font "Verdana,12,0" +) +xt "27000,22600,33700,24000" +st "restart_n" +blo "27000,23800" +tm "WireNameMgr" +) +) +on &146 +) +*286 (Wire +uid 2153,0 +shape (OrthoPolyLine +uid 2154,0 +va (VaSet +vasetType 3 +) +xt "28000,60000,33000,60000" +pts [ +"33000,60000" +"28000,60000" +] +) +start &122 +end &26 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2157,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2158,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,58600,35400,60000" +st "button4_n" +blo "28000,59800" +tm "WireNameMgr" +) +) +on &243 +) +*287 (Wire +uid 2161,0 +shape (OrthoPolyLine +uid 2162,0 +va (VaSet +vasetType 3 +) +xt "28000,48000,33000,48000" +pts [ +"33000,48000" +"28000,48000" +] +) +start &108 +end &25 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2165,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2166,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,46600,31500,47900" +st "go2_n" +blo "28000,47600" +tm "WireNameMgr" +) +) +on &179 +) +*288 (Wire +uid 2238,0 +shape (OrthoPolyLine +uid 2239,0 +va (VaSet +vasetType 3 +) +xt "36000,52000,41000,52000" +pts [ +"41000,52000" +"36000,52000" +] +) +start &31 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2244,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2245,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,50600,39800,52000" +st "clock" +blo "36000,51800" +tm "WireNameMgr" +) +) +on &1 +) +*289 (Wire +uid 2246,0 +shape (OrthoPolyLine +uid 2247,0 +va (VaSet +vasetType 3 +) +xt "36000,54000,44000,56000" +pts [ +"44000,54000" +"44000,56000" +"36000,56000" +] +) +start &33 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2252,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2253,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,54600,44600,56000" +st "resetSynch" +blo "36000,55800" +tm "WireNameMgr" +) +) +on &77 +) +*290 (Wire +uid 2256,0 +shape (OrthoPolyLine +uid 2257,0 +va (VaSet +vasetType 3 +) +xt "47000,48000,67250,58000" +pts [ +"47000,48000" +"58000,48000" +"58000,58000" +"67250,58000" +] +) +start &34 +end &260 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2258,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2259,0 +va (VaSet +font "Verdana,12,0" +) +xt "49000,46600,55900,48000" +st "go2Synch" +blo "49000,47800" +tm "WireNameMgr" +) +) +on &177 +) +*291 (Wire +uid 2288,0 +shape (OrthoPolyLine +uid 2289,0 +va (VaSet +vasetType 3 +) +xt "36000,66000,44000,68000" +pts [ +"44000,66000" +"44000,68000" +"36000,68000" +] +) +start &42 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2292,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2293,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,66600,44600,68000" +st "resetSynch" +blo "36000,67800" +tm "WireNameMgr" +) +) +on &77 +) +*292 (Wire +uid 2294,0 +shape (OrthoPolyLine +uid 2295,0 +va (VaSet +vasetType 3 +) +xt "36000,64000,41000,64000" +pts [ +"41000,64000" +"36000,64000" +] +) +start &40 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2298,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2299,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,62600,39800,64000" +st "clock" +blo "36000,63800" +tm "WireNameMgr" +) +) +on &1 +) +*293 (Wire +uid 2302,0 +shape (OrthoPolyLine +uid 2303,0 +va (VaSet +vasetType 3 +) +xt "47000,60000,67250,60000" +pts [ +"47000,60000" +"67250,60000" +] +) +start &43 +end &271 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2304,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2305,0 +va (VaSet +font "Verdana,12,0" +) +xt "49000,58600,59300,60000" +st "button4Synch" +blo "49000,59800" +tm "WireNameMgr" +) +) +on &244 +) +*294 (Wire +uid 2332,0 +shape (OrthoPolyLine +uid 2333,0 +va (VaSet +vasetType 3 +) +xt "36000,30000,44000,32000" +pts [ +"44000,30000" +"44000,32000" +"36000,32000" +] +) +start &51 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2336,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2337,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,30600,44600,32000" +st "resetSynch" +blo "36000,31800" +tm "WireNameMgr" +) +) +on &77 +) +*295 (Wire +uid 2338,0 +shape (OrthoPolyLine +uid 2339,0 +va (VaSet +vasetType 3 +) +xt "36000,28000,41000,28000" +pts [ +"41000,28000" +"36000,28000" +] +) +start &49 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2342,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2343,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,26600,39800,28000" +st "clock" +blo "36000,27800" +tm "WireNameMgr" +) +) +on &1 +) +*296 (Wire +uid 2346,0 +shape (OrthoPolyLine +uid 2347,0 +va (VaSet +vasetType 3 +) +xt "47000,24000,67250,54000" +pts [ +"47000,24000" +"62000,24000" +"62000,54000" +"67250,54000" +] +) +start &52 +end &259 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2348,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2349,0 +va (VaSet +font "Verdana,12,0" +) +xt "49000,22600,58600,24000" +st "restartSynch" +blo "49000,23800" +tm "WireNameMgr" +) +) +on &147 +) +*297 (Wire +uid 2387,0 +shape (OrthoPolyLine +uid 2388,0 +va (VaSet +vasetType 3 +) +xt "103000,64000,108250,64000" +pts [ +"103000,64000" +"108250,64000" +] +) +start &58 +end &93 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2389,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2390,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,62600,108900,64000" +st "sensor2" +blo "103000,63800" +tm "WireNameMgr" +) +) +on &169 +) +*298 (Wire +uid 2391,0 +shape (OrthoPolyLine +uid 2392,0 +va (VaSet +vasetType 3 +) +xt "103000,68000,108000,68000" +pts [ +"103000,68000" +"108000,68000" +] +) +start &59 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2395,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2396,0 +va (VaSet +font "Verdana,12,0" +) +xt "105000,66600,108800,68000" +st "clock" +blo "105000,67800" +tm "WireNameMgr" +) +) +on &1 +) +*299 (Wire +uid 2397,0 +shape (OrthoPolyLine +uid 2398,0 +va (VaSet +vasetType 3 +) +xt "100000,70000,108000,72000" +pts [ +"100000,70000" +"100000,72000" +"108000,72000" +] +) +start &61 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2401,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2402,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,70600,109600,72000" +st "resetSynch" +blo "101000,71800" +tm "WireNameMgr" +) +) +on &77 +) +*300 (Wire +uid 2407,0 +shape (OrthoPolyLine +uid 2408,0 +va (VaSet +vasetType 3 +) +xt "84750,64000,97000,64000" +pts [ +"84750,64000" +"97000,64000" +] +) +start &266 +end &62 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2409,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2410,0 +va (VaSet +font "Verdana,12,0" +) +xt "85000,62600,95400,64000" +st "sensor2Synch" +blo "85000,63800" +tm "WireNameMgr" +) +) +on &168 +) +*301 (Wire +uid 2431,0 +shape (OrthoPolyLine +uid 2432,0 +va (VaSet +vasetType 3 +) +xt "84750,48000,120000,58000" +pts [ +"84750,58000" +"92000,58000" +"92000,48000" +"120000,48000" +] +) +start &265 +end &67 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2435,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2436,0 +va (VaSet +font "Verdana,12,0" +) +xt "114000,46600,118200,48000" +st "side2" +blo "114000,47800" +tm "WireNameMgr" +) +) +on &175 +) +*302 (Wire +uid 2439,0 +shape (OrthoPolyLine +uid 2440,0 +va (VaSet +vasetType 3 +) +xt "84750,46000,120000,56000" +pts [ +"84750,56000" +"90000,56000" +"90000,46000" +"120000,46000" +] +) +start &258 +end &66 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2443,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2444,0 +va (VaSet +font "Verdana,12,0" +) +xt "116000,44600,120200,46000" +st "side1" +blo "116000,45800" +tm "WireNameMgr" +) +) +on &174 +) +*303 (Wire +uid 2499,0 +shape (OrthoPolyLine +uid 2500,0 +va (VaSet +vasetType 3 +) +xt "36000,86000,41000,86000" +pts [ +"41000,86000" +"36000,86000" +] +) +start &70 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2503,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2504,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,84600,39800,86000" +st "clock" +blo "36000,85800" +tm "WireNameMgr" +) +) +on &1 +) +*304 (Wire +uid 2507,0 +shape (OrthoPolyLine +uid 2508,0 +va (VaSet +vasetType 3 +) +xt "36000,82000,41000,82000" +pts [ +"41000,82000" +"36000,82000" +] +) +start &69 +end &78 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2511,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2512,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,80600,40400,82000" +st "logic1" +blo "36000,81800" +tm "WireNameMgr" +) +) +on &82 +) +*305 (Wire +uid 2517,0 +shape (OrthoPolyLine +uid 2518,0 +va (VaSet +vasetType 3 +) +xt "38750,88000,44000,92000" +pts [ +"38750,92000" +"44000,92000" +"44000,88000" +] +) +start &19 +end &72 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2519,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2520,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,90600,44100,92000" +st "reset" +blo "40000,91800" +tm "WireNameMgr" +) +) +on &16 +) +*306 (Wire +uid 2598,0 +shape (OrthoPolyLine +uid 2599,0 +va (VaSet +vasetType 3 +) +xt "47000,82000,50000,82000" +pts [ +"47000,82000" +"50000,82000" +] +) +start &73 +end &84 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2600,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2601,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,80600,54200,82000" +st "resetSynch_n" +blo "44000,81800" +tm "WireNameMgr" +) +) +on &90 +) +*307 (Wire +uid 2646,0 +shape (OrthoPolyLine +uid 2647,0 +va (VaSet +vasetType 3 +) +xt "114000,64000,120000,64000" +pts [ +"120000,64000" +"114000,64000" +] +) +start &56 +end &92 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2648,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2649,0 +va (VaSet +font "Verdana,12,0" +) +xt "115000,62600,122500,64000" +st "sensor2_n" +blo "115000,63800" +tm "WireNameMgr" +) +s (Text +uid 2672,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "115000,64000,115000,64000" +blo "115000,64000" +tm "SignalTypeMgr" +) +) +on &170 +) +*308 (Wire +uid 2680,0 +shape (OrthoPolyLine +uid 2681,0 +va (VaSet +vasetType 3 +) +xt "112000,32000,120000,32000" +pts [ +"112000,32000" +"120000,32000" +] +) +start &103 +end &98 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2684,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2685,0 +va (VaSet +font "Verdana,12,0" +) +xt "117000,30600,121000,32000" +st "LED1" +blo "117000,31800" +tm "WireNameMgr" +) +) +on &99 +) +*309 (Wire +uid 2695,0 +shape (OrthoPolyLine +uid 2696,0 +va (VaSet +vasetType 3 +) +xt "112000,34000,120000,34000" +pts [ +"112000,34000" +"120000,34000" +] +) +start &103 +end &100 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2699,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2700,0 +va (VaSet +font "Verdana,12,0" +) +xt "117000,32600,121000,34000" +st "LED2" +blo "117000,33800" +tm "WireNameMgr" +) +) +on &101 +) +*310 (Wire +uid 2786,0 +shape (OrthoPolyLine +uid 2787,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "76000,34000,92000,49250" +pts [ +"76000,49250" +"76000,34000" +"92000,34000" +] +) +start &263 +end &103 +sat 32 +eat 1 +sty 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2790,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2791,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "74600,42450,76000,48050" +st "testOut" +blo "75800,48050" +tm "WireNameMgr" +) +) +on &102 +) +*311 (Wire +uid 3020,0 +shape (OrthoPolyLine +uid 3021,0 +va (VaSet +vasetType 3 +) +xt "38750,48000,41000,48000" +pts [ +"38750,48000" +"41000,48000" +] +) +start &109 +end &30 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3022,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3023,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "40750,46600,43950,48000" +st "go2" +blo "40750,47800" +tm "WireNameMgr" +) +s (Text +uid 3108,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "40750,48000,40750,48000" +blo "40750,48000" +tm "SignalTypeMgr" +) +) +on &178 +) +*312 (Wire +uid 3066,0 +shape (OrthoPolyLine +uid 3067,0 +va (VaSet +vasetType 3 +) +xt "38750,60000,41000,60000" +pts [ +"38750,60000" +"41000,60000" +] +) +start &123 +end &39 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3068,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3069,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "40750,58600,46750,60000" +st "setPoint" +blo "40750,59800" +tm "WireNameMgr" +) +s (Text +uid 3109,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "40750,60000,40750,60000" +blo "40750,60000" +tm "SignalTypeMgr" +) +) +on &176 +) +*313 (Wire +uid 3072,0 +shape (OrthoPolyLine +uid 3073,0 +va (VaSet +vasetType 3 +) +xt "38750,24000,41000,24000" +pts [ +"38750,24000" +"41000,24000" +] +) +start &116 +end &48 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3074,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3075,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "40750,22600,45850,24000" +st "restart" +blo "40750,23800" +tm "WireNameMgr" +) +s (Text +uid 3110,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "40750,24000,40750,24000" +blo "40750,24000" +tm "SignalTypeMgr" +) +) +on &145 +) +*314 (Wire +uid 3269,0 +shape (OrthoPolyLine +uid 3270,0 +va (VaSet +vasetType 3 +) +xt "47000,36000,67250,56000" +pts [ +"47000,36000" +"60000,36000" +"60000,56000" +"67250,56000" +] +) +start &141 +end &264 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3273,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3274,0 +va (VaSet +font "Verdana,12,0" +) +xt "49000,34600,55900,36000" +st "go1Synch" +blo "49000,35800" +tm "WireNameMgr" +) +) +on &180 +) +*315 (Wire +uid 3275,0 +shape (OrthoPolyLine +uid 3276,0 +va (VaSet +vasetType 3 +) +xt "36000,42000,44000,44000" +pts [ +"44000,42000" +"44000,44000" +"36000,44000" +] +) +start &140 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3279,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3280,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,42600,44600,44000" +st "resetSynch" +blo "36000,43800" +tm "WireNameMgr" +) +) +on &77 +) +*316 (Wire +uid 3281,0 +shape (OrthoPolyLine +uid 3282,0 +va (VaSet +vasetType 3 +) +xt "28000,36000,33000,36000" +pts [ +"33000,36000" +"28000,36000" +] +) +start &130 +end &128 +ss 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3283,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3284,0 +va (VaSet +font "Verdana,12,0" +) +xt "28000,34600,32800,36000" +st "go1_n" +blo "28000,35800" +tm "WireNameMgr" +) +) +on &182 +) +*317 (Wire +uid 3285,0 +shape (OrthoPolyLine +uid 3286,0 +va (VaSet +vasetType 3 +) +xt "36000,40000,41000,40000" +pts [ +"41000,40000" +"36000,40000" +] +) +start &138 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3289,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3290,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,38600,39800,40000" +st "clock" +blo "36000,39800" +tm "WireNameMgr" +) +) +on &1 +) +*318 (Wire +uid 3291,0 +shape (OrthoPolyLine +uid 3292,0 +va (VaSet +vasetType 3 +) +xt "38750,36000,41000,36000" +pts [ +"38750,36000" +"41000,36000" +] +) +start &131 +end &137 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3293,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3294,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "40750,34600,43950,36000" +st "go1" +blo "40750,35800" +tm "WireNameMgr" +) +s (Text +uid 3295,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "40750,36000,40750,36000" +blo "40750,36000" +tm "SignalTypeMgr" +) +) +on &181 +) +*319 (Wire +uid 3383,0 +shape (OrthoPolyLine +uid 3384,0 +va (VaSet +vasetType 3 +) +xt "103000,56000,108000,56000" +pts [ +"103000,56000" +"108000,56000" +] +) +start &153 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3387,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3388,0 +va (VaSet +font "Verdana,12,0" +) +xt "105000,54600,108800,56000" +st "clock" +blo "105000,55800" +tm "WireNameMgr" +) +) +on &1 +) +*320 (Wire +uid 3389,0 +shape (OrthoPolyLine +uid 3390,0 +va (VaSet +vasetType 3 +) +xt "84750,52000,97000,62000" +pts [ +"84750,62000" +"94000,62000" +"94000,52000" +"97000,52000" +] +) +start &261 +end &156 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3393,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3394,0 +va (VaSet +font "Verdana,12,0" +) +xt "85000,60600,95400,62000" +st "sensor1Synch" +blo "85000,61800" +tm "WireNameMgr" +) +) +on &150 +) +*321 (Wire +uid 3395,0 +shape (OrthoPolyLine +uid 3396,0 +va (VaSet +vasetType 3 +) +xt "100000,58000,108000,60000" +pts [ +"100000,58000" +"100000,60000" +"108000,60000" +] +) +start &155 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3399,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3400,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,58600,109600,60000" +st "resetSynch" +blo "101000,59800" +tm "WireNameMgr" +) +) +on &77 +) +*322 (Wire +uid 3401,0 +shape (OrthoPolyLine +uid 3402,0 +va (VaSet +vasetType 3 +) +xt "103000,52000,108250,52000" +pts [ +"103000,52000" +"108250,52000" +] +) +start &152 +end &162 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3403,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3404,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,50600,108900,52000" +st "sensor1" +blo "103000,51800" +tm "WireNameMgr" +) +) +on &149 +) +*323 (Wire +uid 3405,0 +shape (OrthoPolyLine +uid 3406,0 +va (VaSet +vasetType 3 +) +xt "114000,52000,120000,52000" +pts [ +"120000,52000" +"114000,52000" +] +) +start &167 +end &161 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3407,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3408,0 +va (VaSet +font "Verdana,12,0" +) +xt "115000,50600,122500,52000" +st "sensor1_n" +blo "115000,51800" +tm "WireNameMgr" +) +s (Text +uid 3409,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "115000,52000,115000,52000" +blo "115000,52000" +tm "SignalTypeMgr" +) +) +on &148 +) +*324 (Wire +uid 3529,0 +shape (OrthoPolyLine +uid 3530,0 +va (VaSet +vasetType 3 +) +xt "84750,44000,120000,54000" +pts [ +"84750,54000" +"88000,54000" +"88000,44000" +"120000,44000" +] +) +start &267 +end &172 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3533,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3534,0 +va (VaSet +font "Verdana,12,0" +) +xt "114000,42600,120300,44000" +st "motorOn" +blo "114000,43800" +tm "WireNameMgr" +) +) +on &171 +) +*325 (Wire +uid 3743,0 +shape (OrthoPolyLine +uid 3744,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "112000,36000,120000,36000" +pts [ +"112000,36000" +"120000,36000" +] +) +start &103 +end &173 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3747,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3748,0 +va (VaSet +font "Verdana,12,0" +) +xt "117000,34600,120900,36000" +st "LEDs" +blo "117000,35800" +tm "WireNameMgr" +) +) +on &280 +) +*326 (Wire +uid 4193,0 +shape (OrthoPolyLine +uid 4194,0 +va (VaSet +vasetType 3 +) +xt "103000,92000,108000,92000" +pts [ +"103000,92000" +"108000,92000" +] +) +start &185 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4197,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4198,0 +va (VaSet +font "Verdana,12,0" +) +xt "105000,90600,108800,92000" +st "clock" +blo "105000,91800" +tm "WireNameMgr" +) +) +on &1 +) +*327 (Wire +uid 4199,0 +shape (OrthoPolyLine +uid 4200,0 +va (VaSet +vasetType 3 +) +xt "103000,88000,108250,88000" +pts [ +"103000,88000" +"108250,88000" +] +) +start &184 +end &203 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4201,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4202,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,86600,109700,88000" +st "encoderB" +blo "103000,87800" +tm "WireNameMgr" +) +) +on &236 +) +*328 (Wire +uid 4203,0 +shape (OrthoPolyLine +uid 4204,0 +va (VaSet +vasetType 3 +) +xt "100000,94000,108000,96000" +pts [ +"100000,94000" +"100000,96000" +"108000,96000" +] +) +start &187 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4207,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4208,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,94600,109600,96000" +st "resetSynch" +blo "101000,95800" +tm "WireNameMgr" +) +) +on &77 +) +*329 (Wire +uid 4209,0 +shape (OrthoPolyLine +uid 4210,0 +va (VaSet +vasetType 3 +) +xt "114000,88000,120000,88000" +pts [ +"120000,88000" +"114000,88000" +] +) +start &215 +end &202 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 4211,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4212,0 +va (VaSet +font "Verdana,12,0" +) +xt "115000,86600,124100,88000" +st "encoderB_n" +blo "115000,87800" +tm "WireNameMgr" +) +s (Text +uid 4213,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "115000,88000,115000,88000" +blo "115000,88000" +tm "SignalTypeMgr" +) +) +on &242 +) +*330 (Wire +uid 4214,0 +shape (OrthoPolyLine +uid 4215,0 +va (VaSet +vasetType 3 +) +xt "100000,82000,108000,84000" +pts [ +"100000,82000" +"100000,84000" +"108000,84000" +] +) +start &196 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4218,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4219,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,82600,109600,84000" +st "resetSynch" +blo "101000,83800" +tm "WireNameMgr" +) +) +on &77 +) +*331 (Wire +uid 4220,0 +shape (OrthoPolyLine +uid 4221,0 +va (VaSet +vasetType 3 +) +xt "103000,80000,108000,80000" +pts [ +"103000,80000" +"108000,80000" +] +) +start &194 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4224,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4225,0 +va (VaSet +font "Verdana,12,0" +) +xt "105000,78600,108800,80000" +st "clock" +blo "105000,79800" +tm "WireNameMgr" +) +) +on &1 +) +*332 (Wire +uid 4226,0 +shape (OrthoPolyLine +uid 4227,0 +va (VaSet +vasetType 3 +) +xt "114000,76000,120000,76000" +pts [ +"120000,76000" +"114000,76000" +] +) +start &216 +end &209 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 4228,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4229,0 +va (VaSet +font "Verdana,12,0" +) +xt "115000,74600,124100,76000" +st "encoderA_n" +blo "115000,75800" +tm "WireNameMgr" +) +s (Text +uid 4230,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "115000,76000,115000,76000" +blo "115000,76000" +tm "SignalTypeMgr" +) +) +on &241 +) +*333 (Wire +uid 4231,0 +shape (OrthoPolyLine +uid 4232,0 +va (VaSet +vasetType 3 +) +xt "103000,76000,108250,76000" +pts [ +"103000,76000" +"108250,76000" +] +) +start &193 +end &210 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4233,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4234,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,74600,109700,76000" +st "encoderA" +blo "103000,75800" +tm "WireNameMgr" +) +) +on &240 +) +*334 (Wire +uid 4288,0 +shape (OrthoPolyLine +uid 4289,0 +va (VaSet +vasetType 3 +) +xt "103000,104000,108000,104000" +pts [ +"103000,104000" +"108000,104000" +] +) +start &219 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4292,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4293,0 +va (VaSet +font "Verdana,12,0" +) +xt "105000,102600,108800,104000" +st "clock" +blo "105000,103800" +tm "WireNameMgr" +) +) +on &1 +) +*335 (Wire +uid 4294,0 +shape (OrthoPolyLine +uid 4295,0 +va (VaSet +vasetType 3 +) +xt "114000,100000,120000,100000" +pts [ +"120000,100000" +"114000,100000" +] +) +start &233 +end &227 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 4296,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4297,0 +va (VaSet +font "Verdana,12,0" +) +xt "115000,98600,123800,100000" +st "encoderI_n" +blo "115000,99800" +tm "WireNameMgr" +) +s (Text +uid 4298,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "115000,100000,115000,100000" +blo "115000,100000" +tm "SignalTypeMgr" +) +) +on &239 +) +*336 (Wire +uid 4299,0 +shape (OrthoPolyLine +uid 4300,0 +va (VaSet +vasetType 3 +) +xt "100000,106000,108000,108000" +pts [ +"100000,106000" +"100000,108000" +"108000,108000" +] +) +start &221 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4303,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4304,0 +va (VaSet +font "Verdana,12,0" +) +xt "101000,106600,109600,108000" +st "resetSynch" +blo "101000,107800" +tm "WireNameMgr" +) +) +on &77 +) +*337 (Wire +uid 4305,0 +shape (OrthoPolyLine +uid 4306,0 +va (VaSet +vasetType 3 +) +xt "103000,100000,108250,100000" +pts [ +"103000,100000" +"108250,100000" +] +) +start &218 +end &228 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4307,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4308,0 +va (VaSet +font "Verdana,12,0" +) +xt "103000,98600,109400,100000" +st "encoderI" +blo "103000,99800" +tm "WireNameMgr" +) +) +on &238 +) +*338 (Wire +uid 4319,0 +shape (OrthoPolyLine +uid 4320,0 +va (VaSet +vasetType 3 +) +xt "84750,68000,97000,76000" +pts [ +"84750,68000" +"94000,68000" +"94000,76000" +"97000,76000" +] +) +start &268 +end &197 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 4321,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4322,0 +va (VaSet +font "Verdana,12,0" +) +xt "86750,66600,97950,68000" +st "encoderASynch" +blo "86750,67800" +tm "WireNameMgr" +) +) +on &234 +) +*339 (Wire +uid 4325,0 +shape (OrthoPolyLine +uid 4326,0 +va (VaSet +vasetType 3 +) +xt "84750,70000,97000,88000" +pts [ +"84750,70000" +"92000,70000" +"92000,88000" +"97000,88000" +] +) +start &269 +end &188 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 4327,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4328,0 +va (VaSet +font "Verdana,12,0" +) +xt "86750,68600,97950,70000" +st "encoderBSynch" +blo "86750,69800" +tm "WireNameMgr" +) +) +on &235 +) +*340 (Wire +uid 4331,0 +shape (OrthoPolyLine +uid 4332,0 +va (VaSet +vasetType 3 +) +xt "84750,72000,97000,100000" +pts [ +"84750,72000" +"90000,72000" +"90000,100000" +"97000,100000" +] +) +start &270 +end &222 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 4333,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4334,0 +va (VaSet +font "Verdana,12,0" +) +xt "86750,70600,97650,72000" +st "encoderISynch" +blo "86750,71800" +tm "WireNameMgr" +) +) +on &237 +) +*341 (Wire +uid 4884,0 +shape (OrthoPolyLine +uid 4885,0 +va (VaSet +vasetType 3 +) +xt "60000,64000,67250,64000" +pts [ +"67250,64000" +"60000,64000" +] +) +start &272 +end &245 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 4888,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4889,0 +va (VaSet +font "Verdana,12,0" +) +xt "61000,62600,69200,64000" +st "LCD_CS1_n" +blo "61000,63800" +tm "WireNameMgr" +) +) +on &250 +) +*342 (Wire +uid 4892,0 +shape (OrthoPolyLine +uid 4893,0 +va (VaSet +vasetType 3 +) +xt "60000,66000,67250,66000" +pts [ +"67250,66000" +"60000,66000" +] +) +start &273 +end &246 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 4896,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4897,0 +va (VaSet +font "Verdana,12,0" +) +xt "61000,64600,67500,66000" +st "LCD_SCL" +blo "61000,65800" +tm "WireNameMgr" +) +) +on &251 +) +*343 (Wire +uid 4900,0 +shape (OrthoPolyLine +uid 4901,0 +va (VaSet +vasetType 3 +) +xt "60000,68000,67250,68000" +pts [ +"67250,68000" +"60000,68000" +] +) +start &274 +end &247 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 4904,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4905,0 +va (VaSet +font "Verdana,12,0" +) +xt "61000,66600,66400,68000" +st "LCD_SI" +blo "61000,67800" +tm "WireNameMgr" +) +) +on &252 +) +*344 (Wire +uid 4908,0 +shape (OrthoPolyLine +uid 4909,0 +va (VaSet +vasetType 3 +) +xt "60000,70000,67250,70000" +pts [ +"67250,70000" +"60000,70000" +] +) +start &275 +end &248 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 4912,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4913,0 +va (VaSet +font "Verdana,12,0" +) +xt "61000,68600,66700,70000" +st "LCD_A0" +blo "61000,69800" +tm "WireNameMgr" +) +) +on &253 +) +*345 (Wire +uid 4916,0 +shape (OrthoPolyLine +uid 4917,0 +va (VaSet +vasetType 3 +) +xt "60000,72000,67250,72000" +pts [ +"67250,72000" +"60000,72000" +] +) +start &276 +end &249 +sat 32 +eat 32 +stc 0 +sf 1 +si 0 +tg (WTG +uid 4920,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4921,0 +va (VaSet +font "Verdana,12,0" +) +xt "61000,70600,69000,72000" +st "LCD_RST_n" +blo "61000,71800" +tm "WireNameMgr" +) +) +on &254 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *346 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*347 (Text +uid 1297,0 +va (VaSet +font "Verdana,8,1" +) +xt "-7000,19000,-500,19900" +st "Package List" +blo "-7000,19700" +) +*348 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,20000,10700,28400" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all; +LIBRARY Common; + USE Common.CommonLib.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*349 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,0,32000,1000" +st "Compiler Directives" +blo "20000,800" +) +*350 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,1400,33800,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*351 (MLText +uid 193,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32100,5200" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*352 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,5600,34400,6600" +st "Post-module directives:" +blo "20000,6400" +) +*353 (MLText +uid 195,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*354 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,7200,33800,8200" +st "End-module directives:" +blo "20000,8000" +) +*355 (MLText +uid 197,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "84,44,1182,895" +viewArea "-9157,16860,147244,120270" +cachedDiagramExtent "-53000,0,180750,118000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\SUN\\PREA203_HPLJ2430DTN.PRINTERS.SYSTEM.SION.HEVs,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +numCopies 2 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 50 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 6003,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*356 (Text +va (VaSet +) +xt "1500,2550,6100,3750" +st "" +blo "1500,3550" +tm "BdLibraryNameMgr" +) +*357 (Text +va (VaSet +) +xt "1500,3750,5600,4950" +st "" +blo "1500,4750" +tm "BlkNameMgr" +) +*358 (Text +va (VaSet +) +xt "1500,4950,2700,6150" +st "I0" +blo "1500,5950" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*359 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*360 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*361 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*362 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*363 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*364 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*365 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*366 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*367 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*368 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*369 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*370 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*371 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*372 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,18500,-200" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*373 (Text +va (VaSet +font "Verdana,8,1" +) +xt "11800,20000,19700,21000" +st "Frame Declarations" +blo "11800,20800" +) +*374 (MLText +va (VaSet +) +xt "11800,21000,11800,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,11000,-200" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*375 (Text +va (VaSet +font "Verdana,8,1" +) +xt "11800,20000,19700,21000" +st "Frame Declarations" +blo "11800,20800" +) +*376 (MLText +va (VaSet +) +xt "11800,21000,11800,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "-7000,98400,-500,99300" +st "Declarations" +blo "-7000,99100" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-7000,99600,-4000,100500" +st "Ports:" +blo "-7000,100300" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "-7000,99300,-2500,100200" +st "Pre User:" +blo "-7000,100000" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-5000,100200,53300,109800" +st "constant stepsPerTurn : positive := 500 * 4; +constant cmPerTurn : real:= 0.175; +constant position0 : positive := integer(3.5 * real(stepsPerTurn) / cmPerTurn); +constant position1 : positive := integer(8.0 * real(stepsPerTurn) / cmPerTurn); +constant position2 : positive := integer(12.0 * real(stepsPerTurn) / cmPerTurn); +constant pwmBitNb : positive := 8; +constant slopeShiftBitNb : positive := requiredBitNb(integer(real(stepsPerTurn)/cmPerTurn+0.5)) - pwmBitNb; +constant testLineNb : positive := 16;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-7000,99600,1500,100500" +st "Diagram Signals:" +blo "-7000,100300" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-7000,99600,-1500,100500" +st "Post User:" +blo "-7000,100300" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-5000,114000,-5000,114000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 55,0 +usingSuid 1 +emptyRow *377 (LEmptyRow +) +uid 4442,0 +optionalChildren [ +*378 (RefLabelRowHdr +) +*379 (TitleRowHdr +) +*380 (FilterRowHdr +) +*381 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*382 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*383 (GroupColHdr +tm "GroupColHdrMgr" +) +*384 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*385 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*386 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*387 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*388 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*389 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*390 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 4359,0 +) +*391 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset_n" +t "std_ulogic" +o 8 +suid 2,0 +) +) +uid 4361,0 +) +*392 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 36 +suid 3,0 +) +) +uid 4363,0 +) +*393 (LeafLogPort +port (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 12 +suid 4,0 +) +) +uid 4365,0 +) +*394 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch" +t "std_ulogic" +o 37 +suid 5,0 +) +) +uid 4367,0 +) +*395 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "logic1" +t "std_uLogic" +o 35 +suid 6,0 +) +) +uid 4369,0 +) +*396 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "resetSynch_n" +t "std_ulogic" +o 38 +suid 7,0 +) +) +uid 4371,0 +) +*397 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LED1" +t "std_uLogic" +o 18 +suid 8,0 +) +) +uid 4373,0 +) +*398 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LED2" +t "std_ulogic" +o 19 +suid 9,0 +) +) +uid 4375,0 +) +*399 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 TO testLineNb)" +o 46 +suid 10,0 +) +) +uid 4377,0 +) +*400 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "restart" +t "std_uLogic" +o 39 +suid 11,0 +) +) +uid 4379,0 +) +*401 (LeafLogPort +port (LogicalPort +decl (Decl +n "restart_n" +t "std_uLogic" +o 9 +suid 12,0 +) +) +uid 4381,0 +) +*402 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "restartSynch" +t "std_uLogic" +o 40 +suid 13,0 +) +) +uid 4383,0 +) +*403 (LeafLogPort +port (LogicalPort +decl (Decl +n "sensor1_n" +t "std_uLogic" +o 10 +suid 14,0 +) +) +uid 4385,0 +) +*404 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sensor1" +t "std_uLogic" +o 41 +suid 15,0 +) +) +uid 4387,0 +) +*405 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sensor1Synch" +t "std_uLogic" +o 42 +suid 16,0 +) +) +uid 4389,0 +) +*406 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sensor2Synch" +t "std_uLogic" +o 44 +suid 17,0 +) +) +uid 4391,0 +) +*407 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sensor2" +t "std_uLogic" +o 43 +suid 18,0 +) +) +uid 4393,0 +) +*408 (LeafLogPort +port (LogicalPort +decl (Decl +n "sensor2_n" +t "std_uLogic" +o 11 +suid 19,0 +) +) +uid 4395,0 +) +*409 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 21 +suid 20,0 +) +) +uid 4397,0 +) +*410 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 22 +suid 22,0 +) +) +uid 4401,0 +) +*411 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 23 +suid 23,0 +) +) +uid 4403,0 +) +*412 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "setPoint" +t "std_uLogic" +o 45 +suid 25,0 +) +) +uid 4407,0 +) +*413 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "go2Synch" +t "std_uLogic" +o 34 +suid 27,0 +) +) +uid 4411,0 +) +*414 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "go2" +t "std_uLogic" +o 33 +suid 28,0 +) +) +uid 4413,0 +) +*415 (LeafLogPort +port (LogicalPort +decl (Decl +n "go2_n" +t "std_uLogic" +o 7 +suid 29,0 +) +) +uid 4415,0 +) +*416 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "go1Synch" +t "std_uLogic" +o 32 +suid 30,0 +) +) +uid 4417,0 +) +*417 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "go1" +t "std_uLogic" +o 31 +suid 31,0 +) +) +uid 4419,0 +) +*418 (LeafLogPort +port (LogicalPort +decl (Decl +n "go1_n" +t "std_uLogic" +o 6 +suid 32,0 +) +) +uid 4421,0 +) +*419 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderASynch" +t "std_uLogic" +o 26 +suid 33,0 +) +) +uid 4423,0 +) +*420 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderBSynch" +t "std_uLogic" +o 28 +suid 34,0 +) +) +uid 4425,0 +) +*421 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderB" +t "std_uLogic" +o 27 +suid 35,0 +) +) +uid 4427,0 +) +*422 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderISynch" +t "std_uLogic" +o 30 +suid 36,0 +) +) +uid 4429,0 +) +*423 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderI" +t "std_uLogic" +o 29 +suid 37,0 +) +) +uid 4431,0 +) +*424 (LeafLogPort +port (LogicalPort +decl (Decl +n "encoderI_n" +t "std_uLogic" +o 5 +suid 38,0 +) +) +uid 4433,0 +) +*425 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderA" +t "std_uLogic" +o 25 +suid 39,0 +) +) +uid 4435,0 +) +*426 (LeafLogPort +port (LogicalPort +decl (Decl +n "encoderA_n" +t "std_uLogic" +o 3 +suid 40,0 +) +) +uid 4437,0 +) +*427 (LeafLogPort +port (LogicalPort +decl (Decl +n "encoderB_n" +t "std_uLogic" +o 4 +suid 41,0 +) +) +uid 4439,0 +) +*428 (LeafLogPort +port (LogicalPort +decl (Decl +n "button4_n" +t "std_uLogic" +o 1 +suid 42,0 +) +) +uid 4693,0 +) +*429 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "button4Synch" +t "std_uLogic" +o 24 +suid 43,0 +) +) +uid 4695,0 +) +*430 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LCD_CS1_n" +t "std_ulogic" +o 14 +suid 49,0 +) +) +uid 5048,0 +) +*431 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LCD_SCL" +t "std_ulogic" +o 16 +suid 50,0 +) +) +uid 5050,0 +) +*432 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LCD_SI" +t "std_ulogic" +o 17 +suid 51,0 +) +) +uid 5052,0 +) +*433 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LCD_A0" +t "std_ulogic" +o 13 +suid 52,0 +) +) +uid 5054,0 +) +*434 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LCD_RST_n" +t "std_ulogic" +o 15 +suid 53,0 +) +) +uid 5056,0 +) +*435 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "LEDs" +t "std_uLogic_vector" +b "(1 TO 8)" +o 20 +suid 55,0 +) +) +uid 5718,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 4455,0 +optionalChildren [ +*436 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *437 (MRCItem +litem &377 +pos 46 +dimension 20 +) +uid 4457,0 +optionalChildren [ +*438 (MRCItem +litem &378 +pos 0 +dimension 20 +uid 4458,0 +) +*439 (MRCItem +litem &379 +pos 1 +dimension 23 +uid 4459,0 +) +*440 (MRCItem +litem &380 +pos 2 +hidden 1 +dimension 20 +uid 4460,0 +) +*441 (MRCItem +litem &390 +pos 0 +dimension 20 +uid 4360,0 +) +*442 (MRCItem +litem &391 +pos 1 +dimension 20 +uid 4362,0 +) +*443 (MRCItem +litem &392 +pos 23 +dimension 20 +uid 4364,0 +) +*444 (MRCItem +litem &393 +pos 2 +dimension 20 +uid 4366,0 +) +*445 (MRCItem +litem &394 +pos 24 +dimension 20 +uid 4368,0 +) +*446 (MRCItem +litem &395 +pos 25 +dimension 20 +uid 4370,0 +) +*447 (MRCItem +litem &396 +pos 26 +dimension 20 +uid 4372,0 +) +*448 (MRCItem +litem &397 +pos 3 +dimension 20 +uid 4374,0 +) +*449 (MRCItem +litem &398 +pos 4 +dimension 20 +uid 4376,0 +) +*450 (MRCItem +litem &399 +pos 27 +dimension 20 +uid 4378,0 +) +*451 (MRCItem +litem &400 +pos 28 +dimension 20 +uid 4380,0 +) +*452 (MRCItem +litem &401 +pos 5 +dimension 20 +uid 4382,0 +) +*453 (MRCItem +litem &402 +pos 29 +dimension 20 +uid 4384,0 +) +*454 (MRCItem +litem &403 +pos 6 +dimension 20 +uid 4386,0 +) +*455 (MRCItem +litem &404 +pos 30 +dimension 20 +uid 4388,0 +) +*456 (MRCItem +litem &405 +pos 31 +dimension 20 +uid 4390,0 +) +*457 (MRCItem +litem &406 +pos 32 +dimension 20 +uid 4392,0 +) +*458 (MRCItem +litem &407 +pos 33 +dimension 20 +uid 4394,0 +) +*459 (MRCItem +litem &408 +pos 7 +dimension 20 +uid 4396,0 +) +*460 (MRCItem +litem &409 +pos 8 +dimension 20 +uid 4398,0 +) +*461 (MRCItem +litem &410 +pos 9 +dimension 20 +uid 4402,0 +) +*462 (MRCItem +litem &411 +pos 10 +dimension 20 +uid 4404,0 +) +*463 (MRCItem +litem &412 +pos 34 +dimension 20 +uid 4408,0 +) +*464 (MRCItem +litem &413 +pos 35 +dimension 20 +uid 4412,0 +) +*465 (MRCItem +litem &414 +pos 36 +dimension 20 +uid 4414,0 +) +*466 (MRCItem +litem &415 +pos 11 +dimension 20 +uid 4416,0 +) +*467 (MRCItem +litem &416 +pos 37 +dimension 20 +uid 4418,0 +) +*468 (MRCItem +litem &417 +pos 38 +dimension 20 +uid 4420,0 +) +*469 (MRCItem +litem &418 +pos 12 +dimension 20 +uid 4422,0 +) +*470 (MRCItem +litem &419 +pos 39 +dimension 20 +uid 4424,0 +) +*471 (MRCItem +litem &420 +pos 40 +dimension 20 +uid 4426,0 +) +*472 (MRCItem +litem &421 +pos 41 +dimension 20 +uid 4428,0 +) +*473 (MRCItem +litem &422 +pos 42 +dimension 20 +uid 4430,0 +) +*474 (MRCItem +litem &423 +pos 43 +dimension 20 +uid 4432,0 +) +*475 (MRCItem +litem &424 +pos 13 +dimension 20 +uid 4434,0 +) +*476 (MRCItem +litem &425 +pos 44 +dimension 20 +uid 4436,0 +) +*477 (MRCItem +litem &426 +pos 14 +dimension 20 +uid 4438,0 +) +*478 (MRCItem +litem &427 +pos 15 +dimension 20 +uid 4440,0 +) +*479 (MRCItem +litem &428 +pos 16 +dimension 20 +uid 4694,0 +) +*480 (MRCItem +litem &429 +pos 45 +dimension 20 +uid 4696,0 +) +*481 (MRCItem +litem &430 +pos 17 +dimension 20 +uid 5049,0 +) +*482 (MRCItem +litem &431 +pos 18 +dimension 20 +uid 5051,0 +) +*483 (MRCItem +litem &432 +pos 19 +dimension 20 +uid 5053,0 +) +*484 (MRCItem +litem &433 +pos 20 +dimension 20 +uid 5055,0 +) +*485 (MRCItem +litem &434 +pos 21 +dimension 20 +uid 5057,0 +) +*486 (MRCItem +litem &435 +pos 22 +dimension 20 +uid 5719,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 4461,0 +optionalChildren [ +*487 (MRCItem +litem &381 +pos 0 +dimension 20 +uid 4462,0 +) +*488 (MRCItem +litem &383 +pos 1 +dimension 50 +uid 4463,0 +) +*489 (MRCItem +litem &384 +pos 2 +dimension 100 +uid 4464,0 +) +*490 (MRCItem +litem &385 +pos 3 +dimension 50 +uid 4465,0 +) +*491 (MRCItem +litem &386 +pos 4 +dimension 100 +uid 4466,0 +) +*492 (MRCItem +litem &387 +pos 5 +dimension 100 +uid 4467,0 +) +*493 (MRCItem +litem &388 +pos 6 +dimension 50 +uid 4468,0 +) +*494 (MRCItem +litem &389 +pos 7 +dimension 80 +uid 4469,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 4456,0 +vaOverrides [ +] +) +] +) +uid 4441,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *495 (LEmptyRow +) +uid 4471,0 +optionalChildren [ +*496 (RefLabelRowHdr +) +*497 (TitleRowHdr +) +*498 (FilterRowHdr +) +*499 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*500 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*501 (GroupColHdr +tm "GroupColHdrMgr" +) +*502 (NameColHdr +tm "GenericNameColHdrMgr" +) +*503 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*504 (InitColHdr +tm "GenericValueColHdrMgr" +) +*505 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*506 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 4483,0 +optionalChildren [ +*507 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *508 (MRCItem +litem &495 +pos 0 +dimension 20 +) +uid 4485,0 +optionalChildren [ +*509 (MRCItem +litem &496 +pos 0 +dimension 20 +uid 4486,0 +) +*510 (MRCItem +litem &497 +pos 1 +dimension 23 +uid 4487,0 +) +*511 (MRCItem +litem &498 +pos 2 +hidden 1 +dimension 20 +uid 4488,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 4489,0 +optionalChildren [ +*512 (MRCItem +litem &499 +pos 0 +dimension 20 +uid 4490,0 +) +*513 (MRCItem +litem &501 +pos 1 +dimension 50 +uid 4491,0 +) +*514 (MRCItem +litem &502 +pos 2 +dimension 100 +uid 4492,0 +) +*515 (MRCItem +litem &503 +pos 3 +dimension 100 +uid 4493,0 +) +*516 (MRCItem +litem &504 +pos 4 +dimension 50 +uid 4494,0 +) +*517 (MRCItem +litem &505 +pos 5 +dimension 50 +uid 4495,0 +) +*518 (MRCItem +litem &506 +pos 6 +dimension 80 +uid 4496,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 4484,0 +vaOverrides [ +] +) +] +) +uid 4470,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Board/hds/@f@p@g@a_cursor/symbol.sb b/Board/hds/@f@p@g@a_cursor/symbol.sb new file mode 100644 index 0000000..7d8d37f --- /dev/null +++ b/Board/hds/@f@p@g@a_cursor/symbol.sb @@ -0,0 +1,2729 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2031,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 341,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "LED1" +t "std_uLogic" +o 18 +suid 4,0 +) +) +uid 342,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "LED2" +t "std_ulogic" +o 19 +suid 5,0 +) +) +uid 343,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "restart_n" +t "std_uLogic" +o 9 +suid 6,0 +) +) +uid 344,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "sensor1_n" +t "std_uLogic" +o 10 +suid 7,0 +) +) +uid 345,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "sensor2_n" +t "std_uLogic" +o 11 +suid 8,0 +) +) +uid 346,0 +) +*7 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 21 +suid 9,0 +) +) +uid 347,0 +) +*8 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 22 +suid 11,0 +) +) +uid 349,0 +) +*9 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 23 +suid 12,0 +) +) +uid 350,0 +) +*10 (LogPort +port (LogicalPort +decl (Decl +n "encoderA_n" +t "std_uLogic" +o 3 +suid 13,0 +) +) +uid 351,0 +) +*11 (LogPort +port (LogicalPort +decl (Decl +n "encoderB_n" +t "std_uLogic" +o 4 +suid 14,0 +) +) +uid 352,0 +) +*12 (LogPort +port (LogicalPort +decl (Decl +n "encoderI_n" +t "std_uLogic" +o 5 +suid 15,0 +) +) +uid 353,0 +) +*13 (LogPort +port (LogicalPort +decl (Decl +n "go1_n" +t "std_uLogic" +o 6 +suid 16,0 +) +) +uid 354,0 +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "go2_n" +t "std_uLogic" +o 7 +suid 17,0 +) +) +uid 355,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 357,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset_n" +t "std_ulogic" +o 8 +suid 2,0 +) +) +uid 358,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 12 +suid 3,0 +) +) +uid 359,0 +) +*18 (RefLabelRowHdr +) +*19 (TitleRowHdr +) +*20 (FilterRowHdr +) +*21 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*22 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*23 (GroupColHdr +tm "GroupColHdrMgr" +) +*24 (NameColHdr +tm "NameColHdrMgr" +) +*25 (ModeColHdr +tm "ModeColHdrMgr" +) +*26 (TypeColHdr +tm "TypeColHdrMgr" +) +*27 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*28 (InitColHdr +tm "InitColHdrMgr" +) +*29 (EolColHdr +tm "EolColHdrMgr" +) +*30 (LogPort +port (LogicalPort +decl (Decl +n "button4_n" +t "std_uLogic" +o 1 +suid 2019,0 +) +) +uid 434,0 +) +*31 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "LCD_CS1_n" +t "std_ulogic" +o 14 +suid 2025,0 +) +) +uid 591,0 +) +*32 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "LCD_SCL" +t "std_ulogic" +o 16 +suid 2026,0 +) +) +uid 593,0 +) +*33 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "LCD_SI" +t "std_ulogic" +o 17 +suid 2027,0 +) +) +uid 595,0 +) +*34 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "LCD_A0" +t "std_ulogic" +o 13 +suid 2028,0 +) +) +uid 597,0 +) +*35 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "LCD_RST_n" +t "std_ulogic" +o 15 +suid 2029,0 +) +) +uid 599,0 +) +*36 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "LEDs" +t "std_uLogic_vector" +b "(1 TO 8)" +o 20 +suid 2031,0 +) +) +uid 1139,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 360,0 +optionalChildren [ +*37 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *38 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 271,0 +optionalChildren [ +*39 (MRCItem +litem &18 +pos 0 +dimension 20 +uid 274,0 +) +*40 (MRCItem +litem &19 +pos 1 +dimension 23 +uid 276,0 +) +*41 (MRCItem +litem &20 +pos 2 +hidden 1 +dimension 20 +uid 278,0 +) +*42 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 297,0 +) +*43 (MRCItem +litem &3 +pos 4 +dimension 20 +uid 298,0 +) +*44 (MRCItem +litem &4 +pos 5 +dimension 20 +uid 299,0 +) +*45 (MRCItem +litem &5 +pos 6 +dimension 20 +uid 300,0 +) +*46 (MRCItem +litem &6 +pos 7 +dimension 20 +uid 301,0 +) +*47 (MRCItem +litem &7 +pos 8 +dimension 20 +uid 302,0 +) +*48 (MRCItem +litem &8 +pos 9 +dimension 20 +uid 304,0 +) +*49 (MRCItem +litem &9 +pos 10 +dimension 20 +uid 305,0 +) +*50 (MRCItem +litem &10 +pos 14 +dimension 20 +uid 306,0 +) +*51 (MRCItem +litem &11 +pos 15 +dimension 20 +uid 307,0 +) +*52 (MRCItem +litem &12 +pos 13 +dimension 20 +uid 308,0 +) +*53 (MRCItem +litem &13 +pos 12 +dimension 20 +uid 309,0 +) +*54 (MRCItem +litem &14 +pos 11 +dimension 20 +uid 310,0 +) +*55 (MRCItem +litem &15 +pos 0 +dimension 20 +uid 312,0 +) +*56 (MRCItem +litem &16 +pos 1 +dimension 20 +uid 313,0 +) +*57 (MRCItem +litem &17 +pos 2 +dimension 20 +uid 314,0 +) +*58 (MRCItem +litem &30 +pos 16 +dimension 20 +uid 433,0 +) +*59 (MRCItem +litem &31 +pos 17 +dimension 20 +uid 590,0 +) +*60 (MRCItem +litem &32 +pos 18 +dimension 20 +uid 592,0 +) +*61 (MRCItem +litem &33 +pos 19 +dimension 20 +uid 594,0 +) +*62 (MRCItem +litem &34 +pos 20 +dimension 20 +uid 596,0 +) +*63 (MRCItem +litem &35 +pos 21 +dimension 20 +uid 598,0 +) +*64 (MRCItem +litem &36 +pos 22 +dimension 20 +uid 1138,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 272,0 +optionalChildren [ +*65 (MRCItem +litem &21 +pos 0 +dimension 20 +uid 280,0 +) +*66 (MRCItem +litem &23 +pos 1 +dimension 50 +uid 284,0 +) +*67 (MRCItem +litem &24 +pos 2 +dimension 100 +uid 286,0 +) +*68 (MRCItem +litem &25 +pos 3 +dimension 50 +uid 288,0 +) +*69 (MRCItem +litem &26 +pos 4 +dimension 100 +uid 290,0 +) +*70 (MRCItem +litem &27 +pos 5 +dimension 100 +uid 292,0 +) +*71 (MRCItem +litem &28 +pos 6 +dimension 50 +uid 294,0 +) +*72 (MRCItem +litem &29 +pos 7 +dimension 80 +uid 296,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 270,0 +vaOverrides [ +] +) +] +) +uid 340,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *73 (LEmptyRow +) +uid 362,0 +optionalChildren [ +*74 (RefLabelRowHdr +) +*75 (TitleRowHdr +) +*76 (FilterRowHdr +) +*77 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*78 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*79 (GroupColHdr +tm "GroupColHdrMgr" +) +*80 (NameColHdr +tm "GenericNameColHdrMgr" +) +*81 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*82 (InitColHdr +tm "GenericValueColHdrMgr" +) +*83 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*84 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 363,0 +optionalChildren [ +*85 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *86 (MRCItem +litem &73 +pos 3 +dimension 20 +) +uid 316,0 +optionalChildren [ +*87 (MRCItem +litem &74 +pos 0 +dimension 20 +uid 319,0 +) +*88 (MRCItem +litem &75 +pos 1 +dimension 23 +uid 321,0 +) +*89 (MRCItem +litem &76 +pos 2 +hidden 1 +dimension 20 +uid 323,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 317,0 +optionalChildren [ +*90 (MRCItem +litem &77 +pos 0 +dimension 20 +uid 325,0 +) +*91 (MRCItem +litem &79 +pos 1 +dimension 50 +uid 329,0 +) +*92 (MRCItem +litem &80 +pos 2 +dimension 100 +uid 331,0 +) +*93 (MRCItem +litem &81 +pos 3 +dimension 100 +uid 333,0 +) +*94 (MRCItem +litem &82 +pos 4 +dimension 50 +uid 335,0 +) +*95 (MRCItem +litem &83 +pos 5 +dimension 50 +uid 337,0 +) +*96 (MRCItem +litem &84 +pos 6 +dimension 80 +uid 339,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 315,0 +vaOverrides [ +] +) +] +) +uid 361,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\FPGA_cursor" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "FPGA_cursor" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:01" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Board" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../Board/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_cursor\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Board/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_cursor\\Synthesis" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "FPGA_cursor" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\@f@p@g@a_cursor\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Board\\hds\\FPGA_cursor\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "/usr/opt/Modelsim/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:13:01" +) +(vvPair +variable "unit" +value "FPGA_cursor" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*97 (SymbolBody +uid 8,0 +optionalChildren [ +*98 (CptPort +uid 54,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 55,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,27625,32000,28375" +) +tg (CPTG +uid 56,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 57,0 +va (VaSet +) +xt "33000,27500,36000,28500" +st "clock" +blo "33000,28300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 59,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10600,15400,11600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*99 (CptPort +uid 72,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 73,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,29625,32000,30375" +) +tg (CPTG +uid 74,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 75,0 +va (VaSet +) +xt "33000,29500,37200,30500" +st "reset_n" +blo "33000,30300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 77,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16600,15800,17600" +st "reset_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset_n" +t "std_ulogic" +o 8 +suid 2,0 +) +) +) +*100 (CptPort +uid 144,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 145,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,25625,32000,26375" +) +tg (CPTG +uid 146,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 147,0 +va (VaSet +) +xt "33000,25500,37800,26500" +st "testMode" +blo "33000,26300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 149,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,20600,16400,21600" +st "testMode : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 12 +suid 3,0 +) +) +) +*101 (CptPort +uid 155,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 156,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35625,5250,36375,6000" +) +tg (CPTG +uid 157,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 158,0 +va (VaSet +) +xt "34000,6000,36400,7000" +st "LED1" +blo "34000,6800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 159,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,26600,16400,27600" +st "LED1 : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "LED1" +t "std_uLogic" +o 18 +suid 4,0 +) +) +) +*102 (CptPort +uid 160,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 161,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39625,5250,40375,6000" +) +tg (CPTG +uid 162,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 163,0 +va (VaSet +) +xt "39000,6000,41400,7000" +st "LED2" +blo "39000,6800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 164,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,27600,16200,28600" +st "LED2 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "LED2" +t "std_ulogic" +o 19 +suid 5,0 +) +) +) +*103 (CptPort +uid 165,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 166,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,9625,32000,10375" +) +tg (CPTG +uid 167,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 168,0 +va (VaSet +) +xt "33000,9400,38400,10400" +st "restart_n" +blo "33000,10200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 169,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17600,16000,18600" +st "restart_n : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "restart_n" +t "std_uLogic" +o 9 +suid 6,0 +) +) +) +*104 (CptPort +uid 190,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 191,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,17625,48750,18375" +) +tg (CPTG +uid 192,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 193,0 +va (VaSet +) +xt "41600,17400,47000,18400" +st "sensor1_n" +ju 2 +blo "47000,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 194,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,18600,16500,19600" +st "sensor1_n : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "sensor1_n" +t "std_uLogic" +o 10 +suid 7,0 +) +) +) +*105 (CptPort +uid 195,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 196,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,19625,48750,20375" +) +tg (CPTG +uid 197,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 198,0 +va (VaSet +) +xt "41600,19400,47000,20400" +st "sensor2_n" +ju 2 +blo "47000,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 199,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,19600,16500,20600" +st "sensor2_n : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "sensor2_n" +t "std_uLogic" +o 11 +suid 8,0 +) +) +) +*106 (CptPort +uid 215,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 216,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,9625,48750,10375" +) +tg (CPTG +uid 217,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 218,0 +va (VaSet +) +xt "42800,9400,47000,10400" +st "motorOn" +ju 2 +blo "47000,10200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 219,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,29600,17000,30600" +st "motorOn : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 21 +suid 9,0 +) +) +) +*107 (CptPort +uid 230,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 231,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,11625,48750,12375" +) +tg (CPTG +uid 232,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 233,0 +va (VaSet +) +xt "44000,11400,47000,12400" +st "side1" +ju 2 +blo "47000,12200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 234,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,30600,16200,31600" +st "side1 : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 22 +suid 11,0 +) +) +) +*108 (CptPort +uid 235,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 236,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,13625,48750,14375" +) +tg (CPTG +uid 237,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 238,0 +va (VaSet +) +xt "44000,13400,47000,14400" +st "side2" +ju 2 +blo "47000,14200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 239,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,31600,15500,32600" +st "side2 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 23 +suid 12,0 +) +) +) +*109 (CptPort +uid 240,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 241,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,23625,48750,24375" +) +tg (CPTG +uid 242,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 243,0 +va (VaSet +) +xt "40400,23400,47000,24400" +st "encoderA_n" +ju 2 +blo "47000,24200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 244,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,16800,12600" +st "encoderA_n : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "encoderA_n" +t "std_uLogic" +o 3 +suid 13,0 +) +) +) +*110 (CptPort +uid 245,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 246,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,25625,48750,26375" +) +tg (CPTG +uid 247,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 248,0 +va (VaSet +) +xt "40400,25400,47000,26400" +st "encoderB_n" +ju 2 +blo "47000,26200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 249,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,16800,13600" +st "encoderB_n : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "encoderB_n" +t "std_uLogic" +o 4 +suid 14,0 +) +) +) +*111 (CptPort +uid 250,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 251,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,27625,48750,28375" +) +tg (CPTG +uid 252,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 253,0 +va (VaSet +) +xt "40400,27400,47000,28400" +st "encoderI_n" +ju 2 +blo "47000,28200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 254,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13600,16600,14600" +st "encoderI_n : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "encoderI_n" +t "std_uLogic" +o 5 +suid 15,0 +) +) +) +*112 (CptPort +uid 255,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 256,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,11625,32000,12375" +) +tg (CPTG +uid 257,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 258,0 +va (VaSet +) +xt "33000,11400,36000,12400" +st "go1_n" +blo "33000,12200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 259,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14600,16100,15600" +st "go1_n : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "go1_n" +t "std_uLogic" +o 6 +suid 16,0 +) +) +) +*113 (CptPort +uid 260,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 261,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,13625,32000,14375" +) +tg (CPTG +uid 262,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 263,0 +va (VaSet +) +xt "33000,13400,36000,14400" +st "go2_n" +blo "33000,14200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 264,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15600,16100,16600" +st "go2_n : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "go2_n" +t "std_uLogic" +o 7 +suid 17,0 +) +) +) +*114 (CptPort +uid 435,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 436,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,30625,32000,31375" +) +tg (CPTG +uid 437,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 438,0 +va (VaSet +) +xt "33000,30400,38400,31400" +st "button4_n" +blo "33000,31200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 439,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9600,16500,10600" +st "button4_n : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "button4_n" +t "std_uLogic" +o 1 +suid 2019,0 +) +) +) +*115 (CptPort +uid 600,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 601,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,28625,48750,29375" +) +tg (CPTG +uid 602,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 603,0 +va (VaSet +) +xt "41600,28400,47000,29400" +st "LCD_CS1_n" +ju 2 +blo "47000,29200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 604,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,22600,17400,23600" +st "LCD_CS1_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "LCD_CS1_n" +t "std_ulogic" +o 14 +suid 2025,0 +) +) +) +*116 (CptPort +uid 605,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 606,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,29625,48750,30375" +) +tg (CPTG +uid 607,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 608,0 +va (VaSet +) +xt "42800,29400,47000,30400" +st "LCD_SCL" +ju 2 +blo "47000,30200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 609,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,24600,16900,25600" +st "LCD_SCL : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "LCD_SCL" +t "std_ulogic" +o 16 +suid 2026,0 +) +) +) +*117 (CptPort +uid 610,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 611,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,30625,48750,31375" +) +tg (CPTG +uid 612,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 613,0 +va (VaSet +) +xt "43400,30400,47000,31400" +st "LCD_SI" +ju 2 +blo "47000,31200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 614,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,25600,16500,26600" +st "LCD_SI : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "LCD_SI" +t "std_ulogic" +o 17 +suid 2027,0 +) +) +) +*118 (CptPort +uid 615,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 616,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,31625,48750,32375" +) +tg (CPTG +uid 617,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 618,0 +va (VaSet +) +xt "43400,31400,47000,32400" +st "LCD_A0" +ju 2 +blo "47000,32200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 619,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,21600,16700,22600" +st "LCD_A0 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "LCD_A0" +t "std_ulogic" +o 13 +suid 2028,0 +) +) +) +*119 (CptPort +uid 620,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 621,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,32625,48750,33375" +) +tg (CPTG +uid 622,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 623,0 +va (VaSet +) +xt "41600,32400,47000,33400" +st "LCD_RST_n" +ju 2 +blo "47000,33200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 624,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,23600,17400,24600" +st "LCD_RST_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "LCD_RST_n" +t "std_ulogic" +o 15 +suid 2029,0 +) +) +) +*120 (CptPort +uid 1140,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1141,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,33625,48750,34375" +) +tg (CPTG +uid 1142,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1143,0 +va (VaSet +) +xt "44600,33400,47000,34400" +st "LEDs" +ju 2 +blo "47000,34200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1144,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,28600,23300,29600" +st "LEDs : OUT std_uLogic_vector (1 TO 8) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "LEDs" +t "std_uLogic_vector" +b "(1 TO 8)" +o 20 +suid 2031,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,6000,48000,35000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "31950,31900,35450,33100" +st "Board" +blo "31950,32900" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "31950,33100,39550,34300" +st "FPGA_cursor" +blo "31950,34100" +) +) +gi *121 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "35000,26600,44700,27600" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*122 (Grouping +uid 16,0 +optionalChildren [ +*123 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41400,69600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*124 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "Verdana,12,1" +) +xt "28150,41300,41850,42700" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*125 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47400,43400,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*126 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41400,52900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*127 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43400,42400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*128 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43400,25600,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*129 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45400,25600,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*130 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,62300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*131 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45400,43300,46600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*132 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47400,26500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *133 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*134 (Text +uid 52,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*135 (MLText +uid 53,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "101,55,929,731" +viewArea "-3247,-1126,76624,50300" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "15950,14900,20750,16100" +st "" +blo "15950,15900" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "15950,16100,19250,17300" +st "" +blo "15950,17100" +) +) +gi *136 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *137 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,6500,8700" +st "Declarations" +blo "0,8500" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8700,3000,9600" +st "Ports:" +blo "0,9400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,32600,3000,33600" +st "User:" +blo "0,33400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7800,7500,8700" +st "Internal User:" +blo "0,8500" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,33600,2000,33600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,7800,0,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 1305,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Board/hds/_fpga_cursor._epf b/Board/hds/_fpga_cursor._epf new file mode 100644 index 0000000..59f500d --- /dev/null +++ b/Board/hds/_fpga_cursor._epf @@ -0,0 +1,3 @@ +TOP_MARKER atom 1 +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom @f@p@g@a_cursor/struct.bd diff --git a/Board/ise/eln_cursor.xise b/Board/ise/eln_cursor.xise new file mode 100644 index 0000000..7117083 --- /dev/null +++ b/Board/ise/eln_cursor.xise @@ -0,0 +1,460 @@ + + + +
+ + + + + + + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
diff --git a/Board/ise/fpga_cursor-buttonsV1.bit b/Board/ise/fpga_cursor-buttonsV1.bit new file mode 100644 index 0000000000000000000000000000000000000000..cadde4743f20504e1d6828152d014dc7fcf4ce1c GIT binary patch literal 283872 zcmeI5TZ|l4dVv4xE{}Wc_PA^h$h+96z;^H^(bC2yYY52}EONYwg+`m8MB0s*1lSNo zN+ys9_Q_>zvJN6*0?S6ZAUTkgkQE6hc|rSN8cYHY)&?m`_5lTrLiQm-klAQaByU9L zKXsYu>A7@OcU^kAzB5yGF7==PKj%ALb*k#rsglW^i{YP$^&4{YiJ4!x`+*1l@X^P9 z@6pfy?t>5g?EQ~D{OFhO{khf?Cn6`mDb1sg-QH?F{Po{DdRt2#lL2+*%W7NJo9^>1 zX`6J3QuG(n!3fCtc=Bm zUzw_oZbfN>(QO+_1_1~_00Izz00bZafx-!F@d`JI!I%IK3mJDH{3IbzJU}DVcNA(~80SG_<0uX=z1Rwwb2tWV=5P$##AOHaf zWJ7?_muxtu(6Z_Qm*%R>_NtWr2^ac{AQ2PrV-r9cKqMa1_q+10nlc`3W1s1QlylI{SwHI6 zAi(!B*ML%7QuwiZzc_JI@3KmY;|fB*y_009U<00IygAp+NrP+2Gn1WF_@-)oyk zAbW`vALmAD@yxLZ0SG{#TmnglF;91{b>fnCBKP)(N55#&Of=qxpO%0l$$Q`Bsr;7P z60?YeX<<06ZRA4&&h9};Sgwd!a6=PF^=!+hpDrJB;^WcKB|yPI1oZgjh`8;Vee|qR zpz+y(6p?8l0;c~k?^bwn!h|d*in|)Y6-A&e($+tD39ZZ=7TtNhUW5+YA$kj#f za0kud#Yy;s5STC^lQ?U)O4e`b7H#RvF7|aN5FU`gLc)9t@{5mHp4TOPNy9t3;sggH z(0#AMk5u&R8RiNga?8Qs`q~TD@kaa%2@L%{o$v*18J1}LRmJBvdYZGAYc3})2YdFF zWf4LH6G+6=RrOwEBKD&w-rxlEf;Vu>NBVVi`I>H)0p0Q*G@ybp&KC8_Ry#o*-Cmhh z3B3Z#@uB;eWmVM*bvhqE-dkfGK{(pE`po&>bmDmtSgV^hX=|nDRfcib8+Qk*>v_YW zQ`dd<(5d|!EL@+h#+GTy`|n@A94=;UTpW8paF;KC^iie;QMQVJt7DZSH~UWpzR0P- zx8v2q4g)P&B=gcUvF8>ku)ADrS*Cb94JLSHow3(Kva>^|0?JM%UwBP&S zjkBWT2Jgw+ANb1rJ^yT5>KEiKxgsVVmkEJ2Pu@Mtu8S=-J@(W?D_+gCf!OD2!9Jlw z$+#j2tbGsT2fuVejaxEXL=mcNqb|n{XLWaq;~8J$>MWU_{nAfpV7fMI;<&sB*y8=w zq-TaIokl}1BW!V+CVobmCh;jferZx(*3oMSG!(KWb@3JS9kNx9Tk|4|TV-y`zli_H z-r7kcv|v`aya==`zbT75zt$FU{e_*L+G&ct-J7dxtNiFork`-+KXr}Eg20+b6gSWu zteXnF)(Q?hjw7zAW3VFsqFG`gOs)1JcB zKU~HH%uhX$)AOZnIk!7Pcqjr^(%gv!S1M#Ib0v>8V%C{85?3dg-e9F$ow9O!9Mj_$ zt{;KkxlNt_{xq0tdAifN_UYAsfA{05$C-QC58{y9EuCj+mSq=*)wiFJ$ram{r3ej6 zVCA92a=Y|d6EFOaHSywtHSs51sRVN-V2($ziT~|<@r$QB;h!eI9;f5yO2Dt%@{em- z&~u@nPp#Sd{5vi;0(IMJocEnojDvGgU(Ir6lNtc1KP4%^<-I);e&uYJ0eW zb!G%s_Ry-p|BEQ z$l2q|@!6NWxoMsMT60=Tq~Td@z+_LL`&_hpexNvSigkzFD~*Mn&AWEJ*^o=(ITrmv z_DvAZ@eZy5RC#R;1KLP>K$3>pbKaby%B3AQJX z5O)D9n!sEcukCuo))pdlFaj&#u6IxxOgFhQB5+<#cYIwkQiqy>2(&bpS#a4BNZ$8O z9z2RcOfRsRBpV*h=9>06Yxr9exUqgjE$&|2c02yRSZLN{O9 zSR~r&Iuou2qnCGa^DYszL_+ZxPXAZ)Y6D!*1(yo}PqilQ7jh{YB4fcgrjBQdS#YHgc;){-c}uqK zv^v*b*XJw#nYrSnw1V5VEeDE^O}#LwrIP}K1?={xSO+D)x{buiP_(HuXhsfKXH5tt3`)9Ezj z$Ruw~D2{z>sU@FnG#jp~akGSX`%DN|7y(x;nZ-CSShFgcfWO=X2~2bSkO0z>$UWXt zuwOt=iIO^QW+Ww*Le6}@&N!3Bm!pgyq+pkw=n+q!vy0Tles_ zxaK}>e7$WN`X-DkjDRIquDtc$S#kGVd0F(sT_Sr92p{VzjK4ADN&B!!TSIM~N$abx zjt>Wh912@U2cEt0CyX5H*S7eDS;vqeu%Op9-?w18hQ+PbhEjCxEnmNB&r+rXA)acf{nk)LU*_(Yetc#u^;R+-$D>5(E+>*tazY_*DmuO@b zSbsm5vvr#*O;D(>tbNO&K;Q zqs1HbbElm4?i+=}P}cYppXbcgY?+fhmj{8wee%*{zIl|Q z+By@CWL6te-nF+Ew~_OCa63 zsj55OIWNAv)K@VAc@l6vkz;upKzAPk=lg7DbN&SMH0tG<{LNrtBLXw7=SkDsNQ7EST$=KLVc*UhXz?8l%VOs0$j7{CC2n2E^zfDT~J%S~`7m4L?`x>ETYJb6AAAex?2tba*TrqAax()i&NRhZE4daC8;>OB)CbO`sKN=xU8%3ZR7RaO;`Cn_B8I+$a;?@C2I8;JKmU z6`=tFV?e+kgE~+J2nrh8y6AJ>Gkr1LzG@)^vQq zuzx!6Ejb^^nlxNt1onvR@s;_W_MQW#9qRgL{&B?;Fm0gwLNFc57TXH;iDHX@wdgI& za{&qKI6xp!IRbuEWA#0qo2D@?u_GkIc@smO*~|`SoZJzCb{Z1V{Cr`aei~~1W!*p| zT=$m_CE}w{>?h5K+>iu(?FW1_F&URNfmWa}TxYEteJKd^JVB8nC!$#q80z#WK_R-I z&`2O&MG>o=bFJ;1^`jmF5P$##AOHafKmY;|fB*y_009U<00Izz00b&bARcdL36C2qY!Y@I%Sg;?<-5@^sYo zlVSS+1pF|Mb?}JNz|+6bh4FLZnLR{Z7!Sj&GbW%1|EY~tZZ(_l z+E|9IgA!xlRbK6&zdqpcf9~j>Pe> zP3)z3N;`-rB48+QZ>}dAwn5!&4uk6nl0F21DLE#xKUAw4#sU1S2u!R{qwCsjm`A|jKrNKS~AOHafKmY;|fB*y_009UATas_t}fnk%jlPq5<&n1 z5P$###+*RCtrgtijd?9MLG`w5f-;VE5f6@a?KVT@ET8*#Ww+C0Tiak~*`=teeZQ&| zAH90lYNJ=Cs+4H%=c`gv>Ine|KmY>C2z1mXlSC>n0+!p#OEr4eCBUWex@g!?Spt^G zy_Kzec@^Imn^yiJejLLugFw3squA^sU3|Bf!tUYAsHE*CNndE0Ht^KmY;| zfB*zaBVdC1_vVH{k zcx*pH`EO@G$e(eKg^8XxQ3zC$z~7%}iEloRwk`7Ou0~kOWe~N+mX=&FZDU?auKokR L8l~^(ZJ+-Kdb9X+ literal 0 HcmV?d00001 diff --git a/Board/ise/fpga_cursor-buttonsV1.mcs b/Board/ise/fpga_cursor-buttonsV1.mcs new file mode 100644 index 0000000..66d4287 --- /dev/null +++ b/Board/ise/fpga_cursor-buttonsV1.mcs @@ -0,0 +1,17742 @@ +:020000040000FA +:10000000FFFFFFFF5599AA660C000180000000E089 +:100010000C800680000000060C80048000008CA785 +:100020000C800380804304C90C00038000000000A2 +:100030000C000180000000900C0004800000000013 +:100040000C000180000000800C0002000A8028598A +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000020000000000000000000000000000DD +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000002000000000000000000005C +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:100350000000000000000000000000040000000099 +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000A00A000000000000000000000000000062 +:1004F00000000000000000000000000000000000FC +:10050000000000000000000008802100280000001A +:1005100000000000000000000000000000000000DB +:10052000000000000000000000000000803080811A +:100530000000000000000048001806000000000451 +:100540000000600200000000000000000000000049 +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:100690000200000000000000000000000000000058 +:1006A000000000000000000000000000000000004A +:1006B000000000080000000000000008000000002A +:1006C0000000000800000000000000000000000022 +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D00000000000000000000000000000005401C4 +:1007E00018000000000000000000000000000000F1 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:100840000000000000000000000000800800000020 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C00000000000000000000000000000000080A7 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000100000000000000000000000D8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000500000000000000016 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000010000DE +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:102350000000000000000000000004000000000079 +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000100100000000000000000B +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:102630000000000000000000000000010000000099 +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000A0020000CC +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000008000000000000E5 +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:1038600000000000008800000000000000000000D0 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000400000000000000000000000000000B8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A4000000000000000000000000000000E000068 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000010000000000000E5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF0000000000000000000006000000000000061 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000001000000000000000004B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000080000000000E2 +:1056C00000000000000000000000003000000000AA +:1056D00000000000000000000000000000200000AA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000005000000000000000000000000000084 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000010067 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:1058600000800000000000000000000000000000B8 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000001000000025 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF0002000000000000000000000000000000084 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000001000000000000000 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:10738000006000000000000000000000000000009D +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000040000000000000000000003B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000040000000000000000000000000000E6 +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000010000068 +:107810000000000000010000000000000000000067 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000C0000000000000000000000000000027 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000100000000000000000000013 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC000000000000000000000280000000000007A +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000002000000000000000000000000000006F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:109350000000000000C0000000000000000C000041 +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:10938000008000000000000000000000000000005D +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000400000000000004C +:1094E0000001000000000000000000000008000073 +:1094F000000000000000000000000000000000006C +:10950000000000000020000000000000000000003B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000040000000000000000000000000000E6 +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000001001000000000000000068 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000005000000000000100000000A6 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000002000000000000056 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000010000000000000000000000000000053 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000002000000000000000000000C1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000001000000000000050 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A27000000000000000000000000000004000009E +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000010000000000004B +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A58000000000000000000000000000C00000000B +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A7000000000000000000000080000000000000C9 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB9000000000000028000000000000000000008D +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000010000000000000000000000000084 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000800000000000000000000C8 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000040000000000000000000005F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B3200000000000000000000040000000000000DD +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000080000C5 +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B0000000008008000000000000000000000004 +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000008000000000000000008A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E00068000000000000000000000000000000E2 +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E000000000000000000000000009000000003E +:10C9F0000000000000000000000000001000000027 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB80008000000000000000000000000000000025 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D170000000000000000000000080080000000027 +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A0000000FEFFDFDF0000000000000000FFFFC6 +:10D1B000FFFFFFFFFFFFFFFFFFFF00000000000079 +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F00000000000000000000000000000008000AE +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000C00000000000000F1 +:10D33000000080040C0080040C0080040C0000003D +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000084800000000000000000000000000004C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B00000000000000000000000000000008004E8 +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D6000000000000004000000000000000000000DA +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000007F7FFFFF00000000000000000000EE +:10D640000000FFFFFFFF00000000000000000000DE +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B00000000000000000000000000000008000E9 +:10D7C000000800000001C020C0CF000000000000E1 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D930000000000000000000000000000000800067 +:10D94000000010010000C02080001001000800004D +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000300030000C30000C71300C300F0 +:10DAD000C80000000000000000000000000000007E +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000080008000018000004A8 +:10DC500000001800000000000000000000000000AC +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000400800501080020100000000000028 +:10DDD0000000000000101C00000000000000000017 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF40000000000000000007000C2900A0000000F5 +:10DF5000000C28000000000000800C000000000001 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000002030 +:10E0D0000100000000000000000000000000180027 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E22000000000000060001000000000000000007E +:10E2300000000000000000000000000000000000DE +:10E240000000000000000000000000000000005876 +:10E2500000100020E00000000000000000000000AE +:10E26000008000000000000000000000000000002E +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A0000000000000000000000000070000000066 +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D00000000000005200000002000000000000E9 +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000480000A3 +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000001220000000001A7 +:10E550000010010100010010000000900000000008 +:10E56000001000000000000000000000000000009B +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D00000000000002000000000002000000020DA +:10E6E00000500000002010000000000000000000AA +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E830000000000000000000007000000000000068 +:10E8400000000000000000000000000000000000C8 +:10E85000000C08000000000C0A00080C000C00006E +:10E8600000000630000000000000800000000000F2 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000010000000000000000000000000000037 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000400000002000000200001 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000080000CD +:10EB40000000000000800000000000000000000045 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000001000000010000000000A3 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000300000000000000000000035 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000048000000000000800300000000000079 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000004000020 +:10ECF0000000000000001000000000000000000004 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000020000000000000C3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE60000000FFFF77EE000000000000000000003F +:10EE7000000000000000FF337300FFFF00F03BDEE6 +:10EE8000F0FC000000000000000000000000000096 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE00000000000000000000C0000000000000015 +:10EFF0000000000000000000000000000C00000005 +:10F000000C0000000C0000000000000000000000E8 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000008000000B7 +:10F1500000000000000000000000000000000000AF +:10F16000000000000000D8A432A8DAA432B8DAA463 +:10F1700032B8DAA432B8DAA432B8DAA432B8DAA4EF +:10F1800032B8DAA432B8DAA432B0000000000000CD +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E00000000000000000000000BB77DD77BB7766 +:10F2F000BB77BB77BB77BB77BB77BB77DD77BB775C +:10F30000DD777D7D7B7B7B7B7B7BBB7777BB000069 +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F460000000000000000000000000000000040098 +:10F4700004030000440141000403000000003200C6 +:10F4800004030000200045000403000040000200C7 +:10F49000040200000C00000000000000000000005A +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F00000004900800000008000470080000000FB +:10F6000028005100800000004000490080000000F8 +:10F6100080001100000000008000000000000000D9 +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F7700000000000000000C000C0C101C901030377 +:10F780000393C100C82300E3C7C9000000CBC4DB5A +:10F79000A0814323A100C400C342C000C4000000F4 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E00000000000000020000000000000000000F8 +:10F8F0000000000000000000000000200020C00107 +:10F90000200140010402C00000000020400100006E +:10F9100000010000080541002008001084C080009C +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA700000000000000000000000000000002EE078 +:10FA80000130000000000EC00110000000002EE058 +:10FA900001000000000000000000008449001F0D6C +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000E80C3001C0000001E8003001C00CA +:10FC100000000E80030000000000000700389C4C2C +:10FC200052010E0000001CE0020000000000000075 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD8000000000000000A480081110004020910035 +:10FD90000530100000000580083000000000201031 +:10FDA0002A18101000010802002C1010000000009A +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF00000000000000000000000000000A808000E7 +:10FF1000C000002008008000C00001C003003C08B1 +:10FF2000E0015005208040C6EB000008000080C0C2 +:10FF300001000000000000000000000000000000C0 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040001F9 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000F003A000000000005F0020240DE +:1000A0000000800EE00202000000002AC04220058D +:1000B000002800C70300000000000000000000004E +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000080000F7 +:1002000000000000000000000000000000200000CE +:100210000000040000000044004000100020000026 +:1002200000401C020840800000480400000000005C +:1002300000000208000200000400000000000000AE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000C000000000000000000000000000061 +:10039000000000000000000000000000000000104D +:1003A00000000000000018000800000000000040ED +:1003B0009000000000004004000000000000000069 +:1003C000000000000000000000000000000000002D +:1003D000009000000000000000000000000000008D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000040000000000000000000000000AB +:1005100000000000001000000000000000000004C7 +:100520000020000000000000001000040010300057 +:100530000020000000000000000004000004000093 +:10054000040000000A00000000000000000000009D +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000001000005A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000200000000000000000001A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:100810000080000000000000000000000000000058 +:1008200000000000000000000000000000000000C8 +:100830000000000000000000000080000000000038 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000030000000000000000000000000000048 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000080810000000000000000000056 +:1009A0000000000000000000000000000000000047 +:1009B000000000000000000000000008000000200F +:1009C0000000000800000000000800000000000017 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000002000000000000000000000D7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000FFFFFFFFFFFFDB +:100B2000FFFF0000000000000000FFF7FFBFFFFF15 +:100B3000DFFF00000000FEFFFF77F531EEFF000051 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C900000000000000000000000000000008004D0 +:100CA0000C0080040C0000000000000000000000A8 +:100CB0000C0000000C000000000000000C0090047C +:100CC0000C00000000000000000000000000000018 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000800000000000000CA +:100E2000000000000000800400000000000000003E +:100E30000000008060A94F8060B9078060B1002089 +:100E40001200000000000000000000000000000090 +:100E5000000000000000800400000000000000000E +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA00000000000000000000000FFFFFFFF000045 +:100FB000000000000000FDFFF0FFFEFFFEFFFEFF4F +:100FC000FEFFFF135F4C0000000000000000000067 +:100FD00000000000000000000000FFFFFFFF000015 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:101120000000000000000000000000000001C020DE +:1011300000A300000000000000000000000000000C +:10114000000000000001000000000000000040203E +:10115000001000000000000000000000000100007E +:1011600090000000000000000000000000000000EF +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A0000000000000000000000000000000C0205E +:1012B0008010110108100000000000000000000074 +:1012C0000000000000004000800000000800002036 +:1012D00000000000000000000000000000004000CE +:1012E000800001000000000000000000000000007D +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:101430000000000000000313E000000000000000B6 +:101440000000000000000005004300000100200033 +:10145000000100C000C00000E0000000000000002B +:101460000000001300C800000000000000000000A1 +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B0000000000000000000000008000000000023 +:1015C0000000000000000000000000000082000099 +:1015D0004000000140010004000400000000000081 +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:101730000000000000000000000000000000300079 +:10174000000000000000000000004348042C143892 +:1017500021103C1080003408F070400000000000B0 +:101760000200000000000000000000000000000077 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C000002C280000000000000000000000068737 +:1018D000E01036E3F3800E800801800140480007E5 +:1018E00000000040000000000000000000000000B8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A5000000084880C0A2054200000000A2000079F +:101A6000181C0004000000A000000000000000009E +:101A70000000000000200000000000000000000046 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD00000000000000000C861301CC41908288003 +:101BE00011000004E040001000100030010000006F +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D4000001000000000000000000000004800003B +:101D500000000000000000000000004300800000C0 +:101D60004000104020280008A072000700020080F8 +:101D70000200000000000000000000000038000029 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC000000000000028000000008000000000006A +:101ED0000000000000000000000000000000000002 +:101EE00000400000000000000050001000000A0444 +:101EF0002002001004320000001000000004000066 +:101F000000000000000000020000000000000000CF +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:10206000000000000000000000000006000000006A +:10207000B00D0870003D000D00007C00090000104C +:102080000C10A000000000007000000070000000B4 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C00000000000000000000000000000200000EF +:1021D00000003000000000000000000000000000CF +:1021E00000000000000000000020000000000000CF +:1021F000000000000050040020FC000E040C010050 +:102200000000008001800000000E000000000008B7 +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:1023500000C000000000000000108000000000002D +:102360000000000000000020080000000000000045 +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D000000000000040000000000000008000003C +:1024E00000000000000000000000000001000000EB +:1024F000000000000000000000800000000000005C +:10250000000000008000000000000000000000004B +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:1026600000800000000000000000000000000010DA +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:102800000000000000001100FEFF0100FEFF0000BC +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000C0000003B +:102990000C0000000000000000000000000000002B +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000080000000000000000000000DE +:102AF00000000000000000000000000000000000D6 +:102B00000000000000000000000000000000902411 +:102B100012000000000000000000000000000000A3 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C900000003F2A3F2AF3F30B0000000000000071 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000402000004000000A8 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000001000000000030 +:102FA0002000000000000000000000000000000001 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:10311000000000000000000000000000000000C5EA +:1031200000C00300030000000000000000000000D9 +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000200000000000000000000000000003C +:10329000000000000000000000000000000000002E +:1032A00000000020040240000400000000000000B4 +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:103420000000000000009E18003F8C87F261000041 +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A00000000000000000000000000220082A4186 +:1035B000243C0000000000000000000000000000AB +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000384021 +:1037300040040080000000000000000000000000C5 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B000000006088303904C0A6800000000000026 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000100000A6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A3000000000000000089000005204A4140000E0 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB00000000000000000000000000208000400F7 +:103BC00008040020000008040008000200000004AF +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D10000000000000600000000D00000000000036 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D4000086004000800000000000C00000C0000E7 +:103D5000000000100A000000000000000000000049 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E900000000000000000000040000000000000E2 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000004000005000004000000000000E5 +:103ED0000000000C0000008C00000000000000004A +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:10402000000200000010000000000000000000007E +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:10405000000004000000000000000000000000005C +:104060000000000000000000000000000000000050 +:104070000000000020000000000000000000000020 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000001000000004000000800000000000007B +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F000000000000000000040000000000000007F +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:104320000000000000C0000000000000004000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:104350000000000000000000000008000000000055 +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D0000000153FFF0F153FF3510100F0FF0100F1 +:1044E000FEFFF3513700FF5FFD00000000000000F9 +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:1046500000000000000048001E2090241E00000002 +:104660000C0000000C0090241E0000201E00000022 +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00008000000000000000000000000000000F1 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000D8A432A8DAA405 +:1047E00032B8DAA432B8DAA432B8DAA432B8DAA429 +:1047F00032B00000000000000000000000000000D7 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000DD7703 +:10496000BB77BB77BB777B7B7D7D7B7B7D7D7B7BDB +:104970007B7B7D7DB7B700000000000000000000D9 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB000000000000000000000880000000000006E +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE000000049000403C020CCCF450004030000AF +:104AF000AC0110000403C0208C8300000000000003 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C300000000000000000000000000000900000E4 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C6000000000000000E1208000000180084900F1 +:104C7000800000004000D12080000001286000007A +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000004000000000000000A3 +:104DD00000000000000000000000000000000000D3 +:104DE0000000000000000000000000C013E357C0F6 +:104DF00083220093C1C1C200A105002203EAC400BE +:104E0000800300000000000000000000000000001F +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000100000060 +:104F50000000000000000000000000000000000051 +:104F6000000000000000000000000000000000023F +:104F70000010008288400002A02182102000024020 +:104F8000210002008001000000000000000000007D +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000002EE8F1801C000000000F5400002783 +:10510000D412000068E880D82101000000000000EF +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:1052400000000000000000000000000000800000DE +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:105270000000000000000E80C33000000514000094 +:10528000E0001C06C110400400101C212180000019 +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000848010140B007A +:10540000022120024010101000280021820110000B +:10541000828000000000000000000000000000008A +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:105550000000000000C0000000000000000000008B +:10556000000000000000000000000000000000003B +:105570000000000000000000000000000000820D9C +:105580007200142F3160016880088E00E3782304D4 +:1055900020001EC8E46800000000000000000000B9 +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:1057000000000155160C3020D2E0024408AD11070C +:10571000D216022B7001CC00D21100000000000054 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000008000000000000000000000030 +:105870000000000000000000000000000000000028 +:105880000000000000000004040480408C0C12247E +:105890001421000004080002000000000040000085 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A0000000000000000000000000C060C00000078 +:105A10000C040000200000000000500600909000E0 +:105A20000000000050000000000000000000000026 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000010014 +:105B90000D003000100C0400042C00000400000470 +:105BA00000000000002A00000000000000000000CB +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000020000000000063 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E900000000000000000000000000000000400FE +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000001000000000000000000000C2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF000000000420100000000000000000000005E +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:10602000000014000000000000000000000000005C +:106030000000000000000000000000000000000060 +:106040000000000000000000002000000000000030 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:106190000000000000000000000000000000FEFF02 +:1061A000FF7F5F4C07778800F08009903300FFFF86 +:1061B000CFFF000000000000000000000000000011 +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000C0090241E0000201E00000051 +:106330000C0000000C000000000000000000000045 +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:106470000000000000000000000000000800000014 +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A0000000000000004800002000201200000052 +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:1066200000000000000000000000FBFFFF7FFF559E +:10663000777000000000FFFFFF1100000000000065 +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000018000C00500000000402000400000F3 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000C000800000010020002000000000D6 +:106940000040000000000000000000000000000007 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000080000080C00100C3000052 +:106AC00044800000440500000000000000000000B9 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C3000000000000000000000008040000212413F +:106C40008018000000040020000000000000000088 +:106C50000010000000000000000000000000000024 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB0000000000000000000000000000000519DE5 +:106DC000E0002C9EF2688024280000400A60000049 +:106DD0000000008001000000000000000000000032 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000008563309CE7024000830100108050 +:106F500001C400000000000002000000000000006A +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C0000000000000000000311C301000400001F2 +:1070D00000000600000000000000000000000000AA +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000002D1C00801DC692 +:10725000E906002802020000000C400200000010B5 +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000012AB +:1073D000781088D6A338000060000CC0D9100000D7 +:1073E000000000800100000000000000000000001C +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:1075500000000001240848028444020004000400E2 +:1075600000280000081400000000000000000000D7 +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D000000000000000000008080002060006701C +:1076E0000000020000000650B8000D000D0E000062 +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:1078500000000000000000000000000821002000DF +:10786000103804000000040000000000000C0600B6 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E000000402000004000002000000000000008B +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000400000011 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000300000000000000000000000000000B5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB0000000000000000000000000000000810142 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000C00000008000080 +:107CF000000000000000000000080000000000007C +:107D00000000000000000000000000000000000073 +:107D10000000000000200000000000000000000043 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000001000100FFFF12 +:107E7000FFFF000000000000000000000000000004 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000C0000040C000000000000000000000065 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:108140000000000000000000080000000000000027 +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F00000000000000000000000FFFF030000007D +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:108470000000000000000000000000090000800073 +:1084800000060000000000000000000000000000E6 +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F00000000000000000000000000000008000FB +:108600000000000100040000000000000000000065 +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:10878000000000000000C000000000000000000029 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000010000400000053 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000401D002C00005D +:108A900028000000000000000000000000000000AE +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C000000000000000000000000000000000087DD +:108C100003000080010000000000000000000000D0 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000002808000000000000000D1 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000028001400000100000014 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000B00780EC07F +:1090A0000B000000000000000000000000000000B5 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000002000000000000000000000003E +:10921000000000000000000000000000000000004E +:1092200044000004000004440000000004000000AA +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A0000000000000000000090901000900700031 +:1093B0000008000E50007000000050000000000087 +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000200000003B +:10951000000000000000000000000000000000004B +:1095200000000000000000003400000400000C18DF +:109530000E00000008010000000000000000000014 +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000400B6 +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000010000000000000000000000000027 +:109840000000000000000000000000000000000018 +:1098500000000000001000000000000000000000F8 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:109980000000000000000000000000C00000000017 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B000000000000008000000000000000000009F +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000002000000000000067 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000FFFF93 +:109FD00041FF000000000000000000000000000041 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E0000400000000000000000000000000000067 +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000020000400000000000000000000000A7 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E000000000200308000000010000000000003C +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA6000000000000000000008000000400000009E +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000300000062 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000010000003 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000200000000000000000000000B3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE00000000000000000000000000040800000A2 +:10AEF0000004000C04020400040000000000000430 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B0400000000000006000000000000000000000A0 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000001000000040000000100000000CA +:10B0800000000D00000000000000000000000000B3 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000400000000000003F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F0000000000030300000000800040C0C0C00BF +:10B200000C0000000000000C000000000000000026 +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000001000000000000000000000000000005C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B650000000000000C0000000000000000000002A +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D00000000000000000000000800800000000E1 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000021FF21FFCDCE5D +:10B8100009FF000000000000000000000000000020 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B950000000000000000000000000000000800067 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B990000C0000000C00000000000000000000008F +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000848000000000000000000000000000006 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000900400000000000000000000000091 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC60000000000000400000000000000000000094 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC9000000000000000FEFF030001005100000052 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE1000000000000000000000000100040200001B +:10BE20002C000000000000000000000000000000E6 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF90000000000000000000000000000000090098 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C120000000080000C50A00000000000000000038 +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000A04020200300200004A +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C420000000000000000000000000C863B030C63B +:10C430002B120000000000000000000000000000BF +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A0000000000000000000000000000000720316 +:10C5B00060B240C6C1F000000000000000000000B2 +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000200000000000000000000000027 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C73000000020203C00000820090000000000004C +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C880000000000000600000000000000000000048 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000001C14EA9600D0CD700000BB +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000020000000024 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA3000000000000000000000000C40703034A036 +:10CA4000E3F1000000000000000000000000000012 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000001A4 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000010008000008004000061 +:10CBC000004202000002000400000000000000001B +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000003000000000C3 +:10CD400000000000000000000000000000900070E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE9000000000000000000C000000000000000086 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC00000107000300C000000000400000000049E +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000200000000000C0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C00000000000000000000000000000008000DF +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000010000000000000000000001F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000010000000000000000000000FC +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D37000000000000000000000200000000000008D +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D00000000000000009FF0A0FEDCCFA00000078 +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D650000000000000000000000000000C000000BE +:10D660000C000000000000000000000000000000AE +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D960000000F1F2070000000000000000000000CD +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000001023 +:10DDF0000003000018000000000000000000000008 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000010008020000000000000000000F1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000001C0BE0000026088000006B +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000002008000140062F +:10E28000003000000000000000000000000000005E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000080009D +:10E40000000060410000000000000000000000006B +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E580000000201880811C000178000000000000BD +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000C03D03028840B900000B3 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000008000000B0 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000004000000000402020004040074 +:10E890000004040004000400000000000000000068 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E000080000000000000000000000000000001F +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA1000000000B0000000000000000050000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB60000000000000000A0000000000000000009B +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000005035 +:10EB90000008000000040C0000040C000400040045 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE000000000000000000100000000000800001B +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE6000000000000000000000000008000000009A +:10EE70000002000000000000000000000000000090 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000080000000000E8 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A0000000000000004A8020B8488000B84A8070 +:10F4B00020B84A8020B000000000000000000000DA +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F62000000000000000FEFFFEFF78787878F10E01 +:10F63000E10F8877787878788877000000000000FC +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB00000000300090084000000000000000000B6 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000002000400000000000000BE +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB000000000000000000000002E0000049C165F +:10FDC00020002C8000B0000AD000000000000020BD +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000E00B3 +:10FF400000003E06A802020008A8C0030000080046 +:10FF500000000C060000000000000000000000008F +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040002F8 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000040000000000000085000280000025 +:1000D00014000300002002000000000000000000E7 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:100240000000000000000D200A001004400308A078 +:1002500001000204E0001200000082000000000023 +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C00000000000000000000000220000000129E1 +:1003D000000008C001000825E00006000028080F02 +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000004040000000004000000BF +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:100550000000000000040000000000000000000097 +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D0000000000000000000000001000000000019 +:1006E000000070005000000000000000000000004A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000008C0 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000008000090 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB00000000000000000000000000000008200B2 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000EEFFFEFE0000B9 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000C00000000000000000000000000000005 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A600000000000000000008000140000E0000002 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE0000000000000000000000000008000000075 +:101BF00000080000000000000000000000000000DD +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70001022080000000000000000000000000029 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF0000000000060000000007000000000000012 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:1020700000000000000000000030000000800000B0 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F000000000400000008000000000000000001F +:1022000000000000001000000000000000000010AE +:1022100000000000001000000000000000000800A6 +:102220000000000800000800000000080000000096 +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000C000000000051 +:1023800000004000000000000010000000000000FD +:1023900000000030000000000030000000000000DD +:1023A0000000000D00710004010000B000000004F6 +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F000000000000000000000000030000001307B +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000100000000C7 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C00000000800000000000000000000000000FF +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B0000000000000000000000008008000000003D +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C800000000000000000000000000000008008BC +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E10000848000000000000000000000000000062 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000D8A416 +:102E400072A9DFA472B9DFA472B9DFA472B0000066 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F900000000000004000000000000000000000F1 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000FF005555FF000F0FFF0055555555E8 +:102FD00000FFFFFFFFFF00000000000000000000F6 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:103140000000500000010000000010000403000017 +:1031500040000100040200000C000000000000001C +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000040008000000040005100AD +:1032D000800000008000110000000000800000005D +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:103440000000000000000000000000C80000C801EB +:10345000800000C803C8C400800000C000C0000095 +:10346000C00000000000000000000000000000009C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D000000000018000000040000000800000208A +:1035E00000208000800000000000000000000000BB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000018000000000E43 +:103760000000000000801C000000000000000000BD +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000100000065 +:103A6000000000000100000200001000000008003B +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000608000000000000000000025 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000006000000000000000000000000BF +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000030000000080 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000D00000000000000083 +:103D7000D000000000000020000000000000000053 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00004000000000004000000000000000000EA +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000080000000000000008000000B2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:10406000000000000000000000000000000100024D +:10407000000000060000000000000000000000003A +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000C00000000000C00D7 +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000001000000000000000000000000000004D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D000000000000080000000000000000000005C +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000030000000000000000000004B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:1046500000000000000000008003000000000000D7 +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000020000000000000DA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D300000000000000000000000000000000C0057 +:105D400000000000000090000000000000000000C3 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000100000000000000000000000000D1 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000400000000000000000000000000000014 +:107870000000000000000000000000000000080000 +:1078800000000000000000000000000000000800F0 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A000000000C000000000000000000000000006A +:107A100000000C000000000000000000000000005A +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B600000000000000000000C0000000000000009 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000400000000004B +:10B20000000000000000000000000000000000003E +:10B21000000000000000020000000000000000002C +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B39000000000000000000000000E00000000009F +:10B3A000000000000000000000000C000000000091 +:10B3B0000000A000000000000000000000000000ED +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F0000000000000000006000000000000000046 +:10B5000000000C000000000000000000000000002F +:10B5100000000000000000000000000000000C001F +:10B52000000000000000000000000000000001001A +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B68000000000000000000000004000000000007A +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000010010000000024 +:10BB100000000C0F33CC000000000000000000000B +:10BB20000000000000000000000000000000000015 +:10BB3000000000000000FFFFFFFF00000000000009 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000001B3 +:10BC900000000000000080241E00000000000000E2 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000008C020000F6 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE0000000000000000000000000000080000002A +:10BE1000000000000000D8A472A9DFA472B9DFA45A +:10BE200072B9DFA472B9DFA472B9DFA472B9DFA45A +:10BE300072B9DFA472B9DFA472B9DFA472B9DFA44A +:10BE400072B9DFA472B00000000000000000000022 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000FF000F0F5555DA +:10BFA00033330F0F3333FF005555FF0055550F0F37 +:10BFB00033330F0F3333FF0055553333FF005555DF +:10BFC0000F0F0F0F3333FF00AAAA0000000000007C +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C1100000000000000000000000000000004500DA +:10C1200004038000CC0F620004030000AC016B002C +:10C13000040300008C01100004030000C800730019 +:10C1400004030000A80010000403000088000000A1 +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A0000000C900800010018000550080000000DF +:10C2B0004000610080000000280051008000000064 +:10C2C000800051008000000040005100800000000C +:10C2D0002800000000000000000000000000000036 +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C4200000000000000000C0C8830023C00400C852 +:10C4300000C0C000800000C00080C800C40000C868 +:10C4400000C0C000800000C100C08000C80000C85B +:10C4500000C0C000800000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A0000000000000000000000000022003010065 +:10C5B0003004000000208000800000020002000023 +:10C5C00000000000002080008000002100028000A8 +:10C5D000000000000020800080000000000000003B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C730000000011000700000000000000000000078 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000E0000000003C000000000000BD +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000100000000C000078000000000007DD +:10C8C0000000100000000000E00000000000000771 +:10C8D0000000000000000000000000000000000751 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000080000086 +:10CA300000000000080000000800000000082000BE +:10CA40000100800000000000080000000800200035 +:10CA50000100800000001000000000002000200005 +:10CA60000100800000000000000000000000000045 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000001000000065 +:10CBC000D030000000000000000000000000000065 +:10CBD0000000000000000000000010000000000045 +:10CBE000C000000000100000000000000000000075 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400070002C0000B8000000000000D0000000BF +:10CD5000000000070000000000000000D0000000FC +:10CD6000000000000002000000800000D000000071 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB000000000000000000000280000000000004A +:10CEC000100000010000004000000200000000000F +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D040000000000000000000000070000000000070 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000060000000000000000000000000000AA +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C00000000000600000000000000000000000FF +:10D1D000000004000000000000000000000000004B +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000002000BD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000400000000000001C +:10D4D0000000A000000000000000000000000000AC +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000001000000000000000000000000000000B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D640000000000000000000000000000040004258 +:10D6500002000000000020000000000000000000A8 +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000020000000000000000000007A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D00000000000000010810800000000000000B0 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D950000000000000000000000000810000000046 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000010000000000001C +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000A0000000FB +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F0000000000000000000000800000000000012 +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA000000000000E0000000000000000000000026 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB800000000000008800000000040000000000F9 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE900000000C0000000000000000000000000066 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000001000000000000000000000000EF +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F190000000000000000008000000000000000067 +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F31000000000000000000000600000000000008D +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040003F7 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:100240000058000000000000000000000000000056 +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:10054000000000000000000000500000000000005B +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000048000000000000000000000000000050 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000008000000040000000000000000000076 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE00000000000004800000004000000000000B5 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB00000000000000000000000005005000000BC +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:103130000000000000280000A000000000000000C7 +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E00000000000000000000000000000000008022 +:104E1000080000000000000000000000000000008A +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000008000000000000000000000000091 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:1060300000000000000000000000000080000000E0 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000030000000000000000000000D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D000000000000000000000800000000000003C +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000048000000000000AA +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000008000000000000D4 +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:108610000000000000000000000000000048000012 +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B8200000480000000000000000000000000000D0 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A000000000000000000000000D00000000008A +:10B9B0005000000000000000000000000000000037 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE300000080000000000000000000000000000FA +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000480000000000000000000039 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D0000000330FE4E40000000000000000000051 +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD6000000000C003000000B0020000000000004E +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE000000000000000000000000000A0000000A2 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D06000000000000000000000000000002400009C +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E00000000000000000000000000000000080BF +:10D1F0000108000000000000000000000000000026 +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D370000000009003080000F00000000000000022 +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000100000000000000000000000000002B +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D67000000000000000000000000000404000002A +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F00000000000000000000E7C0000000000009F +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000FFFFFFFF0000000000000000000006 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF900000000000000080040C00000000000000F1 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E100000000000000000000000000000800000007 +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E42000000080000022000000000000000000004A +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E590000000000000000000003800000000000043 +:10E5A00000008000000000010000000000000000EA +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000003000000000000000000E6 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A00000000000000000008000080000000000E0 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA000000000000000000000080000000000005D +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000030000000000000000000000000000022 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F64000000000000000000000000000002000009A +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C00000000000000000000000000C000000002D +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000004B3 +:10F950000000820000100000000000000000000015 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040004F6 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:1034600000000000000000000000000000001081CB +:103470000800000000000000000000000000000044 +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F000000000810000000000000000000000004A +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:1042100000000000A00200000000000000000000FC +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:104510000000000000880000100000000000000003 +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:1046900000000000000000000000000070000000AA +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000008000090 +:104820000000000000000000000400000000200064 +:104830000000000000000000000000000000000078 +:104840000000001000000000000000000000000058 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A00000000000500000000000000000000000B7 +:1049B00000000000000000000000000000000000F7 +:1049C00000000900000000000000000000000000DE +:1049D00000000E00000000000000000000000000C9 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B300000040A0000002000000000000000000047 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000400000000000B4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000200052 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB0000060000000000000000000000000000091 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B0000000000000000000000073190C000180D5 +:1052C000000000500C000180000000C0040000003D +:1052D00004000000040000000400000004000000BE +:1052E00004000000040000000400000004000000AE +:1052F000040000000400000004000000040000009E +:10530000040000000400000004000000040000008D +:10531000040000000400000004000000040000007D +:10532000040000000400000004000000040000006D +:10533000040000000400000004000000040000005D +:10534000040000000400000004000000040000004D +:10535000040000000400000004000000040000003D +:10536000040000000400000004000000040000002D +:10537000040000000400000004000000040000001D +:10538000040000000400000004000000040000000D +:1053900004000000040000000400000004000000FD +:1053A00004000000040000000400000004000000ED +:1053B00004000000040000000400000004000000DD +:1053C00004000000040000000400000004000000CD +:1053D00004000000040000000400000004000000BD +:1053E00004000000040000000400000004000000AD +:1053F000040000000400000004000000040000009D +:10540000040000000400000004000000040000008C +:10541000040000000400000004000000040000007C +:10542000040000000400000004000000040000006C +:10543000040000000400000004000000040000005C +:10544000040000000400000004000000040000004C +:105450000C000180000000A00C000580000000008E +:105460000C0000800000FAEA0C000180000000B08F +:10547000040000000400000004000000040000001C +:00000001FF diff --git a/Board/ise/fpga_cursor-buttonsV2.bit b/Board/ise/fpga_cursor-buttonsV2.bit new file mode 100644 index 0000000000000000000000000000000000000000..b43299e3a960543a2f9a5a7e20981f2d0e5252c2 GIT binary patch literal 283872 zcmeI54SXEcb?DE`j#eXiEsvxH6e^o5Ss398JpOe&oXoHbDWsXZq6g`Tg=Emcf*Uq-ObW9E|b4 zbMDNnRx7QvE6J9uJxAKP-}lb^@65e3_sqQmWpk3=AAoTa)L#)>(Q@O>f3^P8pIU$Z zf4ljk@4xobA6x%{wu{{jSNH;JA+q$-7r5@nZu$7qB`(|yvzEAvn-?u!ylBaL;iFKt z_=1a;xEC#63LgXO8kIun{rhWgaX}dXQ0f|SGUKix&|LhoYa|TgDe-qMB*-89cx5h7 zc-n2aAR%T%|AG8YX_2S&-p`DkpBZ%^W=3V4+DB0m%WwZ3qZ6WIL^UEthaaU1YT{%S z09BGKER#b(j-8F;N69wFPFZv@7OI+)cg#jmAt$d$E-8zEz9-A_VHKZh2hV2n4teMu5UzOn?b60Vco%m;e)C z0!*M-2@D@`E>;p#aNPdOxcpA;6tL$arw;*cgr>eO_^tG*uY;j`FkA^XG@M;0o&aAJ zC!TTc5?JY*o6X4zQ75Y)E@v2l?AIiQMPLsmzyz286JP>NfC(@GCcp%k025#WOn?b6 z0Vco%m;e)C0!)AjFaajO1egF5U;_CPpw;JtxS96AkIg~|jPG4vLkzwOlW8xcWR4pU z7+)O(so7)##Y_NSi5zkPnHJ0{W*#T|*y)wjA!{pGz>B4w>`Kt(B?e0!7__qOWD*$C z6rIe1xh$W68cKq{Ffo+JRJdy8RFukPGJ)wuV62ZtO|QM3etR<+_mw<@YWmeH?@?g{ zcpgq+yiP=Hv!G1N3yRH=3nMTY{X$_Sa_oSB&))m8Z{T?XOrCw78)}Gx1c<`gq}=)C z3gLAza*>&UKj|<@CXfXuoge|yVX_``f)I6b9{-#SikwGr69`#wd2th%+M_q$O&|hAGSDwdsSRnYaPxw4mpp;Y8<&1XOi*i&-k69 z$vj_L)nw+zd5x36kU1S0d33=ak{M1&rLIjKJz7$t)X`)rwXx;vZGZWDG?A{wg zDpz7RD}dbDzr`{u5<3ecP|$r*l@b2R8%FpW!++-A7FI0B9xs9ZrNLkCwVo|Ghs@o( z`}@b6C#PdQ*fcl?3Tlg{!T!#~T^QwAt7L@ZRoX8B8C5slCyWttFH$d`UBQBD5NT2U?0oF^fXvjQ=kWo!bYcE&M0@6%a$G3}@zFUyWnkdd&RF!j!J z($UybFo99)K<5_32uuJeWsAhHHVH~c;mza`m_2q@Djg-5A6PQ1Da!0a($U#6DFldm zIkr#d3(@4Fz1e$6P?M55XEII#Vw~wXStfx(o^n-YLQsOQX%~Q^8$4 zKXVA7aX32gRv+hC4hq_>4fufO;vFgZXNI8@!#oN61Jn0rqW}WVkhq2z;`AH_ME{3j zek1$0#PgfG-ZNR3IRd&uy_C8u{fT>gmqaDJi!dstVhy6#zY4hm$g+f`WP26e&!i2rn-IK5jCUx2B7s z$Wwr!5}-hK8hAmV`haezIzwc#Oq77a3@T=?!|kjD>M(IEdSD*5P~*LZ^tQy$Ocac%I#_LMP_?srHmsKD(!=qXnw zs4zShhE>qIZk>s}0L+n5GYZRl%tjX^tV?2CJfUsS#VxTB7HB&KsHWLvHZGv{}JR%W+ zQI-L8H`=za@v;GfqOwdX0Wqn$ab^V&K=k;JBK3CkjU6;n6pb&0(P51d8Xg=O6+s;Y zNxXJGXzc@E&$Ke@U}zA#3MGIy|601B3wpbb_9;lcwqwVSb{yNfGuhvDXkZ`C!0d%f zKYQ$&oyjd*wjQI%rJp@(KPel?o=V-wdQ1W_0U2yZ+_dge>o9#?W|?sD<_L9{Y279k zrgfRYd`t{ynE(MNCdP85NS`c4yvAiRfS+aOcnK&t2V?nBjyD!thAl>j}+ z;A!YEVE)tU?w-5Xnmt{K0c(B&y#eam)`HeE$RPfdksI&Js8@C#+6< zYOq2HOjMd++4x4)J#Coek4r1o;#@yt{sTDQtm0uX=NSJ1 z1K2S?P$RADq%i<IU*v2)SJVcF3mP z{$iJ{pbF>a-;dp~>5b&A(`o7b3vJ=exCm4UI^(Gs0h68rz_M)9amq!TG@-V2C9ajw z*;bBi3@SJ+Cu-M{L`XC(fEpLVAlFCW%Qk8F z?TTxK_NO-Ymd>GhnoG5^ z;Dr~GQbCVOrBLgM^D^ID`sUIuP=%Gpu`>wx?6aDYKz~U(%_`V{KiATJlv3+4sTa=v zWn|0N0tgH}|8ph$qqA3^_UC7>o;%8qb(*>072vNj+7q%T@b`kRoBSzCRTXWc(W=u_|T@vjjBxr zER#k+cMS>zSUO95(OUaBo3&|zXGZPKK>ktiOn!2hlnO|AxzO-iD6|`(4X&tfOW=U^ zs~z=iMjt$x_|<%MZ=(LX%XUAvr{nwZ3ycOvbv170%h1m!Wgm(?6Meel*bsKpwp~Ls z@Jf()K|qgwqcnj|h2wfq*J(fr+HJftFp-6kj7kYC6DELf(#<8{5b9XA4CZWXk1mY7 z4_4F~iMqx{Tsu&QK{tSCpt8Ki=jKgl3TN3@w#CSkWnu){ao&xQ#5{}yZp;hAraY~w zp}WEu0Q;Jd(ietlbW0P1o6R`QJAjMg)e;RO+R^FN33;-Ng8;TCD0u%n zzFBRz*F@!pGtIF1^XH|sVmBmdoI0eC_Giq+5V}Oc?bsVg*z*R?%K2Q+!x+jkJ_309 zhjmIxTWabJg?F5@npLYpJ#x=ufL%csYHOr~stZEhNZqP6|MIymivPHp^=Gmbd+w4= z_o0(z`~-q_M4PsWib<2SHnt6Rxk-0F5pXO=Sf(wY9+&vV#>+9KA@H6kofK1`U<8(e z3D89#C{?T*Z*aPy+isWS?#9VZZ3tO<>n8v#h<^^gCh@9?MPlG$!xY1M`Vhuk1F?!8 zG)a8Dn&;44yoqHQgMfGbQY4dFdta=;f>0yaTv3-TY%3)#iw^HSWXgw)YASDOWHeU`OjR7 z2uUjM_F zIIeMTZF3lwwcwSo2ja^vkJnHvmhls)Qn}I^8);3)V5HP;PNKcub}VDlY8g_dlPm>k zDsa}}?G#I)1aJ*0f%7uGQyUZe_dyWF#jbtkI71D^Rtl8R;pjGTty9y)IkGye&_X%> zFakK`d(baFXeC>_87`Ad*Lv|xbSa#% ztb=GSCR&u%8mE`m9Iiq3^5JbE1Q05Uqqn@73Ic{tUlv$+@Z4NrGLAk1fhxfJnQ@5M zK8+(GC&@v;;HD@CnUm+8zBN60MRG|@fC(@GCcp%k027#|1mrZ`FWxOCzyz286F7MU z-Gw;g6 zskQlLUfl5l9sZaUP@nIPF!y!DblxzmUe#s9aP~a;1o(OwmHA1*llR3o>aNb%Kz=D} z##SvK7!zOuOn?cTVgz_H+0<7Ut^L6=4G8f4`_xy0n)+SfJzxS;Nr2ySoJ#s!_H-e@ z<3-b@CU`%lk^s)j#F;qsH>T9p36U#OklZ&<%7}QbeK{rlUEJ#cVnGM6Bj!aPtil|1 zz%vI6;+i|M<2pHNO65-Eh49_iScEuyY6NU_1l_CZZn;6DVc^q{noN8NiG?_8gk&8F!r1`GDkf-Z|bk zCcp%k025#W)13f*31qtOBJUp)U;<2l2{3^oARwU$=BOe#(CK@KB}#v@ar*8c?->&~ z2?Pv*h44hPlR!Fm5k>;PWj7~xIEPGxKz?KS`Nf*ZeiU=s{Ip|YbVM;fI50<#&7n+S z<`WS55NUyI@AJQbsl9o_1WsuJBJaKTx^HjxKHbfdo9}A##y4uj6w0U(IB*;UyfZf6 zgobeQjmq)zCXhck%Zq}yh zyO%1@eSz}A7b^uqj&q3~DrUw?A$70`4Cqp(2w<4#CZ?OUY5Jy-Wd{CH=+X%(4a>L* zg!*vpyXwK@+WK?y3Wca1aD&zicXhQvoI2w81ds-;pq0p zi9)`a70yn&!}k#7$HMu|Kz77gzK3tpX|E;Q?OzE4elQ#3BOu3@j8lzCAme+PV|@}t z4auyK3us6}c4QMU0rI?Y6ho8hCxM|}`MYHPQHv;Mey6o4kcjl-2WElE)6wxvDM+SU z{LyzlV4vSU@1MSt8h;k2a7zE^ z4SsT(@=Bbl3t-9*eERGFuXjE5b-^vs)Yk#;0TW;XOn?b60Vco%m;e))E(F2_Y0{?4 zUeC1s5Hsz7X7usUh;Yv5>g?g-;cl+%QO=GXql9G!(MapCI&l3M0rOn$V34{bGe@>h z3IUasOD=aih^(0G94CQcAPZF+j&2N+ft}5X6R3jbf}5bShW3dUJR@@m?j&dA!SO+55jfOV-<##d&Iu5}cQNAQNp4zt zs=|1Za+(YR`dt_`jt4G}Ki^knusrG35RG%xpY&p9W`Syahb6|Bj8lz7z!J*<7K{`! znx7mkki!ZjU`ZS!&F_8b0(0i*`4WhL1b8yv*g?E=1%Rmn7n>6$&jOEUX&dw80fLopu&v>j7MwoCe#!6GX;jQXK9Qz159&sYd;XIiD6JP>N zfC(@GCcp%k025#WOn?b60Vco%m;e)C0#i=_6=;r=two#q-72;{5JD8&A)lhh8y|VU z(K|=T$nn{i2`~XBFbVat0iE%^93anY#4VS5v*<%t>B)+OEWgWUgZN z^!MvIPsg_Y;SVny>EDw&oZ6mB-35nxw}1H1p+%6|o2=Njt-qhrA56X-&y`Q{_~$yC zT}0qQ*^uvJdVbo!VZ$hhC>}r+xK@?5!6K8=(2v|;QCjlz;*eR~;_(`ZL0Q8}tOGBk ztU-;a|T`Sg)rQg~`->Yxq%i^-lT!8b8Q;@xZaUuwf$pW46qcd0kwX!#o^ zg{4wU-`k(uxs%eo@%-VQ%(QGDB!Kh&;(w~YkGc3DPWDjr5x_u2Un0~8bmI3_EA+*x5Rj1gc}&=ij=^igPmy7SBu-M;tv!s; zGcV~(B)mj3c-m&;>`b1YnE`9KH8|-l#Oze!_vMfqozdVZkmwm&S>`~EiC#)j$cUm0 zfely!PkToyOW>DFCCDzULC6rkA~IlPTNQe&owXOtfsGU-X=9q*I{}n zLkZYs467nbj2?`D#5c@30L|6EsBqp;5=6=MR>G;k&mUDlsB3QfOWSXNlDY`a@BBzs za(4OzTr}ec5>beBQ>$JJP!85W*fIWiBRB(CA94JelmX{087Nm6K2#DAQ4s|TU`aefr6rlE=16%Y_;SrbR_h}!{W)8w-d zC>IhA#35{}I`lBGd+kOuTLiXYcqKl^J7ylf09Yow$Ewh8FMX>E#J zENKEJo~LPvS2Zq%n-V=>qL&Imd*v&(3VrwVKkc!X@Ayj*8GwYb7)sOe(-yjNsQVC4 zUb9>^*mo`+aHEU6;1)Zi+?TL_r~-*k%P2;~f|3fdv3La5(WiO+UXn0=vVktIP%ifQYu-jI_7 z6Jn)#23VF2D{UbuRg1Zja*39Fi=kkRV0To5Ck>kv4r+CZ6{=YGGm9_x;ks(f`A#*HT@*T}pM?<#Vpu zo;q$FAHwVZ-liVfmHPE3FlD9jy=}`cO}Xvw9^SI0?2@~VR94<_{P#ahefP0lH9trl z*?HE}m+ZUocHhRRT9qRW&mmNGCOswYGL6vaks9hr)(7`an> zS)Q8$Sdf5iCYCkcF$ZnJXok|TIq+u?8F&EfWNf7Y58Mw8A9buRKLB?QV3c?_Zagub zc_{IC-HI@jKGI=);!iLG5jE!T|K9qfwIz1@y`_#=RQo}Y)n;-LtidFnsqDZ6SVS3l z*NT^A(QfJFmhssH19h3Ds59sRn6nXDd*)ZRCs$l(Hm+E|Ec8ix{eMDav5`n~vYz}| zt^3Yrs0uu&b$*03GNq z776D%P=sQ*1EmqaQK-HBG^kx@f3;$D>t**X{`97&ob$e3sSaLObx^>CMyMy9&4wp` z2F;<;ux;RV0Bu#s*mhsJSKPk%nJw1cyPb9ctxMwgeg)?3h7_6e(8M~i99)AI(}b_V z)1tV>>;}^KvMu)G$ytWyIjamr7~Ai9b^9MH38ClBs8R0NZ-rJO)7tjeRXXkE&ph;S z^Xs3w1w!{fvfS9S)tTQ_`{M)mN|>)?`^#Tk85c13@wLub#=yL;$3xGb1p^heXbLlS zHau=9WFN+>r^xCcP0v5$({9hw(2A{t* zo5Tji#g5oicAac1mmh(qdW5>nLjN^wR;v^a#4I6e97`v{R8-HF#H9FZLwoQ;CuUb% zqQ*X4$0=J_hOM>>?Wh>5cQYQ3{Wl~^FcYCO!a9vbx~Zw(f$p%0Z+PKrNuPv^y6Mf| zS}_|?7wV#4iC6n2J!oecfdHM{^b-im0kIH~+D)j8Y>q@CM9{&zMvy786qY)Yg1y5@ccw!DDf;N&iCWd;FnRDPr zrl3u6-4{_$IEv&TmBZW12v2{sG*IK^3$q8Iy z@V|cWdpLH@UAs0u^xFL2iO(PJt9p0;kzG%xuKJO@@W_#y-oN$84?lMx_1gX$FM95$ zNA{zt^wWFqdiR^BZy=3=r(;MLG9xX1>!R{GIE;(BNl$l4={$FkGFvxEaRWb!OZGtj z8TgNG)=7ZH_?zkC*Fgb?NxH~DAE`}WcUgtwr?cuXEZg+km0|wb@qBl%D?$9g&89~n zmD;=)->_IpH<78ICI=ok3>C*--qu5*$>h;kZ$JL^9;)x{f1xV{hw2a2_a|RW_Vynd zIE+)rk428TNeur{S8xA|FZTBz?%B6*drt~p=-RjMFq+Rkd(1uN2JKG@{J)}TkBH#d zVsz^vetoZxx=Yh=w7V^#Z$GjB1$;x^(@By^{VbX5C;;PwiEcDQ^=KM+j}-R8TYR&A zf#<%N;!}G3r}!9^B_N<-C`9Y&E=f~~lmNQ?2=#;ub(1*3Mw=!*c`0b~gG1UM@oJqW z`VWimr_=F+d}v&jWf*}H>|IOgPE+EA00MvW@uyKCbglcxJ0}{5M!UJz44$49`c;Dj z*$UN`G$lH}PaDkQ=eU(%{x5O?Bp?x@{Dh@5^Srn!G#eyr(_{j#kF}Ix1$fa*Q4~QN zs+qJ{!g7S?vb2G95W#g{qol@2e&-^wiakia5x7;iO4n~Y~F z+6QE)*^p)M&F@u3+B@%ai2(vNF8lJ9A6$)N&zE&x+=*k*s}fdprE&M%w$`tOnv4g+ z<{OsXeC~?tAh8Iafmc*+OfIsUjg4EN!M2;t>dMw5+nwg_%OhH+X*!k88{n)fBEJ6Z zKi=V`;6bdvSI6pZ*i9ec!6uL8;mS;w^h3OFou3Z|!R9BT$k!FJAU< z*SaO$k=9j-TBl@>9Us`U@{U;z;kA9Gk>z0t@qv$*-D%ug+p_q+`|hL*X<&tOXLHKpX(H_eQpa(mxoD!=)Swxm zLIBM5vu495U_>L!Y+JcCsF7)WMV;>SJoA)MRa&Ln3j_wO7Hme{{Ov!OwWadbdb8cx z4N=Fm|HE!M?ec}^K-GUfxy%Y-mm<%pY<5dl!@wC4d|v}It88sP?b&~>d~^GjW}Jsh zI?X##r-|JUwpq$AeMTEr-bW`YlxeKB*Dk49ZCk4GrpJ(1{8KCOx`6hB*dNH~!!~SO z36E`F_2ousS}*;>mUTC)_egUyeloE2vURU?xlKkKt&coxtaX~=2P(|w&pQu7on6~p zw=rTJxZiI6eD`~3J%(n|X$}BhQ2AY-c^|{{jEJY(SV9X|V2`nk9t|2C({&va-KZg3 zrr-3!lU3?_B1EP(f{i*I{CAa!SBw)8t3;$p2S@RZjQXZZY|dJxV+z0rFvn^Xwna}2 zwt$AVdfP%xDb@iBW@*s|{}mLExcb4D)dHCOmX(Dto<9|2)A=}vz*K7}mcRJneSPUwsQLlzcF+w21^lgC|1Qds;k+es2%$X8^%=F8)XPmm(LHdf(p1M`Z3MQTZ*!RNN zK(UNPU^HE(8k*P`;tW%pG^Xgs=rLo>kO(wvnSChvTnG7p^xFBXm>r5dM!t+h_MQ}( zI~cdmb=V3H#ZswQjKULeTt_?Z9M_hY|K!7|w|2Z8fBpDXH@>|8!J9txDfhdi_9NBUAjB9>kCK7J~IWoK3@zmXb=2`nEo6~&(Dh+tU(*-DM!~$ zdh<0&pQ+=8>e^=2Ep8hr4V6Luff?AzJ@aG??wJRSI?FRluKdb7XJ7e^qejIy-l?k~ z^KU7N)^BF(CjE|=!pa23$qvuq&MZ>r?Z?aZFG_d4^L+oItFZwZrgUWoOF`gd$#wy(DlOe@4VV~Pyd&WZQuUvo4Zqc`}=q9+}@My zPbOb{@rAB86aA>~Jk*BT&i+I77#nq;$0BB^p;XOKk}Q=Lboe;*tw2zBk3kF80TrC8HHdCS{+}db(3v@F4Ol9bekUt z`~zL)e9A|Gr{hH3rSHE&ryJB^2D;C{-w&RdMq-S^GODXXMo0a19nXbAp+}9r>gtlv z{Dko|B?~1sks-AD5?7`593TODv_kS%OH~XfW@>*0T6YN`&8PZI39=6dxJmkyHo(l# zTS~I`dHzMP^)7?l2Z{hKBY`ifOT#jxApj|YV@NbDWptw9NjKF6iq+ZJA|&SO0B$Tp zX@7L!%i9zVhQgT)SO;h&@m4%?@KRH_L7^?BVo4KNYap(HucBMbUIylsE-Z39UGWvm zZNT&Osm>eV%nvH(se3MdyhC2G{_WT7#}`~%?bdbKkGGeGVL{i$tLp!v+Bjfe9E#5d zt6t6Bfw>tgquo@<8?V3SG^@~USgSY>RN;J095gax&>^GUjUruD6t!q&_5sU|nv%x$ zon{E#2PG=f>|hs-y=pU5OW0%8I;PdV?8ybS?ko}h=z)97mzbxuE;;YPTit`$Er^y{ z+ni&JRV*BOH`%vnp@1+UklH ze8z!W(6g0VjM=DkDOUn@2%WROCTKXcwW9_a*TV9RosEqvA2gS5LV#;mJ&LW4LY?MQ z(K2bsYgRdp_k0T{+uCZ+C#2{U0z`L%!u-27LAXZ2ZWT4IhZ0Lq6Bp?3zsCfQYBL+8 z=pe6R7gcln#&aLM7co>SHy(0Vo3})8P7~@4o{8Way}Dg$3~dZU;%Cn4YBRj#ch{}n zy6_y+ZK#CBOCfyW*3imEFu{Gf<6>u4X>FqB`YvUB6K;yFR!-RuRyz$>&%QG(=bKw; z&{|RNfon=i2>;_tf74v{*p_1FPsiUbzBgvkK&$3Ag5A-RC(nplS>%$Utk@9u& z9@rDEvv0b20lZjoTjN_R%w}Q3`nvc6=Ue5GTQ}hO|5TT)gos#=;+ekAR_i`s#lBp3 zcLeIXPHV=SCA>>AnoaB?1Q^S(VR9ZTcbbh&TA4s)7pItb_97DR9V`P)B#tUgNrRuL zzCocFmTg0GIkxOIc9&rwLC0L<;93--K{PL>n;xs76MZdwJ#}G~g)n;_{0-D#LMZzL#JXcRSs%L)K~)!vBH#}}c{}BRI!@@e@XnVU zTASL9CjD55fK3fFDi;-QRm4RH!m?Z!ot{)g(C2yl(-CofcsqiXMPIzZR$K_z!Sfr# zBFk}3CN7rkHbtPS7HSl}W`tUQH@Y0>W}+ps+@WYLEVo^J4{6XBaP*%&06 z-%(;aG(@mkJTU?bkd0a~U!-SxXL{i2nPR9DUFqzGh0I6~kG?AK*mCMJ>6-8)Fo))x zZoGzid#;>4?_kZ2lstZQy+gjRr>RB z!z-?PJayrQ?DA%w+iQp>a$K3ZNSa5P>CU#N^_Zxqq_Au^`DN!Zk$pQg(uxW1X1+Sg z@y46*4i-TMsEcenco64g_R{>yLv8(OIaIH8lcb-noFwrxd#aKeY!0BK#L@^zu4d%RhA{x{>@DY>sE6T|hq zObW;QBORYibk{HNOu%kg(OnRk=-)Eo9V~(j0v%+%ejXUEo7DaauFjg5xnhj?Lv^A> zz;S|yNb@J@6PNWf{G#s^hc zJsrNd(R9K)UaoM~Y>=iZzX$88wEn{6B4-=U*BqmG4n_j&S6izcI`!*l4K(aYajjT; zR!;>ScqZCr_u!dcdLa&qR+;BMvji-;$aucuzMYuPNW$z+Lw&O4U+;f-t7AX(dh)7n z#|*8B8}~nmm9R01`83iSd@N%Ua856~zG3tG)^=@lE%Sj!R2yJDl*3vZI?;0VD)A7u zO6BmN1si)nHb4|th)gIPKaFm@0(F2SooDZaou=l-aJb+GO`=R~?br_OMGbN_6+vbX6D zX*ny`&i#;cpknr|G!L^S?5x4|-!&>CiZl_eolbN8*FQ8?`7g!`>ceJWgeht^N=oEjC_eh=C za&@){rJPPsZKSKlh77Qg5_->yVWSUNYr$ee4mU$f(C!CdJE0{#5z}`=W@bU0DaNvfSjvlV6aYSi!&fq4OMk?S(Wljd*j3*j4k_ z?$`$Qa;z6oQ(NOk+&d%7T?Z$3ULc~8t8q!$+Q{E!_5OG86Ln$^NnG@TiQz^BWw4Ke?RR$Sn`xojC@?kSK z0ctxa6!n$8M`NVZ?C(9?bEs|e?^nWa-db5j^D2MT)!&ndtYl~ou!M+bNM(r{*?l+O`WSK*ZjrMD*xiE@&jAvV4rnuQGLPT7aUEpS9@!nH z(Kx3h7Ev3pO|cSJL~Id)<|prgs%I}~t$h5sEn8ue?aZ%R?iibD&BhO|Yz*PIW?%2A z1fvVrm^JQn@H%i3;YwUvc8goGX>~Ieq_Ato-b9UDCi@O0p!)-m(wb}5^)#9L&ME(s z`sxSQ|Khu_26deB(anvG=9fN%)0&&s7-}_~y}9YuZ+O6Np%7|A_go-;FeP ze6vZK1!w?5gS1Bk6YmQ`?n$+{=?brnHd$71@ zEXMP0`Ee4npoMS%G7yX3H*LLlCp<=_T ze>rt%P4=F;UX$H1Ir)0JNG~tTUqe=ZBU7(WJ2+=E&|wB(vJCNiDd@GoyBe5RjSNx9?!DFT38{rTqep<@X-zO+s7$mcX5v zuE-Hi%HiYPPkWG&0Ij18;gFrgVTT61;1q_Vx7J~9#1RhCWulkXd6H4Af&-M3C<si5ph@=zA|I?K4$WrA5&@8j3;QMVbSD?)S9`hyY=UV;o<7X<$?>ppmjZ1d6Y zSwICojwRt}5WNj4NLT4Sx#8C_X^@B_vP=d6-L@!Q0l1~*-a8RH&MzYu{sjIcS~EnU z#)0ZUW%#jmd;}H|#R$wwh-Gw!?|_SAl#yc@i_es^?16XN{<9#y&TOqt*Bs z1;>>)eNiFxg>6^|=GMrTJ8>T8L`y$~(<3(IH7TOi24{c)t8JJgA%P!WsYN%oP7!R7$fgBj z3hWYCG6={F`w(lo?HJ-4I8aT`6(S<&4r&p)q_lf7%AE;$OqMQlCa{>Y zW9XQ&lDS|ezyz286JP>NfC(@GCcp%k023G_;CNQw81!cw6DTGEICge=F&*!8Jl4@Q z1JiMjXZSu1F6A-950np&2`~XBzyz`h6!UwY*(})21egF5U;<2l2`~XBzyz286JP>N zfC(@GCcp%k023er#XK)~TAl%FTJ9C^6cbYxZJGK?=017q>wx!w2`~XBzyz28 z6JP>NfC(@GCcp%k025#WOn?b60Vco%h7;H^+>3pthyd$zrU?85iXE~xY99G;9p?e5 zz$k^T5MF5f0(Xa_GXW-WN)ssWIi+|16yEu$w*vbqd<4AfOn?b60Vco%m;e)C0!)Aj zFaajO1egF5U;<2l2`~XBzyz4U$sr(5PBC1{CNfC(@GCcp%k025#W(~SUqqmCyZOnt3z9ZY>4@E$OMVkf|} zpr-x|;P1vxeI4)~FaajO1egF5U;<2l2`~XBzyz286JP>NfC(@GCcp%k025#WOn?b6 z0Vco%m;e)C0!)AjFaajO1egF5U;<2l2`~XBzyz286JP>NU@QVW2V^WlCnbE?v`n5K zbyB!z7NRm8Y-a++NPyd&sjqeJlc&B8cn_EW6JP>NfC(@GCcp%k025#WOn?b60Vco% zm;e)C0!)AjFaajO1egF5U;<2l2`~XBzyz286JP?l2-M~ZV1FjS1egF5C@KQOCV&*x z(euGF0gph0K`;R(zyz286JP?NfC(@GCcp%k025#W zOn?b60Vco%m;e)C0!)AjFaajO1egF5U;<2l2`~XBzyz286JP>NfC(@GCcp%k025#W zOn?b60Vco%m;e)C0!)AjFaajO1egF5D3pNR!OIvG%52i(cT9SYoFNln0!)AjFaajO z1egF5U;?Ks0qd0A^O>{b{G2?6by+!uWpmLU0c?b#%wlE}Foqo7P}h(kcK8J5O%4g= zXX7aFtUG)ks)HE*+VMAvs8PaZe9$BxJZ8shnossg!)?iA6D>SHyYM8OVoUwN#&+4b}P0mQKpuK)l5 literal 0 HcmV?d00001 diff --git a/Board/ise/fpga_cursor-buttonsV2.mcs b/Board/ise/fpga_cursor-buttonsV2.mcs new file mode 100644 index 0000000..a986774 --- /dev/null +++ b/Board/ise/fpga_cursor-buttonsV2.mcs @@ -0,0 +1,17742 @@ +:020000040000FA +:10000000FFFFFFFF5599AA660C000180000000E089 +:100010000C800680000000060C80048000008CA785 +:100020000C800380804304C90C00038000000000A2 +:100030000C000180000000900C0004800000000013 +:100040000C000180000000800C0002000A8028598A +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000020000000000000000000000000000DD +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000002000000000000000000005C +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:100350000000000000000000000000040000000099 +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000A00A000000000000000000000000000062 +:1004F00000000000000000000000000000000000FC +:10050000000000000000000008802100280000001A +:1005100000000000000000000000000000000000DB +:10052000000000000000000000000000803080811A +:100530000000000000000048001806000000000451 +:100540000000480200000000000000000000000061 +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:100690000200000000000000000000000000000058 +:1006A000000000000000000000000000000000004A +:1006B000000000080000000000000008000000002A +:1006C0000000000800000000000000000000000022 +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D00000000000000000000000000000005401C4 +:1007E00018000000000000000000000000000000F1 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:100840000000000000000000000000800800000020 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C00000000000000000000000000000000080A7 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000100000000000000000000000D8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000600000000000000006 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD00000000000000000000000200000000000E5 +:101BE00000000000000000000000200000000000D5 +:101BF00000000000000000000000000001000000E4 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED00000006000000000000000000000000000A2 +:101EE00000003000000000000000000000000000C2 +:101EF00000003000000000000000000000000000B2 +:101F0000000000000C0000000000000000000000C5 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:10205000000000000004000000000000000000007C +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000001000000000000FE +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000010000DE +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000100100000000000000000B +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:102630000000000000000000000000010000000099 +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000200000004E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000008000000000000E5 +:1033E00008000000000000000000000000000000D5 +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000200000000000000000000000000000BA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:1038600000000000008000000000000000000000D8 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F0000000000000000030000000000000000097 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B700000000000000000000000008000000000C5 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF0000000000000000000000C000000000000B8 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000001000041 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF0000000000000000000006000000000000061 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000FFFF24 +:105E8000FFFF0000000000000000FFFFFFFF000018 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000008000000C9 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:10600000000080040C00000000000000000080047C +:106010000C00000000000000000000000000000074 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:106150000800000000000000000000000000000037 +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000080008C +:106490000008000000000000000000000000402094 +:1064A00000D000000000000000000000000000001C +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000080000A +:106610000000100100000000000000000000002049 +:10662000001000000000000000000000000000005A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000300000000000000F6 +:1067A00000000007000000000000000000000000E2 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:10691000000000000000000000000000080000006F +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000100F5 +:106AA000000000000000000000000000008008005E +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C3000003802000000000000000000000000001A +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000002800000000000029 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000001000000000000000 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B0000000000000000000000000000080000050 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:10738000006000000000000000000000000000009D +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000040000000000000000000003B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:1075300000000050000000000000000000000000FB +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000100000000000000000000000000000DA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000010000068 +:1078100000000000048000000000000000000000E4 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:1078700000000000003000000000000000000000D8 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000C00000000004000440000000000000DF +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000002000000000000067 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000FFFF37 +:107B5000FFFFFFFFFFFF000000000000000000002B +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD000000080040C0080040C0000000000000084 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E1000000000000000000000000000080000005A +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:108160000000C02000A2000000000000000000008D +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E00000008020000000010010000000000000DD +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000300E000000029 +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E0000000000000000000000000000000080083 +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:108770000080080000000000000000000000000071 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000383E00000000000000000002 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000004000000000000F2 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF000000000000000000000000000002800004D +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000100000000000000000000013 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC000000000000000000000280000000000007A +:108ED0000000000000000000000000000000000092 +:108EE0001000000000000000000000000000000072 +:108EF0000000000000000000000000000000000072 +:108F00000000000404000000000000000000000059 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000900000000000000000000000007 +:1090600000000000200000000000000000000000E0 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000002000000000000000000000000000006F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000004000000005A +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:109350000000000000C0000000000000000000004D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000100000CD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000400000000000004C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:1095100000800000000000000000000000000000CB +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:1096500000000000000000000000000000000042C8 +:1096600001000000000000000000000000000000F9 +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000001001000000000000000068 +:1097F0000000000000000000000000000000110058 +:109800001F0F000000000000000000000000FFFF2C +:10981000AAFF5F5FA0A001015F0FFFFF4182D99FF8 +:10982000A0FFFFFFFFFF000000000000000000009D +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000005000000000000100000000A6 +:1099700000000000000000000000000000000000E7 +:10998000000000000C0000000000000000000000CB +:10999000000000000C0000000C0000000C000000A3 +:1099A0000C0000000C0000008C0200000000000011 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000002000000000000056 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B1000000000000000488060A94F8060B94F80BD +:109B200060B94F8060B94F8060B94F8060B94F8095 +:109B300040B1000000000000000000000000000034 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C90000000000000000000000033CC33CC33CCC7 +:109CA00033CC33CC55AA33CC33CC33CC0FF033CCBC +:109CB00033CCC3C3333300000000000000000000B9 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000010000000000000000000000000000053 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000002000000000000000000000C1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000001000000000000050 +:10A10000000000000000000000000000000000004F +:10A1100000000000A000000000000000000000009F +:10A12000000000000000000001000000000003002B +:10A1300020000000000000000000004000000000BF +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A27000000000000000000000000000004000009E +:10A2800000000000200000000000000000000000AE +:10A2900000000000000000000000000000000000BE +:10A2A000000000000000000000000000200000008E +:10A2B0000000020000000008000000000000004054 +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A4100000000000000000000000000080010E00AD +:10A420000038000000000000000000600140000053 +:10A430000000024261080000000002406108008044 +:10A4400042001E00000000000000000000000000AC +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A0002000000000340000000000000000000057 +:10A5B00028000000000002228A80000000105203E0 +:10A5C0006A0200000A640600000000004002000069 +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A7000000000000000000000080000000000000C9 +:10A710000800000000000000000000000000000031 +:10A7200000000000000000000080000000000000A9 +:10A73000000000000001000000104A3CC0010000C1 +:10A74000404018002411004000042A000234000098 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A0000000000000000000C0010000004800009F +:10A8B00000000000000000000B0000000000060483 +:10A8C000C801000000084604C80200000078020029 +:10A8D000030800000000000000000000000000006D +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000020000000000000000000000000000016 +:10AA200000000000000000000000000000000A001C +:10AA300000100000000000000000002000000000E6 +:10AA400000102804100000002010280010000080D2 +:10AA50000310086000080000F00000000000000083 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB90000000000000200000000000000000000095 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000020000000000000002055 +:10ABC00000000000000000000002020282020000FB +:10ABD0000022020001000000000000000000000050 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD2000000000000000B000000000000000000073 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000100000000000002 +:10AD50000000005000000000000000A008000000FB +:10AD60000000000000000000000000000080000063 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC00000000000000000000050000000500A00D8 +:10AED00000740404340C0000001C00000800000092 +:10AEE0000100000000000000000000000000000061 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000004EC +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000040000000000000000000005F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000100000000000000000000000000016D +:10B1E000040000010000000000000000000000005A +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B3200000000000000000000040000000000000DD +:10B3300000000000000000000000001000000000FD +:10B3400000000000000000000000000000000000FD +:10B3500000000000040000000000000404000000E1 +:10B3600004000000040004000000000000000000D1 +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000010810800FFFFFFFF000000000000F7 +:10B4C0000000FFFFEEFF135F1D0F5151135F0500DA +:10B4D0000100F0FF03000000000000000000000079 +:10B4E0000000E0EEECEF00000000FFFFFCFF0000BA +:10B4F00000000100FEFF000000000000000000004E +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B630000000000000000081000080040C000000F9 +:10B6400000000000000000000C0000201E00480068 +:10B650000C2000000C0000000C00000000000000A6 +:10B6600000000000000000201E000000000000009C +:10B670000C000000000000000C00000000000000B2 +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000008000000000000000000000081 +:10B7C00000008004000000000000000000000000F5 +:10B7D000000000000000008000284D8040B94D802E +:10B7E00040B94D8040B94D8040B94D8040B94C8042 +:10B7F00040B94D8040B84E8040B94D8040B1000006 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000101FEFFFFFFFFFF00000000555453 +:10B95000F2220000000000000000FFFF000056552A +:10B96000565566665655565556556666595556553A +:10B9700065553633655565553633AAAA555656551D +:10B9800066660000000000000000000000000000EB +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC000000000000000000000014020C0C1000094 +:10BAD00000000000000000000000402000C0000046 +:10BAE0000000000000000000000000000000000056 +:10BAF00000008000002400000000000000000000A2 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC40000000000000000000000040208010010003 +:10BC500000080000000000000000002000000000BC +:10BC600000100000000000000000000000000000C4 +:10BC70000000800000000001000000000000000043 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD000000713C7DB0000000000000001000000A6 +:10BDE0000022444000000008A0501008100000008D +:10BDF00010200000000000000028001000000003D8 +:10BE000000280400000008030800000000000000F3 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000040000000000000400D9 +:10BF6000200000000000002000000001044000004C +:10BF70000000800100200000040080010300010097 +:10BF80000221808000004000040000800421C000E5 +:10BF9000040000000000000000000000000000009D +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D00000000000000100000070B016000000D059 +:10C0E00003010E00F0000007780100000170001548 +:10C0F000007200000102009001040E04C000204004 +:10C10000140800164062389001100028D0100080FA +:10C11000F0000004008200000000000000000000A9 +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C250000000000000000000C000220000001006E6 +:10C2600000000000E000140040084000E02800C08A +:10C270000378408003000000C2092CC0033C14086E +:10C2800050000C80E7900000C0710CC000B0600648 +:10C2900050080000803C1408C0C0000000000000EE +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000001005C +:10C3E00000200300000000400000030008000003DC +:10C3F000004000200209008388060010021000009F +:10C400000000420020000040490C4100040AA00046 +:10C410002008000000102240010706000000000074 +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C550000000000000000000008800001000000043 +:10C56000000001000000900800000000000C140012 +:10C5700020030008D00010C0040000C8818008001B +:10C58000E06122600008080B00001C8081A91406ED +:10C5900000690C00C680A800A0030C208348800C12 +:10C5A000E83400000000000000000000000000006F +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000002000003A +:10C6E00050000000000002000028000000000020B0 +:10C6F0000070000000780005500140000160004516 +:10C70000600052004216164000164000F002284019 +:10C7100028003C0540140C000200160F0070008039 +:10C7200069380C00A00A00000000000000000000B2 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000100000000C7 +:10C870000000900000012020000024000038100873 +:10C880000000542020404002805210208258300086 +:10C8900010402020022820042100040284302002BD +:10C8A0002440000000000000000000000000000024 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F00000000000000010000000000000000C001B +:10CA0000901C200400002000000000000030000006 +:10CA10000028200000000000060860000000004C14 +:10CA2000061000007010004006100000000000001A +:10CA300000A00000000000A00000000000000000B6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB60000000000000000000000000000000700055 +:10CB700000000E080000000000003000000C002043 +:10CB80000A000100000000500000000A102000040C +:10CB90003008000034000E00001030300430000077 +:10CBA0002C000009141010041090000000800000F8 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD0000000400000000000000000000000000001F +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000004000004AA +:10CE800000000000000200000000000000000000A0 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000001000200000000052 +:10CEB00000000000000080000000000000000000F2 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF00000000000006000C0000000000000000011 +:10D000000400000400000000000000000000000018 +:10D0100000100000000000100000000000000000F0 +:10D0200000000000000000000000000000200020C0 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D170000000000000000000000080080000000027 +:10D1800000001100110055115F130100FFF70D0D94 +:10D19000DD0D3F151D0F331177071B0FFFFF5500E6 +:10D1A000FCEE3F2A1D0F3131153F00000000E0EE7C +:10D1B000F8FBFFFFFFFF00000000FFFFFAFF000089 +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F00000000000000000000000000000008000AE +:10D3000000000000000000000C0048000C2000009D +:10D310000C0048000C2000201E0048000C20900447 +:10D320000C0000201E0000201E0048000C20000001 +:10D33000000000201E0000008C0200000000000021 +:10D340000C000000000000000000000000000000D1 +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D480000848000000000000000000000000480004 +:10D49000002000201200002012004E8060B94F8052 +:10D4A00060B94F8060B94F8060B94F8060B94F80DC +:10D4B00060B94F8060B94F8060B94F8060B94F80CC +:10D4C00040B000000000000000000000000000006C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D600000000000000080000000000000000000012 +:10D6100000005F0077075F13EF01F3511F0E00F06A +:10D62000FF00A5A50FF033CC0FF00FF0A5A533CC6C +:10D630000FF0C3C355AA0FF00FF033CC55AA0FF06B +:10D6400055AA55AAFF0000000000000000000000DD +:10D650000000FFFF030000000000000000000000C9 +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000403000082 +:10D7A000AC0100000000402000C000000000C020CC +:10D7B000004C0000000040200050000000008000ED +:10D7C0000024000000000000000000000000000035 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D9100000000000000000000000000000004100C6 +:10D920008000000040000020000000000010802067 +:10D93000000000010008002000000000000080003E +:10D9400000000001000000000000000000000000D6 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA9000000000000000000000000000020000057F +:10DAA000000000A300818000C4A301A105A146409D +:10DAB0000511030720C403000000000044224600B3 +:10DAC0004440000000000000000000400000000092 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200002000000000000020003880000028420BF +:10DC30000420042104202100000420C0034000002F +:10DC400000000400002001000180010000000040ED +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000806284300A80A54002420104AC0475 +:10DDB000F0750022201000C0237036480380201820 +:10DDC0002810060C84001C46021002407400000853 +:10DDD00000000CA001000000000000000000000096 +:10DDE0000000000000000000C00000000000000073 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF2000000000000000009203801C80B9486122BC +:10DF3000000000D4E0704600023480C4F178020092 +:10DF400070390040F080670007004C00F118022093 +:10DF5000EA02800700F00C000A0080070000000DB4 +:10DF600000000000000000000700000000000000AA +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A00000000000000000000000008000022200CC +:10E0B0001389A00C4B0104320220140C6011006083 +:10E0C00020890000000000108080000082201820BD +:10E0D0000008420002020002000C42000010000092 +:10E0E0000000000000200000000000000800000008 +:10E0F0002000000000000000000000000000000000 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E22000000000000060800000000000000000000E +:10E23000E70000C010000C8881827C46E8000008DE +:10E2400000000C4044429000C0001C000978900877 +:10E25000E0011C800B000000300C0C00083800208E +:10E260000300000040024005000000000000000024 +:10E27000030000000000000000000000000000009B +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A0000000000000000000000000050000000068 +:10E3B0000000000000000080E6000007643000A0BC +:10E3C000017A00000000010000400E04000340003C +:10E3D00040100E47111001004808008071004000F5 +:10E3E000401000000000001600000000002800C0DF +:10E3F000030000000000000000000000000000001A +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E52000000000000000000000000000004804009F +:10E530000008000800000000400100080000202042 +:10E5400010400808500422024000384212380000EF +:10E550002122500020000120202008281038042803 +:10E560000024100C04000C0C0810044408000000E7 +:10E5700000000A0008000000000000000000000089 +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B00000000000000C000C00000000000000043E +:10E6C00002000000200000180C006000024E300024 +:10E6D0002910007000001070600E005070000004DF +:10E6E0000004000479003D080D0E000404000070D1 +:10E6F000040A0000000000004400000000000000C8 +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E840000008000000002020002000800100240CAF +:10E8500080001020040000000838000030000C2068 +:10E86000302000200010081E002800080C000C04B6 +:10E87000000008300000000000000C000000000054 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000040000000000000000000043 +:10E9D0000000002000000000000000000000000017 +:10E9E00000000000000040000000000000000000E7 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB30000000000000000000000000000080000055 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000100000000000000000000085 +:10EB80000000000000000000800000000000000005 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC00000480002020000000000000000080000F0 +:10ECD0000000000000000000000000100000000024 +:10ECE0000000000000000000000000000000000024 +:10ECF00000000000000000000020000000000000F4 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE4000000000000000000000000080080000003A +:10EE5000000000000000000000003700FCFF5F130E +:10EE60001D0F13335F13FBFF5155E0F0EEFF135FEF +:10EE7000CCFF00000000BBBB0F0201000501FFFF3B +:10EE80000100FFFFFCFFCFCCCFCC11000100000040 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC00000000000000000000800000000000080B9 +:10EFD000000000000000000000000000000090049D +:10EFE0000C0000201E0048000C2048001E20480095 +:10EFF0000C2090040C000000000000201E00000007 +:10F000000C0000000C0000000C0000000C000000D0 +:10F010000C000000000000000000000000000000E4 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000008000000B7 +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F170000000D8A432A8DAA432B8DAA432B8DAA4EB +:10F1800032B8DAA432B8DAA432B8DAA432B8DAA4DF +:10F1900032B8DAA432B00000000000000000000025 +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000100010000002C +:10F2E0000000000000000100010003000100BBFF5E +:10F2F0000300000000007D7D7D7D48487D7D7D7D93 +:10F300002828484828287D7D7B7B7B7B28287D7D9D +:10F310007B7B7B7B7B7B7B7BD7D700000000000067 +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F460000000000000000000000080000006000016 +:10F470000000402000C0100000014020C0405100AA +:10F4800004034020A85010000403402088403200AC +:10F490000403000004011000040300002401000024 +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000008000000000019A +:10F5F00000040020000000000010402080000000F7 +:10F6000000085120800000004000512080000000D0 +:10F6100028105100800000002800510080000000E8 +:10F62000400000000000000000000000000000009A +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000003000000000000C003D3 +:10F770000000C0C0C3000022006244220300022235 +:10F78000578144228013008303DBC401E10344EA70 +:10F79000C2C3D32381CD00CB00C0C00080C8C8C085 +:10F7A0000080C402C09300000000000000000000C0 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000800000000098 +:10F8F0000000100800001010180000000020000098 +:10F900000200040000050000800000032000000148 +:10F910004001000084218000A00000011020800030 +:10F92000800000200002008088020000000000002B +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA600000000000000000000000000000001E0078 +:10FA700000B80004000000C0812C2C00F0410E28CA +:10FA800054309C00E2388003841414800310000476 +:10FA900000000000090000042400000000400000F5 +:10FAA000000000C6C140000004082CA9350A00006F +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF00000000E000038800F0000008003000000AD +:10FC0000406C604002A834240970800700102AC0AC +:10FC100001180000000040800902400300001C4061 +:10FC20000690000000005C06C802000000802881E9 +:10FC30002140000000000000000000000000000063 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD700080000000000010000090010000000080E2 +:10FD80002E00000004000C00680828020118000082 +:10FD9000084000A0280C2001021020891400200136 +:10FDA000E0109802284020300012100800200008BF +:10FDB000480A0000010800000000000000000000E8 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF00000000000000000000000230000B04007E8 +:10FF00000000008023020000E0100E1828021E5896 +:10FF1000406040930B0202200A7A0F30000000C0BC +:10FF2000010001086E408C00C07C01008E00800042 +:10FF30000200234F00002C9E053900000000000045 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040001F9 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:10007000000000000010000060000000000002000E +:10008000000000240000008001002800D01C014274 +:1000900001001C0005450003100011000070020261 +:1000A0000048ACC009000200203840D6D31102003D +:1000B00000002D01D06A0242000002A0C1910000A0 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000080000F7 +:10020000000000000008000000001040000800404E +:10021000000030001010001050401020800030000E +:100220000820080420401004004400201080000032 +:1002300008400280040000200800000000000000C8 +:100240000840000000000010040000000000000052 +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000006000001D +:100380000004000000000A09000408090009100E1A +:1003900002040000000910002022000020021006C4 +:1003A00000000000000064069E0019020000600AC0 +:1003B000300A000C3000000A0000309A0000004AA9 +:1003C000000A70050000000000000030000000007E +:1003D00000000000000000000000000000A000007D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000400000000000000000004000C00100AA +:1005100000000000000000200000000000000000BB +:1005200030000030100000000010000410200A0409 +:100530000020002070300001F01004107800F0203E +:1005400000000000000A0000002000000000000081 +:100550000400000000000000000000000000000097 +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:100690000000000400000000000040000000000016 +:1006A000000000200000000000000000000000002A +:1006B0000200000000000000000200000010000026 +:1006C00000400000000000000000000000000000EA +:1006D000000000000000000000000000000000001A +:1006E000008000000000000000000000000000008A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000010000000000000D8 +:1008100000000000000000010000000000002000B7 +:100820000000000000000080000000000000000048 +:1008300000000000040000000000000000040000B0 +:1008400000900000002000000000000000000000F8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:1009800000000000000000000000000000C00000A7 +:100990000100000000000000000000000000000056 +:1009A0002000000000000000000000000000100017 +:1009B0000000000000000000000000000010000027 +:1009C00000000000002000200000000000000000E7 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B30000000FFCC330000000000000000000000B7 +:100B400000000000000000000000F3F0F5F0F1F0FC +:100B50000200000000000000000000000000000093 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB00000000000000010040C0000000000000014 +:100CC0000000000000000000000000000000000024 +:100CD0000C0000000C0000000000000000000000FC +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000800000000000000CA +:100E20000000800400008004000080040000000036 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E5000000000000000000000004A8040B94A8005 +:100E600020B84A8020B84A8020B00000000000006E +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA000000000000000FFFFFFFFFFFFFFFFFFFF4B +:100FB000FFFF00000000FFAAF3FF00000000000098 +:100FC0000000FFFF01000100CFCC00000000FFFF88 +:100FD00001000000000000000000ABAB11FF8877AB +:100FE0006666787878788877887778787878000077 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000004030000B8 +:10113000CC010000040200002C00000000000000B0 +:1011400000000000000040200040000000000000FF +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A00000000000000000000000000000004140BD +:1012B00080000100800001400000000000000000EC +:1012C00000000000000000200000000000080000F6 +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:101430000000000000000000031300000000000393 +:1014400000C000000800000000C0E000E000E00074 +:10145000030000000000000000030000000008007E +:1014600000000000000000000102000088000000F1 +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B00000000000000000000000000040000000EB +:1015C00000000001000200000000000000400000D8 +:1015D000000000002010000000000000008000005B +:1015E000000000000000000000000000208000005B +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:10174000000000000000000000001D000000000478 +:101750000000001000001C4028000C0000000006E3 +:1017600000000100000014070000001084001000B9 +:1017700008301E0000043100C0021E0000000000FE +:10178000A0020000000000000000000000000000B7 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C00000000000000000000000000000000C000C +:1018D000000000000000000000001E0000002000CA +:1018E0000000C00700000000000002000000008AA5 +:1018F0000300018021B6000000001000C0010800B4 +:1019000000002000C80000000000000000000000EF +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000080000016 +:101A500000002000000040020000000000003000F4 +:101A600020006800000000000000200000000400CA +:101A70000000002880262050100401000000000013 +:101A80004000020002000000000000000000000012 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000C00000000000009 +:101BD00000280000000006000000000600000000D1 +:101BE00006001C300800020000000004000006008F +:101BF000008000000000006482080C80E1381C6056 +:101C0000002C820010002300062CA2061800000001 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D4000000000000000000000000000004801004A +:101D50000000000000000000000000000000000083 +:101D6000000000000000008041000E000000002084 +:101D70000000000000101C0F00000044100820802C +:101D8000C13202C00140080FE00002400100081605 +:101D9000C000000000000000000000000000000083 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000480000040800088000000036 +:101ED000000000000040000000000000002840005A +:101EE0002000002000000000202000000000100161 +:101EF00001000104004000000020000040282000F4 +:101F000040404000402000000000000000000000B1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:10204000000000000000000000000000000C00087C +:10205000000000000000000000000600000000007A +:102060000000000000000000000E0C0A020000004A +:10207000000010000900007000000C0000000000CB +:102080000000700050000000000009000000000A7D +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D0000C50000000000000000000000020000083 +:1021E000000000000000100020000020000001009E +:1021F00020200000000000080C000C00000001007E +:10220000003000001000200000000000003000003E +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:102350000000000100000000000800000000000074 +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D0000000000000800008000000000002000072 +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000100000BB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:1026500000000000000000000048004001000000F1 +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000002000000000000000000000000000001A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E0000000008008000000000000000000000061 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:1029600000000000000000800000000000000000E7 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000200000000000000000000000000003C +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000002000036 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000100000A6 +:103A10001000000000000000000000000000000096 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB00000000000000000000000000400040040BD +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D10000000000000600000000000000000000043 +:103D20000000000000000000000000000000000093 +:103D3000000000000000000000000000000008007B +:103D400000000000000000000000000E000000B0B5 +:103D50000000000000000000000900000000000951 +:103D600000090A0000000000000010000000000030 +:103D70001000100000000000000000000000000023 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E900000000000000000000040000000000000E2 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000104000C00300000000000000000B1 +:103ED00000000000000000000000000000000000E2 +:103EE0000000000000000000000000000000800052 +:103EF00000000000800080000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000100000000000000000000000000020 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A00000100000000000000000000000000000FF +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:10420000000000000000400000000000000000006E +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:104320000000000000C000000000000000000000CD +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB000000000000000000000880000000000006E +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C300000000000000000000000000000900000E4 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000040000000000000000000A3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000001000000000060 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:1052400000000000000000000000000000800000DE +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:105550000000000000C0000000000000000000008B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000048000000 +:105860000008000800080000000000000000000020 +:105870000000000000000000000000000000000028 +:105880000000000000000000848404040000000008 +:105890000000000000000000000000000000000008 +:1058A00000000000080000000000000008000000E8 +:1058B00000000010000000000000000000000000D8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000C00000008000000000000A3 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A100000000000000000000000000000000D0A6F +:105A20000000000000000800080000000000000066 +:105A3000080000000000001000000000000000004E +:105A40000000000000000030000000000000000026 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B9000080C0808000000000000000000000000E1 +:105BA00000000000000000000000010000000000F4 +:105BB00000000000000000000000000000000000E5 +:105BC0000000000000000000000000800000000055 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E600000000000000000000000000000800000B2 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000000000000000000000E2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF0000048000000000000000000000000000059 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:107550000000000400000004000000000000000023 +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000080000000C14 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A2000000000000000000000000000000800004E +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000020000000000000000000000000000C3 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000000000000000000000000000000000060 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000100010000007C +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000002003400B0 +:108A9000F0010000000000000000000000000000E5 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C0000000000000000000000000000000000A0C4 +:108C10000100300020000000000000000000000003 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F100000000000000000800400000010000000BD +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000008003500000FD +:1090A000F0000000000000000000000000000000D0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F0000000000000000000000800000000000067 +:10920000000000000000000000000000000000005E +:109210000000000000000000000000000000000846 +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:10937000000000000000000000000000000C0000E1 +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000004000000000000000000000000B9 +:1093B00000000000000000000000000000000000AD +:1093C00000000A000000000000000A000000000089 +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000040000000000040004007E +:1096E0000000200000000400000020000000000036 +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000040000000000000000000000A3 +:1099C0000000000000000000000000000000000097 +:1099D000000000000000000000000000000008007F +:1099E000000000000800080000001000000008004F +:1099F0000000100000000000000000000000000057 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B300000000000000000000000FFFFFFFF000029 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900008000000000000000000000000000000BC +:109CA00000000000000000000000000000000000B4 +:109CB0000000000000000000000000000000800420 +:109CC0000C00000000000000000000000000000088 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E1000000000000C000000000000000000000036 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000100012 +:109E4000000080040000000000000000000000008E +:109E50000000D8A472A9DFA472B9DFA472B9DFA48C +:109E600072B9DFA472B9DFA472B9DFA472B9DFA43A +:109E700072B9DFA472B9DFA472B9DFA472B9DFA42A +:109E800072B00000000000000000000000000000B0 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC00000006600FF57FFFFFFFF000000000000D9 +:109FD000000000000000FF0055550F0F3333FF0055 +:109FE00055555555FF000F0F33330F0F3333FF0017 +:109FF00055555555FF0033330F0F33330F0F55555C +:10A00000FF003333AAAAFFFFFFFF0000000000009B +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A1100000000000000000000000400000000000FF +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A1400000000000000080090403800024030000D8 +:10A15000000000000000500000010000000010009E +:10A160000403000020005100040300004000100020 +:10A1700004030000CC01510004030000AC011000F6 +:10A18000040300008C01010004020000080000002C +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A29000000000000000000000000000000040007E +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C00000000000000000000000C100800011013B +:10A2D000400400000000000000004000800000007A +:10A2E0000800510080000000400051008000000084 +:10A2F00080005100800000008000510080000000BC +:10A300004000510080000000280011000000000003 +:10A3100080000000000000000000000000000000BD +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000C04C +:10A4500013C00000C800000000000000000000C899 +:10A4600000000000930000C800C0C000800000C0D1 +:10A470000080C800C00000C800C0C000800000C04C +:10A48000C8C88000C0008080C8C0C700C8000000E5 +:10A49000C4C00000C4000000000000000000000074 +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D0000000001000020000000000000000000069 +:10A5E000000000000000000080000000002080004B +:10A5F0008000002000020000800000000020800099 +:10A600008000002000008000800080020002040022 +:10A610000000000200020000000000000000000036 +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A75000000000000000000000780007000000007A +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A78000000000000000000000000000E0000000E9 +:10A790000000000E000000000000000000000000AB +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000010000000280040 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000007000000000000000051 +:10A90000E000000000000007000000000000000060 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000100000000000000000000000015 +:10AA40000000000000000000000000000000000006 +:10AA50000000000000000000000000000000910065 +:10AA600000080000000000000000000000000000DE +:10AA70000100000000002000010080000000100024 +:10AA80000800000008002000010080000000100005 +:10AA9000020000002000000004000002000000008E +:10AAA000000800000000000000000000000000009E +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000001000000000000000094 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000400C03000000000000000016 +:10ABF0000000000000000000000000000000000055 +:10AC0000000000000000000600000000000000003E +:10AC1000000000000000000600001000000000001E +:10AC2000C000100000000000000000000000000054 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000010010000002 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD6000000000000000000000089707000000003D +:10AD7000000000000000000000000000D000000003 +:10AD800000000000D00000000000002000000000D3 +:10AD900000000000D00000000000002000000000C3 +:10ADA000000000000002000000000007000000009A +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000020000000060 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B0400000000000006000000000000000000000A0 +:10B050009000000000000000000000000000000060 +:10B0600000000000000000000000000000000000E0 +:10B0700001000000000000000000000000001000BF +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C0000000000000000000004000000E00000031 +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000040000000000000000000000004B +:10B2000000008000000000000000000000000000BE +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000020C +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000001000000000000000000000DD +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000400000000C9 +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000002000000009B +:10B3B000000000020000000000000000000000008B +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000400000000000000000000000000D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B4200000000040000000000000000000000000DC +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000040000000000000000000000000AC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B48000000000400000000000000000000000007C +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D00000100000000000000080000000000000DC +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000014B +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000300000000000000000000000000000BB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000100000000000000000BB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000001000000000000000008B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000001000000000000000005B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000001000000000000000002A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000020DA +:10B650000000000000C000000000000000800000AA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000020000000000000000000004A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D00000000020000000000000800800000000C1 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B950000000000000000000000000000000800067 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE000004800000000000000000000000000000E +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000800000000000000000000CC +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C880000000000000600010000000000000000038 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000040000000022 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB000000000000000000000100000000800005D +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000001000000000000000000045 +:10CBE0000000000000001000000000000000000035 +:10CBF0000000000000001000040000000000000021 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD100000000000000D0000000000000000000006 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000200000D3 +:10CD400000080000000000000000000000000000DB +:10CD500000000C00000000000000100000000000B7 +:10CD600000000C00000000000000100000000000A7 +:10CD700000000C0000000000000020000000000087 +:10CD80000000A00000000000000000000000000003 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000100000000000000000051 +:10CEE0000000000000000100000000000000000041 +:10CEF00000000000000001000000000000000E0023 +:10CF0000040000000000000000000000000000001D +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000004000000000000DC +:10D050000000000000000000000040000000000090 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000020002F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000080000000000000000000000000000C5 +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D0000000000000000000000002010201000046 +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000055AA1C +:10D51000FFFF00000000000000000000000000000D +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D650000000000000000000000000000000480082 +:10D660001C2000000000000000000000000000007E +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000090240E0000000000000000000000C8 +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000C0000006D +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000077224455000055 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE00000000000000000000000800000060000B0 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB20000000402000800000000000000000000015 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC60000000000000000000000080000000000133 +:10DC700000040000000000000000000000000000A0 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA00000000020008000000008000000000000CC +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000C00008000044000000E6 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000010000000000000000000000A0 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA000000000000000000000000004000000006D +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F000000000000000000020042C00006400006C +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000200ED +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000200210006C +:10E28000003000000000000000000000000000005E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E400003000020000830000000000000000000057 +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E430000000000000009404000040010000000003 +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000210000600000000000000A +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B00000000000000000000000220000000C80AD +:10E5C000010000000000000000000000000000004A +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000D000100000600000C9 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000200D7 +:10E7400000002D0008000000000000000000000094 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000001000000000000000000000000058 +:10E8B0000000001000000000000000000000000048 +:10E8C0000000081000000000000000000000000030 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000008000000000000000000EE +:10EA200000000000000008100000000000000000CE +:10EA300000000000000008100000000000000000BE +:10EA400000000000000004000000000000000000C2 +:10EA50000000000050000000000000000000000066 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000001000000000074 +:10EBA0000000000000000000000001000000000064 +:10EBB0000000000000000000000001000000000054 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000100000C3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC00000900000000000000000000000000000B2 +:10EED000000000000400000000000000000000002E +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE00000000000000000000000000000000042DF +:10EFF0000100000000000000000000000000000010 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000002000000000000000000000A0 +:10F0500000000000000000000400000000000000AC +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040002F8 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000800000808080000007B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D0000000000000000000000000000000040412 +:1006E0000400000000000000000000000000000006 +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000060000000000000062 +:100890000000000000000000000000000000000652 +:1008A0000000060000000000000000000000000042 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000800000000000000000000000000EC +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000FFFFFFFF008000EFF708FFFF00002A +:100E6000000000000000FFFC5757FF550008F00F7E +:100E7000FCA8FD031E0F00000000000000000000A1 +:100E8000000000000000000000000000000023330C +:100E90003355000000003F151F1FBBEF3323FFAF8A +:100EA000FFF5FFFFFE00AF55F55A7777F400FFF32B +:100EB0000F703535333300000000270F9F06000008 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000800000000000000000000000000000019 +:100FD00000000000000000000C0000000C00900465 +:100FE0000C00000000000000000000000C000000E9 +:100FF0000C0000000C0090241E0000000000000007 +:1010000000000000000000000000000000000000E0 +:10101000000000000C000000000048000C204820E8 +:101020001E2048000C2000000C0000000C0048208E +:101030001E2000201E0000000C20000000004800C0 +:101040000C20000000000000000000000000000074 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000C000000000000000000000093 +:1011500000000000000000000000000000009024DB +:101160001200900400000000000090240200000023 +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000002012004820122048200B +:1011B0001220000000004800002000000000000095 +:1011C00000004800002000000000000000000000B7 +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D00000001111FFFF00000000000000000000EE +:1012E000000055990F87CC335D557777FFFFAB55DD +:1012F000FFFF01FFFC5405000101DFCEEFAB000052 +:101300000000FF1DFF271000338C000000000000CC +:1013100000000000000000000000FFFFFFFF0000D1 +:1013200000000403BFAAFBFC1000FEF6FB5137FFD0 +:10133000CF005F504F40FFFFFFFFFFFFCCEC330FAC +:101340001D1D000000004F0BAF0500000000000055 +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000080000006B209040380008C273000D1 +:1014700000010000C0000000000000000000800328 +:1014800000008000002400000000000000000000B8 +:10149000000000000000000000000000000000004C +:1014A0000000000000008001000080000000220019 +:1014B0000403000040000A01040280000C0E00003A +:1014C0000000402000D000000000000000000000EC +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000008000000000010004D1008000000124 +:1015F00028004000800008000000000000000000FB +:101600000000800000000001000000000000000059 +:1016100000000000000000000000000000000000CA +:101620000000000000000000000080100000000129 +:1016300000044700800000008000810200000001DB +:1016400080000020000000000000000000000000FA +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:10176000000000000000000300000000000000C0B6 +:1017700000031303C013008003000013C80100001E +:101780000008000004000302C0C00000C3000800FD +:10179000C000000000000000000000000000000089 +:1017A000000000000000000000000000C002001067 +:1017B00000C3000000000080C0E3C70080030000F9 +:1017C0000200C70002000022B000440044000000F4 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000800000000F0 +:1018F000000000200008000888000002020000002C +:101900000001000008010002200008C01290080039 +:101910001840000001010400000000000000000069 +:1019200000000000000000000000000000000000B7 +:101930000180040000C003800000080201200400B0 +:10194000808002000211040003000000000000007B +:101950000000000000200000000000000000000067 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A700000000100000046D0F300400741000000D4 +:101A80000002000000004040090D0000FA384E003E +:101A90000400AC0A00881FA00010400A40000000AB +:101AA00000000000000000000000000000008005B1 +:101AB0000004008002001ED087001CC8CB70084FB5 +:101AC00001020004A01A20030870000E007000C07C +:101AD00011B80EC7F57A00A084302C00003A00003F +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF0000000000000000C000000068703280030F1 +:101C0000091000000038000050002007600880D450 +:101C1000410008070000400600000E8000B44C0799 +:101C20005001000000000000000000000000000063 +:101C3000000000030030013001008688032881C4C1 +:101C400051783025C810DC044800800B800800075C +:101C500000784C4300422C8021600080E87428000A +:101C60002034000000000000000000000000000020 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D700000000000000000000000040000004A060F +:101D80000050000000400800011060000000C0008A +:101D9000010C0003080006006010018400000080B0 +:101DA000000020090000000000000000000000000A +:101DB00000000000000000040008000002003028BD +:101DC00020400002C0180010101210000210040081 +:101DD0001040800202180202000000001040004083 +:101DE0008002000060010000000000000000000010 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF000000000000040000700000000000000009B +:101F000000000648148C0086030500400000000114 +:101F1000C0000E88E71001C0E568B205103C0DD680 +:101F20000430070003808C00E00000000000000087 +:101F30000000000000000000000000100000000091 +:101F400001000004832A3C0124103ECC070C288C9D +:101F500011006610C0010CC70000084800021E20D6 +:101F60000000000080302000A07000000000000091 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000001600002800200000000002 +:10208000000010000000260500007C27080000402A +:10209000000000000002010C040C1424F01540079D +:1020A00010000C8702B8225003020006F002000064 +:1020B0000000000000000000000000000000000020 +:1020C00000000000050016571306BC0FA0150ECC2B +:1020D0000148BC800A4000000000819E067020621A +:1020E000014009C74100008011721600A0C1000024 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:102200000000000400000000000004014000004045 +:102210000050040020000000004020248000022024 +:102220001142220000001000002002000102000004 +:10223000000010020000000002000000000000008A +:10224000000000000040000000000200400000000C +:102250000000202010001210040200001000824034 +:1022600012002000002040040010000000000000C8 +:10227000000200000000000000000000004000001C +:10228000000000000002000000000000000000004C +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000010000000000000000000000000000004C +:1023900000000000003000600000000000000000AD +:1023A00000000000009060400600200E00020000C7 +:1023B0000000B0000000200000000000000000004D +:1023C000000000000000000000000000000000A06D +:1023D00000000000000000001000103400000000A9 +:1023E00020600000000090704000600000200000AD +:1023F00000000000000000000000100000000000CD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000400000000C7 +:102510000000005C0000000000200000000000003F +:1025200040200200300000200800000000000000F1 +:10253000003008000C080000000000080000000047 +:102540000800000200000000000000000020000061 +:1025500000000400300000000000000000600007E0 +:1025600004040E7000001420040030000030300419 +:1025700000000000000000000008000000008000D3 +:10258000000000000010000000000000000C00002F +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000002000000000000000000A +:1026B000000000000010000000000000000080008A +:1026C00000000000000000000000000000001000FA +:1026D00000000000200020000000000000000000BA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000004000000C4 +:1028100000000000000000000000000000000000B8 +:102820000000000000000000000020800000000008 +:102830000000000000000000009000000000000008 +:102840000000200000000000000000000000000068 +:1028500000008000000000000000000000000000F8 +:1028600080000000040000000000000000000000E4 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000003000000000000008 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:1029800000000000000000C0000000000000000087 +:102990000400000000000000000000000000000033 +:1029A0000000000000000000000000000000200007 +:1029B00000000000000000000000000000200000F7 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000004000000000010001000D3 +:1029E00000000000000000000400000000000008DB +:1029F00000000000000000000081008000000020B6 +:102A000000000000000000000000000000000000C6 +:102A10000000000000000000000000000020000096 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B0000000000000000000000008008000000003D +:102B100000000000000000000000000000000000B5 +:102B20000000000000000FF0FFFF00000000FF0F9A +:102B3000FF7FFF7B1BAB20FFFFFFAFFFF7FF1155B0 +:102B4000110101111050F0F2F5FF7F207F200000ED +:102B500000000000000000000000EB360169FDFFEE +:102B60007B96FFFF00888075353CFCFF0C0EBFAFE5 +:102B70003131907CFEEF88887A7F6FEDFFE545FD6F +:102B800018ADFFEF1DF8A37C1BFA7FFFEFF3DDFA12 +:102B90006FFF491E1DFF1DFDE81E00000000D01242 +:102BA000B9DF00000000000000000000000000008D +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000C008000B8 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000090240E00000062 +:102CB000000000000C0000000C0000000C004800A8 +:102CC0000C2000000C0048000C2000000C00902498 +:102CD0001E00000000000000000000000000204076 +:102CE00081022040810200000C0000201E00000034 +:102CF0000C0048201E202040810200000C002040D3 +:102D00008102204081022040810220408102204037 +:102D10008102204081022040810220408102000087 +:102D200000002040810200000000000000000000C0 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E10000C4800000000000000000000000000005E +:102E20000000000000000000000000000000002082 +:102E30001200900400009024120000000000000026 +:102E40000000000000000000000000000000002062 +:102E50001200000000000000000000000000000060 +:102E600000000020120000000000902412009004D6 +:102E700000000000000000000000000000009004BE +:102E800000004800002000000000000000000000DA +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000080000000000000000000029 +:102FA00000000000000000000000000000007F00A2 +:102FB000150500A0F70833CCFF330FC35595FFFF6D +:102FC000DFFF0080EFFF2344AFEFDD5D03027E7E75 +:102FD000EFEFEE5F8F07CFAFAFBF00000000FFFF46 +:102FE000FFFF000000000404FEFF975D1420C0FFF7 +:102FF000F3CC134897405757FF7FFADE08087FFF4E +:103000009B3A2222805D0F1B1B1BFFFFFFFFFFFF70 +:10301000FFFF00000000FFFFFFFF00000000FFFFB8 +:10302000FFFF00000000FFFFFFFF000000000000A6 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:1031300000007009000180008027320004030000B5 +:10314000C8000000000000000000000000000000B7 +:1031500000001000000100000001001000004020ED +:103160000090000000000000000000000402C020E9 +:10317000008207000403800020008002000080001D +:103180000008700000014020C04100000000C02085 +:10319000004400000000C020000000000000C0202B +:1031A00000C600000000C0200044000000008000B5 +:1031B000000200000000000000000000000000000D +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000C000800000010A00510072 +:1032C00080080000800000000000000000000000F6 +:1032D00000000000000040008000000008000028FE +:1032E00000000000000000000000000000000100DD +:1032F0000000000120E2D1108000000140048000A5 +:1033000000000001000040008000000000C000003C +:103310000000000100E200000000000100E20000E7 +:103320000000000100E200000000000100E20000D7 +:10333000000000010022000000000000000000006A +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:1034300000000000000000000000008000C08000CC +:10344000000000C013E3CBA381C3000020000004F0 +:1034500018000005000030000020A00010830000CC +:103460000000E00300400000000000000003000036 +:1034700000000023000500000000C8C0C3C3000016 +:10348000CBE30000C000000800400013DB02002076 +:10349000C803000000100000000000030005000049 +:1034A000C000000300000000C10000030005000090 +:1034B000C000000300050000000000000000000044 +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B0000000000000000000000000000000010208 +:1035C000001080000100000200204004C003000041 +:1035D00004000000001000000000000008000800C7 +:1035E00000820000000000800020000000000000B9 +:1035F0000080000000000000000000000800000241 +:10360000C02103114002822001808000014000009F +:1036100000800000008000010000000080000084A5 +:103620000000000001000080000800002100008070 +:1036300000002000010000800000000000000000E9 +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:1037400000001040032C142EF000000004801C0028 +:10375000E06040076A003007F100100F0A12800095 +:10376000F171002426082029F1003E070000000620 +:103770000900000000000000000080E369032D84C0 +:10378000E26000E079818096D2F00000042880C4D5 +:10379000FB754E0F20310A8EE1E00804F438CA00B0 +:1037A00002C8400FF4004A000000400FF4004A0035 +:1037B0000110400FF4004A0001100000F400000066 +:1037C00000100000000000000000000000000000E9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C000000000000000200000000206B000100010 +:1038D00000380000E0000C87050000F041004205C0 +:1038E000C4880E0FE26C4014E24E2CC0B6104E1786 +:1038F00000308C80030000000000000000002083E6 +:1039000063380CDFEC6210856338000652382008FB +:10391000E040348141403C87254290AF0381401410 +:10392000E034085604400007E00008200284000745 +:10393000E038082003940007E00808200394000002 +:10394000E0080800009400000000000000000000F3 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A3000000000080000000000000000000000007E +:103A40000000000000000000000001008C004002A7 +:103A500004003000AF140800280230448004000045 +:103A600008441002000134000090082020040000E7 +:103A700000C0002800081042000000000000000004 +:103A8000000000C300206408025230D08804000007 +:103A9000400081C2C02640021220A0000A0002009D +:103AA000000068282010000600000002002400002A +:103AB0000000002880C200000010000000440050F8 +:103AC00000040000208802000081000000000000C7 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB00000000000006000000000000000000000A5 +:103BC00000000000000000000000000000001000E5 +:103BD00000800C07E03010000000000000388EA8C4 +:103BE00000385410E9703242C2918CCEC14308B4FF +:103BF000D9880127EA482704006C80C0050000002E +:103C00000000000000001E8363001CC0E060108004 +:103C1000633800002248002880100CE7E1048E8CF5 +:103C2000E33120A1C3080E0400424C0600700007D7 +:103C3000E0300CC001700007E0780CC00100000209 +:103C400020080C8001380000602A080000300000C5 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D3000000000000000000000000007000000007C +:103D40000000000000000000000000000000000073 +:103D50000000000000102D0FD080000000000000C7 +:103D6000D090000460004A0044018ED4010200C0DB +:103D70000B4028805008102FC0120E0400380E8F00 +:103D800001000000000000000000A2E369391C8F60 +:103D9000F66600C071793C80231508C411383D8750 +:103DA000E10200C401020EA7D112060400B82D469C +:103DB00008F8800778112DC00BF8000770382DC067 +:103DC0000B04400AA4002D80013000006000400078 +:103DD00000300000000000000000000000000000B3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000001000000000000000E2 +:103ED000000000000000000000000000000024427C +:103EE0000000204024400440204001000A0C00480B +:103EF00000402C280C0082202040080A00200121CC +:103F0000000000000102000000200000000002008C +:103F100050000C00000440011084022250023A00BC +:103F200034440040002C200050004A222042020469 +:103F300008000A20040218082001020000000020E6 +:103F400000800000002002000002020000220000A9 +:103F50000022000000220000000200000002000019 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:10404000000000000000000000000000000100006F +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:1040700008089054905000040C000E0E7000440C80 +:104080009000000000000000000000700000000030 +:104090000000A00000000400000000001000000A62 +:1040A0003009000010000D0000006040000E00000C +:1040B000000EB6005C090C0E00003804B000B00021 +:1040C000000C000000A0100000000000A00500008F +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E000000000000000200000002000000004107B +:1041F00000000800045400100010343004001C308B +:104200003030000C00000818000000000C0800000E +:1042100000100000000000000000000000042A0858 +:104220000034001820040400040400300000305062 +:1042300000502434300800080A0004200404000060 +:10424000300C0400000F00100E2080000010000E43 +:10425000000C0400002C000000380000003C0000AE +:10426000000C0000000C0000000000000000000036 +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:104360000000000000000004000000000000000049 +:10437000000000000000020000000000000000003B +:10438000001000000000000000000000000000001D +:10439000000000000000000000000000000000001D +:1043A0000000000000000004000402000000000003 +:1043B00000000000000C00000000200000000000D1 +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000001000000DC +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000100002000AB +:1044F000000000000000000000000C0000000000B0 +:10450000000000000090000000000000000000001B +:10451000000000000000000000000000000000009B +:104520000080000004000000000000000001000006 +:1045300000000000040000000002000000004080B5 +:10454000000000000000000020000000000000004B +:10455000000000000000000000000000000000005B +:104560000400000000300000000000000000000017 +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:104650000000000202000000000000000000000056 +:10466000000000000000000000000000000000004A +:10467000000020000000000000000000000000001A +:10468000000000000000000000200000000000000A +:10469000000000000000000000000000000000001A +:1046A00000000000000004000400000024000008D6 +:1046B00000000000000000000410000000000008DE +:1046C0000000820100000000000000002000000047 +:1046D00000000400000004000000000000000000D2 +:1046E00000000000000004000020000000000000A6 +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000100100000000C8 +:1047E00000000000000000000000000000000000C9 +:1047F0000000000000000000000000000000200099 +:10480000FFFB01801B5F07041300FCFFFF660F071F +:10481000F0F8FFFD1B000000000000000000BFF7E3 +:1048200010B4BFFFAFFA5DCDE7BF01060AF87F7F86 +:10483000FD225553426969EDB7AD6F003F2AFEFD79 +:104840004169F7C437045E7F1348BFFBEFFDA3FF48 +:10485000F2DD026CEFEF86DC15EFED9E87FFF7FBD4 +:10486000FA5CD37DEFFBFFF7FFCEF3FEBFC5681EFA +:10487000FFFFFFFFFFFF000000000000000000003E +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:1049500000000000000000000C000000000000014A +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:10498000000000000C0000201E0090241E0000000B +:104990000C0000000C0000201E00000000000000C1 +:1049A00000002040810220408102204081022040FE +:1049B000810200201E002040810220408102000070 +:1049C0000C002040810248000C2000000C00204018 +:1049D000810220408102204081022040810220404B +:1049E000810220408102204081022040810220403B +:1049F00081022040840200008C02000000000000C0 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD0000000000000000000000000000C000000CA +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B0000000000000000000000000000000048005D +:104B10000020480000200000000048000020002085 +:104B200012000000000048800020D084002048804F +:104B30000020D084002048800020D084002048003D +:104B400000200000000048800020D0840020488021 +:104B50000020D084002048800020D084002048809D +:104B60000020D084002048800020D0840020000055 +:104B700000000000000048800020D08400200000D9 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000FFFF26 +:104C900051F3FF7FFFCC0C040000FF7D0F07F1AF45 +:104CA00000703FA73505000000000F0F5F0A553365 +:104CB0005533555577445533BB11FF00774477443E +:104CC000330F470F55337707AF2333333F303F0C54 +:104CD0000F335555DD11553347473333AF051B1B94 +:104CE000F505FF00BB111B1BAF05FF0053533F0C25 +:104CF0003F0CFFFFFFFF00000000FF00FF00FF0070 +:104D0000FF00FFFFFFFF00000000000000000000A8 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E00000000000000000000000000000000800919 +:104E100000008000000400300000402000408008B6 +:104E200000008000002203000402000024000000B3 +:104E30000001C02000C600000001C02000000400E6 +:104E40000001C020001600000000402000004000CB +:104E50000001402000C0440000018000000260000A +:104E60000001C020008260000001C02000446400F6 +:104E70000001C02000C600000000C020000020008B +:104E80000001402000800000000000000000000041 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000800000000001000400280000000064 +:104FA000001080000000000100001100000000005F +:104FB000000044008000000100E260008000000169 +:104FC00000E24020800000010022000000000000FC +:104FD00000C060008000000000C0400080000001B0 +:104FE000002244008000000100E260008000000117 +:104FF00000E240008000000100E20000000000012B +:1050000000E260008000000000C00000000000001E +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:105110000000000000000000000000000000A000EF +:105120002040E01000000002080000000400000021 +:10513000C4000000C000000000800000C8000000A3 +:105140000004130000004400C80000404640001066 +:1051500000000000000001000000C8000200024240 +:1051600000C820024002000000CD02000000000440 +:105170000093000000020040B300000000000003A4 +:105180000003C000C000000420C8000000000000B0 +:1051900000000300C000000000000000000000004C +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000080000010205E +:1052A00020100000002000000020018020001000DD +:1052B00000000000000000000100000000040000E9 +:1052C00000000800000000000000000000000020B6 +:1052D000042008040000000000002000000000007E +:1052E000020002C0080808800980200000000200B7 +:1052F00000000000000400080080004000080000DA +:105300000000008000800900010000000000000093 +:10531000000000000000020001000000000000008A +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:105410000000000000000000000000000000802FDD +:105420001C283480E3700E0702C01C80029000C567 +:105430007548300E21910C0000000020000080C251 +:105440002931AD8A013000C221BC218001305203D4 +:1054500020281280A1B8224219C03480F160404F48 +:1054600020101C94E2EA0003F4300000E288410FAF +:10547000F4101C804131002410101C40C88842EFF9 +:10548000F5081C09A230400FF4004A400888084F74 +:1054900000081600000000000000000000000000EE +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:1055800020000000000000000000000000000000FB +:10559000000000000000000000000000000000000B +:1055A0000000008703AC0C100D945214E038018405 +:1055B000473260D51700201B25480D000000000E63 +:1055C0000000068223304CA603B44632203A00A0E5 +:1055D00003B406902BAA0CA009304252033808A04D +:1055E0002310060723122ED024604613E0303C60BF +:1055F00002000607E6903E80E138828C91913E00E1 +:1056000000010007E2083E5044390007E0000800AE +:1056100000002240060800C0040000000000000056 +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000080000A2 +:105700000000000008000000000000000000000091 +:105710000000000000000000000000000000000089 +:105720000000000000000000000002004000220015 +:105730000001050806020D020A00000882040200AA +:1057400000000000000010030D380100100022C00E +:1057500010000000148110481C6010000500044077 +:105760008C07020918520300971A00008281106802 +:10577000019820003010082880C224A2300834008C +:10578000204024000800408000B8240008080028B9 +:10579000800600500004040000B800000000000073 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A000000000000000000000008028302C1010D4 +:1058B000010C1844004014E701680805E3438048E0 +:1058C000E0481600000000040000004220005120C3 +:1058D00003948EADD031885644400E08C30028088A +:1058E0004010671082A8A254E4004817832C20C0FF +:1058F00000102002E4881C0CA0320007E8301C00D5 +:10590000E000401488421CA853B588CFF5311C0034 +:105910000110000220083E800138800000300000A5 +:105920000100000000000000000000000000000076 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000200000000000000000000076 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000472 +:105A3000700140804610464C1832102001148645F3 +:105A400001100006F612220000000E27000080025E +:105A5000283910004112004551522914024020C734 +:105A6000593116C6019802405108100042C216E78B +:105A7000110C3480061000427939804608340687BC +:105A800070401C5E0889008410381C8441302087D7 +:105A900071101C5E0998000220001C800130000774 +:105AA00000100000080000000000000000000000DE +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000008000000000000095 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000020000800CD +:105BB0000000202C040028002408400C0C084000A1 +:105BC00000003009002C080008000000000102005D +:105BD000000400001000000141001018000018002F +:105BE000000C014018440008300452043034000016 +:105BF00004000028100200443488004000420208DB +:105C000040040040200008201404102040008000C0 +:105C1000100400242244102000480002200000004C +:105C2000000200002000020000020000010000004D +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D100000000000000000000C00008000000000F7 +:105D2000500000000000000000090000000000001A +:105D300000000400000000040000000100040C0446 +:105D400004000000000029000D0000009450000035 +:105D5000000E000000700000000000000000102095 +:105D600090000C00000C0000140000043000100033 +:105D70000010000009000900200000B0300C400EA7 +:105D800060601D08090E0050000A0C003008000079 +:105D9000700010000000000000000000000440003F +:105DA00000000000000040000000000000000000B3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000100000001 +:105EA00000000000000000000000000000000000F2 +:105EB0000000000020000E00000020280C008000E0 +:105EC0002800114C4C003000000030080018000081 +:105ED000000000000008080040000000000000581A +:105EE00008000000000000000E000C000E3400004E +:105EF00020043004002450004C000E00005C0030F0 +:105F00002C30000000248400300400100000003019 +:105F1000040500003000B000000400343C340030C0 +:105F20000030000030000000000C000030000000D5 +:105F30000004000008000000000000000000000055 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000800000000000068 +:106030000000000000000000000000000000000060 +:106040000000000000000000000000000010000040 +:106050000000000000000000000000000000000040 +:10606000000000000000000000040000000000002C +:106070000000200000000000000000000000000000 +:10608000000000000000A000000000002000000050 +:106090000000000000000000000000000000000000 +:1060A0000000000000000000000000002040000090 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D000009000000000000000000000000000002F +:1061E00000000000000000000000000000010000AE +:1061F000000000000000000000000000800000001F +:10620000000000000000000000006000200000000E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:1062300080200000000000000000000000000000BE +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000006000001D +:10632000010000000000000000000000000000006C +:106330000008000000000000000000000000000055 +:10634000000000000000000000000000000000004D +:10635000000000000020000000000000000000001D +:10636000000000000000000000000000000000002D +:1063700000000000000000000000100000000020ED +:10638000000000000000000000000000000081018B +:1063900020000000100000000000000000000000CD +:1063A00000000000000000000000040000000000E9 +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000FFFFFFFF0000F0 +:1064B00000000000000000000000000000000000DC +:1064C000000000000000000000000100770AFFFF4C +:1064D0000A0A0000000003007F00000000007F089F +:1064E0007F7F00000000A7D25AFF2F0D9F068F7FED +:1064F000F2FAA7FB89869AF610ADFFBF9EDCF2F593 +:10650000DA7C13FD0002523CEFDFADBF3FFF007DA0 +:1065100087E9BF04AF05FDA3E0D3FFFF0F0F0F0F07 +:106520000F0FFFD5FF077A7C07E8D5D3CD53CF7F78 +:106530002FFF3CFC1A9C000000006DF0FF2F0000B4 +:106540000000EDA3E0C3FFFD7EEF000000000000AF +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000C0000000000000000008004DA +:106630000C0000000000000000000000000000004E +:10664000000000000000000000000000000000202A +:106650001E0000000C000000000000000C00000004 +:10666000000090040C00000000002040810248005F +:106670000C202040810220408102204081022040E5 +:10668000810220408102204081022040810220407E +:1066900081022040810248000C202040810220C05D +:1066A0008422D0848C22204081022040810220401C +:1066B0008102204081022040810200000000204031 +:1066C0008102000000002040810220408102000081 +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000C00000000000000DD +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000020120058A072A9DFA472B95F80E7 +:1067E00070B9DF8470B95F8070B1000000004800AC +:1067F000002048800020D084002048800020D084E1 +:106800000020480000200000000048000020900404 +:10681000000048800020D084002048800020D084E0 +:10682000002048800020D084002048800020D084B0 +:10683000002048800020D0840020000000000000DC +:106840000000000000000000000048800020D0840C +:106850000020000000000000000000000000000018 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000044080B33770F3FFF44A5 +:1069600033774F4F555F33777575FF305544FFFFD1 +:10697000FFFFFFF77B7B555535355F50AF05FF00B7 +:10698000F505F505BB11BB0BDF57136133493FF527 +:10699000F553CFC3FFF333331D1DF5050F330F0F31 +:1069A0004747BB11CF033333BB11772277443333CF +:1069B0007744BB11BB11FF003F30F3033F0CFFFFD7 +:1069C000FFFF00000000FFFFFFFF00000000333367 +:1069D0000F33353577440000000000000000000050 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB0000000000000000000000000000000402076 +:106AC0000040000000000000000000000000000086 +:106AD000000000000000000000003000040340201F +:106AE000A8501000040300008800B20904038000CD +:106AF000042300000000800000000000000180006E +:106B0000000400000001C020008200000000C0203E +:106B1000004460000001C020402040000001C0206F +:106B200000444400000180000000E0030001C02098 +:106B3000000060000001C020000064000001C020CF +:106B400000440000000040200080000000004020C1 +:106B5000000024000001C0200082000000000000AE +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000002034 +:106C40000000000000400000000000000000000004 +:106C500000000000000000000000000000004120D3 +:106C60008000000040005100800000002800D1009A +:106C70008000000128000000000000010022440004 +:106C800080000001002260008000000100E200009E +:106C90000000000100E2600080000001009260003E +:106CA0008000000100E24000800000010022C400DA +:106CB0008000000100C060008000000100E2400090 +:106CC0008000000100E200000000000000C00000A1 +:106CD0000000000000C040008000000100E2000051 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000300000000000000000000000000C0 +:106DD00000000000000000000000000000000000B3 +:106DE000000080C8C8C0808144EA81D3C0C0C0858B +:106DF0008013C8C8A0C0C40380A300000000C00363 +:106E0000C1000000000400C8000040130080000022 +:106E100000000400000200000520C8000000DBCCD8 +:106E2000010A4000138000000000010000930000F0 +:106E3000000000024043134002000213000500005E +:106E4000000000130100000000000040C00000002E +:106E50000000000000000002C0000000130000005D +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000002000000000000000000021 +:106F50000000000000000000000000000000000031 +:106F60000000000000008000002080030000A0025C +:106F700080208002800400000002000880020000DF +:106F80000020018021000000000000000000090036 +:106F900000040000000020211482040220000008E8 +:106FA00000200000208001000004000008002008EC +:106FB00000040000000000800148004002000200C0 +:106FC0000000000000002000200000000800004039 +:106FD000010800000000000800000080010000001F +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000468000020007D1 +:1070F000E900000004B02C0040720C00F408080401 +:1071000000000000623C1000C0000043217A0058DB +:10711000CA01084361BC2D8102624E9FF7102D64A5 +:10712000AAC80C8411102D0EE060006411BC5244FA +:10713000BAC8808710BCC006B000204401B80D005A +:10714000000080122809000000004F8FF7081C0182 +:10715000C036800200801C000100400F00000C407F +:107160000A00810228091404000200000000000047 +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:107260000000000000000000000000000000B087E7 +:107270002010284002001C8001081C00C09100A0C2 +:1072800001301C085010000060001C00C8020023E0 +:107290002038084020092C8364380CD004602687ED +:1072A000E1504C40A8424CB489560C27E2600004DF +:1072B00082381040F0400047823A0C8C01840EA0C6 +:1072C00000B812400400068223880010040007A7BB +:1072D000E1083E00003000020038022003000007F1 +:1072E0000000014000000E22208836202284000089 +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F00000002000051500002000B000841050019E +:107400000408400021D01904000E00004281180039 +:107410001446003C412114141289403CC103000071 +:107420004652850020080100148143289002800202 +:107430002A52081461250002061001239602042135 +:107440000404000409240100000011C100601801B7 +:1074500000C30128801A00A02020000100000400C1 +:107460000000002800000000000008012240240263 +:107470000481000000000000000000000000000087 +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:1075700000000000000001188E419489E100000025 +:1075800088010C06C00C324066300C0E0070000002 +:10759000E3300C0020080804000014C04160000320 +:1075A000630832C840604C37EA321554E4400C247A +:1075B00088008CCC00606C15C012140040000CA731 +:1075C00081BC08D0E03803181A110180C500860A72 +:1075D00032010890AD3208248AB03E6002C44015E2 +:1075E00000300000010000040030006002006095DF +:1075F000C7BE1C90453100000000000000000000E4 +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000E0400082DC083 +:107700000502000000000306D010004080000000C9 +:10771000D00000E0790101002000200484305016E0 +:107720000261002360841086C66400477036142FFF +:10773000E2401C0410004E84066408255030561E9A +:1077400004040043111001040430208500280016B1 +:1077500010011692200A2984A130008411A81C006F +:107760000240000500801C400900000400802C003D +:107770000200084550081C80C130000000000000D5 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000400040000000044044 +:1078800000080000008004400000200008400C02B6 +:107890000010000008080000000040004000201018 +:1078A00010001C5000100418101180800004000803 +:1078B000404A088000080424041C8C20002000207A +:1078C0002421000400200004025448080C844222B1 +:1078D00014240880001480028482002050080420B0 +:1078E00040321400000420020022400420441020F2 +:1078F0002404210000040000000000000004000037 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000208040000080006020000000058 +:107A1000000D047E0030090200600000000000003C +:107A2000000008102860183990300018281D9000B8 +:107A30007070601800486C00B07800BE0018040038 +:107A400000009096000A090A000A00000E000408CF +:107A50000800000A280004009A00500E00000000F0 +:107A6000300C400009200000A00000000000500081 +:107A700000000900000009000000000000000000F4 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B80001000300000000C000100000001300C303B +:107B90000000200000200C0C00000000000000008D +:107BA0000070300020000B000B00003000000000CF +:107BB000010C1030000800D0000000310000040467 +:107BC0000C08140000000030303C00080A10000CC3 +:107BD0000C34300048380018012000300008300C08 +:107BE000283C00100E000830303C08000000300C2B +:107BF000003C100C3034003034781C0000080000C9 +:107C00000000000000040000000000000000000070 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000C00000000000088 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000001000000000000053 +:107D20000000000000000000002000000000000033 +:107D30000000800000000000000000008000000043 +:107D400040000000000000000000000200000000F1 +:107D50000000A00000000000000000000000000083 +:107D6000000000000000040000000000000000000F +:107D700000000000000000002000000000000000E3 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E6000000000000000000C000000000001000005 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000000000000000000F2 +:107E90000000000000000000000000000090000052 +:107EA00000000000000000000000000000000000D2 +:107EB0000000000000003000200000000000000072 +:107EC0002000000000000000000000000000000092 +:107ED0000000000000006000000000000000000042 +:107EE0000000000000000000000000000000000092 +:107EF0000000003000000000000000000000000052 +:107F000000000000000000000000000080000000F1 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE00000000000000000000000004401008200CA +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000868 +:1080100000000000000000000081000000000000DF +:108020000020000000000000000000000000000030 +:108030000010000000000000000000002000000010 +:108040000000000000000008000000000000000028 +:1080500000000000000000000000C0000000000060 +:108060000000000000000000000000000000080008 +:1080700000000000000000200000000000000000E0 +:1080800010000000000000000000000000000000E0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A0000000000000000000000000000000BFFC14 +:1081B000103DCFC3031696FE1AFFFFFFFFFFFFD748 +:1081C000F27ABBBE8B867F07E47B73FEC112FFE6AB +:1081D0009FFFE093E953855D57FF33F53305A5F322 +:1081E000A7E3611E183D107C18F8270F0F33F97AAA +:1081F000FFFBFF8F9FFED7FEDEBD5D865753FFFF5F +:10820000FFFF00799F92BFED62EA2DFF6C1E000018 +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F0000C00000000000000000000000000000072 +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:108330000000204081022040810220408102000094 +:108340008C02204081022040810220408102204096 +:1083500081022040810220408102204081024800A9 +:108360000C202040810220408102204081024800F0 +:108370000C202040810220408102204081022040C8 +:10838000810200008C0220408102204081022040B6 +:10839000810200000000000000000000000000005A +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000040000000000000000000000F8 +:1084800000000000000000000000000000000000EC +:1084900000000000000000000000000000000000DC +:1084A00000000000000000000000000000000000CC +:1084B00000000000000048800020D0840020488098 +:1084C0000020D084002048800020D08400204880F4 +:1084D0000020D084002048800020D08400204880E4 +:1084E0000020D084002048800020D08400204880D4 +:1084F0000020D084002048800020D08400204880C4 +:108500000020D084002048800020D08400204880B3 +:108510000020D084002000000000000000000000C7 +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:10861000000000000000000000000000000000005A +:10862000000000000000000000000000000000004A +:1086300000000000000000000000333377222727ED +:108640005F0A5555BB111B1B77223333333333334A +:10865000333333337744F3033F0CFF003333333387 +:108660003333333335357744F30333332727330F2D +:10867000774433333535AF051B1B3333F3033F30BA +:108680003F30FF00330F3F0C77443333F303F303E2 +:108690003F0CFF00DD1147473535000000000000AA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B0000000000000000000000000000000240095 +:1087C0000001C020008200000001402000400000A5 +:1087D0000001C02000C604000001C02000C6400007 +:1087E0000001C020008A40000001402000C0440079 +:1087F0000001C02000C66000000140200040600071 +:108800000001C020004464000001C02000002000DE +:1088100000018000000020000001C0200082000054 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:10894000000040008000000100E2440080000000C0 +:1089500000C060008000000100E2400080000001D3 +:1089600000E2440080000001008A600080000000F6 +:1089700000C040008000000100E2440080000000D0 +:1089800000C060008000000100E2400080000001A3 +:1089900000E244008000000100226000800000012D +:1089A00000E20000000000000000000000000000E5 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC000000000000000000000C800000044004456 +:108AD0000004000020CC0000000000001300000093 +:108AE0000000C84000000200000500C8C8000000E7 +:108AF000A0000000C800000000000000C845000001 +:108B0000C800000000000204C800000000000000CF +:108B1000C802010000000002C8000004400000007C +:108B2000C80000004000000000000000000000003D +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000040004000000800000000001004000078 +:108C600000000004000000400000020000000000BE +:108C700000080000000800000000000C00000000D8 +:108C80000040000000000010000002000000000092 +:108C900008000000008020000000008000000000AC +:108CA00001000800000000000100000000000000BA +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC0000000000000000000000000000000200281 +:108DD00020304A00E000800400BC16000002400F72 +:108DE000F44A31800370406FF5AC0000E110880058 +:108DF000F4282D400A40005470082C00007000C375 +:108E000063101C560888004711301489016001A3C3 +:108E100060BC144008600DA770084A1E0240015053 +:108E200061080000E0446C0FF4083540C888000079 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F500000004E3220320810040000840038000067 +:108F600020000007E00810D005700087E3282210D9 +:108F700005100000E06C0C4000484094E34C0020D9 +:108F800002F4800B70911D000000800F00B0218062 +:108F900001B6828B7139150810698F0FF2090840EC +:108FA000C04A06807189080000410F07E0081400DC +:108FB0004800000000000000000000000000000069 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000868901A24519C461141CD +:1090E0000C2040F114000840200000500046100001 +:1090F000212000008A5204690004005000892000E9 +:109100004105000224002840044003010A10002801 +:10911000416200A020002800090002000008002889 +:10912000213800A000082000094002020820012880 +:1091300080BA2401080000000000000000000000C8 +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000607E0001C40C5 +:10926000A0484814030002C020004E93632E004023 +:1092700000485E936B2E6EC000600E84F3712A80EE +:10928000010042156BB80D90C5608E8FF1B1118051 +:1092900001300922E2026000A010860FFBA914A091 +:1092A00003B42EC26416080008000700FA810C00FF +:1092B00000B406822F301C000110000000000000E6 +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D00000000000000000000000000000002E87D8 +:1093E00070041C0AA24000C401B0148822002EE3BD +:1093F000612C210002402EA360300C86066420E41C +:109400007128088001001665E430119E01E8089774 +:1094100071B0108855342E0220081246B89A088779 +:1094200070B056800130008260084A40C8880C80C5 +:10943000703001000030004221081C44D99A00001D +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000001000000000000001000002A +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:10956000000000000000800000040010080042001D +:109570004030000010101800040400201050280093 +:10958000008C0420102410000042242024004C44AD +:10959000402811049144440A400928482102192016 +:1095A000042021049940000021002120218204018F +:1095B000010821000122004080002000000000027C +:1095C0000004000000000000000000000000000097 +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A000000000000000000000000020000000009A +:1096B00000300000000A0000000000000000000070 +:1096C00000000090000000000000000000000E00FC +:1096D0000000000000000C0000000000000070000E +:1096E000000000000000000000000000000000304A +:1096F00074007C0008164009101D2400B0BD004015 +:10970000000008000D9C300010501900000E4800A9 +:109710007C90007A000C20000000500870080C407B +:10972000A00024000DB03902080036000D00000032 +:109730000000400D000409400000007000000940D6 +:1097400000007000000009000D0000000000A000F3 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:10982000000000000000000000000000000C0080AC +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000001000000000007 +:1098600000000000000000000000000000003000C8 +:10987000000400000E0001002B00000000000000AA +:1098800078080E00703000000014880000200000EE +:10989000011C192E3500103C000008041838180C63 +:1098A000300C3E303C0C08300800AC0428308A00F4 +:1098B0003C0038303C3C0C0C080038007C3C00304C +:1098C000200030000000000800040000000000003C +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000020000047 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000020000000000A000A4 +:109A20000000000000000000000000000000000036 +:109A30000000040000000000000000000000000022 +:109A400000000000200000000000000000000000F6 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B400000003000000000000000000000000000E5 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000020000000C5 +:109B800000800080200000000000000000000000B5 +:109B9000000000000000000020000000080000009D +:109BA0000000600000000000000000000000000055 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000002000000000000000000074 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00002000000000000000200000000000000013 +:109D10000000000000000000000000002000000023 +:109D20000000000000000101000000000000200011 +:109D3000000000000000000000000800000000001B +:109D40000000000000000000000000001000000003 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E70000000000000000000000000000000AEEF45 +:109E800018EFB7FBFB96AEDEC316D76EFBFF6EC3B3 +:109E90000006E47D57D9FFE210FCFCF585417BFB11 +:109EA000DFFFFFDFFBF61DF70AE8E7FFC128EFA0A1 +:109EB000FFA710794165FFFFFFFF4E9F57FEE7D1D7 +:109EC000EF9FFFCA80EEEFD367F1FFFFFFFFF2BB0A +:109ED0005DFB00000000000000000000000000002A +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB0000000000000000000000000000C00000095 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000204081022040810220408102204047 +:10A0100081022040810220408102204081022040B4 +:10A0200081022040810220408102204081022040A4 +:10A030008102204081022040810200008C022040E9 +:10A0400081022040810220408102204081020000E4 +:10A050008C0220408102000000000000000000008F +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000040000000000000000000000000000000B +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A180000000000000000000000048800020D08493 +:10A19000002048800020D084002000000000000043 +:10A1A000000048800020D084002048800020D08417 +:10A1B000002048800020D084002048800020D084E7 +:10A1C000002048800020D084002048800020D084D7 +:10A1D000002048800020D084002048800020D084C7 +:10A1E000002000000000000000000000000000004F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000FFFFFFFF000000003333EB +:10A3100033555F0A5F0A55553355AF057722516FA4 +:10A320001200972F124833330F33DD113355FF00DE +:10A330000F337744CF03FF005F0ACF037722FF007C +:10A340001B1B1D1D3F30FF00FF00FF00FF00FF0033 +:10A350001B1B3F0CF5053333CF03330F4747333314 +:10A360005F503F30AF05000000000000000000001B +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A48000000000000000000000000000000080004C +:10A49000000000000001C020008200000001C02078 +:10A4A000004400000000C020004440000001C02023 +:10A4B000004440000001C020004444000001C020CE +:10A4C0000044600000014020004060000001C02006 +:10A4D000008264000001C020008220000001800092 +:10A4E0000002200000010000000000000000000049 +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A6100000000001002244008000000100E2600010 +:10A620008000000100E200000000000100E24400A0 +:10A630008000000100E260008000000100E24000B4 +:10A640008000000100E244008000000000C06000C3 +:10A650008000000100E240008000000100E24400B0 +:10A660008000000100226000800000000000000067 +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000050003000000000000B1 +:10A7A00013000100001300800000C000C200C303BA +:10A7B000040500000000C805400000000000C802B9 +:10A7C000A000000000C800020000201300000000EC +:10A7D00000000000C800000000050000C8000002E2 +:10A7E000000000134000400500000000C800000009 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A9100000000000000000000004000000800000B3 +:10A9200000000000000030000000000400002103CF +:10A93000030003824000000000000000010000004E +:10A9400020100080000000000000009000000000C7 +:10A9500000000000000410000000000000000000E3 +:10A960000000008000000000010001000000000065 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA90000000000000000000000000006000000056 +:10AAA0000040404FF5300C80A260406FF510080068 +:10AAB0000240226000001C882370815558111C0838 +:10AAC00001106C8FF5520044E8888C04F49400085F +:10AAD0000664522F00B40844C8004E8FF5B40880B5 +:10AAE0000130420FF48C1C1EC64400C01BB5000987 +:10AAF000C040008000847018400100000000000089 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC200060003C0000480827E0300DC040690C87F8 +:10AC3000E3540940D048020002009DC6D1780E8539 +:10AC4000C3541D0001180387E8100100000016A47A +:10AC5000E91000404060000702300100F8000DA735 +:10AC6000E930099005300027E0083E40B8402E004A +:10AC700080301C0040C52E80050010002000000020 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000C0030100000C9000013802A167 +:10ADB0001E181000010402A1400824944A0102A6B2 +:10ADC00020890981020403A240080128840202525A +:10ADD000800402012004180209A10000028002522E +:10ADE000000002002000020000C305800280000075 +:10ADF0000330810022803000180000800000000035 +:10AE00002000000000000000000000000000000022 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000600008000008A00A07 +:10AF300030011E800130200362301004C0001C83E9 +:10AF400061004853AC42882DD3311500C11008C4AC +:10AF5000843C0880C1384014CA1214C000308C8F61 +:10AF60000588008011004014803E089005300803D9 +:10AF700060883E8001310CA0C1321C0000000140FD +:10AF80000040400000010000000000000000000040 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A0000000000000000000000000006000000040 +:10B0B0000000020220B840840534401BE450D24412 +:10B0C000C8894ECBE5004A0AA240028551141440BB +:10B0D000499A008410344A80013200A4513456C584 +:10B0E0005A30014700300A960100000410344A80AB +:10B0F0000130408BE5301C81C534024050340000E3 +:10B1000040880E80000801060000000000000000DA +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000080000000000001004000010400C000096 +:10B240000080000040000050000404000248380064 +:10B250002440042C0C4C2000002000320000240468 +:10B26000444C024000840400440000024042400478 +:10B2700080804800400A2400002000004024800014 +:10B28000400020002004000000000000000000003A +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B0000000000D040000000000003000B0061086 +:10B3C0007A0000B0900D0000700000300042064688 +:10B3D000000C000E501900B00400000000B0000086 +:10B3E0000000000A0D04720E000A02B00D00425A5D +:10B3F000000600000D0000000004000000004000F6 +:10B4000000000000007000000000000000000000CC +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400008000A0030040000001000000000002085 +:10B55000000804000430000008900C2C0C3410008B +:10B560000010002C00003C0838380C300034080073 +:10B570003C00000C001C000C10301000300C0C00C3 +:10B58000002070003008100030001000100400008F +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D0000000000000004000000000000000040026 +:10B6E00020000000000000000000A0020000040094 +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B820000000000000000000000000000000000018 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000100200000000000C7 +:10B8600000000100800000000000000000006004F3 +:10B8700000000000000000000000000000000000C8 +:10B8800000000100000000000000000000000000B7 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A0000000000000000000000000000000000097 +:10B9B0000000000000000000000000000000000087 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F000000002000000080000000000000000003D +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB0000000000000000000000001081080000009C +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000000000015 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB50000000BDF318FF000000000000000000001E +:10BB6000FF00FF00FF00BF103535875F1F0EFFFF8E +:10BB7000FFFF74C0EFFFC3FF58FAFFFFFFFFFD1A7E +:10BB8000F4BDFFFFFFFFF7FDFDBB701E526D00000F +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000C40000000000081E7 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000002040810200000000000081 +:10BCE000000020C08422D0848C2248000C20002038 +:10BCF0001E0000008C0220408102204081020000D2 +:10BD00008C022040810200008C02204081022040F1 +:10BD100081020000000000000000000000000000A0 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE000000000000000000000000000004400000EE +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000000000000000000000000000000000002 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000004800002048800020D08400204820A6 +:10BE700012200000000048800020D08400204800EC +:10BE800000200020120048800020D0840020000004 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000000000000000000000000081 +:10BFC0000000000000000000000000000000000071 +:10BFD00000000000000000000000FFFFFFFF000065 +:10BFE0000000FFFFFFFF0F1B1B27555555555555EB +:10BFF0005555FDCFFD00FFFFFFFFFF00FF00FF00D5 +:10C00000FF007545550F00223331FF00FF00FF0090 +:10C01000FF00FFFFFFFF00000000FFFFFFFF000029 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000008000000E000000000000000004003D +:10C170000001C02000C081020402800008244000A9 +:10C180000001800000040000000140200041600028 +:10C1900000014020008000000000C02000000000DE +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C290000000000000000000001800000000000086 +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000100020000000000004B +:10C2F0000000C010800000010090830000000001D9 +:10C300002000600080000001000640008000000066 +:10C31000409044008000000000C0000000000001C8 +:10C3200000E200000000000000000000000000002B +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C4100000000000000000000000000000400000DC +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C46000000000000000A000000000000003000029 +:10C470000000C00000C0000040000013004300C0E6 +:10C480000000C40000005300200040000080400075 +:10C490002080200000040000C800200000000000F0 +:10C4A000C000C08000400000C0000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F0000080000000000100004000000100000079 +:10C60000004880218040000000410100000001003E +:10C610000004010000020000000000000000000013 +:10C6200000000000010001040040000001000000C3 +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C77000F4000100003000D003042C002000000D64 +:10C7800000BC0A000000000820808C5802B02EE097 +:10C79000F53000000044028201905C81F100626F7C +:10C7A00000301D000600400FF4001CC00AE8000025 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0002000000000000000000000000000000058 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F00000000000E0001400003000800300000091 +:10C9000020000000003810E0000010058038010110 +:10C9100001000F80E3741C00004048320A388C90FC +:10C92000050002070274004000000007E0001CC080 +:10C930000060000000000000000000000000000097 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000080000086 +:10CA300000000000080000000000000000000000EE +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA70000000000000000000200400000042000050 +:10CA80000820000028000000002050411404101865 +:10CA9000D0020520002000802024100000A194284E +:10CAA00008100854000004A800000800010000005D +:10CAB0000004185000040000000000000000000006 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF000000000000000000000000000803A20005B +:10CC0000006000000B380200E0000010000C32C091 +:10CC1000000863040034140001380000C00A3C001E +:10CC200000300004080C0286D101000400542200E8 +:10CC30000100401530313E40004800000000000077 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD30000000000000200000E000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD80001000000000E8008000321000F0020003F4 +:10CD9000003010000400020300000021081400000D +:10CDA00050300000003000440000158501000004F0 +:10CDB00000081C400900000520001C000240000083 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000002000000000000052 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF000000000000000000000000000010000020F1 +:10CF10000008408000504040204040000130401058 +:10CF20005020002000200080403820100080008029 +:10CF300000000000000000004002000000000000AF +:10CF40004000000000000010000000000000000091 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D040000000000000000000000000500000000090 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D0900008000000000002000010009008080000D6 +:10D0A000703E0010360A09000DB00000502000004C +:10D0B000007900B00006720A000008050006007C36 +:10D0C0000D0042000000000000B0000000B00D00A4 +:10D0D0000000000000000D00000000000000000043 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C0000000000000000000000000000000000A55 +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000001000000000000001D +:10D21000000000000100000000000030003030106D +:10D2200021111000002010003000003000300030CC +:10D23000103030000030003000000000000001001D +:10D2400000040001000000000000000000000000D9 +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000200000000000000000000000000CB +:10D36000000000000000000000000080000000003D +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000010000000000009C +:10D39000000000000000000000000000000000008D +:10D3A0000000000000000000000004020030000047 +:10D3B000000000000000A0000000200000000000AD +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000100000000000000000000000000003C +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000400000000000001C +:10D4D0000000000000000C00000000000000000040 +:10D4E000000000000000000000000000000000300C +:10D4F000000000000000000000000000000000002C +:10D500000000000000000000000000000004000017 +:10D51000000000000000000000000000010000000A +:10D5200000000000000000000000000000000100FA +:10D5300000B00080000000000000600C00008000CF +:10D54000802000000000000000000000000000003B +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D64000000000000000000000000000004000009A +:10D650000000000080030000000000000000000047 +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000002000000000006A +:10D6A000000000000000000000000000000000007A +:10D6B00000000000002000000000000000008204C4 +:10D6C000000000000020000000000000000000003A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D00000000000000010810800000000000000B0 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D950000000000000000000000000810000000046 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E59000000000000000000000000000000000007B +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F0000000000000000000000800000000000012 +:10E700000800000000000000000000000000000001 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA0000002000006800000000000000000000007E +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000800000000000000000000005 +:10EB90000000000000000000000000000000000075 +:10EBA0000000000000000000000000000000000065 +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED10000000000000009000000000000000000063 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000000000000000000000000000000000052 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F31000000000000000000000600000000000008D +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000000000000BA +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000000000000000000000000000000000029 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040003F7 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000048000000000000000000000000000050 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000010000000000000000000000000006 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000080000000000000000000000000000002 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE00000000000004800000000000000000000B9 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A00000000000000D000000000000000000001D +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000080000000000000000000000000000018 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000004000000000000000000000A7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A10000000000000800000000000000000000036 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000001000000000000055 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000001000000000000025 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB00000000000000000000000005005000000BC +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:103130000000000000280000A000000000000000C7 +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:10346000000000000000000000000000000000005C +:10347000000000000000000000000000000000004C +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F00000000000000000000000000000000000CB +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000000000000000000000000000009E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:10439000000000000000000000000000000000001D +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:10451000000000000000000000000000000000009B +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:10469000000000000000000000000000000000001A +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:104870000000000000000000000000000000000038 +:104880000000000000000000000000000000000028 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000020000000025 +:104990000000000000000000000000000000000017 +:1049A0000000000000000000000000000000000007 +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000000000000000000000000B7 +:104A000000000000000000000000000000000000A6 +:104A10000000000000000000000000000000000096 +:104A20000000000000000000000000000000000086 +:104A30000000000000000000000000000000000076 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B00000000000000000000000000000000000C99 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000000000000000000000000000075 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B80000000000000000000000000000000000025 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD00000000000000000000000000000000000D4 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E00000000000000000000000000000000008022 +:104E1000080000000000000000000000000000008A +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000000000000052 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000008000000000000000000000000091 +:104FA0000000000000000000000000000000000001 +:104FB00000000000000000000000000000000000F1 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000000000000000EE +:1052C00000000000000000000000000000000000DE +:1052D00000000000000000000000000000000000CE +:1052E00000000000000000000000000000000000BE +:1052F00000000000000000000000000000000000AE +:10530000000000000000000000000000000000009D +:10531000000000000000000000000000000000008D +:10532000000000000000000000000000000000007D +:10533000000000000000000000000000000000006D +:10534000000000000000000000000000000000005D +:10535000000000000000000000000000000000004D +:10536000000000000000000000000000000000003D +:10537000000000000000000000000000000000002D +:10538000000000000000000000000000000000001D +:10539000000000000000000000000000000000000D +:1053A00000000000000000000000000000000000FD +:1053B00000000000000000000000000000000000ED +:1053C00000000000000000000000000000000000DD +:1053D00000000000000000000000000000000000CD +:1053E00000000000000000000000000000000000BD +:1053F00000000000000000000000000000000000AD +:10540000000000000000000000000000000000009C +:10541000000000000000000000000000000000008C +:10542000000000000000000000000000000000007C +:10543000000000000000000000000000000000006C +:10544000000000000000000000000000000000005C +:10545000000000000000000000000000000000004C +:10546000000000000000000000000000000000003C +:10547000000000000000000000000000000000002C +:10548000000000000000000000000000000000001C +:10549000000000000000000000000000000000000C +:1054A00000000000000000000000000000000000FC +:1054B00000000000000000000000000000000000EC +:1054C00000000000000000000000000000000000DC +:1054D00000000000000000000000000000000000CC +:1054E00000000000000000000000000000000000BC +:1054F00000000000000000000000000000000000AC +:10550000000000000000000000000000000000009B +:10551000000000000000000000000000000000008B +:10552000000000000000000000000000000000007B +:10553000000000000000000000000000000000006B +:10554000000000000000000000000000000000005B +:10555000000000000000000000000000000000004B +:10556000000000000000000000000000000000003B +:10557000000000000000000000000000000000002B +:10558000000000000000000000000000000000001B +:10559000000000000000000000000000000000000B +:1055A00000000000000000000000000000000000FB +:1055B00000000000000000000000000000000000EB +:1055C00000000000000000000000000000000000DB +:1055D00000000000000000000000000000000000CB +:1055E00000000000000000000000000000000000BB +:1055F00000000000000000000000000000000000AB +:10560000000000000000000000000000000000009A +:10561000000000000000000000000000000000008A +:10562000000000000000000000000000000000007A +:10563000000000000000000000000000000000006A +:10564000000000000000000000000000000000005A +:10565000000000000000000000000000000000004A +:10566000000000000000000000000000000000003A +:10567000000000000000000000000000000000002A +:10568000000000000000000000000000000000001A +:10569000000000000000000000000000000000000A +:1056A00000000000000000000000000000000000FA +:1056B00000000000000000000000000000000000EA +:1056C00000000000000000000000000000000000DA +:1056D00000000000000000000000000000000000CA +:1056E00000000000000000000000000000000000BA +:1056F00000000000000000000000000000000000AA +:105700000000000000000000000000000000000099 +:105710000000000000000000000000000000000089 +:105720000000000000000000000000000000000079 +:105730000000000000000000000000000000000069 +:105740000000000000000000000000000000000059 +:105750000000000000000000000000000000000049 +:105760000000000000000000000000000000000039 +:105770000000000000000000000000000000000029 +:105780000000000000000000000000000000000019 +:105790000000000000000000000000000000000009 +:1057A00000000000000000000000000000000000F9 +:1057B00000000000000000000000000000000000E9 +:1057C00000000000000000000000000000000000D9 +:1057D00000000000000000000000000000000000C9 +:1057E00000000000000000000000000000000000B9 +:1057F00000000000000000000000000000000000A9 +:105800000000000000000000000000000000000098 +:105810000000000000000000000000000000000088 +:105820000000000000000000000000000000000078 +:105830000000000000000000000000000000000068 +:105840000000000000000000000000000000000058 +:105850000000000000000000000000000000000048 +:105860000000000000000000000000000000000038 +:105870000000000000000000000000000000000028 +:105880000000000000000000000000000000000018 +:105890000000000000000000000000000000000008 +:1058A00000000000000000000000000000000000F8 +:1058B00000000000000000000000000000000000E8 +:1058C00000000000000000000000000000000000D8 +:1058D00000000000000000000000000000000000C8 +:1058E00000000000000000000000000000000000B8 +:1058F00000000000000000000000000000000000A8 +:105900000000000000000000000000000000000097 +:105910000000000000000000000000000000000087 +:105920000000000000000000000000000000000077 +:105930000000000000000000000000000000000067 +:105940000000000000000000000000000000000057 +:105950000000000000000000000000000000000047 +:105960000000000000000000000000000000000037 +:105970000000000000000000000000000000000027 +:105980000000000000000000000000000000000017 +:105990000000000000000000000000000000000007 +:1059A00000000000000000000000000000000000F7 +:1059B00000000000000000000000000000000000E7 +:1059C00000000000000000000000000000000000D7 +:1059D00000000000000000000000000000000000C7 +:1059E00000000000000000000000000000000000B7 +:1059F00000000000000000000000000000000000A7 +:105A00000000000000000000000000000000000096 +:105A10000000000000000000000000000000000086 +:105A20000000000000000000000000000000000076 +:105A30000000000000000000000000000000000066 +:105A40000000000000000000000000000000000056 +:105A50000000000000000000000000000000000046 +:105A60000000000000000000000000000000000036 +:105A70000000000000000000000000000000000026 +:105A80000000000000000000000000000000000016 +:105A90000000000000000000000000000000000006 +:105AA00000000000000000000000000000000000F6 +:105AB00000000000000000000000000000000000E6 +:105AC00000000000000000000000000000000000D6 +:105AD00000000000000000000000000000000000C6 +:105AE00000000000000000000000000000000000B6 +:105AF00000000000000000000000000000000000A6 +:105B00000000000000000000000000000000000095 +:105B10000000000000000000000000000000000085 +:105B20000000000000000000000000000000000075 +:105B30000000000000000000000000000000000065 +:105B40000000000000000000000000000000000055 +:105B50000000000000000000000000000000000045 +:105B60000000000000000000000000000000000035 +:105B70000000000000000000000000000000000025 +:105B80000000000000000000000000000000000015 +:105B90000000000000000000000000000000000005 +:105BA00000000000000000000000000000000000F5 +:105BB00000000000000000000000000000000000E5 +:105BC00000000000000000000000000000000000D5 +:105BD00000000000000000000000000000000000C5 +:105BE00000000000000000000000000000000000B5 +:105BF00000000000000000000000000000000000A5 +:105C00000000000000000000000000000000000094 +:105C10000000000000000000000000000000000084 +:105C20000000000000000000000000000000000074 +:105C30000000000000000000000000000000000064 +:105C40000000000000000000000000000000000054 +:105C50000000000000000000000000000000000044 +:105C60000000000000000000000000000000000034 +:105C70000000000000000000000000000000000024 +:105C80000000000000000000000000000000000014 +:105C90000000000000000000000000000000000004 +:105CA00000000000000000000000000000000000F4 +:105CB00000000000000000000000000000000000E4 +:105CC00000000000000000000000000000000000D4 +:105CD00000000000000000000000000000000000C4 +:105CE00000000000000000000000000000000000B4 +:105CF00000000000000000000000000000000000A4 +:105D00000000000000000000000000000000000093 +:105D10000000000000000000000000000000000083 +:105D20000000000000000000000000000000000073 +:105D30000000000000000000000000000000000063 +:105D40000000000000000000000000000000000053 +:105D50000000000000000000000000000000000043 +:105D60000000000000000000000000000000000033 +:105D70000000000000000000000000000000000023 +:105D80000000000000000000000000000000000013 +:105D90000000000000000000000000000000000003 +:105DA00000000000000000000000000000000000F3 +:105DB00000000000000000000000000000000000E3 +:105DC00000000000000000000000000000000000D3 +:105DD00000000000000000000000000000000000C3 +:105DE00000000000000000000000000000000000B3 +:105DF00000000000000000000000000000000000A3 +:105E00000000000000000000000000000000000092 +:105E10000000000000000000000000000000000082 +:105E20000000000000000000000000000000000072 +:105E30000000000000000000000000000000000062 +:105E40000000000000000000000000000000000052 +:105E50000000000000000000000000000000000042 +:105E60000000000000000000000000000000000032 +:105E70000000000000000000000000000000000022 +:105E80000000000000000000000000000000000012 +:105E90000000000000000000000000000000000002 +:105EA00000000000000000000000000000000000F2 +:105EB00000000000000000001000000000000000D2 +:105EC00000000000000000000000000000000000D2 +:105ED00000000000000000000000000000000000C2 +:105EE00000000000000000000000000000000000B2 +:105EF00000000000000000000000000000000000A2 +:105F00000000000000000000000000000000000091 +:105F10000000000000000000000000000000000081 +:105F20000000000000000000000000000000000071 +:105F30000000000000000000000000000000000061 +:105F40000000000000000000000000000000000051 +:105F50000000000000000000000000000000000041 +:105F60000000000000000000000000000000000031 +:105F70000000000000000000000000000000000021 +:105F80000000000000000000000000000000000011 +:105F90000000000000000000000000000000000001 +:105FA00000000000000000000000000000000000F1 +:105FB00000000000000000000000000000000000E1 +:105FC00000000000000000000000000000000000D1 +:105FD00000000000000000000000000000000000C1 +:105FE00000000000000000000000000000000000B1 +:105FF00000000000000000000000000000000000A1 +:106000000000000000000000000000000000000090 +:106010000000000000000000000000000000000080 +:106020000000000000000000000000000000000070 +:106030000000000000000000000000005000000010 +:106040000000000000000000000000000000000050 +:106050000000000000000000000000000000000040 +:106060000000000000000000000000000000000030 +:106070000000000000000000000000000000000020 +:106080000000000000000000000000000000000010 +:106090000000000000000000000000000000000000 +:1060A00000000000000000000000000000000000F0 +:1060B00000000000000000000000000000000000E0 +:1060C00000000000000000000000000000000000D0 +:1060D00000000000000000000000000000000000C0 +:1060E00000000000000000000000000000000000B0 +:1060F00000000000000000000000000000000000A0 +:10610000000000000000000000000000000000008F +:10611000000000000000000000000000000000007F +:10612000000000000000000000000000000000006F +:10613000000000000000000000000000000000005F +:10614000000000000000000000000000000000004F +:10615000000000000000000000000000000000003F +:10616000000000000000000000000000000000002F +:10617000000000000000000000000000000000001F +:10618000000000000000000000000000000000000F +:1061900000000000000000000000000000000000FF +:1061A00000000000000000000000000000000000EF +:1061B00000000000000000000000000000000000DF +:1061C00000000000000000000000000000000000CF +:1061D00000000000000000000000000000000000BF +:1061E00000000000000000000000000000000000AF +:1061F000000000000000000000000000000000009F +:10620000000000000000000000000000000000008E +:10621000000000000000000000000000000000007E +:10622000000000000000000000000000000000006E +:10623000000000000000000000000000000000005E +:10624000000000000000000000000000000000004E +:10625000000000000000000000000000000000003E +:10626000000000000000000000000000000000002E +:10627000000000000000000000000000000000001E +:10628000000000000000000000000000000000000E +:1062900000000000000000000000000000000000FE +:1062A00000000000000000000000000000000000EE +:1062B00000000000000000000000000000000000DE +:1062C00000000000000000000000000000000000CE +:1062D00000000000000000000000000000000000BE +:1062E00000000000000000000000000000000000AE +:1062F000000000000000000000000000000000009E +:10630000000000000000000000000000000000008D +:10631000000000000000000000000000000000007D +:10632000000000000000000000000000000000006D +:10633000000000000000000000000000000000005D +:10634000000000000000000000000000000000004D +:10635000000000000000000000000000000000003D +:10636000000000000000000000000000000000002D +:10637000000000000000000000000000000000001D +:10638000000000000000000000000000000000000D +:1063900000000000000000000000000000000000FD +:1063A00000000000000000000000000000000000ED +:1063B00000000000000000000000000000000000DD +:1063C00000000000000000000000000000000000CD +:1063D00000000000000000000000000000000000BD +:1063E00000000000000000000000000000000000AD +:1063F000000000000000000000000000000000009D +:10640000000000000000000000000000000000008C +:10641000000000000000000000000000000000007C +:10642000000000000000000000000000000000006C +:10643000000000000000000000000000000000005C +:10644000000000000000000000000000000000004C +:10645000000000000000000000000000000000003C +:10646000000000000000000000000000000000002C +:10647000000000000000000000000000000000001C +:10648000000000000000000000000000000000000C +:1064900000000000000000000000000000000000FC +:1064A00000000000000000000000000000000000EC +:1064B00000000000000000000000000000000000DC +:1064C00000000000000000000000000000000000CC +:1064D00000000000000000000000000000000000BC +:1064E00000000000000000000000000000000000AC +:1064F000000000000000000000000000000000009C +:10650000000000000000000000000000000000008B +:10651000000000000000000000000000000000007B +:10652000000000000000000000000000000000006B +:10653000000000000000000000000000000000005B +:10654000000000000000000000000000000000004B +:10655000000000000000000000000000000000003B +:10656000000000000000000000000000000000002B +:10657000000000000000000000000000000000001B +:10658000000000000000000000000000000000000B +:1065900000000000000000000000000000000000FB +:1065A00000000000000000000000000000000000EB +:1065B00000000000000000000000000000000000DB +:1065C00000000000000000000000000000000000CB +:1065D00000000000000000000000000000000000BB +:1065E00000000000000000000000000000000000AB +:1065F000000000000000000000000000000000009B +:10660000000000000000000000000000000000008A +:10661000000000000000000000000000000000007A +:10662000000000000000000000000000000000006A +:10663000000000000000000000000000000000005A +:10664000000000000000000000000000000000004A +:10665000000000000000000000000000000000003A +:10666000000000000000000000000000000000002A +:10667000000000000000000000000000000000001A +:10668000000000000000000000000000000000000A +:1066900000000000000000000000000000000000FA +:1066A00000000000000000000000000000000000EA +:1066B00000000000000000000000000000000000DA +:1066C00000000000000000000000000000000000CA +:1066D00000000000000000000000000000000000BA +:1066E00000000000000000000000000000000000AA +:1066F000000000000000000000000000000000009A +:106700000000000000000000000000000000000089 +:106710000000000000000000000000000000000079 +:106720000000000000000000000000000000000069 +:106730000000000000000000000000000000000059 +:106740000000000000000000000000000000000049 +:106750000000000000000000000000000000000039 +:106760000000000000000000000000000000000029 +:106770000000000000000000000000000000000019 +:106780000000000000000000000000000000000009 +:1067900000000000000000000000000000000000F9 +:1067A00000000000000000000000000000000000E9 +:1067B00000000000000000000000000000000000D9 +:1067C00000000000000000000000000000000000C9 +:1067D00000000000000000000000000000000000B9 +:1067E00000000000000000000000000000000000A9 +:1067F0000000000000000000000000000000000099 +:106800000000000000000000000000000000000088 +:106810000000000000000000000000000000000078 +:106820000000000000000000000000000000000068 +:106830000000000000000000000000000000000058 +:106840000000000000000000000000000000000048 +:106850000000000000000000000000000000000038 +:106860000000000000000000000000000000000028 +:106870000000000000000000000000000000000018 +:106880000000000000000000000000000000000008 +:1068900000000000000000000000000000000000F8 +:1068A00000000000000000000000000000000000E8 +:1068B00000000000000000000000000000000000D8 +:1068C00000000000000000000000000000000000C8 +:1068D00000000000000000000000000000000000B8 +:1068E00000000000000000000000000000000000A8 +:1068F0000000000000000000000000000000000098 +:106900000000000000000000000000000000000087 +:106910000000000000000000000000000000000077 +:106920000000000000000000000000000000000067 +:106930000000000000000000000000000000000057 +:106940000000000000000000000000000000000047 +:106950000000000000000000000000000000000037 +:106960000000000000000000000000000000000027 +:106970000000000000000000000000000000000017 +:106980000000000000000000000000000000000007 +:1069900000000000000000000000000000000000F7 +:1069A00000000000000000000000000000000000E7 +:1069B00000000000000000000000000000000000D7 +:1069C00000000000000000000000000000000000C7 +:1069D00000000000000000000000000000000000B7 +:1069E00000000000000000000000000000000000A7 +:1069F0000000000000000000000000000000000097 +:106A00000000000000000000000000000000000086 +:106A10000000000000000000000000000000000076 +:106A20000000000000000000000000000000000066 +:106A30000000000000000000000000000000000056 +:106A40000000000000000000000000000000000046 +:106A50000000000000000000000000000000000036 +:106A60000000000000000000000000000000000026 +:106A70000000000000000000000000000000000016 +:106A80000000000000000000000000000000000006 +:106A900000000000000000000000000000000000F6 +:106AA00000000000000000000000000000000000E6 +:106AB00000000000000000000000000000000000D6 +:106AC00000000000000000000000000000000000C6 +:106AD00000000000000000000000000000000000B6 +:106AE00000000000000000000000000000000000A6 +:106AF0000000000000000000000000000000000096 +:106B00000000000000000000000000000000000085 +:106B10000000000000000000000000000000000075 +:106B20000000000000000000000000000000000065 +:106B30000000000000000000000000000000000055 +:106B40000000000000000000000000000000000045 +:106B50000000000000000000000000000000000035 +:106B60000000000000000000000000000000000025 +:106B70000000000000000000000000000000000015 +:106B80000000000000000000000000000000000005 +:106B900000000000000000000000000000000000F5 +:106BA00000000000000000000000000000000000E5 +:106BB00000000000000000000000000000000000D5 +:106BC00000000000000000000000000000000000C5 +:106BD00000000000000000000000000000000000B5 +:106BE00000000000000000000000000000000000A5 +:106BF0000000000000000000000000000000000095 +:106C00000000000000000000000000000000000084 +:106C10000000000000000000000000000000000074 +:106C20000000000000000000000000000000000064 +:106C30000000000000000000000000000000000054 +:106C40000000000000000000000000000000000044 +:106C50000000000000000000000000000000000034 +:106C60000000000000000000000000000000000024 +:106C70000000000000000000000000000000000014 +:106C80000000000000000000000000000000000004 +:106C900000000000000000000000000000000000F4 +:106CA00000000000000000000000000000000000E4 +:106CB00000000000000000000000000000000000D4 +:106CC00000000000000000000000000000000000C4 +:106CD00000000000000000000000000000000000B4 +:106CE00000000000000000000000000000000000A4 +:106CF0000000000000000000000000000000000094 +:106D00000000000000000000000000000000000083 +:106D10000000000000000000000000000000000073 +:106D20000000000000000000000000000000000063 +:106D30000000000000000000000000000000000053 +:106D40000000000000000000000000000000000043 +:106D50000000000000000000000000000000000033 +:106D60000000000000000000000000000000000023 +:106D70000000000000000000000000000000000013 +:106D80000000000000000000000000000000000003 +:106D900000000000000000000000000000000000F3 +:106DA00000000000000000000000000000000000E3 +:106DB00000000000000000000000000000000000D3 +:106DC00000000000000000000000000000000000C3 +:106DD00000000000000000000000000000000000B3 +:106DE00000000000000000000000000000000000A3 +:106DF0000000000000000000000000000000000093 +:106E00000000000000000000000000000000000082 +:106E10000000000000000000000000000000000072 +:106E20000000000000000000000000000000000062 +:106E30000000000000000000000000000000000052 +:106E40000000000000000000000000000000000042 +:106E50000000000000000000000000000000000032 +:106E60000000000000000000000000000000000022 +:106E70000000000000000000000000000000000012 +:106E80000000000000000000000000000000000002 +:106E900000000000000000000000000000000000F2 +:106EA00000000000000000000000000000000000E2 +:106EB00000000000000000000000000000000000D2 +:106EC00000000000000000000000000000000000C2 +:106ED00000000000000000000000000000000000B2 +:106EE00000000000000000000000000000000000A2 +:106EF0000000000000000000000000000000000092 +:106F00000000000000000000000000000000000081 +:106F10000000000000000000000000000000000071 +:106F20000000000000000000000000000000000061 +:106F30000000000000000000000000000000000051 +:106F40000000000000000000000000000000000041 +:106F50000000000000000000000000000000000031 +:106F60000000000000000000000000000000000021 +:106F70000000000000000000000000000000000011 +:106F80000000000000000000000000000000000001 +:106F900000000000000000000000000000000000F1 +:106FA00000000000000000000000000000000000E1 +:106FB00000000000000000000000000000000000D1 +:106FC00000000000000000000000000000000000C1 +:106FD00000000000000000000000000000000000B1 +:106FE00000000000000000000000000000000000A1 +:106FF0000000000000000000000000000000000091 +:107000000000000000000000000000000000000080 +:107010000000000000000000000000000000000070 +:107020000000000000000000000000000000000060 +:107030000000000000000000000000000000000050 +:107040000000000000000000000000000000000040 +:107050000000000000000000000000000000000030 +:107060000000000000000000000000000000000020 +:107070000000000000000000000000000000000010 +:107080000000000000000000000000000000000000 +:1070900000000000000000000000000000000000F0 +:1070A00000000000000000000000000000000000E0 +:1070B00000000000000000000000000000000000D0 +:1070C00000000000000000000000000000000000C0 +:1070D00000000000000000000000000000000000B0 +:1070E00000000000000000000000000000000000A0 +:1070F0000000000000000000000000000000000090 +:10710000000000000000000000000000000000007F +:10711000000000000000000000000000000000006F +:10712000000000000000000000000000000000005F +:10713000000000000000000000000000000000004F +:10714000000000000000000000000000000000003F +:10715000000000000000000000000000000000002F +:10716000000000000000000000000000000000001F +:10717000000000000000000000000000000000000F +:1071800000000000000000000000000000000000FF +:1071900000000000000000000000000000000000EF +:1071A00000000000000000000000000000000000DF +:1071B00000000000000000000000000000000000CF +:1071C00000000000000000000000000000000000BF +:1071D00000000000000000000000000000000000AF +:1071E000000000000000000000000000000000009F +:1071F000000000000000000000000000000000008F +:10720000000000000000000000000000000000007E +:10721000000000000000000000000000000000006E +:10722000000000000000000000000000000000005E +:10723000000000000000000000000000000000004E +:10724000000000000000000000000000000000003E +:10725000000000000000000000000000000000002E +:10726000000000000000000000000000000000001E +:10727000000000000000000000000000000000000E +:1072800000000000000000000000000000000000FE +:1072900000000000000000000000000000000000EE +:1072A00000000000000000000000000000000000DE +:1072B00000000000000000000000000000000000CE +:1072C00000000000000000000000000000000000BE +:1072D00000000000000000000000000000000000AE +:1072E000000000000000000000000000000000009E +:1072F000000000000000000000000000000000008E +:10730000000000000000000000000000000000007D +:10731000000000000000000000000000000000006D +:10732000000000000000000000000000000000005D +:10733000000000000000000000000000000000004D +:10734000000000000000000000000000000000003D +:10735000000000000000000000000000000000002D +:10736000000000000000000000000000000000001D +:10737000000000000000000000000000000000000D +:1073800000000000000000000000000000000000FD +:1073900000000000000000000000000000000000ED +:1073A00000000000000000000000000000000000DD +:1073B00000000000000000000000000000000000CD +:1073C00000000000000000000000000000000000BD +:1073D00000000000000000000000000000000000AD +:1073E000000000000000000000000000000000009D +:1073F000000000000000000000000000000000008D +:10740000000000000000000000000000000000007C +:10741000000000000000000000000000000000006C +:10742000000000000000000000000000000000005C +:10743000000000000000000000000000000000004C +:10744000000000000000000000000000000000003C +:10745000000000000000000000000000000000002C +:10746000000000000000000000000000000000001C +:10747000000000000000000000000000000000000C +:1074800000000000000000000000000000000000FC +:1074900000000000000000000000000000000000EC +:1074A00000000000000000000000000000000000DC +:1074B00000000000000000000000000000000000CC +:1074C00000000000000000000000000000000000BC +:1074D00000000000000000000000000000000000AC +:1074E000000000000000000000000000000000009C +:1074F000000000000000000000000000000000008C +:10750000000000000000000000000000000000007B +:10751000000000000000000000000000000000006B +:10752000000000000000000000000000000000005B +:10753000000000000000000000000000000000004B +:10754000000000000000000000000000000000003B +:10755000000000000000000000000000000000002B +:10756000000000000000000000000000000000001B +:10757000000000000000000000000000000000000B +:1075800000000000000000000000000000000000FB +:1075900000000000000000000000000000000000EB +:1075A00000000000000000000000000000000000DB +:1075B00000000000000000000000000000000000CB +:1075C00000000000000000000000000000000000BB +:1075D00000000000000000000000000000000000AB +:1075E000000000000000000000000000000000009B +:1075F000000000000000000000000000000000008B +:10760000000000000000000000000000000000007A +:10761000000000000000000000000000000000006A +:10762000000000000000000000000000000000005A +:10763000000000000000000000000000000000004A +:10764000000000000000000000000000000000003A +:10765000000000000000000000000000000000002A +:10766000000000000000000000000000000000001A +:10767000000000000000000000000000000000000A +:1076800000000000000000000000000000000000FA +:1076900000000000000000000000000000000000EA +:1076A00000000000000000000000000000000000DA +:1076B00000000000000000000000000000000000CA +:1076C00000000000000000000000000000000000BA +:1076D00000000000000000000000000000000000AA +:1076E000000000000000000000000000000000009A +:1076F000000000000000000000000000000000008A +:107700000000000000000000000000000000000079 +:107710000000000000000000000000000000000069 +:107720000000000000000000000000000000000059 +:107730000000000000000000000000000000000049 +:107740000000000000000000000000000000000039 +:107750000000000000000000000000000000000029 +:107760000000000000000000000000000000000019 +:107770000000000000000000000000000000000009 +:1077800000000000000000000000000000000000F9 +:1077900000000000000000000000000000000000E9 +:1077A00000000000000000000000000000000000D9 +:1077B00000000000000000000000000000000000C9 +:1077C00000000000000000000000000000000000B9 +:1077D00000000000000000000000000000000000A9 +:1077E0000000000000000000000000000000000099 +:1077F0000000000000000000000000000000000089 +:107800000000000000000000000000000000000078 +:107810000000000000000000000000000000000068 +:107820000000000000000000000000000000000058 +:107830000000000000000000000000000000000048 +:107840000000000000000000000000000000000038 +:107850000000000000000000000000000000000028 +:107860000000000000000000000000000000000018 +:107870000000000000000000000000000000000008 +:1078800000000000000000000000000000000000F8 +:1078900000000000000000000000000000000000E8 +:1078A00000000000000000000000000000000000D8 +:1078B00000000000000000000000000000000000C8 +:1078C00000000000000000000000000000000000B8 +:1078D00000000000000000000000000000000000A8 +:1078E0000000000000000000000000000000000098 +:1078F0000000000000000000000000000000000088 +:107900000000000000000000000000000000000077 +:107910000000000000000000000000000000000067 +:107920000000000000000000000000000000000057 +:107930000000000000000000000000000000000047 +:107940000000000000000000000000000000000037 +:107950000000000000000000000000000000000027 +:107960000000000000000000000000000000000017 +:107970000000000000000000000000000000000007 +:1079800000000000000000000000000000000000F7 +:1079900000000000000000000000000000000000E7 +:1079A00000000000000000000000000000000000D7 +:1079B00000000000000000000000000000000000C7 +:1079C00000000000000000000000000000000000B7 +:1079D00000000000000000000000000000000000A7 +:1079E0000000000000000000000000000000000097 +:1079F0000000000000000000000000000000000087 +:107A00000000000000000000000000000000000076 +:107A10000000000000000000000000000000000066 +:107A20000000000000000000000000000000000056 +:107A30000000000000000000000000000000000046 +:107A40000000000000000000000000000000000036 +:107A50000000000000000000000000000000000026 +:107A60000000000000000000000000000000000016 +:107A70000000000000000000000000000000000006 +:107A800000000000000000000000000000000000F6 +:107A900000000000000000000000000000000000E6 +:107AA00000000000000000000000000000000000D6 +:107AB00000000000000000000000000000000000C6 +:107AC00000000000000000000000000000000000B6 +:107AD00000000000000000000000000000000000A6 +:107AE0000000000000000000000000000000000096 +:107AF0000000000000000000000000000000000086 +:107B00000000000000000000000000000000000075 +:107B10000000000000000000000000000000000065 +:107B20000000000000000000000000000000000055 +:107B30000000000000000000000000000000000045 +:107B40000000000000000000000000000000000035 +:107B50000000000000000000000000000000000025 +:107B60000000000000000000000000000000000015 +:107B70000000000000000000000000000000000005 +:107B800000000000000000000000000000000000F5 +:107B900000000000000000000000000000000000E5 +:107BA00000000000000000000000000000000000D5 +:107BB00000000000000000000000000000000000C5 +:107BC00000000000000000000000000000000000B5 +:107BD00000000000000000000000000000000000A5 +:107BE0000000000000000000000000000000000095 +:107BF0000000000000000000000000000000000085 +:107C00000000000000000000000000000000000074 +:107C10000000000000000000000000000000000064 +:107C20000000000000000000000000000000000054 +:107C30000000000000000000000000000000000044 +:107C40000000000000000000000000000000000034 +:107C50000000000000000000000000000000000024 +:107C60000000000000000000000000000000000014 +:107C70000000000000000000000000000000000004 +:107C800000000000000000000000000000000000F4 +:107C900000000000000000000000000000000000E4 +:107CA00000000000000000000000000000000000D4 +:107CB00000000000000000000000000000000000C4 +:107CC00000000000000000000000000000000000B4 +:107CD00000000000000000000000000000000000A4 +:107CE0000000000000000000000000000000000094 +:107CF0000000000000000000000000000000000084 +:107D00000000000000000000000000000000000073 +:107D10000000000000000000000000000000000063 +:107D20000000000000000000000000000000000053 +:107D30000000000000000000000000000000000043 +:107D40000000000000000000000000000000000033 +:107D50000000000000000000000000000000000023 +:107D60000000000000000000000000000000000013 +:107D70000000000000000000000000000000000003 +:107D800000000000000000000000000000000000F3 +:107D900000000000000000000000000000000000E3 +:107DA00000000000000000000000000000000000D3 +:107DB00000000000000000000000000000000000C3 +:107DC00000000000000000000000000000000000B3 +:107DD00000000000000000000000000000000000A3 +:107DE0000000000000000000000000000000000093 +:107DF0000000000000000000000000000000000083 +:107E00000000000000000000000000000000000072 +:107E10000000000000000000000000000000000062 +:107E20000000000000000000000000000000000052 +:107E30000000000000000000000000000000000042 +:107E40000000000000000000000000000000000032 +:107E50000000000000000000000000000000000022 +:107E60000000000000000000000000000000000012 +:107E70000000000000000000000000000000000002 +:107E800000000000000000000048000000080000A2 +:107E900000000000000000000000000000000000E2 +:107EA00000000000000000000000000000000000D2 +:107EB00000000000000000000000000000000000C2 +:107EC00000000000000000000000000000000000B2 +:107ED00000000000000000000000000000000000A2 +:107EE0000000000000000000000000000000000092 +:107EF0000000000000000000000000000000000082 +:107F00000000000000000000000000000000000071 +:107F10000000000000000000000000000000000061 +:107F20000000000000000000000000000000000051 +:107F30000000000000000000000000000000000041 +:107F40000000000000000000000000000000000031 +:107F50000000000000000000000000000000000021 +:107F60000000000000000000000000000000000011 +:107F70000000000000000000000000000000000001 +:107F800000000000000000000000000000000000F1 +:107F900000000000000000000000000000000000E1 +:107FA00000000000000000000000000000000000D1 +:107FB00000000000000000000000000000000000C1 +:107FC00000000000000000000000000000000000B1 +:107FD00000000000000000000000000000000000A1 +:107FE0000000000000000000000000000000000091 +:107FF0000000000000000000000000000000000081 +:108000000000000000000000000000000000000070 +:108010000008000000000000000000000000000058 +:108020000000000000000000000000000000000050 +:108030000000000000000000000000000000000040 +:108040000000000000000000000000000000000030 +:108050000000000000000000000000000000000020 +:108060000000000000000000000000000000000010 +:108070000000000000000000000000000000000000 +:1080800000000000000000000000000000000000F0 +:1080900000000000000000000000000000000000E0 +:1080A00000000000000000000000000000000000D0 +:1080B00000000000000000000000000000000000C0 +:1080C00000000000000000000000000000000000B0 +:1080D00000000000000000000000000000000000A0 +:1080E0000000000000000000000000000000000090 +:1080F0000000000000000000000000000000000080 +:10810000000000000000000000000000000000006F +:10811000000000000000000000000000000000005F +:10812000000000000000000000000000000000004F +:10813000000000000000000000000000000000003F +:10814000000000000000000000000000000000002F +:10815000000000000000000000000000000000001F +:10816000000000000000000000000000000000000F +:1081700000000000000000000000000000000000FF +:1081800000000000000000000000000000000000EF +:1081900000000000000000000000000000000000DF +:1081A00000000000000000000000000000000000CF +:1081B00000000000000000000000000000000000BF +:1081C00000000000000000000000000000000000AF +:1081D000000000000000000000000000000000009F +:1081E000000000000000000000000000000000008F +:1081F000000000000000000000000000000000007F +:10820000000000000000000000000000000000006E +:10821000000000000000000000000000000000005E +:10822000000000000000000000000000000000004E +:10823000000000000000000000000000000000003E +:10824000000000000000000000000000000000002E +:10825000000000000000000000000000000000001E +:10826000000000000000000000000000000000000E +:1082700000000000000000000000000000000000FE +:1082800000000000000000000000000000000000EE +:1082900000000000000000000000000000000000DE +:1082A00000000000000000000000000000000000CE +:1082B00000000000000000000000000000000000BE +:1082C00000000000000000000000000000000000AE +:1082D000000000000000000000000000000000009E +:1082E000000000000000000000000000000000008E +:1082F000000000000000000000000000000000007E +:10830000000000000000000000000000000000006D +:10831000000000000000000000000000000000005D +:10832000000000000000000000000000000000004D +:10833000000000000000000000000000000000003D +:10834000000000000000000000000000000000002D +:10835000000000000000000000000000000000001D +:10836000000000000000000000000000000000000D +:1083700000000000000000000000000000000000FD +:1083800000000000000000000000000000000000ED +:1083900000000000000000000000000000000000DD +:1083A00000000000000000000000000000000000CD +:1083B00000000000000000000000000000000000BD +:1083C00000000000000000000000000000000000AD +:1083D000000000000000000000000000000000009D +:1083E000000000000000000000000000000000008D +:1083F000000000000000000000000000000000007D +:10840000000000000000000000000000000000006C +:10841000000000000000000000000000000000005C +:10842000000000000000000000000000000000004C +:10843000000000000000000000000000000000003C +:10844000000000000000000000000000000000002C +:10845000000000000000000000000000000000001C +:10846000000000000000000000000000000000000C +:1084700000000000000000000000000000000000FC +:1084800000000000000000000000000000000000EC +:10849000000000000000000000800000000000005C +:1084A00000000000000000000000000000000000CC +:1084B00000000000000000000000000000000000BC +:1084C00000000000000000000000000000000000AC +:1084D000000000000000000000000000000000009C +:1084E000000000000000000000000000000000008C +:1084F000000000000000000000000000000000007C +:10850000000000000000000000000000000000006B +:10851000000000000000000000000000000000005B +:10852000000000000000000000000000000000004B +:10853000000000000000000000000000000000003B +:10854000000000000000000000000000000000002B +:10855000000000000000000000000000000000001B +:10856000000000000000000000000000000000000B +:1085700000000000000000000000000000000000FB +:1085800000000000000000000000000000000000EB +:1085900000000000000000000000000000000000DB +:1085A00000000000000000000000000000000000CB +:1085B00000000000000000000000000000000000BB +:1085C00000000000000000000000000000000000AB +:1085D000000000000000000000000000000000009B +:1085E000000000000000000000000000000000008B +:1085F000000000000000000000000000000000007B +:10860000000000000000000000000000000000006A +:108610000000000000000000000000000048000012 +:10862000000000000000000000000000000000004A +:10863000000000000000000000000000000000003A +:10864000000000000000000000000000000000002A +:10865000000000000000000000000000000000001A +:10866000000000000000000000000000000000000A +:1086700000000000000000000000000000000000FA +:1086800000000000000000000000000000000000EA +:1086900000000000000000000000000000000000DA +:1086A00000000000000000000000000000000000CA +:1086B00000000000000000000000000000000000BA +:1086C00000000000000000000000000000000000AA +:1086D000000000000000000000000000000000009A +:1086E000000000000000000000000000000000008A +:1086F000000000000000000000000000000000007A +:108700000000000000000000000000000000000069 +:108710000000000000000000000000000000000059 +:108720000000000000000000000000000000000049 +:108730000000000000000000000000000000000039 +:108740000000000000000000000000000000000029 +:108750000000000000000000000000000000000019 +:108760000000000000000000000000000000000009 +:1087700000000000000000000000000000000000F9 +:1087800000000000000000000000000000000000E9 +:1087900000000000000000000000000000000000D9 +:1087A00000000000000000000000000000000000C9 +:1087B00000000000000000000000000000000000B9 +:1087C00000000000000000000000000000000000A9 +:1087D0000000000000000000000000000000000099 +:1087E0000000000000000000000000000000000089 +:1087F0000000000000000000000000000000000079 +:108800000000000000000000000000000000000068 +:108810000000000000000000000000000000000058 +:108820000000000000000000000000000000000048 +:108830000000000000000000000000000000000038 +:108840000000000000000000000000000000000028 +:108850000000000000000000000000000000000018 +:108860000000000000000000000000000000000008 +:1088700000000000000000000000000000000000F8 +:1088800000000000000000000000000000000000E8 +:1088900000000000000000000000000000000000D8 +:1088A00000000000000000000000000000000000C8 +:1088B00000000000000000000000000000000000B8 +:1088C00000000000000000000000000000000000A8 +:1088D0000000000000000000000000000000000098 +:1088E0000000000000000000000000000000000088 +:1088F0000000000000000000000000000000000078 +:108900000000000000000000000000000000000067 +:108910000000000000000000000000000000000057 +:108920000000000000000000000000000000000047 +:108930000000000000000000000000000000000037 +:108940000000000000000000000000000000000027 +:108950000000000000000000000000000000000017 +:108960000000000000000000000000000000000007 +:1089700000000000000000000000000000000000F7 +:1089800000000000000000000000000000000000E7 +:1089900000000000000000000000000000000000D7 +:1089A00000000000000000000000000000000000C7 +:1089B00000000000000000000000000000000000B7 +:1089C00000000000000000000000000000000000A7 +:1089D0000000000000000000000000000000000097 +:1089E0000000000000000000000000000000000087 +:1089F0000000000000000000000000000000000077 +:108A00000000000000000000000000000000000066 +:108A10000000000000000000000000000000000056 +:108A20000000000000000000000000000000000046 +:108A30000000000000000000000000000000000036 +:108A40000000000000000000000000000000000026 +:108A50000000000000000000000000000000000016 +:108A60000000000000000000000000000000000006 +:108A700000000000000000000000000000000000F6 +:108A800000000000000000000000000000000000E6 +:108A900000000000000000000000000000000000D6 +:108AA00000000000000000000000000000000000C6 +:108AB00000000000000000000000000000000000B6 +:108AC00000000000000000000000000000000000A6 +:108AD0000000000000000000000000000000000096 +:108AE0000000000000000000000000000000000086 +:108AF0000000000000000000000000000000000076 +:108B00000000000000000000000000000000000065 +:108B10000000000000000000000000000000000055 +:108B20000000000000000000000000000000000045 +:108B30000000000000000000000000000000000035 +:108B40000000000000000000000000000000000025 +:108B50000000000000000000000000000000000015 +:108B60000000000000000000000000000000000005 +:108B700000000000000000000000000000000000F5 +:108B800000000000000000000000000000000000E5 +:108B900000000000000000000000000000000000D5 +:108BA00000000000000000000000000000000000C5 +:108BB00000000000000000000000000000000000B5 +:108BC00000000000000000000000000000000000A5 +:108BD0000000000000000000000000000000000095 +:108BE0000000000000000000000000000000000085 +:108BF0000000000000000000000000000000000075 +:108C00000000000000000000000000000000000064 +:108C10000000000000000000000000000000000054 +:108C20000000000000000000000000000000000044 +:108C30000000000000000000000000000000000034 +:108C40000000000000000000000000000000000024 +:108C50000000000000000000000000000000000014 +:108C60000000000000000000000000000000000004 +:108C700000000000000000000000000000000000F4 +:108C800000000000000000000000000000000000E4 +:108C900000000000000000000000000000000000D4 +:108CA00000000000000000000000000000000000C4 +:108CB00000000000000000000000000000000000B4 +:108CC00000000000000000000000000000000000A4 +:108CD0000000000000000000000000000000000094 +:108CE0000000000000000000000000000000000084 +:108CF0000000000000000000000000000000000074 +:108D00000000000000000000000000000000000063 +:108D10000000000000000000000000000000000053 +:108D20000000000000000000000000000000000043 +:108D30000000000000000000000000000000000033 +:108D40000000000000000000000000000000000023 +:108D50000000000000000000000000000000000013 +:108D60000000000000000000000000000000000003 +:108D700000000000000000000000000000000000F3 +:108D800000000000000000000000000000000000E3 +:108D900000000000000000000000000000000000D3 +:108DA00000000000000000000000000000000000C3 +:108DB00000000000000000000000000000000000B3 +:108DC00000000000000000000000000000000000A3 +:108DD0000000000000000000000000000000000093 +:108DE0000000000000000000000000000000000083 +:108DF0000000000000000000000000000000000073 +:108E00000000000000000000000000000000000062 +:108E10000000000000000000000000000000000052 +:108E20000000000000000000000000000000000042 +:108E30000000000000000000000000000000000032 +:108E40000000000000000000000000000000000022 +:108E50000000000000000000000000000000000012 +:108E60000000000000000000000000000000000002 +:108E700000000000000000000000000000000000F2 +:108E800000000000000000000000000000000000E2 +:108E900000000000000000000000000000000000D2 +:108EA00000000000000000000000000000000000C2 +:108EB00000000000000000000000000000000000B2 +:108EC00000000000000000000000000000000000A2 +:108ED0000000000000000000000000000000000092 +:108EE0000000000000000000000000000000000082 +:108EF0000000000000000000000000000000000072 +:108F00000000000000000000000000000000000061 +:108F10000000000000000000000000000000000051 +:108F20000000000000000000000000000000000041 +:108F30000000000000000000000000000000000031 +:108F40000000000000000000000000000000000021 +:108F50000000000000000000000000000000000011 +:108F60000000000000000000000000000000000001 +:108F700000000000000000000000000000000000F1 +:108F800000000000000000000000000000000000E1 +:108F900000000000000000000000000000000000D1 +:108FA00000000000000000000000000000000000C1 +:108FB00000000000000000000000000000000000B1 +:108FC00000000000000000000000000000000000A1 +:108FD0000000000000000000000000000000000091 +:108FE0000000000000000000000000000000000081 +:108FF0000000000000000000000000000000000071 +:109000000000000000000000000000000000000060 +:109010000000000000000000000000000000000050 +:109020000000000000000000000000000000000040 +:109030000000000000000000000000000000000030 +:109040000000000000000000000000000000000020 +:109050000000000000000000000000000000000010 +:109060000000000000000000000000000000000000 +:1090700000000000000000000000000000000000F0 +:1090800000000000000000000000000000000000E0 +:1090900000000000000000000000000000000000D0 +:1090A00000000000000000000000000000000000C0 +:1090B00000000000000000000000000000000000B0 +:1090C00000000000000000000000000000000000A0 +:1090D0000000000000000000000000000000000090 +:1090E0000000000000000000000000000000000080 +:1090F0000000000000000000000000000000000070 +:10910000000000000000000000000000000000005F +:10911000000000000000000000000000000000004F +:10912000000000000000000000000000000000003F +:10913000000000000000000000000000000000002F +:10914000000000000000000000000000000000001F +:10915000000000000000000000000000000000000F +:1091600000000000000000000000000000000000FF +:1091700000000000000000000000000000000000EF +:1091800000000000000000000000000000000000DF +:1091900000000000000000000000000000000000CF +:1091A00000000000000000000000000000000000BF +:1091B00000000000000000000000000000000000AF +:1091C000000000000000000000000000000000009F +:1091D000000000000000000000000000000000008F +:1091E000000000000000000000000000000000007F +:1091F000000000000000000000000000000000006F +:10920000000000000000000000000000000000005E +:10921000000000000000000000000000000000004E +:10922000000000000000000000000000000000003E +:10923000000000000000000000000000000000002E +:10924000000000000000000000000000000000001E +:10925000000000000000000000000000000000000E +:1092600000000000000000000000000000000000FE +:1092700000000000000000000000000000000000EE +:1092800000000000000000000000000000000000DE +:1092900000000000000000000000000000000000CE +:1092A00000000000000000000000000000000000BE +:1092B00000000000000000000000000000000000AE +:1092C000000000000000000000000000000000009E +:1092D000000000000000000000000000000000008E +:1092E000000000000000000000000000000000007E +:1092F000000000000000000000000000000000006E +:10930000000000000000000000000000000000005D +:10931000000000000000000000000000000000004D +:10932000000000000000000000000000000000003D +:10933000000000000000000000000000000000002D +:10934000000000000000000000000000000000001D +:10935000000000000000000000000000000000000D +:1093600000000000000000000000000000000000FD +:1093700000000000000000000000000000000000ED +:1093800000000000000000000000000000000000DD +:1093900000000000000000000000000000000000CD +:1093A00000000000000000000000000000000000BD +:1093B00000000000000000000000000000000000AD +:1093C000000000000000000000000000000000009D +:1093D000000000000000000000000000000000008D +:1093E000000000000000000000000000000000007D +:1093F000000000000000000000000000000000006D +:10940000000000000000000000000000000000005C +:10941000000000000000000000000000000000004C +:10942000000000000000000000000000000000003C +:10943000000000000000000000000000000000002C +:10944000000000000000000000000000000000001C +:10945000000000000000000000000000000000000C +:1094600000000000000000000000000000000000FC +:1094700000000000000000000000000000000000EC +:1094800000000000000000000000000000000000DC +:1094900000000000000000000000000000000000CC +:1094A00000000000000000000000000000000000BC +:1094B00000000000000000000000000000000000AC +:1094C000000000000000000000000000000000009C +:1094D000000000000000000000000000000000008C +:1094E000000000000000000000000000000000007C +:1094F000000000000000000000000000000000006C +:10950000000000000000000000000000000000005B +:10951000000000000000000000000000000000004B +:10952000000000000000000000000000000000003B +:10953000000000000000000000000000000000002B +:10954000000000000000000000000000000000001B +:10955000000000000000000000000000000000000B +:1095600000000000000000000000000000000000FB +:1095700000000000000000000000000000000000EB +:1095800000000000000000000000000000000000DB +:1095900000000000000000000000000000000000CB +:1095A00000000000000000000000000000000000BB +:1095B00000000000000000000000000000000000AB +:1095C000000000000000000000000000000000009B +:1095D000000000000000000000000000000000008B +:1095E000000000000000000000000000000000007B +:1095F000000000000000000000000000000000006B +:10960000000000000000000000000000000000005A +:10961000000000000000000000000000000000004A +:10962000000000000000000000000000000000003A +:10963000000000000000000000000000000000002A +:10964000000000000000000000000000000000001A +:10965000000000000000000000000000000000000A +:1096600000000000000000000000000000000000FA +:1096700000000000000000000000000000000000EA +:1096800000000000000000000000000000000000DA +:1096900000000000000000000000000000000000CA +:1096A00000000000000000000000000000000000BA +:1096B00000000000000000000000000000000000AA +:1096C000000000000000000000000000000000009A +:1096D000000000000000000000000000000000008A +:1096E000000000000000000000000000000000007A +:1096F000000000000000000000000000000000006A +:109700000000000000000000000000000000000059 +:109710000000000000000000000000000000000049 +:109720000000000000000000000000000000000039 +:109730000000000000000000000000000000000029 +:109740000000000000000000000000000000000019 +:109750000000000000000000000000000000000009 +:1097600000000000000000000000000000000000F9 +:1097700000000000000000000000000000000000E9 +:1097800000000000000000000000000000000000D9 +:1097900000000000000000000000000000000000C9 +:1097A00000000000000000000000000000000000B9 +:1097B00000000000000000000000000000000000A9 +:1097C0000000000000000000000000000000000099 +:1097D0000000000000000000000000000000000089 +:1097E0000000000000000000000000000000000079 +:1097F0000000000000000000000000000000000069 +:109800000000000000000000000000000000000058 +:109810000000000000000000000000000000000048 +:109820000000000000000000000000000000000038 +:109830000000000000000000000000000000000028 +:109840000000000000000000000000000000000018 +:109850000000000000000000000000000000000008 +:1098600000000000000000000000000000000000F8 +:1098700000000000000000000000000000000000E8 +:1098800000000000000000000000000000000000D8 +:1098900000000000000000000000000000000000C8 +:1098A00000000000000000000000000000000000B8 +:1098B00000000000000000000000000000000000A8 +:1098C0000000000000000000000000000000000098 +:1098D0000000000000000000000000000000000088 +:1098E0000000000000000000000000000000000078 +:1098F0000000000000000000000000000000000068 +:109900000000000000000000000000000000000057 +:109910000000000000000000000000000000000047 +:109920000000000000000000000000000000000037 +:109930000000000000000000000000000000000027 +:109940000000000000000000000000000000000017 +:109950000000000000000000000000000000000007 +:1099600000000000000000000000000000000000F7 +:1099700000000000000000000000000000000000E7 +:1099800000000000000000000000000000000000D7 +:1099900000000000000000000000000000000000C7 +:1099A00000000000000000000000000000000000B7 +:1099B00000000000000000000000000000000000A7 +:1099C0000000000000000000000000000000000097 +:1099D0000000000000000000000000000000000087 +:1099E0000000000000000000000000000000000077 +:1099F0000000000000000000000000000000000067 +:109A00000000000000000000000000000000000056 +:109A10000000000000000000000000000000000046 +:109A20000000000000000000000000000000000036 +:109A30000000000000000000000000000000000026 +:109A40000000000000000000000000000000000016 +:109A50000000000000000000000000000000000006 +:109A600000000000000000000000000000000000F6 +:109A700000000000000000000000000000000000E6 +:109A800000000000000000000000000000000000D6 +:109A900000000000000000000000000000000000C6 +:109AA00000000000000000000000000000000000B6 +:109AB00000000000000000000000000000000000A6 +:109AC0000000000000000000000000000000000096 +:109AD0000000000000000000000000000000000086 +:109AE0000000000000000000000000000000000076 +:109AF0000000000000000000000000000000000066 +:109B00000000000000000000000000000000000055 +:109B10000000000000000000000000000000000045 +:109B20000000000000000000000000000000000035 +:109B30000000000000000000000000000000000025 +:109B40000000000000000000000000000000000015 +:109B50000000000000000000000000000000000005 +:109B600000000000000000000000000000000000F5 +:109B700000000000000000000000000000000000E5 +:109B800000000000000000000000000000000000D5 +:109B900000000000000000000000000000000000C5 +:109BA00000000000000000000000000000000000B5 +:109BB00000000000000000000000000000000000A5 +:109BC0000000000000000000000000000000000095 +:109BD0000000000000000000000000000000000085 +:109BE0000000000000000000000000000000000075 +:109BF0000000000000000000000000000000000065 +:109C00000000000000000000000000000000000054 +:109C10000000000000000000000000000000000044 +:109C20000000000000000000000000000000000034 +:109C30000000000000000000000000000000000024 +:109C40000000000000000000000000000000000014 +:109C50000000000000000000000000000000000004 +:109C600000000000000000000000000000000000F4 +:109C700000000000000000000000000000000000E4 +:109C800000000000000000000000000000000000D4 +:109C900000000000000000000000000000000000C4 +:109CA00000000000000000000000000000000000B4 +:109CB00000000000000000000000000000000000A4 +:109CC0000000000000000000000000000000000094 +:109CD0000000000000000000000000000000000084 +:109CE0000000000000000000000000000000000074 +:109CF0000000000000000000000000000000000064 +:109D00000000000000000000000000000000000053 +:109D10000000000000000000000000000000000043 +:109D20000000000000000000000000000000000033 +:109D30000000000000000000000000000000000023 +:109D40000000000000000000000000000000000013 +:109D50000000000000000000000000000000000003 +:109D600000000000000000000000000000000000F3 +:109D700000000000000000000000000000000000E3 +:109D800000000000000000000000000000000000D3 +:109D900000000000000000000000000000000000C3 +:109DA00000000000000000000000000000000000B3 +:109DB00000000000000000000000000000000000A3 +:109DC0000000000000000000000000000000000093 +:109DD0000000000000000000000000000000000083 +:109DE0000000000000000000000000000000000073 +:109DF0000000000000000000000000000000000063 +:109E00000000000000000000000000000000000052 +:109E10000000000000000000000000000000000042 +:109E20000000000000000000000000000000000032 +:109E30000000000000000000000000000000000022 +:109E40000000000000000000000000000000000012 +:109E50000000000000000000000000000000000002 +:109E600000000000000000000000000000000000F2 +:109E700000000000000000000000000000000000E2 +:109E800000000000000000000000000000000000D2 +:109E900000000000000000000000000000000000C2 +:109EA00000000000000000000000000000000000B2 +:109EB00000000000000000000000000000000000A2 +:109EC0000000000000000000000000000000000092 +:109ED0000000000000000000000000000000000082 +:109EE0000000000000000000000000000000000072 +:109EF0000000000000000000000000000000000062 +:109F00000000000000000000000000000000000051 +:109F10000000000000000000000000000000000041 +:109F20000000000000000000000000000000000031 +:109F30000000000000000000000000000000000021 +:109F40000000000000000000000000000000000011 +:109F50000000000000000000000000000000000001 +:109F600000000000000000000000000000000000F1 +:109F700000000000000000000000000000000000E1 +:109F800000000000000000000000000000000000D1 +:109F900000000000000000000000000000000000C1 +:109FA00000000000000000000000000000000000B1 +:109FB00000000000000000000000000000000000A1 +:109FC0000000000000000000000000000000000091 +:109FD0000000000000000000000000000000000081 +:109FE0000000000000000000000000000000000071 +:109FF0000000000000000000000000000000000061 +:10A000000000000000000000000000000000000050 +:10A010000000000000000000000000000000000040 +:10A020000000000000000000000000000000000030 +:10A030000000000000000000000000000000000020 +:10A040000000000000000000000000000000000010 +:10A050000000000000000000000000000000000000 +:10A0600000000000000000000000000000000000F0 +:10A0700000000000000000000000000000000000E0 +:10A0800000000000000000000000000000000000D0 +:10A0900000000000000000000000000000000000C0 +:10A0A00000000000000000000000000000000000B0 +:10A0B00000000000000000000000000000000000A0 +:10A0C0000000000000000000000000000000000090 +:10A0D0000000000000000000000000000000000080 +:10A0E0000000000000000000000000000000000070 +:10A0F0000000000000000000000000000000000060 +:10A10000000000000000000000000000000000004F +:10A11000000000000000000000000000000000003F +:10A12000000000000000000000000000000000002F +:10A13000000000000000000000000000000000001F +:10A14000000000000000000000000000000000000F +:10A1500000000000000000000000000000000000FF +:10A1600000000000000000000000000000000000EF +:10A1700000000000000000000000000000000000DF +:10A1800000000000000000000000000000000000CF +:10A1900000000000000000000000000000000000BF +:10A1A00000000000000000000000000000000000AF +:10A1B000000000000000000000000000000000009F +:10A1C000000000000000000000000000000000008F +:10A1D000000000000000000000000000000000007F +:10A1E000000000000000000000000000000000006F +:10A1F000000000000000000000000000000000005F +:10A20000000000000000000000000000000000004E +:10A21000000000000000000000000000000000003E +:10A22000000000000000000000000000000000002E +:10A23000000000000000000000000000000000001E +:10A24000000000000000000000000000000000000E +:10A2500000000000000000000000000000000000FE +:10A2600000000000000000000000000000000000EE +:10A2700000000000000000000000000000000000DE +:10A2800000000000000000000000000000000000CE +:10A2900000000000000000000000000000000000BE +:10A2A00000000000000000000000000000000000AE +:10A2B000000000000000000000000000000000009E +:10A2C000000000000000000000000000000000008E +:10A2D000000000000000000000000000000000007E +:10A2E000000000000000000000000000000000006E +:10A2F000000000000000000000000000000000005E +:10A30000000000000000000000000000000000004D +:10A31000000000000000000000000000000000003D +:10A32000000000000000000000000000000000002D +:10A33000000000000000000000000000000000001D +:10A34000000000000000000000000000000000000D +:10A3500000000000000000000000000000000000FD +:10A3600000000000000000000000000000000000ED +:10A3700000000000000000000000000000000000DD +:10A3800000000000000000000000000000000000CD +:10A3900000000000000000000000000000000000BD +:10A3A00000000000000000000000000000000000AD +:10A3B000000000000000000000000000000000009D +:10A3C000000000000000000000000000000000008D +:10A3D000000000000000000000000000000000007D +:10A3E000000000000000000000000000000000006D +:10A3F000000000000000000000000000000000005D +:10A40000000000000000000000000000000000004C +:10A41000000000000000000000000000000000003C +:10A42000000000000000000000000000000000002C +:10A43000000000000000000000000000000000001C +:10A44000000000000000000000000000000000000C +:10A4500000000000000000000000000000000000FC +:10A4600000000000000000000000000000000000EC +:10A4700000000000000000000000000000000000DC +:10A4800000000000000000000000000000000000CC +:10A4900000000000000000000000000000000000BC +:10A4A00000000000000000000000000000000000AC +:10A4B000000000000000000000000000000000009C +:10A4C000000000000000000000000000000000008C +:10A4D000000000000000000000000000000000007C +:10A4E000000000000000000000000000000000006C +:10A4F000000000000000000000000000000000005C +:10A50000000000000000000000000000000000004B +:10A51000000000000000000000000000000000003B +:10A52000000000000000000000000000000000002B +:10A53000000000000000000000000000000000001B +:10A54000000000000000000000000000000000000B +:10A5500000000000000000000000000000000000FB +:10A5600000000000000000000000000000000000EB +:10A5700000000000000000000000000000000000DB +:10A5800000000000000000000000000000000000CB +:10A5900000000000000000000000000000000000BB +:10A5A00000000000000000000000000000000000AB +:10A5B000000000000000000000000000000000009B +:10A5C000000000000000000000000000000000008B +:10A5D000000000000000000000000000000000007B +:10A5E000000000000000000000000000000000006B +:10A5F000000000000000000000000000000000005B +:10A60000000000000000000000000000000000004A +:10A61000000000000000000000000000000000003A +:10A62000000000000000000000000000000000002A +:10A63000000000000000000000000000000000001A +:10A64000000000000000000000000000000000000A +:10A6500000000000000000000000000000000000FA +:10A6600000000000000000000000000000000000EA +:10A6700000000000000000000000000000000000DA +:10A6800000000000000000000000000000000000CA +:10A6900000000000000000000000000000000000BA +:10A6A00000000000000000000000000000000000AA +:10A6B000000000000000000000000000000000009A +:10A6C000000000000000000000000000000000008A +:10A6D000000000000000000000000000000000007A +:10A6E000000000000000000000000000000000006A +:10A6F000000000000000000000000000000000005A +:10A700000000000000000000000000000000000049 +:10A710000000000000000000000000000000000039 +:10A720000000000000000000000000000000000029 +:10A730000000000000000000000000000000000019 +:10A740000000000000000000000000000000000009 +:10A7500000000000000000000000000000000000F9 +:10A7600000000000000000000000000000000000E9 +:10A7700000000000000000000000000000000000D9 +:10A7800000000000000000000000000000000000C9 +:10A7900000000000000000000000000000000000B9 +:10A7A00000000000000000000000000000000000A9 +:10A7B0000000000000000000000000000000000099 +:10A7C0000000000000000000000000000000000089 +:10A7D0000000000000000000000000000000000079 +:10A7E0000000000000000000000000000000000069 +:10A7F0000000000000000000000000000000000059 +:10A800000000000000000000000000000000000048 +:10A810000000000000000000000000000000000038 +:10A820000000000000000000000000000000000028 +:10A830000000000000000000000000000000000018 +:10A840000000000000000000000000000000000008 +:10A8500000000000000000000000000000000000F8 +:10A8600000000000000000000000000000000000E8 +:10A8700000000000000000000000000000000000D8 +:10A8800000000000000000000000000000000000C8 +:10A8900000000000000000000000000000000000B8 +:10A8A00000000000000000000000000000000000A8 +:10A8B0000000000000000000000000000000000098 +:10A8C0000000000000000000000000000000000088 +:10A8D0000000000000000000000000000000000078 +:10A8E0000000000000000000000000000000000068 +:10A8F0000000000000000000000000000000000058 +:10A900000000000000000000000000000000000047 +:10A910000000000000000000000000000000000037 +:10A920000000000000000000000000000000000027 +:10A930000000000000000000000000000000000017 +:10A940000000000000000000000000000000000007 +:10A9500000000000000000000000000000000000F7 +:10A9600000000000000000000000000000000000E7 +:10A9700000000000000000000000000000000000D7 +:10A9800000000000000000000000000000000000C7 +:10A9900000000000000000000000000000000000B7 +:10A9A00000000000000000000000000000000000A7 +:10A9B0000000000000000000000000000000000097 +:10A9C0000000000000000000000000000000000087 +:10A9D0000000000000000000000000000000000077 +:10A9E0000000000000000000000000000000000067 +:10A9F0000000000000000000000000000000000057 +:10AA00000000000000000000000000000000000046 +:10AA10000000000000000000000000000000000036 +:10AA20000000000000000000000000000000000026 +:10AA30000000000000000000000000000000000016 +:10AA40000000000000000000000000000000000006 +:10AA500000000000000000000000000000000000F6 +:10AA600000000000000000000000000000000000E6 +:10AA700000000000000000000000000000000000D6 +:10AA800000000000000000000000000000000000C6 +:10AA900000000000000000000000000000000000B6 +:10AAA00000000000000000000000000000000000A6 +:10AAB0000000000000000000000000000000000096 +:10AAC0000000000000000000000000000000000086 +:10AAD0000000000000000000000000000000000076 +:10AAE0000000000000000000000000000000000066 +:10AAF0000000000000000000000000000000000056 +:10AB00000000000000000000000000000000000045 +:10AB10000000000000000000000000000000000035 +:10AB20000000000000000000000000000000000025 +:10AB30000000000000000000000000000000000015 +:10AB40000000000000000000000000000000000005 +:10AB500000000000000000000000000000000000F5 +:10AB600000000000000000000000000000000000E5 +:10AB700000000000000000000000000000000000D5 +:10AB800000000000000000000000000000000000C5 +:10AB900000000000000000000000000000000000B5 +:10ABA00000000000000000000000000000000000A5 +:10ABB0000000000000000000000000000000000095 +:10ABC0000000000000000000000000000000000085 +:10ABD0000000000000000000000000000000000075 +:10ABE0000000000000000000000000000000000065 +:10ABF0000000000000000000000000000000000055 +:10AC00000000000000000000000000000000000044 +:10AC10000000000000000000000000000000000034 +:10AC20000000000000000000000000000000000024 +:10AC30000000000000000000000000000000000014 +:10AC40000000000000000000000000000000000004 +:10AC500000000000000000000000000000000000F4 +:10AC600000000000000000000000000000000000E4 +:10AC700000000000000000000000000000000000D4 +:10AC800000000000000000000000000000000000C4 +:10AC900000000000000000000000000000000000B4 +:10ACA00000000000000000000000000000000000A4 +:10ACB0000000000000000000000000000000000094 +:10ACC0000000000000000000000000000000000084 +:10ACD0000000000000000000000000000000000074 +:10ACE0000000000000000000000000000000000064 +:10ACF0000000000000000000000000000000000054 +:10AD00000000000000000000000000000000000043 +:10AD10000000000000000000000000000000000033 +:10AD20000000000000000000000000000000000023 +:10AD30000000000000000000000000000000000013 +:10AD40000000000000000000000000000000000003 +:10AD500000000000000000000000000000000000F3 +:10AD600000000000000000000000000000000000E3 +:10AD700000000000000000000000000000000000D3 +:10AD800000000000000000000000000000000000C3 +:10AD900000000000000000000000000000000000B3 +:10ADA00000000000000000000000000000000000A3 +:10ADB0000000000000000000000000000000000093 +:10ADC0000000000000000000000000000000000083 +:10ADD0000000000000000000000000000000000073 +:10ADE0000000000000000000000000000000000063 +:10ADF0000000000000000000000000000000000053 +:10AE00000000000000000000000000000000000042 +:10AE10000000000000000000000000000000000032 +:10AE20000000000000000000000000000000000022 +:10AE30000000000000000000000000000000000012 +:10AE40000000000000000000000000000000000002 +:10AE500000000000000000000000000000000000F2 +:10AE600000000000000000000000000000000000E2 +:10AE700000000000000000000000000000000000D2 +:10AE800000000000000000000000000000000000C2 +:10AE900000000000000000000000000000000000B2 +:10AEA00000000000000000000000000000000000A2 +:10AEB0000000000000000000000000000000000092 +:10AEC0000000000000000000000000000000000082 +:10AED0000000000000000000000000000000000072 +:10AEE0000000000000000000000000000000000062 +:10AEF0000000000000000000000000000000000052 +:10AF00000000000000000000000000000000000041 +:10AF10000000000000000000000000000000000031 +:10AF20000000000000000000000000000000000021 +:10AF30000000000000000000000000000000000011 +:10AF40000000000000000000000000000000000001 +:10AF500000000000000000000000000000000000F1 +:10AF600000000000000000000000000000000000E1 +:10AF700000000000000000000000000000000000D1 +:10AF800000000000000000000000000000000000C1 +:10AF900000000000000000000000000000000000B1 +:10AFA00000000000000000000000000000000000A1 +:10AFB0000000000000000000000000000000000091 +:10AFC0000000000000000000000000000000000081 +:10AFD0000000000000000000000000000000000071 +:10AFE0000000000000000000000000000000000061 +:10AFF0000000000000000000000000000000000051 +:10B000000000000000000000000000000000000040 +:10B010000000000000000000000000000000000030 +:10B020000000000000000000000000000000000020 +:10B030000000000000000000000000000000000010 +:10B040000000000000000000000000000000000000 +:10B0500000000000000000000000000000000000F0 +:10B0600000000000000000000000000000000000E0 +:10B0700000000000000000000000000000000000D0 +:10B0800000000000000000000000000000000000C0 +:10B0900000000000000000000000000000000000B0 +:10B0A00000000000000000000000000000000000A0 +:10B0B0000000000000000000000000000000000090 +:10B0C0000000000000000000000000000000000080 +:10B0D0000000000000000000000000000000000070 +:10B0E0000000000000000000000000000000000060 +:10B0F0000000000000000000000000000000000050 +:10B10000000000000000000000000000000000003F +:10B11000000000000000000000000000000000002F +:10B12000000000000000000000000000000000001F +:10B13000000000000000000000000000000000000F +:10B1400000000000000000000000000000000000FF +:10B1500000000000000000000000000000000000EF +:10B1600000000000000000000000000000000000DF +:10B1700000000000000000000000000000000000CF +:10B1800000000000000000000000000000000000BF +:10B1900000000000000000000000000000000000AF +:10B1A000000000000000000000000000000000009F +:10B1B000000000000000000000000000000000008F +:10B1C000000000000000000000000000000000007F +:10B1D000000000000000000000000000000000006F +:10B1E000000000000000000000000000000000005F +:10B1F000000000000000000000000000000000004F +:10B20000000000000000000000000000000000003E +:10B21000000000000000000000000000000000002E +:10B22000000000000000000000000000000000001E +:10B23000000000000000000000000000000000000E +:10B2400000000000000000000000000000000000FE +:10B2500000000000000000000000000000000000EE +:10B2600000000000000000000000000000000000DE +:10B2700000000000000000000000000000000000CE +:10B2800000000000000000000000000000000000BE +:10B2900000000000000000000000000000000000AE +:10B2A000000000000000000000000000000000009E +:10B2B000000000000000000000000000000000008E +:10B2C000000000000000000000000000000000007E +:10B2D000000000000000000000000000000000006E +:10B2E000000000000000000000000000000000005E +:10B2F000000000000000000000000000000000004E +:10B30000000000000000000000000000000000003D +:10B31000000000000000000000000000000000002D +:10B32000000000000000000000000000000000001D +:10B33000000000000000000000000000000000000D +:10B3400000000000000000000000000000000000FD +:10B3500000000000000000000000000000000000ED +:10B3600000000000000000000000000000000000DD +:10B3700000000000000000000000000000000000CD +:10B3800000000000000000000000000000000000BD +:10B3900000000000000000000000000000000000AD +:10B3A000000000000000000000000000000000009D +:10B3B000000000000000000000000000000000008D +:10B3C000000000000000000000000000000000007D +:10B3D000000000000000000000000000000000006D +:10B3E000000000000000000000000000000000005D +:10B3F000000000000000000000000000000000004D +:10B40000000000000000000000000000000000003C +:10B41000000000000000000000000000000000002C +:10B42000000000000000000000000000000000001C +:10B43000000000000000000000000000000000000C +:10B4400000000000000000000000000000000000FC +:10B4500000000000000000000000000000000000EC +:10B4600000000000000000000000000000000000DC +:10B4700000000000000000000000000000000000CC +:10B4800000000000000000000000000000000000BC +:10B4900000000000000000000000000000000000AC +:10B4A000000000000000000000000000000000009C +:10B4B000000000000000000000000000000000008C +:10B4C000000000000000000000000000000000007C +:10B4D000000000000000000000000000000000006C +:10B4E000000000000000000000000000000000005C +:10B4F000000000000000000000000000000000004C +:10B50000000000000000000000000000000000003B +:10B51000000000000000000000000000000000002B +:10B52000000000000000000000000000000000001B +:10B53000000000000000000000000000000000000B +:10B5400000000000000000000000000000000000FB +:10B5500000000000000000000000000000000000EB +:10B5600000000000000000000000000000000000DB +:10B5700000000000000000000000000000000000CB +:10B5800000000000000000000000000000000000BB +:10B5900000000000000000000000000000000000AB +:10B5A000000000000000000000000000000000009B +:10B5B000000000000000000000000000000000008B +:10B5C000000000000000000000000000000000007B +:10B5D000000000000000000000000000000000006B +:10B5E000000000000000000000000000000000005B +:10B5F000000000000000000000000000000000004B +:10B60000000000000000000000000000000000003A +:10B61000000000000000000000000000000000002A +:10B62000000000000000000000000000000000001A +:10B63000000000000000000000000000000000000A +:10B6400000000000000000000000000000000000FA +:10B6500000000000000000000000000000000000EA +:10B6600000000000000000000000000000000000DA +:10B6700000000000000000000000000000000000CA +:10B6800000000000000000000000000000000000BA +:10B6900000000000000000000000000000000000AA +:10B6A000000000000000000000000000000000009A +:10B6B000000000000000000000000000000000008A +:10B6C000000000000000000000000000000000007A +:10B6D000000000000000000000000000000000006A +:10B6E000000000000000000000000000000000005A +:10B6F000000000000000000000000000000000004A +:10B700000000000000000000000000000000000039 +:10B710000000000000000000000000000000000029 +:10B720000000000000000000000000000000000019 +:10B730000000000000000000000000000000000009 +:10B7400000000000000000000000000000000000F9 +:10B7500000000000000000000000000000000000E9 +:10B7600000000000000000000000000000000000D9 +:10B7700000000000000000000000000000000000C9 +:10B7800000000000000000000000000000000000B9 +:10B7900000000000000000000000000000000000A9 +:10B7A0000000000000000000000000000000000099 +:10B7B0000000000000000000000000000000000089 +:10B7C0000000000000000000000000000000000079 +:10B7D0000000000000000000000000000000000069 +:10B7E0000000000000000000000000000000000059 +:10B7F0000000000000000000000000000000000049 +:10B800000000000000000000000000000000000038 +:10B810000000000000000000000000000000000028 +:10B8200000480000000000000000000000000000D0 +:10B830000000000000000000000000000000000008 +:10B8400000000000000000000000000000000000F8 +:10B8500000000000000000000000000000000000E8 +:10B8600000000000000000000000000000000000D8 +:10B8700000000000000000000000000000000000C8 +:10B8800000000000000000000000000000000000B8 +:10B8900000000000000000000000000000000000A8 +:10B8A0000000000000000000000000000000000098 +:10B8B0000000000000000000000000000000000088 +:10B8C0000000000000000000000000000000000078 +:10B8D0000000000000000000000000000000000068 +:10B8E0000000000000000000000000000000000058 +:10B8F0000000000000000000000000000000000048 +:10B900000000000000000000000000000000000037 +:10B910000000000000000000000000000000000027 +:10B920000000000000000000000000000000000017 +:10B930000000000000000000000000000000000007 +:10B9400000000000000000000000000000000000F7 +:10B9500000000000000000000000000000000000E7 +:10B9600000000000000000000000000000000000D7 +:10B9700000000000000000000000000000000000C7 +:10B9800000000000000000000000000000000000B7 +:10B9900000000000000000000000000000000000A7 +:10B9A000000000000000000008000000000000008F +:10B9B0000000000000000000008000000000000007 +:10B9C0000000000000000000000000000000000077 +:10B9D0000000000000000000000000000000000067 +:10B9E0000000000000000000000000000000000057 +:10B9F0000000000000000000000000000000000047 +:10BA00000000000000000000000000000000000036 +:10BA10000000000000000000000000000000000026 +:10BA20000000000000000000000000000000000016 +:10BA30000000000000000000000000000000000006 +:10BA400000000000000000000000000000000000F6 +:10BA500000000000000000000000000000000000E6 +:10BA600000000000000000000000000000000000D6 +:10BA700000000000000000000000000000000000C6 +:10BA800000000000000000000000000000000000B6 +:10BA900000000000000000000000000000000000A6 +:10BAA0000000000000000000000000000000000096 +:10BAB0000000000000000000000000000000000086 +:10BAC0000000000000000000000000000000000076 +:10BAD0000000000000000000000000000000000066 +:10BAE0000000000000000000000000000000000056 +:10BAF0000000000000000000000000000000000046 +:10BB00000000000000000000000000000000000035 +:10BB10000000000000000000000000000000000025 +:10BB20000000000000000000000000000100000014 +:10BB30000000000000000000000000000000000005 +:10BB400000000000000000000000000000000000F5 +:10BB500000000000000000000000000000000000E5 +:10BB600000000000000000000000000000000000D5 +:10BB700000000000000000000000000000000000C5 +:10BB800000000000000000000000000000000000B5 +:10BB900000000000000000000000000000000000A5 +:10BBA0000000000000000000000000000000000095 +:10BBB0000000000000000000000000000000000085 +:10BBC0000000000000000000000000000000000075 +:10BBD0000000000000000000000000000000000065 +:10BBE0000000000000000000000000000000000055 +:10BBF0000000000000000000000000000000000045 +:10BC00000000000000000000000000000000000034 +:10BC10000000000000000000000000000000000024 +:10BC20000000000000000000000000000000000014 +:10BC30000000000000000000000000000000000004 +:10BC400000000000000000000000000000000000F4 +:10BC500000000000000000000000000000000000E4 +:10BC600000000000000000000000000000000000D4 +:10BC700000000000000000000000000000000000C4 +:10BC800000000000000000000000000000000000B4 +:10BC900000000000000000000000000000000000A4 +:10BCA0000000000000000000000000000000000094 +:10BCB0000000000000000000000000000000000084 +:10BCC0000000000000000000000000000000000074 +:10BCD0000000000000000000000000000000000064 +:10BCE0000000000000000000000000000000000054 +:10BCF0000000000000000000000000000000000044 +:10BD00000000000000000000000000000000000033 +:10BD10000000000000000000000000000000000023 +:10BD20000000000000000000000000000000000013 +:10BD30000000000000000000000000000000000003 +:10BD400000000000000000000000000000000000F3 +:10BD500000000000000000000000000000000000E3 +:10BD600000000000000000000000000000000000D3 +:10BD700000000000000000000000000000000000C3 +:10BD800000000000000000000000000000000000B3 +:10BD900000000000000000000000000000000000A3 +:10BDA0000000000000000000000000000000000093 +:10BDB0000000000000000000000000000000000083 +:10BDC0000000000000000000000000000000000073 +:10BDD0000000000000000000000000000000000063 +:10BDE0000000000000000000000000000000000053 +:10BDF0000000000000000000000000000000000043 +:10BE00000000000000000000000000000000000032 +:10BE10000000000000000000000000000000000022 +:10BE20000000000000000000000000000000000012 +:10BE30000080000000000000000000000000000082 +:10BE400000000000000000000000000000000000F2 +:10BE500000000000000000000000000000000000E2 +:10BE600000000000000000000000000000000000D2 +:10BE700000000000000000000000000000000000C2 +:10BE800000000000000000000000000000000000B2 +:10BE900000000000000000000000000000000000A2 +:10BEA0000000000000000000000000000000000092 +:10BEB0000000000000000000000000000000000082 +:10BEC0000000000000000000000000000000000072 +:10BED0000000000000000000000000000000000062 +:10BEE0000000000000000000000000000000000052 +:10BEF0000000000000000000000000000000000042 +:10BF00000000000000000000000000000000000031 +:10BF10000000000000000000000000000000000021 +:10BF20000000000000000000000000000000000011 +:10BF30000000000000000000000000000000000001 +:10BF400000000000000000000000000000000000F1 +:10BF500000000000000000000000000000000000E1 +:10BF600000000000000000000000000000000000D1 +:10BF700000000000000000000000000000000000C1 +:10BF800000000000000000000000000000000000B1 +:10BF900000000000000000000000000000000000A1 +:10BFA0000000000000000000000000000000000091 +:10BFB0000000000000480000000000000000000039 +:10BFC0000000000000000000000000000000000071 +:10BFD0000000000000000000000000000000000061 +:10BFE0000000000000000000000000000000000051 +:10BFF0000000000000000000000000000000000041 +:10C000000000000000000000000000000000000030 +:10C010000000000000000000000000000000000020 +:10C020000000000000000000000000000000000010 +:10C030000000000000000000000000000000000000 +:10C0400000000000000000000000000000000000F0 +:10C0500000000000000000000000000000000000E0 +:10C0600000000000000000000000000000000000D0 +:10C0700000000000000000000000000000000000C0 +:10C0800000000000000000000000000000000000B0 +:10C0900000000000000000000000000000000000A0 +:10C0A0000000000000000000000000000000000090 +:10C0B0000000000000000000000000000000000080 +:10C0C0000000000000000000000000000000000070 +:10C0D0000000000000000000000000000000000060 +:10C0E0000000000000000000000000000000000050 +:10C0F0000000000000000000000000000000000040 +:10C10000000000000000000000000000000000002F +:10C11000000000000000000000000000000000001F +:10C12000000000000000000000000000000000000F +:10C1300000000000000000000000000000000000FF +:10C1400000000000000000000000000000000000EF +:10C1500000000000000000000000000000000000DF +:10C1600000000000000000000000000000000000CF +:10C1700000000000000000000000000000000000BF +:10C1800000000000000000000000000000000000AF +:10C19000000000000000000000000000000000009F +:10C1A000000000000000000000000000000000008F +:10C1B000000000000000000000000000000000007F +:10C1C000000000000000000000000000000000006F +:10C1D000000000000000000000000000000000005F +:10C1E000000000000000000000000000000000004F +:10C1F000000000000000000000000000000000003F +:10C20000000000000000000000000000000000002E +:10C21000000000000000000000000000000000001E +:10C22000000000000000000000000000000000000E +:10C2300000000000000000000000000000000000FE +:10C2400000000000000000000000000000000000EE +:10C2500000000000000000000000000000000000DE +:10C2600000000000000000000000000000000000CE +:10C2700000000000000000000000000000000000BE +:10C2800000000000000000000000000000000000AE +:10C29000000000000000000000000000000000009E +:10C2A000000000000000000000000000000000008E +:10C2B000000000000000000000000000000000007E +:10C2C000000000000000000000000000000000006E +:10C2D000000000000000000000000000000000005E +:10C2E000000000000000000000000000000000004E +:10C2F000000000000000000000000000000000003E +:10C30000000000000000000000000000000000002D +:10C31000000000000000000000000000000000001D +:10C32000000000000000000000000000000000000D +:10C3300000000000000000000000000000000000FD +:10C3400000000000000000000000000000000000ED +:10C3500000000000000000000000000000000000DD +:10C3600000000000000000000000000000000000CD +:10C3700000000000000000000000000000000000BD +:10C3800000000000000000000000000000000000AD +:10C39000000000000000000000000000000000009D +:10C3A000000000000000000000000000000000008D +:10C3B000000000000000000000000000000000007D +:10C3C000000000000000000000000000000000006D +:10C3D000000000000000000000000000000000005D +:10C3E000000000000000000000000000000000004D +:10C3F000000000000000000000000000000000003D +:10C40000000000000000000000000000000000002C +:10C41000000000000000000000000000000000001C +:10C42000000000000000000000000000000000000C +:10C4300000000000000000000000000000000000FC +:10C4400000000000000000000000000000000000EC +:10C4500000000000000000000000000000000000DC +:10C4600000000000000000000000000000000000CC +:10C4700000000000000000000000000000000000BC +:10C4800000000000000000000000000000000000AC +:10C49000000000000000000000000000000000009C +:10C4A000000000000000000000000000000000008C +:10C4B000000000000000000000000000000000007C +:10C4C000000000000000000000000000000000006C +:10C4D000000000000000000000000000000000005C +:10C4E000000000000000000000000000000000004C +:10C4F000000000000000000000000000000000003C +:10C50000000000000000000000000000000000002B +:10C51000000000000000000000000000000000001B +:10C52000000000000000000000000000000000000B +:10C5300000000000000000000000000000000000FB +:10C5400000000000000000000000000000000000EB +:10C5500000000000000000000000000000000000DB +:10C5600000000000000000000000000000000000CB +:10C5700000000000000000000000000000000000BB +:10C5800000000000000000000000000000000000AB +:10C59000000000000000000000000000000000009B +:10C5A000000000000000000000000000000000008B +:10C5B000000000000000000000000000000000007B +:10C5C000000000000000000000000000000000006B +:10C5D000000000000000000000000000000000005B +:10C5E000000000000000000000000000000000004B +:10C5F000000000000000000000000000000000003B +:10C60000000000000000000000000000000000002A +:10C61000000000000000000000000000000000001A +:10C62000000000000000000000000000000000000A +:10C6300000000000000000000000000000000000FA +:10C6400000000000000000000000000000000000EA +:10C6500000000000000000000000000000000000DA +:10C6600000000000000000000000000000000000CA +:10C6700000000000000000000000000000000000BA +:10C6800000000000000000000000000000000000AA +:10C69000000000000000000000000000000000009A +:10C6A000000000000000000000000000000000008A +:10C6B000000000000000000000000000000000007A +:10C6C000000000000000000000000000000000006A +:10C6D000000000000000000000000000000000005A +:10C6E000000000000000000000000000000000004A +:10C6F000000000000000000000000000000000003A +:10C700000000000000000000000000000000000029 +:10C710000000000000000000000000000000000019 +:10C720000000000000000000000000000000000009 +:10C7300000000000000000000000000000000000F9 +:10C7400000000000000000000000000000000000E9 +:10C7500000000000000000000000000000000000D9 +:10C7600000000000000000000000000000000000C9 +:10C7700000000000000000000000000000000000B9 +:10C7800000000000000000000000000000000000A9 +:10C790000000000000000000000000000000000099 +:10C7A0000000000000000000000000000000000089 +:10C7B0000000000000000000000000000000000079 +:10C7C0000000000000000000000000000000000069 +:10C7D0000000000000000000000000000000000059 +:10C7E0000000000000000000000000000000000049 +:10C7F0000000000000000000000000000000000039 +:10C800000000000000000000000000000000000028 +:10C810000000000000000000000000000000000018 +:10C820000000000000000000000000000000000008 +:10C8300000000000000000000000000000000000F8 +:10C8400000000000000000000000000000000000E8 +:10C8500000000000000000000000000000000000D8 +:10C8600000000000000000000000000000000000C8 +:10C8700000000000000000000000000000000000B8 +:10C8800000000000000000000000000000000000A8 +:10C890000000000000000000000000000000000098 +:10C8A0000000000000000000000000000000000088 +:10C8B0000000000000000000000000000000000078 +:10C8C0000000000000000000000000000000000068 +:10C8D0000000000000000000000000000000000058 +:10C8E0000000000000000000000000000000000048 +:10C8F0000000000000000000000000000000000038 +:10C900000000000000000000000000000000000027 +:10C910000000000000000000000000000000000017 +:10C920000000000000000000000000000000000007 +:10C9300000000000000000000000000000000000F7 +:10C9400000000000000000000000000000000000E7 +:10C9500000000000000000000000000000000000D7 +:10C9600000000000000000000000000000000000C7 +:10C9700000000000000000000000000000000000B7 +:10C9800000000000000000000000000000000000A7 +:10C990000000000000000000000000000000000097 +:10C9A0000000000000000000000000000000000087 +:10C9B0000000000000000000000000000000000077 +:10C9C0000000000000000000000000000000000067 +:10C9D0000000000000000000000000000000000057 +:10C9E0000000000000000000000000000000000047 +:10C9F0000000000000000000000000000000000037 +:10CA00000000000000000000000000000000000026 +:10CA10000000000000000000000000000000000016 +:10CA20000000000000000000000000000000000006 +:10CA300000000000000000000000000000000000F6 +:10CA400000000000000000000000000000000000E6 +:10CA500000000000000000000000000000000000D6 +:10CA600000000000000000000000000000000000C6 +:10CA700000000000000000000000000000000000B6 +:10CA800000000000000000000000000000000000A6 +:10CA90000000000000000000000000000000000096 +:10CAA0000000000000000000000000000000000086 +:10CAB0000000000000000000000000000000000076 +:10CAC0000000000000000000000000000000000066 +:10CAD0000000000000000000000000000000000056 +:10CAE0000000000000000000000000000000000046 +:10CAF0000000000000000000000000000000000036 +:10CB00000000000000000000000000000000000025 +:10CB10000000000000000000000000000000000015 +:10CB20000000000000000000000000000000000005 +:10CB300000000000000000000000000000000000F5 +:10CB400000000000000000000000000000000000E5 +:10CB500000000000000000000000000000000000D5 +:10CB600000000000000000000000000000000000C5 +:10CB700000000000000000000000000000000000B5 +:10CB800000000000000000000000000000000000A5 +:10CB90000000000000000000000000000000000095 +:10CBA0000000000000000000000000000000000085 +:10CBB0000000000000000000000000000000000075 +:10CBC0000000000000000000000000000000000065 +:10CBD0000000000000000000000000000000000055 +:10CBE0000000000000000000000000000000000045 +:10CBF0000000000000000000000000000000000035 +:10CC00000000000000000000000000000000000024 +:10CC10000000000000000000000000000000000014 +:10CC20000000000000000000000000000000000004 +:10CC300000000000000000000000000000000000F4 +:10CC400000000000000000000000000000000000E4 +:10CC500000000000000000000000000000000000D4 +:10CC600000000000000000000000000000000000C4 +:10CC700000000000000000000000000000000000B4 +:10CC800000000000000000000000000000000000A4 +:10CC90000000000000000000000000000000000094 +:10CCA0000000000000000000000000000000000084 +:10CCB0000000000000000000000000000000000074 +:10CCC0000000000000000000000000000000000064 +:10CCD0000000000000000000000000000000000054 +:10CCE0000000000000000000000000000000000044 +:10CCF0000000000000000000000000000000000034 +:10CD00000000000000000000000000000000000023 +:10CD10000000000000000000000000000000000013 +:10CD20000000000000000000000000000000000003 +:10CD300000000000000000000000000000000000F3 +:10CD400000000000000000000000000000000000E3 +:10CD500000000000000000000000000000000000D3 +:10CD600000000000000000000000000000000000C3 +:10CD700000000000000000000000000000000000B3 +:10CD800000000000000000000000000000000000A3 +:10CD90000000000000000000000000000000000093 +:10CDA0000000000000000000000000000000000083 +:10CDB0000000000000000000000000000000000073 +:10CDC0000000000000000000000000000000000063 +:10CDD0000000000000000000000000000000000053 +:10CDE0000000000000000000000000000000000043 +:10CDF0000000000000000000000000000000000033 +:10CE00000000000000000000000000000000000022 +:10CE10000000000000000000000000000000000012 +:10CE20000000000000000000000000000000000002 +:10CE300000000000000000000000000000000000F2 +:10CE400000000000000000000000000000000000E2 +:10CE500000000000000000000000000000000000D2 +:10CE600000000000000000000000000000000000C2 +:10CE700000000000000000000000000000000000B2 +:10CE800000000000000000000000000000000000A2 +:10CE90000000000000000000000000000000000092 +:10CEA0000000000000000000000000000000000082 +:10CEB0000000000000000000000000000000000072 +:10CEC0000000000000000000000000000000000062 +:10CED0000000000000000000000000000000000052 +:10CEE0000000000000000000000000000000000042 +:10CEF0000000000000000000000000000000000032 +:10CF00000000000000000000000000000000000021 +:10CF10000000000000000000000000000000000011 +:10CF20000000000000000000000000000000000001 +:10CF300000000000000000000000000000000000F1 +:10CF400000000000000000000000000000000000E1 +:10CF500000000000000000000000000000000000D1 +:10CF600000000000000000000000000000000000C1 +:10CF700000000000000000000000000000000000B1 +:10CF800000000000000000000000000000000000A1 +:10CF90000000000000000000000000000000000091 +:10CFA0000000000000000000000000000000000081 +:10CFB0000000000000000000000000000000000071 +:10CFC0000000000000000000000000000000000061 +:10CFD0000000000000000000000000000000000051 +:10CFE0000000000000000000000000000000000041 +:10CFF0000000000000000000000000000000000031 +:10D000000000000000000000000000000000000020 +:10D010000000000000000000000000000000000010 +:10D020000000000000000000000000000000000000 +:10D0300000000000000000000000000000000000F0 +:10D0400000000000000000000000000000000000E0 +:10D0500000000000000000000000000000000000D0 +:10D0600000000000000000000000000000000000C0 +:10D0700000000000000000000000000000000000B0 +:10D0800000000000000000000000000000000000A0 +:10D090000000000000000000000000000000000090 +:10D0A0000000000000000000000000000000000080 +:10D0B0000000000000000000000000000000000070 +:10D0C0000000000000000000000000000000000060 +:10D0D0000000000000000000000000000000000050 +:10D0E0000000000000000000000000000000000040 +:10D0F0000000000000000000000000000000000030 +:10D10000000000000000000000000000000000001F +:10D11000000000000000000000000000000000000F +:10D1200000000000000000000000000000000000FF +:10D1300000000000000000000000000000000000EF +:10D1400000000000000000000000000000000000DF +:10D1500000000000000000000000000000000000CF +:10D1600000000000000000000000000000000000BF +:10D1700000000000000000000000000000000000AF +:10D18000000000000000000000000000000000009F +:10D19000000000000000000000000000000000008F +:10D1A000000000000000000000000000000000007F +:10D1B000000000000000000000000000000000006F +:10D1C000000000000000000000000000000000005F +:10D1D000000000000000000000000000000000004F +:10D1E000000000000000000000000000000000003F +:10D1F000000000000000000000000000000000002F +:10D20000000000000000000000000000000000001E +:10D21000000000000000000000000000000000000E +:10D2200000000000000000000000000000000000FE +:10D2300000000000000000000000000000000000EE +:10D2400000000000000000000000000000000000DE +:10D2500000000000000000000000000000000000CE +:10D2600000000000000000000000000000000000BE +:10D2700000000000000000000000000000000000AE +:10D28000000000000000000000000000000000009E +:10D29000000000000000000000000000000000008E +:10D2A000000000000000000000000000000000007E +:10D2B000000000000000000000000000000000006E +:10D2C000000000000000000000000000000000005E +:10D2D000000000000000000000000000000000004E +:10D2E000000000000000000000000000000000003E +:10D2F000000000000000000000000000000000002E +:10D30000000000000000000000000000000000001D +:10D31000000000000000000000000000000000000D +:10D3200000000000000000000000000000000000FD +:10D3300000000000000000000000000000000000ED +:10D3400000000000000000000000000000000000DD +:10D3500000000000000000000000000000000000CD +:10D3600000000000000000000000000000000000BD +:10D3700000000000000000000000000000000000AD +:10D38000000000000000000000000000000000009D +:10D39000000000000000000000000000000000008D +:10D3A000000000000000000000000000000000007D +:10D3B000000000000000000000000000000000006D +:10D3C000000000000000000000000000000000005D +:10D3D000000000000000000000000000000000004D +:10D3E000000000000000000000000000000000003D +:10D3F000000000000000000000000000000000002D +:10D40000000000000000000000000000000000001C +:10D41000000000000000000000000000000000000C +:10D4200000000000000000000000000000000000FC +:10D4300000000000000000000000000000000000EC +:10D4400000000000000000000000000000000000DC +:10D4500000000000000000000000000000000000CC +:10D4600000000000000000000000000000000000BC +:10D4700000000000000000000000000000000000AC +:10D48000000000000000000000000000000000009C +:10D49000000000000000000000000000000000008C +:10D4A000000000000000000000000000000000007C +:10D4B000000000000000000000000000000000006C +:10D4C000000000000000000000000000000000005C +:10D4D000000000000000000000000000000000004C +:10D4E000000000000000000000000000000000003C +:10D4F000000000000000000000000000000000002C +:10D50000000000000000000000000000000000001B +:10D51000000000000000000000000000000000000B +:10D5200000000000000000000000000000000000FB +:10D5300000000000000000000000000000000000EB +:10D5400000000000000000000000000000000000DB +:10D5500000000000000000000000000000000000CB +:10D5600000000000000000000000000000000000BB +:10D5700000000000000000000000000000000000AB +:10D58000000000000000000000000000000000009B +:10D59000000000000000000000000000000000008B +:10D5A000000000000000000000000000000000007B +:10D5B000000000000000000000000000000000006B +:10D5C000000000000000000000000000000000005B +:10D5D000000000000000000000000000000000004B +:10D5E000000000000000000000000000000000003B +:10D5F000000000000000000000000000000000002B +:10D60000000000000000000000000000000000001A +:10D61000000000000000000000000000000000000A +:10D6200000000000000000000000000000000000FA +:10D6300000000000000000000000000000000000EA +:10D6400000000000000000000000000000000000DA +:10D6500000000000000000000000000000000000CA +:10D6600000000000000000000000000000000000BA +:10D6700000000000000000000000000000000000AA +:10D68000000000000000000000000000000000009A +:10D69000000000000000000000000000000000008A +:10D6A000000000000000000000000000000000007A +:10D6B000000000000000000000000000000000006A +:10D6C000000000000000000000000000000000005A +:10D6D000000000000000000000000000000000004A +:10D6E000000000000000000000000000000000003A +:10D6F000000000000000000000000000000000002A +:10D700000000000000000000000000000000000019 +:10D710000000000000000000000000000000000009 +:10D7200000000000000000000000000000000000F9 +:10D7300000000000000000000000000000000000E9 +:10D7400000000000000000000000000000000000D9 +:10D7500000000000000000000000000000000000C9 +:10D7600000000000000000000000000000000000B9 +:10D7700000000000000000000000000000000000A9 +:10D780000000000000000000000000000000000099 +:10D790000000000000000000000000000000000089 +:10D7A0000000000000000000000000000000000079 +:10D7B0000000000000000000000000000000000069 +:10D7C0000000000000000000000000000000000059 +:10D7D0000000000000000000000000000000000049 +:10D7E0000000000000000000000000000000000039 +:10D7F0000000000000000000000000000000000029 +:10D800000000000000000000000000000000000018 +:10D810000000000000000000000000000000000008 +:10D8200000000000000000000000000000000000F8 +:10D8300000000000000000000000000000000000E8 +:10D8400000000000000000000000000000000000D8 +:10D8500000000000000000000000000000000000C8 +:10D8600000000000000000000000000000000000B8 +:10D8700000000000000000000000000000000000A8 +:10D880000000000000000000000000000000000098 +:10D890000000000000000000000000000000000088 +:10D8A0000000000000000000000000000000000078 +:10D8B0000000000000000000000000000000000068 +:10D8C0000000000000000000000000000000000058 +:10D8D0000000000000000000000000000000000048 +:10D8E0000000000000000000000000000000000038 +:10D8F0000000000000000000000000000000000028 +:10D900000000000000000000000000000000000017 +:10D910000000000000000000000000000000000007 +:10D9200000000000000000000000000000000000F7 +:10D9300000000000000000000000000000000000E7 +:10D9400000000000000000000000000000000000D7 +:10D9500000000000000000000000000000000000C7 +:10D9600000000000000000000000000000000000B7 +:10D9700000000000000000000000000000000000A7 +:10D980000000000000000000000000000000000097 +:10D990000000000000000000000000000000000087 +:10D9A0000000000000000000000000000000000077 +:10D9B0000000000000000000000000000000000067 +:10D9C0000000000000000000000000000000000057 +:10D9D0000000000000000000000000000000000047 +:10D9E0000000000000000000000000000000000037 +:10D9F0000000000000000000000000000000000027 +:10DA00000000000000000000000000000000000016 +:10DA10000000000000000000000000000000000006 +:10DA200000000000000000000000000000000000F6 +:10DA300000000000000000000000000000000000E6 +:10DA400000000000000000000000000000000000D6 +:10DA500000000000000000000000000000000000C6 +:10DA600000000000000000000000000000000000B6 +:10DA700000000000000000000000000000000000A6 +:10DA80000000000000000000000000000000000096 +:10DA90000000000000000000000000000000000086 +:10DAA0000000000000000000000000000000000076 +:10DAB0000000000000000000000000000000000066 +:10DAC0000000000000000000000000000000000056 +:10DAD0000000000000000000000000000000000046 +:10DAE0000000000000000000000000000000000036 +:10DAF0000000000000000000000000000000000026 +:10DB00000000000000000000000000000000000015 +:10DB10000000000000000000000000000000000005 +:10DB200000000000000000000000000000000000F5 +:10DB300000000000000000000000000000000000E5 +:10DB400000000000000000000000000000000000D5 +:10DB500000000000000000000000000000000000C5 +:10DB600000000000000000000000000000000000B5 +:10DB700000000000000000000000000000000000A5 +:10DB80000000000000000000000000000000000095 +:10DB90000000000000000000000000000000000085 +:10DBA0000000000000000000000000000000000075 +:10DBB0000000000000000000000000000000000065 +:10DBC0000000000000000000000000000000000055 +:10DBD0000000000000000000000000000000000045 +:10DBE0000000000000000000000000000000000035 +:10DBF0000000000000000000000000000000000025 +:10DC00000000000000000000000000000000000014 +:10DC10000000000000000000000000000000000004 +:10DC200000000000000000000000000000000000F4 +:10DC300000000000000000000000000000000000E4 +:10DC400000000000000000000000000000000000D4 +:10DC500000000000000000000000000000000000C4 +:10DC600000000000000000000000000000000000B4 +:10DC700000000000000000000000000000000000A4 +:10DC80000000000000000000000000000000000094 +:10DC90000000000000000000000000000000000084 +:10DCA0000000000000000000000000000000000074 +:10DCB0000000000000000000000000000000000064 +:10DCC0000000000000000000000000000000000054 +:10DCD0000000000000000000000000000000000044 +:10DCE0000000000000000000000000000000000034 +:10DCF0000000000000000000000000000000000024 +:10DD00000000000000000000000000000000000013 +:10DD10000000000000000000000000000000000003 +:10DD200000000000000000000000000000000000F3 +:10DD300000000000000000000000000000000000E3 +:10DD400000000000000000000000000000000000D3 +:10DD500000000000000000000000000000000000C3 +:10DD600000000000000000000000000000000000B3 +:10DD700000000000000000000000000000000000A3 +:10DD80000000000000000000000000000000000093 +:10DD90000000000000000000000000000000000083 +:10DDA0000000000000000000000000000000000073 +:10DDB0000000000000000000000000000000000063 +:10DDC0000000000000000000000000000000000053 +:10DDD0000000000000000000000000000000000043 +:10DDE0000000000000000000000000000000000033 +:10DDF0000000000000000000000000000000000023 +:10DE00000000000000000000000000000000000012 +:10DE10000000000000000000000000000000000002 +:10DE200000000000000000000000000000000000F2 +:10DE300000000000000000000000000000000000E2 +:10DE400000000000000000000000000000000000D2 +:10DE500000000000000000000000000000000000C2 +:10DE600000000000000000000000000000000000B2 +:10DE700000000000000000000000000000000000A2 +:10DE80000000000000000000000000000000000092 +:10DE90000000000000000000000000000000000082 +:10DEA0000000000000000000000000000000000072 +:10DEB0000000000000000000000000000000000062 +:10DEC0000000000000000000000000000000000052 +:10DED0000000000000000000000000000000000042 +:10DEE0000000000000000000000000000000000032 +:10DEF0000000000000000000000000000000000022 +:10DF00000000000000000000000000000000000011 +:10DF10000000000000000000000000000000000001 +:10DF200000000000000000000000000000000000F1 +:10DF300000000000000000000000000000000000E1 +:10DF400000000000000000000000000000000000D1 +:10DF500000000000000000000000000000000000C1 +:10DF600000000000000000000000000000000000B1 +:10DF700000000000000000000000000000000000A1 +:10DF80000000000000000000000000000000000091 +:10DF90000000000000000000000000000000000081 +:10DFA0000000000000000000000000000000000071 +:10DFB0000000000000000000000000000000000061 +:10DFC0000000000000000000000000000000000051 +:10DFD0000000000000000000000000000000000041 +:10DFE0000000000000000000000000000000000031 +:10DFF0000000000000000000000000000000000021 +:10E000000000000000000000000000000000000010 +:10E010000000000000000000000000000000000000 +:10E0200000000000000000000000000000000000F0 +:10E0300000000000000000000000000000000000E0 +:10E0400000000000000000000000000000000000D0 +:10E0500000000000000000000000000000000000C0 +:10E0600000000000000000000000000000000000B0 +:10E0700000000000000000000000000000000000A0 +:10E080000000000000000000000000000000000090 +:10E090000000000000000000000000000000000080 +:10E0A0000000000000000000000000000000000070 +:10E0B0000000000000000000000000000000000060 +:10E0C0000000000000000000000000000000000050 +:10E0D0000000000000000000000000000000000040 +:10E0E0000000000000000000000000000000000030 +:10E0F0000000000000000000000000000000000020 +:10E10000000000000000000000000000000000000F +:10E1100000000000000000000000000000000000FF +:10E1200000000000000000000000000000000000EF +:10E1300000000000000000000000000000000000DF +:10E1400000000000000000000000000000000000CF +:10E1500000000000000000000000000000000000BF +:10E1600000000000000000000000000000000000AF +:10E17000000000000000000000000000000000009F +:10E18000000000000000000000000000000000008F +:10E19000000000000000000000000000000000007F +:10E1A000000000000000000000000000000000006F +:10E1B000000000000000000000000000000000005F +:10E1C000000000000000000000000000000000004F +:10E1D000000000000000000000000000000000003F +:10E1E000000000000000000000000000000000002F +:10E1F000000000000000000000000000000000001F +:10E20000000000000000000000000000000000000E +:10E2100000000000000000000000000000000000FE +:10E2200000000000000000000000000000000000EE +:10E2300000000000000000000000000000000000DE +:10E2400000000000000000000000000000000000CE +:10E2500000000000000000000000000000000000BE +:10E2600000000000000000000000000000000000AE +:10E27000000000000000000000000000000000009E +:10E28000000000000000000000000000000000008E +:10E29000000000000000000000000000000000007E +:10E2A000000000000000000000000000000000006E +:10E2B000000000000000000000000000000000005E +:10E2C000000000000000000000000000000000004E +:10E2D000000000000000000000000000000000003E +:10E2E000000000000000000000000000000000002E +:10E2F000000000000000000000000000000000001E +:10E30000000000000000000000000000000000000D +:10E3100000000000000000000000000000000000FD +:10E3200000000000000000000000000000000000ED +:10E3300000000000000000000000000000000000DD +:10E3400000000000000000000000000000000000CD +:10E3500000000000000000000000000000000000BD +:10E3600000000000000000000000000000000000AD +:10E37000000000000000000000000000000000009D +:10E38000000000000000000000000000000000008D +:10E39000000000000000000000000000000000007D +:10E3A000000000000000000000000000000000006D +:10E3B000000000000000000000000000000000005D +:10E3C000000000000000000000000000000000004D +:10E3D000000000000000000000000000000000003D +:10E3E000000000000000000000000000000000002D +:10E3F000000000000000000000000000000000001D +:10E40000000000000000000000000000000000000C +:10E4100000000000000000000000000000000000FC +:10E4200000000000000000000000000000000000EC +:10E4300000000000000000000000000000000000DC +:10E4400000000000000000000000000000000000CC +:10E4500000000000000000000000000000000000BC +:10E4600000000000000000000000000000000000AC +:10E47000000000000000000000000000000000009C +:10E48000000000000000000000000000000000008C +:10E49000000000000000000000000000000000007C +:10E4A000000000000000000000000000000000006C +:10E4B000000000000000000000000000000000005C +:10E4C000000000000000000000000000000000004C +:10E4D000000000000000000000000000000000003C +:10E4E000000000000000000000000000000000002C +:10E4F000000000000000000000000000000000001C +:10E50000000000000000000000000000000000000B +:10E5100000000000000000000000000000000000FB +:10E5200000000000000000000000000000000000EB +:10E5300000000000000000000000000000000000DB +:10E5400000000000000000000000000000000000CB +:10E5500000000000000000000000000000000000BB +:10E5600000000000000000000000000000000000AB +:10E57000000000000000000000000000000000009B +:10E58000000000000000000000000000000000008B +:10E590000000000000000000003800000000000043 +:10E5A000000000000000000000000000000000006B +:10E5B000000000000000000000000000000000005B +:10E5C000000000000000000000000000000000004B +:10E5D000000000000000000000000000000000003B +:10E5E000000000000000000000000000000000002B +:10E5F000000000000000000000000000000000001B +:10E60000000000000000000000000000000000000A +:10E6100000000000000000000000000000000000FA +:10E6200000000000000000000000000000000000EA +:10E6300000000000000000000000000000000000DA +:10E6400000000000000000000000000000000000CA +:10E6500000000000000000000000000000000000BA +:10E6600000000000000000000000000000000000AA +:10E67000000000000000000000000000000000009A +:10E68000000000000000000000000000000000008A +:10E69000000000000000000000000000000000007A +:10E6A000000000000000000000000000000000006A +:10E6B000000000000000000000000000000000005A +:10E6C000000000000000000000000000000000004A +:10E6D000000000000000000000000000000000003A +:10E6E000000000000000000000000000000000002A +:10E6F000000000000000000000000000000000001A +:10E700000000000000000000000000000000000009 +:10E7100000000000000000000000000000000000F9 +:10E7200000000000000000000000000000000000E9 +:10E7300000000000000000000000000000000000D9 +:10E7400000000000000000000000000000000000C9 +:10E7500000000000000000000000000000000000B9 +:10E7600000000000000000000000000000000000A9 +:10E770000000000000000000000000000000000099 +:10E780000000000000000000000000000000000089 +:10E790000000000000000000000000000000000079 +:10E7A0000000000000000000000000000000000069 +:10E7B0000000000000000000000000000000000059 +:10E7C0000000000000000000000000000000000049 +:10E7D0000000000000000000000000000000000039 +:10E7E0000000000000000000000000000000000029 +:10E7F0000000000000000000000000000000000019 +:10E800000000000000000000000000000000000008 +:10E8100000000000000000000000000000000000F8 +:10E8200000000000000000000000000000000000E8 +:10E8300000000000000000000000000000000000D8 +:10E8400000000000000000000000000000000000C8 +:10E8500000000000000000000000000000000000B8 +:10E8600000000000000000000000000000000000A8 +:10E870000000000000000000000000000000000098 +:10E880000000000000000000000000000000000088 +:10E890000000000000000000000000000000000078 +:10E8A0000000000000000000000000000000000068 +:10E8B0000000000000000000000000000000000058 +:10E8C0000000000000000000000000000000000048 +:10E8D0000000000000000000000000000000000038 +:10E8E0000000000000000000000000000000000028 +:10E8F0000000000000000000000000000000000018 +:10E900000000000000000000000000000000000007 +:10E9100000000000000000000000000000000000F7 +:10E9200000000000000000000000000000000000E7 +:10E9300000000000000000000000000000000000D7 +:10E9400000000000000000000000000000000000C7 +:10E9500000000000000000000000000000000000B7 +:10E9600000000000000000000000000000000000A7 +:10E970000000000000000000000000000000000097 +:10E980000000000000000000000000000000000087 +:10E990000000000000000000000000000000000077 +:10E9A0000000000000000000000000000000000067 +:10E9B0000000000000000000000000000000000057 +:10E9C0000000000000000000000000000000000047 +:10E9D0000000000000000000000000000000000037 +:10E9E0000000000000000000000000000000000027 +:10E9F0000000000000000000000000000000000017 +:10EA00000000000000000000000000000000000006 +:10EA100000000000000000000000000000000000F6 +:10EA200000000000000000000000000000000000E6 +:10EA300000000000000000000000000000000000D6 +:10EA400000000000000000000000000000000000C6 +:10EA500000000000000000000000000000000000B6 +:10EA600000000000000000000000000000000000A6 +:10EA70000000000000000000000000000000000096 +:10EA80000000000000000000000000000000000086 +:10EA90000000000000000000000000000000000076 +:10EAA0000000000000000000000000000000000066 +:10EAB0000000000000000000000000000000000056 +:10EAC0000000000000000000000000000000000046 +:10EAD0000000000000000000000000000000000036 +:10EAE0000000000000000000000000000000000026 +:10EAF0000000000000000000000000000000000016 +:10EB00000000000000000000000000000000000005 +:10EB100000000000000000000000000000000000F5 +:10EB200000000000000000000000000000000000E5 +:10EB300000000000000000000000000000000000D5 +:10EB400000000000000000000000000000000000C5 +:10EB500000000000000000000000000000000000B5 +:10EB600000000000000000000000000000000000A5 +:10EB70000000000000000000000000000000000095 +:10EB80000000000000000000000000000000000085 +:10EB90000000000000000000000000000000000075 +:10EBA000000000000000000000080000000000005D +:10EBB0000000000000000000000000000000000055 +:10EBC0000000000000000000000000000000000045 +:10EBD0000000000000000000000000000000000035 +:10EBE0000000000000000000000000000000000025 +:10EBF0000000000000000000000000000000000015 +:10EC00000000000000000000000000000000000004 +:10EC100000000000000000000000000000000000F4 +:10EC200000000000000000000000000000000000E4 +:10EC300000000000000000000000000000000000D4 +:10EC400000000000000000000000000000000000C4 +:10EC500000000000000000000000000000000000B4 +:10EC600000000000000000000000000000000000A4 +:10EC70000000000000000000000000000000000094 +:10EC80000000000000000000000000000000000084 +:10EC90000000000000000000000000000000000074 +:10ECA0000000000000000000000000000000000064 +:10ECB0000000000000000000000000000000000054 +:10ECC0000000000000000000000000000000000044 +:10ECD0000000000000000000000000000000000034 +:10ECE0000000000000000000000000000000000024 +:10ECF0000000000000000000000000000000000014 +:10ED00000000000000000000000000000000000003 +:10ED100000000000000000000000000000000000F3 +:10ED200000000000000000000000000000000000E3 +:10ED300000000000000000000000000000000000D3 +:10ED400000000000000000000000000000000000C3 +:10ED500000000000000000000000000000000000B3 +:10ED600000000000000000000000000000000000A3 +:10ED70000000000000000000000000000000000093 +:10ED80000000000000000000000000000000000083 +:10ED90000000000000000000000000000000000073 +:10EDA0000000000000000000000000000000000063 +:10EDB0000000000000000000000000000000000053 +:10EDC0000000000000000000000000000000000043 +:10EDD0000000000000000000000000000000000033 +:10EDE0000000000000000000000000000000000023 +:10EDF0000000000000000000000000000000000013 +:10EE00000000000000000000000000000000000002 +:10EE100000000000000000000000000000000000F2 +:10EE200000000000000000000000000000000000E2 +:10EE300000000000000000000000000000000000D2 +:10EE400000000000000000000000000000000000C2 +:10EE500000000000000000000000000000000000B2 +:10EE600000000000000000000000000000000000A2 +:10EE70000000000000000000000000000000000092 +:10EE80000000000000000000000000000000000082 +:10EE90000000000000000000000000000000000072 +:10EEA0000000000000000000000000000000000062 +:10EEB0000030000000000000000000000000000022 +:10EEC0000000000000000000000000000000000042 +:10EED0000000000000000000000000000000000032 +:10EEE0000000000000000000000000000000000022 +:10EEF0000000000000000000000000000000000012 +:10EF00000000000000000000000000000000000001 +:10EF100000000000000000000000000000000000F1 +:10EF200000000000000000000000000000000000E1 +:10EF300000000000000000000000000000000000D1 +:10EF400000000000000000000000000000000000C1 +:10EF500000000000000000000000000000000000B1 +:10EF600000000000000000000000000000000000A1 +:10EF70000000000000000000000000000000000091 +:10EF80000000000000000000000000000000000081 +:10EF90000000000000000000000000000000000071 +:10EFA0000000000000000000000000000000000061 +:10EFB0000000000000000000000000000000000051 +:10EFC0000000000000000000000000000000000041 +:10EFD0000000000000000000000000000000000031 +:10EFE0000000000000000000000000000000000021 +:10EFF0000000000000000000000000000000000011 +:10F000000000000000000000000000000000000000 +:10F0100000000000000000000000000000000000F0 +:10F0200000000000000000000000000000000000E0 +:10F0300000000000000000000000000000000000D0 +:10F0400000000000000000000000000000000000C0 +:10F0500000000000000000000000000000000000B0 +:10F0600000000000000000000000000000000000A0 +:10F070000000000000000000000000000000000090 +:10F080000000000000000000000000000000000080 +:10F090000000000000000000000000000000000070 +:10F0A0000000000000000000000000000000000060 +:10F0B0000000000000000000000000000000000050 +:10F0C0000000000000000000000000000000000040 +:10F0D0000000000000000000000000000000000030 +:10F0E0000000000000000000000000000000000020 +:10F0F0000000000000000000000000000000000010 +:10F1000000000000000000000000000000000000FF +:10F1100000000000000000000000000000000000EF +:10F1200000000000000000000000000000000000DF +:10F1300000000000000000000000000000000000CF +:10F1400000000000000000000000000000000000BF +:10F1500000000000000000000000000000000000AF +:10F16000000000000000000000000000000000009F +:10F17000000000000000000000000000000000008F +:10F18000000000000000000000000000000000007F +:10F19000000000000000000000000000000000006F +:10F1A000000000000000000000000000000000005F +:10F1B000000000000000000000000000000000004F +:10F1C000000000000000000000000000000000003F +:10F1D000000000000000000000000000000000002F +:10F1E000000000000000000000000000000000001F +:10F1F000000000000000000000000000000000000F +:10F2000000000000000000000000000000000000FE +:10F2100000000000000000000000000000000000EE +:10F2200000000000000000000000000000000000DE +:10F2300000000000000000000000000000000000CE +:10F2400000000000000000000000000000000000BE +:10F2500000000000000000000000000000000000AE +:10F26000000000000000000000000000000000009E +:10F27000000000000000000000000000000000008E +:10F28000000000000000000000000000000000007E +:10F29000000000000000000000000000000000006E +:10F2A000000000000000000000000000000000005E +:10F2B000000000000000000000000000000000004E +:10F2C000000000000000000000000000000000003E +:10F2D000000000000000000000000000000000002E +:10F2E000000000000000000000000000000000001E +:10F2F000000000000000000000000000000000000E +:10F3000000000000000000000000000000000000FD +:10F3100000000000000000000000000000000000ED +:10F3200000000000000000000000000000000000DD +:10F3300000000000000000000000000000000000CD +:10F3400000000000000000000000000000000000BD +:10F3500000000000000000000000000000000000AD +:10F36000000000000000000000000000000000009D +:10F37000000000000000000000000000000000008D +:10F38000000000000000000000000000000000007D +:10F39000000000000000000000000000000000006D +:10F3A000000000000000000000000000000000005D +:10F3B000000000000000000000000000000000004D +:10F3C000000000000000000000000000000000003D +:10F3D000000000000000000000000000000000002D +:10F3E000000000000000000000000000000000001D +:10F3F000000000000000000000000000000000000D +:10F4000000000000000000000000000000000000FC +:10F4100000000000000000000000000000000000EC +:10F4200000000000000000000000000000000000DC +:10F4300000000000000000000000000000000000CC +:10F4400000000000000000000000000000000000BC +:10F4500000000000000000000000000000000000AC +:10F46000000000000000000000000000000000009C +:10F47000000000000000000000000000000000008C +:10F48000000000000000000000000000000000007C +:10F49000000000000000000000000000000000006C +:10F4A000000000000000000000000000000000005C +:10F4B000000000000000000000000000000000004C +:10F4C000000000000000000000000000000000003C +:10F4D000000000000000000000000000000000002C +:10F4E000000000000000000000000000000000001C +:10F4F000000000000000000000000000000000000C +:10F5000000000000000000000000000000000000FB +:10F5100000000000000000000000000000000000EB +:10F5200000000000000000000000000000000000DB +:10F5300000000000000000000000000000000000CB +:10F5400000000000000000000000000000000000BB +:10F5500000000000000000000000000000000000AB +:10F56000000000000000000000000000000000009B +:10F57000000000000000000000000000000000008B +:10F58000000000000000000000000000000000007B +:10F59000000000000000000000000000000000006B +:10F5A000000000000000000000000000000000005B +:10F5B000000000000000000000000000000000004B +:10F5C000000000000000000000000000000000003B +:10F5D000000000000000000000000000000000002B +:10F5E000000000000000000000000000000000001B +:10F5F000000000000000000000000000000000000B +:10F6000000000000000000000000000000000000FA +:10F6100000000000000000000000000000000000EA +:10F6200000000000000000000000000000000000DA +:10F6300000000000000000000000000000000000CA +:10F6400000000000000000000000000004000000B6 +:10F6500000000000000000000000000000000000AA +:10F66000000000000000000000000000000000009A +:10F67000000000000000000000000000000000008A +:10F68000000000000000000000000000000000007A +:10F69000000000000000000000000000000000006A +:10F6A000000000000000000000000000000000005A +:10F6B000000000000000000000000000000000004A +:10F6C000000000000000000000000000000000003A +:10F6D000000000000000000000000000000000002A +:10F6E000000000000000000000000000000000001A +:10F6F000000000000000000000000000000000000A +:10F7000000000000000000000000000000000000F9 +:10F7100000000000000000000000000000000000E9 +:10F7200000000000000000000000000000000000D9 +:10F7300000000000000000000000000000000000C9 +:10F7400000000000000000000000000000000000B9 +:10F7500000000000000000000000000000000000A9 +:10F760000000000000000000000000000000000099 +:10F770000000000000000000000000000000000089 +:10F780000000000000000000000000000000000079 +:10F790000000000000000000000000000000000069 +:10F7A0000000000000000000000000000000000059 +:10F7B0000000000000000000000000000000000049 +:10F7C0000000000000000000000000000000000039 +:10F7D0000100000000000000000000000000000028 +:10F7E0000000000000000000000000000000000019 +:10F7F0000000000000000000000000000000000009 +:10F8000000000000000000000000000000000000F8 +:10F8100000000000000000000000000000000000E8 +:10F8200000000000000000000000000000000000D8 +:10F8300000000000000000000000000000000000C8 +:10F8400000000000000000000000000000000000B8 +:10F8500000000000000000000000000000000000A8 +:10F860000000000000000000000000000000000098 +:10F870000000000000000000000000000000000088 +:10F880000000000000000000000000000000000078 +:10F890000000000000000000000000000000000068 +:10F8A0000000000000000000000000000000000058 +:10F8B0000000000000000000000000000000000048 +:10F8C0000000000000000000000000000000000038 +:10F8D0000000000000000000000000000000000028 +:10F8E0000000000000000000000000000000000018 +:10F8F0000000000000000000000000000000000008 +:10F9000000000000000000000000000000000000F7 +:10F9100000000000000000000000000000000000E7 +:10F9200000000000000000000000000000000000D7 +:10F9300000000000000000000000000000000000C7 +:10F9400000000000000000000000000000000000B7 +:10F9500000000000000000000000000000000000A7 +:10F960000000000000000000000000000000000097 +:10F970000000000000000000000000000000000087 +:10F980000000000000000000000000000000000077 +:10F990000000000000000000000000000000000067 +:10F9A0000000000000000000000000000000000057 +:10F9B0000000000000000000000000000000000047 +:10F9C0000000000000000000000000000000000037 +:10F9D0000000000000000000000000000000000027 +:10F9E0000000000000000000000000000000000017 +:10F9F0000000000000000000000000000000000007 +:10FA000000000000000000000000000000000000F6 +:10FA100000000000000000000000000000000000E6 +:10FA200000000000000000000000000000000000D6 +:10FA300000000000000000000000000000000000C6 +:10FA400000000000000000000000000000000000B6 +:10FA500000000000000000000000000000000000A6 +:10FA60000000000000000000000000000000000096 +:10FA70000000000000000000000000000000000086 +:10FA80000000000000000000000000000000000076 +:10FA90000000000000000000000000000000000066 +:10FAA0000000000000000000000000000000000056 +:10FAB0000000000000000000000000000000000046 +:10FAC0000000000000000000000000000000000036 +:10FAD0000000000000000000000000000000000026 +:10FAE0000000000000000000000000000000000016 +:10FAF0000000000000000000000000000000000006 +:10FB000000000000000000000000000000000000F5 +:10FB100000000000000000000000000000000000E5 +:10FB200000000000000000000000000000000000D5 +:10FB300000000000000000000000000000000000C5 +:10FB400000000000000000000000000000000000B5 +:10FB500000000000000000000000000000000000A5 +:10FB60000000000000000000000000000000000095 +:10FB70000000000000000000000000000000000085 +:10FB80000000000000000000000000000000000075 +:10FB90000000000000000000000000000000000065 +:10FBA0000000000000000000000000000000000055 +:10FBB0000000000000000000000000000000000045 +:10FBC0000000000000000000000000000000000035 +:10FBD0000000000000000000000000000000000025 +:10FBE0000000000000000000000000000000000015 +:10FBF0000000000000000000000000000000000005 +:10FC000000000000000000000000000000000000F4 +:10FC100000000000000000000000000000000000E4 +:10FC200000000000000000000000000000000000D4 +:10FC300000000000000000000000000000000000C4 +:10FC400000000000000000000000000000000000B4 +:10FC500000000000000000000000000000000000A4 +:10FC60000000000000000000000000000000000094 +:10FC70000000000000000000000000000000000084 +:10FC80000000000000000000000000000000000074 +:10FC90000000000000000000000000000000000064 +:10FCA0000000000000000000000000000000000054 +:10FCB0000000000000000000000000000000000044 +:10FCC0000000000000000000000000000000000034 +:10FCD0000000000000000000000000000000000024 +:10FCE0000000000000000000000000000000000014 +:10FCF0000000000000000000000000000000000004 +:10FD000000000000000000000000000000000000F3 +:10FD100000000000000000000000000000000000E3 +:10FD200000000000000000000000000000000000D3 +:10FD300000000000000000000000000000000000C3 +:10FD400000000000000000000000000000000000B3 +:10FD500000000000000000000000000000000000A3 +:10FD60000000000000000000000000000000000093 +:10FD70000000000000000000000000000000000083 +:10FD80000000000000000000000000000000000073 +:10FD90000000000000000000000000000000000063 +:10FDA0000000000000000000000000000000000053 +:10FDB0000000000000000000000000000000000043 +:10FDC0000000000000000000000000000000000033 +:10FDD0000000000000000000000000000000000023 +:10FDE0000000000000000000000000000000000013 +:10FDF0000000000000000000000000000000000003 +:10FE000000000000000000000000000000000000F2 +:10FE100000000000000000000000000000000000E2 +:10FE200000000000000000000000000000000000D2 +:10FE300000000000000000000000000000000000C2 +:10FE400000000000000000000000000000000000B2 +:10FE500000000000000000000000000000000000A2 +:10FE60000000000000000000000000000000000092 +:10FE70000000000000000000000000000000000082 +:10FE80000000000000000000000000000000000072 +:10FE90000000000000000000000000000000000062 +:10FEA0000000000000000000000000000000000052 +:10FEB0000000000000000000000000000000000042 +:10FEC0000000000000000000000000000000000032 +:10FED0000000000000000000000000000000000022 +:10FEE0000000000000000000000000000000000012 +:10FEF0000000000000000000000000000000000002 +:10FF000000000000000000000000000000000000F1 +:10FF100000000000000000000000000000000000E1 +:10FF200000000000000000000000000000000000D1 +:10FF300000000000000000000000000000000000C1 +:10FF400000000000000000000000000000000000B1 +:10FF500000000000000000000000000000000000A1 +:10FF60000000000000000000000000000000000091 +:10FF70000000000000000000000000000000000081 +:10FF80000000000000000000000000000000000071 +:10FF90000000000000000000000000000000000061 +:10FFA0000000000000000000000000000000000051 +:10FFB0000000000000000000000000000000000041 +:10FFC0000000000000000000000000000000000031 +:10FFD0000000000000000000000000000000000021 +:10FFE0000000000000000000000000000000000011 +:10FFF0000000000000000000000000000000000001 +:020000040004F6 +:1000000000000000000000000000000000000000F0 +:1000100000000000000000000000000000000000E0 +:1000200000000000000000000000000000000000D0 +:1000300000000000000000000000000000000000C0 +:1000400000000000000000000000000000000000B0 +:1000500000000000000000000000000000000000A0 +:100060000000000000000000000000000000000090 +:100070000000000000000000000000000000000080 +:100080000000000000000000000000000000000070 +:100090000000000000000000000000000000000060 +:1000A0000000000000000000000000000000000050 +:1000B0000000000000000000000000000000000040 +:1000C0000000000000000000000000000000000030 +:1000D0000000000000000000000000000000000020 +:1000E0000000000000000000000000000000000010 +:1000F0000000000000000000000000000000000000 +:1001000000000000000000000000000000000000EF +:1001100000000000000000000000000000000000DF +:1001200000000000000000000000000000000000CF +:1001300000000000000000000000000000000000BF +:1001400000000000000000000000000000000000AF +:10015000000000000000000000000000000000009F +:10016000000000000000000000000000000000008F +:10017000000000000000000000000000000000007F +:10018000000000000000000000000000000000006F +:10019000000000000000000000000000000000005F +:1001A000000000000000000000000000000000004F +:1001B000000000000000000000000000000000003F +:1001C000000000000000000000000000000000002F +:1001D000000000000000000000000000000000001F +:1001E000000000000000000000000000000000000F +:1001F00000000000000000000000000000000000FF +:1002000000000000000000000000000000000000EE +:1002100000000000000000000000000000000000DE +:1002200000000000000000000000000000000000CE +:1002300000000000000000000000000000000000BE +:1002400000000000000000000000000000000000AE +:10025000000000000000000000000000000000009E +:10026000000000000000000000000000000000008E +:10027000000000000000000000000000000000007E +:10028000000000000000000000000000000000006E +:10029000000000000000000000000000000000005E +:1002A000000000000000000000000000000000004E +:1002B000000000000000000000000000000000003E +:1002C000000000000000000000000000000000002E +:1002D000000000000000000000000000000000001E +:1002E000000000000000000000000000000000000E +:1002F00000000000000000000000000000000000FE +:1003000000000000000000000000000000000000ED +:1003100000000000000000000000000000000000DD +:1003200000000000000000000000000000000000CD +:1003300000000000000000000000000000000000BD +:1003400000000000000000000000000000000000AD +:10035000000000000000000000000000000000009D +:10036000000000000000000000000000000000008D +:10037000000000000000000000000000000000007D +:10038000000000000000000000000000000000006D +:10039000000000000000000000000000000000005D +:1003A000000000000000000000000000000000004D +:1003B000000000000000000000000000000000003D +:1003C000000000000000000000000000000000002D +:1003D000000000000000000000000000000000001D +:1003E000000000000000000000000000000000000D +:1003F00000000000000000000000000000000000FD +:1004000000000000000000000000000000000000EC +:1004100000000000000000000000000000000000DC +:1004200000000000000000000000000000000000CC +:1004300000000000000000000000000000000000BC +:1004400000000000000000000000000000000000AC +:10045000000000000000000000000000000000009C +:10046000000000000000000000000000000000008C +:10047000000000000000000000000000000000007C +:10048000000000000000000000000000000000006C +:10049000000000000000000000000000000000005C +:1004A000000000000000000000000000000000004C +:1004B000000000000000000000000000000000003C +:1004C000000000000000000000000000000000002C +:1004D000000000000000000000000000000000001C +:1004E000000000000000000000000000000000000C +:1004F00000000000000000000000000000000000FC +:1005000000000000000000000000000000000000EB +:1005100000000000000000000000000000000000DB +:1005200000000000000000000000000000000000CB +:1005300000000000000000000000000000000000BB +:1005400000000000000000000000000000000000AB +:10055000000000000000000000000000000000009B +:10056000000000000000000000000000000000008B +:10057000000000000000000000000000000000007B +:10058000000000000000000000000000000000006B +:10059000000000000000000000000000000000005B +:1005A000000000000000000000000000000000004B +:1005B000000000000000000000000000000000003B +:1005C000000000000000000000000000000000002B +:1005D000000000000000000000000000000000001B +:1005E000000000000000000000000000000000000B +:1005F00000000000000000000000000000000000FB +:1006000000000000000000000000000000000000EA +:1006100000000000000000000000000000000000DA +:1006200000000000000000000000000000000000CA +:1006300000000000000000000000000000000000BA +:1006400000000000000000000000000000000000AA +:10065000000000000000000000000000000000009A +:10066000000000000000000000000000000000008A +:10067000000000000000000000000000000000007A +:10068000000000000000000000000000000000006A +:10069000000000000000000000000000000000005A +:1006A000000000000000000000000000000000004A +:1006B000000000000000000000000000000000003A +:1006C000000000000000000000000000000000002A +:1006D000000000000000000000000000000000001A +:1006E000000000000000000000000000000000000A +:1006F00000000000000000000000000000000000FA +:1007000000000000000000000000000000000000E9 +:1007100000000000000000000000000000000000D9 +:1007200000000000000000000000000000000000C9 +:1007300000000000000000000000000000000000B9 +:1007400000000000000000000000000000000000A9 +:100750000000000000000000000000000000000099 +:100760000000000000000000000000000000000089 +:100770000000000000000000000000000000000079 +:100780000000000000000000000000000000000069 +:100790000000000000000000000000000000000059 +:1007A0000000000000000000000000000000000049 +:1007B0000000000000000000000000000000000039 +:1007C0000000000000000000000000000000000029 +:1007D0000000000000000000000000000000000019 +:1007E0000000000000000000000000000000000009 +:1007F00000000000000000000000000000000000F9 +:1008000000000000000000000000000000000000E8 +:1008100000000000000000000000000000000000D8 +:1008200000000000000000000000000000000000C8 +:1008300000000000000000000000000000000000B8 +:1008400000000000000000000000000000000000A8 +:100850000000000000000000000000000000000098 +:100860000000000000000000000000000000000088 +:100870000000000000000000000000000000000078 +:100880000000000000000000000000000000000068 +:100890000000000000000000000000000000000058 +:1008A0000000000000000000000000000000000048 +:1008B0000000000000000000000000000000000038 +:1008C0000000000000000000000000000000000028 +:1008D0000000000000000000000000000000000018 +:1008E0000000000000000000000000000000000008 +:1008F00000000000000000000000000000000000F8 +:1009000000000000000000000000000000000000E7 +:1009100000000000000000000000000000000000D7 +:1009200000000000000000000000000000000000C7 +:1009300000000000000000000000000000000000B7 +:1009400000000000000000000000000000000000A7 +:100950000000000000000000000000000000000097 +:100960000000000000000000000000000000000087 +:100970000000000000000000000000000000000077 +:100980000000000000000000000000000000000067 +:100990000000000000000000000000000000000057 +:1009A0000000000000000000000000000000000047 +:1009B0000000000000000000000000000000000037 +:1009C0000000000000000000000000000000000027 +:1009D0000000000000000000000000000000000017 +:1009E0000000000000000000000000000000000007 +:1009F00000000000000000000000000000000000F7 +:100A000000000000000000000000000000000000E6 +:100A100000000000000000000000000000000000D6 +:100A200000000000000000000000000000000000C6 +:100A300000000000000000000000000000000000B6 +:100A400000000000000000000000000000000000A6 +:100A50000000000000000000000000000000000096 +:100A60000000000000000000000000000000000086 +:100A70000000000000000000000000000000000076 +:100A80000000000000000000000000000000000066 +:100A90000000000000000000000000000000000056 +:100AA0000000000000000000000000000000000046 +:100AB0000000000000000000000000000000000036 +:100AC0000000000000000000000000000000000026 +:100AD0000000000000000000000000000000000016 +:100AE0000000000000000000000000000000000006 +:100AF00000000000000000000000000000000000F6 +:100B000000000000000000000000000000000000E5 +:100B100000000000000000000000000000000000D5 +:100B200000000000000000000000000000000000C5 +:100B300000000000000000000000000000000000B5 +:100B400000000000000000000000000000000000A5 +:100B50000000000000000000000000000000000095 +:100B60000000000000000000000000000000000085 +:100B70000000000000000000000000000000000075 +:100B80000000000000000000000000000000000065 +:100B90000000000000000000000000000000000055 +:100BA0000000000000000000000000000000000045 +:100BB0000000000000000000000000000000000035 +:100BC0000000000000000000000000000000000025 +:100BD0000000000000000000000000000000000015 +:100BE0000000000000000000000000000000000005 +:100BF00000000000000000000000000000000000F5 +:100C000000000000000000000000000000000000E4 +:100C100000000000000000000000000000000000D4 +:100C200000000000000000000000000000000000C4 +:100C300000000000000000000000000000000000B4 +:100C400000000000000000000000000000000000A4 +:100C50000000000000000000000000000000000094 +:100C60000000000000000000000000000000000084 +:100C70000000000000000000000000000000000074 +:100C80000000000000000000000000000000000064 +:100C90000000000000000000000000000000000054 +:100CA0000000000000000000000000000000000044 +:100CB0000000000000000000000000000000000034 +:100CC0000000000000000000000000000000000024 +:100CD0000000000000000000000000000000000014 +:100CE0000000000000000000000000000000000004 +:100CF00000000000000000000000000000000000F4 +:100D000000000000000000000000000000000000E3 +:100D100000000000000000000000000000000000D3 +:100D200000000000000000000000000000000000C3 +:100D300000000000000000000000000000000000B3 +:100D400000000000000000000000000000000000A3 +:100D50000000000000000000000000000000000093 +:100D60000000000000000000000000000000000083 +:100D70000000000000000000000000000000000073 +:100D80000000000000000000000000000000000063 +:100D90000000000000000000000000000000000053 +:100DA0000000000000000000000000000000000043 +:100DB0000000000000000000000000000000000033 +:100DC0000000000000000000000000000000000023 +:100DD0000000000000000000000000000000000013 +:100DE0000000000000000000000000000000000003 +:100DF00000000000000000000000000000000000F3 +:100E000000000000000000000000000000000000E2 +:100E100000000000000000000000000000000000D2 +:100E200000000000000000000000000000000000C2 +:100E300000000000000000000000000000000000B2 +:100E400000000000000000000000000000000000A2 +:100E50000000000000000000000000000000000092 +:100E60000000000000000000000000000000000082 +:100E70000000000000000000000000000000000072 +:100E80000000000000000000000000000000000062 +:100E90000000000000000000000000000000000052 +:100EA0000000000000000000000000000000000042 +:100EB0000000000000000000000000000000000032 +:100EC0000000000000000000000000000000000022 +:100ED0000000000000000000000000000000000012 +:100EE0000000000000000000000000000000000002 +:100EF00000000000000000000000000000000000F2 +:100F000000000000000000000000000000000000E1 +:100F100000000000000000000000000000000000D1 +:100F200000000000000000000000000000000000C1 +:100F300000000000000000000000000000000000B1 +:100F400000000000000000000000000000000000A1 +:100F50000000000000000000000000000000000091 +:100F60000000000000000000000000000000000081 +:100F70000000000000000000000000000000000071 +:100F80000000000000000000000000000000000061 +:100F90000000000000000000000000000000000051 +:100FA0000000000000000000000000000000000041 +:100FB0000000000000000000000000000000000031 +:100FC0000000000000000000000000000000000021 +:100FD0000000000000000000000000000000000011 +:100FE0000000000000000000000000000000000001 +:100FF00000000000000000000000000000000000F1 +:1010000000000000000000000000000000000000E0 +:1010100000000000000000000000000000000000D0 +:1010200000000000000000000000000000000000C0 +:1010300000000000000000000000000000000000B0 +:1010400000000000000000000000000000000000A0 +:101050000000000000000000000000000000000090 +:101060000000000000000000000000000000000080 +:101070000000000000000000000000000000000070 +:101080000000000000000000000000000000000060 +:101090000000000000000000000000000000000050 +:1010A0000000000000000000000000000000000040 +:1010B0000000000000000000000000000000000030 +:1010C0000000000000000000000000000000000020 +:1010D0000000000000000000000000000000000010 +:1010E0000000000000000000000000000000000000 +:1010F00000000000000000000000000000000000F0 +:1011000000000000000000000000000000000000DF +:1011100000000000000000000000000000000000CF +:1011200000000000000000000000000000000000BF +:1011300000000000000000000000000000000000AF +:10114000000000000000000000000000000000009F +:10115000000000000000000000000000000000008F +:10116000000000000000000000000000000000007F +:10117000000000000000000000000000000000006F +:10118000000000000000000000000000000000005F +:10119000000000000000000000000000000000004F +:1011A000000000000000000000000000000000003F +:1011B000000000000000000000000000000000002F +:1011C000000000000000000000000000000000001F +:1011D000000000000000000000000000000000000F +:1011E00000000000000000000000000000000000FF +:1011F00000000000000000000000000000000000EF +:1012000000000000000000000000000000000000DE +:1012100000000000000000000000000000000000CE +:1012200000000000000000000000000000000000BE +:1012300000000000000000000000000000000000AE +:10124000000000000000000000000000000000009E +:10125000000000000000000000000000000000008E +:10126000000000000000000000000000000000007E +:10127000000000000000000000000000000000006E +:10128000000000000000000000000000000000005E +:10129000000000000000000000000000000000004E +:1012A000000000000000000000000000000000003E +:1012B000000000000000000000000000000000002E +:1012C000000000000000000000000000000000001E +:1012D000000000000000000000000000000000000E +:1012E00000000000000000000000000000000000FE +:1012F00000000000000000000000000000000000EE +:1013000000000000000000000000000000000000DD +:1013100000000000000000000000000000000000CD +:1013200000000000000000000000000000000000BD +:1013300000000000000000000000000000000000AD +:10134000000000000000000000000000000000009D +:10135000000000000000000000000000000000008D +:10136000000000000000000000000000000000007D +:10137000000000000000000000000000000000006D +:10138000000000000000000000000000000000005D +:10139000000000000000000000000000000000004D +:1013A000000000000000000000000000000000003D +:1013B000000000000000000000000000000000002D +:1013C000000000000000000000000000000000001D +:1013D000000000000000000000000000000000000D +:1013E00000000000000000000000000000000000FD +:1013F00000000000000000000000000000000000ED +:1014000000000000000000000000000000000000DC +:1014100000000000000000000000000000000000CC +:1014200000000000000000000000000000000000BC +:1014300000000000000000000000000000000000AC +:10144000000000000000000000000000000000009C +:10145000000000000000000000000000000000008C +:10146000000000000000000000000000000000007C +:10147000000000000000000000000000000000006C +:10148000000000000000000000000000000000005C +:10149000000000000000000000000000000000004C +:1014A000000000000000000000000000000000003C +:1014B000000000000000000000000000000000002C +:1014C000000000000000000000000000000000001C +:1014D000000000000000000000000000000000000C +:1014E00000000000000000000000000000000000FC +:1014F00000000000000000000000000000000000EC +:1015000000000000000000000000000000000000DB +:1015100000000000000000000000000000000000CB +:1015200000000000000000000000000000000000BB +:1015300000000000000000000000000000000000AB +:10154000000000000000000000000000000000009B +:10155000000000000000000000000000000000008B +:10156000000000000000000000000000000000007B +:10157000000000000000000000000000000000006B +:10158000000000000000000000000000000000005B +:10159000000000000000000000000000000000004B +:1015A000000000000000000000000000000000003B +:1015B000000000000000000000000000000000002B +:1015C000000000000000000000000000000000001B +:1015D000000000000000000000000000000000000B +:1015E00000000000000000000000000000000000FB +:1015F00000000000000000000000000000000000EB +:1016000000000000000000000000000000000000DA +:1016100000000000000000000000000000000000CA +:1016200000000000000000000000000000000000BA +:1016300000000000000000000000000000000000AA +:10164000000000000000000000000000000000009A +:10165000000000000000000000000000000000008A +:10166000000000000000000000000000000000007A +:10167000000000000000000000000000000000006A +:10168000000000000000000000000000000000005A +:10169000000000000000000000000000000000004A +:1016A000000000000000000000000000000000003A +:1016B000000000000000000000000000000000002A +:1016C000000000000000000000000000000000001A +:1016D000000000000000000000000000000000000A +:1016E00000000000000000000000000000000000FA +:1016F00000000000000000000000000000000000EA +:1017000000000000000000000000000000000000D9 +:1017100000000000000000000000000000000000C9 +:1017200000000000000000000000000000000000B9 +:1017300000000000000000000000000000000000A9 +:101740000000000000000000000000000000000099 +:101750000000000000000000000000000000000089 +:101760000000000000000000000000000000000079 +:101770000000000000000000000000000000000069 +:101780000000000000000000000000000000000059 +:101790000000000000000000000000000000000049 +:1017A0000000000000000000000000000000000039 +:1017B0000000000000000000000000000000000029 +:1017C0000000000000000000000000000000000019 +:1017D0000000000000000000000000000000000009 +:1017E00000000000000000000000000000000000F9 +:1017F00000000000000000000000000000000000E9 +:1018000000000000000000000000000000000000D8 +:1018100000000000000000000000000000000000C8 +:1018200000000000000000000000000000000000B8 +:1018300000000000000000000000000000000000A8 +:101840000000000000000000000000000000000098 +:101850000000000000000000000000000000000088 +:101860000000000000000000000000000000000078 +:101870000000000000000000000000000000000068 +:101880000000000000000000000000000000000058 +:101890000000000000000000000000000000000048 +:1018A0000000000000000000000000000000000038 +:1018B0000000000000000000000000000000000028 +:1018C0000000000000000000000000000000000018 +:1018D0000000000000000000000000000000000008 +:1018E00000000000000000000000000000000000F8 +:1018F00000000000000000000000000000000000E8 +:1019000000000000000000000000000000000000D7 +:1019100000000000000000000000000000000000C7 +:1019200000000000000000000000000000000000B7 +:1019300000000000000000000000000000000000A7 +:101940000000000000000000000000000000000097 +:101950000000000000000000000000000000000087 +:101960000000000000000000000000000000000077 +:101970000000000000000000000000000000000067 +:101980000000000000000000000000000000000057 +:101990000000000000000000000000000000000047 +:1019A0000000000000000000000000000000000037 +:1019B0000000000000000000000000000000000027 +:1019C0000000000000000000000000000000000017 +:1019D0000000000000000000000000000000000007 +:1019E00000000000000000000000000000000000F7 +:1019F00000000000000000000000000000000000E7 +:101A000000000000000000000000000000000000D6 +:101A100000000000000000000000000000000000C6 +:101A200000000000000000000000000000000000B6 +:101A300000000000000000000000000000000000A6 +:101A40000000000000000000000000000000000096 +:101A50000000000000000000000000000000000086 +:101A60000000000000000000000000000000000076 +:101A70000000000000000000000000000000000066 +:101A80000000000000000000000000000000000056 +:101A90000000000000000000000000000000000046 +:101AA0000000000000000000000000000000000036 +:101AB0000000000000000000000000000000000026 +:101AC0000000000000000000000000000000000016 +:101AD0000000000000000000000000000000000006 +:101AE00000000000000000000000000000000000F6 +:101AF00000000000000000000000000000000000E6 +:101B000000000000000000000000000000000000D5 +:101B100000000000000000000000000000000000C5 +:101B200000000000000000000000000000000000B5 +:101B300000000000000000000000000000000000A5 +:101B40000000000000000000000000000000000095 +:101B50000000000000000000000000000000000085 +:101B60000000000000000000000000000000000075 +:101B70000000000000000000000000000000000065 +:101B80000000000000000000000000000000000055 +:101B90000000000000000000000000000000000045 +:101BA0000000000000000000000000000000000035 +:101BB0000000000000000000000000000000000025 +:101BC0000000000000000000000000000000000015 +:101BD0000000000000000000000000000000000005 +:101BE00000000000000000000000000000000000F5 +:101BF00000000000000000000000000000000000E5 +:101C000000000000000000000000000000000000D4 +:101C100000000000000000000000000000000000C4 +:101C200000000000000000000000000000000000B4 +:101C300000000000000000000000000000000000A4 +:101C40000000000000000000000000000000000094 +:101C50000000000000000000000000000000000084 +:101C60000000000000000000000000000000000074 +:101C70000000000000000000000000000000000064 +:101C80000000000000000000000000000000000054 +:101C90000000000000000000000000000000000044 +:101CA0000000000000000000000000000000000034 +:101CB0000000000000000000000000000000000024 +:101CC0000000000000000000000000000000000014 +:101CD0000000000000000000000000000000000004 +:101CE00000000000000000000000000000000000F4 +:101CF00000000000000000000000000000000000E4 +:101D000000000000000000000000000000000000D3 +:101D100000000000000000000000000000000000C3 +:101D200000000000000000000000000000000000B3 +:101D300000000000000000000000000000000000A3 +:101D40000000000000000000000000000000000093 +:101D50000000000000000000000000000000000083 +:101D60000000000000000000000000000000000073 +:101D70000000000000000000000000000000000063 +:101D80000000000000000000000000000000000053 +:101D90000000000000000000000000000000000043 +:101DA0000000000000000000000000000000000033 +:101DB0000000000000000000000000000000000023 +:101DC0000000000000000000000000000000000013 +:101DD0000000000000000000000000000000000003 +:101DE00000000000000000000000000000000000F3 +:101DF00000000000000000000000000000000000E3 +:101E000000000000000000000000000000000000D2 +:101E100000000000000000000000000000000000C2 +:101E200000000000000000000000000000000000B2 +:101E300000000000000000000000000000000000A2 +:101E40000000000000000000000000000000000092 +:101E50000000000000000000000000000000000082 +:101E60000000000000000000000000000000000072 +:101E70000000000000000000000000000000000062 +:101E80000000000000000000000000000000000052 +:101E90000000000000000000000000000000000042 +:101EA0000000000000000000000000000000000032 +:101EB0000000000000000000000000000000000022 +:101EC0000000000000000000000000000000000012 +:101ED0000000000000000000000000000000000002 +:101EE00000000000000000000000000000000000F2 +:101EF00000000000000000000000000000000000E2 +:101F000000000000000000000000000000000000D1 +:101F100000000000000000000000000000000000C1 +:101F200000000000000000000000000000000000B1 +:101F300000000000000000000000000000000000A1 +:101F40000000000000000000000000000000000091 +:101F50000000000000000000000000000000000081 +:101F60000000000000000000000000000000000071 +:101F70000000000000000000000000000000000061 +:101F80000000000000000000000000000000000051 +:101F90000000000000000000000000000000000041 +:101FA0000000000000000000000000000000000031 +:101FB0000000000000000000000000000000000021 +:101FC0000000000000000000000000000000000011 +:101FD0000000000000000000000000000000000001 +:101FE00000000000000000000000000000000000F1 +:101FF00000000000000000000000000000000000E1 +:1020000000000000000000000000000000000000D0 +:1020100000000000000000000000000000000000C0 +:1020200000000000000000000000000000000000B0 +:1020300000000000000000000000000000000000A0 +:102040000000000000000000000000000000000090 +:102050000000000000000000000000000000000080 +:102060000000000000000000000000000000000070 +:102070000000000000000000000000000000000060 +:102080000000000000000000000000000000000050 +:102090000000000000000000000000000000000040 +:1020A0000000000000000000000000000000000030 +:1020B0000000000000000000000000000000000020 +:1020C0000000000000000000000000000000000010 +:1020D0000000000000000000000000000000000000 +:1020E00000000000000000000000000000000000F0 +:1020F00000000000000000000000000000000000E0 +:1021000000000000000000000000000000000000CF +:1021100000000000000000000000000000000000BF +:1021200000000000000000000000000000000000AF +:10213000000000000000000000000000000000009F +:10214000000000000000000000000000000000008F +:10215000000000000000000000000000000000007F +:10216000000000000000000000000000000000006F +:10217000000000000000000000000000000000005F +:10218000000000000000000000000000000000004F +:10219000000000000000000000000000000000003F +:1021A000000000000000000000000000000000002F +:1021B000000000000000000000000000000000001F +:1021C000000000000000000000000000000000000F +:1021D00000000000000000000000000000000000FF +:1021E00000000000000000000000000000000000EF +:1021F00000000000000000000000000000000000DF +:1022000000000000000000000000000000000000CE +:1022100000000000000000000000000000000000BE +:1022200000000000000000000000000000000000AE +:10223000000000000000000000000000000000009E +:10224000000000000000000000000000000000008E +:10225000000000000000000000000000000000007E +:10226000000000000000000000000000000000006E +:10227000000000000000000000000000000000005E +:10228000000000000000000000000000000000004E +:10229000000000000000000000000000000000003E +:1022A000000000000000000000000000000000002E +:1022B000000000000000000000000000000000001E +:1022C000000000000000000000000000000000000E +:1022D00000000000000000000000000000000000FE +:1022E00000000000000000000000000000000000EE +:1022F00000000000000000000000000000000000DE +:1023000000000000000000000000000000000000CD +:1023100000000000000000000000000000000000BD +:1023200000000000000000000000000000000000AD +:10233000000000000000000000000000000000009D +:10234000000000000000000000000000000000008D +:10235000000000000000000000000000000000007D +:10236000000000000000000000000000000000006D +:10237000000000000000000000000000000000005D +:10238000000000000000000000000000000000004D +:10239000000000000000000000000000000000003D +:1023A000000000000000000000000000000000002D +:1023B000000000000000000000000000000000001D +:1023C000000000000000000000000000000000000D +:1023D00000000000000000000000000000000000FD +:1023E00000000000000000000000000000000000ED +:1023F00000000000000000000000000000000000DD +:1024000000000000000000000000000000000000CC +:1024100000000000000000000000000000000000BC +:1024200000000000000000000000000000000000AC +:10243000000000000000000000000000000000009C +:10244000000000000000000000000000000000008C +:10245000000000000000000000000000000000007C +:10246000000000000000000000000000000000006C +:10247000000000000000000000000000000000005C +:10248000000000000000000000000000000000004C +:10249000000000000000000000000000000000003C +:1024A000000000000000000000000000000000002C +:1024B000000000000000000000000000000000001C +:1024C000000000000000000000000000000000000C +:1024D00000000000000000000000000000000000FC +:1024E00000000000000000000000000000000000EC +:1024F00000000000000000000000000000000000DC +:1025000000000000000000000000000000000000CB +:1025100000000000000000000000000000000000BB +:1025200000000000000000000000000000000000AB +:10253000000000000000000000000000000000009B +:10254000000000000000000000000000000000008B +:10255000000000000000000000000000000000007B +:10256000000000000000000000000000000000006B +:10257000000000000000000000000000000000005B +:10258000000000000000000000000000000000004B +:10259000000000000000000000000000000000003B +:1025A000000000000000000000000000000000002B +:1025B000000000000000000000000000000000001B +:1025C000000000000000000000000000000000000B +:1025D00000000000000000000000000000000000FB +:1025E00000000000000000000000000000000000EB +:1025F00000000000000000000000000000000000DB +:1026000000000000000000000000000000000000CA +:1026100000000000000000000000000000000000BA +:1026200000000000000000000000000000000000AA +:10263000000000000000000000000000000000009A +:10264000000000000000000000000000000000008A +:10265000000000000000000000000000000000007A +:10266000000000000000000000000000000000006A +:10267000000000000000000000000000000000005A +:10268000000000000000000000000000000000004A +:10269000000000000000000000000000000000003A +:1026A000000000000000000000000000000000002A +:1026B000000000000000000000000000000000001A +:1026C000000000000000000000000000000000000A +:1026D00000000000000000000000000000000000FA +:1026E00000000000000000000000000000000000EA +:1026F00000000000000000000000000000000000DA +:1027000000000000000000000000000000000000C9 +:1027100000000000000000000000000000000000B9 +:1027200000000000000000000000000000000000A9 +:102730000000000000000000000000000000000099 +:102740000000000000000000000000000000000089 +:102750000000000000000000000000000000000079 +:102760000000000000000000000000000000000069 +:102770000000000000000000000000000000000059 +:102780000000000000000000000000000000000049 +:102790000000000000000000000000000000000039 +:1027A0000000000000000000000000000000000029 +:1027B0000000000000000000000000000000000019 +:1027C0000000000000000000000000000000000009 +:1027D00000000000000000000000000000000000F9 +:1027E00000000000000000000000000000000000E9 +:1027F00000000000000000000000000000000000D9 +:1028000000000000000000000000000000000000C8 +:1028100000000000000000000000000000000000B8 +:1028200000000000000000000000000000000000A8 +:102830000000000000000000000000000000000098 +:102840000000000000000000000000000000000088 +:102850000000000000000000000000000000000078 +:102860000000000000000000000000000000000068 +:102870000000000000000000000000000000000058 +:102880000000000000000000000000000000000048 +:102890000000000000000000000000000000000038 +:1028A0000000000000000000000000000000000028 +:1028B0000000000000000000000000000000000018 +:1028C0000000000000000000000000000000000008 +:1028D00000000000000000000000000000000000F8 +:1028E00000000000000000000000000000000000E8 +:1028F00000000000000000000000000000000000D8 +:1029000000000000000000000000000000000000C7 +:1029100000000000000000000000000000000000B7 +:1029200000000000000000000000000000000000A7 +:102930000000000000000000000000000000000097 +:102940000000000000000000000000000000000087 +:102950000000000000000000000000000000000077 +:102960000000000000000000000000000000000067 +:102970000000000000000000000000000000000057 +:102980000000000000000000000000000000000047 +:102990000000000000000000000000000000000037 +:1029A0000000000000000000000000000000000027 +:1029B0000000000000000000000000000000000017 +:1029C0000000000000000000000000000000000007 +:1029D00000000000000000000000000000000000F7 +:1029E00000000000000000000000000000000000E7 +:1029F00000000000000000000000000000000000D7 +:102A000000000000000000000000000000000000C6 +:102A100000000000000000000000000000000000B6 +:102A200000000000000000000000000000000000A6 +:102A30000000000000000000000000000000000096 +:102A40000000000000000000000000000000000086 +:102A50000000000000000000000000000000000076 +:102A60000000000000000000000000000000000066 +:102A70000000000000000000000000000000000056 +:102A80000000000000000000000000000000000046 +:102A90000000000000000000000000000000000036 +:102AA0000000000000000000000000000000000026 +:102AB0000000000000000000000000000000000016 +:102AC0000000000000000000000000000000000006 +:102AD00000000000000000000000000000000000F6 +:102AE00000000000000000000000000000000000E6 +:102AF00000000000000000000000000000000000D6 +:102B000000000000000000000000000000000000C5 +:102B100000000000000000000000000000000000B5 +:102B200000000000000000000000000000000000A5 +:102B30000000000000000000000000000000000095 +:102B40000000000000000000000000000000000085 +:102B50000000000000000000000000000000000075 +:102B60000000000000000000000000000000000065 +:102B70000000000000000000000000000000000055 +:102B80000000000000000000000000000000000045 +:102B90000000000000000000000000000000000035 +:102BA0000000000000000000000000000000000025 +:102BB0000000000000000000000000000000000015 +:102BC0000000000000000000000000000000000005 +:102BD00000000000000000000000000000000000F5 +:102BE00000000000000000000000000000000000E5 +:102BF00000000000000000000000000000000000D5 +:102C000000000000000000000000000000000000C4 +:102C100000000000000000000000000000000000B4 +:102C200000000000000000000000000000000000A4 +:102C30000000000000000000000000000000000094 +:102C40000000000000000000000000000000000084 +:102C50000000000000000000000000000000000074 +:102C60000000000000000000000000000000000064 +:102C70000000000000000000000000000000000054 +:102C80000000000000000000000000000000000044 +:102C90000000000000000000000000000000000034 +:102CA0000000000000000000000000000000000024 +:102CB0000000000000000000000000000000000014 +:102CC0000000000000000000000000000000000004 +:102CD00000000000000000000000000000000000F4 +:102CE00000000000000000000000000000000000E4 +:102CF00000000000000000000000000000000000D4 +:102D000000000000000000000000000000000000C3 +:102D100000000000000000000000000000000000B3 +:102D200000000000000000000000000000000000A3 +:102D30000000000000000000000000000000000093 +:102D40000000000000000000000000000000000083 +:102D50000000000000000000000000000000000073 +:102D60000000000000000000000000000000000063 +:102D70000000000000000000000000000000000053 +:102D80000000000000000000000000000000000043 +:102D90000000000000000000000000000000000033 +:102DA0000000000000000000000000000000000023 +:102DB0000000000000000000000000000000000013 +:102DC0000000000000000000000000000000000003 +:102DD00000000000000000000000000000000000F3 +:102DE00000000000000000000000000000000000E3 +:102DF00000000000000000000000000000000000D3 +:102E000000000000000000000000000000000000C2 +:102E100000000000000000000000000000000000B2 +:102E200000000000000000000000000000000000A2 +:102E30000000000000000000000000000000000092 +:102E40000000000000000000000000000000000082 +:102E50000000000000000000000000000000000072 +:102E60000000000000000000000000000000000062 +:102E70000000000000000000000000000000000052 +:102E80000000000000000000000000000000000042 +:102E90000000000000000000000000000000000032 +:102EA0000000000000000000000000000000000022 +:102EB0000000000000000000000000000000000012 +:102EC0000000000000000000000000000000000002 +:102ED00000000000000000000000000000000000F2 +:102EE00000000000000000000000000000000000E2 +:102EF00000000000000000000000000000000000D2 +:102F000000000000000000000000000000000000C1 +:102F100000000000000000000000000000000000B1 +:102F200000000000000000000000000000000000A1 +:102F30000000000000000000000000000000000091 +:102F40000000000000000000000000000000000081 +:102F50000000000000000000000000000000000071 +:102F60000000000000000000000000000000000061 +:102F70000000000000000000000000000000000051 +:102F80000000000000000000000000000000000041 +:102F90000000000000000000000000000000000031 +:102FA0000000000000000000000000000000000021 +:102FB0000000000000000000000000000000000011 +:102FC0000000000000000000000000000000000001 +:102FD00000000000000000000000000000000000F1 +:102FE00000000000000000000000000000000000E1 +:102FF00000000000000000000000000000000000D1 +:1030000000000000000000000000000000000000C0 +:1030100000000000000000000000000000000000B0 +:1030200000000000000000000000000000000000A0 +:103030000000000000000000000000000000000090 +:103040000000000000000000000000000000000080 +:103050000000000000000000000000000000000070 +:103060000000000000000000000000000000000060 +:103070000000000000000000000000000000000050 +:103080000000000000000000000000000000000040 +:103090000000000000000000000000000000000030 +:1030A0000000000000000000000000000000000020 +:1030B0000000000000000000000000000000000010 +:1030C0000000000000000000000000000000000000 +:1030D00000000000000000000000000000000000F0 +:1030E00000000000000000000000000000000000E0 +:1030F00000000000000000000000000000000000D0 +:1031000000000000000000000000000000000000BF +:1031100000000000000000000000000000000000AF +:10312000000000000000000000000000000000009F +:10313000000000000000000000000000000000008F +:10314000000000000000000000000000000000007F +:10315000000000000000000000000000000000006F +:10316000000000000000000000000000000000005F +:10317000000000000000000000000000000000004F +:10318000000000000000000000000000000000003F +:10319000000000000000000000000000000000002F +:1031A000000000000000000000000000000000001F +:1031B000000000000000000000000000000000000F +:1031C00000000000000000000000000000000000FF +:1031D00000000000000000000000000000000000EF +:1031E00000000000000000000000000000000000DF +:1031F00000000000000000000000000000000000CF +:1032000000000000000000000000000000000000BE +:1032100000000000000000000000000000000000AE +:10322000000000000000000000000000000000009E +:10323000000000000000000000000000000000008E +:10324000000000000000000000000000000000007E +:10325000000000000000000000000000000000006E +:10326000000000000000000000000000000000005E +:10327000000000000000000000000000000000004E +:10328000000000000000000000000000000000003E +:10329000000000000000000000000000000000002E +:1032A000000000000000000000000000000000001E +:1032B000000000000000000000000000000000000E +:1032C00000000000000000000000000000000000FE +:1032D00000000000000000000000000000000000EE +:1032E00000000000000000000000000000000000DE +:1032F00000000000000000000000000000000000CE +:1033000000000000000000000000000000000000BD +:1033100000000000000000000000000000000000AD +:10332000000000000000000000000000000000009D +:10333000000000000000000000000000000000008D +:10334000000000000000000000000000000000007D +:10335000000000000000000000000000000000006D +:10336000000000000000000000000000000000005D +:10337000000000000000000000000000000000004D +:10338000000000000000000000000000000000003D +:10339000000000000000000000000000000000002D +:1033A000000000000000000000000000000000001D +:1033B000000000000000000000000000000000000D +:1033C00000000000000000000000000000000000FD +:1033D00000000000000000000000000000000000ED +:1033E00000000000000000000000000000000000DD +:1033F00000000000000000000000000000000000CD +:1034000000000000000000000000000000000000BC +:1034100000000000000000000000000000000000AC +:10342000000000000000000000000000000000009C +:10343000000000000000000000000000000000008C +:10344000000000000000000000000000000000007C +:10345000000000000000000000000000000000006C +:1034600000000000000000000000000000001081CB +:103470000800000000000000000000000000000044 +:10348000000000000000000000000000000000003C +:10349000000000000000000000000000000000002C +:1034A000000000000000000000000000000000001C +:1034B000000000000000000000000000000000000C +:1034C00000000000000000000000000000000000FC +:1034D00000000000000000000000000000000000EC +:1034E00000000000000000000000000000000000DC +:1034F00000000000000000000000000000000000CC +:1035000000000000000000000000000000000000BB +:1035100000000000000000000000000000000000AB +:10352000000000000000000000000000000000009B +:10353000000000000000000000000000000000008B +:10354000000000000000000000000000000000007B +:10355000000000000000000000000000000000006B +:10356000000000000000000000000000000000005B +:10357000000000000000000000000000000000004B +:10358000000000000000000000000000000000003B +:10359000000000000000000000000000000000002B +:1035A000000000000000000000000000000000001B +:1035B000000000000000000000000000000000000B +:1035C00000000000000000000000000000000000FB +:1035D00000000000000000000000000000000000EB +:1035E00000000000000000000000000000000000DB +:1035F000000000810000000000000000000000004A +:1036000000000000000000000000000000000000BA +:1036100000000000000000000000000000000000AA +:10362000000000000000000000000000000000009A +:10363000000000000000000000000000000000008A +:10364000000000000000000000000000000000007A +:10365000000000000000000000000000000000006A +:10366000000000000000000000000000000000005A +:10367000000000000000000000000000000000004A +:10368000000000000000000000000000000000003A +:10369000000000000000000000000000000000002A +:1036A000000000000000000000000000000000001A +:1036B000000000000000000000000000000000000A +:1036C00000000000000000000000000000000000FA +:1036D00000000000000000000000000000000000EA +:1036E00000000000000000000000000000000000DA +:1036F00000000000000000000000000000000000CA +:1037000000000000000000000000000000000000B9 +:1037100000000000000000000000000000000000A9 +:103720000000000000000000000000000000000099 +:103730000000000000000000000000000000000089 +:103740000000000000000000000000000000000079 +:103750000000000000000000000000000000000069 +:103760000000000000000000000000000000000059 +:103770000000000000000000000000000000000049 +:103780000000000000000000000000000000000039 +:103790000000000000000000000000000000000029 +:1037A0000000000000000000000000000000000019 +:1037B0000000000000000000000000000000000009 +:1037C00000000000000000000000000000000000F9 +:1037D00000000000000000000000000000000000E9 +:1037E00000000000000000000000000000000000D9 +:1037F00000000000000000000000000000000000C9 +:1038000000000000000000000000000000000000B8 +:1038100000000000000000000000000000000000A8 +:103820000000000000000000000000000000000098 +:103830000000000000000000000000000000000088 +:103840000000000000000000000000000000000078 +:103850000000000000000000000000000000000068 +:103860000000000000000000000000000000000058 +:103870000000000000000000000000000000000048 +:103880000000000000000000000000000000000038 +:103890000000000000000000000000000000000028 +:1038A0000000000000000000000000000000000018 +:1038B0000000000000000000000000000000000008 +:1038C00000000000000000000000000000000000F8 +:1038D00000000000000000000000000000000000E8 +:1038E00000000000000000000000000000000000D8 +:1038F00000000000000000000000000000000000C8 +:1039000000000000000000000000000000000000B7 +:1039100000000000000000000000000000000000A7 +:103920000000000000000000000000000000000097 +:103930000000000000000000000000000000000087 +:103940000000000000000000000000000000000077 +:103950000000000000000000000000000000000067 +:103960000000000000000000000000000000000057 +:103970000000000000000000000000000000000047 +:103980000000000000000000000000000000000037 +:103990000000000000000000000000000000000027 +:1039A0000000000000000000000000000000000017 +:1039B0000000000000000000000000000000000007 +:1039C00000000000000000000000000000000000F7 +:1039D00000000000000000000000000000000000E7 +:1039E00000000000000000000000000000000000D7 +:1039F00000000000000000000000000000000000C7 +:103A000000000000000000000000000000000000B6 +:103A100000000000000000000000000000000000A6 +:103A20000000000000000000000000000000000096 +:103A30000000000000000000000000000000000086 +:103A40000000000000000000000000000000000076 +:103A50000000000000000000000000000000000066 +:103A60000000000000000000000000000000000056 +:103A70000000000000000000000000000000000046 +:103A80000000000000000000000000000000000036 +:103A90000000000000000000000000000000000026 +:103AA0000000000000000000000000000000000016 +:103AB0000000000000000000000000000000000006 +:103AC00000000000000000000000000000000000F6 +:103AD00000000000000000000000000000000000E6 +:103AE00000000000000000000000000000000000D6 +:103AF00000000000000000000000000000000000C6 +:103B000000000000000000000000000000000000B5 +:103B100000000000000000000000000000000000A5 +:103B20000000000000000000000000000000000095 +:103B30000000000000000000000000000000000085 +:103B40000000000000000000000000000000000075 +:103B50000000000000000000000000000000000065 +:103B60000000000000000000000000000000000055 +:103B70000000000000000000000000000000000045 +:103B80000000000000000000000000000000000035 +:103B90000000000000000000000000000000000025 +:103BA0000000000000000000000000000000000015 +:103BB0000000000000000000000000000000000005 +:103BC00000000000000000000000000000000000F5 +:103BD00000000000000000000000000000000000E5 +:103BE00000000000000000000000000000000000D5 +:103BF00000000000000000000000000000000000C5 +:103C000000000000000000000000000000000000B4 +:103C100000000000000000000000000000000000A4 +:103C20000000000000000000000000000000000094 +:103C30000000000000000000000000000000000084 +:103C40000000000000000000000000000000000074 +:103C50000000000000000000000000000000000064 +:103C60000000000000000000000000000000000054 +:103C70000000000000000000000000000000000044 +:103C80000000000000000000000000000000000034 +:103C90000000000000000000000000000000000024 +:103CA0000000000000000000000000000000000014 +:103CB0000000000000000000000000000000000004 +:103CC00000000000000000000000000000000000F4 +:103CD00000000000000000000000000000000000E4 +:103CE00000000000000000000000000000000000D4 +:103CF00000000000000000000000000000000000C4 +:103D000000000000000000000000000000000000B3 +:103D100000000000000000000000000000000000A3 +:103D20000000000000000000000000000000000093 +:103D30000000000000000000000000000000000083 +:103D40000000000000000000000000000000000073 +:103D50000000000000000000000000000000000063 +:103D60000000000000000000000000000000000053 +:103D70000000000000000000000000000000000043 +:103D80000000000000000000000000000000000033 +:103D90000000000000000000000000000000000023 +:103DA0000000000000000000000000000000000013 +:103DB0000000000000000000000000000000000003 +:103DC00000000000000000000000000000000000F3 +:103DD00000000000000000000000000000000000E3 +:103DE00000000000000000000000000000000000D3 +:103DF00000000000000000000000000000000000C3 +:103E000000000000000000000000000000000000B2 +:103E100000000000000000000000000000000000A2 +:103E20000000000000000000000000000000000092 +:103E30000000000000000000000000000000000082 +:103E40000000000000000000000000000000000072 +:103E50000000000000000000000000000000000062 +:103E60000000000000000000000000000000000052 +:103E70000000000000000000000000000000000042 +:103E80000000000000000000000000000000000032 +:103E90000000000000000000000000000000000022 +:103EA0000000000000000000000000000000000012 +:103EB0000000000000000000000000000000000002 +:103EC00000000000000000000000000000000000F2 +:103ED00000000000000000000000000000000000E2 +:103EE00000000000000000000000000000000000D2 +:103EF00000000000000000000000000000000000C2 +:103F000000000000000000000000000000000000B1 +:103F100000000000000000000000000000000000A1 +:103F20000000000000000000000000000000000091 +:103F30000000000000000000000000000000000081 +:103F40000000000000000000000000000000000071 +:103F50000000000000000000000000000000000061 +:103F60000000000000000000000000000000000051 +:103F70000000000000000000000000000000000041 +:103F80000000000000000000000000000000000031 +:103F90000000000000000000000000000000000021 +:103FA0000000000000000000000000000000000011 +:103FB0000000000000000000000000000000000001 +:103FC00000000000000000000000000000000000F1 +:103FD00000000000000000000000000000000000E1 +:103FE00000000000000000000000000000000000D1 +:103FF00000000000000000000000000000000000C1 +:1040000000000000000000000000000000000000B0 +:1040100000000000000000000000000000000000A0 +:104020000000000000000000000000000000000090 +:104030000000000000000000000000000000000080 +:104040000000000000000000000000000000000070 +:104050000000000000000000000000000000000060 +:104060000000000000000000000000000000000050 +:104070000000000000000000000000000000000040 +:104080000000000000000000000000000000000030 +:104090000000000000000000000000000000000020 +:1040A0000000000000000000000000000000000010 +:1040B0000000000000000000000000000000000000 +:1040C00000000000000000000000000000000000F0 +:1040D00000000000000000000000000000000000E0 +:1040E00000000000000000000000000000000000D0 +:1040F00000000000000000000000000000000000C0 +:1041000000000000000000000000000000000000AF +:10411000000000000000000000000000000000009F +:10412000000000000000000000000000000000008F +:10413000000000000000000000000000000000007F +:10414000000000000000000000000000000000006F +:10415000000000000000000000000000000000005F +:10416000000000000000000000000000000000004F +:10417000000000000000000000000000000000003F +:10418000000000000000000000000000000000002F +:10419000000000000000000000000000000000001F +:1041A000000000000000000000000000000000000F +:1041B00000000000000000000000000000000000FF +:1041C00000000000000000000000000000000000EF +:1041D00000000000000000000000000000000000DF +:1041E00000000000000000000000000000000000CF +:1041F00000000000000000000000000000000000BF +:1042000000000000000000000000000000000000AE +:10421000000000002000000000000000000000007E +:10422000000000000000000000000000000000008E +:10423000000000000000000000000000000000007E +:10424000000000000000000000000000000000006E +:10425000000000000000000000000000000000005E +:10426000000000000000000000000000000000004E +:10427000000000000000000000000000000000003E +:10428000000000000000000000000000000000002E +:10429000000000000000000000000000000000001E +:1042A000000000000000000000000000000000000E +:1042B00000000000000000000000000000000000FE +:1042C00000000000000000000000000000000000EE +:1042D00000000000000000000000000000000000DE +:1042E00000000000000000000000000000000000CE +:1042F00000000000000000000000000000000000BE +:1043000000000000000000000000000000000000AD +:10431000000000000000000000000000000000009D +:10432000000000000000000000000000000000008D +:10433000000000000000000000000000000000007D +:10434000000000000000000000000000000000006D +:10435000000000000000000000000000000000005D +:10436000000000000000000000000000000000004D +:10437000000000000000000000000000000000003D +:10438000000000000000000000000000000000002D +:104390000000000000000000080000000000000015 +:1043A000000000000000000000000000000000000D +:1043B00000000000000000000000000000000000FD +:1043C00000000000000000000000000000000000ED +:1043D00000000000000000000000000000000000DD +:1043E00000000000000000000000000000000000CD +:1043F00000000000000000000000000000000000BD +:1044000000000000000000000000000000000000AC +:10441000000000000000000000000000000000009C +:10442000000000000000000000000000000000008C +:10443000000000000000000000000000000000007C +:10444000000000000000000000000000000000006C +:10445000000000000000000000000000000000005C +:10446000000000000000000000000000000000004C +:10447000000000000000000000000000000000003C +:10448000000000000000000000000000000000002C +:10449000000000000000000000000000000000001C +:1044A000000000000000000000000000000000000C +:1044B00000000000000000000000000000000000FC +:1044C00000000000000000000000000000000000EC +:1044D00000000000000000000000000000000000DC +:1044E00000000000000000000000000000000000CC +:1044F00000000000000000000000000000000000BC +:1045000000000000000000000000000000000000AB +:104510000000000000880000000000000000000013 +:10452000000000000000000000000000000000008B +:10453000000000000000000000000000000000007B +:10454000000000000000000000000000000000006B +:10455000000000000000000000000000000000005B +:10456000000000000000000000000000000000004B +:10457000000000000000000000000000000000003B +:10458000000000000000000000000000000000002B +:10459000000000000000000000000000000000001B +:1045A000000000000000000000000000000000000B +:1045B00000000000000000000000000000000000FB +:1045C00000000000000000000000000000000000EB +:1045D00000000000000000000000000000000000DB +:1045E00000000000000000000000000000000000CB +:1045F00000000000000000000000000000000000BB +:1046000000000000000000000000000000000000AA +:10461000000000000000000000000000000000009A +:10462000000000000000000000000000000000008A +:10463000000000000000000000000000000000007A +:10464000000000000000000000000000000000006A +:10465000000000000000000000000000000000005A +:10466000000000000000000000000000000000004A +:10467000000000000000000000000000000000003A +:10468000000000000000000000000000000000002A +:1046900000000000000000000020000028000000D2 +:1046A000000000000000000000000000000000000A +:1046B00000000000000000000000000000000000FA +:1046C00000000000000000000000000000000000EA +:1046D00000000000000000000000000000000000DA +:1046E00000000000000000000000000000000000CA +:1046F00000000000000000000000000000000000BA +:1047000000000000000000000000000000000000A9 +:104710000000000000000000000000000000000099 +:104720000000000000000000000000000000000089 +:104730000000000000000000000000000000000079 +:104740000000000000000000000000000000000069 +:104750000000000000000000000000000000000059 +:104760000000000000000000000000000000000049 +:104770000000000000000000000000000000000039 +:104780000000000000000000000000000000000029 +:104790000000000000000000000000000000000019 +:1047A0000000000000000000000000000000000009 +:1047B00000000000000000000000000000000000F9 +:1047C00000000000000000000000000000000000E9 +:1047D00000000000000000000000000000000000D9 +:1047E00000000000000000000000000000000000C9 +:1047F00000000000000000000000000000000000B9 +:1048000000000000000000000000000000000000A8 +:104810000000000000000000000000000000000098 +:104820000000000000000000000000000000000088 +:104830000000000000000000000000000000000078 +:104840000000000000000000000000000000000068 +:104850000000000000000000000000000000000058 +:104860000000000000000000000000000000000048 +:1048700000000000000000000000000000800000B8 +:1048800000000000000000000000008000000000A8 +:104890000000000000000000000000000000000018 +:1048A0000000000000000000000000000000000008 +:1048B00000000000000000000000000000000000F8 +:1048C00000000000000000000000000000000000E8 +:1048D00000000000000000000000000000000000D8 +:1048E00000000000000000000000000000000000C8 +:1048F00000000000000000000000000000000000B8 +:1049000000000000000000000000000000000000A7 +:104910000000000000000000000000000000000097 +:104920000000000000000000000000000000000087 +:104930000000000000000000000000000000000077 +:104940000000000000000000000000000000000067 +:104950000000000000000000000000000000000057 +:104960000000000000000000000000000000000047 +:104970000000000000000000000000000000000037 +:104980000000000000000000000000000000000027 +:104990000000000000000000000000000000000017 +:1049A000000000000000000000000000000000788F +:1049B00000000000000000000000000000000000F7 +:1049C00000000000000000000000000000000000E7 +:1049D00000000000000000000000000000000000D7 +:1049E00000000000000000000000000000000000C7 +:1049F00000000000000001000000000000000801AD +:104A0000000100000000000D010000000000008017 +:104A10000000000A0000000E00000000000000007E +:104A20000000000000000000000000000000000086 +:104A30000000000000000100000000000000000075 +:104A40000000000000000000000000000000000066 +:104A50000000000000000000000000000000000056 +:104A60000000000000000000000000000000000046 +:104A70000000000000000000000000000000000036 +:104A80000000000000000000000000000000000026 +:104A90000000000000000000000000000000000016 +:104AA0000000000000000000000000000000000006 +:104AB00000000000000000000000000000000000F6 +:104AC00000000000000000000000000000000000E6 +:104AD00000000000000000000000000000000000D6 +:104AE00000000000000000000000000000000000C6 +:104AF00000000000000000000000000000000000B6 +:104B000000000000000000000000000000000000A5 +:104B10000000000000000000000000000000000095 +:104B20000000000000000000000000000000000085 +:104B30000000000100000000000000000000000074 +:104B40000000000000000000000000000000000065 +:104B50000000000000000000000000000000000055 +:104B60000000000000000000000000000000000045 +:104B70000000000000000000000000000000000035 +:104B800000000100003000000000000000000000F4 +:104B90000000000000000000000000000000000015 +:104BA0000000000000000000000000000000000005 +:104BB00000000000000000000000000000000000F5 +:104BC00000000000000000000000000000000000E5 +:104BD00000000000000000000000000000000000D5 +:104BE00000000000000000000000000000000000C5 +:104BF00000000000000000000000000000000000B5 +:104C000000000000000000000000000000000000A4 +:104C10000000000000000000000000000000000094 +:104C20000000000000000000000000000000000084 +:104C30000000000000000000000000000000000074 +:104C40000000000000000000000000000000000064 +:104C50000000000000000000000000000000000054 +:104C60000000000000000000000000000000000044 +:104C70000000000000000000000000000000000034 +:104C80000000000000000000000000000000000024 +:104C90000000000000000000000000000000000014 +:104CA0000000000000000000000000000000000004 +:104CB00000000000000000000000000000000000F4 +:104CC00000000000000000000000000000000000E4 +:104CD0000000000000000080000000000000000054 +:104CE00000000000000000000000000000000000C4 +:104CF00000000000000000000000000000000000B4 +:104D000000000000000000000000000000000000A3 +:104D10000000000000000000000000000000000093 +:104D20000000000000000000000000000000000083 +:104D30000000000000000000000000000000000073 +:104D40000000000000000000000000000000000063 +:104D50000000000000000000000000000000000053 +:104D60000000000000000000000000000000000043 +:104D70000000000000000000000000000000000033 +:104D80000000000000000000000000000000000023 +:104D90000000000000000000000000000000000013 +:104DA0000000000000000000000000000000000003 +:104DB00000000000000000000000000000000000F3 +:104DC00000000000000000000000000000000000E3 +:104DD00000000000000000000000000000000000D3 +:104DE00000000000000000000000000000000000C3 +:104DF00000000000000000000000000000000000B3 +:104E000000000000000000000000000000000000A2 +:104E10000000000000000000000000000000000092 +:104E20000000000000000000000000000000000082 +:104E30000000000000000000000000000000000072 +:104E40000000000000000000000000000000000062 +:104E50000000000000000000000000100000000042 +:104E60000000000000000000000000000000000042 +:104E70000000000000000000000000000000000032 +:104E80000000000000000000000000000000000022 +:104E90000000000000000000000000000000000012 +:104EA0000000000000000000000000000000000002 +:104EB00000000000000000000000000000000000F2 +:104EC00000000000000000000000000000000000E2 +:104ED00000000000000000000000000000000000D2 +:104EE00000000000000000000000000000000000C2 +:104EF00000000000000000000000000000000000B2 +:104F000000000000000000000000000000000000A1 +:104F10000000000000000000000000000000000091 +:104F20000000000000000000000000000000000081 +:104F30000000000000000000000000000000000071 +:104F40000000000000000000000000000000000061 +:104F50000000000000000000000000000000000051 +:104F60000000000000000000000000000000000041 +:104F70000000000000000000000000000000000031 +:104F80000000000000000000000000000000000021 +:104F90000000000000000000000000000000000011 +:104FA0000000000000000000000000000000000001 +:104FB0000060000000000000000000000000000091 +:104FC00000000000000000000000000000000000E1 +:104FD00000000000000000000000000000000000D1 +:104FE00000000000000000000000000000000000C1 +:104FF00000000000000000000000000000000000B1 +:1050000000000000000000000000000000000000A0 +:105010000000000000000000000000000000000090 +:105020000000000000000000000000000000000080 +:105030000000000000000000000000000000000070 +:105040000000000000000000000000000000000060 +:105050000000000000000000000000000000000050 +:105060000000000000000000000000000000000040 +:105070000000000000000000000000000000000030 +:105080000000000000000000000000000000000020 +:105090000000000000000000000000000000000010 +:1050A0000000000000000000000000000000000000 +:1050B00000000000000000000000000000000000F0 +:1050C00000000000000000000000000000000000E0 +:1050D00000000000000000000000000000000000D0 +:1050E00000000000000000000000000000000000C0 +:1050F00000000000000000000000000000000000B0 +:10510000000000000000000000000000000000009F +:10511000000000000000000000000000000000008F +:10512000000000000000000000000000000000007F +:10513000000000000000000000000000000000006F +:10514000000000000000000000000000000000005F +:10515000000000000000000000000000000000004F +:10516000000000000000000000000000000000003F +:10517000000000000000000000000000000000002F +:10518000000000000000000000000000000000001F +:10519000000000000000000000000000000000000F +:1051A00000000000000000000000000000000000FF +:1051B00000000000000000000000000000000000EF +:1051C00000000000000000000000000000000000DF +:1051D00000000000000000000000000000000000CF +:1051E00000000000000000000000000000000000BF +:1051F00000000000000000000000000000000000AF +:10520000000000000000000000000000000000009E +:10521000000000000000000000000000000000008E +:10522000000000000000000000000000000000007E +:10523000000000000000000000000000000000006E +:10524000000000000000000000000000000000005E +:10525000000000000000000000000000000000004E +:10526000000000000000000000000000000000003E +:10527000000000000000000000000000000000002E +:10528000000000000000000000000000000000001E +:10529000000000000000000000000000000000000E +:1052A00000000000000000000000000000000000FE +:1052B00000000000000000000000B40A0C000180A3 +:1052C000000000500C000180000000C0040000003D +:1052D00004000000040000000400000004000000BE +:1052E00004000000040000000400000004000000AE +:1052F000040000000400000004000000040000009E +:10530000040000000400000004000000040000008D +:10531000040000000400000004000000040000007D +:10532000040000000400000004000000040000006D +:10533000040000000400000004000000040000005D +:10534000040000000400000004000000040000004D +:10535000040000000400000004000000040000003D +:10536000040000000400000004000000040000002D +:10537000040000000400000004000000040000001D +:10538000040000000400000004000000040000000D +:1053900004000000040000000400000004000000FD +:1053A00004000000040000000400000004000000ED +:1053B00004000000040000000400000004000000DD +:1053C00004000000040000000400000004000000CD +:1053D00004000000040000000400000004000000BD +:1053E00004000000040000000400000004000000AD +:1053F000040000000400000004000000040000009D +:10540000040000000400000004000000040000008C +:10541000040000000400000004000000040000007C +:10542000040000000400000004000000040000006C +:10543000040000000400000004000000040000005C +:10544000040000000400000004000000040000004C +:105450000C000180000000A00C000580000000008E +:105460000C0000800000FAEA0C000180000000B08F +:10547000040000000400000004000000040000001C +:00000001FF diff --git a/Cursor/hds/.hdlsidedata/_cursorcircuit_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_cursorcircuit_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_cursorcircuit_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor/hds/.hdlsidedata/_cursorcircuit_struct.vhg._fpf b/Cursor/hds/.hdlsidedata/_cursorcircuit_struct.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_cursorcircuit_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Cursor/hds/.hdlsidedata/_lcddisplay_entity.vhg._fpf b/Cursor/hds/.hdlsidedata/_lcddisplay_entity.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_lcddisplay_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Cursor/hds/.hdlsidedata/_lcddisplay_fsm.vhg._fpf b/Cursor/hds/.hdlsidedata/_lcddisplay_fsm.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Cursor/hds/.hdlsidedata/_lcddisplay_fsm.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Cursor/hds/_cursorcircuit._epf b/Cursor/hds/_cursorcircuit._epf new file mode 100644 index 0000000..ad4dfe3 --- /dev/null +++ b/Cursor/hds/_cursorcircuit._epf @@ -0,0 +1,3 @@ +TOP_MARKER atom 1 +DEFAULT_ARCHITECTURE atom studentVersion +DEFAULT_FILE atom cursor@circuit/student@version.bd diff --git a/Cursor/hds/cursor@circuit/student@version.bd b/Cursor/hds/cursor@circuit/student@version.bd new file mode 100644 index 0000000..6102de8 --- /dev/null +++ b/Cursor/hds/cursor@circuit/student@version.bd @@ -0,0 +1,4837 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "studentVersion" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit" +) +(vvPair +variable "date" +value "13.06.2019" +) +(vvPair +variable "day" +value "Thu" +) +(vvPair +variable "day_long" +value "Thursday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "cursorCircuit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "student@version.bd" +) +(vvPair +variable "f_logical" +value "studentVersion.bd" +) +(vvPair +variable "f_noext" +value "student@version" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "13.06.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "13:07:15" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "D:\\Users\\Syslo\\Chronometer\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Cursor/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "D:\\Users\\Syslo\\Chronometer\\Synthesis" +) +(vvPair +variable "mm" +value "06" +) +(vvPair +variable "module_name" +value "cursorCircuit" +) +(vvPair +variable "month" +value "Jun" +) +(vvPair +variable "month_long" +value "June" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\student@version.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit\\studentVersion.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME/bin/lin64" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "student@version" +) +(vvPair +variable "this_file_logical" +value "studentVersion" +) +(vvPair +variable "time" +value "13:07:15" +) +(vvPair +variable "unit" +value "cursorCircuit" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "studentVersion" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (Net +uid 209,0 +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 1,0 +) +declText (MLText +uid 210,0 +va (VaSet +isHidden 1 +) +xt "26000,1000,37800,2200" +st "reset : std_ulogic +" +) +) +*2 (Net +uid 217,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +declText (MLText +uid 218,0 +va (VaSet +isHidden 1 +) +xt "26000,-2600,37900,-1400" +st "clock : std_ulogic +" +) +) +*3 (Grouping +uid 812,0 +optionalChildren [ +*4 (CommentText +uid 814,0 +shape (Rectangle +uid 815,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "152000,144000,171000,146000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 816,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "152200,144400,167600,145600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 817,0 +shape (Rectangle +uid 818,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "120000,144000,146000,146000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 819,0 +va (VaSet +fg "32768,0,0" +font "Verdana,12,1" +) +xt "126150,144300,139850,145700" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 820,0 +shape (Rectangle +uid 821,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "125000,150000,146000,152000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 822,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "125200,150400,143300,151600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 823,0 +shape (Rectangle +uid 824,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "146000,144000,152000,146000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 825,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "146200,144400,150900,145600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 826,0 +shape (Rectangle +uid 827,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "125000,146000,146000,148000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 828,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "125200,146400,140400,147600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 829,0 +shape (Rectangle +uid 830,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "120000,146000,125000,148000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 831,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "120200,146400,123600,147600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 832,0 +shape (Rectangle +uid 833,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "120000,148000,125000,150000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 834,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "120200,148400,123600,149600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 835,0 +shape (Rectangle +uid 836,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "146000,146000,171000,152000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 837,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "146200,146200,160300,147400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*12 (CommentText +uid 838,0 +shape (Rectangle +uid 839,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "125000,148000,146000,150000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 840,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "125200,148400,145800,149600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 841,0 +shape (Rectangle +uid 842,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "120000,150000,125000,152000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 843,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "120200,150400,124500,151600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 813,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "120000,144000,171000,152000" +) +oxt "13000,22000,64000,30000" +) +*14 (PortIoIn +uid 1991,0 +shape (CompositeShape +uid 1992,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1993,0 +sl 0 +ro 270 +xt "42000,39625,43500,40375" +) +(Line +uid 1994,0 +sl 0 +ro 270 +xt "43500,40000,44000,40000" +pts [ +"43500,40000" +"44000,40000" +] +) +] +) +tg (WTG +uid 1995,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1996,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "35900,39300,41000,40700" +st "restart" +ju 2 +blo "41000,40500" +tm "WireNameMgr" +) +s (Text +uid 1997,0 +va (VaSet +) +xt "35900,40700,35900,40700" +ju 2 +blo "35900,40700" +tm "SignalTypeMgr" +) +) +) +*15 (Net +uid 2004,0 +decl (Decl +n "restart" +t "std_uLogic" +o 9 +suid 3,0 +) +declText (MLText +uid 2005,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-17000,5800,-7400,6800" +st "restart : std_uLogic +" +) +) +*16 (PortIoIn +uid 2308,0 +shape (CompositeShape +uid 2309,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2310,0 +sl 0 +ro 270 +xt "42000,65625,43500,66375" +) +(Line +uid 2311,0 +sl 0 +ro 270 +xt "43500,66000,44000,66000" +pts [ +"43500,66000" +"44000,66000" +] +) +] +) +tg (WTG +uid 2312,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2313,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "34300,65300,41000,66700" +st "testMode" +ju 2 +blo "41000,66500" +tm "WireNameMgr" +) +s (Text +uid 2314,0 +va (VaSet +font "Verdana,12,0" +) +xt "34300,66700,34300,66700" +ju 2 +blo "34300,66700" +tm "SignalTypeMgr" +) +) +) +*17 (Net +uid 2321,0 +decl (Decl +n "testMode" +t "std_uLogic" +o 12 +suid 4,0 +) +declText (MLText +uid 2322,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-17000,5800,-6600,6800" +st "testMode : std_uLogic +" +) +) +*18 (PortIoOut +uid 2942,0 +shape (CompositeShape +uid 2943,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 2944,0 +sl 0 +ro 270 +xt "160500,-9375,162000,-8625" +) +(Line +uid 2945,0 +sl 0 +ro 270 +xt "160000,-9000,160500,-9000" +pts [ +"160000,-9000" +"160500,-9000" +] +) +] +) +tg (WTG +uid 2946,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2947,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "163000,-9700,182600,-8300" +st "testOut : (1 TO testLineNb)" +blo "163000,-8500" +tm "WireNameMgr" +) +s (Text +uid 2948,0 +va (VaSet +font "Verdana,12,0" +) +xt "163000,-8300,163000,-8300" +blo "163000,-8300" +tm "SignalTypeMgr" +) +) +) +*19 (Net +uid 2955,0 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 TO testLineNb)" +o 21 +suid 5,0 +) +declText (MLText +uid 2956,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,800,20600,1800" +st "testOut : std_uLogic_vector(1 TO testLineNb) +" +) +) +*20 (PortIoIn +uid 3259,0 +shape (CompositeShape +uid 3260,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 3261,0 +sl 0 +ro 270 +xt "42000,35625,43500,36375" +) +(Line +uid 3262,0 +sl 0 +ro 270 +xt "43500,36000,44000,36000" +pts [ +"43500,36000" +"44000,36000" +] +) +] +) +tg (WTG +uid 3263,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3264,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "35100,35300,41000,36700" +st "sensor1" +ju 2 +blo "41000,36500" +tm "WireNameMgr" +) +s (Text +uid 3265,0 +va (VaSet +font "Verdana,12,0" +) +xt "35100,36700,35100,36700" +ju 2 +blo "35100,36700" +tm "SignalTypeMgr" +) +) +) +*21 (Net +uid 3272,0 +decl (Decl +n "sensor1" +t "std_uLogic" +o 10 +suid 6,0 +) +declText (MLText +uid 3273,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,800,10100,1800" +st "sensor1 : std_uLogic +" +) +) +*22 (PortIoIn +uid 3274,0 +shape (CompositeShape +uid 3275,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 3276,0 +sl 0 +ro 270 +xt "42000,33625,43500,34375" +) +(Line +uid 3277,0 +sl 0 +ro 270 +xt "43500,34000,44000,34000" +pts [ +"43500,34000" +"44000,34000" +] +) +] +) +tg (WTG +uid 3278,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3279,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "35100,33300,41000,34700" +st "sensor2" +ju 2 +blo "41000,34500" +tm "WireNameMgr" +) +s (Text +uid 3280,0 +va (VaSet +font "Verdana,12,0" +) +xt "35100,34700,35100,34700" +ju 2 +blo "35100,34700" +tm "SignalTypeMgr" +) +) +) +*23 (Net +uid 3287,0 +decl (Decl +n "sensor2" +t "std_uLogic" +o 11 +suid 7,0 +) +declText (MLText +uid 3288,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,800,10100,1800" +st "sensor2 : std_uLogic +" +) +) +*24 (PortIoOut +uid 3517,0 +shape (CompositeShape +uid 3518,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 3519,0 +sl 0 +ro 270 +xt "140500,59625,142000,60375" +) +(Line +uid 3520,0 +sl 0 +ro 270 +xt "140000,60000,140500,60000" +pts [ +"140000,60000" +"140500,60000" +] +) +] +) +tg (WTG +uid 3521,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3522,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "143000,59300,149300,60700" +st "motorOn" +blo "143000,60500" +tm "WireNameMgr" +) +s (Text +uid 3523,0 +va (VaSet +font "Verdana,12,0" +) +xt "143000,60700,143000,60700" +blo "143000,60700" +tm "SignalTypeMgr" +) +) +) +*25 (Net +uid 3530,0 +decl (Decl +n "motorOn" +t "std_uLogic" +o 18 +suid 10,0 +) +declText (MLText +uid 3531,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,800,10500,1800" +st "motorOn : std_uLogic +" +) +) +*26 (PortIoOut +uid 4255,0 +shape (CompositeShape +uid 4256,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4257,0 +sl 0 +ro 270 +xt "140500,67625,142000,68375" +) +(Line +uid 4258,0 +sl 0 +ro 270 +xt "140000,68000,140500,68000" +pts [ +"140000,68000" +"140500,68000" +] +) +] +) +tg (WTG +uid 4259,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4260,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "143000,67300,147200,68700" +st "side1" +blo "143000,68500" +tm "WireNameMgr" +) +s (Text +uid 4261,0 +va (VaSet +font "Verdana,12,0" +) +xt "143000,68700,143000,68700" +blo "143000,68700" +tm "SignalTypeMgr" +) +) +) +*27 (Net +uid 4268,0 +decl (Decl +n "side1" +t "std_uLogic" +o 19 +suid 12,0 +) +declText (MLText +uid 4269,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,800,9700,1800" +st "side1 : std_uLogic +" +) +) +*28 (PortIoOut +uid 4270,0 +shape (CompositeShape +uid 4271,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4272,0 +sl 0 +ro 270 +xt "140500,65625,142000,66375" +) +(Line +uid 4273,0 +sl 0 +ro 270 +xt "140000,66000,140500,66000" +pts [ +"140000,66000" +"140500,66000" +] +) +] +) +tg (WTG +uid 4274,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4275,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "143000,65300,147200,66700" +st "side2" +blo "143000,66500" +tm "WireNameMgr" +) +s (Text +uid 4276,0 +va (VaSet +font "Verdana,12,0" +) +xt "143000,66700,143000,66700" +blo "143000,66700" +tm "SignalTypeMgr" +) +) +) +*29 (Net +uid 4283,0 +decl (Decl +n "side2" +t "std_uLogic" +o 20 +suid 13,0 +) +declText (MLText +uid 4284,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,800,9700,1800" +st "side2 : std_uLogic +" +) +) +*30 (PortIoIn +uid 4528,0 +shape (CompositeShape +uid 4529,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4530,0 +sl 0 +ro 270 +xt "42000,9625,43500,10375" +) +(Line +uid 4531,0 +sl 0 +ro 270 +xt "43500,10000,44000,10000" +pts [ +"43500,10000" +"44000,10000" +] +) +] +) +tg (WTG +uid 4532,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4533,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "34300,9300,41000,10700" +st "encoderA" +ju 2 +blo "41000,10500" +tm "WireNameMgr" +) +s (Text +uid 4534,0 +va (VaSet +font "Verdana,12,0" +) +xt "34300,10700,34300,10700" +ju 2 +blo "34300,10700" +tm "SignalTypeMgr" +) +) +) +*31 (Net +uid 4541,0 +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 14,0 +) +declText (MLText +uid 4542,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,800,10400,1800" +st "encoderA : std_uLogic +" +) +) +*32 (PortIoIn +uid 4543,0 +shape (CompositeShape +uid 4544,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4545,0 +sl 0 +ro 270 +xt "42000,11625,43500,12375" +) +(Line +uid 4546,0 +sl 0 +ro 270 +xt "43500,12000,44000,12000" +pts [ +"43500,12000" +"44000,12000" +] +) +] +) +tg (WTG +uid 4547,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4548,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "34300,11300,41000,12700" +st "encoderB" +ju 2 +blo "41000,12500" +tm "WireNameMgr" +) +s (Text +uid 4549,0 +va (VaSet +font "Verdana,12,0" +) +xt "34300,12700,34300,12700" +ju 2 +blo "34300,12700" +tm "SignalTypeMgr" +) +) +) +*33 (Net +uid 4556,0 +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 15,0 +) +declText (MLText +uid 4557,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,800,10400,1800" +st "encoderB : std_uLogic +" +) +) +*34 (PortIoIn +uid 4558,0 +shape (CompositeShape +uid 4559,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4560,0 +sl 0 +ro 270 +xt "42000,13625,43500,14375" +) +(Line +uid 4561,0 +sl 0 +ro 270 +xt "43500,14000,44000,14000" +pts [ +"43500,14000" +"44000,14000" +] +) +] +) +tg (WTG +uid 4562,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4563,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "34600,13300,41000,14700" +st "encoderI" +ju 2 +blo "41000,14500" +tm "WireNameMgr" +) +s (Text +uid 4564,0 +va (VaSet +font "Verdana,12,0" +) +xt "34600,14700,34600,14700" +ju 2 +blo "34600,14700" +tm "SignalTypeMgr" +) +) +) +*35 (Net +uid 4571,0 +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 16,0 +) +declText (MLText +uid 4572,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,800,10200,1800" +st "encoderI : std_uLogic +" +) +) +*36 (PortIoIn +uid 4573,0 +shape (CompositeShape +uid 4574,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4575,0 +sl 0 +ro 270 +xt "42000,41625,43500,42375" +) +(Line +uid 4576,0 +sl 0 +ro 270 +xt "43500,42000,44000,42000" +pts [ +"43500,42000" +"44000,42000" +] +) +] +) +tg (WTG +uid 4577,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4578,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "37800,41300,41000,42700" +st "go1" +ju 2 +blo "41000,42500" +tm "WireNameMgr" +) +s (Text +uid 4579,0 +va (VaSet +font "Verdana,12,0" +) +xt "37800,42700,37800,42700" +ju 2 +blo "37800,42700" +tm "SignalTypeMgr" +) +) +) +*37 (Net +uid 4586,0 +decl (Decl +n "go1" +t "std_uLogic" +o 6 +suid 17,0 +) +declText (MLText +uid 4587,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,800,9700,1800" +st "go1 : std_uLogic +" +) +) +*38 (PortIoIn +uid 4588,0 +shape (CompositeShape +uid 4589,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 4590,0 +sl 0 +ro 270 +xt "42000,43625,43500,44375" +) +(Line +uid 4591,0 +sl 0 +ro 270 +xt "43500,44000,44000,44000" +pts [ +"43500,44000" +"44000,44000" +] +) +] +) +tg (WTG +uid 4592,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4593,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "37800,43300,41000,44700" +st "go2" +ju 2 +blo "41000,44500" +tm "WireNameMgr" +) +s (Text +uid 4594,0 +va (VaSet +font "Verdana,12,0" +) +xt "37800,44700,37800,44700" +ju 2 +blo "37800,44700" +tm "SignalTypeMgr" +) +) +) +*39 (Net +uid 4601,0 +decl (Decl +n "go2" +t "std_uLogic" +o 7 +suid 18,0 +) +declText (MLText +uid 4602,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,800,9700,1800" +st "go2 : std_uLogic +" +) +) +*40 (PortIoIn +uid 7303,0 +shape (CompositeShape +uid 7304,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 7305,0 +sl 0 +ro 270 +xt "42000,45625,43500,46375" +) +(Line +uid 7306,0 +sl 0 +ro 270 +xt "43500,46000,44000,46000" +pts [ +"43500,46000" +"44000,46000" +] +) +] +) +tg (WTG +uid 7307,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7308,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "35200,45500,41000,46900" +st "button4" +ju 2 +blo "41000,46700" +tm "WireNameMgr" +) +s (Text +uid 7309,0 +va (VaSet +font "Verdana,12,0" +) +xt "35200,46900,35200,46900" +ju 2 +blo "35200,46900" +tm "SignalTypeMgr" +) +) +) +*41 (Net +uid 7316,0 +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 47,0 +) +declText (MLText +uid 7317,0 +va (VaSet +isHidden 1 +) +xt "0,-23800,12800,-22600" +st "button4 : std_uLogic +" +) +) +*42 (PortIoIn +uid 8032,0 +shape (CompositeShape +uid 8033,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 8034,0 +sl 0 +ro 270 +xt "42000,17625,43500,18375" +) +(Line +uid 8035,0 +sl 0 +ro 270 +xt "43500,18000,44000,18000" +pts [ +"43500,18000" +"44000,18000" +] +) +] +) +tg (WTG +uid 8036,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8037,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "37200,17300,41000,18700" +st "clock" +ju 2 +blo "41000,18500" +tm "WireNameMgr" +) +s (Text +uid 8038,0 +va (VaSet +font "Verdana,12,0" +) +xt "37200,18700,37200,18700" +ju 2 +blo "37200,18700" +tm "SignalTypeMgr" +) +) +) +*43 (PortIoIn +uid 8039,0 +shape (CompositeShape +uid 8040,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 8041,0 +sl 0 +ro 270 +xt "42000,19625,43500,20375" +) +(Line +uid 8042,0 +sl 0 +ro 270 +xt "43500,20000,44000,20000" +pts [ +"43500,20000" +"44000,20000" +] +) +] +) +tg (WTG +uid 8043,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8044,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "36900,19300,41000,20700" +st "reset" +ju 2 +blo "41000,20500" +tm "WireNameMgr" +) +s (Text +uid 8045,0 +va (VaSet +font "Verdana,12,0" +) +xt "36900,20700,36900,20700" +ju 2 +blo "36900,20700" +tm "SignalTypeMgr" +) +) +) +*44 (Net +uid 9949,0 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 14 +suid 68,0 +) +declText (MLText +uid 9950,0 +va (VaSet +isHidden 1 +) +xt "0,400,12600,1600" +st "CS1_n : std_ulogic +" +) +) +*45 (Net +uid 9957,0 +decl (Decl +n "SCL" +t "std_ulogic" +o 16 +suid 69,0 +) +declText (MLText +uid 9958,0 +va (VaSet +isHidden 1 +) +xt "0,400,11900,1600" +st "SCL : std_ulogic +" +) +) +*46 (Net +uid 9965,0 +decl (Decl +n "SI" +t "std_ulogic" +o 17 +suid 70,0 +) +declText (MLText +uid 9966,0 +va (VaSet +isHidden 1 +) +xt "0,400,11300,1600" +st "SI : std_ulogic +" +) +) +*47 (Net +uid 9973,0 +decl (Decl +n "A0" +t "std_ulogic" +o 13 +suid 71,0 +) +declText (MLText +uid 9974,0 +va (VaSet +isHidden 1 +) +xt "0,400,11600,1600" +st "A0 : std_ulogic +" +) +) +*48 (Net +uid 9981,0 +decl (Decl +n "RST_n" +t "std_ulogic" +o 15 +suid 72,0 +) +declText (MLText +uid 9982,0 +va (VaSet +isHidden 1 +) +xt "0,400,12500,1600" +st "RST_n : std_ulogic +" +) +) +*49 (PortIoOut +uid 9989,0 +shape (CompositeShape +uid 9990,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 9991,0 +sl 0 +ro 270 +xt "140500,98625,142000,99375" +) +(Line +uid 9992,0 +sl 0 +ro 270 +xt "140000,99000,140500,99000" +pts [ +"140000,99000" +"140500,99000" +] +) +] +) +tg (WTG +uid 9993,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9994,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "143000,98300,147900,99700" +st "CS1_n" +blo "143000,99500" +tm "WireNameMgr" +) +s (Text +uid 9995,0 +va (VaSet +font "Verdana,12,0" +) +xt "143000,99700,143000,99700" +blo "143000,99700" +tm "SignalTypeMgr" +) +) +) +*50 (PortIoOut +uid 9996,0 +shape (CompositeShape +uid 9997,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 9998,0 +sl 0 +ro 270 +xt "140500,100625,142000,101375" +) +(Line +uid 9999,0 +sl 0 +ro 270 +xt "140000,101000,140500,101000" +pts [ +"140000,101000" +"140500,101000" +] +) +] +) +tg (WTG +uid 10000,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10001,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "143000,100300,146200,101700" +st "SCL" +blo "143000,101500" +tm "WireNameMgr" +) +s (Text +uid 10002,0 +va (VaSet +font "Verdana,12,0" +) +xt "143000,101700,143000,101700" +blo "143000,101700" +tm "SignalTypeMgr" +) +) +) +*51 (PortIoOut +uid 10003,0 +shape (CompositeShape +uid 10004,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 10005,0 +sl 0 +ro 270 +xt "140500,102625,142000,103375" +) +(Line +uid 10006,0 +sl 0 +ro 270 +xt "140000,103000,140500,103000" +pts [ +"140000,103000" +"140500,103000" +] +) +] +) +tg (WTG +uid 10007,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10008,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "143000,102300,145100,103700" +st "SI" +blo "143000,103500" +tm "WireNameMgr" +) +s (Text +uid 10009,0 +va (VaSet +font "Verdana,12,0" +) +xt "143000,103700,143000,103700" +blo "143000,103700" +tm "SignalTypeMgr" +) +) +) +*52 (PortIoOut +uid 10010,0 +shape (CompositeShape +uid 10011,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 10012,0 +sl 0 +ro 270 +xt "140500,104625,142000,105375" +) +(Line +uid 10013,0 +sl 0 +ro 270 +xt "140000,105000,140500,105000" +pts [ +"140000,105000" +"140500,105000" +] +) +] +) +tg (WTG +uid 10014,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10015,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "143000,104300,145400,105700" +st "A0" +blo "143000,105500" +tm "WireNameMgr" +) +s (Text +uid 10016,0 +va (VaSet +font "Verdana,12,0" +) +xt "143000,105700,143000,105700" +blo "143000,105700" +tm "SignalTypeMgr" +) +) +) +*53 (PortIoOut +uid 10017,0 +shape (CompositeShape +uid 10018,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 10019,0 +sl 0 +ro 270 +xt "140500,106625,142000,107375" +) +(Line +uid 10020,0 +sl 0 +ro 270 +xt "140000,107000,140500,107000" +pts [ +"140000,107000" +"140500,107000" +] +) +] +) +tg (WTG +uid 10021,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10022,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "143000,106300,147700,107700" +st "RST_n" +blo "143000,107500" +tm "WireNameMgr" +) +s (Text +uid 10023,0 +va (VaSet +font "Verdana,12,0" +) +xt "143000,107700,143000,107700" +blo "143000,107700" +tm "SignalTypeMgr" +) +) +) +*54 (Wire +uid 2282,0 +shape (OrthoPolyLine +uid 2283,0 +va (VaSet +vasetType 3 +) +xt "44000,40000,52000,40000" +pts [ +"44000,40000" +"52000,40000" +] +) +start &14 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2288,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2289,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,38600,48900,39900" +st "restart" +blo "44000,39600" +tm "WireNameMgr" +) +) +on &15 +) +*55 (Wire +uid 2315,0 +shape (OrthoPolyLine +uid 2316,0 +va (VaSet +vasetType 3 +) +xt "44000,66000,51250,66000" +pts [ +"44000,66000" +"51250,66000" +] +) +start &16 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2319,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2320,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,64600,49600,65900" +st "testMode" +blo "44000,65600" +tm "WireNameMgr" +) +) +on &17 +) +*56 (Wire +uid 2949,0 +shape (OrthoPolyLine +uid 2950,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "152000,-9000,160000,-9000" +pts [ +"152000,-9000" +"160000,-9000" +] +) +end &18 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2953,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2954,0 +va (VaSet +font "Verdana,12,0" +) +xt "154000,-10400,158900,-9100" +st "testOut" +blo "154000,-9400" +tm "WireNameMgr" +) +) +on &19 +) +*57 (Wire +uid 3266,0 +shape (OrthoPolyLine +uid 3267,0 +va (VaSet +vasetType 3 +) +xt "44000,36000,52000,36000" +pts [ +"44000,36000" +"52000,36000" +] +) +start &20 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3270,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3271,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,34600,48900,35900" +st "sensor1" +blo "44000,35600" +tm "WireNameMgr" +) +) +on &21 +) +*58 (Wire +uid 3281,0 +shape (OrthoPolyLine +uid 3282,0 +va (VaSet +vasetType 3 +) +xt "44000,34000,52000,34000" +pts [ +"44000,34000" +"52000,34000" +] +) +start &22 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3285,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3286,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,32600,48900,33900" +st "sensor2" +blo "44000,33600" +tm "WireNameMgr" +) +) +on &23 +) +*59 (Wire +uid 4262,0 +shape (OrthoPolyLine +uid 4263,0 +va (VaSet +vasetType 3 +) +xt "132750,68000,140000,68000" +pts [ +"132750,68000" +"140000,68000" +] +) +end &26 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4266,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4267,0 +va (VaSet +font "Verdana,12,0" +) +xt "136000,66600,139500,67900" +st "side1" +blo "136000,67600" +tm "WireNameMgr" +) +) +on &27 +) +*60 (Wire +uid 4277,0 +shape (OrthoPolyLine +uid 4278,0 +va (VaSet +vasetType 3 +) +xt "132750,66000,140000,66000" +pts [ +"132750,66000" +"140000,66000" +] +) +end &28 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4281,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4282,0 +va (VaSet +font "Verdana,12,0" +) +xt "136000,64600,139500,65900" +st "side2" +blo "136000,65600" +tm "WireNameMgr" +) +) +on &29 +) +*61 (Wire +uid 4535,0 +shape (OrthoPolyLine +uid 4536,0 +va (VaSet +vasetType 3 +) +xt "44000,10000,51250,10000" +pts [ +"44000,10000" +"51250,10000" +] +) +start &30 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4539,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4540,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,8600,49600,9900" +st "encoderA" +blo "44000,9600" +tm "WireNameMgr" +) +) +on &31 +) +*62 (Wire +uid 4550,0 +shape (OrthoPolyLine +uid 4551,0 +va (VaSet +vasetType 3 +) +xt "44000,12000,51250,12000" +pts [ +"44000,12000" +"51250,12000" +] +) +start &32 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4554,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4555,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,10600,49600,11900" +st "encoderB" +blo "44000,11600" +tm "WireNameMgr" +) +) +on &33 +) +*63 (Wire +uid 4565,0 +shape (OrthoPolyLine +uid 4566,0 +va (VaSet +vasetType 3 +) +xt "44000,14000,51250,14000" +pts [ +"44000,14000" +"51250,14000" +] +) +start &34 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4569,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4570,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,12600,49600,13900" +st "encoderI" +blo "44000,13600" +tm "WireNameMgr" +) +) +on &35 +) +*64 (Wire +uid 4580,0 +shape (OrthoPolyLine +uid 4581,0 +va (VaSet +vasetType 3 +) +xt "44000,42000,52000,42000" +pts [ +"44000,42000" +"52000,42000" +] +) +start &36 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4584,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4585,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,40600,46100,41900" +st "go1" +blo "44000,41600" +tm "WireNameMgr" +) +) +on &37 +) +*65 (Wire +uid 4595,0 +shape (OrthoPolyLine +uid 4596,0 +va (VaSet +vasetType 3 +) +xt "44000,44000,52000,44000" +pts [ +"44000,44000" +"52000,44000" +] +) +start &38 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4599,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4600,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,42600,46100,43900" +st "go2" +blo "44000,43600" +tm "WireNameMgr" +) +) +on &39 +) +*66 (Wire +uid 4978,0 +shape (OrthoPolyLine +uid 4979,0 +va (VaSet +vasetType 3 +) +xt "44000,20000,51250,20000" +pts [ +"44000,20000" +"51250,20000" +] +) +start &43 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4984,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4985,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,18600,47500,19900" +st "reset" +blo "44000,19600" +tm "WireNameMgr" +) +) +on &1 +) +*67 (Wire +uid 4986,0 +shape (OrthoPolyLine +uid 4987,0 +va (VaSet +vasetType 3 +) +xt "44000,18000,51250,18000" +pts [ +"51250,18000" +"44000,18000" +] +) +end &42 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 4992,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 4993,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,16600,47500,17900" +st "clock" +blo "44000,17600" +tm "WireNameMgr" +) +) +on &2 +) +*68 (Wire +uid 6102,0 +shape (OrthoPolyLine +uid 6103,0 +va (VaSet +vasetType 3 +) +xt "133000,60000,140000,60000" +pts [ +"133000,60000" +"140000,60000" +] +) +end &24 +es 0 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6104,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6105,0 +va (VaSet +font "Verdana,12,0" +) +xt "135000,58600,139900,59900" +st "motorOn" +blo "135000,59600" +tm "WireNameMgr" +) +) +on &25 +) +*69 (Wire +uid 7310,0 +shape (OrthoPolyLine +uid 7311,0 +va (VaSet +vasetType 3 +) +xt "44000,46000,52000,46000" +pts [ +"44000,46000" +"52000,46000" +] +) +start &40 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7314,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7315,0 +va (VaSet +font "Verdana,12,0" +) +xt "44000,44600,48900,45900" +st "button4" +blo "44000,45600" +tm "WireNameMgr" +) +) +on &41 +) +*70 (Wire +uid 9951,0 +shape (OrthoPolyLine +uid 9952,0 +va (VaSet +vasetType 3 +) +xt "132750,99000,140000,99000" +pts [ +"132750,99000" +"140000,99000" +] +) +end &49 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9955,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9956,0 +va (VaSet +font "Verdana,12,0" +) +xt "137000,97600,140500,98900" +st "CS1_n" +blo "137000,98600" +tm "WireNameMgr" +) +) +on &44 +) +*71 (Wire +uid 9959,0 +shape (OrthoPolyLine +uid 9960,0 +va (VaSet +vasetType 3 +) +xt "132750,101000,140000,101000" +pts [ +"132750,101000" +"140000,101000" +] +) +end &50 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9963,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9964,0 +va (VaSet +font "Verdana,12,0" +) +xt "137000,99600,139100,100900" +st "SCL" +blo "137000,100600" +tm "WireNameMgr" +) +) +on &45 +) +*72 (Wire +uid 9967,0 +shape (OrthoPolyLine +uid 9968,0 +va (VaSet +vasetType 3 +) +xt "132750,103000,140000,103000" +pts [ +"132750,103000" +"140000,103000" +] +) +end &51 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9971,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9972,0 +va (VaSet +font "Verdana,12,0" +) +xt "138000,101600,139400,102900" +st "SI" +blo "138000,102600" +tm "WireNameMgr" +) +) +on &46 +) +*73 (Wire +uid 9975,0 +shape (OrthoPolyLine +uid 9976,0 +va (VaSet +vasetType 3 +) +xt "132750,105000,140000,105000" +pts [ +"132750,105000" +"140000,105000" +] +) +end &52 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9979,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9980,0 +va (VaSet +font "Verdana,12,0" +) +xt "138000,103600,139400,104900" +st "A0" +blo "138000,104600" +tm "WireNameMgr" +) +) +on &47 +) +*74 (Wire +uid 9983,0 +shape (OrthoPolyLine +uid 9984,0 +va (VaSet +vasetType 3 +) +xt "132750,107000,140000,107000" +pts [ +"132750,107000" +"140000,107000" +] +) +end &53 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9987,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9988,0 +va (VaSet +font "Verdana,12,0" +) +xt "137000,105600,140500,106900" +st "RST_n" +blo "137000,106600" +tm "WireNameMgr" +) +) +on &48 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *75 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +uid 573,0 +va (VaSet +font "Verdana,8,1" +) +xt "24000,-12000,30500,-11100" +st "Package List" +blo "24000,-11300" +) +*77 (MLText +uid 574,0 +va (VaSet +) +xt "24000,-11000,41500,-7400" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,0,32000,1000" +st "Compiler Directives" +blo "20000,800" +) +*79 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,1400,33800,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*80 (MLText +uid 48,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32100,5200" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*81 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,5600,34400,6600" +st "Post-module directives:" +blo "20000,6400" +) +*82 (MLText +uid 50,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*83 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,7200,33800,8200" +st "End-module directives:" +blo "20000,8000" +) +*84 (MLText +uid 52,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "502,73,1646,952" +viewArea "22700,26900,175367,147733" +cachedDiagramExtent "-17000,-23800,182600,152000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\ipp://ippsion.hevs.ch\\PREA309_HPLJ3005DN,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 50 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "24000,-12000" +lastUid 11367,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +va (VaSet +) +xt "2100,3000,6700,4200" +st "" +blo "2100,4000" +tm "BdLibraryNameMgr" +) +*86 (Text +va (VaSet +) +xt "2100,4200,6200,5400" +st "" +blo "2100,5200" +tm "BlkNameMgr" +) +*87 (Text +va (VaSet +) +xt "2100,5400,3300,6600" +st "I0" +blo "2100,6400" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "2100,13000,2100,13000" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*88 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*89 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*90 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +va (VaSet +) +xt "900,3000,3200,4000" +st "Library" +blo "900,3800" +tm "BdLibraryNameMgr" +) +*92 (Text +va (VaSet +) +xt "900,4000,6400,5000" +st "SaComponent" +blo "900,4800" +tm "CptNameMgr" +) +*93 (Text +va (VaSet +) +xt "900,5000,1500,6000" +st "I0" +blo "900,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6100,1000,-6100,1000" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-100,0,8100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*94 (Text +va (VaSet +) +xt "400,3000,2700,4000" +st "Library" +blo "400,3800" +) +*95 (Text +va (VaSet +) +xt "400,4000,6500,5000" +st "VhdlComponent" +blo "400,4800" +) +*96 (Text +va (VaSet +) +xt "400,5000,1000,6000" +st "I0" +blo "400,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6600,1000,-6600,1000" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*97 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*98 (Text +va (VaSet +) +xt "-100,4000,7000,5000" +st "VerilogComponent" +blo "-100,4800" +) +*99 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*100 (Text +va (VaSet +) +xt "3300,3700,4500,4700" +st "eb1" +blo "3300,4500" +tm "HdlTextNameMgr" +) +*101 (Text +va (VaSet +) +xt "3300,4700,3700,5700" +st "1" +blo "3300,5500" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-350,-600,250,400" +st "G" +blo "-350,200" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "Verdana,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,9600,2200" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,18500,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1650" +) +num (Text +va (VaSet +) +xt "300,250,700,1250" +st "1" +blo "300,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*102 (Text +va (VaSet +font "Verdana,8,1" +) +xt "13200,20000,21100,21000" +st "Frame Declarations" +blo "13200,20800" +) +*103 (MLText +va (VaSet +) +xt "13200,21000,13200,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,11000,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1650" +) +num (Text +va (VaSet +) +xt "300,250,700,1250" +st "1" +blo "300,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*104 (Text +va (VaSet +font "Verdana,8,1" +) +xt "13200,20000,21100,21000" +st "Frame Declarations" +blo "13200,20800" +) +*105 (MLText +va (VaSet +) +xt "13200,21000,13200,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "24000,-5600,30500,-4700" +st "Declarations" +blo "24000,-4900" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "24000,-4100,27000,-3200" +st "Ports:" +blo "24000,-3400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "24000,-4700,28500,-3800" +st "Pre User:" +blo "24000,-4000" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "26000,-3800,67100,1000" +st "constant positionBitNb: positive := 18; +constant relativePositionBitNb: positive := pwmBitNb + slopeShiftBitNb + 1; +constant minAmplitude: positive := integer(0.25 * 2.0**pwmBitNb); +constant lcdAsciiBitNb: positive := 7;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "24000,-4100,32500,-3200" +st "Diagram Signals:" +blo "24000,-3400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "24000,-5600,29500,-4700" +st "Post User:" +blo "24000,-4900" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "24000,-5600,24000,-5600" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 72,0 +usingSuid 1 +emptyRow *106 (LEmptyRow +) +uid 5714,0 +optionalChildren [ +*107 (RefLabelRowHdr +) +*108 (TitleRowHdr +) +*109 (FilterRowHdr +) +*110 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*111 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*112 (GroupColHdr +tm "GroupColHdrMgr" +) +*113 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*114 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*115 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*116 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*117 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*118 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*119 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 1,0 +) +) +uid 5659,0 +) +*120 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 5661,0 +) +*121 (LeafLogPort +port (LogicalPort +decl (Decl +n "restart" +t "std_uLogic" +o 9 +suid 3,0 +) +) +uid 5663,0 +) +*122 (LeafLogPort +port (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 12 +suid 4,0 +) +) +uid 5665,0 +) +*123 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 TO testLineNb)" +o 21 +suid 5,0 +) +) +uid 5667,0 +) +*124 (LeafLogPort +port (LogicalPort +decl (Decl +n "sensor1" +t "std_uLogic" +o 10 +suid 6,0 +) +) +uid 5669,0 +) +*125 (LeafLogPort +port (LogicalPort +decl (Decl +n "sensor2" +t "std_uLogic" +o 11 +suid 7,0 +) +) +uid 5671,0 +) +*126 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 18 +suid 10,0 +) +) +uid 5677,0 +) +*127 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 19 +suid 12,0 +) +) +uid 5681,0 +) +*128 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 20 +suid 13,0 +) +) +uid 5683,0 +) +*129 (LeafLogPort +port (LogicalPort +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 14,0 +) +) +uid 5685,0 +) +*130 (LeafLogPort +port (LogicalPort +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 15,0 +) +) +uid 5687,0 +) +*131 (LeafLogPort +port (LogicalPort +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 16,0 +) +) +uid 5689,0 +) +*132 (LeafLogPort +port (LogicalPort +decl (Decl +n "go1" +t "std_uLogic" +o 6 +suid 17,0 +) +) +uid 5691,0 +) +*133 (LeafLogPort +port (LogicalPort +decl (Decl +n "go2" +t "std_uLogic" +o 7 +suid 18,0 +) +) +uid 5693,0 +) +*134 (LeafLogPort +port (LogicalPort +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 47,0 +) +) +uid 7302,0 +) +*135 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 14 +suid 68,0 +) +) +uid 10024,0 +) +*136 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 16 +suid 69,0 +) +) +uid 10026,0 +) +*137 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 17 +suid 70,0 +) +) +uid 10028,0 +) +*138 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 13 +suid 71,0 +) +) +uid 10030,0 +) +*139 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 15 +suid 72,0 +) +) +uid 10032,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 5727,0 +optionalChildren [ +*140 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *141 (MRCItem +litem &106 +pos 21 +dimension 20 +) +uid 5729,0 +optionalChildren [ +*142 (MRCItem +litem &107 +pos 0 +dimension 20 +uid 5730,0 +) +*143 (MRCItem +litem &108 +pos 1 +dimension 23 +uid 5731,0 +) +*144 (MRCItem +litem &109 +pos 2 +hidden 1 +dimension 20 +uid 5732,0 +) +*145 (MRCItem +litem &119 +pos 0 +dimension 20 +uid 5660,0 +) +*146 (MRCItem +litem &120 +pos 1 +dimension 20 +uid 5662,0 +) +*147 (MRCItem +litem &121 +pos 2 +dimension 20 +uid 5664,0 +) +*148 (MRCItem +litem &122 +pos 3 +dimension 20 +uid 5666,0 +) +*149 (MRCItem +litem &123 +pos 4 +dimension 20 +uid 5668,0 +) +*150 (MRCItem +litem &124 +pos 5 +dimension 20 +uid 5670,0 +) +*151 (MRCItem +litem &125 +pos 6 +dimension 20 +uid 5672,0 +) +*152 (MRCItem +litem &126 +pos 7 +dimension 20 +uid 5678,0 +) +*153 (MRCItem +litem &127 +pos 8 +dimension 20 +uid 5682,0 +) +*154 (MRCItem +litem &128 +pos 9 +dimension 20 +uid 5684,0 +) +*155 (MRCItem +litem &129 +pos 10 +dimension 20 +uid 5686,0 +) +*156 (MRCItem +litem &130 +pos 11 +dimension 20 +uid 5688,0 +) +*157 (MRCItem +litem &131 +pos 12 +dimension 20 +uid 5690,0 +) +*158 (MRCItem +litem &132 +pos 13 +dimension 20 +uid 5692,0 +) +*159 (MRCItem +litem &133 +pos 14 +dimension 20 +uid 5694,0 +) +*160 (MRCItem +litem &134 +pos 15 +dimension 20 +uid 7301,0 +) +*161 (MRCItem +litem &135 +pos 16 +dimension 20 +uid 10025,0 +) +*162 (MRCItem +litem &136 +pos 17 +dimension 20 +uid 10027,0 +) +*163 (MRCItem +litem &137 +pos 18 +dimension 20 +uid 10029,0 +) +*164 (MRCItem +litem &138 +pos 19 +dimension 20 +uid 10031,0 +) +*165 (MRCItem +litem &139 +pos 20 +dimension 20 +uid 10033,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 5733,0 +optionalChildren [ +*166 (MRCItem +litem &110 +pos 0 +dimension 20 +uid 5734,0 +) +*167 (MRCItem +litem &112 +pos 1 +dimension 50 +uid 5735,0 +) +*168 (MRCItem +litem &113 +pos 2 +dimension 100 +uid 5736,0 +) +*169 (MRCItem +litem &114 +pos 3 +dimension 50 +uid 5737,0 +) +*170 (MRCItem +litem &115 +pos 4 +dimension 100 +uid 5738,0 +) +*171 (MRCItem +litem &116 +pos 5 +dimension 100 +uid 5739,0 +) +*172 (MRCItem +litem &117 +pos 6 +dimension 50 +uid 5740,0 +) +*173 (MRCItem +litem &118 +pos 7 +dimension 80 +uid 5741,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 5728,0 +vaOverrides [ +] +) +] +) +uid 5713,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *174 (LEmptyRow +) +uid 5743,0 +optionalChildren [ +*175 (RefLabelRowHdr +) +*176 (TitleRowHdr +) +*177 (FilterRowHdr +) +*178 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*179 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*180 (GroupColHdr +tm "GroupColHdrMgr" +) +*181 (NameColHdr +tm "GenericNameColHdrMgr" +) +*182 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*183 (InitColHdr +tm "GenericValueColHdrMgr" +) +*184 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*185 (EolColHdr +tm "GenericEolColHdrMgr" +) +*186 (LogGeneric +generic (GiElement +name "position1" +type "positive" +value "32000" +) +uid 7215,0 +) +*187 (LogGeneric +generic (GiElement +name "position2" +type "positive" +value "64000" +) +uid 7217,0 +) +*188 (LogGeneric +generic (GiElement +name "testLineNb" +type "positive" +value "16" +) +uid 7816,0 +) +*189 (LogGeneric +generic (GiElement +name "position0" +type "positive" +value "128" +) +uid 8607,0 +) +*190 (LogGeneric +generic (GiElement +name "slopeShiftBitNb" +type "positive" +value "6" +) +uid 10209,0 +) +*191 (LogGeneric +generic (GiElement +name "pwmBitNb" +type "positive" +value "8" +) +uid 10538,0 +) +] +) +pdm (PhysicalDM +uid 5755,0 +optionalChildren [ +*192 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *193 (MRCItem +litem &174 +pos 6 +dimension 20 +) +uid 5757,0 +optionalChildren [ +*194 (MRCItem +litem &175 +pos 0 +dimension 20 +uid 5758,0 +) +*195 (MRCItem +litem &176 +pos 1 +dimension 23 +uid 5759,0 +) +*196 (MRCItem +litem &177 +pos 2 +hidden 1 +dimension 20 +uid 5760,0 +) +*197 (MRCItem +litem &186 +pos 1 +dimension 20 +uid 7214,0 +) +*198 (MRCItem +litem &187 +pos 2 +dimension 20 +uid 7216,0 +) +*199 (MRCItem +litem &188 +pos 5 +dimension 20 +uid 7815,0 +) +*200 (MRCItem +litem &189 +pos 0 +dimension 20 +uid 8606,0 +) +*201 (MRCItem +litem &190 +pos 3 +dimension 20 +uid 10208,0 +) +*202 (MRCItem +litem &191 +pos 4 +dimension 20 +uid 10537,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 5761,0 +optionalChildren [ +*203 (MRCItem +litem &178 +pos 0 +dimension 20 +uid 5762,0 +) +*204 (MRCItem +litem &180 +pos 1 +dimension 50 +uid 5763,0 +) +*205 (MRCItem +litem &181 +pos 2 +dimension 100 +uid 5764,0 +) +*206 (MRCItem +litem &182 +pos 3 +dimension 100 +uid 5765,0 +) +*207 (MRCItem +litem &183 +pos 4 +dimension 50 +uid 5766,0 +) +*208 (MRCItem +litem &184 +pos 5 +dimension 50 +uid 5767,0 +) +*209 (MRCItem +litem &185 +pos 6 +dimension 80 +uid 5768,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 5756,0 +vaOverrides [ +] +) +] +) +uid 5742,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Cursor/hds/cursor@circuit/symbol.sb b/Cursor/hds/cursor@circuit/symbol.sb new file mode 100644 index 0000000..349adde --- /dev/null +++ b/Cursor/hds/cursor@circuit/symbol.sb @@ -0,0 +1,2949 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2021,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 324,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 18 +suid 12,0 +) +) +uid 325,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 13,0 +) +) +uid 326,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 14,0 +) +) +uid 327,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 15,0 +) +) +uid 328,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 16,0 +) +) +uid 329,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 2,0 +) +) +uid 330,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 331,0 +) +*9 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 19 +suid 3,0 +) +) +uid 332,0 +) +*10 (LogPort +port (LogicalPort +decl (Decl +n "restart" +t "std_uLogic" +o 9 +suid 4,0 +) +) +uid 333,0 +) +*11 (LogPort +port (LogicalPort +decl (Decl +n "go2" +t "std_uLogic" +o 7 +suid 5,0 +) +) +uid 334,0 +) +*12 (LogPort +port (LogicalPort +decl (Decl +n "sensor1" +t "std_uLogic" +o 10 +suid 6,0 +) +) +uid 335,0 +) +*13 (LogPort +port (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 12 +suid 7,0 +) +) +uid 336,0 +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 TO testLineNb)" +o 21 +suid 8,0 +) +) +uid 337,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "go1" +t "std_uLogic" +o 6 +suid 9,0 +) +) +uid 338,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 20 +suid 10,0 +) +) +uid 339,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "sensor2" +t "std_uLogic" +o 11 +suid 11,0 +) +) +uid 340,0 +) +*18 (RefLabelRowHdr +) +*19 (TitleRowHdr +) +*20 (FilterRowHdr +) +*21 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*22 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*23 (GroupColHdr +tm "GroupColHdrMgr" +) +*24 (NameColHdr +tm "NameColHdrMgr" +) +*25 (ModeColHdr +tm "ModeColHdrMgr" +) +*26 (TypeColHdr +tm "TypeColHdrMgr" +) +*27 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*28 (InitColHdr +tm "InitColHdrMgr" +) +*29 (EolColHdr +tm "EolColHdrMgr" +) +*30 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 14 +suid 2017,0 +) +) +uid 759,0 +) +*31 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 16 +suid 2018,0 +) +) +uid 761,0 +) +*32 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 17 +suid 2019,0 +) +) +uid 763,0 +) +*33 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 13 +suid 2020,0 +) +) +uid 765,0 +) +*34 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 15 +suid 2021,0 +) +) +uid 767,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 341,0 +optionalChildren [ +*35 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *36 (MRCItem +litem &1 +pos 21 +dimension 20 +) +uid 254,0 +optionalChildren [ +*37 (MRCItem +litem &18 +pos 0 +dimension 20 +uid 257,0 +) +*38 (MRCItem +litem &19 +pos 1 +dimension 23 +uid 259,0 +) +*39 (MRCItem +litem &20 +pos 2 +hidden 1 +dimension 20 +uid 261,0 +) +*40 (MRCItem +litem &2 +pos 7 +dimension 20 +uid 280,0 +) +*41 (MRCItem +litem &3 +pos 10 +dimension 20 +uid 281,0 +) +*42 (MRCItem +litem &4 +pos 11 +dimension 20 +uid 282,0 +) +*43 (MRCItem +litem &5 +pos 12 +dimension 20 +uid 283,0 +) +*44 (MRCItem +litem &6 +pos 15 +dimension 20 +uid 284,0 +) +*45 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 285,0 +) +*46 (MRCItem +litem &8 +pos 1 +dimension 20 +uid 286,0 +) +*47 (MRCItem +litem &9 +pos 8 +dimension 20 +uid 287,0 +) +*48 (MRCItem +litem &10 +pos 2 +dimension 20 +uid 288,0 +) +*49 (MRCItem +litem &11 +pos 14 +dimension 20 +uid 289,0 +) +*50 (MRCItem +litem &12 +pos 5 +dimension 20 +uid 290,0 +) +*51 (MRCItem +litem &13 +pos 3 +dimension 20 +uid 291,0 +) +*52 (MRCItem +litem &14 +pos 4 +dimension 20 +uid 292,0 +) +*53 (MRCItem +litem &15 +pos 13 +dimension 20 +uid 293,0 +) +*54 (MRCItem +litem &16 +pos 9 +dimension 20 +uid 294,0 +) +*55 (MRCItem +litem &17 +pos 6 +dimension 20 +uid 295,0 +) +*56 (MRCItem +litem &30 +pos 16 +dimension 20 +uid 758,0 +) +*57 (MRCItem +litem &31 +pos 17 +dimension 20 +uid 760,0 +) +*58 (MRCItem +litem &32 +pos 18 +dimension 20 +uid 762,0 +) +*59 (MRCItem +litem &33 +pos 19 +dimension 20 +uid 764,0 +) +*60 (MRCItem +litem &34 +pos 20 +dimension 20 +uid 766,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 255,0 +optionalChildren [ +*61 (MRCItem +litem &21 +pos 0 +dimension 20 +uid 263,0 +) +*62 (MRCItem +litem &23 +pos 1 +dimension 50 +uid 267,0 +) +*63 (MRCItem +litem &24 +pos 2 +dimension 100 +uid 269,0 +) +*64 (MRCItem +litem &25 +pos 3 +dimension 50 +uid 271,0 +) +*65 (MRCItem +litem &26 +pos 4 +dimension 100 +uid 273,0 +) +*66 (MRCItem +litem &27 +pos 5 +dimension 100 +uid 275,0 +) +*67 (MRCItem +litem &28 +pos 6 +dimension 50 +uid 277,0 +) +*68 (MRCItem +litem &29 +pos 7 +dimension 80 +uid 279,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 253,0 +vaOverrides [ +] +) +] +) +uid 323,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *69 (LEmptyRow +) +uid 343,0 +optionalChildren [ +*70 (RefLabelRowHdr +) +*71 (TitleRowHdr +) +*72 (FilterRowHdr +) +*73 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*74 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*75 (GroupColHdr +tm "GroupColHdrMgr" +) +*76 (NameColHdr +tm "GenericNameColHdrMgr" +) +*77 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*78 (InitColHdr +tm "GenericValueColHdrMgr" +) +*79 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*80 (EolColHdr +tm "GenericEolColHdrMgr" +) +*81 (LogGeneric +generic (GiElement +name "testLineNb" +type "positive" +value "16" +) +uid 321,0 +) +*82 (LogGeneric +generic (GiElement +name "position1" +type "positive" +value "32000" +) +uid 460,0 +) +*83 (LogGeneric +generic (GiElement +name "position2" +type "positive" +value "64000" +) +uid 462,0 +) +*84 (LogGeneric +generic (GiElement +name "position0" +type "positive" +value "128" +) +uid 733,0 +) +*85 (LogGeneric +generic (GiElement +name "slopeShiftBitNb" +type "positive" +value "6" +) +uid 844,0 +) +*86 (LogGeneric +generic (GiElement +name "pwmBitNb" +type "positive" +value "8" +) +uid 869,0 +) +] +) +pdm (PhysicalDM +uid 344,0 +optionalChildren [ +*87 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *88 (MRCItem +litem &69 +pos 6 +dimension 20 +) +uid 297,0 +optionalChildren [ +*89 (MRCItem +litem &70 +pos 0 +dimension 20 +uid 300,0 +) +*90 (MRCItem +litem &71 +pos 1 +dimension 23 +uid 302,0 +) +*91 (MRCItem +litem &72 +pos 2 +hidden 1 +dimension 20 +uid 304,0 +) +*92 (MRCItem +litem &81 +pos 5 +dimension 20 +uid 322,0 +) +*93 (MRCItem +litem &82 +pos 1 +dimension 20 +uid 461,0 +) +*94 (MRCItem +litem &83 +pos 2 +dimension 20 +uid 463,0 +) +*95 (MRCItem +litem &84 +pos 0 +dimension 20 +uid 734,0 +) +*96 (MRCItem +litem &85 +pos 3 +dimension 20 +uid 845,0 +) +*97 (MRCItem +litem &86 +pos 4 +dimension 20 +uid 870,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 298,0 +optionalChildren [ +*98 (MRCItem +litem &73 +pos 0 +dimension 20 +uid 306,0 +) +*99 (MRCItem +litem &75 +pos 1 +dimension 50 +uid 310,0 +) +*100 (MRCItem +litem &76 +pos 2 +dimension 100 +uid 312,0 +) +*101 (MRCItem +litem &77 +pos 3 +dimension 100 +uid 314,0 +) +*102 (MRCItem +litem &78 +pos 4 +dimension 50 +uid 316,0 +) +*103 (MRCItem +litem &79 +pos 5 +dimension 50 +uid 318,0 +) +*104 (MRCItem +litem &80 +pos 6 +dimension 80 +uid 320,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 296,0 +vaOverrides [ +] +) +] +) +uid 342,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit" +) +(vvPair +variable "date" +value "13.06.2019" +) +(vvPair +variable "day" +value "Thu" +) +(vvPair +variable "day_long" +value "Thursday" +) +(vvPair +variable "dd" +value "13" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "cursorCircuit" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "13.06.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "13:05:02" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "D:\\Users\\Syslo\\Chronometer\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Cursor/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "D:\\Users\\Syslo\\Chronometer\\Synthesis" +) +(vvPair +variable "mm" +value "06" +) +(vvPair +variable "module_name" +value "cursorCircuit" +) +(vvPair +variable "month" +value "Jun" +) +(vvPair +variable "month_long" +value "June" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursor@circuit\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\cursorCircuit\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:05:02" +) +(vvPair +variable "unit" +value "cursorCircuit" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*105 (SymbolBody +uid 8,0 +optionalChildren [ +*106 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 53,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,29625,40000,30375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,29300,44800,30700" +st "clock" +blo "41000,30500" +tm "CptPortNameMgr" +) +s (Text +uid 717,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,30700,41000,30700" +blo "41000,30700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,12700,19000,13500" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*107 (CptPort +uid 62,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 63,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,31625,40000,32375" +) +tg (CPTG +uid 64,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 65,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,31300,45100,32700" +st "reset" +blo "41000,32500" +tm "CptPortNameMgr" +) +s (Text +uid 718,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,32700,41000,32700" +blo "41000,32700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 66,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,17500,19000,18300" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 2,0 +) +) +) +*108 (CptPort +uid 105,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 106,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,7625,56750,8375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 108,0 +va (VaSet +font "Verdana,12,0" +) +xt "50800,7400,55000,8800" +st "side1" +ju 2 +blo "55000,8600" +tm "CptPortNameMgr" +) +s (Text +uid 719,0 +va (VaSet +font "Verdana,12,0" +) +xt "55000,8800,55000,8800" +ju 2 +blo "55000,8800" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 109,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,26300,19000,27100" +st "side1 : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 19 +suid 3,0 +) +) +) +*109 (CptPort +uid 131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,5625,40000,6375" +) +tg (CPTG +uid 133,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 134,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,5300,46100,6700" +st "restart" +blo "41000,6500" +tm "CptPortNameMgr" +) +s (Text +uid 720,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,6700,41000,6700" +blo "41000,6700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 135,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,18300,19000,19100" +st "restart : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "restart" +t "std_uLogic" +o 9 +suid 4,0 +) +) +) +*110 (CptPort +uid 174,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 175,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,9625,40000,10375" +) +tg (CPTG +uid 176,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 177,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,9300,44200,10700" +st "go2" +blo "41000,10500" +tm "CptPortNameMgr" +) +s (Text +uid 721,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,10700,41000,10700" +blo "41000,10700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 178,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,16700,19000,17500" +st "go2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "go2" +t "std_uLogic" +o 7 +suid 5,0 +) +) +) +*111 (CptPort +uid 194,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 199,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,13625,56750,14375" +) +tg (CPTG +uid 196,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 197,0 +va (VaSet +font "Verdana,12,0" +) +xt "49100,13400,55000,14800" +st "sensor1" +ju 2 +blo "55000,14600" +tm "CptPortNameMgr" +) +s (Text +uid 722,0 +va (VaSet +font "Verdana,12,0" +) +xt "55000,14800,55000,14800" +ju 2 +blo "55000,14800" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 198,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,19100,19000,19900" +st "sensor1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "sensor1" +t "std_uLogic" +o 10 +suid 6,0 +) +) +) +*112 (CptPort +uid 200,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 201,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,27625,40000,28375" +) +tg (CPTG +uid 202,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 203,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,27300,47700,28700" +st "testMode" +blo "41000,28500" +tm "CptPortNameMgr" +) +s (Text +uid 723,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,28700,41000,28700" +blo "41000,28700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 204,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,20700,19000,21500" +st "testMode : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 12 +suid 7,0 +) +) +) +*113 (CptPort +uid 205,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 206,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "47625,1250,48375,2000" +) +tg (CPTG +uid 207,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 208,0 +va (VaSet +font "Verdana,12,0" +) +xt "45000,3000,50600,4400" +st "testOut" +ju 2 +blo "50600,4200" +tm "CptPortNameMgr" +) +s (Text +uid 724,0 +va (VaSet +font "Verdana,12,0" +) +xt "50600,4400,50600,4400" +ju 2 +blo "48500,900" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 209,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,27900,30500,28700" +st "testOut : OUT std_uLogic_vector (1 TO testLineNb)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 TO testLineNb)" +o 21 +suid 8,0 +) +) +) +*114 (CptPort +uid 210,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 211,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,7625,40000,8375" +) +tg (CPTG +uid 212,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 213,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,7300,44200,8700" +st "go1" +blo "41000,8500" +tm "CptPortNameMgr" +) +s (Text +uid 725,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,8700,41000,8700" +blo "41000,8700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 214,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15900,19000,16700" +st "go1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "go1" +t "std_uLogic" +o 6 +suid 9,0 +) +) +) +*115 (CptPort +uid 215,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 216,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,9625,56750,10375" +) +tg (CPTG +uid 217,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 218,0 +va (VaSet +font "Verdana,12,0" +) +xt "50800,9400,55000,10800" +st "side2" +ju 2 +blo "55000,10600" +tm "CptPortNameMgr" +) +s (Text +uid 726,0 +va (VaSet +font "Verdana,12,0" +) +xt "55000,10800,55000,10800" +ju 2 +blo "55000,10800" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 219,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,27100,19000,27900" +st "side2 : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 20 +suid 10,0 +) +) +) +*116 (CptPort +uid 220,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 221,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,15625,56750,16375" +) +tg (CPTG +uid 222,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 223,0 +va (VaSet +font "Verdana,12,0" +) +xt "49100,15300,55000,16700" +st "sensor2" +ju 2 +blo "55000,16500" +tm "CptPortNameMgr" +) +s (Text +uid 727,0 +va (VaSet +font "Verdana,12,0" +) +xt "55000,16700,55000,16700" +ju 2 +blo "55000,16700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 224,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,19900,19000,20700" +st "sensor2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "sensor2" +t "std_uLogic" +o 11 +suid 11,0 +) +) +) +*117 (CptPort +uid 225,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 226,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,5625,56750,6375" +) +tg (CPTG +uid 227,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 228,0 +va (VaSet +font "Verdana,12,0" +) +xt "48700,5400,55000,6800" +st "motorOn" +ju 2 +blo "55000,6600" +tm "CptPortNameMgr" +) +s (Text +uid 728,0 +va (VaSet +font "Verdana,12,0" +) +xt "55000,6800,55000,6800" +ju 2 +blo "55000,6800" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 229,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,25500,19000,26300" +st "motorOn : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 18 +suid 12,0 +) +) +) +*118 (CptPort +uid 230,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 250,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,19625,56750,20375" +) +tg (CPTG +uid 232,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 233,0 +va (VaSet +font "Verdana,12,0" +) +xt "48300,19400,55000,20800" +st "encoderA" +ju 2 +blo "55000,20600" +tm "CptPortNameMgr" +) +s (Text +uid 729,0 +va (VaSet +font "Verdana,12,0" +) +xt "55000,20800,55000,20800" +ju 2 +blo "55000,20800" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 234,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,13500,19000,14300" +st "encoderA : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 13,0 +) +) +) +*119 (CptPort +uid 235,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 251,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,21625,56750,22375" +) +tg (CPTG +uid 237,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 238,0 +va (VaSet +font "Verdana,12,0" +) +xt "48300,21400,55000,22800" +st "encoderB" +ju 2 +blo "55000,22600" +tm "CptPortNameMgr" +) +s (Text +uid 730,0 +va (VaSet +font "Verdana,12,0" +) +xt "55000,22800,55000,22800" +ju 2 +blo "55000,22800" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 239,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,14300,19000,15100" +st "encoderB : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 14,0 +) +) +) +*120 (CptPort +uid 240,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 252,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,23625,56750,24375" +) +tg (CPTG +uid 242,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 243,0 +va (VaSet +font "Verdana,12,0" +) +xt "48600,23400,55000,24800" +st "encoderI" +ju 2 +blo "55000,24600" +tm "CptPortNameMgr" +) +s (Text +uid 731,0 +va (VaSet +font "Verdana,12,0" +) +xt "55000,24800,55000,24800" +ju 2 +blo "55000,24800" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 244,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15100,19000,15900" +st "encoderI : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 15,0 +) +) +) +*121 (CptPort +uid 245,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 246,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,11625,40000,12375" +) +tg (CPTG +uid 247,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 248,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,11300,46800,12700" +st "button4" +blo "41000,12500" +tm "CptPortNameMgr" +) +s (Text +uid 732,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,12700,41000,12700" +blo "41000,12700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 249,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,11900,19000,12700" +st "button4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 16,0 +) +) +) +*122 (CptPort +uid 768,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 769,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,15625,40000,16375" +) +tg (CPTG +uid 770,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 771,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,15300,45900,16700" +st "CS1_n" +blo "41000,16500" +tm "CptPortNameMgr" +) +s (Text +uid 772,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,16700,41000,16700" +blo "41000,16700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 773,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,22300,19000,23100" +st "CS1_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 14 +suid 2017,0 +) +) +) +*123 (CptPort +uid 774,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 775,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,17625,40000,18375" +) +tg (CPTG +uid 776,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 777,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,17300,44200,18700" +st "SCL" +blo "41000,18500" +tm "CptPortNameMgr" +) +s (Text +uid 778,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,18700,41000,18700" +blo "41000,18700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 779,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,23900,19000,24700" +st "SCL : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 16 +suid 2018,0 +) +) +) +*124 (CptPort +uid 780,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 781,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,19625,40000,20375" +) +tg (CPTG +uid 782,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 783,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,19300,43100,20700" +st "SI" +blo "41000,20500" +tm "CptPortNameMgr" +) +s (Text +uid 784,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,20700,41000,20700" +blo "41000,20700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 785,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,24700,19000,25500" +st "SI : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 17 +suid 2019,0 +) +) +) +*125 (CptPort +uid 786,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 787,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,21625,40000,22375" +) +tg (CPTG +uid 788,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 789,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,21300,43400,22700" +st "A0" +blo "41000,22500" +tm "CptPortNameMgr" +) +s (Text +uid 790,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,22700,41000,22700" +blo "41000,22700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 791,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,21500,19000,22300" +st "A0 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 13 +suid 2020,0 +) +) +) +*126 (CptPort +uid 792,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 793,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,23625,40000,24375" +) +tg (CPTG +uid 794,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 795,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,23300,45700,24700" +st "RST_n" +blo "41000,24500" +tm "CptPortNameMgr" +) +s (Text +uid 796,0 +va (VaSet +font "Verdana,12,0" +) +xt "41000,24700,41000,24700" +blo "41000,24700" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 797,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,23100,19000,23900" +st "RST_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 15 +suid 2021,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,2000,56000,34000" +) +oxt "15000,6000,47000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "40100,33700,43800,34700" +st "Cursor" +blo "40100,34500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "40100,34700,47400,35700" +st "cursorCircuit" +blo "40100,35500" +) +) +gi *127 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "40000,37200,57500,43600" +st "Generic Declarations + +position0 positive 128 +position1 positive 32000 +position2 positive 64000 +slopeShiftBitNb positive 6 +pwmBitNb positive 8 +testLineNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "position0" +type "positive" +value "128" +) +(GiElement +name "position1" +type "positive" +value "32000" +) +(GiElement +name "position2" +type "positive" +value "64000" +) +(GiElement +name "slopeShiftBitNb" +type "positive" +value "6" +) +(GiElement +name "pwmBitNb" +type "positive" +value "8" +) +(GiElement +name "testLineNb" +type "positive" +value "16" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +disp 1 +sTC 0 +) +) +*128 (Grouping +uid 136,0 +optionalChildren [ +*129 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*130 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*131 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 146,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*132 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*133 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*134 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*135 (CommentText +uid 156,0 +shape (Rectangle +uid 157,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 158,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*136 (CommentText +uid 159,0 +shape (Rectangle +uid 160,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 161,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*137 (CommentText +uid 162,0 +shape (Rectangle +uid 163,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 164,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*138 (CommentText +uid 165,0 +shape (Rectangle +uid 166,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 167,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 137,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *139 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*140 (Text +uid 103,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6900,1000" +st "Package List" +blo "0,800" +) +*141 (MLText +uid 104,0 +va (VaSet +) +xt "0,1000,16900,4600" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "61,37,1386,886" +viewArea "-1100,-1100,76320,50350" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "650,1100,4350,2100" +st "Panel0" +blo "650,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,47000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "29100,14700,34100,15700" +st "" +blo "29100,15500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "29100,15700,32700,16700" +st "" +blo "29100,16500" +) +) +gi *142 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *143 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9900,7000,10900" +st "Declarations" +blo "0,10700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,10900,3400,11900" +st "Ports:" +blo "0,11700" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,28700,3000,29700" +st "User:" +blo "0,29500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,9900,7600,10900" +st "Internal User:" +blo "0,10700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,29700,2000,29700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,9900,0,9900" +tm "SyDeclarativeTextMgr" +) +) +lastUid 893,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Cursor/hds/lcd@display/fsm.sm b/Cursor/hds/lcd@display/fsm.sm new file mode 100644 index 0000000..f5109be --- /dev/null +++ b/Cursor/hds/lcd@display/fsm.sm @@ -0,0 +1,6594 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcd@display\\fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcd@display\\fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcd@display" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcdDisplay" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdDisplay" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:13" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "lcdDisplay" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcd@display\\fsm.sm" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcdDisplay\\fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "08:13:13" +) +(vvPair +variable "unit" +value "lcdDisplay" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +uid 556,0 +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 39,0 +shape (Circle +uid 40,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "9977,10977,16023,17023" +radius 3023 +) +name (Text +uid 41,0 +va (VaSet +font "Verdana,10,1" +) +xt "11750,13400,14250,14600" +st "init" +ju 0 +blo "13000,14400" +tm "ONodeName" +) +wait (TextAssociate +uid 42,0 +ps "CenterOffsetStrategy" +text (Text +uid 43,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "13000,14300,17100,15500" +st "wait 2" +blo "13000,15300" +tm "SmWaitText" +) +) +encoding (Text +uid 44,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "13000,15000,13000,15000" +blo "13000,15000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 47,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 48,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "850,16100,33150,18300" +) +autoResize 1 +tline (Line +uid 49,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "950,16000,33050,16000" +pts [ +"950,16000" +"33050,16000" +] +) +bline (Line +uid 50,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "950,16100,33050,16100" +pts [ +"950,16100" +"33050,16100" +] +) +ttri (Triangle +uid 51,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "500,15625,850,15975" +) +btri (Triangle +uid 52,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "500,13825,850,14175" +) +entryActions (MLText +uid 53,0 +va (VaSet +) +xt "950,15800,950,15800" +tm "Actions" +) +inActions (MLText +uid 54,0 +va (VaSet +) +xt "950,16200,41150,18600" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos(can), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 55,0 +va (VaSet +) +xt "13000,14000,13000,14000" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 45,0 +ps "CenterOffsetStrategy" +text (MLText +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "10950,15000,17050,16000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*3 (SmClockPoint +uid 56,0 +shape (CompositeShape +uid 57,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 58,0 +sl 0 +ro 270 +xt "76875,4500,79125,5500" +) +(OrthoPolyLine +uid 59,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "77775,4700,78374,5300" +pts [ +"77775,5300" +"78074,5300" +"78074,4700" +"78374,4700" +] +) +(Arc2D +pts [ +"77384,5153" +"77129,4847" +"77384,4847" +] +uid 60,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "77056,4800,77384,5199" +) +] +) +name (TextAssociate +uid 61,0 +ps "CenterOffsetStrategy" +text (Text +uid 62,0 +va (VaSet +) +xt "73875,4500,76375,5500" +st "clock" +ju 2 +blo "76375,5300" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 63,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 64,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "79125,4300,96425,5700" +) +autoResize 1 +cond (MLText +uid 65,0 +va (VaSet +) +xt "79225,4400,96325,5600" +st "clock'EVENT AND clock = '1'" +tm "SmControlConditionMgr" +) +) +) +*4 (SmResetPoint +uid 66,0 +shape (CompositeShape +uid 67,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 68,0 +sl 0 +ro 270 +xt "77250,6500,79500,7500" +) +(OrthoPolyLine +uid 69,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "78150,6700,78749,7300" +pts [ +"78749,6700" +"78449,6700" +"78449,7300" +"78150,7300" +] +) +(Line +uid 70,0 +sl 0 +ro 270 +xt "77499,6825,77599,6875" +pts [ +"77499,6875" +"77599,6825" +] +) +(Line +uid 71,0 +sl 0 +ro 270 +xt "77499,6825,77499,7175" +pts [ +"77499,7175" +"77499,6825" +] +) +(Circle +uid 72,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "78299,6850,78599,7150" +radius 150 +) +] +) +cond (SmControlCondition +uid 78,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 79,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "75800,4800,82600,6200" +) +autoResize 1 +cond (MLText +uid 80,0 +va (VaSet +isHidden 1 +) +xt "75900,4900,82500,6100" +st "reset = '1'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 75,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 76,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "79500,6011,81478,7989" +radius 989 +) +pr (Text +uid 77,0 +va (VaSet +isHidden 1 +) +xt "79989,6500,80989,7500" +st "1" +ju 0 +blo "80489,7300" +tm "TransitionPriority" +) +padding "200,200" +) +name (TextAssociate +uid 73,0 +ps "CenterOffsetStrategy" +text (Text +uid 74,0 +va (VaSet +) +xt "74750,6500,77250,7500" +st "reset" +ju 2 +blo "77250,7300" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 81,0 +ps "CenterOffsetStrategy" +text (MLText +uid 82,0 +va (VaSet +isHidden 1 +) +xt "85125,11125,93725,12325" +st "< Automatic >" +tm "Actions" +) +) +level 1 +) +*5 (Link +uid 83,0 +shape (CompositeShape +uid 84,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 85,0 +sl 0 +ro 270 +xt "87500,6500,89750,7500" +) +(Line +uid 86,0 +sl 0 +ro 270 +xt "87000,7000,87500,7000" +pts [ +"87000,7000" +"87500,7000" +] +) +] +) +name (TextAssociate +uid 87,0 +ps "CenterOffsetStrategy" +text (Text +uid 88,0 +va (VaSet +font "Verdana,8,1" +) +xt "90250,6500,92450,7500" +st "init" +blo "90250,7300" +tm "LinkName" +) +) +) +*6 (SmRecoveryStatePoint +uid 89,0 +shape (CompositeShape +uid 90,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 91,0 +sl 0 +xt "77100,8100,78900,9900" +radius 900 +) +(Line +uid 92,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "77574,8574,78426,9426" +pts [ +"77574,9426" +"78426,8574" +] +) +(Line +uid 93,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "77574,8574,78426,9426" +pts [ +"78426,9426" +"77574,8574" +] +) +] +) +) +*7 (Link +uid 94,0 +shape (CompositeShape +uid 95,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 96,0 +sl 0 +ro 270 +xt "87500,8500,89750,9500" +) +(Line +uid 97,0 +sl 0 +ro 270 +xt "87000,9000,87500,9000" +pts [ +"87000,9000" +"87500,9000" +] +) +] +) +name (TextAssociate +uid 98,0 +ps "CenterOffsetStrategy" +text (Text +uid 99,0 +va (VaSet +font "Verdana,8,1" +) +xt "90250,8500,92450,9500" +st "init" +blo "90250,9300" +tm "LinkName" +) +) +) +*8 (Grouping +uid 173,0 +optionalChildren [ +*9 (CommentText +uid 175,0 +shape (Rectangle +uid 176,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,56000,97000,58000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 177,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,56400,93600,57600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 178,0 +shape (Rectangle +uid 179,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,56000,72000,58000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 180,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "53250,56250,64750,57750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 181,0 +shape (Rectangle +uid 182,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,62000,72000,64000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 183,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,62400,67500,63600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 184,0 +shape (Rectangle +uid 185,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,56000,78000,58000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 186,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,56400,76900,57600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 187,0 +shape (Rectangle +uid 188,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,58000,72000,60000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 189,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,58400,66400,59600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 190,0 +shape (Rectangle +uid 191,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,58000,51000,60000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 192,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,58400,49600,59600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,60000,51000,62000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,60400,49600,61600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*16 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,58000,97000,64000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,58200,86300,59400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*17 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,60000,72000,62000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,60400,64600,61600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*18 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,62000,51000,64000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,62400,50500,63600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 174,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "46000,56000,97000,64000" +) +oxt "13000,22000,64000,30000" +) +*19 (State +uid 205,0 +shape (Circle +uid 206,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "21977,10977,28023,17023" +radius 3023 +) +name (Text +uid 207,0 +va (VaSet +font "Verdana,10,1" +) +xt "23050,13400,26950,14600" +st "home" +ju 0 +blo "25000,14400" +tm "ONodeName" +) +wait (TextAssociate +uid 208,0 +ps "CenterOffsetStrategy" +text (Text +uid 209,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "25000,14300,29100,15500" +st "wait 2" +blo "25000,15300" +tm "SmWaitText" +) +) +encoding (Text +uid 210,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "25000,15000,25000,15000" +blo "25000,15000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 213,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 214,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "12950,16900,45050,19100" +) +autoResize 1 +tline (Line +uid 215,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "13050,16800,44950,16800" +pts [ +"13050,16800" +"44950,16800" +] +) +bline (Line +uid 216,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "13050,16900,44950,16900" +pts [ +"13050,16900" +"44950,16900" +] +) +ttri (Triangle +uid 217,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "12600,16425,12950,16775" +) +btri (Triangle +uid 218,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "12600,14625,12950,14975" +) +entryActions (MLText +uid 219,0 +va (VaSet +) +xt "13050,16600,13050,16600" +tm "Actions" +) +inActions (MLText +uid 220,0 +va (VaSet +) +xt "13050,17000,53050,19400" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos(stx), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 221,0 +va (VaSet +) +xt "25000,14800,25000,14800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 211,0 +ps "CenterOffsetStrategy" +text (MLText +uid 212,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "22950,15000,29050,16000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*20 (State +uid 222,0 +shape (Circle +uid 223,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "9977,22977,16023,29023" +radius 3023 +) +name (Text +uid 224,0 +va (VaSet +font "Verdana,10,1" +) +xt "12250,25400,13750,26600" +st "H" +ju 0 +blo "13000,26400" +tm "ONodeName" +) +wait (TextAssociate +uid 225,0 +ps "CenterOffsetStrategy" +text (Text +uid 226,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "13000,26300,17100,27500" +st "wait 2" +blo "13000,27300" +tm "SmWaitText" +) +) +encoding (Text +uid 227,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "13000,27000,13000,27000" +blo "13000,27000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 230,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 231,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "1050,28100,32950,30300" +) +autoResize 1 +tline (Line +uid 232,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "1150,28000,32850,28000" +pts [ +"1150,28000" +"32850,28000" +] +) +bline (Line +uid 233,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "1150,28100,32850,28100" +pts [ +"1150,28100" +"32850,28100" +] +) +ttri (Triangle +uid 234,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "700,27625,1050,27975" +) +btri (Triangle +uid 235,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "700,25825,1050,26175" +) +entryActions (MLText +uid 236,0 +va (VaSet +) +xt "1150,27800,1150,27800" +tm "Actions" +) +inActions (MLText +uid 237,0 +va (VaSet +) +xt "1150,28200,40950,30600" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos('H'), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 238,0 +va (VaSet +) +xt "13000,26000,13000,26000" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 228,0 +ps "CenterOffsetStrategy" +text (MLText +uid 229,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "10950,27000,17050,28000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*21 (State +uid 239,0 +shape (Circle +uid 240,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "21977,22977,28023,29023" +radius 3023 +) +name (Text +uid 241,0 +va (VaSet +font "Verdana,10,1" +) +xt "24300,25400,25700,26600" +st "e" +ju 0 +blo "25000,26400" +tm "ONodeName" +) +wait (TextAssociate +uid 242,0 +ps "CenterOffsetStrategy" +text (Text +uid 243,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "25000,26300,29100,27500" +st "wait 2" +blo "25000,27300" +tm "SmWaitText" +) +) +encoding (Text +uid 244,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "25000,27000,25000,27000" +blo "25000,27000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 247,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 248,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "13100,28900,44900,31100" +) +autoResize 1 +tline (Line +uid 249,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "13200,28800,44800,28800" +pts [ +"13200,28800" +"44800,28800" +] +) +bline (Line +uid 250,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "13200,28900,44800,28900" +pts [ +"13200,28900" +"44800,28900" +] +) +ttri (Triangle +uid 251,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "12750,28425,13100,28775" +) +btri (Triangle +uid 252,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "12750,26625,13100,26975" +) +entryActions (MLText +uid 253,0 +va (VaSet +) +xt "13200,28600,13200,28600" +tm "Actions" +) +inActions (MLText +uid 254,0 +va (VaSet +) +xt "13200,29000,52800,31400" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos('e'), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 255,0 +va (VaSet +) +xt "25000,26800,25000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 245,0 +ps "CenterOffsetStrategy" +text (MLText +uid 246,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "22950,27000,29050,28000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*22 (State +uid 286,0 +shape (Circle +uid 287,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "33977,22977,40023,29023" +radius 3023 +) +name (Text +uid 288,0 +va (VaSet +font "Verdana,10,1" +) +xt "36500,25400,37500,26600" +st "l" +ju 0 +blo "37000,26400" +tm "ONodeName" +) +wait (TextAssociate +uid 289,0 +ps "CenterOffsetStrategy" +text (Text +uid 290,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "37000,26300,41100,27500" +st "wait 2" +blo "37000,27300" +tm "SmWaitText" +) +) +encoding (Text +uid 291,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "37000,27000,37000,27000" +blo "37000,27000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 294,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 295,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "25250,29900,56750,32100" +) +autoResize 1 +tline (Line +uid 296,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "25350,29800,56650,29800" +pts [ +"25350,29800" +"56650,29800" +] +) +bline (Line +uid 297,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "25350,29900,56650,29900" +pts [ +"25350,29900" +"56650,29900" +] +) +ttri (Triangle +uid 298,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24900,29425,25250,29775" +) +btri (Triangle +uid 299,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24900,27625,25250,27975" +) +entryActions (MLText +uid 300,0 +va (VaSet +) +xt "25350,29600,25350,29600" +tm "Actions" +) +inActions (MLText +uid 301,0 +va (VaSet +) +xt "25350,30000,64650,32400" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos('l'), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 302,0 +va (VaSet +) +xt "37000,27800,37000,27800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 292,0 +ps "CenterOffsetStrategy" +text (MLText +uid 293,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "34950,27000,41050,28000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*23 (State +uid 303,0 +shape (Circle +uid 304,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "45977,22977,52023,29023" +radius 3023 +) +name (Text +uid 305,0 +va (VaSet +font "Verdana,10,1" +) +xt "48150,25400,49850,26600" +st "l2" +ju 0 +blo "49000,26400" +tm "ONodeName" +) +wait (TextAssociate +uid 306,0 +ps "CenterOffsetStrategy" +text (Text +uid 307,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "49000,26300,53100,27500" +st "wait 2" +blo "49000,27300" +tm "SmWaitText" +) +) +encoding (Text +uid 308,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "49000,27000,49000,27000" +blo "49000,27000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 311,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 312,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "37250,28100,68750,30300" +) +autoResize 1 +tline (Line +uid 313,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "37350,28000,68650,28000" +pts [ +"37350,28000" +"68650,28000" +] +) +bline (Line +uid 314,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "37350,28100,68650,28100" +pts [ +"37350,28100" +"68650,28100" +] +) +ttri (Triangle +uid 315,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "36900,27625,37250,27975" +) +btri (Triangle +uid 316,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "36900,25825,37250,26175" +) +entryActions (MLText +uid 317,0 +va (VaSet +) +xt "37350,27800,37350,27800" +tm "Actions" +) +inActions (MLText +uid 318,0 +va (VaSet +) +xt "37350,28200,76650,30600" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos('l'), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 319,0 +va (VaSet +) +xt "49000,26000,49000,26000" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 309,0 +ps "CenterOffsetStrategy" +text (MLText +uid 310,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "46950,27000,53050,28000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*24 (State +uid 330,0 +shape (Circle +uid 331,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "57977,22977,64023,29023" +radius 3023 +) +name (Text +uid 332,0 +va (VaSet +font "Verdana,10,1" +) +xt "60300,25400,61700,26600" +st "o" +ju 0 +blo "61000,26400" +tm "ONodeName" +) +wait (TextAssociate +uid 333,0 +ps "CenterOffsetStrategy" +text (Text +uid 334,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "61000,26300,65100,27500" +st "wait 2" +blo "61000,27300" +tm "SmWaitText" +) +) +encoding (Text +uid 335,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "61000,27000,61000,27000" +blo "61000,27000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 338,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 339,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "49100,28900,80900,31100" +) +autoResize 1 +tline (Line +uid 340,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "49200,28800,80800,28800" +pts [ +"49200,28800" +"80800,28800" +] +) +bline (Line +uid 341,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "49200,28900,80800,28900" +pts [ +"49200,28900" +"80800,28900" +] +) +ttri (Triangle +uid 342,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "48750,28425,49100,28775" +) +btri (Triangle +uid 343,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "48750,26625,49100,26975" +) +entryActions (MLText +uid 344,0 +va (VaSet +) +xt "49200,28600,49200,28600" +tm "Actions" +) +inActions (MLText +uid 345,0 +va (VaSet +) +xt "49200,29000,88800,31400" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos('o'), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 346,0 +va (VaSet +) +xt "61000,26800,61000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 336,0 +ps "CenterOffsetStrategy" +text (MLText +uid 337,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "58950,27000,65050,28000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*25 (State +uid 347,0 +shape (Circle +uid 348,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "69977,22977,76023,29023" +radius 3023 +) +name (Text +uid 349,0 +va (VaSet +font "Verdana,10,1" +) +xt "72100,25400,73900,26600" +st "cr" +ju 0 +blo "73000,26400" +tm "ONodeName" +) +wait (TextAssociate +uid 350,0 +ps "CenterOffsetStrategy" +text (Text +uid 351,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "73000,26300,77100,27500" +st "wait 2" +blo "73000,27300" +tm "SmWaitText" +) +) +encoding (Text +uid 352,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "73000,27000,73000,27000" +blo "73000,27000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 355,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 356,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "61200,29900,92800,32100" +) +autoResize 1 +tline (Line +uid 357,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "61300,29800,92700,29800" +pts [ +"61300,29800" +"92700,29800" +] +) +bline (Line +uid 358,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "61300,29900,92700,29900" +pts [ +"61300,29900" +"92700,29900" +] +) +ttri (Triangle +uid 359,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "60850,29425,61200,29775" +) +btri (Triangle +uid 360,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "60850,27625,61200,27975" +) +entryActions (MLText +uid 361,0 +va (VaSet +) +xt "61300,29600,61300,29600" +tm "Actions" +) +inActions (MLText +uid 362,0 +va (VaSet +) +xt "61300,30000,100700,32400" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos(cr), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 363,0 +va (VaSet +) +xt "73000,27800,73000,27800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 353,0 +ps "CenterOffsetStrategy" +text (MLText +uid 354,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "70950,27000,77050,28000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*26 (State +uid 394,0 +shape (Circle +uid 395,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "81977,22977,88023,29023" +radius 3023 +) +name (Text +uid 396,0 +va (VaSet +font "Verdana,10,1" +) +xt "84250,25400,85750,26600" +st "lf" +ju 0 +blo "85000,26400" +tm "ONodeName" +) +wait (TextAssociate +uid 397,0 +ps "CenterOffsetStrategy" +text (Text +uid 398,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "85000,26300,89100,27500" +st "wait 2" +blo "85000,27300" +tm "SmWaitText" +) +) +encoding (Text +uid 399,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "85000,27000,85000,27000" +blo "85000,27000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 402,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 403,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "73300,28100,104700,30300" +) +autoResize 1 +tline (Line +uid 404,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73400,28000,104600,28000" +pts [ +"73400,28000" +"104600,28000" +] +) +bline (Line +uid 405,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73400,28100,104600,28100" +pts [ +"73400,28100" +"104600,28100" +] +) +ttri (Triangle +uid 406,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "72950,27625,73300,27975" +) +btri (Triangle +uid 407,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "72950,25825,73300,26175" +) +entryActions (MLText +uid 408,0 +va (VaSet +) +xt "73400,27800,73400,27800" +tm "Actions" +) +inActions (MLText +uid 409,0 +va (VaSet +) +xt "73400,28200,112400,30600" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos(lf), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 410,0 +va (VaSet +) +xt "85000,26000,85000,26000" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 400,0 +ps "CenterOffsetStrategy" +text (MLText +uid 401,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "82950,27000,89050,28000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*27 (State +uid 421,0 +shape (Circle +uid 422,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "9977,34977,16023,41023" +radius 3023 +) +name (Text +uid 423,0 +va (VaSet +font "Verdana,10,1" +) +xt "12050,37400,13950,38600" +st "W" +ju 0 +blo "13000,38400" +tm "ONodeName" +) +wait (TextAssociate +uid 424,0 +ps "CenterOffsetStrategy" +text (Text +uid 425,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "13000,38300,17100,39500" +st "wait 2" +blo "13000,39300" +tm "SmWaitText" +) +) +encoding (Text +uid 426,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "13000,39000,13000,39000" +blo "13000,39000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 429,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 430,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "950,40100,33050,42300" +) +autoResize 1 +tline (Line +uid 431,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "1050,40000,32950,40000" +pts [ +"1050,40000" +"32950,40000" +] +) +bline (Line +uid 432,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "1050,40100,32950,40100" +pts [ +"1050,40100" +"32950,40100" +] +) +ttri (Triangle +uid 433,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "600,39625,950,39975" +) +btri (Triangle +uid 434,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "600,37825,950,38175" +) +entryActions (MLText +uid 435,0 +va (VaSet +) +xt "1050,39800,1050,39800" +tm "Actions" +) +inActions (MLText +uid 436,0 +va (VaSet +) +xt "1050,40200,40950,42600" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos('W'), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 437,0 +va (VaSet +) +xt "13000,38000,13000,38000" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 427,0 +ps "CenterOffsetStrategy" +text (MLText +uid 428,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "10950,39000,17050,40000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*28 (State +uid 438,0 +shape (Circle +uid 439,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "21977,34977,28023,41023" +radius 3023 +) +name (Text +uid 440,0 +va (VaSet +font "Verdana,10,1" +) +xt "23950,37400,26050,38600" +st "o2" +ju 0 +blo "25000,38400" +tm "ONodeName" +) +wait (TextAssociate +uid 441,0 +ps "CenterOffsetStrategy" +text (Text +uid 442,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "25000,38300,29100,39500" +st "wait 2" +blo "25000,39300" +tm "SmWaitText" +) +) +encoding (Text +uid 443,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "25000,39000,25000,39000" +blo "25000,39000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 446,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 447,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "13100,40900,44900,43100" +) +autoResize 1 +tline (Line +uid 448,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "13200,40800,44800,40800" +pts [ +"13200,40800" +"44800,40800" +] +) +bline (Line +uid 449,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "13200,40900,44800,40900" +pts [ +"13200,40900" +"44800,40900" +] +) +ttri (Triangle +uid 450,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "12750,40425,13100,40775" +) +btri (Triangle +uid 451,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "12750,38625,13100,38975" +) +entryActions (MLText +uid 452,0 +va (VaSet +) +xt "13200,40600,13200,40600" +tm "Actions" +) +inActions (MLText +uid 453,0 +va (VaSet +) +xt "13200,41000,52800,43400" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos('o'), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 454,0 +va (VaSet +) +xt "25000,38800,25000,38800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 444,0 +ps "CenterOffsetStrategy" +text (MLText +uid 445,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "22950,39000,29050,40000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*29 (State +uid 455,0 +shape (Circle +uid 456,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "33977,34977,40023,41023" +radius 3023 +) +name (Text +uid 457,0 +va (VaSet +font "Verdana,10,1" +) +xt "36400,37400,37600,38600" +st "r" +ju 0 +blo "37000,38400" +tm "ONodeName" +) +wait (TextAssociate +uid 458,0 +ps "CenterOffsetStrategy" +text (Text +uid 459,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "37000,38300,41100,39500" +st "wait 2" +blo "37000,39300" +tm "SmWaitText" +) +) +encoding (Text +uid 460,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "37000,39000,37000,39000" +blo "37000,39000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 463,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 464,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "25200,41900,56800,44100" +) +autoResize 1 +tline (Line +uid 465,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "25300,41800,56700,41800" +pts [ +"25300,41800" +"56700,41800" +] +) +bline (Line +uid 466,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "25300,41900,56700,41900" +pts [ +"25300,41900" +"56700,41900" +] +) +ttri (Triangle +uid 467,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24850,41425,25200,41775" +) +btri (Triangle +uid 468,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24850,39625,25200,39975" +) +entryActions (MLText +uid 469,0 +va (VaSet +) +xt "25300,41600,25300,41600" +tm "Actions" +) +inActions (MLText +uid 470,0 +va (VaSet +) +xt "25300,42000,64700,44400" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos('r'), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 471,0 +va (VaSet +) +xt "37000,39800,37000,39800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 461,0 +ps "CenterOffsetStrategy" +text (MLText +uid 462,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "34950,39000,41050,40000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*30 (State +uid 472,0 +shape (Circle +uid 473,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "45977,34977,52023,41023" +radius 3023 +) +name (Text +uid 474,0 +va (VaSet +font "Verdana,10,1" +) +xt "48150,37400,49850,38600" +st "l3" +ju 0 +blo "49000,38400" +tm "ONodeName" +) +wait (TextAssociate +uid 475,0 +ps "CenterOffsetStrategy" +text (Text +uid 476,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "49000,38300,53100,39500" +st "wait 2" +blo "49000,39300" +tm "SmWaitText" +) +) +encoding (Text +uid 477,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "49000,39000,49000,39000" +blo "49000,39000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 480,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 481,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "37250,40100,68750,42300" +) +autoResize 1 +tline (Line +uid 482,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "37350,40000,68650,40000" +pts [ +"37350,40000" +"68650,40000" +] +) +bline (Line +uid 483,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "37350,40100,68650,40100" +pts [ +"37350,40100" +"68650,40100" +] +) +ttri (Triangle +uid 484,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "36900,39625,37250,39975" +) +btri (Triangle +uid 485,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "36900,37825,37250,38175" +) +entryActions (MLText +uid 486,0 +va (VaSet +) +xt "37350,39800,37350,39800" +tm "Actions" +) +inActions (MLText +uid 487,0 +va (VaSet +) +xt "37350,40200,76650,42600" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos('l'), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 488,0 +va (VaSet +) +xt "49000,38000,49000,38000" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 478,0 +ps "CenterOffsetStrategy" +text (MLText +uid 479,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "46950,39000,53050,40000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*31 (State +uid 489,0 +shape (Circle +uid 490,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "57977,34977,64023,41023" +radius 3023 +) +name (Text +uid 491,0 +va (VaSet +font "Verdana,10,1" +) +xt "60300,37400,61700,38600" +st "d" +ju 0 +blo "61000,38400" +tm "ONodeName" +) +wait (TextAssociate +uid 492,0 +ps "CenterOffsetStrategy" +text (Text +uid 493,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "61000,38300,65100,39500" +st "wait 2" +blo "61000,39300" +tm "SmWaitText" +) +) +encoding (Text +uid 494,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "61000,39000,61000,39000" +blo "61000,39000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 497,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 498,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "49100,40900,80900,43100" +) +autoResize 1 +tline (Line +uid 499,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "49200,40800,80800,40800" +pts [ +"49200,40800" +"80800,40800" +] +) +bline (Line +uid 500,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "49200,40900,80800,40900" +pts [ +"49200,40900" +"80800,40900" +] +) +ttri (Triangle +uid 501,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "48750,40425,49100,40775" +) +btri (Triangle +uid 502,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "48750,38625,49100,38975" +) +entryActions (MLText +uid 503,0 +va (VaSet +) +xt "49200,40600,49200,40600" +tm "Actions" +) +inActions (MLText +uid 504,0 +va (VaSet +) +xt "49200,41000,88800,43400" +st "ascii <= std_ulogic_vector(to_unsigned(character'pos('d'), ascii'length)); +send <= not busy;" +tm "Actions" +) +exitActions (MLText +uid 505,0 +va (VaSet +) +xt "61000,38800,61000,38800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 495,0 +ps "CenterOffsetStrategy" +text (MLText +uid 496,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "58950,39000,65050,40000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*32 (State +uid 602,0 +shape (Circle +uid 603,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "9977,46977,16023,53023" +radius 3023 +) +name (Text +uid 604,0 +va (VaSet +font "Verdana,10,1" +) +xt "11650,49400,14350,50600" +st "idle" +ju 0 +blo "13000,50400" +tm "ONodeName" +) +wait (TextAssociate +uid 605,0 +ps "CenterOffsetStrategy" +text (Text +uid 606,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "13000,50300,17100,51500" +st "wait 2" +blo "13000,51300" +tm "SmWaitText" +) +) +encoding (Text +uid 607,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "13000,51000,13000,51000" +blo "13000,51000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 610,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 611,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "16900,53900,17100,54100" +) +autoResize 1 +tline (Line +uid 612,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "1200,52800,32800,52800" +pts [ +"1200,52800" +"32800,52800" +] +) +bline (Line +uid 613,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "1200,52900,32800,52900" +pts [ +"1200,52900" +"32800,52900" +] +) +ttri (Triangle +uid 614,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "12550,52425,12900,52775" +) +btri (Triangle +uid 615,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "12550,50625,12900,50975" +) +entryActions (MLText +uid 616,0 +va (VaSet +) +xt "1200,52600,1200,52600" +tm "Actions" +) +inActions (MLText +uid 617,0 +va (VaSet +) +xt "1200,53000,32800,55000" +tm "Actions" +) +exitActions (MLText +uid 618,0 +va (VaSet +) +xt "13000,50800,13000,50800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 608,0 +ps "CenterOffsetStrategy" +text (MLText +uid 609,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "10950,51000,17050,52000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*33 (Transition +uid 100,0 +shape (Spline +uid 101,0 +va (VaSet +vasetType 3 +) +xt "79500,7000,87000,7000" +pts [ +"79500,7000" +"87000,7000" +] +) +start &4 +end &5 +ss 0 +es 0 +cond "reset = '1'" +tb (TransitionBlock +uid 102,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 103,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "80900,6000,88500,8200" +) +autoResize 1 +lineShape (Line +uid 104,0 +va (VaSet +vasetType 3 +) +xt "81400,7600,88000,7600" +pts [ +"81400,7600" +"88000,7600" +] +) +condition (MLText +uid 105,0 +va (VaSet +) +xt "81400,6000,88000,7200" +st "reset = '1'" +tm "Condition" +) +actions (MLText +uid 106,0 +va (VaSet +isHidden 1 +) +xt "80400,8000,89000,9200" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 107,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 108,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "79261,6011,81239,7989" +radius 989 +) +pr (Text +uid 109,0 +va (VaSet +isHidden 1 +) +xt "79750,6500,80750,7500" +st "1" +ju 0 +blo "80250,7300" +tm "TransitionPriority" +) +padding "200,200" +) +) +*34 (Transition +uid 110,0 +shape (Spline +uid 111,0 +va (VaSet +vasetType 3 +) +xt "78900,9000,87000,9000" +pts [ +"78900,9000" +"87000,9000" +] +) +start &6 +end &7 +ss 0 +es 0 +tb (TransitionBlock +uid 112,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 113,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "80400,8495,85500,9505" +) +autoResize 1 +lineShape (Line +uid 114,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "82950,9900,82950,9900" +pts [ +"82950,9900" +"82950,9900" +] +) +condition (MLText +uid 115,0 +va (VaSet +) +xt "80900,8500,85000,9500" +tm "Condition" +) +actions (MLText +uid 116,0 +va (VaSet +) +xt "82950,9900,82950,9900" +tm "Actions" +) +) +tp (TransitionPriority +uid 117,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 118,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "78721,8011,80699,9989" +radius 989 +) +pr (Text +uid 119,0 +va (VaSet +isHidden 1 +) +xt "79210,8500,80210,9500" +st "1" +ju 0 +blo "79710,9300" +tm "TransitionPriority" +) +padding "200,200" +) +) +*35 (Transition +uid 256,0 +shape (Spline +uid 257,0 +va (VaSet +vasetType 3 +) +xt "16023,14017,21977,14021" +pts [ +"16023,14017" +"21977,14021" +] +arrow 1 +) +start &2 +end &19 +cond "busy = '0'" +tb (TransitionBlock +uid 258,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 259,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "15950,12000,22050,14000" +) +autoResize 1 +lineShape (Line +uid 260,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "18500,13900,18500,13900" +pts [ +"18500,13900" +"18500,13900" +] +) +condition (MLText +uid 261,0 +va (VaSet +) +xt "16450,12500,22850,13700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 262,0 +va (VaSet +) +xt "19000,13900,19000,13900" +tm "Actions" +) +) +tp (TransitionPriority +uid 263,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 264,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "15629,13028,17607,15006" +radius 989 +) +pr (Text +uid 265,0 +va (VaSet +isHidden 1 +) +xt "16118,13517,17118,14517" +st "1" +ju 0 +blo "16618,14317" +tm "TransitionPriority" +) +padding "200,200" +) +) +*36 (Transition +uid 266,0 +shape (Spline +uid 267,0 +va (VaSet +vasetType 3 +) +xt "14825,15917,22662,23591" +pts [ +"22662,15917" +"14825,23591" +] +arrow 1 +) +start &19 +end &20 +cond "busy = '0'" +tb (TransitionBlock +uid 268,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 269,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "18243,19254,24343,21254" +) +autoResize 1 +lineShape (Line +uid 270,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "20793,21154,20793,21154" +pts [ +"20793,21154" +"20793,21154" +] +) +condition (MLText +uid 271,0 +va (VaSet +) +xt "18743,19754,25143,20954" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 272,0 +va (VaSet +) +xt "21293,21154,21293,21154" +tm "Actions" +) +) +tp (TransitionPriority +uid 273,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 274,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "20889,15694,22867,17672" +radius 989 +) +pr (Text +uid 275,0 +va (VaSet +isHidden 1 +) +xt "21378,16183,22378,17183" +st "1" +ju 0 +blo "21878,16983" +tm "TransitionPriority" +) +padding "200,200" +) +) +*37 (Transition +uid 276,0 +shape (Spline +uid 277,0 +va (VaSet +vasetType 3 +) +xt "16023,25972,21977,25974" +pts [ +"16023,25974" +"21977,25972" +] +arrow 1 +) +start &20 +end &21 +cond "busy = '0'" +tb (TransitionBlock +uid 278,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 279,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "15950,24000,22050,26000" +) +autoResize 1 +lineShape (Line +uid 280,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "18500,25900,18500,25900" +pts [ +"18500,25900" +"18500,25900" +] +) +condition (MLText +uid 281,0 +va (VaSet +) +xt "16450,24500,22850,25700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 282,0 +va (VaSet +) +xt "19000,25900,19000,25900" +tm "Actions" +) +) +tp (TransitionPriority +uid 283,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 284,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "15629,24984,17607,26962" +radius 989 +) +pr (Text +uid 285,0 +va (VaSet +isHidden 1 +) +xt "16118,25473,17118,26473" +st "1" +ju 0 +blo "16618,26273" +tm "TransitionPriority" +) +padding "200,200" +) +) +*38 (Transition +uid 320,0 +shape (Spline +uid 321,0 +va (VaSet +vasetType 3 +) +xt "40023,25972,45977,25974" +pts [ +"40023,25974" +"45977,25972" +] +arrow 1 +) +start &22 +end &23 +cond "busy = '0'" +tb (TransitionBlock +uid 322,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 323,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "39950,24000,46050,26000" +) +autoResize 1 +lineShape (Line +uid 324,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "42500,25900,42500,25900" +pts [ +"42500,25900" +"42500,25900" +] +) +condition (MLText +uid 325,0 +va (VaSet +) +xt "40450,24500,46850,25700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 326,0 +va (VaSet +) +xt "43000,25900,43000,25900" +tm "Actions" +) +) +tp (TransitionPriority +uid 327,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 328,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "39629,24984,41607,26962" +radius 989 +) +pr (Text +uid 329,0 +va (VaSet +isHidden 1 +) +xt "40118,25473,41118,26473" +st "1" +ju 0 +blo "40618,26273" +tm "TransitionPriority" +) +padding "200,200" +) +) +*39 (Transition +uid 364,0 +shape (Spline +uid 365,0 +va (VaSet +vasetType 3 +) +xt "64023,25972,69977,25974" +pts [ +"64023,25974" +"69977,25972" +] +arrow 1 +) +start &24 +end &25 +cond "busy = '0'" +tb (TransitionBlock +uid 366,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 367,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "63950,24000,70050,26000" +) +autoResize 1 +lineShape (Line +uid 368,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "66500,25900,66500,25900" +pts [ +"66500,25900" +"66500,25900" +] +) +condition (MLText +uid 369,0 +va (VaSet +) +xt "64450,24500,70850,25700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 370,0 +va (VaSet +) +xt "67000,25900,67000,25900" +tm "Actions" +) +) +tp (TransitionPriority +uid 371,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 372,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "63629,24984,65607,26962" +radius 989 +) +pr (Text +uid 373,0 +va (VaSet +isHidden 1 +) +xt "64118,25473,65118,26473" +st "1" +ju 0 +blo "64618,26273" +tm "TransitionPriority" +) +padding "200,200" +) +) +*40 (Transition +uid 374,0 +shape (Spline +uid 375,0 +va (VaSet +vasetType 3 +) +xt "28023,25971,33977,25975" +pts [ +"28023,25975" +"33977,25971" +] +arrow 1 +) +start &21 +end &22 +cond "busy = '0'" +tb (TransitionBlock +uid 376,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 377,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "27950,24000,34050,26000" +) +autoResize 1 +lineShape (Line +uid 378,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "30500,25900,30500,25900" +pts [ +"30500,25900" +"30500,25900" +] +) +condition (MLText +uid 379,0 +va (VaSet +) +xt "28450,24500,34850,25700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 380,0 +va (VaSet +) +xt "31000,25900,31000,25900" +tm "Actions" +) +) +tp (TransitionPriority +uid 381,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 382,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "27629,24985,29607,26963" +radius 989 +) +pr (Text +uid 383,0 +va (VaSet +isHidden 1 +) +xt "28118,25474,29118,26474" +st "1" +ju 0 +blo "28618,26274" +tm "TransitionPriority" +) +padding "200,200" +) +) +*41 (Transition +uid 384,0 +shape (Spline +uid 385,0 +va (VaSet +vasetType 3 +) +xt "52023,26030,57977,26030" +pts [ +"52023,26030" +"57977,26030" +] +arrow 1 +) +start &23 +end &24 +cond "busy = '0'" +tb (TransitionBlock +uid 386,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 387,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "51950,24000,58050,26000" +) +autoResize 1 +lineShape (Line +uid 388,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "54500,25900,54500,25900" +pts [ +"54500,25900" +"54500,25900" +] +) +condition (MLText +uid 389,0 +va (VaSet +) +xt "52450,24500,58850,25700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 390,0 +va (VaSet +) +xt "55000,25900,55000,25900" +tm "Actions" +) +) +tp (TransitionPriority +uid 391,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 392,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "51629,25041,53607,27019" +radius 989 +) +pr (Text +uid 393,0 +va (VaSet +isHidden 1 +) +xt "52118,25530,53118,26530" +st "1" +ju 0 +blo "52618,26330" +tm "TransitionPriority" +) +padding "200,200" +) +) +*42 (Transition +uid 411,0 +shape (Spline +uid 412,0 +va (VaSet +vasetType 3 +) +xt "76023,25971,81977,25975" +pts [ +"76023,25975" +"81977,25971" +] +arrow 1 +) +start &25 +end &26 +cond "busy = '0'" +tb (TransitionBlock +uid 413,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 414,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "75950,24000,82050,26000" +) +autoResize 1 +lineShape (Line +uid 415,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "78500,25900,78500,25900" +pts [ +"78500,25900" +"78500,25900" +] +) +condition (MLText +uid 416,0 +va (VaSet +) +xt "76450,24500,82850,25700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 417,0 +va (VaSet +) +xt "79000,25900,79000,25900" +tm "Actions" +) +) +tp (TransitionPriority +uid 418,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 419,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "75629,24985,77607,26963" +radius 989 +) +pr (Text +uid 420,0 +va (VaSet +isHidden 1 +) +xt "76118,25474,77118,26474" +st "1" +ju 0 +blo "76618,26274" +tm "TransitionPriority" +) +padding "200,200" +) +) +*43 (Transition +uid 506,0 +shape (Spline +uid 507,0 +va (VaSet +vasetType 3 +) +xt "40023,37972,45977,37974" +pts [ +"40023,37974" +"45977,37972" +] +arrow 1 +) +start &29 +end &30 +cond "busy = '0'" +tb (TransitionBlock +uid 508,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 509,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "39950,36000,46050,38000" +) +autoResize 1 +lineShape (Line +uid 510,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "42500,37900,42500,37900" +pts [ +"42500,37900" +"42500,37900" +] +) +condition (MLText +uid 511,0 +va (VaSet +) +xt "40450,36500,46850,37700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 512,0 +va (VaSet +) +xt "43000,37900,43000,37900" +tm "Actions" +) +) +tp (TransitionPriority +uid 513,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 514,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "39629,36984,41607,38962" +radius 989 +) +pr (Text +uid 515,0 +va (VaSet +isHidden 1 +) +xt "40118,37473,41118,38473" +st "1" +ju 0 +blo "40618,38273" +tm "TransitionPriority" +) +padding "200,200" +) +) +*44 (Transition +uid 516,0 +shape (Spline +uid 517,0 +va (VaSet +vasetType 3 +) +xt "52023,38030,57977,38030" +pts [ +"52023,38030" +"57977,38030" +] +arrow 1 +) +start &30 +end &31 +cond "busy = '0'" +tb (TransitionBlock +uid 518,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 519,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "51950,36000,58050,38000" +) +autoResize 1 +lineShape (Line +uid 520,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "54500,37900,54500,37900" +pts [ +"54500,37900" +"54500,37900" +] +) +condition (MLText +uid 521,0 +va (VaSet +) +xt "52450,36500,58850,37700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 522,0 +va (VaSet +) +xt "55000,37900,55000,37900" +tm "Actions" +) +) +tp (TransitionPriority +uid 523,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 524,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "51629,37041,53607,39019" +radius 989 +) +pr (Text +uid 525,0 +va (VaSet +isHidden 1 +) +xt "52118,37530,53118,38530" +st "1" +ju 0 +blo "52618,38330" +tm "TransitionPriority" +) +padding "200,200" +) +) +*45 (Transition +uid 526,0 +shape (Spline +uid 527,0 +va (VaSet +vasetType 3 +) +xt "16023,37972,21977,37974" +pts [ +"16023,37974" +"21977,37972" +] +arrow 1 +) +start &27 +end &28 +cond "busy = '0'" +tb (TransitionBlock +uid 528,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 529,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "15950,36000,22050,38000" +) +autoResize 1 +lineShape (Line +uid 530,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "18500,37900,18500,37900" +pts [ +"18500,37900" +"18500,37900" +] +) +condition (MLText +uid 531,0 +va (VaSet +) +xt "16450,36500,22850,37700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 532,0 +va (VaSet +) +xt "19000,37900,19000,37900" +tm "Actions" +) +) +tp (TransitionPriority +uid 533,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 534,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "15629,36984,17607,38962" +radius 989 +) +pr (Text +uid 535,0 +va (VaSet +isHidden 1 +) +xt "16118,37473,17118,38473" +st "1" +ju 0 +blo "16618,38273" +tm "TransitionPriority" +) +padding "200,200" +) +) +*46 (Transition +uid 536,0 +shape (Spline +uid 537,0 +va (VaSet +vasetType 3 +) +xt "28023,37971,33977,37975" +pts [ +"28023,37975" +"33977,37971" +] +arrow 1 +) +start &28 +end &29 +cond "busy = '0'" +tb (TransitionBlock +uid 538,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 539,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "27950,36000,34050,38000" +) +autoResize 1 +lineShape (Line +uid 540,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "30500,37900,30500,37900" +pts [ +"30500,37900" +"30500,37900" +] +) +condition (MLText +uid 541,0 +va (VaSet +) +xt "28450,36500,34850,37700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 542,0 +va (VaSet +) +xt "31000,37900,31000,37900" +tm "Actions" +) +) +tp (TransitionPriority +uid 543,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 544,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "27629,36985,29607,38963" +radius 989 +) +pr (Text +uid 545,0 +va (VaSet +isHidden 1 +) +xt "28118,37474,29118,38474" +st "1" +ju 0 +blo "28618,38274" +tm "TransitionPriority" +) +padding "200,200" +) +) +*47 (Transition +uid 546,0 +shape (Spline +uid 547,0 +va (VaSet +vasetType 3 +) +xt "14613,27517,82385,35443" +pts [ +"82385,27517" +"75000,31000" +"24000,33000" +"14613,35443" +] +arrow 1 +) +start &26 +end &27 +cond "busy = '0'" +tb (TransitionBlock +uid 548,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 549,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "56950,32000,63050,34000" +) +autoResize 1 +lineShape (Line +uid 550,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "59500,33900,59500,33900" +pts [ +"59500,33900" +"59500,33900" +] +) +condition (MLText +uid 551,0 +va (VaSet +) +xt "57450,32500,63850,33700" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 552,0 +va (VaSet +) +xt "60000,33900,60000,33900" +tm "Actions" +) +) +tp (TransitionPriority +uid 553,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 554,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "76031,29775,78009,31753" +radius 989 +) +pr (Text +uid 555,0 +va (VaSet +isHidden 1 +) +xt "76520,30264,77520,31264" +st "1" +ju 0 +blo "77020,31064" +tm "TransitionPriority" +) +padding "200,200" +) +) +*48 (Transition +uid 619,0 +shape (Spline +uid 620,0 +va (VaSet +vasetType 3 +) +xt "14978,40426,59196,47714" +pts [ +"59196,40426" +"54000,44000" +"37000,45000" +"20000,46000" +"14978,47714" +] +arrow 1 +) +start &31 +end &32 +cond "busy = '0'" +tb (TransitionBlock +uid 621,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 622,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "36500,44500,42600,46500" +) +autoResize 1 +lineShape (Line +uid 623,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "39050,46400,39050,46400" +pts [ +"39050,46400" +"39050,46400" +] +) +condition (MLText +uid 624,0 +va (VaSet +) +xt "37000,45000,43400,46200" +st "busy = '0'" +tm "Condition" +) +actions (MLText +uid 625,0 +va (VaSet +) +xt "39550,46400,39550,46400" +tm "Actions" +) +) +tp (TransitionPriority +uid 626,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 627,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "54798,42436,56776,44414" +radius 989 +) +pr (Text +uid 628,0 +va (VaSet +isHidden 1 +) +xt "55287,42925,56287,43925" +st "1" +ju 0 +blo "55787,43725" +tm "TransitionPriority" +) +padding "200,200" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *49 (PackageList +uid 27,0 +stg "VerticalLayoutStrategy" +textVec [ +*50 (Text +uid 28,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,3000,6900,4000" +st "Package List" +blo "0,3800" +) +*51 (MLText +uid 29,0 +va (VaSet +) +xt "0,4000,17500,7600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 30,0 +stg "VerticalLayoutStrategy" +textVec [ +*52 (Text +uid 31,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30200,1000" +st "Compiler Directives" +blo "20000,800" +) +*53 (Text +uid 32,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,32200,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*54 (MLText +uid 33,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*55 (Text +uid 34,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32800,5000" +st "Post-module directives:" +blo "20000,4800" +) +*56 (MLText +uid 35,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*57 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,32400,6000" +st "End-module directives:" +blo "20000,5800" +) +*58 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "220,37,1427,890" +viewArea "-1489,-2447,106868,69828" +cachedDiagramExtent "0,-1000,104700,64000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,4700,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +localDecl *59 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "26400,-1000,40000,0" +st "Architecture Declarations" +blo "26400,-200" +) +*61 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "26400,0,26400,0" +tm "LocalDeclTextMgr" +) +*62 (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "26400,0,40000,1000" +st "Pre Decls" +blo "26400,800" +) +*63 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "26400,0,26400,0" +tm "LocalDeclTextMgr" +) +*64 (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "26400,1000,40000,2000" +st "Post Decls" +blo "26400,1800" +) +*65 (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "26400,2000,26400,2000" +tm "LocalDeclTextMgr" +) +] +) +processDecl *66 (SmProcessDecl +uid 6,0 +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +uid 7,0 +va (VaSet +font "Verdana,8,1" +) +xt "70200,-1000,81700,0" +st "Process Declarations" +blo "70200,-200" +) +*68 (Text +uid 8,0 +va (VaSet +font "Verdana,8,1" +) +xt "70200,0,79200,1000" +st "Clocked Process:" +blo "70200,800" +) +*69 (MLText +uid 9,0 +va (VaSet +font "Courier New,8,0" +) +xt "70200,-1000,70200,-1000" +tm "ProcessDeclTextMgr" +) +*70 (Text +uid 10,0 +va (VaSet +font "Verdana,8,1" +) +xt "70200,1000,78900,2000" +st "Output Process:" +blo "70200,1800" +) +*71 (MLText +uid 11,0 +va (VaSet +font "Courier New,8,0" +) +xt "70200,2000,70200,2000" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *72 (MlTextGroup +uid 12,0 +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 13,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-1000,7900,0" +st "Global Actions" +blo "0,-200" +) +*74 (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6800,1000" +st "Pre Actions:" +blo "0,800" +) +*75 (MLText +uid 15,0 +va (VaSet +) +xt "0,-1000,0,-1000" +tm "Actions" +) +*76 (Text +uid 16,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,1000,7400,2000" +st "Post Actions:" +blo "0,1800" +) +*77 (MLText +uid 17,0 +va (VaSet +) +xt "0,2000,0,2000" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *78 (BiTextGroup +uid 18,0 +stg "VerticalLayoutStrategy" +first (Text +uid 19,0 +va (VaSet +font "Verdana,8,1" +) +xt "10900,-1000,23400,0" +st "Concurrent Statements" +blo "10900,-200" +) +second (MLText +uid 20,0 +va (VaSet +) +xt "10900,0,10900,0" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *79 (SmSignalGenStatus +uid 24,0 +stg "VerticalLayoutStrategy" +first (Text +uid 25,0 +va (VaSet +font "Verdana,8,1" +) +xt "43000,-1000,50400,0" +st "Signal Status" +blo "43000,-200" +) +second (MLText +uid 26,0 +va (VaSet +font "Courier New,8,0" +) +xt "43000,0,73000,2400" +st "SIGNAL MODE DEFAULT RESET SCHEME COMMENT +ascii OUT (others => '0') COMB +send OUT '0' COMB +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *80 (BiTextGroup +uid 21,0 +stg "VerticalLayoutStrategy" +first (Text +uid 22,0 +va (VaSet +font "Verdana,8,1" +) +xt "53400,-1000,67200,0" +st "State Register Statements" +blo "53400,-200" +) +second (MLText +uid 23,0 +va (VaSet +) +xt "53400,0,53400,0" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 38,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 2 +) +stateOrder [ +&2 +&19 +&20 +&21 +&22 +&23 +&24 +&25 +&26 +&27 +&28 +&29 +&30 +&31 +&32 +] +name "csm" +) +] +lastUid 657,0 +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +emptyRow *81 (LEmptyRow +) +uid 133,0 +optionalChildren [ +*82 (RefLabelRowHdr +) +*83 (TitleRowHdr +) +*84 (FilterRowHdr +) +*85 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*86 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*87 (GroupColHdr +tm "GroupColHdrMgr" +) +*88 (NameColHdr +tm "SmNameColHdrMgr" +) +*89 (ModeColHdr +tm "SmModeColHdrMgr" +) +*90 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*91 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*92 (InitColHdr +tm "SmInitColHdrMgr" +) +*93 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*94 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*95 (ColumnHdr +tm "SmExprColHdrMgr" +) +*96 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*97 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*98 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*99 (EolColHdr +tm "SmEolColHdrMgr" +) +*100 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +) +) +uid 120,0 +cat 9 +expr "reset = '1'" +) +*101 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +uid 122,0 +cat 1 +expr "clock'EVENT AND clock = '1'" +) +*102 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 3 +) +) +uid 124,0 +scheme 0 +defVal "(others => '0')" +) +*103 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 4 +) +) +uid 126,0 +scheme 0 +defVal "'0'" +) +*104 (LeafLogPort +port (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 5 +) +) +uid 128,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 152,0 +optionalChildren [ +*105 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *106 (MRCItem +litem &81 +pos 5 +dimension 20 +) +uid 154,0 +optionalChildren [ +*107 (MRCItem +litem &82 +pos 0 +dimension 20 +uid 155,0 +) +*108 (MRCItem +litem &83 +pos 1 +dimension 23 +uid 156,0 +) +*109 (MRCItem +litem &84 +pos 2 +hidden 1 +dimension 20 +uid 157,0 +) +*110 (MRCItem +litem &100 +pos 0 +dimension 20 +uid 121,0 +) +*111 (MRCItem +litem &101 +pos 1 +dimension 20 +uid 123,0 +) +*112 (MRCItem +litem &102 +pos 2 +dimension 20 +uid 125,0 +) +*113 (MRCItem +litem &103 +pos 3 +dimension 20 +uid 127,0 +) +*114 (MRCItem +litem &104 +pos 4 +dimension 20 +uid 129,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 158,0 +optionalChildren [ +*115 (MRCItem +litem &85 +pos 0 +dimension 20 +uid 159,0 +) +*116 (MRCItem +litem &87 +pos 1 +dimension 50 +uid 160,0 +) +*117 (MRCItem +litem &88 +pos 2 +dimension 70 +uid 161,0 +) +*118 (MRCItem +litem &89 +pos 3 +dimension 50 +uid 162,0 +) +*119 (MRCItem +litem &90 +pos 4 +dimension 80 +uid 163,0 +) +*120 (MRCItem +litem &91 +pos 5 +dimension 80 +uid 164,0 +) +*121 (MRCItem +litem &92 +pos 6 +dimension 40 +uid 165,0 +) +*122 (MRCItem +litem &93 +pos 7 +dimension 100 +uid 166,0 +) +*123 (MRCItem +litem &94 +pos 8 +dimension 60 +uid 167,0 +) +*124 (MRCItem +litem &95 +pos 9 +dimension 130 +uid 168,0 +) +*125 (MRCItem +litem &96 +pos 10 +dimension 56 +uid 169,0 +) +*126 (MRCItem +litem &97 +pos 11 +dimension 50 +uid 170,0 +) +*127 (MRCItem +litem &98 +pos 12 +dimension 50 +uid 171,0 +) +*128 (MRCItem +litem &99 +pos 13 +dimension 80 +uid 172,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 153,0 +vaOverrides [ +] +) +] +) +uid 132,0 +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *129 (LEmptyRow +) +uid 576,0 +optionalChildren [ +*130 (RefLabelRowHdr +) +*131 (TitleRowHdr +) +*132 (FilterRowHdr +) +*133 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*134 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*135 (GroupColHdr +tm "GroupColHdrMgr" +) +*136 (NameColHdr +tm "GenericNameColHdrMgr" +) +*137 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*138 (InitColHdr +tm "GenericValueColHdrMgr" +) +*139 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*140 (EolColHdr +tm "GenericEolColHdrMgr" +) +*141 (LogGeneric +generic (GiElement +name "asciiBitNb" +type "positive" +value "7" +) +uid 130,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 588,0 +optionalChildren [ +*142 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *143 (MRCItem +litem &129 +pos 1 +dimension 20 +) +uid 590,0 +optionalChildren [ +*144 (MRCItem +litem &130 +pos 0 +dimension 20 +uid 591,0 +) +*145 (MRCItem +litem &131 +pos 1 +dimension 23 +uid 592,0 +) +*146 (MRCItem +litem &132 +pos 2 +hidden 1 +dimension 20 +uid 593,0 +) +*147 (MRCItem +litem &141 +pos 0 +dimension 20 +uid 131,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 594,0 +optionalChildren [ +*148 (MRCItem +litem &133 +pos 0 +dimension 20 +uid 595,0 +) +*149 (MRCItem +litem &135 +pos 1 +dimension 50 +uid 596,0 +) +*150 (MRCItem +litem &136 +pos 2 +dimension 100 +uid 597,0 +) +*151 (MRCItem +litem &137 +pos 3 +dimension 100 +uid 598,0 +) +*152 (MRCItem +litem &138 +pos 4 +dimension 50 +uid 599,0 +) +*153 (MRCItem +litem &139 +pos 5 +dimension 50 +uid 600,0 +) +*154 (MRCItem +litem &140 +pos 6 +dimension 80 +uid 601,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 589,0 +vaOverrides [ +] +) +] +) +uid 575,0 +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3023,-3023,3023,3023" +radius 3023 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "0,0,2000,1200" +st "s0" +ju 0 +blo "1000,1000" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "1000,900,5100,2100" +st "wait 2" +blo "1000,1900" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3100,4100,3300" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-2050,1000,4050,2000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "0,0,2000,1200" +st "s0" +ju 0 +blo "1000,1000" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "Verdana,10,1" +) +xt "1000,900,5100,2100" +st "wait 2" +blo "1000,1900" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "Verdana,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6100,9100,6300" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-2050,1000,4050,2000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "Verdana,10,1" +) +xt "-1000,-600,1000,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1300,-1300,2300,2300" +) +symbol (Text +va (VaSet +font "Verdana,10,1" +) +xt "-300,-100,1300,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-2250,2000,3850,3000" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "2375,875,4875,1875" +st "Link" +blo "2375,1675" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,4600,1500" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "2050,1400,2050,1400" +pts [ +"2050,1400" +"2050,1400" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5300,1200" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "2050,1800,2050,1800" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-989,-989,989,989" +radius 989 +) +pr (Text +va (VaSet +) +xt "-500,-500,500,500" +st "1" +ju 0 +blo "0,300" +tm "TransitionPriority" +) +padding "200,200" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-441,926,-116,1323" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-2725,625,-1125,1625" +st "clk" +ju 2 +blo "-1125,1425" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,525,4225,1725" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,625,4825,1825" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-425,943,-76,1304" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-4325,625,-1125,1625" +st "enable" +ju 2 +blo "-1125,1425" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,525,4225,1725" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "1725,625,4825,1825" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-625,-975,1975,225" +) +autoResize 1 +cond (MLText +va (VaSet +) +xt "-525,-875,2575,325" +st "cond" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,136,3603,2114" +radius 989 +) +pr (Text +va (VaSet +) +xt "2114,625,3114,1625" +st "1" +ju 0 +blo "2614,1425" +tm "TransitionPriority" +) +padding "200,200" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +) +xt "-2125,625,-625,1625" +st "rst" +ju 2 +blo "-625,1425" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +) +xt "4750,2625,13350,3825" +st "< Automatic >" +tm "Actions" +) +) +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Cursor/hds/lcd@display/symbol.sb b/Cursor/hds/lcd@display/symbol.sb new file mode 100644 index 0000000..f6cf501 --- /dev/null +++ b/Cursor/hds/lcd@display/symbol.sb @@ -0,0 +1,1632 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 32,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 111,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 113,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 3 +suid 11,0 +) +) +uid 798,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 4 +suid 21,0 +) +) +uid 1608,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 5 +suid 29,0 +) +) +uid 2616,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 36,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +*24 (MRCItem +litem &14 +pos 1 +dimension 20 +uid 112,0 +) +*25 (MRCItem +litem &15 +pos 0 +dimension 20 +uid 114,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 797,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 1607,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 2615,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "asciiBitNb" +type "positive" +value "7" +) +uid 805,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 64,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 65,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 66,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 804,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 69,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 70,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 71,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 72,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 73,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 74,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcd@display\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcd@display\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcd@display" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcdDisplay" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdDisplay" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:13" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI/concat" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../libs/SPI/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "lcdDisplay" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcd@display\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor\\hds\\lcdDisplay\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:13:13" +) +(vvPair +variable "unit" +value "lcdDisplay" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 19,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,23625,8000,24375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +) +xt "9000,23400,12400,24600" +st "clock" +blo "9000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,8600,-12500,9400" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*65 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,25625,8000,26375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "9000,25400,12300,26600" +st "reset" +blo "9000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,7800,-12500,8600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*66 (CptPort +uid 799,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2896,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,19625,24750,20375" +) +tg (CPTG +uid 801,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 802,0 +va (VaSet +) +xt "19900,19400,23000,20600" +st "ascii" +ju 2 +blo "23000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 803,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,9400,3000,10200" +st "ascii : OUT std_ulogic_vector (asciiBitNb-1 downto 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 3 +suid 11,0 +) +) +) +*67 (CptPort +uid 1611,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2897,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,21625,24750,22375" +) +tg (CPTG +uid 1613,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1614,0 +va (VaSet +) +xt "19900,21400,23000,22600" +st "send" +ju 2 +blo "23000,22400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1615,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,10200,-12500,11000" +st "send : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 4 +suid 21,0 +) +) +) +*68 (CptPort +uid 2617,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2898,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,23625,24750,24375" +) +tg (CPTG +uid 2619,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2620,0 +va (VaSet +) +xt "19900,23400,23000,24600" +st "busy" +ju 2 +blo "23000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2621,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,11000,-13500,11800" +st "busy : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 5 +suid 29,0 +) +) +) +] +shape (Rectangle +uid 148,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "8000,16000,24000,28000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "8600,27800,12300,29000" +st "Cursor" +blo "8600,28800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "8600,29000,14800,30200" +st "lcdDisplay" +blo "8600,30000" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "8000,31800,21000,34200" +st "Generic Declarations + +asciiBitNb positive 7 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "asciiBitNb" +type "positive" +value "7" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*70 (Grouping +uid 2491,0 +optionalChildren [ +*71 (CommentText +uid 2493,0 +shape (Rectangle +uid 2494,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "24000,41000,43000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 2495,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "24200,41400,39600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 2496,0 +shape (Rectangle +uid 2497,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,41000,18000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 2498,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "-750,41250,10750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 2499,0 +shape (Rectangle +uid 2500,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,47000,18000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 2501,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,47400,13500,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 2502,0 +shape (Rectangle +uid 2503,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,41000,24000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 2504,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "18200,41400,22900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 2505,0 +shape (Rectangle +uid 2506,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,43000,18000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 2507,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,43400,12400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*76 (CommentText +uid 2508,0 +shape (Rectangle +uid 2509,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,43000,-3000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 2510,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,43400,-4400,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 2511,0 +shape (Rectangle +uid 2512,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,45000,-3000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 2513,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,45400,-4400,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 2514,0 +shape (Rectangle +uid 2515,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,43000,43000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 2516,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "18200,43200,32300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*79 (CommentText +uid 2517,0 +shape (Rectangle +uid 2518,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,45000,18000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 2519,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,45400,7100,46600" +st " + +%library/%unit/%view + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 2520,0 +shape (Rectangle +uid 2521,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,47000,-3000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 2522,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,47400,-3500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 2492,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "-8000,41000,43000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,0,-22400,1200" +st "Package List" +blo "-30000,1000" +) +*83 (MLText +uid 18,0 +va (VaSet +) +xt "-30000,1200,-12500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "152,35,1441,894" +viewArea "-31000,-1000,46040,50624" +cachedDiagramExtent "-30000,0,43000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-30000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,15000,27600,16200" +st "" +blo "22200,16000" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,16200,26100,17400" +st "" +blo "22200,17200" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,5400,-22600,6600" +st "Declarations" +blo "-30000,6400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,6600,-26300,7800" +st "Ports:" +blo "-30000,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,11800,-26800,13000" +st "User:" +blo "-30000,12800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-30000,5400,-21800,6600" +st "Internal User:" +blo "-30000,6400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,13000,-28000,13000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-30000,5400,-30000,5400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 2990,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Cursor_test/hdl/cursor_tester_test.vhd b/Cursor_test/hdl/cursor_tester_test.vhd new file mode 100644 index 0000000..48b9776 --- /dev/null +++ b/Cursor_test/hdl/cursor_tester_test.vhd @@ -0,0 +1,148 @@ +ARCHITECTURE test OF cursor_tester IS + + constant clockFrequency: real := 66.0E6; + constant clockPeriod: time := 1.0/clockFrequency * 1 sec; + signal sClock: std_uLogic := '1'; + + signal testMode_int: std_uLogic; + + constant buttonsPulseWidth : time := 100 us; + + constant pulsesPerTurn: integer := 2000; + constant pwmReadBitNb: positive :=8; + constant pwmLowpassAddBitNb: positive :=8; + constant voltageToSpeedBitNb: positive := 8; + signal side1Acc: unsigned(pwmReadBitNb+pwmLowpassAddBitNb-1 downto 0) := (others => '0'); + signal side2Acc: unsigned(pwmReadBitNb+pwmLowpassAddBitNb-1 downto 0) := (others => '0'); + signal side1M: unsigned(pwmReadBitNb-1 downto 0); + signal side2M: unsigned(pwmReadBitNb-1 downto 0); + signal position: signed(pwmReadBitNb+voltageToSpeedBitNb-1 downto 0) := (others => '0'); + signal stepCount: unsigned(1 downto 0); + +BEGIN + + ------------------------------------------------------------------------------ + -- clock and reset + -- + reset <= '1', '0' after 2*clockPeriod; + + sClock <= not sClock after clockPeriod/2; + clock <= transport sClock after clockPeriod*9/10; + + + ------------------------------------------------------------------------------ + -- test sequence + -- + process + begin + + testMode_int <= '1'; + + restart <= '0'; + go1 <= '0'; + go2 <= '0'; + button4 <= '0'; + + sensor1 <= '0'; + sensor2 <= '0'; + + wait for 0.1 ms; + + ---------------------------------------------------------------------------- + -- restart + restart <= '1', '0' after buttonsPulseWidth; + wait for 0.25 ms; + sensor1 <= '1', '0' after buttonsPulseWidth; + wait for 0.25 ms; + + ---------------------------------------------------------------------------- + -- advance to first stop point + go1 <= '1', '0' after buttonsPulseWidth; + wait for 2 ms; + + ---------------------------------------------------------------------------- + -- advance to second stop point + go2 <= '1', '0' after buttonsPulseWidth; + wait for 2 ms; + + ---------------------------------------------------------------------------- + -- go back to first stop point + go1 <= '1', '0' after buttonsPulseWidth; + wait for 2 ms; + + ---------------------------------------------------------------------------- + -- back to start with sensor reset + restart <= '1', '0' after buttonsPulseWidth; + wait for 0.5 ms; + sensor1 <= '1', '0' after buttonsPulseWidth; + wait for 0.5 ms; + + ---------------------------------------------------------------------------- + -- advance to second stop point + go2 <= '1', '0' after buttonsPulseWidth; + wait for 3 ms; + + ---------------------------------------------------------------------------- + -- back to start with counter stop + restart <= '1', '0' after buttonsPulseWidth; + wait for 2 ms; + sensor1 <= '1', '0' after buttonsPulseWidth; + wait for 1 ms; + + ---------------------------------------------------------------------------- + -- quit test mode + testMode_int <= '0'; + + ---------------------------------------------------------------------------- + -- advance to first stop point + go1 <= '1', '0' after buttonsPulseWidth; + wait for 2 ms; + + wait; + end process; + + testMode <= testMode_int; + + ------------------------------------------------------------------------------ + -- PWM lowpass + -- + process(sClock) + begin + if rising_edge(sClock) then + if side1 = '1' then + side1Acc <= side1Acc + 2**pwmReadBitNb-1 - shift_right(side1Acc, pwmLowpassAddBitNb); + else + side1Acc <= side1Acc - shift_right(side1Acc, pwmLowpassAddBitNb); + end if; + if side2 = '1' then + side2Acc <= side2Acc + 2**pwmReadBitNb-1 - shift_right(side2Acc, pwmLowpassAddBitNb); + else + side2Acc <= side2Acc - shift_right(side2Acc, pwmLowpassAddBitNb); + end if; + end if; + end process; + + side1M <= resize(shift_right(side1Acc, pwmLowpassAddBitNb), side1M'length); + side2M <= resize(shift_right(side2Acc, pwmLowpassAddBitNb), side2M'length); + + ------------------------------------------------------------------------------ + -- motor feedback + -- + count: process (sClock) + begin + if motorOn = '1' then + if testMode_int = '0' then + position <= position + to_integer(side1M) - to_integer(side2M); + else + position <= position + (to_integer(side1M) - to_integer(side2M)) * 5; + end if; + end if; + end process count; + + stepCount <= resize(shift_right(unsigned(position), position'length-stepCount'length), stepCount'length); + + encoderA <= stepCount(1); + encoderB <= not stepCount(1) xor stepCount(0); + encoderI <= '1' when stepCount = pulsesPerTurn-1 else '0'; + +END ARCHITECTURE test; diff --git a/Cursor_test/hdl/divider_tester_test.vhd b/Cursor_test/hdl/divider_tester_test.vhd new file mode 100644 index 0000000..c1c8ae5 --- /dev/null +++ b/Cursor_test/hdl/divider_tester_test.vhd @@ -0,0 +1,22 @@ +ARCHITECTURE test OF divider_tester IS + + constant clockFrequency: real := 66.0E6; + constant clockPeriod: time := 1.0/clockFrequency * 1 sec; + signal sClock: std_uLogic := '1'; + +BEGIN + + reset <= '1', '0' after clockPeriod/4; + + sClock <= not sClock after clockPeriod/2; + clock <= sClock after clockPeriod/10; + + testMode <= '1', '0' after 10000*clockPeriod; + +-- start <= '0', +-- '1' after 210 us, +-- '0' after 210 us + clockPeriod, +-- '1' after 2.1 ms, +-- '0' after 2.1 ms + clockPeriod; + +END ARCHITECTURE test; diff --git a/Cursor_test/hdl/positioncounter_tester_generatedinstance.vhd b/Cursor_test/hdl/positioncounter_tester_generatedinstance.vhd new file mode 100644 index 0000000..62def87 --- /dev/null +++ b/Cursor_test/hdl/positioncounter_tester_generatedinstance.vhd @@ -0,0 +1,8 @@ +-- +-- Auto generated dummy architecture for leaf level instance. +-- +ARCHITECTURE generatedInstance OF positionCounter_tester IS +BEGIN + + +END generatedInstance; diff --git a/Cursor_test/hds/.hdlsidedata/_cursor_tb_entity.vhg._fpf b/Cursor_test/hds/.hdlsidedata/_cursor_tb_entity.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Cursor_test/hds/.hdlsidedata/_cursor_tb_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Cursor_test/hds/.hdlsidedata/_cursor_tb_struct.vhg._fpf b/Cursor_test/hds/.hdlsidedata/_cursor_tb_struct.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Cursor_test/hds/.hdlsidedata/_cursor_tb_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Cursor_test/hds/.hdlsidedata/_cursor_tester_entity.vhg._fpf b/Cursor_test/hds/.hdlsidedata/_cursor_tester_entity.vhg._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Cursor_test/hds/.hdlsidedata/_cursor_tester_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Cursor_test/hds/.hdlsidedata/_cursor_tester_test.vhd._fpf b/Cursor_test/hds/.hdlsidedata/_cursor_tester_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Cursor_test/hds/.hdlsidedata/_cursor_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Cursor_test/hds/.hdlsidedata/_divider_tester_test.vhd._fpf b/Cursor_test/hds/.hdlsidedata/_divider_tester_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Cursor_test/hds/.hdlsidedata/_divider_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Cursor_test/hds/.hdlsidedata/_positioncounter_tester_generatedinstance.vhd._fpf b/Cursor_test/hds/.hdlsidedata/_positioncounter_tester_generatedinstance.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Cursor_test/hds/.hdlsidedata/_positioncounter_tester_generatedinstance.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Cursor_test/hds/_cursor_tb._epf b/Cursor_test/hds/_cursor_tb._epf new file mode 100644 index 0000000..cbaae57 --- /dev/null +++ b/Cursor_test/hds/_cursor_tb._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom cursor_tb/struct.bd diff --git a/Cursor_test/hds/_divider_tb._epf b/Cursor_test/hds/_divider_tb._epf new file mode 100644 index 0000000..6cd38ec --- /dev/null +++ b/Cursor_test/hds/_divider_tb._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom divider_tb/struct.bd diff --git a/Cursor_test/hds/_positioncounter_tb._epf b/Cursor_test/hds/_positioncounter_tb._epf new file mode 100644 index 0000000..cfbd84e --- /dev/null +++ b/Cursor_test/hds/_positioncounter_tb._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom position@counter_tb/struct.bd diff --git a/Cursor_test/hds/cursor_tb/struct.bd b/Cursor_test/hds/cursor_tb/struct.bd new file mode 100644 index 0000000..804e25a --- /dev/null +++ b/Cursor_test/hds/cursor_tb/struct.bd @@ -0,0 +1,4174 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "Cursor_test" +duName "cursor_tester" +elements [ +] +mwi 0 +uid 1774,0 +) +(Instance +name "I_DUT" +duLibraryName "Cursor" +duName "cursorCircuit" +elements [ +(GiElement +name "position0" +type "positive" +value "position0" +) +(GiElement +name "position1" +type "positive" +value "position1" +) +(GiElement +name "position2" +type "positive" +value "position2" +) +(GiElement +name "slopeShiftBitNb" +type "positive" +value "slopeShiftBitNb" +) +(GiElement +name "pwmBitNb" +type "positive" +value "pwmBitNb" +) +(GiElement +name "testLineNb" +type "positive" +value "testLineNb" +) +] +mwi 0 +uid 4692,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "cursor_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:23" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "cursor_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "/usr/opt/Modelsim/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "08:13:23" +) +(vvPair +variable "unit" +value "cursor_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Net +uid 1315,0 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 1,0 +) +declText (MLText +uid 1316,0 +va (VaSet +isHidden 1 +) +xt "-5000,32800,11300,34000" +st "SIGNAL reset : std_ulogic" +) +) +*2 (Net +uid 1325,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +declText (MLText +uid 1326,0 +va (VaSet +isHidden 1 +) +xt "-5000,26800,11400,28000" +st "SIGNAL clock : std_ulogic" +) +) +*3 (Grouping +uid 1487,0 +optionalChildren [ +*4 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "83000,85000,102000,87000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "83200,85400,98600,86600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,85000,77000,87000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "Verdana,12,1" +) +xt "57150,85300,70850,86700" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,91000,77000,93000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,91400,74600,92600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "77000,85000,83000,87000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "77200,85400,81900,86600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,87000,77000,89000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,87400,71400,88600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,87000,56000,89000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,87400,54600,88600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,89000,56000,91000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,89400,54600,90600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "77000,87000,102000,93000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "77200,87200,91300,88400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*12 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,89000,77000,91000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,89400,72900,90600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,91000,56000,93000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,91400,55500,92600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "51000,85000,102000,93000" +) +oxt "13000,22000,64000,30000" +) +*14 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "13000,75000,85000,83000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*15 (Text +uid 1777,0 +va (VaSet +font "Verdana,12,1" +) +xt "13600,82900,22000,84200" +st "Cursor_test" +blo "13600,83900" +tm "BdLibraryNameMgr" +) +*16 (Text +uid 1778,0 +va (VaSet +font "Verdana,12,1" +) +xt "13600,84300,23400,85600" +st "cursor_tester" +blo "13600,85300" +tm "BlkNameMgr" +) +*17 (Text +uid 1779,0 +va (VaSet +font "Verdana,12,1" +) +xt "13600,85700,19200,87000" +st "I_tester" +blo "13600,86700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +isHidden 1 +) +xt "25600,87900,25600,87900" +) +header "" +) +elements [ +] +) +) +*18 (Net +uid 1923,0 +decl (Decl +n "testMode" +t "std_uLogic" +o 15 +suid 3,0 +) +declText (MLText +uid 1924,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,13600,-400" +st "SIGNAL testMode : std_uLogic" +) +) +*19 (Net +uid 2444,0 +decl (Decl +n "sensor2" +t "std_uLogic" +o 12 +suid 4,0 +) +declText (MLText +uid 2445,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,13300,-400" +st "SIGNAL sensor2 : std_uLogic" +) +) +*20 (Net +uid 2452,0 +decl (Decl +n "sensor1" +t "std_uLogic" +o 11 +suid 5,0 +) +declText (MLText +uid 2453,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,13300,-400" +st "SIGNAL sensor1 : std_uLogic" +) +) +*21 (Net +uid 2597,0 +decl (Decl +n "motorOn" +t "std_uLogic" +o 8 +suid 6,0 +) +declText (MLText +uid 2598,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,13700,-400" +st "SIGNAL motorOn : std_uLogic" +) +) +*22 (Net +uid 2888,0 +decl (Decl +n "side1" +t "std_uLogic" +o 13 +suid 7,0 +) +declText (MLText +uid 2889,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,12900,-400" +st "SIGNAL side1 : std_uLogic" +) +) +*23 (Net +uid 2896,0 +decl (Decl +n "side2" +t "std_uLogic" +o 14 +suid 8,0 +) +declText (MLText +uid 2897,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,12900,-400" +st "SIGNAL side2 : std_uLogic" +) +) +*24 (Net +uid 3009,0 +decl (Decl +n "go2" +t "std_uLogic" +o 7 +suid 10,0 +) +declText (MLText +uid 3010,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,12900,-400" +st "SIGNAL go2 : std_uLogic" +) +) +*25 (Net +uid 3017,0 +decl (Decl +n "go1" +t "std_uLogic" +o 6 +suid 11,0 +) +declText (MLText +uid 3018,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,12900,-400" +st "SIGNAL go1 : std_uLogic" +) +) +*26 (Net +uid 3025,0 +decl (Decl +n "restart" +t "std_uLogic" +o 10 +suid 12,0 +) +declText (MLText +uid 3026,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,12800,-400" +st "SIGNAL restart : std_uLogic" +) +) +*27 (Net +uid 3033,0 +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 13,0 +) +declText (MLText +uid 3034,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,13400,-400" +st "SIGNAL encoderI : std_uLogic" +) +) +*28 (Net +uid 3041,0 +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 14,0 +) +declText (MLText +uid 3042,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,13600,-400" +st "SIGNAL encoderB : std_uLogic" +) +) +*29 (Net +uid 3049,0 +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 15,0 +) +declText (MLText +uid 3050,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,-1400,13600,-400" +st "SIGNAL encoderA : std_uLogic" +) +) +*30 (Net +uid 3654,0 +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 16,0 +) +declText (MLText +uid 3655,0 +va (VaSet +isHidden 1 +) +xt "0,-1400,17300,-200" +st "SIGNAL button4 : std_uLogic" +) +) +*31 (SaComponent +uid 4692,0 +optionalChildren [ +*32 (CptPort +uid 4587,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4588,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,62625,39000,63375" +) +tg (CPTG +uid 4589,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4590,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,62300,43500,63600" +st "clock" +blo "40000,63300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*33 (CptPort +uid 4592,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4593,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,64625,39000,65375" +) +tg (CPTG +uid 4594,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4595,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,64300,43500,65600" +st "reset" +blo "40000,65300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 2,0 +) +) +) +*34 (CptPort +uid 4597,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4598,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,40625,55750,41375" +) +tg (CPTG +uid 4599,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4600,0 +va (VaSet +font "Verdana,12,0" +) +xt "50500,40400,54000,41700" +st "side1" +ju 2 +blo "54000,41400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "side1" +t "std_uLogic" +o 19 +suid 3,0 +) +) +) +*35 (CptPort +uid 4602,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4603,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,38625,39000,39375" +) +tg (CPTG +uid 4604,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4605,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,38300,44900,39600" +st "restart" +blo "40000,39300" +) +) +thePort (LogicalPort +decl (Decl +n "restart" +t "std_uLogic" +o 9 +suid 4,0 +) +) +) +*36 (CptPort +uid 4607,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4608,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,42625,39000,43375" +) +tg (CPTG +uid 4609,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4610,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,42300,42100,43600" +st "go2" +blo "40000,43300" +) +) +thePort (LogicalPort +decl (Decl +n "go2" +t "std_uLogic" +o 7 +suid 5,0 +) +) +) +*37 (CptPort +uid 4612,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4613,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,46625,55750,47375" +) +tg (CPTG +uid 4614,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4615,0 +va (VaSet +font "Verdana,12,0" +) +xt "49100,46400,54000,47700" +st "sensor1" +ju 2 +blo "54000,47400" +) +) +thePort (LogicalPort +decl (Decl +n "sensor1" +t "std_uLogic" +o 10 +suid 6,0 +) +) +) +*38 (CptPort +uid 4617,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4618,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,60625,39000,61375" +) +tg (CPTG +uid 4619,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4620,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,60300,45600,61600" +st "testMode" +blo "40000,61300" +) +) +thePort (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 12 +suid 7,0 +) +) +) +*39 (CptPort +uid 4622,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4623,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46625,34250,47375,35000" +) +tg (CPTG +uid 4624,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4625,0 +va (VaSet +font "Verdana,12,0" +) +xt "44700,36000,49600,37300" +st "testOut" +ju 2 +blo "49600,37000" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "testOut" +t "std_uLogic_vector" +b "(1 TO testLineNb)" +o 21 +suid 8,0 +) +) +) +*40 (CptPort +uid 4627,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4628,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,40625,39000,41375" +) +tg (CPTG +uid 4629,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4630,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,40300,42100,41600" +st "go1" +blo "40000,41300" +) +) +thePort (LogicalPort +decl (Decl +n "go1" +t "std_uLogic" +o 6 +suid 9,0 +) +) +) +*41 (CptPort +uid 4632,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4633,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,42625,55750,43375" +) +tg (CPTG +uid 4634,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4635,0 +va (VaSet +font "Verdana,12,0" +) +xt "50500,42400,54000,43700" +st "side2" +ju 2 +blo "54000,43400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "side2" +t "std_uLogic" +o 20 +suid 10,0 +) +) +) +*42 (CptPort +uid 4637,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4638,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,48625,55750,49375" +) +tg (CPTG +uid 4639,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4640,0 +va (VaSet +font "Verdana,12,0" +) +xt "49100,48300,54000,49600" +st "sensor2" +ju 2 +blo "54000,49300" +) +) +thePort (LogicalPort +decl (Decl +n "sensor2" +t "std_uLogic" +o 11 +suid 11,0 +) +) +) +*43 (CptPort +uid 4642,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4643,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,38625,55750,39375" +) +tg (CPTG +uid 4644,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4645,0 +va (VaSet +font "Verdana,12,0" +) +xt "49100,38400,54000,39700" +st "motorOn" +ju 2 +blo "54000,39400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "motorOn" +t "std_uLogic" +o 18 +suid 12,0 +) +) +) +*44 (CptPort +uid 4647,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4648,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,52625,55750,53375" +) +tg (CPTG +uid 4649,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4650,0 +va (VaSet +font "Verdana,12,0" +) +xt "48400,52400,54000,53700" +st "encoderA" +ju 2 +blo "54000,53400" +) +) +thePort (LogicalPort +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 13,0 +) +) +) +*45 (CptPort +uid 4652,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4653,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,54625,55750,55375" +) +tg (CPTG +uid 4654,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4655,0 +va (VaSet +font "Verdana,12,0" +) +xt "48400,54400,54000,55700" +st "encoderB" +ju 2 +blo "54000,55400" +) +) +thePort (LogicalPort +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 14,0 +) +) +) +*46 (CptPort +uid 4657,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4658,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,56625,55750,57375" +) +tg (CPTG +uid 4659,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4660,0 +va (VaSet +font "Verdana,12,0" +) +xt "48400,56400,54000,57700" +st "encoderI" +ju 2 +blo "54000,57400" +) +) +thePort (LogicalPort +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 15,0 +) +) +) +*47 (CptPort +uid 4662,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4663,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,44625,39000,45375" +) +tg (CPTG +uid 4664,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4665,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,44300,44900,45600" +st "button4" +blo "40000,45300" +) +) +thePort (LogicalPort +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 16,0 +) +) +) +*48 (CptPort +uid 4667,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4668,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,48625,39000,49375" +) +tg (CPTG +uid 4669,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4670,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,48300,43500,49600" +st "CS1_n" +blo "40000,49300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 14 +suid 2017,0 +) +) +) +*49 (CptPort +uid 4672,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4673,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,50625,39000,51375" +) +tg (CPTG +uid 4674,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4675,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,50300,42100,51600" +st "SCL" +blo "40000,51300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 16 +suid 2018,0 +) +) +) +*50 (CptPort +uid 4677,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4678,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,52625,39000,53375" +) +tg (CPTG +uid 4679,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4680,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,52300,41400,53600" +st "SI" +blo "40000,53300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 17 +suid 2019,0 +) +) +) +*51 (CptPort +uid 4682,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4683,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,54625,39000,55375" +) +tg (CPTG +uid 4684,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4685,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,54300,41400,55600" +st "A0" +blo "40000,55300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 13 +suid 2020,0 +) +) +) +*52 (CptPort +uid 4687,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4688,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,56625,39000,57375" +) +tg (CPTG +uid 4689,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4690,0 +va (VaSet +font "Verdana,12,0" +) +xt "40000,56300,43500,57600" +st "RST_n" +blo "40000,57300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 15 +suid 2021,0 +) +) +) +] +shape (Rectangle +uid 4693,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "39000,35000,55000,67000" +) +oxt "40000,2000,56000,34000" +ttg (MlTextGroup +uid 4694,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 4695,0 +va (VaSet +font "Verdana,8,1" +) +xt "39100,66700,42100,67600" +st "Cursor" +blo "39100,67400" +tm "BdLibraryNameMgr" +) +*54 (Text +uid 4696,0 +va (VaSet +font "Verdana,8,1" +) +xt "39100,67600,46100,68500" +st "cursorCircuit" +blo "39100,68300" +tm "CptNameMgr" +) +*55 (Text +uid 4697,0 +va (VaSet +font "Verdana,8,1" +) +xt "39100,68500,41600,69400" +st "I_DUT" +blo "39100,69200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4698,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4699,0 +text (MLText +uid 4700,0 +va (VaSet +font "Verdana,8,0" +) +xt "39000,70200,61000,76200" +st "position0 = position0 ( positive ) +position1 = position1 ( positive ) +position2 = position2 ( positive ) +slopeShiftBitNb = slopeShiftBitNb ( positive ) +pwmBitNb = pwmBitNb ( positive ) +testLineNb = testLineNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "position0" +type "positive" +value "position0" +) +(GiElement +name "position1" +type "positive" +value "position1" +) +(GiElement +name "position2" +type "positive" +value "position2" +) +(GiElement +name "slopeShiftBitNb" +type "positive" +value "slopeShiftBitNb" +) +(GiElement +name "pwmBitNb" +type "positive" +value "pwmBitNb" +) +(GiElement +name "testLineNb" +type "positive" +value "testLineNb" +) +] +) +connectByName 1 +portVis (PortSigDisplay +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*56 (Wire +uid 1317,0 +shape (OrthoPolyLine +uid 1318,0 +va (VaSet +vasetType 3 +) +xt "35000,65000,38250,75000" +pts [ +"38250,65000" +"35000,65000" +"35000,75000" +] +) +start &33 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1321,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1322,0 +va (VaSet +font "Verdana,12,0" +) +xt "35000,63600,39100,65000" +st "reset" +blo "35000,64800" +tm "WireNameMgr" +) +) +on &1 +) +*57 (Wire +uid 1327,0 +shape (OrthoPolyLine +uid 1328,0 +va (VaSet +vasetType 3 +) +xt "33000,63000,38250,75000" +pts [ +"38250,63000" +"33000,63000" +"33000,75000" +] +) +start &32 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1331,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1332,0 +va (VaSet +font "Verdana,12,0" +) +xt "35000,61600,38800,63000" +st "clock" +blo "35000,62800" +tm "WireNameMgr" +) +) +on &2 +) +*58 (Wire +uid 1925,0 +shape (OrthoPolyLine +uid 1926,0 +va (VaSet +vasetType 3 +) +xt "31000,61000,38250,75000" +pts [ +"38250,61000" +"31000,61000" +"31000,75000" +] +) +start &38 +end &14 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 1929,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1930,0 +va (VaSet +font "Verdana,12,0" +) +xt "31250,59600,37950,61000" +st "testMode" +blo "31250,60800" +tm "WireNameMgr" +) +) +on &18 +) +*59 (Wire +uid 2446,0 +shape (OrthoPolyLine +uid 2447,0 +va (VaSet +vasetType 3 +) +xt "55750,49000,67000,75000" +pts [ +"55750,49000" +"67000,49000" +"67000,75000" +] +) +start &42 +end &14 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2450,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2451,0 +va (VaSet +font "Verdana,12,0" +) +xt "57750,47600,63650,49000" +st "sensor2" +blo "57750,48800" +tm "WireNameMgr" +) +) +on &19 +) +*60 (Wire +uid 2454,0 +shape (OrthoPolyLine +uid 2455,0 +va (VaSet +vasetType 3 +) +xt "55750,47000,69000,75000" +pts [ +"55750,47000" +"69000,47000" +"69000,75000" +] +) +start &37 +end &14 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2458,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2459,0 +va (VaSet +font "Verdana,12,0" +) +xt "57750,45600,63650,47000" +st "sensor1" +blo "57750,46800" +tm "WireNameMgr" +) +) +on &20 +) +*61 (Wire +uid 2599,0 +shape (OrthoPolyLine +uid 2600,0 +va (VaSet +vasetType 3 +) +xt "55750,39000,77000,75000" +pts [ +"55750,39000" +"77000,39000" +"77000,75000" +] +) +start &43 +end &14 +sat 32 +eat 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2603,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2604,0 +va (VaSet +font "Verdana,12,0" +) +xt "57750,37600,64050,39000" +st "motorOn" +blo "57750,38800" +tm "WireNameMgr" +) +) +on &21 +) +*62 (Wire +uid 2890,0 +shape (OrthoPolyLine +uid 2891,0 +va (VaSet +vasetType 3 +) +xt "55750,41000,75000,75000" +pts [ +"55750,41000" +"75000,41000" +"75000,75000" +] +) +start &34 +end &14 +sat 32 +eat 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2894,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2895,0 +va (VaSet +font "Verdana,12,0" +) +xt "57750,39600,61950,41000" +st "side1" +blo "57750,40800" +tm "WireNameMgr" +) +) +on &22 +) +*63 (Wire +uid 2898,0 +shape (OrthoPolyLine +uid 2899,0 +va (VaSet +vasetType 3 +) +xt "55750,43000,73000,75000" +pts [ +"55750,43000" +"73000,43000" +"73000,75000" +] +) +start &41 +end &14 +sat 32 +eat 1 +stc 0 +sf 1 +si 0 +tg (WTG +uid 2902,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2903,0 +va (VaSet +font "Verdana,12,0" +) +xt "57750,41600,61950,43000" +st "side2" +blo "57750,42800" +tm "WireNameMgr" +) +) +on &23 +) +*64 (Wire +uid 3011,0 +shape (OrthoPolyLine +uid 3012,0 +va (VaSet +vasetType 3 +) +xt "25000,43000,38250,75000" +pts [ +"38250,43000" +"25000,43000" +"25000,75000" +] +) +start &36 +end &14 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3015,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3016,0 +va (VaSet +font "Verdana,12,0" +) +xt "35250,41600,38450,43000" +st "go2" +blo "35250,42800" +tm "WireNameMgr" +) +) +on &24 +) +*65 (Wire +uid 3019,0 +shape (OrthoPolyLine +uid 3020,0 +va (VaSet +vasetType 3 +) +xt "23000,41000,38250,75000" +pts [ +"38250,41000" +"23000,41000" +"23000,75000" +] +) +start &40 +end &14 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3023,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3024,0 +va (VaSet +font "Verdana,12,0" +) +xt "35250,39600,38450,41000" +st "go1" +blo "35250,40800" +tm "WireNameMgr" +) +) +on &25 +) +*66 (Wire +uid 3027,0 +shape (OrthoPolyLine +uid 3028,0 +va (VaSet +vasetType 3 +) +xt "21000,39000,38250,75000" +pts [ +"38250,39000" +"21000,39000" +"21000,75000" +] +) +start &35 +end &14 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3031,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3032,0 +va (VaSet +font "Verdana,12,0" +) +xt "33250,37600,38350,39000" +st "restart" +blo "33250,38800" +tm "WireNameMgr" +) +) +on &26 +) +*67 (Wire +uid 3035,0 +shape (OrthoPolyLine +uid 3036,0 +va (VaSet +vasetType 3 +) +xt "55750,57000,59000,75000" +pts [ +"55750,57000" +"59000,57000" +"59000,75000" +] +) +start &46 +end &14 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3039,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3040,0 +va (VaSet +font "Verdana,12,0" +) +xt "57750,55600,64150,57000" +st "encoderI" +blo "57750,56800" +tm "WireNameMgr" +) +) +on &27 +) +*68 (Wire +uid 3043,0 +shape (OrthoPolyLine +uid 3044,0 +va (VaSet +vasetType 3 +) +xt "55750,55000,61000,75000" +pts [ +"55750,55000" +"61000,55000" +"61000,75000" +] +) +start &45 +end &14 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3047,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3048,0 +va (VaSet +font "Verdana,12,0" +) +xt "57750,53600,64450,55000" +st "encoderB" +blo "57750,54800" +tm "WireNameMgr" +) +) +on &28 +) +*69 (Wire +uid 3051,0 +shape (OrthoPolyLine +uid 3052,0 +va (VaSet +vasetType 3 +) +xt "55750,53000,63000,75000" +pts [ +"55750,53000" +"63000,53000" +"63000,75000" +] +) +start &44 +end &14 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3055,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3056,0 +va (VaSet +font "Verdana,12,0" +) +xt "57750,51600,64450,53000" +st "encoderA" +blo "57750,52800" +tm "WireNameMgr" +) +) +on &29 +) +*70 (Wire +uid 3656,0 +shape (OrthoPolyLine +uid 3657,0 +va (VaSet +vasetType 3 +) +xt "27000,45000,38250,75000" +pts [ +"38250,45000" +"27000,45000" +"27000,75000" +] +) +start &47 +end &14 +sat 32 +eat 2 +stc 0 +sf 1 +si 0 +tg (WTG +uid 3660,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3661,0 +va (VaSet +font "Verdana,12,0" +) +xt "32000,43600,37800,45000" +st "button4" +blo "32000,44800" +tm "WireNameMgr" +) +) +on &30 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *71 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*72 (Text +uid 1297,0 +va (VaSet +font "Verdana,12,0" +) +xt "-7000,19600,2100,20900" +st "Package List" +blo "-7000,20600" +) +*73 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,21000,10500,24600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,0,32000,1000" +st "Compiler Directives" +blo "20000,800" +) +*75 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,1400,33800,2400" +st "Pre-module directives:" +blo "20000,2200" +) +*76 (MLText +uid 193,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32100,5200" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*77 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,5600,34400,6600" +st "Post-module directives:" +blo "20000,6400" +) +*78 (MLText +uid 195,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*79 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "20000,7200,33800,8200" +st "End-module directives:" +blo "20000,8000" +) +*80 (MLText +uid 197,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "74,46,1330,909" +viewArea "-8608,17960,105743,94895" +cachedDiagramExtent "-7000,-1400,102000,93000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\ipp://ipp.hevs.ch\\PREA309_HPLJP3005DN,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 67 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 4859,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3300,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,2550,7900,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*82 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,3950,7000,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*83 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,5350,3000,6750" +st "I0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*85 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*86 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*88 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*89 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*90 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*91 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*92 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*94 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*95 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*97 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,3200,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,5100,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,1400,1800,2800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,0,5900,1400" +st "Auto list" +) +second (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,1400,11800,2800" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,18500,-200" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*98 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*99 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,11000,-200" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*100 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*101 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,10,1" +) +xt "-7000,24400,800,25400" +st "Declarations" +blo "-7000,25200" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,25600,-3400,26600" +st "Ports:" +blo "-7000,26400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,10,1" +) +xt "-7000,25400,-1600,26400" +st "Pre User:" +blo "-7000,26200" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-5000,26400,38900,36000" +st "constant stepsPerTurn : positive := 500 * 4; +constant mmPerTurn : real:= 1.75; +constant position0 : positive := integer(3.0 * real(stepsPerTurn) / mmPerTurn ); +constant position1 : positive := integer(8.0 * real(stepsPerTurn) / mmPerTurn ); +constant position2 : positive := integer(12.0 * real(stepsPerTurn) / mmPerTurn ); +constant slopeShiftBitNb : positive := 2; +constant pwmBitNb : positive := 8; +constant testLineNb : positive := 16;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,25600,3200,26600" +st "Diagram Signals:" +blo "-7000,26400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,25600,-400,26600" +st "Post User:" +blo "-7000,26400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-5000,40000,-5000,40000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 16,0 +usingSuid 1 +emptyRow *102 (LEmptyRow +) +uid 3264,0 +optionalChildren [ +*103 (RefLabelRowHdr +) +*104 (TitleRowHdr +) +*105 (FilterRowHdr +) +*106 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*107 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*108 (GroupColHdr +tm "GroupColHdrMgr" +) +*109 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*110 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*111 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*112 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*113 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*114 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*115 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 1,0 +) +) +uid 3233,0 +) +*116 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 3235,0 +) +*117 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "testMode" +t "std_uLogic" +o 15 +suid 3,0 +) +) +uid 3237,0 +) +*118 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sensor2" +t "std_uLogic" +o 12 +suid 4,0 +) +) +uid 3239,0 +) +*119 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sensor1" +t "std_uLogic" +o 11 +suid 5,0 +) +) +uid 3241,0 +) +*120 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "motorOn" +t "std_uLogic" +o 8 +suid 6,0 +) +) +uid 3243,0 +) +*121 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "side1" +t "std_uLogic" +o 13 +suid 7,0 +) +) +uid 3245,0 +) +*122 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "side2" +t "std_uLogic" +o 14 +suid 8,0 +) +) +uid 3247,0 +) +*123 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "go2" +t "std_uLogic" +o 7 +suid 10,0 +) +) +uid 3251,0 +) +*124 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "go1" +t "std_uLogic" +o 6 +suid 11,0 +) +) +uid 3253,0 +) +*125 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "restart" +t "std_uLogic" +o 10 +suid 12,0 +) +) +uid 3255,0 +) +*126 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 13,0 +) +) +uid 3257,0 +) +*127 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 14,0 +) +) +uid 3259,0 +) +*128 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 15,0 +) +) +uid 3261,0 +) +*129 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 16,0 +) +) +uid 3662,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3277,0 +optionalChildren [ +*130 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *131 (MRCItem +litem &102 +pos 15 +dimension 20 +) +uid 3279,0 +optionalChildren [ +*132 (MRCItem +litem &103 +pos 0 +dimension 20 +uid 3280,0 +) +*133 (MRCItem +litem &104 +pos 1 +dimension 23 +uid 3281,0 +) +*134 (MRCItem +litem &105 +pos 2 +hidden 1 +dimension 20 +uid 3282,0 +) +*135 (MRCItem +litem &115 +pos 0 +dimension 20 +uid 3234,0 +) +*136 (MRCItem +litem &116 +pos 1 +dimension 20 +uid 3236,0 +) +*137 (MRCItem +litem &117 +pos 2 +dimension 20 +uid 3238,0 +) +*138 (MRCItem +litem &118 +pos 3 +dimension 20 +uid 3240,0 +) +*139 (MRCItem +litem &119 +pos 4 +dimension 20 +uid 3242,0 +) +*140 (MRCItem +litem &120 +pos 5 +dimension 20 +uid 3244,0 +) +*141 (MRCItem +litem &121 +pos 6 +dimension 20 +uid 3246,0 +) +*142 (MRCItem +litem &122 +pos 7 +dimension 20 +uid 3248,0 +) +*143 (MRCItem +litem &123 +pos 8 +dimension 20 +uid 3252,0 +) +*144 (MRCItem +litem &124 +pos 9 +dimension 20 +uid 3254,0 +) +*145 (MRCItem +litem &125 +pos 10 +dimension 20 +uid 3256,0 +) +*146 (MRCItem +litem &126 +pos 11 +dimension 20 +uid 3258,0 +) +*147 (MRCItem +litem &127 +pos 12 +dimension 20 +uid 3260,0 +) +*148 (MRCItem +litem &128 +pos 13 +dimension 20 +uid 3262,0 +) +*149 (MRCItem +litem &129 +pos 14 +dimension 20 +uid 3663,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 3283,0 +optionalChildren [ +*150 (MRCItem +litem &106 +pos 0 +dimension 20 +uid 3284,0 +) +*151 (MRCItem +litem &108 +pos 1 +dimension 50 +uid 3285,0 +) +*152 (MRCItem +litem &109 +pos 2 +dimension 100 +uid 3286,0 +) +*153 (MRCItem +litem &110 +pos 3 +dimension 50 +uid 3287,0 +) +*154 (MRCItem +litem &111 +pos 4 +dimension 100 +uid 3288,0 +) +*155 (MRCItem +litem &112 +pos 5 +dimension 100 +uid 3289,0 +) +*156 (MRCItem +litem &113 +pos 6 +dimension 50 +uid 3290,0 +) +*157 (MRCItem +litem &114 +pos 7 +dimension 80 +uid 3291,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3278,0 +vaOverrides [ +] +) +] +) +uid 3263,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *158 (LEmptyRow +) +uid 3293,0 +optionalChildren [ +*159 (RefLabelRowHdr +) +*160 (TitleRowHdr +) +*161 (FilterRowHdr +) +*162 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*163 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*164 (GroupColHdr +tm "GroupColHdrMgr" +) +*165 (NameColHdr +tm "GenericNameColHdrMgr" +) +*166 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*167 (InitColHdr +tm "GenericValueColHdrMgr" +) +*168 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*169 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3305,0 +optionalChildren [ +*170 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *171 (MRCItem +litem &158 +pos 0 +dimension 20 +) +uid 3307,0 +optionalChildren [ +*172 (MRCItem +litem &159 +pos 0 +dimension 20 +uid 3308,0 +) +*173 (MRCItem +litem &160 +pos 1 +dimension 23 +uid 3309,0 +) +*174 (MRCItem +litem &161 +pos 2 +hidden 1 +dimension 20 +uid 3310,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 3311,0 +optionalChildren [ +*175 (MRCItem +litem &162 +pos 0 +dimension 20 +uid 3312,0 +) +*176 (MRCItem +litem &164 +pos 1 +dimension 50 +uid 3313,0 +) +*177 (MRCItem +litem &165 +pos 2 +dimension 100 +uid 3314,0 +) +*178 (MRCItem +litem &166 +pos 3 +dimension 100 +uid 3315,0 +) +*179 (MRCItem +litem &167 +pos 4 +dimension 50 +uid 3316,0 +) +*180 (MRCItem +litem &168 +pos 5 +dimension 50 +uid 3317,0 +) +*181 (MRCItem +litem &169 +pos 6 +dimension 80 +uid 3318,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3306,0 +vaOverrides [ +] +) +] +) +uid 3292,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Cursor_test/hds/cursor_tb/symbol.sb b/Cursor_test/hds/cursor_tb/symbol.sb new file mode 100644 index 0000000..ac3f457 --- /dev/null +++ b/Cursor_test/hds/cursor_tb/symbol.sb @@ -0,0 +1,1230 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "cursor_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "cursor_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:13:22" +) +(vvPair +variable "unit" +value "cursor_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37350,23100" +st "Cursor_test" +blo "29950,22900" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,23100,35450,24300" +st "cursor_tb" +blo "29950,24100" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "32000,27600,43500,28400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41400,69600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "29250,41250,40750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47400,42300,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41400,52900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43400,42400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43400,25600,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45400,25600,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,62300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45400,44500,46600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47400,26500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "Arial,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "Arial,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,10,1" +) +xt "0,5800,3200,7000" +st "User:" +blo "0,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,7000,2000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 111,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Cursor_test/hds/cursor_tester/interface b/Cursor_test/hds/cursor_tester/interface new file mode 100644 index 0000000..a55a2f3 --- /dev/null +++ b/Cursor_test/hds/cursor_tester/interface @@ -0,0 +1,2253 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2090,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 370,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 2076,0 +) +) +uid 977,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2077,0 +) +) +uid 979,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 2078,0 +) +) +uid 981,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 2079,0 +) +) +uid 983,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 2080,0 +) +) +uid 985,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "go1" +t "std_uLogic" +o 6 +suid 2081,0 +) +) +uid 987,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "go2" +t "std_uLogic" +o 7 +suid 2082,0 +) +) +uid 989,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "motorOn" +t "std_uLogic" +o 8 +suid 2083,0 +) +) +uid 991,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 2084,0 +) +) +uid 993,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "restart" +t "std_uLogic" +o 10 +suid 2085,0 +) +) +uid 995,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sensor1" +t "std_uLogic" +o 11 +suid 2086,0 +) +) +uid 997,0 +) +*25 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sensor2" +t "std_uLogic" +o 12 +suid 2087,0 +) +) +uid 999,0 +) +*26 (LogPort +port (LogicalPort +decl (Decl +n "side1" +t "std_uLogic" +o 13 +suid 2088,0 +) +) +uid 1001,0 +) +*27 (LogPort +port (LogicalPort +decl (Decl +n "side2" +t "std_uLogic" +o 14 +suid 2089,0 +) +) +uid 1003,0 +) +*28 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "testMode" +t "std_uLogic" +o 15 +suid 2090,0 +) +) +uid 1005,0 +) +] +) +pdm (PhysicalDM +uid 386,0 +optionalChildren [ +*29 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *30 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 303,0 +optionalChildren [ +*31 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 306,0 +) +*32 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 308,0 +) +*33 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 310,0 +) +*34 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 978,0 +) +*35 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 980,0 +) +*36 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 982,0 +) +*37 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 984,0 +) +*38 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 986,0 +) +*39 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 988,0 +) +*40 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 990,0 +) +*41 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 992,0 +) +*42 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 994,0 +) +*43 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 996,0 +) +*44 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 998,0 +) +*45 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 1000,0 +) +*46 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 1002,0 +) +*47 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 1004,0 +) +*48 (MRCItem +litem &28 +pos 14 +dimension 20 +uid 1006,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 304,0 +optionalChildren [ +*49 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 312,0 +) +*50 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 316,0 +) +*51 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 318,0 +) +*52 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 320,0 +) +*53 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 322,0 +) +*54 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 324,0 +) +*55 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 326,0 +) +*56 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 328,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 302,0 +vaOverrides [ +] +) +] +) +uid 369,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *57 (LEmptyRow +) +uid 388,0 +optionalChildren [ +*58 (RefLabelRowHdr +) +*59 (TitleRowHdr +) +*60 (FilterRowHdr +) +*61 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*62 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*63 (GroupColHdr +tm "GroupColHdrMgr" +) +*64 (NameColHdr +tm "GenericNameColHdrMgr" +) +*65 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*66 (InitColHdr +tm "GenericValueColHdrMgr" +) +*67 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*68 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 389,0 +optionalChildren [ +*69 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *70 (MRCItem +litem &57 +pos 3 +dimension 20 +) +uid 345,0 +optionalChildren [ +*71 (MRCItem +litem &58 +pos 0 +dimension 20 +uid 348,0 +) +*72 (MRCItem +litem &59 +pos 1 +dimension 23 +uid 350,0 +) +*73 (MRCItem +litem &60 +pos 2 +hidden 1 +dimension 20 +uid 352,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 346,0 +optionalChildren [ +*74 (MRCItem +litem &61 +pos 0 +dimension 20 +uid 354,0 +) +*75 (MRCItem +litem &63 +pos 1 +dimension 50 +uid 358,0 +) +*76 (MRCItem +litem &64 +pos 2 +dimension 100 +uid 360,0 +) +*77 (MRCItem +litem &65 +pos 3 +dimension 100 +uid 362,0 +) +*78 (MRCItem +litem &66 +pos 4 +dimension 50 +uid 364,0 +) +*79 (MRCItem +litem &67 +pos 5 +dimension 50 +uid 366,0 +) +*80 (MRCItem +litem &68 +pos 6 +dimension 80 +uid 368,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 344,0 +vaOverrides [ +] +) +] +) +uid 387,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "cursor_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:23" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "cursor_tester" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\cursor_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "/usr/opt/Modelsim/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "08:13:23" +) +(vvPair +variable "unit" +value "cursor_tester" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 106,0 +optionalChildren [ +*81 (SymbolBody +uid 8,0 +optionalChildren [ +*82 (CptPort +uid 902,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 903,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 904,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 905,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,12800" +st "button4" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 906,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4200,61000,5000" +st "button4 : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "button4" +t "std_uLogic" +o 1 +suid 2076,0 +) +) +) +*83 (CptPort +uid 907,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 908,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34625,5250,35375,6000" +) +tg (CPTG +uid 909,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 910,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "34300,7000,35700,10800" +st "clock" +ju 2 +blo "35500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 911,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5000,61000,5800" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2077,0 +) +) +) +*84 (CptPort +uid 912,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 913,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64625,5250,65375,6000" +) +tg (CPTG +uid 914,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 915,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "64300,7000,65700,13700" +st "encoderA" +ju 2 +blo "65500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 916,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5800,61000,6600" +st "encoderA : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "encoderA" +t "std_uLogic" +o 3 +suid 2078,0 +) +) +) +*85 (CptPort +uid 917,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 918,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62625,5250,63375,6000" +) +tg (CPTG +uid 919,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 920,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "62300,7000,63700,13700" +st "encoderB" +ju 2 +blo "63500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 921,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6600,61000,7400" +st "encoderB : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "encoderB" +t "std_uLogic" +o 4 +suid 2079,0 +) +) +) +*86 (CptPort +uid 922,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 923,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 924,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 925,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "60300,7000,61700,13400" +st "encoderI" +ju 2 +blo "61500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 926,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7400,61000,8200" +st "encoderI : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "encoderI" +t "std_uLogic" +o 5 +suid 2080,0 +) +) +) +*87 (CptPort +uid 927,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 928,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 929,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 930,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,7000,25700,10200" +st "go1" +ju 2 +blo "25500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 931,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8200,61000,9000" +st "go1 : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "go1" +t "std_uLogic" +o 6 +suid 2081,0 +) +) +) +*88 (CptPort +uid 932,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 933,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 934,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 935,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,7000,27700,10200" +st "go2" +ju 2 +blo "27500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 936,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9000,61000,9800" +st "go2 : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "go2" +t "std_uLogic" +o 7 +suid 2082,0 +) +) +) +*89 (CptPort +uid 937,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 938,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "78625,5250,79375,6000" +) +tg (CPTG +uid 939,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 940,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "78300,7000,79700,13300" +st "motorOn" +ju 2 +blo "79500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 941,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,1800,61000,2600" +st "motorOn : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "motorOn" +t "std_uLogic" +o 8 +suid 2083,0 +) +) +) +*90 (CptPort +uid 942,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 943,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,5250,37375,6000" +) +tg (CPTG +uid 944,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 945,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "36300,7000,37700,11100" +st "reset" +ju 2 +blo "37500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 946,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9800,61000,10600" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 2084,0 +) +) +) +*91 (CptPort +uid 947,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 948,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 949,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 950,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,12100" +st "restart" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 951,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,10600,61000,11400" +st "restart : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "restart" +t "std_uLogic" +o 10 +suid 2085,0 +) +) +) +*92 (CptPort +uid 952,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 953,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70625,5250,71375,6000" +) +tg (CPTG +uid 954,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 955,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "70300,7000,71700,12900" +st "sensor1" +ju 2 +blo "71500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 956,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,11400,61000,12200" +st "sensor1 : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sensor1" +t "std_uLogic" +o 11 +suid 2086,0 +) +) +) +*93 (CptPort +uid 957,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 958,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "68625,5250,69375,6000" +) +tg (CPTG +uid 959,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 960,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "68300,7000,69700,12900" +st "sensor2" +ju 2 +blo "69500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 961,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,12200,61000,13000" +st "sensor2 : OUT std_uLogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sensor2" +t "std_uLogic" +o 12 +suid 2087,0 +) +) +) +*94 (CptPort +uid 962,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 963,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "76625,5250,77375,6000" +) +tg (CPTG +uid 964,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 965,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "76300,7000,77700,11200" +st "side1" +ju 2 +blo "77500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 966,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2600,61000,3400" +st "side1 : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "side1" +t "std_uLogic" +o 13 +suid 2088,0 +) +) +) +*95 (CptPort +uid 967,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 968,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74625,5250,75375,6000" +) +tg (CPTG +uid 969,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 970,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "74300,7000,75700,11200" +st "side2" +ju 2 +blo "75500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 971,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3400,61000,4200" +st "side2 : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "side2" +t "std_uLogic" +o 14 +suid 2089,0 +) +) +) +*96 (CptPort +uid 972,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 973,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 974,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 975,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "32300,7000,33700,13700" +st "testMode" +ju 2 +blo "33500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 976,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,13000,60000,13800" +st "testMode : OUT std_uLogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "testMode" +t "std_uLogic" +o 15 +suid 2090,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,87000,14000" +) +oxt "15000,6000,77000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "47050,8800,54050,10000" +st "Cursor_test" +blo "47050,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "47050,10000,54950,11200" +st "cursor_tester" +blo "47050,11000" +) +) +gi *97 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "26000,6000,35700,7000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*98 (Grouping +uid 16,0 +optionalChildren [ +*99 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*100 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*101 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*102 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*103 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*104 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*105 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*106 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*107 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*108 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *109 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*110 (Text +uid 49,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*111 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor_test" +entityName "cursor_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *112 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *113 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,13800,44500,14700" +st "User:" +blo "42000,14500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,14700,44000,14700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 1006,0 +) diff --git a/Cursor_test/hds/cursor_tester/test.vhd b/Cursor_test/hds/cursor_tester/test.vhd new file mode 100644 index 0000000..f0beb44 --- /dev/null +++ b/Cursor_test/hds/cursor_tester/test.vhd @@ -0,0 +1,118 @@ +ARCHITECTURE test OF cursor_tester IS + + constant clockPeriod: time := 50 ns; + signal sClock: std_uLogic := '1'; + + constant pulsesPerTurn: integer := 200; + constant stepPeriodNb: positive := 8; + signal stepEn: std_uLogic := '0'; + signal direction: std_uLogic; + signal turning: std_uLogic; + signal stepCount: unsigned(10 downto 0) := (others => '0'); + +BEGIN + + ------------------------------------------------------------------------------ + -- clock and reset + -- + reset <= '1', '0' after clockPeriod/4; + + sClock <= not sClock after clockPeriod/2; + clock <= sClock after clockPeriod/10; + + + ------------------------------------------------------------------------------ + -- test sequence + -- + process + begin + + testMode <= '1'; + + restart <= '0'; + go1 <= '0'; + go2 <= '0'; + setPoint <= '0'; + + sensor1 <= '0'; + sensor2 <= '0'; + + wait for 1 us; + + ---------------------------------------------------------------------------- + -- advance to first stop point + go1 <= '1', '0' after 1 us; + wait for 4 ms; + + ---------------------------------------------------------------------------- + -- advance to second stop point + go2 <= '1', '0' after 1 us; + wait for 4 ms; + + ---------------------------------------------------------------------------- + -- back to start with sensor reset + restart <= '1', '0' after 1 us; + wait for 0.5 ms; + sensor1 <= '1', '0' after 1 us; + wait for 0.5 ms; + + ---------------------------------------------------------------------------- + -- advance to second stop point + go2 <= '1', '0' after 1 us; + wait for 7 ms; + + ---------------------------------------------------------------------------- + -- go back to first stop point + go1 <= '1', '0' after 1 us; + wait for 4 ms; + + ---------------------------------------------------------------------------- + -- back to start with counter stop + restart <= '1', '0' after 1 us; + wait for 4 ms; + sensor1 <= '1', '0' after 1 us; + wait for 1 ms; + + wait; + end process; + + ------------------------------------------------------------------------------ + -- motor feedback + -- + turning <= motorOn; + + findDirection: process(side1, side2) + begin + if (side1 = '1') and (side2 = '0') then + direction <= '1'; + elsif (side1 = '0') and (side2 = '1') then + direction <= '0'; + end if; + end process findDirection; + + stepEn <= not stepEn after (stepPeriodNb/4)*clockPeriod; + + count: process (stepEn) + begin + if turning = '1' then + if direction = '1' then + if stepCount < pulsesPerTurn-1 then + stepCount <= stepCount + 1; + else + stepCount <= to_unsigned(0, stepCount'length); + end if; + else + if stepCount > 0 then + stepCount <= stepCount - 1; + else + stepCount <= to_unsigned(pulsesPerTurn-1, stepCount'length); + end if; + end if; + end if; + end process count; + + encoderA <= stepCount(1); + encoderB <= not stepCount(1) xor stepCount(0); + encoderI <= '1' when stepCount = pulsesPerTurn-1 else '0'; + +END test; diff --git a/Cursor_test/hds/divider_tb/struct.bd b/Cursor_test/hds/divider_tb/struct.bd new file mode 100644 index 0000000..1346ab1 --- /dev/null +++ b/Cursor_test/hds/divider_tb/struct.bd @@ -0,0 +1,2770 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I1" +duLibraryName "Cursor_test" +duName "divider_tester" +elements [ +] +mwi 0 +uid 1774,0 +) +(Instance +name "I0" +duLibraryName "Cursor" +duName "divider" +elements [ +] +mwi 0 +uid 2524,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "divider_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "divider_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "08:13:22" +) +(vvPair +variable "unit" +value "divider_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Net +uid 1315,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 1,0 +) +declText (MLText +uid 1316,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-5000,34200,10500,35400" +st "SIGNAL reset : std_ulogic" +) +) +*2 (Net +uid 1325,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2,0 +) +declText (MLText +uid 1326,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-5000,28200,10500,29400" +st "SIGNAL clock : std_ulogic" +) +) +*3 (Grouping +uid 1487,0 +optionalChildren [ +*4 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "47000,60000,66000,62000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "47200,60400,62600,61600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "15000,60000,41000,62000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "22250,60250,33750,61750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "20000,66000,41000,68000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "20200,66400,38600,67600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "41000,60000,47000,62000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "41200,60400,45900,61600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "20000,62000,41000,64000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "20200,62400,35400,63600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "15000,62000,20000,64000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "15200,62400,18600,63600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "15000,64000,20000,66000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "15200,64400,18600,65600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "41000,62000,66000,68000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "41200,62200,55300,63400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*12 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "20000,64000,41000,66000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "20200,64400,37100,65600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "15000,66000,20000,68000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "15200,66400,19500,67600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "15000,60000,66000,68000" +) +oxt "13000,22000,64000,30000" +) +*14 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "2000,49000,56000,57000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*15 (Text +uid 1777,0 +va (VaSet +font "Verdana,12,1" +) +xt "8600,51900,18200,53300" +st "Cursor_test" +blo "8600,53100" +tm "BdLibraryNameMgr" +) +*16 (Text +uid 1778,0 +va (VaSet +font "Verdana,12,1" +) +xt "8600,53300,19800,54700" +st "divider_tester" +blo "8600,54500" +tm "BlkNameMgr" +) +*17 (Text +uid 1779,0 +va (VaSet +font "Verdana,12,1" +) +xt "8600,54700,11000,56100" +st "I1" +blo "8600,55900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "8600,61900,8600,61900" +) +header "" +) +elements [ +] +) +) +*18 (Net +uid 2116,0 +decl (Decl +n "testMode" +t "std_uLogic" +o 5 +suid 3,0 +) +declText (MLText +uid 2117,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,0,15500,800" +st "SIGNAL testMode : std_uLogic" +) +) +*19 (Net +uid 2361,0 +decl (Decl +n "enPWM" +t "std_uLogic" +o 2 +suid 6,0 +) +declText (MLText +uid 2362,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,0,15500,800" +st "SIGNAL enPWM : std_uLogic" +) +) +*20 (SaComponent +uid 2524,0 +optionalChildren [ +*21 (CptPort +uid 2504,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2505,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21250,32625,22000,33375" +) +tg (CPTG +uid 2506,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2507,0 +va (VaSet +) +xt "23000,32500,26400,33700" +st "clock" +blo "23000,33500" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*22 (CptPort +uid 2508,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2509,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21250,34625,22000,35375" +) +tg (CPTG +uid 2510,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2511,0 +va (VaSet +) +xt "23000,34500,26300,35700" +st "reset" +blo "23000,35500" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*23 (CptPort +uid 2512,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2513,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "21250,30625,22000,31375" +) +tg (CPTG +uid 2514,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2515,0 +va (VaSet +) +xt "23000,30300,28400,31500" +st "testMode" +blo "23000,31300" +) +) +thePort (LogicalPort +decl (Decl +n "testMode" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +*24 (CptPort +uid 2516,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2517,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38000,30625,38750,31375" +) +tg (CPTG +uid 2518,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2519,0 +va (VaSet +) +xt "32300,30400,37000,31600" +st "enRamp" +ju 2 +blo "37000,31400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "enRamp" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +*25 (CptPort +uid 2520,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2521,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38000,32625,38750,33375" +) +tg (CPTG +uid 2522,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2523,0 +va (VaSet +) +xt "32600,32500,37000,33700" +st "enPWM" +ju 2 +blo "37000,33500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "enPWM" +t "std_uLogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 2525,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "22000,27000,38000,37000" +) +oxt "30000,16000,46000,26000" +ttg (MlTextGroup +uid 2526,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*26 (Text +uid 2527,0 +va (VaSet +font "Verdana,9,1" +) +xt "22100,37000,25800,38200" +st "Cursor" +blo "22100,38000" +tm "BdLibraryNameMgr" +) +*27 (Text +uid 2528,0 +va (VaSet +font "Verdana,9,1" +) +xt "22100,38200,26100,39400" +st "divider" +blo "22100,39200" +tm "CptNameMgr" +) +*28 (Text +uid 2529,0 +va (VaSet +font "Verdana,9,1" +) +xt "22100,39400,23800,40600" +st "I0" +blo "22100,40400" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2530,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2531,0 +text (MLText +uid 2532,0 +va (VaSet +font "Courier New,8,0" +) +xt "9000,26800,9000,26800" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*29 (Net +uid 2533,0 +decl (Decl +n "enRamp" +t "std_uLogic" +o 3 +suid 9,0 +) +declText (MLText +uid 2534,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "0,0,15500,1200" +st "SIGNAL enRamp : std_uLogic" +) +) +*30 (Wire +uid 1317,0 +shape (OrthoPolyLine +uid 1318,0 +va (VaSet +vasetType 3 +) +xt "18000,35000,21250,49000" +pts [ +"21250,35000" +"18000,35000" +"18000,49000" +] +) +start &22 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1321,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1322,0 +va (VaSet +font "Verdana,12,0" +) +xt "18000,33600,22100,35000" +st "reset" +blo "18000,34800" +tm "WireNameMgr" +) +) +on &1 +) +*31 (Wire +uid 1327,0 +shape (OrthoPolyLine +uid 1328,0 +va (VaSet +vasetType 3 +) +xt "16000,33000,21250,49000" +pts [ +"21250,33000" +"16000,33000" +"16000,49000" +] +) +start &21 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1331,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1332,0 +va (VaSet +font "Verdana,12,0" +) +xt "18000,31600,21800,33000" +st "clock" +blo "18000,32800" +tm "WireNameMgr" +) +) +on &2 +) +*32 (Wire +uid 2118,0 +shape (OrthoPolyLine +uid 2119,0 +va (VaSet +vasetType 3 +) +xt "14000,31000,21250,49000" +pts [ +"21250,31000" +"14000,31000" +"14000,49000" +] +) +start &23 +end &14 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 2122,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2123,0 +va (VaSet +font "Verdana,12,0" +) +xt "14250,29600,20950,31000" +st "testMode" +blo "14250,30800" +tm "WireNameMgr" +) +) +on &18 +) +*33 (Wire +uid 2363,0 +shape (OrthoPolyLine +uid 2364,0 +va (VaSet +vasetType 3 +) +xt "38750,33000,42000,49000" +pts [ +"38750,33000" +"42000,33000" +"42000,49000" +] +) +start &25 +end &14 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 2367,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2368,0 +va (VaSet +font "Verdana,12,0" +) +xt "39000,31600,44500,33000" +st "enPWM" +blo "39000,32800" +tm "WireNameMgr" +) +) +on &19 +) +*34 (Wire +uid 2535,0 +shape (OrthoPolyLine +uid 2536,0 +va (VaSet +vasetType 3 +) +xt "38750,31000,44000,49000" +pts [ +"38750,31000" +"44000,31000" +"44000,49000" +] +) +start &24 +end &14 +sat 32 +eat 1 +st 0 +sf 1 +tg (WTG +uid 2539,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2540,0 +va (VaSet +font "Verdana,12,0" +) +xt "40750,29600,46650,31000" +st "enRamp" +blo "40750,30800" +tm "WireNameMgr" +) +) +on &29 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *35 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 1297,0 +va (VaSet +font "Verdana,12,0" +) +xt "-7000,19600,2500,21000" +st "Package List" +blo "-7000,20800" +) +*37 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,21000,10500,24600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*38 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*39 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*40 (MLText +uid 193,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,2800,30400,5400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*41 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*42 (MLText +uid 195,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*43 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*44 (MLText +uid 197,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "1,31,1281,919" +viewArea "-8028,18547,67669,69915" +cachedDiagramExtent "-7000,0,66000,68000" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 1077 +paperHeight 761 +windowsPaperWidth 1077 +windowsPaperHeight 761 +paperType "A4" +windowsPaperName "A4" +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 2608,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3300,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,2550,7900,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*46 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,3950,7000,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*47 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,5350,3000,6750" +st "I0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*49 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*50 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*52 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*53 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*55 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*56 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*58 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*59 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*61 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,5100,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,1400,1800,2800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,0,5900,1400" +st "Auto list" +) +second (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,1400,11800,2800" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,18500,-200" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*63 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,11000,-200" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*65 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,10,1" +) +xt "-7000,25800,1600,27000" +st "Declarations" +blo "-7000,26800" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,27000,-2800,28200" +st "Ports:" +blo "-7000,28000" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,27000,-1000,28200" +st "Pre User:" +blo "-7000,28000" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "Courier New,10,0" +) +xt "-5000,29400,-5000,29400" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,27000,4000,28200" +st "Diagram Signals:" +blo "-7000,28000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,27000,300,28200" +st "Post User:" +blo "-7000,28000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,10,0" +) +xt "-5000,41400,-5000,41400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 9,0 +usingSuid 1 +emptyRow *66 (LEmptyRow +) +uid 2382,0 +optionalChildren [ +*67 (RefLabelRowHdr +) +*68 (TitleRowHdr +) +*69 (FilterRowHdr +) +*70 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*71 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*72 (GroupColHdr +tm "GroupColHdrMgr" +) +*73 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*74 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*75 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*76 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*77 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*78 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*79 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 1,0 +) +) +uid 2369,0 +) +*80 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 2371,0 +) +*81 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "testMode" +t "std_uLogic" +o 5 +suid 3,0 +) +) +uid 2373,0 +) +*82 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "enPWM" +t "std_uLogic" +o 2 +suid 6,0 +) +) +uid 2379,0 +) +*83 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "enRamp" +t "std_uLogic" +o 3 +suid 9,0 +) +) +uid 2541,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 2395,0 +optionalChildren [ +*84 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *85 (MRCItem +litem &66 +pos 5 +dimension 20 +) +uid 2397,0 +optionalChildren [ +*86 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 2398,0 +) +*87 (MRCItem +litem &68 +pos 1 +dimension 23 +uid 2399,0 +) +*88 (MRCItem +litem &69 +pos 2 +hidden 1 +dimension 20 +uid 2400,0 +) +*89 (MRCItem +litem &79 +pos 0 +dimension 20 +uid 2370,0 +) +*90 (MRCItem +litem &80 +pos 1 +dimension 20 +uid 2372,0 +) +*91 (MRCItem +litem &81 +pos 2 +dimension 20 +uid 2374,0 +) +*92 (MRCItem +litem &82 +pos 3 +dimension 20 +uid 2380,0 +) +*93 (MRCItem +litem &83 +pos 4 +dimension 20 +uid 2542,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 2401,0 +optionalChildren [ +*94 (MRCItem +litem &70 +pos 0 +dimension 20 +uid 2402,0 +) +*95 (MRCItem +litem &72 +pos 1 +dimension 50 +uid 2403,0 +) +*96 (MRCItem +litem &73 +pos 2 +dimension 100 +uid 2404,0 +) +*97 (MRCItem +litem &74 +pos 3 +dimension 50 +uid 2405,0 +) +*98 (MRCItem +litem &75 +pos 4 +dimension 100 +uid 2406,0 +) +*99 (MRCItem +litem &76 +pos 5 +dimension 100 +uid 2407,0 +) +*100 (MRCItem +litem &77 +pos 6 +dimension 50 +uid 2408,0 +) +*101 (MRCItem +litem &78 +pos 7 +dimension 80 +uid 2409,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 2396,0 +vaOverrides [ +] +) +] +) +uid 2381,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *102 (LEmptyRow +) +uid 2411,0 +optionalChildren [ +*103 (RefLabelRowHdr +) +*104 (TitleRowHdr +) +*105 (FilterRowHdr +) +*106 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*107 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*108 (GroupColHdr +tm "GroupColHdrMgr" +) +*109 (NameColHdr +tm "GenericNameColHdrMgr" +) +*110 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*111 (InitColHdr +tm "GenericValueColHdrMgr" +) +*112 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*113 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 2423,0 +optionalChildren [ +*114 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *115 (MRCItem +litem &102 +pos 0 +dimension 20 +) +uid 2425,0 +optionalChildren [ +*116 (MRCItem +litem &103 +pos 0 +dimension 20 +uid 2426,0 +) +*117 (MRCItem +litem &104 +pos 1 +dimension 23 +uid 2427,0 +) +*118 (MRCItem +litem &105 +pos 2 +hidden 1 +dimension 20 +uid 2428,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 2429,0 +optionalChildren [ +*119 (MRCItem +litem &106 +pos 0 +dimension 20 +uid 2430,0 +) +*120 (MRCItem +litem &108 +pos 1 +dimension 50 +uid 2431,0 +) +*121 (MRCItem +litem &109 +pos 2 +dimension 100 +uid 2432,0 +) +*122 (MRCItem +litem &110 +pos 3 +dimension 100 +uid 2433,0 +) +*123 (MRCItem +litem &111 +pos 4 +dimension 50 +uid 2434,0 +) +*124 (MRCItem +litem &112 +pos 5 +dimension 50 +uid 2435,0 +) +*125 (MRCItem +litem &113 +pos 6 +dimension 80 +uid 2436,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 2424,0 +vaOverrides [ +] +) +] +) +uid 2410,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Cursor_test/hds/divider_tb/symbol.sb b/Cursor_test/hds/divider_tb/symbol.sb new file mode 100644 index 0000000..19dd549 --- /dev/null +++ b/Cursor_test/hds/divider_tb/symbol.sb @@ -0,0 +1,1230 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "divider_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "divider_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:13:22" +) +(vvPair +variable "unit" +value "divider_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37350,23100" +st "Cursor_test" +blo "29950,22900" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,23100,36350,24300" +st "divider_tb" +blo "29950,24100" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "32000,27600,43500,28400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41400,69600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "29250,41250,40750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47400,42300,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41400,52900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43400,42400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43400,25600,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45400,25600,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,62300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45400,44700,46600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47400,26500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "Arial,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "Arial,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,10,1" +) +xt "0,5800,3200,7000" +st "User:" +blo "0,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,7000,2000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 111,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Cursor_test/hds/divider_tester/interface b/Cursor_test/hds/divider_tester/interface new file mode 100644 index 0000000..216e517 --- /dev/null +++ b/Cursor_test/hds/divider_tester/interface @@ -0,0 +1,1584 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2037,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 171,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2033,0 +) +) +uid 504,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "enPWM" +t "std_uLogic" +o 2 +suid 2034,0 +) +) +uid 506,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "enRamp" +t "std_uLogic" +o 3 +suid 2035,0 +) +) +uid 508,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 2036,0 +) +) +uid 510,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "testMode" +t "std_uLogic" +o 5 +suid 2037,0 +) +) +uid 512,0 +) +] +) +pdm (PhysicalDM +uid 178,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 113,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 116,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 118,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 505,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 507,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 509,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 511,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 513,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 122,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 126,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 128,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 130,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 132,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 134,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 136,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 138,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 112,0 +vaOverrides [ +] +) +] +) +uid 170,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 180,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 181,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *50 (MRCItem +litem &37 +pos 3 +dimension 20 +) +uid 146,0 +optionalChildren [ +*51 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 149,0 +) +*52 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 151,0 +) +*53 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 153,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 147,0 +optionalChildren [ +*54 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 155,0 +) +*55 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 159,0 +) +*56 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 161,0 +) +*57 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 163,0 +) +*58 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 165,0 +) +*59 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 167,0 +) +*60 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 169,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 145,0 +vaOverrides [ +] +) +] +) +uid 179,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tester" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tester" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "divider_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "divider_tester" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\divider_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "08:13:22" +) +(vvPair +variable "unit" +value "divider_tester" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 81,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 479,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 480,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 481,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 482,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,10800" +st "clock" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 483,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,61000,4400" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 2033,0 +) +) +) +*63 (CptPort +uid 484,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 485,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +tg (CPTG +uid 486,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 487,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "54300,7000,55700,12500" +st "enPWM" +ju 2 +blo "55500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 488,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,61000,2800" +st "enPWM : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "enPWM" +t "std_uLogic" +o 2 +suid 2034,0 +) +) +) +*64 (CptPort +uid 489,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 490,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 491,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 492,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "56300,7000,57700,12900" +st "enRamp" +ju 2 +blo "57500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 493,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,61000,3600" +st "enRamp : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "enRamp" +t "std_uLogic" +o 3 +suid 2035,0 +) +) +) +*65 (CptPort +uid 494,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 495,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 496,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 497,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,7000,31700,11100" +st "reset" +ju 2 +blo "31500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 498,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,61000,5200" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 2036,0 +) +) +) +*66 (CptPort +uid 499,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 500,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 501,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 502,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,7000,27700,13700" +st "testMode" +ju 2 +blo "27500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 503,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,60000,6000" +st "testMode : OUT std_uLogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "testMode" +t "std_uLogic" +o 5 +suid 2037,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,69000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "37850,8800,44850,10000" +st "Cursor_test" +blo "37850,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "37850,10000,46150,11200" +st "divider_tester" +blo "37850,11000" +) +) +gi *67 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "17000,6000,28500,6800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*68 (Grouping +uid 16,0 +optionalChildren [ +*69 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*74 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*76 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *79 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*81 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor_test" +entityName "divider_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,6000,44400,7000" +st "User:" +blo "42000,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7000,44000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 513,0 +) diff --git a/Cursor_test/hds/divider_tester/test.vhd b/Cursor_test/hds/divider_tester/test.vhd new file mode 100644 index 0000000..2871351 --- /dev/null +++ b/Cursor_test/hds/divider_tester/test.vhd @@ -0,0 +1,21 @@ +ARCHITECTURE test OF divider_tester IS + + constant clockPeriod: time := 50 ns; + signal sClock: std_uLogic := '1'; + +BEGIN + + reset <= '1', '0' after clockPeriod/4; + + sClock <= not sClock after clockPeriod/2; + clock <= sClock after clockPeriod/10; + + testMode <= '1', '0' after 10000*clockPeriod; + + start <= '0', + '1' after 210 us, + '0' after 210 us + clockPeriod, + '1' after 2.1 ms, + '0' after 2.1 ms + clockPeriod; + +END test; diff --git a/Cursor_test/hds/position@counter_tb/struct.bd b/Cursor_test/hds/position@counter_tb/struct.bd new file mode 100644 index 0000000..8e54756 --- /dev/null +++ b/Cursor_test/hds/position@counter_tb/struct.bd @@ -0,0 +1,3009 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I1" +duLibraryName "Cursor_test" +duName "positionCounter_tester" +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +mwi 0 +uid 1774,0 +) +(Instance +name "I0" +duLibraryName "Cursor" +duName "positionCounter" +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +mwi 0 +uid 2179,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\positionCounter_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "positionCounter_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "positionCounter_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\positionCounter_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Projects\\Levisat\\Onboard\\FPGA\\board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "08:13:22" +) +(vvPair +variable "unit" +value "positionCounter_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Net +uid 1315,0 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 1,0 +) +declText (MLText +uid 1316,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-5000,81800,10500,83000" +st "SIGNAL reset : std_ulogic" +) +) +*2 (Net +uid 1325,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +declText (MLText +uid 1326,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-5000,75800,10500,77000" +st "SIGNAL clock : std_ulogic" +) +) +*3 (Grouping +uid 1487,0 +optionalChildren [ +*4 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "65000,73000,84000,75000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "65200,73400,80600,74600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "33000,73000,59000,75000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "40250,73250,51750,74750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "38000,79000,59000,81000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "38200,79400,56600,80600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,73000,65000,75000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "59200,73400,63900,74600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "38000,75000,59000,77000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "38200,75400,53400,76600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "33000,75000,38000,77000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "33200,75400,36600,76600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "33000,77000,38000,79000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "33200,77400,36600,78600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "59000,75000,84000,81000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "59200,75200,73300,76400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*12 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "38000,77000,59000,79000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "38200,77400,56700,78600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "33000,79000,38000,81000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "33200,79400,37500,80600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "33000,73000,84000,81000" +) +oxt "13000,22000,64000,30000" +) +*14 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "16000,48000,72000,56000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*15 (Text +uid 1777,0 +va (VaSet +font "Verdana,12,1" +) +xt "16600,55900,26200,57300" +st "Cursor_test" +blo "16600,57100" +tm "BdLibraryNameMgr" +) +*16 (Text +uid 1778,0 +va (VaSet +font "Verdana,12,1" +) +xt "16600,57300,34500,58700" +st "positionCounter_tester" +blo "16600,58500" +tm "BlkNameMgr" +) +*17 (Text +uid 1779,0 +va (VaSet +font "Verdana,12,1" +) +xt "16600,58700,19000,60100" +st "I1" +blo "16600,59900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +font "Courier New,9,0" +) +xt "31000,62800,55000,64000" +st "counterBitNb = counterBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +) +) +*18 (SaComponent +uid 2179,0 +optionalChildren [ +*19 (CptPort +uid 2151,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2152,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,35625,36000,36375" +) +tg (CPTG +uid 2153,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2154,0 +va (VaSet +) +xt "37000,35500,40400,36700" +st "clock" +blo "37000,36500" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*20 (CptPort +uid 2155,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2156,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,29625,36000,30375" +) +tg (CPTG +uid 2157,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2158,0 +va (VaSet +) +xt "37000,29500,41700,30700" +st "position" +blo "37000,30500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "position" +t "unsigned" +b "(counterBitNb-1 DOWNTO 0)" +o 4 +) +) +) +*21 (CptPort +uid 2159,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2160,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,29625,52750,30375" +) +tg (CPTG +uid 2161,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2162,0 +va (VaSet +) +xt "45500,29300,51000,30500" +st "encoderA" +ju 2 +blo "51000,30300" +) +) +thePort (LogicalPort +decl (Decl +n "encoderA" +t "std_ulogic" +o 2 +) +) +) +*22 (CptPort +uid 2163,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2164,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,37625,36000,38375" +) +tg (CPTG +uid 2165,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2166,0 +va (VaSet +) +xt "37000,37500,40300,38700" +st "reset" +blo "37000,38500" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +) +) +) +*23 (CptPort +uid 2167,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2168,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,31625,52750,32375" +) +tg (CPTG +uid 2169,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2170,0 +va (VaSet +) +xt "45600,31300,51000,32500" +st "encoderB" +ju 2 +blo "51000,32300" +) +) +thePort (LogicalPort +decl (Decl +n "encoderB" +t "std_ulogic" +o 5 +) +) +) +*24 (CptPort +uid 2171,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2172,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,31625,36000,32375" +) +tg (CPTG +uid 2173,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2174,0 +va (VaSet +) +xt "37000,31300,40200,32500" +st "clear" +blo "37000,32300" +) +) +thePort (LogicalPort +decl (Decl +n "clear" +t "std_ulogic" +o 6 +) +) +) +*25 (CptPort +uid 2175,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2176,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52000,33625,52750,34375" +) +tg (CPTG +uid 2177,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2178,0 +va (VaSet +) +xt "45800,33300,51000,34500" +st "encoderI" +ju 2 +blo "51000,34300" +) +) +thePort (LogicalPort +decl (Decl +n "encoderI" +t "std_ulogic" +o 7 +) +) +) +] +shape (Rectangle +uid 2180,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,26000,52000,40000" +) +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 2181,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*26 (Text +uid 2182,0 +va (VaSet +) +xt "36100,40000,38900,41000" +st "Cursor" +blo "36100,40800" +tm "BdLibraryNameMgr" +) +*27 (Text +uid 2183,0 +va (VaSet +) +xt "36100,41000,42200,42000" +st "positionCounter" +blo "36100,41800" +tm "CptNameMgr" +) +*28 (Text +uid 2184,0 +va (VaSet +) +xt "36100,42000,37100,43000" +st "I0" +blo "36100,42800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2185,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2186,0 +text (MLText +uid 2187,0 +va (VaSet +font "Courier New,8,0" +) +xt "36000,43600,60000,44400" +st "counterBitNb = counterBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*29 (Net +uid 2216,0 +decl (Decl +n "clear" +t "std_ulogic" +o 1 +suid 3,0 +) +declText (MLText +uid 2217,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,0,15500,800" +st "SIGNAL clear : std_ulogic" +) +) +*30 (Net +uid 2224,0 +decl (Decl +n "encoderI" +t "std_ulogic" +o 5 +suid 4,0 +) +declText (MLText +uid 2225,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,0,15500,800" +st "SIGNAL encoderI : std_ulogic" +) +) +*31 (Net +uid 2232,0 +decl (Decl +n "encoderB" +t "std_ulogic" +o 4 +suid 5,0 +) +declText (MLText +uid 2233,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,0,15500,800" +st "SIGNAL encoderB : std_ulogic" +) +) +*32 (Net +uid 2240,0 +decl (Decl +n "encoderA" +t "std_ulogic" +o 3 +suid 6,0 +) +declText (MLText +uid 2241,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,0,15500,800" +st "SIGNAL encoderA : std_ulogic" +) +) +*33 (Net +uid 2248,0 +decl (Decl +n "position" +t "unsigned" +b "(counterBitNb-1 DOWNTO 0)" +o 6 +suid 7,0 +) +declText (MLText +uid 2249,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,0,27500,800" +st "SIGNAL position : unsigned(counterBitNb-1 DOWNTO 0)" +) +) +*34 (Wire +uid 1317,0 +shape (OrthoPolyLine +uid 1318,0 +va (VaSet +vasetType 3 +) +xt "32000,38000,35250,48000" +pts [ +"35250,38000" +"32000,38000" +"32000,48000" +] +) +start &22 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1321,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1322,0 +va (VaSet +font "Verdana,12,0" +) +xt "32000,36600,36100,38000" +st "reset" +blo "32000,37800" +tm "WireNameMgr" +) +) +on &1 +) +*35 (Wire +uid 1327,0 +shape (OrthoPolyLine +uid 1328,0 +va (VaSet +vasetType 3 +) +xt "30000,36000,35250,48000" +pts [ +"35250,36000" +"30000,36000" +"30000,48000" +] +) +start &19 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1331,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1332,0 +va (VaSet +font "Verdana,12,0" +) +xt "32000,34600,35800,36000" +st "clock" +blo "32000,35800" +tm "WireNameMgr" +) +) +on &2 +) +*36 (Wire +uid 2218,0 +shape (OrthoPolyLine +uid 2219,0 +va (VaSet +vasetType 3 +) +xt "26000,32000,35250,48000" +pts [ +"35250,32000" +"26000,32000" +"26000,48000" +] +) +start &24 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2222,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2223,0 +va (VaSet +font "Verdana,12,0" +) +xt "31250,30600,35050,32000" +st "clear" +blo "31250,31800" +tm "WireNameMgr" +) +) +on &29 +) +*37 (Wire +uid 2226,0 +shape (OrthoPolyLine +uid 2227,0 +va (VaSet +vasetType 3 +) +xt "52750,34000,60000,48000" +pts [ +"52750,34000" +"60000,34000" +"60000,48000" +] +) +start &25 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2230,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2231,0 +va (VaSet +font "Verdana,12,0" +) +xt "54750,32600,61150,34000" +st "encoderI" +blo "54750,33800" +tm "WireNameMgr" +) +) +on &30 +) +*38 (Wire +uid 2234,0 +shape (OrthoPolyLine +uid 2235,0 +va (VaSet +vasetType 3 +) +xt "52750,32000,62000,48000" +pts [ +"52750,32000" +"62000,32000" +"62000,48000" +] +) +start &23 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2238,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2239,0 +va (VaSet +font "Verdana,12,0" +) +xt "54750,30600,61450,32000" +st "encoderB" +blo "54750,31800" +tm "WireNameMgr" +) +) +on &31 +) +*39 (Wire +uid 2242,0 +shape (OrthoPolyLine +uid 2243,0 +va (VaSet +vasetType 3 +) +xt "52750,30000,64000,48000" +pts [ +"52750,30000" +"64000,30000" +"64000,48000" +] +) +start &21 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2246,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2247,0 +va (VaSet +font "Verdana,12,0" +) +xt "54750,28600,61450,30000" +st "encoderA" +blo "54750,29800" +tm "WireNameMgr" +) +) +on &32 +) +*40 (Wire +uid 2250,0 +shape (OrthoPolyLine +uid 2251,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "24000,30000,35250,48000" +pts [ +"35250,30000" +"24000,30000" +"24000,48000" +] +) +start &20 +end &14 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2254,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2255,0 +va (VaSet +font "Verdana,12,0" +) +xt "29250,28600,35050,30000" +st "position" +blo "29250,29800" +tm "WireNameMgr" +) +) +on &33 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *41 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +uid 1297,0 +va (VaSet +font "Verdana,12,0" +) +xt "-7000,19600,2500,21000" +st "Package List" +blo "-7000,20800" +) +*43 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,21000,10500,24600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*45 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*46 (MLText +uid 193,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,2800,30400,5400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*47 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*48 (MLText +uid 195,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*49 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*50 (MLText +uid 197,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "7,31,1381,935" +viewArea "-8320,18225,86032,82760" +cachedDiagramExtent "-7000,0,84000,83000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\SUN\\PREA309_HPLJ4050.PRINTERS.SYSTEM.SION.HEVs,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +scale 80 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 2413,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3300,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,2550,7900,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*52 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,3950,7000,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*53 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,5350,3000,6750" +st "I0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*55 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*56 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*58 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*59 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*61 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*62 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*64 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*65 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*67 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,5100,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,1400,1800,2800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,0,5900,1400" +st "Auto list" +) +second (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,1400,11800,2800" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,18500,-200" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*69 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,11000,-200" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*71 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,10,1" +) +xt "-7000,73400,1600,74600" +st "Declarations" +blo "-7000,74400" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,74600,-2800,75800" +st "Ports:" +blo "-7000,75600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,10,1" +) +xt "-7000,74600,-1000,75800" +st "Pre User:" +blo "-7000,75600" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Courier New,10,0" +) +xt "-5000,75800,19600,77000" +st "constant counterBitNb: positive := 16;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,74600,4000,75800" +st "Diagram Signals:" +blo "-7000,75600" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,74600,300,75800" +st "Post User:" +blo "-7000,75600" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,10,0" +) +xt "-5000,89000,-5000,89000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 7,0 +usingSuid 1 +emptyRow *72 (LEmptyRow +) +uid 2271,0 +optionalChildren [ +*73 (RefLabelRowHdr +) +*74 (TitleRowHdr +) +*75 (FilterRowHdr +) +*76 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*77 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*78 (GroupColHdr +tm "GroupColHdrMgr" +) +*79 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*80 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*81 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*82 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*83 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*84 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*85 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 1,0 +) +) +uid 2256,0 +) +*86 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 2258,0 +) +*87 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clear" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 2260,0 +) +*88 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderI" +t "std_ulogic" +o 5 +suid 4,0 +) +) +uid 2262,0 +) +*89 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderB" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 2264,0 +) +*90 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "encoderA" +t "std_ulogic" +o 3 +suid 6,0 +) +) +uid 2266,0 +) +*91 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "position" +t "unsigned" +b "(counterBitNb-1 DOWNTO 0)" +o 6 +suid 7,0 +) +) +uid 2268,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 2284,0 +optionalChildren [ +*92 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *93 (MRCItem +litem &72 +pos 7 +dimension 20 +) +uid 2286,0 +optionalChildren [ +*94 (MRCItem +litem &73 +pos 0 +dimension 20 +uid 2287,0 +) +*95 (MRCItem +litem &74 +pos 1 +dimension 23 +uid 2288,0 +) +*96 (MRCItem +litem &75 +pos 2 +hidden 1 +dimension 20 +uid 2289,0 +) +*97 (MRCItem +litem &85 +pos 0 +dimension 20 +uid 2257,0 +) +*98 (MRCItem +litem &86 +pos 1 +dimension 20 +uid 2259,0 +) +*99 (MRCItem +litem &87 +pos 2 +dimension 20 +uid 2261,0 +) +*100 (MRCItem +litem &88 +pos 3 +dimension 20 +uid 2263,0 +) +*101 (MRCItem +litem &89 +pos 4 +dimension 20 +uid 2265,0 +) +*102 (MRCItem +litem &90 +pos 5 +dimension 20 +uid 2267,0 +) +*103 (MRCItem +litem &91 +pos 6 +dimension 20 +uid 2269,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 2290,0 +optionalChildren [ +*104 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 2291,0 +) +*105 (MRCItem +litem &78 +pos 1 +dimension 50 +uid 2292,0 +) +*106 (MRCItem +litem &79 +pos 2 +dimension 100 +uid 2293,0 +) +*107 (MRCItem +litem &80 +pos 3 +dimension 50 +uid 2294,0 +) +*108 (MRCItem +litem &81 +pos 4 +dimension 100 +uid 2295,0 +) +*109 (MRCItem +litem &82 +pos 5 +dimension 100 +uid 2296,0 +) +*110 (MRCItem +litem &83 +pos 6 +dimension 50 +uid 2297,0 +) +*111 (MRCItem +litem &84 +pos 7 +dimension 80 +uid 2298,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 2285,0 +vaOverrides [ +] +) +] +) +uid 2270,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *112 (LEmptyRow +) +uid 2300,0 +optionalChildren [ +*113 (RefLabelRowHdr +) +*114 (TitleRowHdr +) +*115 (FilterRowHdr +) +*116 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*117 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*118 (GroupColHdr +tm "GroupColHdrMgr" +) +*119 (NameColHdr +tm "GenericNameColHdrMgr" +) +*120 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*121 (InitColHdr +tm "GenericValueColHdrMgr" +) +*122 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*123 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 2312,0 +optionalChildren [ +*124 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *125 (MRCItem +litem &112 +pos 0 +dimension 20 +) +uid 2314,0 +optionalChildren [ +*126 (MRCItem +litem &113 +pos 0 +dimension 20 +uid 2315,0 +) +*127 (MRCItem +litem &114 +pos 1 +dimension 23 +uid 2316,0 +) +*128 (MRCItem +litem &115 +pos 2 +hidden 1 +dimension 20 +uid 2317,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 2318,0 +optionalChildren [ +*129 (MRCItem +litem &116 +pos 0 +dimension 20 +uid 2319,0 +) +*130 (MRCItem +litem &118 +pos 1 +dimension 50 +uid 2320,0 +) +*131 (MRCItem +litem &119 +pos 2 +dimension 100 +uid 2321,0 +) +*132 (MRCItem +litem &120 +pos 3 +dimension 100 +uid 2322,0 +) +*133 (MRCItem +litem &121 +pos 4 +dimension 50 +uid 2323,0 +) +*134 (MRCItem +litem &122 +pos 5 +dimension 50 +uid 2324,0 +) +*135 (MRCItem +litem &123 +pos 6 +dimension 80 +uid 2325,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 2313,0 +vaOverrides [ +] +) +] +) +uid 2299,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Cursor_test/hds/position@counter_tb/symbol.sb b/Cursor_test/hds/position@counter_tb/symbol.sb new file mode 100644 index 0000000..527f03e --- /dev/null +++ b/Cursor_test/hds/position@counter_tb/symbol.sb @@ -0,0 +1,1230 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\positionCounter_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "positionCounter_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:21" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "positionCounter_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\positionCounter_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:13:21" +) +(vvPair +variable "unit" +value "positionCounter_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37350,23100" +st "Cursor_test" +blo "29950,22900" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,23100,40950,24300" +st "positionCounter_tb" +blo "29950,24100" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "32000,27600,43500,28400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41400,69600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "29250,41250,40750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47400,42300,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41400,52900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43400,42400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43400,25600,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45400,25600,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,62300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45400,45700,46600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47400,26500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "Arial,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "Arial,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,10,1" +) +xt "0,5800,3200,7000" +st "User:" +blo "0,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,7000,2000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 111,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Cursor_test/hds/position@counter_tester/interface b/Cursor_test/hds/position@counter_tester/interface new file mode 100644 index 0000000..6efaf18 --- /dev/null +++ b/Cursor_test/hds/position@counter_tester/interface @@ -0,0 +1,1737 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2028,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 149,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clear" +t "std_ulogic" +o 1 +suid 2022,0 +) +) +uid 340,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2023,0 +) +) +uid 342,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "encoderA" +t "std_ulogic" +o 3 +suid 2024,0 +) +) +uid 344,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "encoderB" +t "std_ulogic" +o 4 +suid 2025,0 +) +) +uid 346,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "encoderI" +t "std_ulogic" +o 5 +suid 2026,0 +) +) +uid 348,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "position" +t "unsigned" +b "(counterBitNb-1 DOWNTO 0)" +o 6 +suid 2027,0 +) +) +uid 350,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 2028,0 +) +) +uid 352,0 +) +] +) +pdm (PhysicalDM +uid 157,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 87,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 90,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 92,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 94,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 341,0 +) +*27 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 343,0 +) +*28 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 345,0 +) +*29 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 347,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 349,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 351,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 353,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 88,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 96,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 100,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 102,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 104,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 106,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 108,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 110,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 112,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 86,0 +vaOverrides [ +] +) +] +) +uid 148,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 159,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +*53 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "8" +) +uid 145,0 +) +] +) +pdm (PhysicalDM +uid 160,0 +optionalChildren [ +*54 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *55 (MRCItem +litem &41 +pos 3 +dimension 20 +) +uid 121,0 +optionalChildren [ +*56 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 124,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 126,0 +) +*58 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 128,0 +) +*59 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 146,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 122,0 +optionalChildren [ +*60 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 130,0 +) +*61 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 134,0 +) +*62 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 136,0 +) +*63 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 138,0 +) +*64 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 140,0 +) +*65 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 142,0 +) +*66 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 144,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 120,0 +vaOverrides [ +] +) +] +) +uid 158,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tester" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\positionCounter_tester" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "positionCounter_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "positionCounter_tester" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\position@counter_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\positionCounter_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Projects\\Levisat\\Onboard\\FPGA\\board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "08:13:22" +) +(vvPair +variable "unit" +value "positionCounter_tester" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 147,0 +optionalChildren [ +*67 (SymbolBody +uid 8,0 +optionalChildren [ +*68 (CptPort +uid 305,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 306,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 307,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 308,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,7000,25700,10800" +st "clear" +ju 2 +blo "25500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 309,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,61000,3600" +st "clear : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clear" +t "std_ulogic" +o 1 +suid 2022,0 +) +) +) +*69 (CptPort +uid 310,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 311,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 312,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 313,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,10800" +st "clock" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 314,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,61000,4400" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2023,0 +) +) +) +*70 (CptPort +uid 315,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 316,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62625,5250,63375,6000" +) +tg (CPTG +uid 317,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 318,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "62300,7000,63700,13700" +st "encoderA" +ju 2 +blo "63500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 319,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,61000,5200" +st "encoderA : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "encoderA" +t "std_ulogic" +o 3 +suid 2024,0 +) +) +) +*71 (CptPort +uid 320,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 321,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 322,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 323,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "60300,7000,61700,13700" +st "encoderB" +ju 2 +blo "61500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 324,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,61000,6000" +st "encoderB : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "encoderB" +t "std_ulogic" +o 4 +suid 2025,0 +) +) +) +*72 (CptPort +uid 325,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 326,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +tg (CPTG +uid 327,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 328,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "58300,7000,59700,13400" +st "encoderI" +ju 2 +blo "59500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 329,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6000,61000,6800" +st "encoderI : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "encoderI" +t "std_ulogic" +o 5 +suid 2026,0 +) +) +) +*73 (CptPort +uid 330,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 331,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 332,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 333,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,12800" +st "position" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 334,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,73000,2800" +st "position : IN unsigned (counterBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "position" +t "unsigned" +b "(counterBitNb-1 DOWNTO 0)" +o 6 +suid 2027,0 +) +) +) +*74 (CptPort +uid 335,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 336,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 337,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 338,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,7000,31700,11100" +st "reset" +ju 2 +blo "31500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 339,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6800,60000,7600" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 2028,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,71000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "36300,8800,43300,10000" +st "Cursor_test" +blo "36300,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "36300,10000,49700,11200" +st "positionCounter_tester" +blo "36300,11000" +) +) +gi *75 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "18000,6000,32000,8400" +st "Generic Declarations + +counterBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*76 (Grouping +uid 16,0 +optionalChildren [ +*77 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*82 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *87 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*88 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*89 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor_test" +entityName "positionCounter_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *90 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *91 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,7600,44400,8600" +st "User:" +blo "42000,8400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8600,44000,8600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 353,0 +) diff --git a/Cursor_test/hds/position@counter_tester/test.vhd b/Cursor_test/hds/position@counter_tester/test.vhd new file mode 100644 index 0000000..379645a --- /dev/null +++ b/Cursor_test/hds/position@counter_tester/test.vhd @@ -0,0 +1,57 @@ +ARCHITECTURE test OF positionCounter_tester IS + + constant clockPeriod: time := 50 ns; + signal sClock: std_uLogic := '1'; + + constant pulsesPerTurn: integer := 200; + constant stepPeriodNb: positive := 16; + signal stepEn: std_uLogic := '0'; + signal direction: std_uLogic; + signal stepCount: unsigned(10 downto 0) := (others => '0'); + +BEGIN + + ------------------------------------------------------------------------------ + -- clock and reset + -- + reset <= '1', '0' after clockPeriod/4; + + sClock <= not sClock after clockPeriod/2; + clock <= sClock after clockPeriod/10; + + ------------------------------------------------------------------------------ + -- encoder signals + -- + direction <= '1', '0' after 2000*clockPeriod; + + stepEn <= not stepEn after (stepPeriodNb/4)*clockPeriod; + + count: process (stepEn) + begin + if direction = '1' then + if stepCount < pulsesPerTurn-1 then + stepCount <= stepCount + 1; + else + stepCount <= to_unsigned(0, stepCount'length); + end if; + else + if stepCount > 0 then + stepCount <= stepCount - 1; + else + stepCount <= to_unsigned(pulsesPerTurn-1, stepCount'length); + end if; + end if; + end process count; + + encoderA <= stepCount(1); + encoderB <= stepCount(1) xor stepCount(0); + encoderI <= '1' when stepCount = pulsesPerTurn-1 else '0'; + + ------------------------------------------------------------------------------ + -- control signals + -- + clear <= '0', + '1' after 100*clockPeriod, + '0' after 101*clockPeriod; + +END test; diff --git a/Cursor_test/hds/pulse@width@modulator_tb/struct.bd b/Cursor_test/hds/pulse@width@modulator_tb/struct.bd new file mode 100644 index 0000000..56f1ef2 --- /dev/null +++ b/Cursor_test/hds/pulse@width@modulator_tb/struct.bd @@ -0,0 +1,2752 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I1" +duLibraryName "Cursor_test" +duName "pulseWidthModulator_tester" +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +mwi 0 +uid 1774,0 +) +(Instance +name "I0" +duLibraryName "Cursor" +duName "pulseWidthModulator" +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +mwi 0 +uid 2122,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "pulseWidthModulator_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "pulseWidthModulator_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "08:13:22" +) +(vvPair +variable "unit" +value "pulseWidthModulator_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Net +uid 1315,0 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 1,0 +) +declText (MLText +uid 1316,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-5000,63800,11000,65000" +st "SIGNAL reset : std_ulogic" +) +) +*2 (Net +uid 1325,0 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2,0 +) +declText (MLText +uid 1326,0 +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-5000,57800,11000,59000" +st "SIGNAL clock : std_ulogic" +) +) +*3 (Grouping +uid 1487,0 +optionalChildren [ +*4 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "47000,60000,66000,62000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "47200,60400,62600,61600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "15000,60000,41000,62000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "22250,60250,33750,61750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "20000,66000,41000,68000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "20200,66400,38600,67600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "41000,60000,47000,62000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "41200,60400,45900,61600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "20000,62000,41000,64000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "20200,62400,35400,63600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "15000,62000,20000,64000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "15200,62400,18600,63600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "15000,64000,20000,66000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "15200,64400,18600,65600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "41000,62000,66000,68000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "41200,62200,55300,63400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*12 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "20000,64000,41000,66000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "20200,64400,30100,65600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "15000,66000,20000,68000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "15200,66400,19500,67600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "15000,60000,66000,68000" +) +oxt "13000,22000,64000,30000" +) +*14 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "4000,43000,56000,51000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*15 (Text +uid 1777,0 +va (VaSet +font "Verdana,12,1" +) +xt "10600,45900,20200,47300" +st "Cursor_test" +blo "10600,47100" +tm "BdLibraryNameMgr" +) +*16 (Text +uid 1778,0 +va (VaSet +font "Verdana,12,1" +) +xt "10600,47300,32100,48700" +st "pulseWidthModulator_tester" +blo "10600,48500" +tm "BlkNameMgr" +) +*17 (Text +uid 1779,0 +va (VaSet +font "Verdana,12,1" +) +xt "10600,48700,13000,50100" +st "I1" +blo "10600,49900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +font "Courier New,9,0" +) +xt "20000,53800,44000,55000" +st "counterBitNb = counterBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +) +) +*18 (Net +uid 1963,0 +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 3,0 +) +declText (MLText +uid 1964,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,29600,16000,30400" +st "SIGNAL en : std_ulogic" +) +) +*19 (Net +uid 2092,0 +decl (Decl +n "PWM" +t "std_ulogic" +o 1 +suid 4,0 +) +declText (MLText +uid 2093,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,29600,16000,30400" +st "SIGNAL PWM : std_ulogic" +) +) +*20 (Net +uid 2094,0 +decl (Decl +n "amplitude" +t "unsigned" +b "(counterBitNb-1 DOWNTO 0)" +o 2 +suid 5,0 +) +declText (MLText +uid 2095,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,29600,28000,30400" +st "SIGNAL amplitude : unsigned(counterBitNb-1 DOWNTO 0)" +) +) +*21 (SaComponent +uid 2122,0 +optionalChildren [ +*22 (CptPort +uid 2102,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2103,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,30625,24000,31375" +) +tg (CPTG +uid 2104,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2105,0 +va (VaSet +) +xt "25000,30500,28400,31700" +st "clock" +blo "25000,31500" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +) +) +) +*23 (CptPort +uid 2106,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2107,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,24625,40750,25375" +) +tg (CPTG +uid 2108,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2109,0 +va (VaSet +) +xt "35800,24500,39000,25700" +st "PWM" +ju 2 +blo "39000,25500" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "PWM" +t "std_ulogic" +o 5 +) +) +) +*24 (CptPort +uid 2110,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2111,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,24625,24000,25375" +) +tg (CPTG +uid 2112,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2113,0 +va (VaSet +) +xt "25000,24500,30600,25700" +st "amplitude" +blo "25000,25500" +) +) +thePort (LogicalPort +decl (Decl +n "amplitude" +t "unsigned" +b "(counterBitNb-1 DOWNTO 0)" +o 1 +) +) +) +*25 (CptPort +uid 2114,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2115,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,26625,24000,27375" +) +tg (CPTG +uid 2116,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2117,0 +va (VaSet +) +xt "25000,26500,26900,27700" +st "en" +blo "25000,27500" +) +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +) +) +) +*26 (CptPort +uid 2118,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2119,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,32625,24000,33375" +) +tg (CPTG +uid 2120,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2121,0 +va (VaSet +) +xt "25000,32500,28300,33700" +st "reset" +blo "25000,33500" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +) +) +) +] +shape (Rectangle +uid 2123,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "24000,21000,40000,35000" +) +oxt "-850,0,8850,10000" +ttg (MlTextGroup +uid 2124,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*27 (Text +uid 2125,0 +va (VaSet +) +xt "24100,35000,28200,36200" +st "Cursor" +blo "24100,36000" +tm "BdLibraryNameMgr" +) +*28 (Text +uid 2126,0 +va (VaSet +) +xt "24100,36000,36000,37200" +st "pulseWidthModulator" +blo "24100,37000" +tm "CptNameMgr" +) +*29 (Text +uid 2127,0 +va (VaSet +) +xt "24100,37000,26000,38200" +st "I0" +blo "24100,38000" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2128,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2129,0 +text (MLText +uid 2130,0 +va (VaSet +font "Courier New,8,0" +) +xt "24000,38600,48000,39400" +st "counterBitNb = counterBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*30 (Wire +uid 1317,0 +shape (OrthoPolyLine +uid 1318,0 +va (VaSet +vasetType 3 +) +xt "20000,33000,23250,43000" +pts [ +"23250,33000" +"20000,33000" +"20000,43000" +] +) +start &26 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1321,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1322,0 +va (VaSet +font "Verdana,12,0" +) +xt "20000,31600,24100,33000" +st "reset" +blo "20000,32800" +tm "WireNameMgr" +) +) +on &1 +) +*31 (Wire +uid 1327,0 +shape (OrthoPolyLine +uid 1328,0 +va (VaSet +vasetType 3 +) +xt "18000,31000,23250,43000" +pts [ +"23250,31000" +"18000,31000" +"18000,43000" +] +) +start &22 +end &14 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1331,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1332,0 +va (VaSet +font "Verdana,12,0" +) +xt "20000,29600,23800,31000" +st "clock" +blo "20000,30800" +tm "WireNameMgr" +) +) +on &2 +) +*32 (Wire +uid 1965,0 +shape (OrthoPolyLine +uid 1966,0 +va (VaSet +vasetType 3 +) +xt "14000,27000,23250,43000" +pts [ +"23250,27000" +"14000,27000" +"14000,43000" +] +) +start &25 +end &14 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 1969,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1970,0 +va (VaSet +font "Verdana,12,0" +) +xt "20250,25600,22650,27000" +st "en" +blo "20250,26800" +tm "WireNameMgr" +) +) +on &18 +) +*33 (Wire +uid 2005,0 +shape (OrthoPolyLine +uid 2006,0 +va (VaSet +vasetType 3 +) +xt "40750,25000,48000,43000" +pts [ +"40750,25000" +"48000,25000" +"48000,43000" +] +) +start &23 +end &14 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 2009,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2010,0 +va (VaSet +font "Verdana,12,0" +) +xt "42750,23600,46650,25000" +st "PWM" +blo "42750,24800" +tm "WireNameMgr" +) +) +on &19 +) +*34 (Wire +uid 2096,0 +shape (OrthoPolyLine +uid 2097,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "12000,25000,23250,43000" +pts [ +"23250,25000" +"12000,25000" +"12000,43000" +] +) +start &24 +end &14 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2100,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2101,0 +va (VaSet +font "Verdana,12,0" +) +xt "16250,23600,23250,25000" +st "amplitude" +blo "16250,24800" +tm "WireNameMgr" +) +) +on &20 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *35 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 1297,0 +va (VaSet +font "Verdana,12,0" +) +xt "-7000,19600,2500,21000" +st "Package List" +blo "-7000,20800" +) +*37 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,21000,10500,24600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*38 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*39 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*40 (MLText +uid 193,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,2800,30400,5400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*41 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*42 (MLText +uid 195,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*43 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "arial,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*44 (MLText +uid 197,0 +va (VaSet +isHidden 1 +font "arial,10,0" +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "7,31,1006,760" +viewArea "-11514,16771,71424,66801" +cachedDiagramExtent "-7000,0,66000,68000" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 1077 +paperHeight 761 +windowsPaperWidth 1077 +windowsPaperHeight 761 +paperType "A4" +windowsPaperName "A4" +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 2239,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3300,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,2550,7900,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*46 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,3950,7000,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*47 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1500,5350,3000,6750" +st "I0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*49 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*50 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*52 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*53 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*55 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*56 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*58 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*59 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*61 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,5100,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,1400,1800,2800" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,0,5900,1400" +st "Auto list" +) +second (MLText +va (VaSet +font "Verdana,12,0" +) +xt "0,1400,11800,2800" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,18500,-200" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*63 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,11000,-200" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +va (VaSet +font "Verdana,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*65 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "Courier New,9,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,10,1" +) +xt "-7000,55400,1600,56600" +st "Declarations" +blo "-7000,56400" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,56600,-2800,57800" +st "Ports:" +blo "-7000,57600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,10,1" +) +xt "-7000,56600,-1000,57800" +st "Pre User:" +blo "-7000,57600" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Courier New,10,0" +) +xt "-5000,57800,19000,59000" +st "constant counterBitNb: positive := 8;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,56600,4000,57800" +st "Diagram Signals:" +blo "-7000,57600" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,10,1" +) +xt "-7000,56600,300,57800" +st "Post User:" +blo "-7000,57600" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,10,0" +) +xt "-5000,71000,-5000,71000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 5,0 +usingSuid 1 +emptyRow *66 (LEmptyRow +) +uid 2142,0 +optionalChildren [ +*67 (RefLabelRowHdr +) +*68 (TitleRowHdr +) +*69 (FilterRowHdr +) +*70 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*71 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*72 (GroupColHdr +tm "GroupColHdrMgr" +) +*73 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*74 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*75 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*76 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*77 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*78 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*79 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 1,0 +) +) +uid 2131,0 +) +*80 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 2133,0 +) +*81 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 3,0 +) +) +uid 2135,0 +) +*82 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "PWM" +t "std_ulogic" +o 1 +suid 4,0 +) +) +uid 2137,0 +) +*83 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "amplitude" +t "unsigned" +b "(counterBitNb-1 DOWNTO 0)" +o 2 +suid 5,0 +) +) +uid 2139,0 +) +] +) +pdm (PhysicalDM +uid 2155,0 +optionalChildren [ +*84 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *85 (MRCItem +litem &66 +pos 3 +dimension 20 +) +uid 2157,0 +optionalChildren [ +*86 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 2158,0 +) +*87 (MRCItem +litem &68 +pos 1 +dimension 23 +uid 2159,0 +) +*88 (MRCItem +litem &69 +pos 2 +hidden 1 +dimension 20 +uid 2160,0 +) +*89 (MRCItem +litem &79 +pos 0 +dimension 20 +uid 2132,0 +) +*90 (MRCItem +litem &80 +pos 1 +dimension 20 +uid 2134,0 +) +*91 (MRCItem +litem &81 +pos 2 +dimension 20 +uid 2136,0 +) +*92 (MRCItem +litem &82 +pos 3 +dimension 20 +uid 2138,0 +) +*93 (MRCItem +litem &83 +pos 4 +dimension 20 +uid 2140,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 2161,0 +optionalChildren [ +*94 (MRCItem +litem &70 +pos 0 +dimension 20 +uid 2162,0 +) +*95 (MRCItem +litem &72 +pos 1 +dimension 50 +uid 2163,0 +) +*96 (MRCItem +litem &73 +pos 2 +dimension 100 +uid 2164,0 +) +*97 (MRCItem +litem &74 +pos 3 +dimension 50 +uid 2165,0 +) +*98 (MRCItem +litem &75 +pos 4 +dimension 100 +uid 2166,0 +) +*99 (MRCItem +litem &76 +pos 5 +dimension 100 +uid 2167,0 +) +*100 (MRCItem +litem &77 +pos 6 +dimension 50 +uid 2168,0 +) +*101 (MRCItem +litem &78 +pos 7 +dimension 80 +uid 2169,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 2156,0 +vaOverrides [ +] +) +] +) +uid 2141,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *102 (LEmptyRow +) +uid 2171,0 +optionalChildren [ +*103 (RefLabelRowHdr +) +*104 (TitleRowHdr +) +*105 (FilterRowHdr +) +*106 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*107 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*108 (GroupColHdr +tm "GroupColHdrMgr" +) +*109 (NameColHdr +tm "GenericNameColHdrMgr" +) +*110 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*111 (InitColHdr +tm "GenericValueColHdrMgr" +) +*112 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*113 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 2183,0 +optionalChildren [ +*114 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *115 (MRCItem +litem &102 +pos 3 +dimension 20 +) +uid 2185,0 +optionalChildren [ +*116 (MRCItem +litem &103 +pos 0 +dimension 20 +uid 2186,0 +) +*117 (MRCItem +litem &104 +pos 1 +dimension 23 +uid 2187,0 +) +*118 (MRCItem +litem &105 +pos 2 +hidden 1 +dimension 20 +uid 2188,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 2189,0 +optionalChildren [ +*119 (MRCItem +litem &106 +pos 0 +dimension 20 +uid 2190,0 +) +*120 (MRCItem +litem &108 +pos 1 +dimension 50 +uid 2191,0 +) +*121 (MRCItem +litem &109 +pos 2 +dimension 100 +uid 2192,0 +) +*122 (MRCItem +litem &110 +pos 3 +dimension 100 +uid 2193,0 +) +*123 (MRCItem +litem &111 +pos 4 +dimension 50 +uid 2194,0 +) +*124 (MRCItem +litem &112 +pos 5 +dimension 50 +uid 2195,0 +) +*125 (MRCItem +litem &113 +pos 6 +dimension 80 +uid 2196,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 2184,0 +vaOverrides [ +] +) +] +) +uid 2170,0 +type 1 +) +) diff --git a/Cursor_test/hds/pulse@width@modulator_tb/symbol.sb b/Cursor_test/hds/pulse@width@modulator_tb/symbol.sb new file mode 100644 index 0000000..a1c48d3 --- /dev/null +++ b/Cursor_test/hds/pulse@width@modulator_tb/symbol.sb @@ -0,0 +1,1226 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "pulseWidthModulator_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:21" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "pulseWidthModulator_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:13:21" +) +(vvPair +variable "unit" +value "pulseWidthModulator_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37350,23100" +st "Cursor_test" +blo "29950,22900" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,23100,44150,24300" +st "pulseWidthModulator_tb" +blo "29950,24100" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "32000,27600,43500,28400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41400,69600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "29250,41250,40750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47400,42300,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41400,52900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43400,42400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43400,25600,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45400,25600,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,62300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45400,37100,46600" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47400,26500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "Arial,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "Arial,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "arial,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,10,1" +) +xt "0,5800,3200,7000" +st "User:" +blo "0,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,7000,2000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 111,0 +) diff --git a/Cursor_test/hds/pulse@width@modulator_tester/interface b/Cursor_test/hds/pulse@width@modulator_tester/interface new file mode 100644 index 0000000..21374a8 --- /dev/null +++ b/Cursor_test/hds/pulse@width@modulator_tester/interface @@ -0,0 +1,1575 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2015,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 187,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "amplitude" +t "unsigned" +b "(counterBitNb-1 DOWNTO 0)" +o 2 +suid 2011,0 +) +) +uid 280,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2012,0 +) +) +uid 282,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 2013,0 +) +) +uid 284,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "PWM" +t "std_ulogic" +o 1 +suid 2014,0 +) +) +uid 286,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 2015,0 +) +) +uid 288,0 +) +] +) +pdm (PhysicalDM +uid 193,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 128,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 131,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 133,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 135,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 281,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 283,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 285,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 287,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 289,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 129,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 137,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 141,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 143,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 145,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 147,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 149,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 151,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 153,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 127,0 +vaOverrides [ +] +) +] +) +uid 186,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 195,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "8" +) +uid 184,0 +) +] +) +pdm (PhysicalDM +uid 196,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 3 +dimension 20 +) +uid 160,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 163,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 165,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 167,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 185,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 161,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 169,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 173,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 175,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 177,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 179,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 181,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 183,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 159,0 +vaOverrides [ +] +) +] +) +uid 194,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tester" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tester" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "pulseWidthModulator_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "08:13:22" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Cursor_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Cursor_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "pulseWidthModulator_tester" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulse@width@modulator_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\..\\Cursor_test\\hds\\pulseWidthModulator_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "08:13:22" +) +(vvPair +variable "unit" +value "pulseWidthModulator_tester" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 76,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 255,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 256,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 257,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 258,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,14000" +st "amplitude" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 259,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2800,73500,3600" +st "amplitude : OUT unsigned (counterBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "amplitude" +t "unsigned" +b "(counterBitNb-1 DOWNTO 0)" +o 2 +suid 2011,0 +) +) +) +*65 (CptPort +uid 260,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 261,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 262,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 263,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,10800" +st "clock" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 264,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3600,61500,4400" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 3 +suid 2012,0 +) +) +) +*66 (CptPort +uid 265,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 266,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 267,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 268,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,7000,25700,9400" +st "en" +ju 2 +blo "25500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 269,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4400,61500,5200" +st "en : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "en" +t "std_ulogic" +o 4 +suid 2013,0 +) +) +) +*67 (CptPort +uid 270,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 271,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +tg (CPTG +uid 272,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 273,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "58300,7000,59700,10900" +st "PWM" +ju 2 +blo "59500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 274,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2000,61500,2800" +st "PWM : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "PWM" +t "std_ulogic" +o 1 +suid 2014,0 +) +) +) +*68 (CptPort +uid 275,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 276,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 277,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 278,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,7000,31700,11100" +st "reset" +ju 2 +blo "31500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 279,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5200,60500,6000" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 2015,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,67000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "32900,8800,39900,10000" +st "Cursor_test" +blo "32900,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "32900,10000,49100,11200" +st "pulseWidthModulator_tester" +blo "32900,11000" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "16000,6000,30000,8400" +st "Generic Declarations + +counterBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*70 (Grouping +uid 16,0 +optionalChildren [ +*71 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*72 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*73 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*74 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*76 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 49,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,895,750" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Courier New,9,0" +) +xt "200,200,2700,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Cursor_test" +entityName "pulseWidthModulator_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,3600,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "42000,6000,44400,7000" +st "User:" +blo "42000,6800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7000,44000,7000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 289,0 +) diff --git a/Cursor_test/hds/pulse@width@modulator_tester/test.vhd b/Cursor_test/hds/pulse@width@modulator_tester/test.vhd new file mode 100644 index 0000000..3a8cb5d --- /dev/null +++ b/Cursor_test/hds/pulse@width@modulator_tester/test.vhd @@ -0,0 +1,29 @@ +ARCHITECTURE test OF pulseWidthModulator_tester IS + + constant clockPeriod: time := 50 ns; + signal sClock: std_uLogic := '1'; + + constant enPeriodNb: positive := 3; + signal sEn: std_uLogic := '0'; + +BEGIN + + ------------------------------------------------------------------------------ + -- clock and reset + -- + reset <= '1', '0' after clockPeriod/4; + + sClock <= not sClock after clockPeriod/2; + clock <= sClock after clockPeriod/10; + + ------------------------------------------------------------------------------ + -- control signals + -- + amplitude <= to_unsigned( 64, amplitude'length), + to_unsigned(128, amplitude'length) after 10*256*enPeriodNb*clockPeriod, + to_unsigned(192, amplitude'length) after 20*256*enPeriodNb*clockPeriod; + + sEn <= '1' after (enPeriodNb-1)*clockPeriod when sEn = '0' else '0' after clockPeriod; + en <= sEn; + +END test; diff --git a/LICENSE b/LICENSE new file mode 100644 index 0000000..fdf8bfa --- /dev/null +++ b/LICENSE @@ -0,0 +1,11 @@ +All Rights Reserved + +Copyright (c) 2019 - HES-SO Valais Wallis + +THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN +THE SOFTWARE. \ No newline at end of file diff --git a/Libs/Common/hdl/blinker_arch.vhd b/Libs/Common/hdl/blinker_arch.vhd new file mode 100644 index 0000000..3d29f7e --- /dev/null +++ b/Libs/Common/hdl/blinker_arch.vhd @@ -0,0 +1,89 @@ +-- filename: blinker.vhd +-- kind: vhdl file +-- first created: 18.06.2012 +-- created by: zas +-------------------------------------------------------------------------------- +-- History: +-- v0.1 : zas 18.06.2012 -- Initial Version +-------------------------------------------------------------------------------- +-- Description: +-- For let blinking a LED with an signal event +-- Mode = 0 (reactive on rising edge) +-- ___________________________________________ +-- input ____/ +-- ___________________ +-- output ____/ \_______________________ +-- time 0s 0.5s 1s +-- +-- _ +-- input ____/ \_________________________________________ +-- ___________________ +-- output ____/ \_______________________ +-- time 0s 0.5s 1s +---- +-- Mode = 1 (reactive on falling edge) +-- _____ +-- input \__________________________________________ +-- ___________________ +-- output ______/ \_____________________ +-- time 0s 0.5s 1s +-- +-- _ +-- input ____/ \_________________________________________ +-- ___________________ +-- output ______ / \____________________ +-- time 0s 0.5s 1s +-- +-------------------------------------------------------------------------------- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.NUMERIC_STD.all; + +LIBRARY Common; +USE Common.CommonLib.all; + + +ARCHITECTURE arch OF blinker IS + + constant c : integer := clockFrequency/2; -- 500ms blink + + signal cnt : unsigned(requiredBitNb(c)-1 downto 0); + signal en_delay : std_ulogic; + signal blink_int : std_ulogic; + +BEGIN + + process(reset, clock) + begin + if reset = '1' then + en_delay <= '0'; + blink_int <= '0'; + cnt <= (others => '0'); + elsif rising_edge(clock) then + en_delay <= en; + -- detect rising_edge + if mode = 0 then + if blink_int = '0' and en_delay = '0' and en = '1' then + blink_int <= '1'; + end if; + else + -- detect falling edge + if blink_int = '0' and en_delay = '1' and en = '0' then + blink_int <= '1'; + end if; + end if; + -- blink + if blink_int = '1' then + if (cnt < c) then + cnt <= cnt + 1; + else + cnt <= (others => '0'); + blink_int <= '0'; + end if; + end if; + end if; + end process; + + -- Set output + blink <= blink_int; +END ARCHITECTURE arch; diff --git a/Libs/Common/hdl/commonLib.vhd b/Libs/Common/hdl/commonLib.vhd new file mode 100644 index 0000000..f56b0e9 --- /dev/null +++ b/Libs/Common/hdl/commonLib.vhd @@ -0,0 +1,68 @@ +-------------------------------------------------------------------------------- +-- Copyright 2012 HES-SO Valais Wallis (www.hevs.ch) +-------------------------------------------------------------------------------- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program IS distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- You should have received a copy of the GNU General Public License along with +-- this program. If not, see +-- ----------------------------------------------------------------------------- +-- Common Lib +-- +-- ----------------------------------------------------------------------------- +-- Authors: +-- cof: [François Corthay](francois.corthay@hevs.ch) +-- guo: [Oliver A. Gubler](oliver.gubler@hevs.ch) +-- ----------------------------------------------------------------------------- +-- Changelog: +-- 2016-06 : guo +-- added function sel +-- 2015-06 : guo +-- added counterBitNb +-- added documentation +-- ----------------------------------------------------------------------------- +library IEEE; + use IEEE.std_logic_1164.all; + use IEEE.numeric_std.all; + +PACKAGE CommonLib IS + + ------------------------------------------------------------------------------ + -- Returns the number of bits needed to represent the given val + -- Examples: + -- requiredBitNb(1) = 1 (1) + -- requiredBitNb(2) = 2 (10) + -- requiredBitNb(3) = 2 (11) + function requiredBitNb(val : integer) return integer; + + ------------------------------------------------------------------------------ + -- Returns the number of bits needed to count val times (0 to val-1) + -- Examples: + -- counterBitNb(1) = 1 (0) + -- counterBitNb(2) = 1 (0->1) + -- counterBitNb(3) = 2 (0->1->10) + function counterBitNb(val : integer) return integer; + + ------------------------------------------------------------------------------ + -- Functions to return one or the other input based on a boolean. + -- Can be used to build conditional constants. + -- Example: + -- constant bonjour_c : string := sel(ptpRole = master, "fpga20", "fpga02"); + function sel(Cond : BOOLEAN; If_True, If_False : integer) + return integer; + function sel(Cond : BOOLEAN; If_True, If_False : string) + return string; + function sel(Cond : BOOLEAN; If_True, If_False : std_ulogic_vector) + return std_ulogic_vector; + function sel(Cond : BOOLEAN; If_True, If_False : unsigned) + return unsigned; + function sel(Cond : BOOLEAN; If_True, If_False : signed) + return signed; + +END CommonLib; diff --git a/Libs/Common/hdl/commonLib_body.vhd b/Libs/Common/hdl/commonLib_body.vhd new file mode 100644 index 0000000..34bda09 --- /dev/null +++ b/Libs/Common/hdl/commonLib_body.vhd @@ -0,0 +1,105 @@ +-------------------------------------------------------------------------------- +-- Copyright 2012 HES-SO Valais Wallis (www.hevs.ch) +-------------------------------------------------------------------------------- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program IS distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- You should have received a copy of the GNU General Public License along with +-- this program. If not, see +-- ----------------------------------------------------------------------------- +-- Often used functions +-- +-- ----------------------------------------------------------------------------- +-- Authors: +-- cof: [François Corthay](francois.corthay@hevs.ch) +-- guo: [Oliver A. Gubler](oliver.gubler@hevs.ch) +-- ----------------------------------------------------------------------------- +-- Changelog: +-- 2016-06 : guo +-- added function sel +-- 2015-06 : guo +-- added counterBitNb +-- ----------------------------------------------------------------------------- +PACKAGE BODY CommonLib IS + + function requiredBitNb (val : integer) return integer is + variable powerOfTwo, bitNb : integer; + begin + powerOfTwo := 1; + bitNb := 0; + while powerOfTwo <= val loop + powerOfTwo := 2 * powerOfTwo; + bitNb := bitNb + 1; + end loop; + return bitNb; + end requiredBitNb; + + function counterBitNb (val : integer) return integer is + variable powerOfTwo, bitNb : integer; + begin + powerOfTwo := 1; + bitNb := 0; + while powerOfTwo < val loop + powerOfTwo := 2 * powerOfTwo; + bitNb := bitNb + 1; + end loop; + return bitNb; + end counterBitNb; + + function sel(Cond : BOOLEAN; If_True, If_False : integer) + return integer is + begin + if (Cond = TRUE) then + return (If_True); + else + return (If_False); + end if; + end function sel; + + function sel(Cond : BOOLEAN; If_True, If_False : string) + return string is + begin + if (Cond = TRUE) then + return (If_True); + else + return (If_False); + end if; + end function sel; + + function sel(Cond : BOOLEAN; If_True, If_False : std_ulogic_vector) + return std_ulogic_vector is + begin + if (Cond = TRUE) then + return (If_True); + else + return (If_False); + end if; + end function sel; + + function sel(Cond : BOOLEAN; If_True, If_False : unsigned) + return unsigned is + begin + if (Cond = TRUE) then + return (If_True); + else + return (If_False); + end if; + end function sel; + + function sel(Cond : BOOLEAN; If_True, If_False : signed) + return signed is + begin + if (Cond = TRUE) then + return (If_True); + else + return (If_False); + end if; + end function sel; + +END CommonLib; diff --git a/Libs/Common/hdl/debouncerULogicVector_RTL.vhd b/Libs/Common/hdl/debouncerULogicVector_RTL.vhd new file mode 100644 index 0000000..734485e --- /dev/null +++ b/Libs/Common/hdl/debouncerULogicVector_RTL.vhd @@ -0,0 +1,97 @@ +-- filename: debouncer.vhd +-- kind: vhdl file +-- first created: 05.03.2012 +-- created by: zas +-------------------------------------------------------------------------------- +-- History: +-- v0.1 : zas 05.03.2012 -- Initial Version +-- v0.2 : cof 22.01.2013 -- synchronization to clock +-------------------------------------------------------------------------------- +-- Description: +-- Debounces a button on both edges. +-- _ _ ____________________ _ _ +-- input ____/ \_/ \_/ \_/ \_/ \______ +-- _____________________________ +-- output _____/ \____________ +-- +-------------------------------------------------------------------------------- + +ARCHITECTURE rtl OF debouncerULogicVector IS + + signal inputNormal : std_ulogic_vector(input'range); + signal inputSynch, inputDelayed, inputChanged : std_ulogic; + signal debounceCounter : unsigned(counterBitNb-1 downto 0); + +BEGIN + ------------------------------------------------------------------------------ + -- adapt polarity + adaptPolarity: process(input) + begin + for index in input'range loop + inputNormal(index) <= input(index) xor invertInput; + end loop; + end process adaptPolarity; + + ------------------------------------------------------------------------------ + -- Synchronize input to clock + synchInput: process(reset, clock) + variable inputOr : std_ulogic; + begin + if reset = '1' then + inputSynch <= '0'; + elsif rising_edge(clock) then + inputOr := '0'; + for index in input'range loop + inputOr := inputOr or inputNormal(index); + end loop; + inputSynch <= inputOr; + end if; + end process synchInput; + + ------------------------------------------------------------------------------ + -- Find edge on input + delayInput: process(reset, clock) + begin + if reset = '1' then + inputDelayed <= '0'; + elsif rising_edge(clock) then + inputDelayed <= inputSynch; + end if; + end process delayInput; + + inputChanged <= '1' when inputDelayed /= inputSynch + else '0'; + + ------------------------------------------------------------------------------ + -- Debounce counter + countDeadTime: process(reset, clock) + begin + if reset = '1' then + debounceCounter <= (others => '0'); + elsif rising_edge(clock) then + if debounceCounter = 0 then + if inputChanged = '1' then + debounceCounter <= debounceCounter - 1; + end if; + else + debounceCounter <= debounceCounter - 1; + end if; + end if; + end process countDeadTime; + + ------------------------------------------------------------------------------ + -- Update output + updateOutput: process(reset, clock) + begin + if reset = '1' then + debounced <= (others => '0'); + elsif rising_edge(clock) then + if (inputChanged = '1') and (debounceCounter = 0) then + debounced <= inputNormal; + elsif debounceCounter = 1 then + debounced <= inputNormal; + end if; + end if; + end process updateOutput; + +END ARCHITECTURE rtl; diff --git a/Libs/Common/hdl/debouncer_RTL.vhd b/Libs/Common/hdl/debouncer_RTL.vhd new file mode 100644 index 0000000..a74c383 --- /dev/null +++ b/Libs/Common/hdl/debouncer_RTL.vhd @@ -0,0 +1,83 @@ +-- filename: debouncer.vhd +-- kind: vhdl file +-- first created: 05.03.2012 +-- created by: zas +-------------------------------------------------------------------------------- +-- History: +-- v0.1 : zas 05.03.2012 -- Initial Version +-- v0.2 : cof 22.01.2013 -- synchronization to clock +-- -- direct reaction on both edges +-------------------------------------------------------------------------------- +-- Description: +-- Debounces a button on both edges. +-- _ _ ____________________ _ _ +-- input ____/ \_/ \_/ \_/ \_/ \______ +-- _____________________________ +-- output _____/ \____________ +-- +-------------------------------------------------------------------------------- + +ARCHITECTURE rtl OF debouncer IS + + signal debounceCounter : unsigned(counterBitNb-1 downto 0); + signal inputSynch, inputDelayed, inputChanged : std_ulogic; + +BEGIN + ------------------------------------------------------------------------------ + -- Synchronize input to clock + synchInput: process(reset, clock) + begin + if reset = '1' then + inputSynch <= '0'; + elsif rising_edge(clock) then + inputSynch <= input xor invertInput; + end if; + end process synchInput; + + ------------------------------------------------------------------------------ + -- Find edge on input + delayInput: process(reset, clock) + begin + if reset = '1' then + inputDelayed <= '0'; + elsif rising_edge(clock) then + inputDelayed <= inputSynch; + end if; + end process delayInput; + + inputChanged <= '1' when inputDelayed /= inputSynch + else '0'; + + ------------------------------------------------------------------------------ + -- Debounce counter + countDeadTime: process(reset, clock) + begin + if reset = '1' then + debounceCounter <= (others => '0'); + elsif rising_edge(clock) then + if debounceCounter = 0 then + if inputChanged = '1' then + debounceCounter <= debounceCounter - 1; + end if; + else + debounceCounter <= debounceCounter - 1; + end if; + end if; + end process countDeadTime; + + ------------------------------------------------------------------------------ + -- Update output + updateOutput: process(reset, clock) + begin + if reset = '1' then + debounced <= '0'; + elsif rising_edge(clock) then + if (inputChanged = '1') and (debounceCounter = 0) then + debounced <= input; + elsif debounceCounter = 1 then + debounced <= input; + end if; + end if; + end process updateOutput; + +END ARCHITECTURE rtl; diff --git a/Libs/Common/hdl/edgeDetector_rtl.vhd b/Libs/Common/hdl/edgeDetector_rtl.vhd new file mode 100644 index 0000000..a9ae99a --- /dev/null +++ b/Libs/Common/hdl/edgeDetector_rtl.vhd @@ -0,0 +1,48 @@ +-------------------------------------------------------------------------------- +-- Copyright 2014 HES-SO Valais Wallis (www.hevs.ch) +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program IS distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- You should have received a copy of the GNU General Public License along with +-- this program. If not, see +-------------------------------------------------------------------------------- +-- EdgeDetector +-- Detect rising and falling edges of a signal. +-- +-------------------------------------------------------------------------------- +-- History: +-- v0.1 : guo 2014-04-02 -- Initial version +-- v1.0 : cof 2019-10-02 -- Updated symbol +-------------------------------------------------------------------------------- +ARCHITECTURE RTL OF edgeDetector IS + + SIGNAL pulse_delayed : std_ulogic; + SIGNAL rising_detected_s : std_ulogic; + SIGNAL falling_detected_s : std_ulogic; + +BEGIN + + -- delay pulse + reg : PROCESS (reset, clock) + BEGIN + IF reset = '1' THEN + pulse_delayed <= '0'; + ELSIF rising_edge(clock) THEN + pulse_delayed <= pulse; + END IF; + END PROCESS reg ; + + -- edge detection + rising <= '1' when (pulse = '1') and (pulse_delayed = '0') + else '0'; + falling <= '1' when (pulse = '0') and (pulse_delayed = '1') + else '0'; + +END ARCHITECTURE RTL; diff --git a/Libs/Common/hdl/rotaryToUnsigned_rtl.vhd b/Libs/Common/hdl/rotaryToUnsigned_rtl.vhd new file mode 100644 index 0000000..8f1a378 --- /dev/null +++ b/Libs/Common/hdl/rotaryToUnsigned_rtl.vhd @@ -0,0 +1,76 @@ +ARCHITECTURE rtl OF rotaryToUnsigned IS + + signal rotaryDelayed1, rotaryDelayed2, rotaryStable : unsigned(rotary'range); + signal rotary_changed : std_ulogic; + signal glitchDelayCounter : unsigned(counterBitNb-1 downto 0); + signal rotaryStableDelayed : unsigned(rotary'range); + signal numberMsbs : unsigned(number'length-rotary'length-1 downto 0); + +BEGIN + ------------------------------------------------------------------------------ + -- synchronize input and detect changes + delayRotary: process(reset, clock) + begin + if reset = '1' then + rotaryDelayed1 <= (others => '0'); + rotaryDelayed2 <= (others => '0'); + elsif rising_edge(clock) then + rotaryDelayed1 <= rotary; + rotaryDelayed2 <= rotaryDelayed1; + end if; + end process delayRotary; + + rotary_changed <= '1' when rotaryDelayed1 /= rotaryDelayed2 + else '0'; + -- count dead time + countDeadTime: process(reset, clock) + begin + if reset = '1' then + glitchDelayCounter <= (others => '1'); + elsif rising_edge(clock) then + if rotary_changed = '1' then + glitchDelayCounter <= (others => '1'); + elsif glitchDelayCounter > 0 then + glitchDelayCounter <= glitchDelayCounter - 1; + end if; + end if; + end process countDeadTime; + -- store new rotary button value + storeRotary: process(reset, clock) + begin + if reset = '1' then + rotaryStable <= (others => '0'); + elsif rising_edge(clock) then + if glitchDelayCounter = 0 then + rotaryStable <= rotaryDelayed2; + end if; + end if; + end process storeRotary; + + ------------------------------------------------------------------------------ + -- keep previous value of stablilzed rotary + delayRotaryStable: process(reset, clock) + begin + if reset = '1' then + rotaryStableDelayed <= (others => '0'); + elsif rising_edge(clock) then + rotaryStableDelayed <= rotaryStable; + end if; + end process delayRotaryStable; + -- synchronize input and detect changes + updateMsbs: process(reset, clock) + begin + if reset = '1' then + numberMsbs <= (others => '0'); + elsif rising_edge(clock) then + if (rotaryStable = 0) and (rotaryStableDelayed+1 = 0) then + numberMsbs <= numberMsbs + 1; + elsif (rotaryStable+1 = 0) and (rotaryStableDelayed = 0) then + numberMsbs <= numberMsbs - 1; + end if; + end if; + end process updateMsbs; + + number <= numberMsbs & rotaryStableDelayed; + +END ARCHITECTURE rtl; diff --git a/Libs/Common/hdl/spikeFilter_RTL.vhd b/Libs/Common/hdl/spikeFilter_RTL.vhd new file mode 100644 index 0000000..b176aa8 --- /dev/null +++ b/Libs/Common/hdl/spikeFilter_RTL.vhd @@ -0,0 +1,82 @@ +-------------------------------------------------------------------------------- +-- Description: +-- Filters short time spikes. +-- _ _ ____________________ _ _ +-- input ____/ \_/ \_/ \_/ \_/ \_________________ +-- _____________________________ +-- output ________________/ \____________ +-- +-------------------------------------------------------------------------------- + +ARCHITECTURE rtl OF spikeFilter IS + + signal filterCounter : unsigned(counterBitNb-1 downto 0); + signal inputSynch, inputDelayed, inputChanged : std_ulogic; + +BEGIN + ------------------------------------------------------------------------------ + -- Synchronize input to clock + synchInput: process(reset, clock) + begin + if reset = '1' then + inputSynch <= '0'; + elsif rising_edge(clock) then + inputSynch <= input xor invertInput; + end if; + end process synchInput; + + ------------------------------------------------------------------------------ + -- Find edge on input + delayInput: process(reset, clock) + begin + if reset = '1' then + inputDelayed <= '0'; + elsif rising_edge(clock) then + inputDelayed <= inputSynch; + end if; + end process delayInput; + + inputChanged <= '1' when inputDelayed /= inputSynch + else '0'; + + ------------------------------------------------------------------------------ + -- Debounce counter + countDeadTime: process(reset, clock) + begin + if reset = '1' then + filterCounter <= (others => '0'); + elsif rising_edge(clock) then + if filterCounter = 0 then + if inputChanged = '1' then + filterCounter <= filterCounter + 1; + end if; + elsif signed(filterCounter)+1 = 0 then + if inputChanged = '1' then + filterCounter <= filterCounter - 1; + end if; + else + if inputSynch = '0' then + filterCounter <= filterCounter - 1; + else + filterCounter <= filterCounter + 1; + end if; + end if; + end if; + end process countDeadTime; + + ------------------------------------------------------------------------------ + -- Update output + updateOutput: process(reset, clock) + begin + if reset = '1' then + filtered <= '0'; + elsif rising_edge(clock) then + if filterCounter = 0 then + filtered <= '0'; + elsif signed(filterCounter)+1 = 0 then + filtered <= '1'; + end if; + end if; + end process updateOutput; + +END ARCHITECTURE rtl; diff --git a/Libs/Common/hdl/toggler_RTL.vhd b/Libs/Common/hdl/toggler_RTL.vhd new file mode 100644 index 0000000..1b47128 --- /dev/null +++ b/Libs/Common/hdl/toggler_RTL.vhd @@ -0,0 +1,90 @@ +-- filename: toggler.vhd +-- kind: vhdl file +-- first created: 05.03.2012 +-- created by: zas +-------------------------------------------------------------------------------- +-- History: +-- v0.1 : cof 22.01.2013 -- Initial version +-------------------------------------------------------------------------------- +-- Description: +-- Debounces a button on both edges. +-- _ _ +-- input ____/ \__________________________/ \____________ +-- _____________________________ +-- output _____/ \____________ +-- +-- If the generic "counterBitNb" is greater than zero, a debouncer is placed on +-- the input signal. +-- +-------------------------------------------------------------------------------- + +ARCHITECTURE rtl OF toggler IS + + signal inputDebounced : std_ulogic; + signal inputDelayed, inputChangedTo1 : std_ulogic; + signal toggle_int : std_ulogic; + + COMPONENT debouncer + GENERIC ( + counterBitNb : positive := 18; + invertInput : std_ulogic := '0' + ); + PORT ( + reset : IN std_ulogic ; + clock : IN std_ulogic ; + input : IN std_ulogic ; + debounced : OUT std_ulogic + ); + END COMPONENT; + +BEGIN + ------------------------------------------------------------------------------ + -- Debounce input + useInputDirectly: if counterBitNb = 0 generate + inputDebounced <= input; + end generate useInputDirectly; + + debounceInput: if counterBitNb > 0 generate + I_debouncer : debouncer + GENERIC MAP ( + counterBitNb => counterBitNb, + invertInput => invertInput + ) + PORT MAP ( + reset => reset, + clock => clock, + input => input, + debounced => inputDebounced + ); + end generate debounceInput; + + ------------------------------------------------------------------------------ + -- Find edge on input + delayInput: process(reset, clock) + begin + if reset = '1' then + inputDelayed <= '0'; + elsif rising_edge(clock) then + inputDelayed <= inputDebounced; + end if; + end process delayInput; + + inputChangedTo1 <= '1' when (inputDebounced = '1') and (inputDelayed = '0') + else '0'; + + ------------------------------------------------------------------------------ + -- Toggle output + toggleOutput: process(reset, clock) + begin + if reset = '1' then + toggle_int <= '0'; + elsif rising_edge(clock) then + if inputChangedTo1 = '1' then + toggle_int <= not toggle_int; + end if; + end if; + end process toggleOutput; + + toggle <= toggle_int; + +END ARCHITECTURE rtl; diff --git a/Libs/Common/hds/.hdlsidedata/_blinker_arch.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_blinker_arch.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_blinker_arch.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_blinker_entity.vhg._fpf b/Libs/Common/hds/.hdlsidedata/_blinker_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_blinker_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_commonLib.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_commonLib.vhd._fpf new file mode 100644 index 0000000..2acce3d --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_commonLib.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_87 diff --git a/Libs/Common/hds/.hdlsidedata/_commonLib_body.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_commonLib_body.vhd._fpf new file mode 100644 index 0000000..2acce3d --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_commonLib_body.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_87 diff --git a/Libs/Common/hds/.hdlsidedata/_debounce_rtl.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_debounce_rtl.vhd._fpf new file mode 100644 index 0000000..19d6635 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_debounce_rtl.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2002 diff --git a/Libs/Common/hds/.hdlsidedata/_debouncerULogicVector_RTL.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_debouncerULogicVector_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_debouncerULogicVector_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_debouncer_RTL.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_debouncer_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_debouncer_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_debouncer_entity.vhg._fpf b/Libs/Common/hds/.hdlsidedata/_debouncer_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_debouncer_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_debouncerulogicvector_entity.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_debouncerulogicvector_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_debouncerulogicvector_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_debouncerulogicvector_entity.vhg._fpf b/Libs/Common/hds/.hdlsidedata/_debouncerulogicvector_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_debouncerulogicvector_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_edgeDetector_rtl.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_edgeDetector_rtl.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_edgeDetector_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_edgedetector_entity.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_edgedetector_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_edgedetector_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_rotaryToUnsigned_rtl.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_rotaryToUnsigned_rtl.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_rotaryToUnsigned_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_rotarytounsigned_entity.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_rotarytounsigned_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_rotarytounsigned_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_spikeFilter_RTL.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_spikeFilter_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_spikeFilter_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_spikefilter_entity.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_spikefilter_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_spikefilter_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_spikefilter_entity.vhg._fpf b/Libs/Common/hds/.hdlsidedata/_spikefilter_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_spikefilter_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_toggler_RTL.vhd._fpf b/Libs/Common/hds/.hdlsidedata/_toggler_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_toggler_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/.hdlsidedata/_toggler_entity.vhg._fpf b/Libs/Common/hds/.hdlsidedata/_toggler_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common/hds/.hdlsidedata/_toggler_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common/hds/_blinker._epf b/Libs/Common/hds/_blinker._epf new file mode 100644 index 0000000..87ea3e1 --- /dev/null +++ b/Libs/Common/hds/_blinker._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom arch +DEFAULT_FILE atom blinker_arch.vhd diff --git a/Libs/Common/hds/_debounce._epf b/Libs/Common/hds/_debounce._epf new file mode 100644 index 0000000..ec8784d --- /dev/null +++ b/Libs/Common/hds/_debounce._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom rtl +DEFAULT_FILE atom debounce_rtl.vhd diff --git a/Libs/Common/hds/_debouncer._epf b/Libs/Common/hds/_debouncer._epf new file mode 100644 index 0000000..641b0cc --- /dev/null +++ b/Libs/Common/hds/_debouncer._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom debouncer_RTL.vhd +DEFAULT_ARCHITECTURE atom rtl diff --git a/Libs/Common/hds/_edgedetector._epf b/Libs/Common/hds/_edgedetector._epf new file mode 100755 index 0000000..218e0ce --- /dev/null +++ b/Libs/Common/hds/_edgedetector._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom edgeDetector_rtl.vhd diff --git a/Libs/Common/hds/_rotarytounsigned._epf b/Libs/Common/hds/_rotarytounsigned._epf new file mode 100755 index 0000000..7c92a28 --- /dev/null +++ b/Libs/Common/hds/_rotarytounsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom rotaryToUnsigned_rtl.vhd +DEFAULT_ARCHITECTURE atom rtl diff --git a/Libs/Common/hds/_toggler._epf b/Libs/Common/hds/_toggler._epf new file mode 100644 index 0000000..ee14298 --- /dev/null +++ b/Libs/Common/hds/_toggler._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom toggler_RTL.vhd +DEFAULT_ARCHITECTURE atom rtl diff --git a/Libs/Common/hds/blinker/symbol.sb b/Libs/Common/hds/blinker/symbol.sb new file mode 100644 index 0000000..887db7c --- /dev/null +++ b/Libs/Common/hds/blinker/symbol.sb @@ -0,0 +1,1557 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +(DmPackageRef +library "Common" +unitName "CommonLib" +) +] +libraryRefs [ +"ieee" +"Common" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 5,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 108,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 110,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "blink" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 112,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 133,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 109,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 111,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 113,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 134,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "clockFrequency" +type "positive" +value "106e6" +e "in Hz" +) +uid 131,0 +) +*48 (LogGeneric +generic (GiElement +name "mode" +type "integer" +value "0" +) +uid 165,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 96,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 132,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 166,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 103,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/blinker/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/blinker/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/blinker" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/blinker" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "blinker" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:32" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "blinker" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/blinker/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/blinker/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:32" +) +(vvPair +variable "unit" +value "blinker" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 116,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 117,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,9625,15000,10375" +) +tg (CPTG +uid 118,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 119,0 +va (VaSet +) +xt "16000,9500,18100,10500" +st "clock" +blo "16000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,58500,2900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*65 (CptPort +uid 121,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 122,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,7625,15000,8375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 124,0 +va (VaSet +) +xt "16000,7500,17200,8500" +st "en" +blo "16000,8300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 125,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,58500,3800" +st "en : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "en" +t "std_ulogic" +o 3 +suid 2,0 +) +) +) +*66 (CptPort +uid 126,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 127,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,7625,23750,8375" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 129,0 +va (VaSet +) +xt "20100,7500,22000,8500" +st "blink" +ju 2 +blo "22000,8300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 130,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,57500,5600" +st "blink : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "blink" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*67 (CptPort +uid 135,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 136,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 137,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 138,0 +va (VaSet +) +xt "16000,10500,18100,11500" +st "reset" +blo "16000,11300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 139,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,58500,4700" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,13000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "15200,13000,18200,13900" +st "Common" +blo "15200,13700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "15200,13900,18700,14800" +st "blinker" +blo "15200,14600" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "15000,15600,34500,19200" +st "Generic Declarations + +clockFrequency positive 106e6 --in Hz +mode integer 0 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "clockFrequency" +type "positive" +value "106e6" +e "in Hz" +) +(GiElement +name "mode" +type "integer" +value "0" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*69 (Grouping +uid 16,0 +optionalChildren [ +*70 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,49400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*82 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17400,7000" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.NUMERIC_STD.all; + +LIBRARY Common; +USE Common.CommonLib.all;" +tm "PackageList" +) +] +) +windowSize "36,125,1057,815" +viewArea "8116,4374,35400,22781" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,5600,44500,6500" +st "User:" +blo "42000,6300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,44000,6500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 281,0 +activeModelName "Symbol" +) diff --git a/Libs/Common/hds/debouncer/symbol.sb b/Libs/Common/hds/debouncer/symbol.sb new file mode 100644 index 0000000..b4c91e8 --- /dev/null +++ b/Libs/Common/hds/debouncer/symbol.sb @@ -0,0 +1,1552 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 4,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 109,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 111,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 113,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "debounced" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 115,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 108,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 110,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 112,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 114,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "18" +) +uid 159,0 +) +*48 (LogGeneric +generic (GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +uid 267,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 96,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 160,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 268,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 103,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "debouncer" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:38" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncer" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:38" +) +(vvPair +variable "unit" +value "debouncer" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 116,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 117,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,16625,40000,17375" +) +tg (CPTG +uid 118,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 119,0 +va (VaSet +) +xt "41000,16500,43100,17500" +st "clock" +blo "41000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9800,18500,10700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 121,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 122,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,12625,40000,13375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 124,0 +va (VaSet +) +xt "41000,12500,43000,13500" +st "input" +blo "41000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 125,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,18500,11600" +st "input : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*66 (CptPort +uid 126,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 127,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,18625,40000,19375" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 129,0 +va (VaSet +) +xt "41000,18500,43100,19500" +st "reset" +blo "41000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 130,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,18500,12500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,12625,56750,13375" +) +tg (CPTG +uid 133,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 134,0 +va (VaSet +) +xt "51000,12500,55000,13500" +st "debounced" +ju 2 +blo "55000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 135,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12500,17500,13400" +st "debounced : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "debounced" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,9000,56000,21000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "40200,21000,43200,21900" +st "Common" +blo "40200,21700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "40200,21900,44700,22800" +st "debouncer" +blo "40200,22600" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "40000,23800,55200,27400" +st "Generic Declarations + +counterBitNb positive 18 +invertInput std_ulogic '0' " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "18" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*69 (Grouping +uid 16,0 +optionalChildren [ +*70 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,50600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*82 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17400,4000" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.NUMERIC_STD.all;" +tm "PackageList" +) +] +) +windowSize "109,49,1395,892" +viewArea "-1000,-1000,74757,48771" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13400,2500,14300" +st "User:" +blo "0,14100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14300,2000,14300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,7800,0,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 291,0 +activeModelName "Symbol" +) diff --git a/Libs/Common/hds/debouncer@u@logic@vector/symbol.sb b/Libs/Common/hds/debouncer@u@logic@vector/symbol.sb new file mode 100644 index 0000000..f11db1c --- /dev/null +++ b/Libs/Common/hds/debouncer@u@logic@vector/symbol.sb @@ -0,0 +1,1576 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 4,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 109,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "input" +t "std_ulogic_vector" +b "(1 to inputBitNb)" +o 2 +suid 2,0 +) +) +uid 111,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 113,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "debounced" +t "std_ulogic_vector" +b "(1 to inputBitNb)" +o 4 +suid 4,0 +) +) +uid 115,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 108,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 110,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 112,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 114,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "18" +) +uid 159,0 +) +*48 (LogGeneric +generic (GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +uid 267,0 +) +*49 (LogGeneric +generic (GiElement +name "inputBitNb" +type "positive" +value "8" +) +uid 292,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *51 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*52 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*54 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*55 (MRCItem +litem &47 +pos 1 +dimension 20 +uid 160,0 +) +*56 (MRCItem +litem &48 +pos 2 +dimension 20 +uid 268,0 +) +*57 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 293,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*58 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*59 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*60 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 103,0 +) +*61 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*62 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*63 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*64 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer@u@logic@vector/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer@u@logic@vector/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer@u@logic@vector" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncerULogicVector" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "debouncerULogicVector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:38" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncerULogicVector" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncer@u@logic@vector/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/debouncerULogicVector/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:38" +) +(vvPair +variable "unit" +value "debouncerULogicVector" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 116,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 117,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,16625,40000,17375" +) +tg (CPTG +uid 118,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 119,0 +va (VaSet +) +xt "41000,16500,43100,17500" +st "clock" +blo "41000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9800,18500,10700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*67 (CptPort +uid 121,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 122,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,12625,40000,13375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 124,0 +va (VaSet +) +xt "41000,12500,43000,13500" +st "input" +blo "41000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 125,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,30500,11600" +st "input : IN std_ulogic_vector (1 to inputBitNb) ;" +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic_vector" +b "(1 to inputBitNb)" +o 2 +suid 2,0 +) +) +) +*68 (CptPort +uid 126,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 127,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,18625,40000,19375" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 129,0 +va (VaSet +) +xt "41000,18500,43100,19500" +st "reset" +blo "41000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 130,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,18500,12500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,12625,56750,13375" +) +tg (CPTG +uid 133,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 134,0 +va (VaSet +) +xt "51000,12500,55000,13500" +st "debounced" +ju 2 +blo "55000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 135,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12500,29500,13400" +st "debounced : OUT std_ulogic_vector (1 to inputBitNb)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "debounced" +t "std_ulogic_vector" +b "(1 to inputBitNb)" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,9000,56000,21000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "40200,21000,43200,21900" +st "Common" +blo "40200,21700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "40200,21900,51200,22800" +st "debouncerULogicVector" +blo "40200,22600" +) +) +gi *70 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "40000,23800,55200,28300" +st "Generic Declarations + +inputBitNb positive 8 +counterBitNb positive 18 +invertInput std_ulogic '0' " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "8" +) +(GiElement +name "counterBitNb" +type "positive" +value "18" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +) +) +*71 (Grouping +uid 16,0 +optionalChildren [ +*72 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *82 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*84 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17400,4000" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.NUMERIC_STD.all;" +tm "PackageList" +) +] +) +windowSize "109,49,1396,892" +viewArea "-1000,-1000,74828,48771" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *85 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *86 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13400,2500,14300" +st "User:" +blo "0,14100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14300,2000,14300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,7800,0,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 316,0 +activeModelName "Symbol" +) diff --git a/Libs/Common/hds/edge@detector/symbol.sb b/Libs/Common/hds/edge@detector/symbol.sb new file mode 100644 index 0000000..b626737 --- /dev/null +++ b/Libs/Common/hds/edge@detector/symbol.sb @@ -0,0 +1,1647 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 191,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "pulse" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 192,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "rising" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 193,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 194,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 195,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "falling" +t "std_ulogic" +o 5 +suid 2005,0 +) +) +uid 405,0 +) +] +) +pdm (PhysicalDM +uid 196,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 135,0 +optionalChildren [ +*21 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 138,0 +) +*22 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 140,0 +) +*23 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 142,0 +) +*24 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 161,0 +) +*25 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 162,0 +) +*26 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 163,0 +) +*27 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 164,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 406,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 136,0 +optionalChildren [ +*29 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 144,0 +) +*30 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 148,0 +) +*31 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 150,0 +) +*32 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 152,0 +) +*33 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 154,0 +) +*34 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 156,0 +) +*35 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 158,0 +) +*36 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 160,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 134,0 +vaOverrides [ +] +) +] +) +uid 190,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 198,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 199,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &37 +pos 0 +dimension 20 +) +uid 166,0 +optionalChildren [ +*51 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 169,0 +) +*52 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 171,0 +) +*53 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 173,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 167,0 +optionalChildren [ +*54 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 175,0 +) +*55 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 179,0 +) +*56 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 181,0 +) +*57 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 183,0 +) +*58 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 185,0 +) +*59 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 187,0 +) +*60 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 189,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 197,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds/edge@detector/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds/edge@detector/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds/edge@detector" +) +(vvPair +variable "d_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds/edgeDetector" +) +(vvPair +variable "date" +value "10/02/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "02" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "edgeDetector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "10/02/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "17:10:33" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\users\\syslo\\dcf\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/$DESIGN_NAME/Common/work" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "edgeDetector" +) +(vvPair +variable "month" +value "Oct" +) +(vvPair +variable "month_long" +value "October" +) +(vvPair +variable "p" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds/edge@detector/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN-support/Libs/Common/hds/edgeDetector/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "17:10:33" +) +(vvPair +variable "unit" +value "edgeDetector" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 66,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,15625,24000,16375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 115,0 +va (VaSet +font "courier,12,0" +) +xt "25000,15300,28500,16600" +st "clock" +blo "25000,16300" +tm "CptPortNameMgr" +) +s (Text +uid 395,0 +va (VaSet +font "courier,12,0" +) +xt "25000,16600,25000,16600" +blo "25000,16600" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,11600,6500,12500" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,11625,40750,12375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,12,0" +) +xt "34800,11300,39000,12600" +st "rising" +ju 2 +blo "39000,12300" +tm "CptPortNameMgr" +) +s (Text +uid 396,0 +va (VaSet +font "courier,12,0" +) +xt "39000,12600,39000,12600" +ju 2 +blo "39000,12600" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,15200,5500,16100" +st "rising : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "rising" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,17625,24000,18375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,12,0" +) +xt "25000,17300,28500,18600" +st "reset" +blo "25000,18300" +tm "CptPortNameMgr" +) +s (Text +uid 397,0 +va (VaSet +font "courier,12,0" +) +xt "25000,18600,25000,18600" +blo "25000,18600" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,13400,6500,14300" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*65 (CptPort +uid 129,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 130,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,11625,24000,12375" +) +tg (CPTG +uid 131,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 132,0 +va (VaSet +font "courier,12,0" +) +xt "25000,11350,28500,12650" +st "pulse" +blo "25000,12350" +tm "CptPortNameMgr" +) +s (Text +uid 398,0 +va (VaSet +font "courier,12,0" +) +xt "25000,12650,25000,12650" +blo "25000,12650" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 133,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,12500,6500,13400" +st "pulse : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "pulse" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*66 (CptPort +uid 399,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 400,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,13625,40750,14375" +) +tg (CPTG +uid 401,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 402,0 +va (VaSet +font "courier,12,0" +) +xt "34100,13350,39000,14650" +st "falling" +ju 2 +blo "39000,14350" +tm "CptPortNameMgr" +) +s (Text +uid 403,0 +va (VaSet +font "courier,12,0" +) +xt "39000,14650,39000,14650" +ju 2 +blo "39000,14650" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 404,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,14300,6500,15200" +st "falling : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "falling" +t "std_ulogic" +o 5 +suid 2005,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "24000,8000,40000,20000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "24300,20400,27900,21400" +st "Common" +blo "24300,21200" +) +second (Text +uid 12,0 +va (VaSet +) +xt "24300,21400,32100,22400" +st "edgeDetector" +blo "24300,22200" +) +) +gi *67 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "24000,23400,36600,24400" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sF 0 +) +portVis (PortSigDisplay +disp 1 +sTC 0 +sF 0 +) +) +*68 (Grouping +uid 223,0 +optionalChildren [ +*69 (CommentText +uid 225,0 +shape (Rectangle +uid 226,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,48000,42000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 227,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,48000,41400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 228,0 +shape (Rectangle +uid 229,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,44000,46000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 230,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,44000,45800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 231,0 +shape (Rectangle +uid 232,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,46000,42000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 233,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,46000,41400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 234,0 +shape (Rectangle +uid 235,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,46000,25000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 236,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,46000,24800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 237,0 +shape (Rectangle +uid 238,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,45000,62000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 239,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,45200,55400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 240,0 +shape (Rectangle +uid 241,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,44000,62000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 242,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,44000,48000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 243,0 +shape (Rectangle +uid 244,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,44000,42000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 245,0 +va (VaSet +fg "32768,0,0" +) +xt "27000,44500,36000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 246,0 +shape (Rectangle +uid 247,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,47000,25000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 248,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,47000,24200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 249,0 +shape (Rectangle +uid 250,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,48000,25000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 251,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,48000,24800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 252,0 +shape (Rectangle +uid 253,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,47000,42000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 254,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,47000,41400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 224,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "21000,44000,62000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *79 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 127,0 +va (VaSet +font "courier,12,1" +) +xt "-11000,0,-2200,1500" +st "Package List" +blo "-11000,1200" +) +*81 (MLText +uid 128,0 +va (VaSet +font "courier,12,0" +) +xt "-11000,1500,10700,4100" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all;" +tm "PackageList" +) +] +) +windowSize "59,4,1688,1058" +viewArea "-12000,-1100,58300,44500" +cachedDiagramExtent "-11000,0,62000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +bg "65280,65280,65280" +lineColor "0,16384,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,16384,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,1" +) +xt "30500,14400,35500,15900" +st "" +blo "30500,15600" +) +second (Text +va (VaSet +font "courier,12,1" +) +xt "30500,15900,33900,17400" +st "" +blo "30500,17100" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,9200,-3600,10400" +st "Declarations" +blo "-11000,10200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,10400,-7500,11600" +st "Ports:" +blo "-11000,11400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,16100,-8000,17100" +st "User:" +blo "-11000,16900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-11000,9200,-3200,10400" +st "Internal User:" +blo "-11000,10200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,17100,-9000,17100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-11000,9200,-11000,9200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 429,0 +activeModelName "Symbol" +) diff --git a/Libs/Common/hds/rotary@to@unsigned/symbol.sb b/Libs/Common/hds/rotary@to@unsigned/symbol.sb new file mode 100644 index 0000000..b6c30d8 --- /dev/null +++ b/Libs/Common/hds/rotary@to@unsigned/symbol.sb @@ -0,0 +1,1602 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 10,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "number" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 3 +suid 1,0 +) +) +uid 158,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "rotary" +t "unsigned" +b "(rotaryBitNb-1 downto 0)" +o 4 +suid 2,0 +) +) +uid 160,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 162,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 9,0 +) +) +uid 174,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 159,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 161,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 163,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 175,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "rotaryBitNb" +type "positive" +value "4" +) +uid 225,0 +) +*48 (LogGeneric +generic (GiElement +name "outputBitNb" +type "positive" +value "8" +) +uid 227,0 +) +*49 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "10E3" +) +uid 436,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *51 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*52 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*54 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*55 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 226,0 +) +*56 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 228,0 +) +*57 (MRCItem +litem &49 +pos 2 +dimension 20 +uid 437,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*58 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*59 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*60 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 103,0 +) +*61 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*62 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*63 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*64 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotary@to@unsigned/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotary@to@unsigned/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotary@to@unsigned" +) +(vvPair +variable "d_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotaryToUnsigned" +) +(vvPair +variable "date" +value "11/07/19" +) +(vvPair +variable "day" +value "Thu" +) +(vvPair +variable "day_long" +value "Thursday" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "entity_name" +value "rotaryToUnsigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "11/07/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:19:40" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR\\..\\Sinewave\\concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/$DESIGN_NAME/Common/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "rotaryToUnsigned" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotary@to@unsigned/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common/hds/rotaryToUnsigned/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_HOME\\ISE\\bin\\nt" +) +(vvPair +variable "task_ISEProjectPath" +value "$SCRATCH_DIR\\Support\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "/usr/opt/Modelsim/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:19:40" +) +(vvPair +variable "unit" +value "rotaryToUnsigned" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 108,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 109,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,19625,42750,20375" +) +tg (CPTG +uid 110,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 111,0 +va (VaSet +) +xt "37400,19500,41000,20500" +st "number" +ju 2 +blo "41000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 112,0 +va (VaSet +font "courier,8,0" +) +xt "-13000,8900,13000,9800" +st "number : OUT unsigned (outputBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "number" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 3 +suid 1,0 +) +) +) +*67 (CptPort +uid 113,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 114,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25250,19625,26000,20375" +) +tg (CPTG +uid 115,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 116,0 +va (VaSet +) +xt "27000,19500,30600,20500" +st "rotary" +blo "27000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 117,0 +va (VaSet +font "courier,8,0" +) +xt "-13000,9800,12000,10700" +st "rotary : IN unsigned (rotaryBitNb-1 downto 0)" +) +thePort (LogicalPort +decl (Decl +n "rotary" +t "unsigned" +b "(rotaryBitNb-1 downto 0)" +o 4 +suid 2,0 +) +) +) +*68 (CptPort +uid 118,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 119,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25250,23625,26000,24375" +) +tg (CPTG +uid 120,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 121,0 +va (VaSet +) +xt "27000,23500,30000,24500" +st "clock" +blo "27000,24300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 122,0 +va (VaSet +font "courier,8,0" +) +xt "-13000,7100,2000,8000" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*69 (CptPort +uid 148,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 149,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25250,25625,26000,26375" +) +tg (CPTG +uid 150,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 151,0 +va (VaSet +) +xt "27000,25500,30000,26500" +st "reset" +blo "27000,26300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 152,0 +va (VaSet +font "courier,8,0" +) +xt "-13000,8000,2000,8900" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 201,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "26000,16000,42000,28000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "26250,28000,29250,28900" +st "Common" +blo "26250,28700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "26250,28900,34750,29800" +st "rotaryToUnsigned" +blo "26250,29600" +) +) +gi *70 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "26000,30800,40500,35300" +st "Generic Declarations + +rotaryBitNb positive 4 +outputBitNb positive 8 +counterBitNb positive 10E3 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "rotaryBitNb" +type "positive" +value "4" +) +(GiElement +name "outputBitNb" +type "positive" +value "8" +) +(GiElement +name "counterBitNb" +type "positive" +value "10E3" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*71 (Grouping +uid 16,0 +optionalChildren [ +*72 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,50000,34000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "17200,50000,33400,51000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,38000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,37800,47000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,48000,34000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "17200,48000,33400,49000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "13000,48000,17000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "13200,48000,16800,49000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,54000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47200,47400,48200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "38000,46000,54000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "38200,46000,40000,47000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "13000,46000,34000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "19000,46500,28000,47500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "13000,49000,17000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "13200,49000,16200,50000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "13000,50000,17000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "13200,50000,16800,51000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,49000,34000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "17200,49000,32200,50000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "13000,46000,54000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *82 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-15000,0,-8500,900" +st "Package List" +blo "-15000,700" +) +*84 (MLText +uid 50,0 +va (VaSet +) +xt "-15000,1000,3600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "93,88,1360,986" +viewArea "-16000,-1000,48728,44136" +cachedDiagramExtent "-15000,0,54000,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "-15000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *85 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *86 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-15000,5300,-8500,6200" +st "Declarations" +blo "-15000,6000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-15000,6200,-12000,7100" +st "Ports:" +blo "-15000,6900" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-15000,10700,-12500,11600" +st "User:" +blo "-15000,11400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-15000,5300,-7500,6200" +st "Internal User:" +blo "-15000,6000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-13000,11600,-13000,11600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-15000,5300,-15000,5300" +tm "SyDeclarativeTextMgr" +) +) +lastUid 437,0 +activeModelName "Symbol" +) diff --git a/Libs/Common/hds/spike@filter/symbol.sb b/Libs/Common/hds/spike@filter/symbol.sb new file mode 100644 index 0000000..8dfe61a --- /dev/null +++ b/Libs/Common/hds/spike@filter/symbol.sb @@ -0,0 +1,1552 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 4,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 109,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 111,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 113,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "filtered" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 115,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 108,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 110,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 112,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 114,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "18" +) +uid 159,0 +) +*48 (LogGeneric +generic (GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +uid 267,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 96,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 160,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 268,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 103,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/spike@filter/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/spike@filter/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/spike@filter" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/spikeFilter" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "spikeFilter" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:38" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "spikeFilter" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/spike@filter/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/spikeFilter/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:38" +) +(vvPair +variable "unit" +value "spikeFilter" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 116,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 117,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,16625,40000,17375" +) +tg (CPTG +uid 118,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 119,0 +va (VaSet +) +xt "41000,16500,43100,17500" +st "clock" +blo "41000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9800,18000,10700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 121,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 122,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,12625,40000,13375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 124,0 +va (VaSet +) +xt "41000,12500,43000,13500" +st "input" +blo "41000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 125,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,18000,11600" +st "input : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*66 (CptPort +uid 126,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 127,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,18625,40000,19375" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 129,0 +va (VaSet +) +xt "41000,18500,43100,19500" +st "reset" +blo "41000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 130,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,18000,12500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,12625,56750,13375" +) +tg (CPTG +uid 133,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 134,0 +va (VaSet +) +xt "52300,12500,55000,13500" +st "filtered" +ju 2 +blo "55000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 135,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12500,17000,13400" +st "filtered : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "filtered" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,9000,56000,21000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "40200,21000,43200,21900" +st "Common" +blo "40200,21700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "40200,21900,46200,22800" +st "spikeFilter" +blo "40200,22600" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "40000,23800,55200,27400" +st "Generic Declarations + +counterBitNb positive 18 +invertInput std_ulogic '0' " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "18" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*69 (Grouping +uid 16,0 +optionalChildren [ +*70 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*82 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17400,4000" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.NUMERIC_STD.all;" +tm "PackageList" +) +] +) +windowSize "109,49,1396,892" +viewArea "-1000,-1000,74828,50759" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13400,2500,14300" +st "User:" +blo "0,14100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14300,2000,14300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,7800,0,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 314,0 +activeModelName "Symbol" +) diff --git a/Libs/Common/hds/toggler/symbol.sb b/Libs/Common/hds/toggler/symbol.sb new file mode 100644 index 0000000..1029e56 --- /dev/null +++ b/Libs/Common/hds/toggler/symbol.sb @@ -0,0 +1,1552 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 4,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 109,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 111,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 113,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "toggle" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 115,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 68,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 108,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 110,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 112,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 114,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "counterBitNb" +type "natural" +value "18" +) +uid 159,0 +) +*48 (LogGeneric +generic (GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +uid 278,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 96,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 97,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 98,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 160,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 279,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 101,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 102,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 103,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 104,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 105,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 106,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/toggler/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/toggler/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/toggler" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/toggler" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "toggler" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:38" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "toggler" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/toggler/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common/hds/toggler/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:38" +) +(vvPair +variable "unit" +value "toggler" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 116,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 117,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,18625,43000,19375" +) +tg (CPTG +uid 118,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 119,0 +va (VaSet +) +xt "44000,18500,46100,19500" +st "clock" +blo "44000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9800,17000,10700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 121,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 122,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,14625,43000,15375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 124,0 +va (VaSet +) +xt "44000,14500,46000,15500" +st "input" +blo "44000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 125,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,17000,11600" +st "input : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*66 (CptPort +uid 126,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 127,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42250,20625,43000,21375" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 129,0 +va (VaSet +) +xt "44000,20500,46100,21500" +st "reset" +blo "44000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 130,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,17000,12500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "59000,14625,59750,15375" +) +tg (CPTG +uid 133,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 134,0 +va (VaSet +) +xt "55400,14500,58000,15500" +st "toggle" +ju 2 +blo "58000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 135,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12500,16000,13400" +st "toggle : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "toggle" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "43000,11000,59000,23000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "43200,23000,46200,23900" +st "Common" +blo "43200,23700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "43200,23900,46700,24800" +st "toggler" +blo "43200,24600" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "43000,25800,58200,29400" +st "Generic Declarations + +counterBitNb natural 18 +invertInput std_ulogic '0' " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "counterBitNb" +type "natural" +value "18" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*69 (Grouping +uid 16,0 +optionalChildren [ +*70 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,49400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*82 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17400,4000" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.NUMERIC_STD.all;" +tm "PackageList" +) +] +) +windowSize "109,49,1396,892" +viewArea "-1000,-1000,74828,48771" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13400,2500,14300" +st "User:" +blo "0,14100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14300,2000,14300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,7800,0,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 302,0 +activeModelName "Symbol" +) diff --git a/Libs/Common_test/hdl/clockGenerator_sim.vhd b/Libs/Common_test/hdl/clockGenerator_sim.vhd new file mode 100644 index 0000000..b2e94be --- /dev/null +++ b/Libs/Common_test/hdl/clockGenerator_sim.vhd @@ -0,0 +1,13 @@ +ARCHITECTURE sim OF clockGenerator IS + + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_uLogic := '1'; + +BEGIN + + reset <= '1', '0' after 2*clockPeriod; + + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9.0/10.0; + +END ARCHITECTURE sim; diff --git a/Libs/Common_test/hdl/commonLib_tb_test.vhd b/Libs/Common_test/hdl/commonLib_tb_test.vhd new file mode 100644 index 0000000..40a1b77 --- /dev/null +++ b/Libs/Common_test/hdl/commonLib_tb_test.vhd @@ -0,0 +1,26 @@ +LIBRARY Common; + USE Common.commonLib.all; +LIBRARY Common_test; + USE Common_test.testUtils.all; + +ARCHITECTURE test OF commonLib_tb IS + constant maxPowOf2: positive := 10; + constant indent: string(1 to 2) := (others => ' '); +BEGIN + + process + variable value, bitNb: positive; + BEGIN + print("testing function " & '"' & "requiredBitNb" & '"'); + for index in 1 to maxPowOf2 loop + for offset in -1 to 1 loop + value := 2**index + offset; + bitNb := requiredBitNb(value); + print(indent & "requiredBitNb(" & sprintf("%d", value) & ") = " & sprintf("%d", bitNb)); + end loop; + print(""); + end loop; + wait; + end process; + +END ARCHITECTURE test; diff --git a/Libs/Common_test/hdl/debouncerULogicVector_tester_RTL.vhd b/Libs/Common_test/hdl/debouncerULogicVector_tester_RTL.vhd new file mode 100644 index 0000000..bca8093 --- /dev/null +++ b/Libs/Common_test/hdl/debouncerULogicVector_tester_RTL.vhd @@ -0,0 +1,57 @@ +ARCHITECTURE RTL OF debouncerULogicVector_tester IS + + constant clockFrequency : real := 100.0E6; + constant clockPeriod : time := 1.0/clockFrequency * 1 sec; + signal clock_int : std_ulogic := '1'; + + constant longDelay : time := 2**(counterBitNb+1) * clockPeriod; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 3*clockPeriod; + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- input signal + process + begin + input <= (others => '0'); + wait for longDelay; + -- transition 0 to 1 + input(1) <= '1', + '0' after 1*clockPeriod, + '1' after 3*clockPeriod, + '0' after 5*clockPeriod, + '1' after 6*clockPeriod, + '0' after 8*clockPeriod, + '1' after 10*clockPeriod; + wait for longDelay; + -- transition to other bit + -- transition 1 to 0 + input(1) <= '0'; + wait for longDelay; + input(2) <= '1'; + wait for longDelay; + -- transition 1 to 0 + input(2) <= '0', + '1' after 1*clockPeriod, + '0' after 3*clockPeriod, + '1' after 5*clockPeriod, + '0' after 6*clockPeriod, + '1' after 8*clockPeriod, + '0' after 10*clockPeriod; + wait for longDelay; + -- short 1 pulse + input(3) <= '1', + '0' after 1*clockPeriod, + '1' after 3*clockPeriod, + '0' after 5*clockPeriod, + '1' after 6*clockPeriod, + '0' after 8*clockPeriod; + -- end of simulation + wait; + end process; + +END ARCHITECTURE RTL; diff --git a/Libs/Common_test/hdl/debouncer_tester_test.vhd b/Libs/Common_test/hdl/debouncer_tester_test.vhd new file mode 100644 index 0000000..b319ae6 --- /dev/null +++ b/Libs/Common_test/hdl/debouncer_tester_test.vhd @@ -0,0 +1,49 @@ +ARCHITECTURE test OF debouncer_tester IS + + constant clockFrequency : real := 66.0E6; + constant clockPeriod : time := 1.0/clockFrequency * 1 sec; + signal clock_int : std_ulogic := '1'; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 3*clockPeriod; + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- input signal + process + begin + input <= '0'; + wait for 10*clockPeriod; + -- transition 0 to 1 + input <= '1', + '0' after 1*clockPeriod, + '1' after 3*clockPeriod, + '0' after 5*clockPeriod, + '1' after 6*clockPeriod, + '0' after 8*clockPeriod, + '1' after 10*clockPeriod; + wait for 50*clockPeriod; + -- transition 1 to 0 + input <= '0', + '1' after 1*clockPeriod, + '0' after 3*clockPeriod, + '1' after 5*clockPeriod, + '0' after 6*clockPeriod, + '1' after 8*clockPeriod, + '0' after 10*clockPeriod; + wait for 50*clockPeriod; + -- short 1 pulse + input <= '1', + '0' after 1*clockPeriod, + '1' after 3*clockPeriod, + '0' after 5*clockPeriod, + '1' after 6*clockPeriod, + '0' after 8*clockPeriod; + -- end of simulation + wait; + end process; + +END ARCHITECTURE test; diff --git a/Libs/Common_test/hdl/requiredBitNb.txt b/Libs/Common_test/hdl/requiredBitNb.txt new file mode 100644 index 0000000..5015db3 --- /dev/null +++ b/Libs/Common_test/hdl/requiredBitNb.txt @@ -0,0 +1,40 @@ +# testing function "requiredBitNb" +# requiredBitNb(1) = 1 +# requiredBitNb(2) = 2 +# requiredBitNb(3) = 2 +# +# requiredBitNb(3) = 2 +# requiredBitNb(4) = 3 +# requiredBitNb(5) = 3 +# +# requiredBitNb(7) = 3 +# requiredBitNb(8) = 4 +# requiredBitNb(9) = 4 +# +# requiredBitNb(15) = 4 +# requiredBitNb(16) = 5 +# requiredBitNb(17) = 5 +# +# requiredBitNb(31) = 5 +# requiredBitNb(32) = 6 +# requiredBitNb(33) = 6 +# +# requiredBitNb(63) = 6 +# requiredBitNb(64) = 7 +# requiredBitNb(65) = 7 +# +# requiredBitNb(127) = 7 +# requiredBitNb(128) = 8 +# requiredBitNb(129) = 8 +# +# requiredBitNb(255) = 8 +# requiredBitNb(256) = 9 +# requiredBitNb(257) = 9 +# +# requiredBitNb(511) = 9 +# requiredBitNb(512) = 10 +# requiredBitNb(513) = 10 +# +# requiredBitNb(1023) = 10 +# requiredBitNb(1024) = 11 +# requiredBitNb(1025) = 11 \ No newline at end of file diff --git a/Libs/Common_test/hdl/rotaryToUnsigned_tester_test.vhd b/Libs/Common_test/hdl/rotaryToUnsigned_tester_test.vhd new file mode 100644 index 0000000..70a3fbf --- /dev/null +++ b/Libs/Common_test/hdl/rotaryToUnsigned_tester_test.vhd @@ -0,0 +1,47 @@ +ARCHITECTURE test OF rotaryToUnsigned_tester IS + + constant clockFrequency : real := 100.0E6; + constant clockPeriod : time := 1.0/clockFrequency * 1 sec; + signal clock_int : std_ulogic := '1'; + + constant stepPeriod : time := 100*clockPeriod; + signal rotary_int : unsigned(rotary'range); + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 3*clockPeriod; + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- input signal + turnRotary: process + begin + rotary_int <= (others => '0'); + wait for 10*stepPeriod; + -- count over max value + for index in 1 to 2**outputBitNb+2 loop + rotary_int <= rotary_int + 1; + wait for stepPeriod; + end loop; + -- count down again + for index in 1 to 2**outputBitNb+2 loop + rotary_int <= rotary_int - 1; + wait for stepPeriod; + end loop; + -- end of simulation + wait; + end process turnRotary; + + addGlitches: process + begin + wait on rotary_int; + rotary <= (others => '0'); + wait for clockPeriod; + rotary <= (others => '1'); + wait for clockPeriod; + rotary <= rotary_int; + end process addGlitches; + +END ARCHITECTURE test; diff --git a/Libs/Common_test/hdl/spikeFilter_tester_test.vhd b/Libs/Common_test/hdl/spikeFilter_tester_test.vhd new file mode 100644 index 0000000..cd5468f --- /dev/null +++ b/Libs/Common_test/hdl/spikeFilter_tester_test.vhd @@ -0,0 +1,47 @@ +ARCHITECTURE test OF spikeFilter_tester IS + + constant clockFrequency : real := 100.0E6; + constant clockPeriod : time := 1.0/clockFrequency * 1 sec; + signal clock_int : std_ulogic := '1'; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 3*clockPeriod; + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- input signal + process + begin + input <= '0'; + wait for 10*clockPeriod; + -- loop on pulse width + for pulseWidth in 1 to 10 loop + -- send positive pulses train + for index in 1 to 8 loop + input <= '1'; + wait for pulseWidth * clockPeriod; + input <= '0'; + wait for pulseWidth * clockPeriod; + end loop; + -- set input high + input <= '1'; + wait for 100*clockPeriod; + -- send negative pulses train + for index in 1 to 8 loop + input <= '0'; + wait for pulseWidth * clockPeriod; + input <= '1'; + wait for pulseWidth * clockPeriod; + end loop; + -- set input low + input <= '0'; + wait for 100*clockPeriod; + end loop; + -- end of simulation + wait; + end process; + +END ARCHITECTURE test; diff --git a/Libs/Common_test/hdl/testUtils_pkg.vhd b/Libs/Common_test/hdl/testUtils_pkg.vhd new file mode 100644 index 0000000..4d275b9 --- /dev/null +++ b/Libs/Common_test/hdl/testUtils_pkg.vhd @@ -0,0 +1,127 @@ +LIBRARY std; + USE std.textio.all; +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; + +PACKAGE testUtils IS + + --============================================================================ + -- console output + -- + + procedure print(value : string); + + + --============================================================================ + -- string manipulation + -- + + -- conversion to lowercase + function lc(value : string) return string; + procedure lc(value : inout line); + -- conversion to uppercase + function uc(value : string) return string; + procedure uc(value : inout line); + -- expand a string to a given length + function pad( + value : string; + string_length : natural; + fill_char : character := ' '; + right_justify : boolean := false + ) return string; + -- remove separator characters at beginning and end of line + procedure rm_side_separators( + value : inout line; + separators : in string + ); + procedure rm_side_separators( + value : inout line + ); + -- remove multiple occurences of separator characters + procedure trim_line( + value : inout line; + separators : in string + ); + + procedure trim_line( + value : inout line + ); + -- remove all occurences of separator characters + procedure rm_all_separators( + value : inout line; + separators : in string + ); + + procedure rm_all_separators( + value : inout line + ); + -- find and remove first word + procedure read_first( + value : inout line; + separators : in string; + first : out line + ); + + procedure read_first( + value : inout line; + first : out line + ); + -- find and remove last word + procedure read_last( + value : inout line; + separators : in string; + last : out line + ); + + procedure read_last( + value : inout line; + last : out line + ); + + + --============================================================================ + -- formatted string output + -- + -- format codes: + -- code integer real std_logic std_(u)logic_vector (un)signed time + -- b v v v v binary + -- c character + -- d v v v v v decimal + -- e real numbers, with power of 10 exponent + -- f v v fixed point real numbers + -- s string + -- ts v time in seconds + -- tm v time in milliseconds + -- tu v time in microseconds + -- tn v time in nanoseconds + -- tp v time in picoseconds + -- x v v v v hexadecimal + -- X v v v v hexadecimal with upper-case letters + + function sprintf(format : string; value : integer ) return string; + function sprintf(format : string; value : real ) return string; + function sprintf(format : string; value : std_logic ) return string; + function sprintf(format : string; value : std_ulogic_vector) return string; + function sprintf(format : string; value : std_logic_vector ) return string; + function sprintf(format : string; value : unsigned ) return string; + function sprintf(format : string; value : signed ) return string; + function sprintf(format : string; value : time ) return string; + + --============================================================================ + -- formatted string input + -- + subtype nibbleUlogicType is std_ulogic_vector(3 downto 0); + subtype nibbleUnsignedType is unsigned(3 downto 0); + + function sscanf(value : character) return natural; + function sscanf(value : character) return nibbleUlogicType; + function sscanf(value : character) return nibbleUnsignedType; + function sscanf(value : string ) return natural; + function sscanf(value : string ) return unsigned; + function sscanf(value : string ) return std_ulogic_vector; + function sscanf(value : string ) return time; + + procedure sscanf(value : inout line; time_val : out time); + +END testUtils; diff --git a/Libs/Common_test/hdl/testUtils_pkg_body.vhd b/Libs/Common_test/hdl/testUtils_pkg_body.vhd new file mode 100644 index 0000000..88c6205 --- /dev/null +++ b/Libs/Common_test/hdl/testUtils_pkg_body.vhd @@ -0,0 +1,924 @@ +PACKAGE BODY testUtils IS + + --============================================================================ + -- console output + -- + + procedure print(value : string) is + variable my_line : line; + begin + write(my_line, value); + writeLine(output, my_line); + deallocate(my_line); + end print; + + + --============================================================================ + -- string manipulation + -- + + ------------------------------------------------------------------------------ + -- change to lowercase + ------------------------------------------------------------------------------ + procedure lc(value: inout line) is + variable out_line: line; + begin + for index in value'range loop + if (value(index) >= 'A') and (value(index) <= 'Z') then + value(index) := character'val(character'pos(value(index)) + - character'pos('A') + + character'pos('a') + ); + end if; + end loop; + end lc; + + function lc(value: string) return string is + variable out_line: line; + begin + write(out_line, value); + lc(out_line); + return(out_line.all); + end lc; + + ------------------------------------------------------------------------------ + -- change to uppercase + ------------------------------------------------------------------------------ + procedure uc(value: inout line) is + variable out_line: line; + begin + for index in value'range loop + if (value(index) >= 'a') and (value(index) <= 'z') then + value(index) := character'val(character'pos(value(index)) + - character'pos('a') + + character'pos('A') + ); + end if; + end loop; + end uc; + + function uc(value: string) return string is + variable out_line: line; + begin + write(out_line, value); + uc(out_line); + return(out_line.all); + end uc; + + ------------------------------------------------------------------------------ + -- formatted string output: padding and justifying + ------------------------------------------------------------------------------ + function pad( + value : string; + string_length : natural; + fill_char : character := ' '; + right_justify : boolean := false + ) return string is + variable value_line : line; + variable out_line : line; + variable value_length : natural; + variable shift_sign : boolean; + begin + write(value_line, value); + value_length := value_line.all'length; + if string_length = 0 then + write(out_line, value_line.all); + elsif string_length > value_length then + if right_justify then + if (value_line.all(value_line.all'left) <= '-') and not(fill_char = ' ') then + shift_sign := true; + write(out_line, value_line.all(value_line.all'left)); + end if; + for index in 1 to string_length-value_length loop + write(out_line, fill_char); + end loop; + end if; + if shift_sign then + write(out_line, value_line.all(value_line.all'left+1 to value_line.all'right)); + else + write(out_line, value_line.all); + end if; + if not right_justify then + for index in 1 to string_length-value_length loop + write(out_line, fill_char); + end loop; + end if; + elsif string_length < value_length then + write(out_line, '#'); + write(out_line, value_line.all(value_length-string_length+2 to value_length)); + else + write(out_line, value_line.all); + end if; + deallocate(value_line); + return(out_line.all); + end pad; + + ------------------------------------------------------------------------------ + -- remove separator characters at beginning and end of line + ------------------------------------------------------------------------------ + procedure rm_side_separators( + value : inout line; + separators : in string + ) is + variable input_line : line := value; + variable found : boolean := false; + variable position : integer := 0; + begin + -- remove all separators in the beginning + position := -1; + for character_index in input_line'range loop + found := false; + for separator_index in separators'range loop + if input_line(character_index) = separators(separator_index) then + found := true; + end if; + end loop; + if found then + position := character_index; + else + exit; + end if; + end loop; + if position > -1 then + input_line := new string'( input_line(position+1 to input_line'right) ); + end if; + + -- remove all separators in the end + position := -1; + for character_index in input_line'reverse_range loop + found := false; + for separator_index in separators'range loop + if input_line(character_index) = separators(separator_index) then + found := true; + end if; + end loop; + if found then + position := character_index; + else + exit; + end if; + end loop; + if position > -1 then + input_line := new string'( input_line(input_line'left to position-1) ); + end if; + + value := input_line; + end; + + procedure rm_side_separators(value : inout line) is + begin + rm_side_separators(value, " :" & ht); + end; + + ------------------------------------------------------------------------------ + -- remove multiple occurences of separator characters, keeping one single + ------------------------------------------------------------------------------ + procedure trim_line( + value : inout line; + separators : in string + ) is + variable input_line: line := value; + variable output_line: line := new string'(""); + variable is_separator, was_separator : boolean := false; + begin + rm_side_separators(input_line); + for character_index in input_line'range loop + is_separator := false; + for separator_index in separators'range loop + if input_line.all(character_index) = separators(separator_index) then + is_separator := true; + end if; + end loop; + if not (is_separator and was_separator) then + write(output_line, input_line.all(character_index)); + end if; + was_separator := is_separator; + end loop; + + value := output_line; + end; + + procedure trim_line(value : inout line) is + begin + trim_line(value, " :" & ht); + end; + + ------------------------------------------------------------------------------ + -- remove all occurences of separator characters + ------------------------------------------------------------------------------ + procedure rm_all_separators( + value : inout line; + separators : in string + ) is + variable input_line : line := value; + variable is_separator : boolean := false; + begin + + -- remove separators from beginn and end of the line + -- rm_separator_be(value, separators); + + -- empty output line + value := new string'(""); + + -- find all separator symbols + for character_index in input_line'range loop + is_separator := false; + for separator_index in separators'range loop + if input_line(character_index) = separators(separator_index) then + is_separator := true; + end if; + end loop; + if not is_separator then + write(value, input_line.all(character_index)); + end if; + end loop; + + end; + + procedure rm_all_separators(value : inout line) is + begin + rm_all_separators(value, " _." & ht); + end; + + ------------------------------------------------------------------------------ + -- read first "word" out of a line + ------------------------------------------------------------------------------ + procedure read_first( + value : inout line; + separators : in string; + first : out line + ) is + variable input_line: line; + variable position: natural := 0; + begin + input_line := value; + for character_index in input_line.all'reverse_range loop + for separator_index in separators'range loop + if input_line.all(character_index) = separators(separator_index) then + position := character_index; + end if; + end loop; + end loop; + if position > 1 then + first := new string'(input_line.all(input_line'left to position-1)); + value := new string'(input_line(position+1 to input_line'right)); + else + first := new string'(input_line.all); + value := new string'(""); + end if; + end; + + procedure read_first(value : inout line; first : out line) is + begin + read_first(value, " :" & ht, first); + end; + + ------------------------------------------------------------------------------ + -- read last "word" out of a line + ------------------------------------------------------------------------------ + procedure read_last( + value : inout line; + separators : in string; + last : out line + ) is + variable input_line: line := value; + variable position: natural := 0; + begin + for character_index in input_line'range loop + for separator_index in separators'range loop + if input_line(character_index) = separators(separator_index) then + position := character_index; + end if; + end loop; + end loop; + if position <= input_line'right and + position > 0 then + value := new string'(input_line(input_line'left to position-1)); + last := new string'(input_line(position+1 to input_line'right)); + else + last := new string'(input_line.all); + end if; + end; + + procedure read_last(value : inout line; last : out line) is + begin + read_last(value, " :" & ht, last); + end; + + + --============================================================================ + -- formatted string output, internal functions + -- + + ------------------------------------------------------------------------------ + -- get format specification + ------------------------------------------------------------------------------ + procedure get_format_items( + format : string; + right_justify : out boolean; + add_sign : out boolean; + fill_char : out character; + total_length : out natural; + point_precision : out natural; + format_type : inout line + ) is + variable find_sign : boolean := false; + variable find_padding : boolean := false; + variable find_length : boolean := false; + variable find_precision : boolean := false; + variable find_type : boolean := false; + variable right_justify_int : boolean := true; + variable total_length_int : natural := 0; + variable point_precision_int : natural := 0; + begin + add_sign := false; + fill_char := ' '; + for index in 1 to format'length loop + if find_type then + write(format_type, format(index)); + end if; + if find_precision then + if (format(index) >= '0') and (format(index) <= '9') then + point_precision_int := 10*point_precision_int + character'pos(format(index)) - character'pos('0'); + if format(index+1) >= 'A' then + find_precision := false; + find_type := true; + end if; + end if; + end if; + if find_length then + if (format(index) >= '0') and (format(index) <= '9') then + total_length_int := 10*total_length_int + character'pos(format(index)) - character'pos('0'); + end if; + if format(index) = '.' then + find_length := false; + find_precision := true; + elsif format(index+1) >= 'A' then + find_length := false; + find_type := true; + end if; + end if; + if find_padding then + if format(index) = '0' then + if right_justify_int then + fill_char := '0'; + end if; + end if; + find_padding := false; + if format(index+1) >= 'A' then + find_type := true; + else + find_length := true; + end if; + end if; + if find_sign then + if format(index) = '-' then + right_justify_int := false; + end if; + if format(index) = '+' then + add_sign := true; + end if; + find_sign := false; + if format(index+1) <= '-' then + find_sign := true; + elsif format(index+1) = '0' then + find_padding := true; + elsif format(index+1) >= 'A' then + find_type := true; + else + find_length := true; + end if; + end if; + if format(index) = '%' then + if format(index+1) <= '-' then + find_sign := true; + elsif format(index+1) = '0' then + find_padding := true; + elsif format(index+1) >= 'A' then + find_type := true; + else + find_length := true; + end if; + end if; + end loop; + right_justify := right_justify_int; + total_length := total_length_int; + point_precision := point_precision_int; + end get_format_items; + + + ------------------------------------------------------------------------------ + -- formatted string output: converting std_ulogic to character + ------------------------------------------------------------------------------ + function to_character(value: std_ulogic) return character is + variable out_value: character; + begin + case value is + when 'U' => out_value := 'U'; + when 'X' => out_value := 'X'; + when '0' => out_value := '0'; + when '1' => out_value := '1'; + when 'Z' => out_value := 'Z'; + when 'W' => out_value := 'W'; + when 'L' => out_value := 'L'; + when 'H' => out_value := 'H'; + when '-' => out_value := '-'; + end case; + return(out_value); + end to_character; + + ------------------------------------------------------------------------------ + -- formatted string output: binary integer + ------------------------------------------------------------------------------ + function sprintf_b(value: std_ulogic_vector) return string is + variable out_line : line; + begin + for index in value'range loop + write(out_line, to_character(value(index))); + end loop; + return(out_line.all); + end sprintf_b; + + ------------------------------------------------------------------------------ + -- formatted string output: decimal integer + ------------------------------------------------------------------------------ + function sprintf_d( + right_justify : boolean; + add_sign : boolean; + fill_char : character; + string_length : natural; + value : integer + ) return string is + variable value_line : line; + begin + if add_sign and (value >= 0) then + write(value_line, '+'); + end if; + write(value_line, value); + if string_length = 0 then + return(value_line.all); + else + return(pad(value_line.all, string_length, fill_char, right_justify)); + end if; + end sprintf_d; + + ------------------------------------------------------------------------------ + -- formatted string output: fixed point real + ------------------------------------------------------------------------------ + function sprintf_f( + right_justify : boolean; + add_sign : boolean; + fill_char : character; + string_length : natural; + point_precision : natural; + value : real + ) return string is + variable point_precision_int : natural; + variable integer_part : integer; + variable decimal_part : natural; + variable value_line : line; + begin + if point_precision = 0 then + point_precision_int := 6; + else + point_precision_int := point_precision; + end if; + if value >= 0.0 then + integer_part := integer(value-0.5); + else + integer_part := - integer(-value-0.5); + end if; + decimal_part := abs(integer((value-real(integer_part))*(10.0**point_precision_int))); + if add_sign and (value >= 0.0) then + write(value_line, '+'); + end if; + write(value_line, integer_part); + write(value_line, '.'); + write(value_line, sprintf_d(true, false, '0', point_precision_int, decimal_part)); + if string_length = 0 then + return(value_line.all); + else + return(pad(value_line.all, string_length, fill_char, right_justify)); + end if; + end sprintf_f; + + ------------------------------------------------------------------------------ + -- formatted string output: hexadecimal integer + ------------------------------------------------------------------------------ + function sprintf_X( + extend_unsigned : boolean; + value : std_ulogic_vector + ) return string is + variable bit_count : positive; + variable value_line : line; + variable out_line : line; + variable nibble: string(1 to 4); + begin + bit_count := value'length; + while (bit_count mod 4) /= 0 loop + if extend_unsigned then + write(value_line, to_character('0')); + else + write(value_line, to_character(value(value'high))); + end if; + bit_count := bit_count + 1; + end loop; + write(value_line, sprintf_b(value)); + for index in value_line.all'range loop + if (index mod 4) = 0 then + nibble := value_line.all(index-3 to index); + case nibble is + when "0000" => write(out_line, 0); + when "0001" => write(out_line, 1); + when "0010" => write(out_line, 2); + when "0011" => write(out_line, 3); + when "0100" => write(out_line, 4); + when "0101" => write(out_line, 5); + when "0110" => write(out_line, 6); + when "0111" => write(out_line, 7); + when "1000" => write(out_line, 8); + when "1001" => write(out_line, 9); + when "1010" => write(out_line, 'A'); + when "1011" => write(out_line, 'B'); + when "1100" => write(out_line, 'C'); + when "1101" => write(out_line, 'D'); + when "1110" => write(out_line, 'E'); + when "1111" => write(out_line, 'F'); + when others => write(out_line, 'X'); + end case; + end if; + end loop; + return(out_line.all); + end sprintf_X; + + + --============================================================================ + -- formatted string output, interface functions + -- + + ------------------------------------------------------------------------------ + -- integer + ------------------------------------------------------------------------------ + function sprintf(format : string; value : integer) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if format_type.all = "b" then + if string_length = 0 then + string_length := 8; + end if; + return(sprintf_b(std_ulogic_vector(to_signed(value, string_length+1)(string_length-1 downto 0)))); + elsif format_type.all = "d" then + return(sprintf_d(right_justify, add_sign, fill_char, string_length, value)); + elsif format_type.all = "f" then + return(sprintf_f(right_justify, add_sign, fill_char, + string_length, point_precision, real(value))); + elsif (format_type.all = "X") or (format_type.all = "x") then + if string_length = 0 then + string_length := 8; + end if; + string_length := 4*string_length; + if format_type.all = "X" then + return(sprintf_X(false, std_ulogic_vector(to_signed(value, string_length+1)(string_length-1 downto 0)))); + else + return(lc(sprintf_X(false, std_ulogic_vector(to_signed(value, string_length+1)(string_length-1 downto 0))))); + end if; + else + return("Unhandled format type: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- real + ------------------------------------------------------------------------------ + function sprintf(format : string; value : real) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if (format_type.all = "d") or (point_precision = 0) then + return(sprintf_d(right_justify, add_sign, fill_char, + string_length, integer(value))); + elsif format_type.all = "f" then + return(sprintf_f(right_justify, add_sign, fill_char, + string_length, point_precision, value)); + else + return("Unhandled format type: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- std_logic + ------------------------------------------------------------------------------ + function sprintf(format : string; value : std_logic) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + variable logic_vector: std_logic_vector(1 to 1); + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if (format_type.all = "b") or (format_type.all = "d") or + (format_type.all = "X") or (format_type.all = "x") then + logic_vector(1) := value; + return(sprintf(format, std_ulogic_vector(logic_vector))); + else + return("Not a std_logic format: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- std_ulogic_vector + ------------------------------------------------------------------------------ + function sprintf(format : string; value : std_ulogic_vector) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable bit_string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + bit_string_length, point_precision, format_type); + if format_type.all = "b" then + return(pad(sprintf_b(value), bit_string_length, fill_char, right_justify)); + elsif format_type.all = "d" then + return(sprintf_d(right_justify, add_sign, fill_char, bit_string_length, to_integer(unsigned(value)))); + elsif (format_type.all = "X") or (format_type.all = "x") then + if format_type.all = "X" then + return(pad(sprintf_X(true, value), bit_string_length, fill_char, right_justify)); + else + return(lc(pad(sprintf_X(true, value), bit_string_length, fill_char, right_justify))); + end if; + else + return("Not a std_ulogic_vector format: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- std_logic_vector + ------------------------------------------------------------------------------ + function sprintf(format : string; value : std_logic_vector) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if (format_type.all = "b") or (format_type.all = "d") or + (format_type.all = "X") or (format_type.all = "x") then + return(sprintf(format, std_ulogic_vector(value))); + else + return("Not a std_logic_vector format: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- unsigned + ------------------------------------------------------------------------------ + function sprintf(format : string; value : unsigned) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if (format_type.all = "b") or (format_type.all = "d") or + (format_type.all = "X") or (format_type.all = "x") then + return(sprintf(format, std_ulogic_vector(value))); + else + return("Not an unsigned format: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- signed + ------------------------------------------------------------------------------ + function sprintf(format : string; value : signed) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable bit_string_length : natural; + variable point_precision : natural; + variable format_type : line; + begin + get_format_items(format, right_justify, add_sign, fill_char, + bit_string_length, point_precision, format_type); + if (fill_char = '0') and (value(value'left) = '1') then + fill_char := '1'; + end if; + if format_type.all = "b" then + return(pad(sprintf_b(std_ulogic_vector(value)), bit_string_length, fill_char, right_justify)); + elsif format_type.all = "d" then + return(sprintf_d(right_justify, add_sign, fill_char, bit_string_length, to_integer(signed(value)))); + elsif (format_type.all = "X") or (format_type.all = "x") then + if fill_char = '1' then + fill_char := 'F'; + end if; + if format_type.all = "X" then + return(pad(sprintf_X(true, std_ulogic_vector(value)), bit_string_length, fill_char, right_justify)); + else + return(lc(pad(sprintf_X(true, std_ulogic_vector(value)), bit_string_length, fill_char, right_justify))); + end if; + else + return("Not a signed format: '" & format_type.all & "'"); + end if; + end sprintf; + + ------------------------------------------------------------------------------ + -- time + ------------------------------------------------------------------------------ + function sprintf(format : string; value : time) return string is + variable right_justify : boolean; + variable add_sign : boolean; + variable fill_char : character; + variable string_length : natural; + variable point_precision : natural; + variable format_type : line; + variable scaling : real; + variable base_time : time; + variable unit : string(1 to 3); + begin + get_format_items(format, right_justify, add_sign, fill_char, + string_length, point_precision, format_type); + if format_type.all(format_type.all'left) = 't' then + scaling := 10.0**point_precision; + if format_type.all = "tp" then + base_time := 1 ps; + unit := " ps"; + elsif format_type.all = "tn" then + base_time := 1 ns; + unit := " ns"; + elsif format_type.all = "tu" then + base_time := 1 us; + unit := " us"; + elsif format_type.all = "tm" then + base_time := 1 ms; + unit := " ms"; + elsif format_type.all = "ts" then + base_time := 1 sec; + unit := " s."; + else + return("Undefined time format: '" & format_type.all & "'"); + end if; + if point_precision = 0 then + return(sprintf_d(right_justify, add_sign, fill_char, + string_length, value/base_time) & unit); + else + return(sprintf_f(right_justify, add_sign, fill_char, string_length, + point_precision, real(scaling*value/base_time)/scaling) & unit); + end if; + else + return("Not a time format: '" & format_type.all & "'"); + end if; + end sprintf; + + + --============================================================================ + -- formatted string input + ------------------------------------------------------------------------------ + + ------------------------------------------------------------------------------ + -- read a nibble out of a character + ------------------------------------------------------------------------------ + function sscanf(value : character) return natural is + begin + if (value >= '0') and (value <= '9') then + return(character'pos(value) - character'pos('0')); + elsif (value >= 'a') and (value <= 'f') then + return(character'pos(value) - character'pos('a') + 10); + elsif (value >= 'A') and (value <= 'F') then + return(character'pos(value) - character'pos('A') + 10); + else + return(0); + end if; + end sscanf; + + function sscanf(value : character) return nibbleUnsignedType is + begin + return(to_unsigned(sscanf(value), nibbleUnsignedType'length)); + end sscanf; + + function sscanf(value : character) return nibbleUlogicType is + variable unsigned_value : nibbleUnsignedType; + begin + unsigned_value := sscanf(value); + return(std_ulogic_vector(unsigned_value)); + end sscanf; + + ------------------------------------------------------------------------------ + -- read an binary word out of a string + ------------------------------------------------------------------------------ + function sscanf(value : string) return natural is + variable integer_value : natural; + begin + integer_value := 0; + for index in value'left to value'right loop + integer_value := integer_value*16 + sscanf(value(index)); + end loop; + return(integer_value); + end; + + function sscanf(value : string) return unsigned is + variable unsigned_value : unsigned(4*value'length-1 downto 0); + begin + unsigned_value := to_unsigned(0,unsigned_value'length); + for index in value'left to value'right loop + unsigned_value := shift_left(unsigned_value,4) + to_unsigned(sscanf(value(index)),4); + end loop; + return(unsigned_value); + end; + + function sscanf(value : string) return std_ulogic_vector is + variable unsigned_value : unsigned(4*value'length-1 downto 0); + begin + unsigned_value := sscanf(value); + return(std_ulogic_vector(unsigned_value)); + end; + + ------------------------------------------------------------------------------ + -- read time from a string + -- time can be formated as follows: + -- "1ps" or "1 ps" or " 1 ps " or " 1ps" + -- possible time units are: hr, min, sec, ms, us, ns, ps, fs + ------------------------------------------------------------------------------ + procedure sscanf( + value : inout line; + time_val : out time + ) is + variable time_line : line := value; + variable time_base : string(1 to 3); + variable time_value : integer; + variable time_int : time; + begin + -- remove all spaces and tabs + rm_all_separators(time_line); + + -- strip time base (3 last characters) + time_base := time_line(time_line'right-2 to time_line'right); + + -- separate time value and base + if time_base(2 to 3) = "hr" then + time_int := 1 hr; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + elsif time_base = "min" then + time_int := 1 min; + time_value := integer'value(time_line(time_line'left to time_line'right -3)); + elsif time_base = "sec" then + time_int := 1 sec; + time_value := integer'value(time_line(time_line'left to time_line'right -3)); + elsif time_base(2 to 3) = "ms" then + time_int := 1 ms; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + elsif time_base(2 to 3) = "us" then + time_int := 1 us; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + elsif time_base(2 to 3) = "ns" then + time_int := 1 ns; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + elsif time_base(2 to 3) = "ps" then + time_int := 1 ps; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + elsif time_base(2 to 3) = "fs" then + time_int := 1 fs; + time_value := integer'value(time_line(time_line'left to time_line'right -2)); + else + time_int := 0 ps; + time_value := 1; + end if; + + -- build time from value and base + time_val := time_int * time_value; + + end; + + function sscanf(value : string) return time is + variable value_line : line; + variable time_val : time; + begin + value_line := new string'(value); + sscanf(value_line, time_val); + return(time_val); + end; + +END testUtils; diff --git a/Libs/Common_test/hdl/testUtils_tb_test.vhd b/Libs/Common_test/hdl/testUtils_tb_test.vhd new file mode 100644 index 0000000..23d0239 --- /dev/null +++ b/Libs/Common_test/hdl/testUtils_tb_test.vhd @@ -0,0 +1,102 @@ +LIBRARY std; + USE std.textio.all; +LIBRARY Common_test; + USE Common_test.testUtils.all; + +ARCHITECTURE test OF testUtils_tb IS +BEGIN + + process + variable test_line, result_line : LINE; + begin + + print("Integers, right justified"); + print(" |" & sprintf("%6d", 12) & "| 12|"); + print(" |" & sprintf("%06d", 12) & "|000012|"); + print(" |" & sprintf("%+6d", 12) & "| +12|"); + print(" |" & sprintf("%+06d", 12) & "|+00012|"); + print(" |" & sprintf("%6d", -12) & "| -12|"); + print(" |" & sprintf("%06d", -12) & "|-00012|"); + print("Integers, left justified"); + print(" |" & sprintf("%-6d", 12) & "|12 |"); + print(" |" & sprintf("%-06d", 12) & "|12 |"); + print(" |" & sprintf("%-+6d", 12) & "|+12 |"); + print(" |" & sprintf("%-+06d", 12) & "|+12 |"); + print(" |" & sprintf("%-6d", -12) & "|-12 |"); + print(" |" & sprintf("%-06d", -12) & "|-12 |"); + print("Integers, others"); + print(" |" & sprintf("%d", 12) & "|12|"); + print(" |" & sprintf("%6tu", 12) & "|"); + print(" |" & sprintf("%6d", 123456) & "|123456|"); + print(" |" & sprintf("%6d", 12345678) & "|#45678|"); + print(" |" & sprintf("%f", 12) & "|12.000000|"); + print(" |" & sprintf("%10f", 12) & "| 12.000000|"); + print(" |" & sprintf("%8.3f", 12) & "| 12.000|"); + print(" |" & sprintf("%b", 12) & "|00001100|"); + print(" |" & sprintf("%4b", 12) & "|1100|"); + print(" |" & sprintf("%6b", 12) & "|001100|"); + print(" |" & sprintf("%X", 12) & "|0000000C|"); + print(" |" & sprintf("%4x", 12) & "|000c|"); + print(" |" & sprintf("%2X", 12) & "|0C|"); + + print(cr & "Reals, integer rounding"); + print(" |" & sprintf("%6d", 1.3) & "| 1|"); + print(" |" & sprintf("%6d", 1.5) & "| 2|"); + print(" |" & sprintf("%6d", 1.7) & "| 2|"); + print("Reals, right justified"); + print(" |" & sprintf("%8.3f", 1.03) & "| 1.030|"); + print(" |" & sprintf("%8.3f", 1.07) & "| 1.070|"); + print(" |" & sprintf("%08.3f", 1.03) & "|0001.030|"); + print(" |" & sprintf("%+08.3f", 1.03) & "|+001.030|"); + print(" |" & sprintf("%8.3f", -1.03) & "| -1.030|"); + print(" |" & sprintf("%8.3f", -1.07) & "| -1.070|"); + print("Reals, left justified"); + print(" |" & sprintf("%-8.3f", 1.3) & "|1.300 |"); + print(" |" & sprintf("%-8.3f", 1.7) & "|1.700 |"); + print(" |" & sprintf("%-08.3f", 1.3) & "|1.300 |"); + print(" |" & sprintf("%-+08.3f", 1.3) & "|+1.300 |"); + print(" |" & sprintf("%-8.3f", -1.3) & "|-1.300 |"); + print(" |" & sprintf("%-8.3f", -1.7) & "|-1.700 |"); + + print(cr & "Logic values"); + print(" |" & sprintf("%b", '0') & "|0|"); + print(" |" & sprintf("%3b", '1') & "| 1|"); + print(" |" & sprintf("%-3d", '0') & "|0 |"); + print(" |" & sprintf("%3X", '1') & "| 1|"); + + print(cr & "Logic vectors, binary"); + print(" |" & sprintf("%b", std_ulogic_vector'("1100")) & "|1100|"); + print(" |" & sprintf("%3b", std_logic_vector'("1100")) & "|#00|"); + print(" |" & sprintf("%4b", unsigned'("1100")) & "|1100|"); + print(" |" & sprintf("%8b", signed'("1100")) & "| 1100|"); + print(" |" & sprintf("%-8b", signed'("1100")) & "|1100 |"); + print(" |" & sprintf("%08b", unsigned'("1100")) & "|00001100|"); + print(" |" & sprintf("%08b", signed'("1100")) & "|11111100|"); + print("Logic vectors, hexadecimal"); + print(" |" & sprintf("%X", std_ulogic_vector'("1100101011111110")) & "|CAFE|"); + print(" |" & sprintf("%3X", std_logic_vector'("1100101011111110")) & "|#FE|"); + print(" |" & sprintf("%4x", unsigned'("1100101011111110")) & "|cafe|"); + print(" |" & sprintf("%8X", signed'("1100101011111110")) & "| CAFE|"); + print(" |" & sprintf("%02X", unsigned'("1100")) & "|0C|"); + print(" |" & sprintf("%02X", signed'("1100")) & "|FC|"); + print("Logic vectors, decimal"); + print(" |" & sprintf("%d", std_ulogic_vector'("1100")) & "|12|"); + print(" |" & sprintf("%d", unsigned'("1100")) & "|12|"); + print(" |" & sprintf("%d", signed'("1100")) & "|-4|"); + print("Logic vectors, others"); + print(" |" & sprintf("%8tu", std_ulogic_vector'("1100")) & "|"); + + print(cr & "Time"); + print(" |" & sprintf("%9tu", 1.3 us) & "| 1 us|"); + print(" |" & sprintf("%9.3tu", 1.3 us) & "| 1.300 us|"); + print(" |" & sprintf("%10tu", 1.3 us) & "| 1 us|"); + + print(cr & "Lines"); + test_line := new string'("Hello brave new world!"); + read_first(test_line, result_line); + print(" |" & result_line.all & "¦"& test_line.all & "|Hello¦brave new world!|"); + + wait; + end process; + +END ARCHITECTURE test; diff --git a/Libs/Common_test/hdl/toggler_tester_test.vhd b/Libs/Common_test/hdl/toggler_tester_test.vhd new file mode 100644 index 0000000..ba76bc0 --- /dev/null +++ b/Libs/Common_test/hdl/toggler_tester_test.vhd @@ -0,0 +1,56 @@ +ARCHITECTURE test OF toggler_tester IS + + constant clockFrequency : real := 66.0E6; + constant clockPeriod : time := 1.0/clockFrequency * 1 sec; + signal clock_int : std_ulogic := '1'; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 3*clockPeriod; + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- input signal + process + begin + input <= '0'; + wait for 10*clockPeriod; + -- transition 0 to 1 + input <= '1', + '0' after 1*clockPeriod, + '1' after 3*clockPeriod, + '0' after 5*clockPeriod, + '1' after 6*clockPeriod, + '0' after 8*clockPeriod, + '1' after 10*clockPeriod; + wait for 50*clockPeriod; + -- transition 1 to 0 + input <= '0', + '1' after 1*clockPeriod, + '0' after 3*clockPeriod, + '1' after 5*clockPeriod, + '0' after 6*clockPeriod, + '1' after 8*clockPeriod, + '0' after 10*clockPeriod; + wait for 50*clockPeriod; + -- short 1 pulse + input <= '1', + '0' after 1*clockPeriod, + '1' after 3*clockPeriod, + '0' after 5*clockPeriod, + '1' after 6*clockPeriod, + '0' after 8*clockPeriod; + wait for 50*clockPeriod; + -- further toggle commands + input <= '1', '0' after clockPeriod; + wait for 50*clockPeriod; + input <= '1', '0' after clockPeriod; + wait for 50*clockPeriod; + -- short 1 pulse + -- end of simulation + wait; + end process; + +END ARCHITECTURE test; diff --git a/Libs/Common_test/hds/.hdlsidedata/_clockGenerator_sim.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_clockGenerator_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_clockGenerator_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_commonLib_tb_test.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_commonLib_tb_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_commonLib_tb_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_debouncerULogicVector_tester_RTL.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_debouncerULogicVector_tester_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_debouncerULogicVector_tester_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_debouncer_tester_test.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_debouncer_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_debouncer_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_rotaryToUnsigned_tester_test.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_rotaryToUnsigned_tester_test.vhd._fpf new file mode 100644 index 0000000..e69b3ef --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_rotaryToUnsigned_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_93 diff --git a/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tb_entity.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tb_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tb_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tb_struct.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tb_struct.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tb_struct.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tester_entity.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tester_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_rotarytounsigned_tester_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_spikeFilter_tester_test.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_spikeFilter_tester_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_spikeFilter_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_testUtils_pkg.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_testUtils_pkg.vhd._fpf new file mode 100644 index 0000000..b606e20 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_testUtils_pkg.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2002 diff --git a/Libs/Common_test/hds/.hdlsidedata/_testUtils_pkg_body.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_testUtils_pkg_body.vhd._fpf new file mode 100644 index 0000000..b606e20 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_testUtils_pkg_body.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2002 diff --git a/Libs/Common_test/hds/.hdlsidedata/_testUtils_tb_test.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_testUtils_tb_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_testUtils_tb_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/.hdlsidedata/_toggler_tester_test.vhd._fpf b/Libs/Common_test/hds/.hdlsidedata/_toggler_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Common_test/hds/.hdlsidedata/_toggler_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Common_test/hds/_clockgenerator._epf b/Libs/Common_test/hds/_clockgenerator._epf new file mode 100644 index 0000000..8b8ac62 --- /dev/null +++ b/Libs/Common_test/hds/_clockgenerator._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom clockGenerator_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/Libs/Common_test/hds/_commonlib_tb._epf b/Libs/Common_test/hds/_commonlib_tb._epf new file mode 100644 index 0000000..092da9c --- /dev/null +++ b/Libs/Common_test/hds/_commonlib_tb._epf @@ -0,0 +1,3 @@ +TOP_MARKER atom 1 +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom commonLib_tb_test.vhd diff --git a/Libs/Common_test/hds/_debouncer_tb._epf b/Libs/Common_test/hds/_debouncer_tb._epf new file mode 100644 index 0000000..1c7f3f7 --- /dev/null +++ b/Libs/Common_test/hds/_debouncer_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom debouncer_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Common_test/hds/_debouncer_tester._epf b/Libs/Common_test/hds/_debouncer_tester._epf new file mode 100644 index 0000000..967ba8a --- /dev/null +++ b/Libs/Common_test/hds/_debouncer_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom debouncer_tester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/Libs/Common_test/hds/_debouncerulogicvector_tb._epf b/Libs/Common_test/hds/_debouncerulogicvector_tb._epf new file mode 100644 index 0000000..2101ec7 --- /dev/null +++ b/Libs/Common_test/hds/_debouncerulogicvector_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom debouncer@u@logic@vector_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Common_test/hds/_debouncerulogicvector_tester._epf b/Libs/Common_test/hds/_debouncerulogicvector_tester._epf new file mode 100644 index 0000000..89828c8 --- /dev/null +++ b/Libs/Common_test/hds/_debouncerulogicvector_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom debouncerULogicVector_tester_RTL.vhd diff --git a/Libs/Common_test/hds/_rotarytounsigned_tb._epf b/Libs/Common_test/hds/_rotarytounsigned_tb._epf new file mode 100755 index 0000000..2036a2a --- /dev/null +++ b/Libs/Common_test/hds/_rotarytounsigned_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom rotary@to@unsigned_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Common_test/hds/_rotarytounsigned_tester._epf b/Libs/Common_test/hds/_rotarytounsigned_tester._epf new file mode 100755 index 0000000..f758d03 --- /dev/null +++ b/Libs/Common_test/hds/_rotarytounsigned_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom rotaryToUnsigned_tester_test.vhd diff --git a/Libs/Common_test/hds/_spikefilter_tb._epf b/Libs/Common_test/hds/_spikefilter_tb._epf new file mode 100644 index 0000000..5050211 --- /dev/null +++ b/Libs/Common_test/hds/_spikefilter_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom spike@filter_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Common_test/hds/_testutils_tb._epf b/Libs/Common_test/hds/_testutils_tb._epf new file mode 100644 index 0000000..40137e1 --- /dev/null +++ b/Libs/Common_test/hds/_testutils_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom testUtils_tb_test.vhd +DEFAULT_ARCHITECTURE atom test +TOP_MARKER atom 1 diff --git a/Libs/Common_test/hds/_toggler_tb._epf b/Libs/Common_test/hds/_toggler_tb._epf new file mode 100644 index 0000000..94877cb --- /dev/null +++ b/Libs/Common_test/hds/_toggler_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom toggler_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Common_test/hds/_toggler_tester._epf b/Libs/Common_test/hds/_toggler_tester._epf new file mode 100644 index 0000000..17d8815 --- /dev/null +++ b/Libs/Common_test/hds/_toggler_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom toggler_tester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/Libs/Common_test/hds/clock@generator/symbol.sb b/Libs/Common_test/hds/clock@generator/symbol.sb new file mode 100644 index 0000000..6c2577f --- /dev/null +++ b/Libs/Common_test/hds/clock@generator/symbol.sb @@ -0,0 +1,1499 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "AhbLite" +unitName "ahbLite" +) +] +libraryRefs [ +"ieee" +"AhbLite" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2074,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 320,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_uLogic" +o 1 +suid 2063,0 +) +) +uid 2546,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_uLogic" +o 2 +suid 2064,0 +) +) +uid 2548,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 333,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 254,0 +optionalChildren [ +*18 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 257,0 +) +*19 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 259,0 +) +*20 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 261,0 +) +*21 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 2547,0 +) +*22 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 2549,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 255,0 +optionalChildren [ +*23 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 263,0 +) +*24 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 267,0 +) +*25 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 269,0 +) +*26 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 271,0 +) +*27 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 273,0 +) +*28 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 275,0 +) +*29 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 277,0 +) +*30 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 279,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 253,0 +vaOverrides [ +] +) +] +) +uid 319,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 335,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "100.0E6" +) +uid 3031,0 +) +] +) +pdm (PhysicalDM +uid 336,0 +optionalChildren [ +*44 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *45 (MRCItem +litem &31 +pos 1 +dimension 20 +) +uid 293,0 +optionalChildren [ +*46 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 296,0 +) +*47 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 298,0 +) +*48 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 300,0 +) +*49 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 3032,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 294,0 +optionalChildren [ +*50 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 302,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 306,0 +) +*52 (MRCItem +litem &38 +pos 2 +dimension 199 +uid 308,0 +) +*53 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 310,0 +) +*54 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 312,0 +) +*55 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 314,0 +) +*56 (MRCItem +litem &42 +pos 6 +dimension 309 +uid 316,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 292,0 +vaOverrides [ +] +) +] +) +uid 334,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/clock@generator/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/clock@generator/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/clock@generator" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/clockGenerator" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "clockGenerator" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../NanoBlaze/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "clockGenerator" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/clock@generator/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/clockGenerator/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HDS_LIBS_DIR\\NanoBlaze\\hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "clockGenerator" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*57 (SymbolBody +uid 8,0 +optionalChildren [ +*58 (CptPort +uid 2514,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3056,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,19625,42750,20375" +) +tg (CPTG +uid 2516,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2517,0 +va (VaSet +font "courier,12,0" +) +xt "37200,19300,41000,20700" +st "clock" +ju 2 +blo "41000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2518,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,16500,12300" +st "clock : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_uLogic" +o 1 +suid 2063,0 +) +) +) +*59 (CptPort +uid 2519,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3057,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,21625,42750,22375" +) +tg (CPTG +uid 2521,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2522,0 +va (VaSet +font "courier,12,0" +) +xt "36900,21300,41000,22700" +st "reset" +ju 2 +blo "41000,22500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2523,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,15500,13200" +st "reset : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_uLogic" +o 2 +suid 2064,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "26000,18000,42000,24000" +) +oxt "15000,6000,47000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "26100,23700,33300,24700" +st "Common_test" +blo "26100,24500" +) +second (Text +uid 12,0 +va (VaSet +) +xt "26100,24700,35100,25700" +st "clockGenerator" +blo "26100,25500" +) +) +gi *60 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "26000,28000,41000,30700" +st "Generic Declarations + +clockFrequency real 100.0E6 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "100.0E6" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*61 (Grouping +uid 136,0 +optionalChildren [ +*62 (CommentText +uid 138,0 +shape (Rectangle +uid 139,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 140,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 141,0 +shape (Rectangle +uid 142,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 143,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*64 (CommentText +uid 144,0 +shape (Rectangle +uid 145,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 146,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*65 (CommentText +uid 147,0 +shape (Rectangle +uid 148,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 149,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*66 (CommentText +uid 150,0 +shape (Rectangle +uid 151,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 152,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*67 (CommentText +uid 153,0 +shape (Rectangle +uid 154,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 155,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*68 (CommentText +uid 156,0 +shape (Rectangle +uid 157,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 158,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*69 (CommentText +uid 159,0 +shape (Rectangle +uid 160,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 161,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*70 (CommentText +uid 162,0 +shape (Rectangle +uid 163,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 164,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*71 (CommentText +uid 165,0 +shape (Rectangle +uid 166,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 167,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,53000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 137,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +*72 (CommentGraphic +uid 2462,0 +shape (PolyLine2D +pts [ +"26000,25000" +"42000,25000" +] +uid 2463,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "26000,25000,42000,25000" +) +oxt "47000,44000,63000,44000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *73 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +uid 103,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*75 (MLText +uid 104,0 +va (VaSet +) +xt "0,1000,18600,6000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY AhbLite; + USE AhbLite.ahbLite.all;" +tm "PackageList" +) +] +) +windowSize "117,42,1426,895" +viewArea "-1077,-1077,74593,50226" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "750,1000,4250,2200" +st "Panel0" +blo "750,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,47000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "29100,14700,32600,15700" +st "" +blo "29100,15500" +) +second (Text +va (VaSet +) +xt "29100,15700,31700,16700" +st "" +blo "29100,16500" +) +) +gi *76 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *77 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,9400,5400,10400" +st "Declarations" +blo "0,10200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,10400,2700,11400" +st "Ports:" +blo "0,11200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13200,2500,14100" +st "User:" +blo "0,13900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,9400,5800,10400" +st "Internal User:" +blo "0,10200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14100,2000,14100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,9400,0,9400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 3057,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Common_test/hds/common@lib_tb/symbol.sb b/Libs/Common_test/hds/common@lib_tb/symbol.sb new file mode 100644 index 0000000..83a224d --- /dev/null +++ b/Libs/Common_test/hds/common@lib_tb/symbol.sb @@ -0,0 +1,1276 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 97,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 98,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 101,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 102,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 103,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 104,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 105,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 106,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/common@lib_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/common@lib_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/common@lib_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/commonLib_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "commonLib_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "commonLib_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/common@lib_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/commonLib_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modeltech_6.3g\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "c:\\eda\\modeltech_6.2c\\win32" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "commonLib_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15000,28200,15900" +st "Common_test" +blo "22200,15700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15900,28700,16800" +st "commonLib_tb" +blo "22200,16600" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,62600,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 107,0 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/debouncer@u@logic@vector_tb/struct.bd b/Libs/Common_test/hds/debouncer@u@logic@vector_tb/struct.bd new file mode 100644 index 0000000..6cd630a --- /dev/null +++ b/Libs/Common_test/hds/debouncer@u@logic@vector_tb/struct.bd @@ -0,0 +1,2771 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tb" +duLibraryName "Common_test" +duName "debouncerULogicVector_tester" +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "inputBitNb" +) +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +mwi 0 +uid 377,0 +) +(Instance +name "I_filt" +duLibraryName "Common" +duName "debouncerULogicVector" +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "inputBitNb" +) +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +mwi 0 +uid 6296,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "debouncerULogicVector_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../VerifThin_TB/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncerULogicVector_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "task_perl" +value "c:\\eda\\hds2007.1a\\resources\\perl\\bin\\perl.exe" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "debouncerULogicVector_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-1000,53000,0" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-1000,52400,0" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-5000,57000,-4000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-5000,56800,-4000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-3000,53000,-2000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-3000,52400,-2000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-3000,36000,-2000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-3000,35800,-2000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-4000,73000,0" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-3800,66400,-2800" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,-5000,73000,-4000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,-5000,59000,-4000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-5000,53000,-3000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,-4500,47000,-3500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-2000,36000,-1000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-2000,35200,-1000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-1000,36000,0" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-1000,35800,0" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-2000,53000,-1000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-2000,46400,-1000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,-5000,73000,0" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 377,0 +shape (Rectangle +uid 378,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "19000,-19000,63000,-11000" +) +oxt "64000,30000,150000,40000" +ttg (MlTextGroup +uid 379,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 380,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-10500,25250,-9500" +st "Common_test" +blo "19150,-9700" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 381,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-9500,31950,-8500" +st "debouncerULogicVector_tester" +blo "19150,-8700" +tm "BlkNameMgr" +) +*15 (Text +uid 382,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-8500,20950,-7500" +st "I_tb" +blo "19150,-7700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 383,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 384,0 +text (MLText +uid 385,0 +va (VaSet +font "courier,8,0" +) +xt "19000,-7600,42000,-5800" +st "inputBitNb = inputBitNb ( positive ) +counterBitNb = counterBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "inputBitNb" +) +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +) +viewicon (ZoomableIcon +uid 386,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "19250,-12750,20750,-11250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 6090,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +declText (MLText +uid 6091,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-35700,17000,-34800" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 6098,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +declText (MLText +uid 6099,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-38400,17000,-37500" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 6106,0 +decl (Decl +n "input" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 3 +suid 102,0 +) +declText (MLText +uid 6107,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-36600,29000,-35700" +st "SIGNAL input : std_ulogic_vector(1 TO inputBitNb)" +) +) +*19 (Net +uid 6114,0 +decl (Decl +n "debounced" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 2 +suid 103,0 +) +declText (MLText +uid 6115,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-37500,29000,-36600" +st "SIGNAL debounced : std_ulogic_vector(1 TO inputBitNb)" +) +) +*20 (SaComponent +uid 6296,0 +optionalChildren [ +*21 (CptPort +uid 6280,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6281,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-31375,35000,-30625" +) +tg (CPTG +uid 6282,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6283,0 +va (VaSet +) +xt "36000,-31500,38100,-30500" +st "clock" +blo "36000,-30700" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*22 (CptPort +uid 6284,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6285,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-35375,35000,-34625" +) +tg (CPTG +uid 6286,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6287,0 +va (VaSet +) +xt "36000,-35500,38000,-34500" +st "input" +blo "36000,-34700" +) +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic_vector" +b "(1 to inputBitNb)" +o 2 +suid 2,0 +) +) +) +*23 (CptPort +uid 6288,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6289,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-29375,35000,-28625" +) +tg (CPTG +uid 6290,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6291,0 +va (VaSet +) +xt "36000,-29500,38100,-28500" +st "reset" +blo "36000,-28700" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*24 (CptPort +uid 6292,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6293,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,-35375,51750,-34625" +) +tg (CPTG +uid 6294,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6295,0 +va (VaSet +) +xt "46000,-35500,50000,-34500" +st "debounced" +ju 2 +blo "50000,-34700" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "debounced" +t "std_ulogic_vector" +b "(1 to inputBitNb)" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 6297,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,-39000,51000,-27000" +) +oxt "40000,9000,56000,21000" +ttg (MlTextGroup +uid 6298,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 6299,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-27000,39100,-26000" +st "Common" +blo "35200,-26200" +tm "BdLibraryNameMgr" +) +*26 (Text +uid 6300,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-26000,45500,-25000" +st "debouncerULogicVector" +blo "35200,-25200" +tm "CptNameMgr" +) +*27 (Text +uid 6301,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-25000,37200,-24000" +st "I_filt" +blo "35200,-24200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6302,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6303,0 +text (MLText +uid 6304,0 +va (VaSet +font "courier,8,0" +) +xt "35000,-24200,59200,-21500" +st "inputBitNb = inputBitNb ( positive ) +counterBitNb = counterBitNb ( positive ) +invertInput = '0' ( std_ulogic ) " +) +header "" +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "inputBitNb" +) +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +) +viewicon (ZoomableIcon +uid 6305,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "35250,-28750,36750,-27250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*28 (Wire +uid 6092,0 +shape (OrthoPolyLine +uid 6093,0 +va (VaSet +vasetType 3 +) +xt "33000,-29000,34250,-19000" +pts [ +"34250,-29000" +"33000,-29000" +"33000,-19000" +] +) +start &23 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6096,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6097,0 +va (VaSet +) +xt "31250,-30000,34250,-29000" +st "reset" +blo "31250,-29200" +tm "WireNameMgr" +) +) +on &16 +) +*29 (Wire +uid 6100,0 +shape (OrthoPolyLine +uid 6101,0 +va (VaSet +vasetType 3 +) +xt "31000,-31000,34250,-19000" +pts [ +"34250,-31000" +"31000,-31000" +"31000,-19000" +] +) +start &21 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6104,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6105,0 +va (VaSet +) +xt "31250,-32000,34250,-31000" +st "clock" +blo "31250,-31200" +tm "WireNameMgr" +) +) +on &17 +) +*30 (Wire +uid 6108,0 +shape (OrthoPolyLine +uid 6109,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "27000,-35000,34250,-19000" +pts [ +"34250,-35000" +"27000,-35000" +"27000,-19000" +] +) +start &22 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 6112,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6113,0 +va (VaSet +) +xt "31250,-36000,33250,-35000" +st "input" +blo "31250,-35200" +tm "WireNameMgr" +) +) +on &18 +) +*31 (Wire +uid 6116,0 +shape (OrthoPolyLine +uid 6117,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51750,-35000,55000,-19000" +pts [ +"51750,-35000" +"55000,-35000" +"55000,-19000" +] +) +start &24 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 6120,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6121,0 +va (VaSet +) +xt "53750,-36000,59150,-35000" +st "debounced" +blo "53750,-35200" +tm "WireNameMgr" +) +) +on &19 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *32 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 42,0 +va (VaSet +font "courier,8,1" +) +xt "0,-49000,5400,-48000" +st "Package List" +blo "0,-48200" +) +*34 (MLText +uid 43,0 +va (VaSet +) +xt "0,-48000,18600,-45000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*36 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*37 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*38 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*39 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*40 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*41 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "100,37,1441,900" +viewArea "-1094,-50068,78847,1302" +cachedDiagramExtent "0,-49000,73000,6000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-49000" +lastUid 6367,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*43 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*44 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,5500,3200,6500" +st "I0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +font "courier,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*46 (Text +va (VaSet +font "courier,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*47 (Text +va (VaSet +font "courier,8,1" +) +xt "550,5500,1550,6500" +st "I0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +font "courier,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*49 (Text +va (VaSet +font "courier,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*50 (Text +va (VaSet +font "courier,8,1" +) +xt "900,5500,1900,6500" +st "I0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*52 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*53 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5500,1500,6500" +st "I0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +font "courier,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*55 (Text +va (VaSet +font "courier,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*56 (Text +va (VaSet +font "courier,8,1" +) +xt "50,5500,1050,6500" +st "I0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*58 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*60 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*62 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,-44200,5400,-43200" +st "Declarations" +blo "0,-43400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,-43200,2700,-42200" +st "Ports:" +blo "0,-42400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,-42200,3800,-41200" +st "Pre User:" +blo "0,-41400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-41200,21500,-39400" +st "constant inputBitNb : positive := 3; +constant counterBitNb : positive := 4;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "0,-39400,7100,-38400" +st "Diagram Signals:" +blo "0,-38600" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,-44200,4700,-43200" +st "Post User:" +blo "0,-43400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,-44200,0,-44200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 103,0 +usingSuid 1 +emptyRow *63 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*71 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*72 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*73 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*74 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*75 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*76 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +) +uid 6122,0 +) +*77 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +) +uid 6124,0 +) +*78 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "input" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 3 +suid 102,0 +) +) +uid 6126,0 +) +*79 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "debounced" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 2 +suid 103,0 +) +) +uid 6128,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*80 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *81 (MRCItem +litem &63 +pos 4 +dimension 20 +) +uid 69,0 +optionalChildren [ +*82 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 70,0 +) +*83 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 71,0 +) +*84 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*85 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 6123,0 +) +*86 (MRCItem +litem &77 +pos 1 +dimension 20 +uid 6125,0 +) +*87 (MRCItem +litem &78 +pos 2 +dimension 20 +uid 6127,0 +) +*88 (MRCItem +litem &79 +pos 3 +dimension 20 +uid 6129,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*89 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 74,0 +) +*90 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 75,0 +) +*91 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 76,0 +) +*92 (MRCItem +litem &71 +pos 3 +dimension 50 +uid 77,0 +) +*93 (MRCItem +litem &72 +pos 4 +dimension 100 +uid 78,0 +) +*94 (MRCItem +litem &73 +pos 5 +dimension 100 +uid 79,0 +) +*95 (MRCItem +litem &74 +pos 6 +dimension 50 +uid 80,0 +) +*96 (MRCItem +litem &75 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *97 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*98 (RefLabelRowHdr +) +*99 (TitleRowHdr +) +*100 (FilterRowHdr +) +*101 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*102 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*103 (GroupColHdr +tm "GroupColHdrMgr" +) +*104 (NameColHdr +tm "GenericNameColHdrMgr" +) +*105 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*106 (InitColHdr +tm "GenericValueColHdrMgr" +) +*107 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*108 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*109 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *110 (MRCItem +litem &97 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*111 (MRCItem +litem &98 +pos 0 +dimension 20 +uid 98,0 +) +*112 (MRCItem +litem &99 +pos 1 +dimension 23 +uid 99,0 +) +*113 (MRCItem +litem &100 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*114 (MRCItem +litem &101 +pos 0 +dimension 20 +uid 102,0 +) +*115 (MRCItem +litem &103 +pos 1 +dimension 50 +uid 103,0 +) +*116 (MRCItem +litem &104 +pos 2 +dimension 100 +uid 104,0 +) +*117 (MRCItem +litem &105 +pos 3 +dimension 100 +uid 105,0 +) +*118 (MRCItem +litem &106 +pos 4 +dimension 50 +uid 106,0 +) +*119 (MRCItem +litem &107 +pos 5 +dimension 50 +uid 107,0 +) +*120 (MRCItem +litem &108 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb b/Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb new file mode 100644 index 0000000..7ee2216 --- /dev/null +++ b/Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb @@ -0,0 +1,1278 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 97,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 98,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 101,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 102,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 103,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 104,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 105,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 106,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "debouncerULogicVector_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:50" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncerULogicVector_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modeltech_6.3g\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "c:\\eda\\modeltech_6.2c\\win32" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:50" +) +(vvPair +variable "unit" +value "debouncerULogicVector_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15000,28200,15900" +st "Common_test" +blo "22200,15700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15900,34700,16800" +st "debouncerULogicVector_tb" +blo "22200,16600" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,62600,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 107,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/debouncer@u@logic@vector_tester/interface b/Libs/Common_test/hds/debouncer@u@logic@vector_tester/interface new file mode 100644 index 0000000..5fdf9b1 --- /dev/null +++ b/Libs/Common_test/hds/debouncer@u@logic@vector_tester/interface @@ -0,0 +1,1252 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 28,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 49,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 25,0 +) +) +uid 475,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "debounced" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 2 +suid 26,0 +) +) +uid 477,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 3 +suid 27,0 +) +) +uid 479,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 28,0 +) +) +uid 481,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 64,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 65,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 66,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 476,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 478,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 480,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 482,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 69,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 70,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 71,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 73,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 75,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 76,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 48,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 78,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "inputBitNb" +type "positive" +value "" +) +uid 229,0 +) +*48 (LogGeneric +generic (GiElement +name "counterBitNb" +type "positive" +value "" +) +uid 305,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 90,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 92,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 93,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 94,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 95,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 230,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 306,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 97,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 98,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 99,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 100,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 101,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 102,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 91,0 +vaOverrides [ +] +) +] +) +uid 77,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "debouncerULogicVector_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncerULogicVector_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "debouncerULogicVector_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 47,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 455,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 456,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 457,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 458,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,9500" +st "clock" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 459,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3300,60500,4200" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 25,0 +) +) +) +*65 (CptPort +uid 460,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 461,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 462,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 463,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "50550,7000,51450,11500" +st "debounced" +ju 2 +blo "51250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 464,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2400,72500,3300" +st "debounced : IN std_ulogic_vector (1 TO inputBitNb) ; +" +) +thePort (LogicalPort +decl (Decl +n "debounced" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 2 +suid 26,0 +) +) +) +*66 (CptPort +uid 465,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 466,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 467,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 468,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,9500" +st "input" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 469,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4200,72500,5100" +st "input : OUT std_ulogic_vector (1 TO inputBitNb) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic_vector" +b "(1 TO inputBitNb)" +o 3 +suid 27,0 +) +) +) +*67 (CptPort +uid 470,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 471,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 472,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 473,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9500" +st "reset" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 474,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5100,59500,6000" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 28,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,59000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "29750,9100,35750,10000" +st "Common_test" +blo "29750,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "29750,10000,44250,10900" +st "debouncerULogicVector_tester" +blo "29750,10700" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,9,0" +) +xt "14000,6000,26500,9600" +st "Generic Declarations + +inputBitNb positive +counterBitNb positive " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "inputBitNb" +type "positive" +value "" +) +(GiElement +name "counterBitNb" +type "positive" +value "" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *69 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +uid 17,0 +va (VaSet +font "courier,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*71 (MLText +uid 18,0 +va (VaSet +font "courier,9,0" +) +xt "0,1200,15500,3900" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "72,45,1089,735" +viewArea "-500,-500,71320,48820" +cachedDiagramExtent "0,0,74000,14000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Common_test" +entityName "debouncerULogicVector_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,31000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "20300,14800,25700,16000" +st "" +blo "20300,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "20300,16000,24200,17200" +st "" +blo "20300,17000" +) +) +gi *72 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,9,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *73 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,9,1" +) +xt "42000,6000,44500,6900" +st "User:" +blo "42000,6700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,9,0" +) +xt "44000,6900,44000,6900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 482,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Common_test/hds/debouncer_tb/struct.bd b/Libs/Common_test/hds/debouncer_tb/struct.bd new file mode 100644 index 0000000..6bf6f9f --- /dev/null +++ b/Libs/Common_test/hds/debouncer_tb/struct.bd @@ -0,0 +1,2714 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tb" +duLibraryName "Common_test" +duName "debouncer_tester" +elements [ +] +mwi 0 +uid 377,0 +) +(Instance +name "I_filt" +duLibraryName "Common" +duName "debouncer" +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +mwi 0 +uid 6208,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "debouncer_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../VerifThin_TB/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncer_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "task_perl" +value "c:\\eda\\hds2007.1a\\resources\\perl\\bin\\perl.exe" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "debouncer_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-1000,53000,0" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-1000,52400,0" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-5000,57000,-4000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-5000,56800,-4000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-3000,53000,-2000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-3000,52400,-2000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-3000,36000,-2000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-3000,35800,-2000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-4000,73000,0" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-3800,66400,-2800" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,-5000,73000,-4000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,-5000,59000,-4000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-5000,53000,-3000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,-4500,47000,-3500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-2000,36000,-1000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-2000,35200,-1000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-1000,36000,0" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-1000,35800,0" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-2000,53000,-1000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-2000,51800,-1000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,-5000,73000,0" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 377,0 +shape (Rectangle +uid 378,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "19000,-19000,63000,-11000" +) +oxt "64000,30000,150000,40000" +ttg (MlTextGroup +uid 379,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 380,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-10500,25250,-9500" +st "Common_test" +blo "19150,-9700" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 381,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-9500,26450,-8500" +st "debouncer_tester" +blo "19150,-8700" +tm "BlkNameMgr" +) +*15 (Text +uid 382,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-8500,20950,-7500" +st "I_tb" +blo "19150,-7700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 383,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 384,0 +text (MLText +uid 385,0 +va (VaSet +font "courier,8,0" +) +xt "19000,-7600,42500,-6000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 386,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "19250,-12750,20750,-11250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 6090,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +declText (MLText +uid 6091,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-36600,17000,-35700" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 6098,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +declText (MLText +uid 6099,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-39300,17000,-38400" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 6106,0 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 102,0 +) +declText (MLText +uid 6107,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-37500,17000,-36600" +st "SIGNAL input : std_ulogic" +) +) +*19 (Net +uid 6114,0 +decl (Decl +n "debounced" +t "std_ulogic" +o 2 +suid 103,0 +) +declText (MLText +uid 6115,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-38400,17000,-37500" +st "SIGNAL debounced : std_ulogic" +) +) +*20 (SaComponent +uid 6208,0 +optionalChildren [ +*21 (CptPort +uid 6192,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6193,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-31375,35000,-30625" +) +tg (CPTG +uid 6194,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6195,0 +va (VaSet +) +xt "36000,-31500,38100,-30500" +st "clock" +blo "36000,-30700" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*22 (CptPort +uid 6196,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6197,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-35375,35000,-34625" +) +tg (CPTG +uid 6198,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6199,0 +va (VaSet +) +xt "36000,-35500,38000,-34500" +st "input" +blo "36000,-34700" +) +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*23 (CptPort +uid 6200,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6201,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-29375,35000,-28625" +) +tg (CPTG +uid 6202,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6203,0 +va (VaSet +) +xt "36000,-29500,38100,-28500" +st "reset" +blo "36000,-28700" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*24 (CptPort +uid 6204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6205,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,-35375,51750,-34625" +) +tg (CPTG +uid 6206,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6207,0 +va (VaSet +) +xt "46000,-35500,50000,-34500" +st "debounced" +ju 2 +blo "50000,-34700" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "debounced" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 6209,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,-39000,51000,-27000" +) +oxt "40000,9000,56000,21000" +ttg (MlTextGroup +uid 6210,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 6211,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-27000,39100,-26000" +st "Common" +blo "35200,-26200" +tm "BdLibraryNameMgr" +) +*26 (Text +uid 6212,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-26000,39600,-25000" +st "debouncer" +blo "35200,-25200" +tm "CptNameMgr" +) +*27 (Text +uid 6213,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-25000,37200,-24000" +st "I_filt" +blo "35200,-24200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6214,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6215,0 +text (MLText +uid 6216,0 +va (VaSet +font "courier,8,0" +) +xt "35000,-24200,58000,-23300" +st "counterBitNb = counterBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +] +) +viewicon (ZoomableIcon +uid 6217,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "35250,-28750,36750,-27250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*28 (Wire +uid 6092,0 +shape (OrthoPolyLine +uid 6093,0 +va (VaSet +vasetType 3 +) +xt "33000,-29000,34250,-19000" +pts [ +"34250,-29000" +"33000,-29000" +"33000,-19000" +] +) +start &23 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6096,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6097,0 +va (VaSet +) +xt "31250,-30000,34250,-29000" +st "reset" +blo "31250,-29200" +tm "WireNameMgr" +) +) +on &16 +) +*29 (Wire +uid 6100,0 +shape (OrthoPolyLine +uid 6101,0 +va (VaSet +vasetType 3 +) +xt "31000,-31000,34250,-19000" +pts [ +"34250,-31000" +"31000,-31000" +"31000,-19000" +] +) +start &21 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6104,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6105,0 +va (VaSet +) +xt "31250,-32000,34250,-31000" +st "clock" +blo "31250,-31200" +tm "WireNameMgr" +) +) +on &17 +) +*30 (Wire +uid 6108,0 +shape (OrthoPolyLine +uid 6109,0 +va (VaSet +vasetType 3 +) +xt "27000,-35000,34250,-19000" +pts [ +"34250,-35000" +"27000,-35000" +"27000,-19000" +] +) +start &22 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6112,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6113,0 +va (VaSet +) +xt "31250,-36000,33250,-35000" +st "input" +blo "31250,-35200" +tm "WireNameMgr" +) +) +on &18 +) +*31 (Wire +uid 6116,0 +shape (OrthoPolyLine +uid 6117,0 +va (VaSet +vasetType 3 +) +xt "51750,-35000,55000,-19000" +pts [ +"51750,-35000" +"55000,-35000" +"55000,-19000" +] +) +start &24 +end &12 +sat 32 +eat 1 +st 0 +sf 1 +tg (WTG +uid 6120,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6121,0 +va (VaSet +) +xt "53750,-36000,59150,-35000" +st "debounced" +blo "53750,-35200" +tm "WireNameMgr" +) +) +on &19 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *32 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 42,0 +va (VaSet +font "courier,8,1" +) +xt "0,-49000,5400,-48000" +st "Package List" +blo "0,-48200" +) +*34 (MLText +uid 43,0 +va (VaSet +) +xt "0,-48000,18600,-45000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*36 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*37 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*38 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*39 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*40 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*41 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "101,37,1441,900" +viewArea "-1100,-50100,76249,1581" +cachedDiagramExtent "0,-49000,73000,6000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-49000" +lastUid 6279,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*43 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*44 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,5500,3200,6500" +st "I0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +font "courier,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*46 (Text +va (VaSet +font "courier,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*47 (Text +va (VaSet +font "courier,8,1" +) +xt "550,5500,1550,6500" +st "I0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +font "courier,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*49 (Text +va (VaSet +font "courier,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*50 (Text +va (VaSet +font "courier,8,1" +) +xt "900,5500,1900,6500" +st "I0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*52 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*53 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5500,1500,6500" +st "I0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +font "courier,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*55 (Text +va (VaSet +font "courier,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*56 (Text +va (VaSet +font "courier,8,1" +) +xt "50,5500,1050,6500" +st "I0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*58 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*60 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*62 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,-44200,5400,-43200" +st "Declarations" +blo "0,-43400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,-43200,2700,-42200" +st "Ports:" +blo "0,-42400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,-42200,3800,-41200" +st "Pre User:" +blo "0,-41400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-41200,21500,-40300" +st "constant counterBitNb : positive := 4;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "0,-40300,7100,-39300" +st "Diagram Signals:" +blo "0,-39500" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,-44200,4700,-43200" +st "Post User:" +blo "0,-43400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,-44200,0,-44200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 103,0 +usingSuid 1 +emptyRow *63 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*71 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*72 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*73 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*74 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*75 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*76 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +) +uid 6122,0 +) +*77 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +) +uid 6124,0 +) +*78 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 102,0 +) +) +uid 6126,0 +) +*79 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "debounced" +t "std_ulogic" +o 2 +suid 103,0 +) +) +uid 6128,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*80 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *81 (MRCItem +litem &63 +pos 4 +dimension 20 +) +uid 69,0 +optionalChildren [ +*82 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 70,0 +) +*83 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 71,0 +) +*84 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*85 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 6123,0 +) +*86 (MRCItem +litem &77 +pos 1 +dimension 20 +uid 6125,0 +) +*87 (MRCItem +litem &78 +pos 2 +dimension 20 +uid 6127,0 +) +*88 (MRCItem +litem &79 +pos 3 +dimension 20 +uid 6129,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*89 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 74,0 +) +*90 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 75,0 +) +*91 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 76,0 +) +*92 (MRCItem +litem &71 +pos 3 +dimension 50 +uid 77,0 +) +*93 (MRCItem +litem &72 +pos 4 +dimension 100 +uid 78,0 +) +*94 (MRCItem +litem &73 +pos 5 +dimension 100 +uid 79,0 +) +*95 (MRCItem +litem &74 +pos 6 +dimension 50 +uid 80,0 +) +*96 (MRCItem +litem &75 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *97 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*98 (RefLabelRowHdr +) +*99 (TitleRowHdr +) +*100 (FilterRowHdr +) +*101 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*102 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*103 (GroupColHdr +tm "GroupColHdrMgr" +) +*104 (NameColHdr +tm "GenericNameColHdrMgr" +) +*105 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*106 (InitColHdr +tm "GenericValueColHdrMgr" +) +*107 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*108 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*109 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *110 (MRCItem +litem &97 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*111 (MRCItem +litem &98 +pos 0 +dimension 20 +uid 98,0 +) +*112 (MRCItem +litem &99 +pos 1 +dimension 23 +uid 99,0 +) +*113 (MRCItem +litem &100 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*114 (MRCItem +litem &101 +pos 0 +dimension 20 +uid 102,0 +) +*115 (MRCItem +litem &103 +pos 1 +dimension 50 +uid 103,0 +) +*116 (MRCItem +litem &104 +pos 2 +dimension 100 +uid 104,0 +) +*117 (MRCItem +litem &105 +pos 3 +dimension 100 +uid 105,0 +) +*118 (MRCItem +litem &106 +pos 4 +dimension 50 +uid 106,0 +) +*119 (MRCItem +litem &107 +pos 5 +dimension 50 +uid 107,0 +) +*120 (MRCItem +litem &108 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Common_test/hds/debouncer_tb/symbol.sb b/Libs/Common_test/hds/debouncer_tb/symbol.sb new file mode 100644 index 0000000..7a17155 --- /dev/null +++ b/Libs/Common_test/hds/debouncer_tb/symbol.sb @@ -0,0 +1,1278 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 97,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 98,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 101,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 102,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 103,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 104,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 105,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 106,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "debouncer_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncer_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modeltech_6.3g\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "c:\\eda\\modeltech_6.2c\\win32" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "debouncer_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15000,28200,15900" +st "Common_test" +blo "22200,15700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15900,28700,16800" +st "debouncer_tb" +blo "22200,16600" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,62600,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 107,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/debouncer_tester/interface b/Libs/Common_test/hds/debouncer_tester/interface new file mode 100644 index 0000000..4dd7ca3 --- /dev/null +++ b/Libs/Common_test/hds/debouncer_tester/interface @@ -0,0 +1,1523 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 12,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 81,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 9,0 +) +) +uid 253,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "debounced" +t "std_ulogic" +o 2 +suid 10,0 +) +) +uid 255,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 11,0 +) +) +uid 257,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 12,0 +) +) +uid 259,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 254,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 256,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 258,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 260,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 101,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 102,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 103,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 104,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 105,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 106,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 107,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 108,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 80,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 124,0 +optionalChildren [ +*49 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 125,0 +) +*50 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 126,0 +) +*51 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*52 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 129,0 +) +*53 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 130,0 +) +*54 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 131,0 +) +*55 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 132,0 +) +*56 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 133,0 +) +*57 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 134,0 +) +*58 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 135,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "debouncer_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncer_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "debouncer_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 233,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 234,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 235,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 236,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,9500" +st "clock" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 237,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,60500,3800" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 9,0 +) +) +) +*61 (CptPort +uid 238,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 239,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 240,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 241,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "50550,7000,51450,11500" +st "debounced" +ju 2 +blo "51250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 242,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,60500,2900" +st "debounced : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "debounced" +t "std_ulogic" +o 2 +suid 10,0 +) +) +) +*62 (CptPort +uid 243,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 244,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 245,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 246,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,9500" +st "input" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 247,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,60500,4700" +st "input : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 11,0 +) +) +) +*63 (CptPort +uid 248,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 249,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 250,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 251,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9500" +st "reset" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 252,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,59500,5600" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 12,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,59000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "32750,9100,38750,10000" +st "Common_test" +blo "32750,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "32750,10000,41250,10900" +st "debouncer_tester" +blo "32750,10700" +) +) +gi *64 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "13000,6000,23500,6900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*65 (Grouping +uid 16,0 +optionalChildren [ +*66 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *76 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*78 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Common_test" +entityName "debouncer_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *79 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *80 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,5600,44500,6500" +st "User:" +blo "42000,6300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,44000,6500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 260,0 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/rotary@to@unsigned_tb/struct.bd b/Libs/Common_test/hds/rotary@to@unsigned_tb/struct.bd new file mode 100644 index 0000000..766893e --- /dev/null +++ b/Libs/Common_test/hds/rotary@to@unsigned_tb/struct.bd @@ -0,0 +1,2783 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tb" +duLibraryName "Common_test" +duName "rotaryToUnsigned_tester" +elements [ +(GiElement +name "rotaryBitNb" +type "positive" +value "rotaryBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "outputBitNb" +) +] +mwi 0 +uid 377,0 +) +(Instance +name "I_DUT" +duLibraryName "Common" +duName "rotaryToUnsigned" +elements [ +(GiElement +name "rotaryBitNb" +type "positive" +value "rotaryBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "outputBitNb" +) +(GiElement +name "counterBitNb" +type "positive" +value "deglitchCounterBitNb" +) +] +mwi 0 +uid 6518,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotaryToUnsigned_tb" +) +(vvPair +variable "date" +value "11/07/19" +) +(vvPair +variable "day" +value "Thu" +) +(vvPair +variable "day_long" +value "Thursday" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "rotaryToUnsigned_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "11/07/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:40:36" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../VerifThin_TB/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/$DESIGN_NAME/Common_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "rotaryToUnsigned_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotaryToUnsigned_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "task_perl" +value "c:\\eda\\hds2007.1a\\resources\\perl\\bin\\perl.exe" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:40:36" +) +(vvPair +variable "unit" +value "rotaryToUnsigned_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-1000,53000,0" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-1000,52400,0" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-5000,57000,-4000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-5000,56800,-4000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-3000,53000,-2000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-3000,52400,-2000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-3000,36000,-2000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-3000,35800,-2000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-4000,73000,0" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-3800,66400,-2800" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,-5000,73000,-4000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,-5000,59000,-4000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-5000,53000,-3000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,-4500,47000,-3500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-2000,36000,-1000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-2000,35200,-1000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-1000,36000,0" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-1000,35800,0" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-2000,53000,-1000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-2000,46400,-1000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,-5000,73000,0" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 377,0 +shape (Rectangle +uid 378,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "19000,-19000,63000,-11000" +) +oxt "64000,30000,150000,40000" +ttg (MlTextGroup +uid 379,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 380,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-10500,25150,-9600" +st "Common_test" +blo "19150,-9800" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 381,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-9500,31150,-8600" +st "rotaryToUnsigned_tester" +blo "19150,-8800" +tm "BlkNameMgr" +) +*15 (Text +uid 382,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-8500,20950,-7500" +st "I_tb" +blo "19150,-7700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 383,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 384,0 +text (MLText +uid 385,0 +va (VaSet +font "courier,8,0" +) +xt "19000,-7600,41000,-5800" +st "rotaryBitNb = rotaryBitNb ( positive ) +outputBitNb = outputBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "rotaryBitNb" +type "positive" +value "rotaryBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "outputBitNb" +) +] +) +viewicon (ZoomableIcon +uid 386,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "19250,-12750,20750,-11250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 6090,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +declText (MLText +uid 6091,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-35700,15500,-34800" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 6098,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +declText (MLText +uid 6099,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-37500,15500,-36600" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 6456,0 +decl (Decl +n "rotary" +t "unsigned" +b "(rotaryBitNb-1 downto 0)" +o 3 +suid 104,0 +) +declText (MLText +uid 6457,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-34800,26500,-33900" +st "SIGNAL rotary : unsigned(rotaryBitNb-1 downto 0)" +) +) +*19 (Net +uid 6464,0 +lang 11 +decl (Decl +n "number" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 4 +suid 105,0 +) +declText (MLText +uid 6465,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-36600,26500,-35700" +st "SIGNAL number : unsigned(outputBitNb-1 DOWNTO 0)" +) +) +*20 (SaComponent +uid 6518,0 +optionalChildren [ +*21 (CptPort +uid 6502,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6503,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,-35375,51750,-34625" +) +tg (CPTG +uid 6504,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6505,0 +va (VaSet +) +xt "46400,-35500,50000,-34500" +st "number" +ju 2 +blo "50000,-34700" +) +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "number" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 3 +suid 1,0 +) +) +) +*22 (CptPort +uid 6506,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6507,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-35375,35000,-34625" +) +tg (CPTG +uid 6508,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6509,0 +va (VaSet +) +xt "36000,-35500,39600,-34500" +st "rotary" +blo "36000,-34700" +) +) +thePort (LogicalPort +decl (Decl +n "rotary" +t "unsigned" +b "(rotaryBitNb-1 downto 0)" +o 4 +suid 2,0 +) +) +) +*23 (CptPort +uid 6510,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6511,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-31375,35000,-30625" +) +tg (CPTG +uid 6512,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6513,0 +va (VaSet +) +xt "36000,-31500,39000,-30500" +st "clock" +blo "36000,-30700" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*24 (CptPort +uid 6514,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6515,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-29375,35000,-28625" +) +tg (CPTG +uid 6516,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6517,0 +va (VaSet +) +xt "36000,-29500,39000,-28500" +st "reset" +blo "36000,-28700" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 9,0 +) +) +) +] +shape (Rectangle +uid 6519,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,-39000,51000,-27000" +) +oxt "26000,16000,42000,28000" +ttg (MlTextGroup +uid 6520,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 6521,0 +va (VaSet +font "courier,8,1" +) +xt "35250,-27000,38250,-26100" +st "Common" +blo "35250,-26300" +tm "BdLibraryNameMgr" +) +*26 (Text +uid 6522,0 +va (VaSet +font "courier,8,1" +) +xt "35250,-26100,43750,-25200" +st "rotaryToUnsigned" +blo "35250,-25400" +tm "CptNameMgr" +) +*27 (Text +uid 6523,0 +va (VaSet +font "courier,8,1" +) +xt "35250,-25200,37750,-24300" +st "I_DUT" +blo "35250,-24500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6524,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6525,0 +text (MLText +uid 6526,0 +va (VaSet +font "courier,8,0" +) +xt "35000,-24200,62000,-21500" +st "rotaryBitNb = rotaryBitNb ( positive ) +outputBitNb = outputBitNb ( positive ) +counterBitNb = deglitchCounterBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "rotaryBitNb" +type "positive" +value "rotaryBitNb" +) +(GiElement +name "outputBitNb" +type "positive" +value "outputBitNb" +) +(GiElement +name "counterBitNb" +type "positive" +value "deglitchCounterBitNb" +) +] +) +viewicon (ZoomableIcon +uid 6527,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "35250,-28750,36750,-27250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*28 (Wire +uid 6092,0 +shape (OrthoPolyLine +uid 6093,0 +va (VaSet +vasetType 3 +) +xt "33000,-29000,34250,-19000" +pts [ +"34250,-29000" +"33000,-29000" +"33000,-19000" +] +) +start &24 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6096,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6097,0 +va (VaSet +) +xt "31250,-30000,34250,-29000" +st "reset" +blo "31250,-29200" +tm "WireNameMgr" +) +) +on &16 +) +*29 (Wire +uid 6100,0 +shape (OrthoPolyLine +uid 6101,0 +va (VaSet +vasetType 3 +) +xt "31000,-31000,34250,-19000" +pts [ +"34250,-31000" +"31000,-31000" +"31000,-19000" +] +) +start &23 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6104,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6105,0 +va (VaSet +) +xt "31250,-32000,34250,-31000" +st "clock" +blo "31250,-31200" +tm "WireNameMgr" +) +) +on &17 +) +*30 (Wire +uid 6458,0 +shape (OrthoPolyLine +uid 6459,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "27000,-35000,34250,-19000" +pts [ +"34250,-35000" +"27000,-35000" +"27000,-19000" +] +) +start &22 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6462,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6463,0 +va (VaSet +) +xt "29250,-36000,32850,-35000" +st "rotary" +blo "29250,-35200" +tm "WireNameMgr" +) +) +on &18 +) +*31 (Wire +uid 6466,0 +shape (OrthoPolyLine +uid 6467,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51750,-35000,55000,-19000" +pts [ +"51750,-35000" +"55000,-35000" +"55000,-19000" +] +) +start &21 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6470,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6471,0 +va (VaSet +) +xt "53750,-36000,57350,-35000" +st "number" +blo "53750,-35200" +tm "WireNameMgr" +) +) +on &19 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *32 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 42,0 +va (VaSet +font "courier,8,1" +) +xt "0,-49000,5400,-48000" +st "Package List" +blo "0,-48200" +) +*34 (MLText +uid 43,0 +va (VaSet +) +xt "0,-48000,18600,-45000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*36 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*37 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*38 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*39 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*40 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*41 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "92,43,1433,906" +viewArea "-1096,-50068,78670,-625" +cachedDiagramExtent "0,-49000,73000,6000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-49000" +lastUid 6527,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*43 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*44 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,5500,3200,6500" +st "I0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +font "courier,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*46 (Text +va (VaSet +font "courier,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*47 (Text +va (VaSet +font "courier,8,1" +) +xt "550,5500,1550,6500" +st "I0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +font "courier,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*49 (Text +va (VaSet +font "courier,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*50 (Text +va (VaSet +font "courier,8,1" +) +xt "900,5500,1900,6500" +st "I0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*52 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*53 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5500,1500,6500" +st "I0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +font "courier,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*55 (Text +va (VaSet +font "courier,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*56 (Text +va (VaSet +font "courier,8,1" +) +xt "50,5500,1050,6500" +st "I0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*58 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*60 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*62 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,-44200,5400,-43200" +st "Declarations" +blo "0,-43400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,-43200,2700,-42200" +st "Ports:" +blo "0,-42400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,-42200,3800,-41200" +st "Pre User:" +blo "0,-41400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-41200,25500,-38500" +st "constant rotaryBitNb : positive := 4; +constant outputBitNb : positive := 6; +constant deglitchCounterBitNb : positive := 4;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "0,-38500,7100,-37500" +st "Diagram Signals:" +blo "0,-37700" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,-44200,4700,-43200" +st "Post User:" +blo "0,-43400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,-44200,0,-44200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 105,0 +usingSuid 1 +emptyRow *63 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*71 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*72 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*73 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*74 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*75 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*76 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +) +uid 6122,0 +) +*77 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +) +uid 6124,0 +) +*78 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "rotary" +t "unsigned" +b "(rotaryBitNb-1 downto 0)" +o 3 +suid 104,0 +) +) +uid 6472,0 +) +*79 (LeafLogPort +port (LogicalPort +lang 11 +m 4 +decl (Decl +n "number" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 4 +suid 105,0 +) +) +uid 6474,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*80 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *81 (MRCItem +litem &63 +pos 4 +dimension 20 +) +uid 69,0 +optionalChildren [ +*82 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 70,0 +) +*83 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 71,0 +) +*84 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*85 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 6123,0 +) +*86 (MRCItem +litem &77 +pos 1 +dimension 20 +uid 6125,0 +) +*87 (MRCItem +litem &78 +pos 2 +dimension 20 +uid 6473,0 +) +*88 (MRCItem +litem &79 +pos 3 +dimension 20 +uid 6475,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*89 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 74,0 +) +*90 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 75,0 +) +*91 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 76,0 +) +*92 (MRCItem +litem &71 +pos 3 +dimension 50 +uid 77,0 +) +*93 (MRCItem +litem &72 +pos 4 +dimension 100 +uid 78,0 +) +*94 (MRCItem +litem &73 +pos 5 +dimension 100 +uid 79,0 +) +*95 (MRCItem +litem &74 +pos 6 +dimension 50 +uid 80,0 +) +*96 (MRCItem +litem &75 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *97 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*98 (RefLabelRowHdr +) +*99 (TitleRowHdr +) +*100 (FilterRowHdr +) +*101 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*102 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*103 (GroupColHdr +tm "GroupColHdrMgr" +) +*104 (NameColHdr +tm "GenericNameColHdrMgr" +) +*105 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*106 (InitColHdr +tm "GenericValueColHdrMgr" +) +*107 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*108 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*109 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *110 (MRCItem +litem &97 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*111 (MRCItem +litem &98 +pos 0 +dimension 20 +uid 98,0 +) +*112 (MRCItem +litem &99 +pos 1 +dimension 23 +uid 99,0 +) +*113 (MRCItem +litem &100 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*114 (MRCItem +litem &101 +pos 0 +dimension 20 +uid 102,0 +) +*115 (MRCItem +litem &103 +pos 1 +dimension 50 +uid 103,0 +) +*116 (MRCItem +litem &104 +pos 2 +dimension 100 +uid 104,0 +) +*117 (MRCItem +litem &105 +pos 3 +dimension 100 +uid 105,0 +) +*118 (MRCItem +litem &106 +pos 4 +dimension 50 +uid 106,0 +) +*119 (MRCItem +litem &107 +pos 5 +dimension 50 +uid 107,0 +) +*120 (MRCItem +litem &108 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Common_test/hds/rotary@to@unsigned_tb/symbol.sb b/Libs/Common_test/hds/rotary@to@unsigned_tb/symbol.sb new file mode 100644 index 0000000..7ee2216 --- /dev/null +++ b/Libs/Common_test/hds/rotary@to@unsigned_tb/symbol.sb @@ -0,0 +1,1278 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 97,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 98,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 101,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 102,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 103,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 104,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 105,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 106,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "debouncerULogicVector_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:50" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "debouncerULogicVector_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncer@u@logic@vector_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/debouncerULogicVector_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modeltech_6.3g\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "c:\\eda\\modeltech_6.2c\\win32" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:50" +) +(vvPair +variable "unit" +value "debouncerULogicVector_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15000,28200,15900" +st "Common_test" +blo "22200,15700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15900,34700,16800" +st "debouncerULogicVector_tb" +blo "22200,16600" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,62600,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 107,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/rotary@to@unsigned_tester/interface b/Libs/Common_test/hds/rotary@to@unsigned_tester/interface new file mode 100644 index 0000000..baea531 --- /dev/null +++ b/Libs/Common_test/hds/rotary@to@unsigned_tester/interface @@ -0,0 +1,1562 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 8,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 81,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 5,0 +) +) +uid 229,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "number" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 4 +suid 6,0 +) +) +uid 231,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 7,0 +) +) +uid 233,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "rotary" +t "unsigned" +b "(rotaryBitNb-1 downto 0)" +o 3 +suid 8,0 +) +) +uid 235,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 96,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 230,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 232,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 234,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 236,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 101,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 102,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 103,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 104,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 105,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 106,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 107,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 108,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 80,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "rotaryBitNb" +type "positive" +value "4" +) +uid 159,0 +) +*48 (LogGeneric +generic (GiElement +name "outputBitNb" +type "positive" +value "6" +) +uid 184,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 124,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 125,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 126,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 160,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 185,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 129,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 130,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 131,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 132,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 133,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 134,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 135,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotaryToUnsigned_tester" +) +(vvPair +variable "date" +value "11/07/19" +) +(vvPair +variable "day" +value "Thu" +) +(vvPair +variable "day_long" +value "Thursday" +) +(vvPair +variable "dd" +value "07" +) +(vvPair +variable "entity_name" +value "rotaryToUnsigned_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "11/07/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "11:54:00" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/$DESIGN_NAME/Common_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "rotaryToUnsigned_tester" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotary@to@unsigned_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Favorites/ElN_local/Labs/ElN_support/SinewaveGenerator/Libs/Common_test/hds/rotaryToUnsigned_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "11:54:00" +) +(vvPair +variable "unit" +value "rotaryToUnsigned_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 209,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 210,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 211,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 212,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,9500" +st "clock" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 213,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2700,59000,3600" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 5,0 +) +) +) +*65 (CptPort +uid 214,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 215,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 216,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 217,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "50550,7000,51450,10000" +st "number" +ju 2 +blo "51250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 218,0 +va (VaSet +font "courier,8,0" +) +xt "44000,1800,70000,2700" +st "number : IN unsigned (outputBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "number" +t "unsigned" +b "(outputBitNb-1 DOWNTO 0)" +o 4 +suid 6,0 +) +) +) +*66 (CptPort +uid 219,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 220,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 221,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 222,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9500" +st "reset" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 223,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3600,59000,4500" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 7,0 +) +) +) +*67 (CptPort +uid 224,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 225,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 226,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 227,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,10000" +st "rotary" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 228,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4500,69000,5400" +st "rotary : OUT unsigned (rotaryBitNb-1 downto 0) +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "rotary" +t "unsigned" +b "(rotaryBitNb-1 downto 0)" +o 3 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,59000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "31000,9100,37000,10000" +st "Common_test" +blo "31000,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "31000,10000,43000,10900" +st "rotaryToUnsigned_tester" +blo "31000,10700" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "10000,6000,22500,9600" +st "Generic Declarations + +rotaryBitNb positive 4 +outputBitNb positive 6 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "rotaryBitNb" +type "positive" +value "4" +) +(GiElement +name "outputBitNb" +type "positive" +value "6" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*69 (Grouping +uid 16,0 +optionalChildren [ +*70 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,50000,49000,51000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,50050,45700,50950" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,46000,53000,47000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,46050,53200,46950" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,49000,49000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,48050,45700,48950" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,48000,32000,49000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,48050,31200,48950" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "49000,47000,69000,51000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "49200,47200,60200,48100" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,46000,69000,47000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "53200,46050,54700,46950" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,46000,49000,48000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "34000,46500,43000,47500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,49000,32000,50000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,49050,30700,49950" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "28000,50000,32000,51000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "28200,50050,31700,50950" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,49000,49000,50000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "courier,8,0" +) +xt "32200,49050,40700,49950" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "28000,46000,69000,51000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*82 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,900,15500,3600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "59,12,1074,702" +viewArea "-500,-500,60484,39540" +cachedDiagramExtent "0,0,70000,51000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,4000,1900" +st "Panel0" +blo "1000,1700" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Common_test" +entityName "rotaryToUnsigned_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,39000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "24750,15100,29250,16000" +st "" +blo "24750,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "24750,16000,27750,16900" +st "" +blo "24750,16700" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,5400,44500,6300" +st "User:" +blo "42000,6100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6300,44000,6300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 236,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Common_test/hds/spike@filter_tb/struct.bd b/Libs/Common_test/hds/spike@filter_tb/struct.bd new file mode 100644 index 0000000..8f67660 --- /dev/null +++ b/Libs/Common_test/hds/spike@filter_tb/struct.bd @@ -0,0 +1,2725 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tb" +duLibraryName "Common_test" +duName "spikeFilter_tester" +elements [ +] +mwi 0 +uid 377,0 +) +(Instance +name "I_filt" +duLibraryName "Common" +duName "spikeFilter" +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +mwi 0 +uid 6353,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spikeFilter_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "spikeFilter_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../VerifThin_TB/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "spikeFilter_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spikeFilter_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "task_perl" +value "c:\\eda\\hds2007.1a\\resources\\perl\\bin\\perl.exe" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "spikeFilter_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-1000,53000,0" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-1000,52400,0" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-5000,57000,-4000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-5000,56800,-4000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-3000,53000,-2000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-3000,52400,-2000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-3000,36000,-2000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-3000,35800,-2000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-4000,73000,0" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-3800,66400,-2800" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,-5000,73000,-4000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,-5000,59000,-4000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-5000,53000,-3000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,-4500,47000,-3500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-2000,36000,-1000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-2000,35200,-1000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-1000,36000,0" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-1000,35800,0" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-2000,53000,-1000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-2000,53000,-1000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,-5000,73000,0" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 377,0 +shape (Rectangle +uid 378,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "19000,-19000,63000,-11000" +) +oxt "64000,30000,150000,40000" +ttg (MlTextGroup +uid 379,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 380,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-10500,25250,-9500" +st "Common_test" +blo "19150,-9700" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 381,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-9500,26250,-8500" +st "spikeFilter_tester" +blo "19150,-8700" +tm "BlkNameMgr" +) +*15 (Text +uid 382,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-8500,20950,-7500" +st "I_tb" +blo "19150,-7700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 383,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 384,0 +text (MLText +uid 385,0 +va (VaSet +font "courier,8,0" +) +xt "19000,-7600,42500,-6000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 386,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "19250,-12750,20750,-11250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 6090,0 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +declText (MLText +uid 6091,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-36600,16500,-35700" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 6098,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +declText (MLText +uid 6099,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-39300,16500,-38400" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 6106,0 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 102,0 +) +declText (MLText +uid 6107,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-37500,16500,-36600" +st "SIGNAL input : std_ulogic" +) +) +*19 (SaComponent +uid 6353,0 +optionalChildren [ +*20 (CptPort +uid 6337,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6338,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-31375,35000,-30625" +) +tg (CPTG +uid 6339,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6340,0 +va (VaSet +) +xt "36000,-31500,38100,-30500" +st "clock" +blo "36000,-30700" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*21 (CptPort +uid 6341,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6342,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-35375,35000,-34625" +) +tg (CPTG +uid 6343,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6344,0 +va (VaSet +) +xt "36000,-35500,38000,-34500" +st "input" +blo "36000,-34700" +) +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*22 (CptPort +uid 6345,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6346,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-29375,35000,-28625" +) +tg (CPTG +uid 6347,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6348,0 +va (VaSet +) +xt "36000,-29500,38100,-28500" +st "reset" +blo "36000,-28700" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*23 (CptPort +uid 6349,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6350,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,-35375,51750,-34625" +) +tg (CPTG +uid 6351,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6352,0 +va (VaSet +) +xt "47300,-35500,50000,-34500" +st "filtered" +ju 2 +blo "50000,-34700" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "filtered" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 6354,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,-39000,51000,-27000" +) +oxt "40000,9000,56000,21000" +ttg (MlTextGroup +uid 6355,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*24 (Text +uid 6356,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-27000,39100,-26000" +st "Common" +blo "35200,-26200" +tm "BdLibraryNameMgr" +) +*25 (Text +uid 6357,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-26000,39800,-25000" +st "spikeFilter" +blo "35200,-25200" +tm "CptNameMgr" +) +*26 (Text +uid 6358,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-25000,37200,-24000" +st "I_filt" +blo "35200,-24200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6359,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6360,0 +text (MLText +uid 6361,0 +va (VaSet +font "courier,8,0" +) +xt "35000,-24200,59200,-22400" +st "counterBitNb = counterBitNb ( positive ) +invertInput = '0' ( std_ulogic ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "positive" +value "counterBitNb" +) +(GiElement +name "invertInput" +type "std_ulogic" +value "'0'" +) +] +) +viewicon (ZoomableIcon +uid 6362,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "35250,-28750,36750,-27250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*27 (Net +uid 6363,0 +decl (Decl +n "filtered" +t "std_ulogic" +o 2 +suid 104,0 +) +declText (MLText +uid 6364,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-38400,16500,-37500" +st "SIGNAL filtered : std_ulogic" +) +) +*28 (Wire +uid 6092,0 +shape (OrthoPolyLine +uid 6093,0 +va (VaSet +vasetType 3 +) +xt "33000,-29000,34250,-19000" +pts [ +"34250,-29000" +"33000,-29000" +"33000,-19000" +] +) +start &22 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6096,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6097,0 +va (VaSet +) +xt "31250,-30000,34250,-29000" +st "reset" +blo "31250,-29200" +tm "WireNameMgr" +) +) +on &16 +) +*29 (Wire +uid 6100,0 +shape (OrthoPolyLine +uid 6101,0 +va (VaSet +vasetType 3 +) +xt "31000,-31000,34250,-19000" +pts [ +"34250,-31000" +"31000,-31000" +"31000,-19000" +] +) +start &20 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6104,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6105,0 +va (VaSet +) +xt "31250,-32000,34250,-31000" +st "clock" +blo "31250,-31200" +tm "WireNameMgr" +) +) +on &17 +) +*30 (Wire +uid 6108,0 +shape (OrthoPolyLine +uid 6109,0 +va (VaSet +vasetType 3 +) +xt "27000,-35000,34250,-19000" +pts [ +"34250,-35000" +"27000,-35000" +"27000,-19000" +] +) +start &21 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6112,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6113,0 +va (VaSet +) +xt "31250,-36000,33250,-35000" +st "input" +blo "31250,-35200" +tm "WireNameMgr" +) +) +on &18 +) +*31 (Wire +uid 6365,0 +shape (OrthoPolyLine +uid 6366,0 +va (VaSet +vasetType 3 +) +xt "51750,-35000,55000,-19000" +pts [ +"51750,-35000" +"55000,-35000" +"55000,-19000" +] +) +start &23 +end &12 +sat 32 +eat 1 +st 0 +sf 1 +tg (WTG +uid 6369,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6370,0 +va (VaSet +) +xt "53750,-36000,58550,-35000" +st "filtered" +blo "53750,-35200" +tm "WireNameMgr" +) +) +on &27 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *32 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 42,0 +va (VaSet +font "courier,8,1" +) +xt "0,-49000,5400,-48000" +st "Package List" +blo "0,-48200" +) +*34 (MLText +uid 43,0 +va (VaSet +) +xt "0,-48000,18600,-45000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*36 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*37 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*38 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*39 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*40 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*41 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "99,37,1441,900" +viewArea "-1100,-50100,76387,-351" +cachedDiagramExtent "0,-49000,73000,6000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-49000" +lastUid 6434,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*43 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*44 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,5500,3200,6500" +st "I0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +font "courier,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*46 (Text +va (VaSet +font "courier,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*47 (Text +va (VaSet +font "courier,8,1" +) +xt "550,5500,1550,6500" +st "I0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +font "courier,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*49 (Text +va (VaSet +font "courier,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*50 (Text +va (VaSet +font "courier,8,1" +) +xt "900,5500,1900,6500" +st "I0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*52 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*53 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5500,1500,6500" +st "I0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +font "courier,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*55 (Text +va (VaSet +font "courier,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*56 (Text +va (VaSet +font "courier,8,1" +) +xt "50,5500,1050,6500" +st "I0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*58 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*60 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*62 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,-44200,5400,-43200" +st "Declarations" +blo "0,-43400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,-43200,2700,-42200" +st "Ports:" +blo "0,-42400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,-42200,3800,-41200" +st "Pre User:" +blo "0,-41400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-41200,21500,-40300" +st "constant counterBitNb : positive := 3;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "0,-40300,7100,-39300" +st "Diagram Signals:" +blo "0,-39500" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,-44200,4700,-43200" +st "Post User:" +blo "0,-43400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,-44200,0,-44200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 104,0 +usingSuid 1 +emptyRow *63 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*71 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*72 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*73 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*74 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*75 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*76 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 100,0 +) +) +uid 6122,0 +) +*77 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +) +uid 6124,0 +) +*78 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 102,0 +) +) +uid 6126,0 +) +*79 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "filtered" +t "std_ulogic" +o 2 +suid 104,0 +) +) +uid 6371,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*80 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *81 (MRCItem +litem &63 +pos 4 +dimension 20 +) +uid 69,0 +optionalChildren [ +*82 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 70,0 +) +*83 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 71,0 +) +*84 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*85 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 6123,0 +) +*86 (MRCItem +litem &77 +pos 1 +dimension 20 +uid 6125,0 +) +*87 (MRCItem +litem &78 +pos 2 +dimension 20 +uid 6127,0 +) +*88 (MRCItem +litem &79 +pos 3 +dimension 20 +uid 6372,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*89 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 74,0 +) +*90 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 75,0 +) +*91 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 76,0 +) +*92 (MRCItem +litem &71 +pos 3 +dimension 50 +uid 77,0 +) +*93 (MRCItem +litem &72 +pos 4 +dimension 100 +uid 78,0 +) +*94 (MRCItem +litem &73 +pos 5 +dimension 100 +uid 79,0 +) +*95 (MRCItem +litem &74 +pos 6 +dimension 50 +uid 80,0 +) +*96 (MRCItem +litem &75 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *97 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*98 (RefLabelRowHdr +) +*99 (TitleRowHdr +) +*100 (FilterRowHdr +) +*101 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*102 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*103 (GroupColHdr +tm "GroupColHdrMgr" +) +*104 (NameColHdr +tm "GenericNameColHdrMgr" +) +*105 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*106 (InitColHdr +tm "GenericValueColHdrMgr" +) +*107 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*108 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*109 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *110 (MRCItem +litem &97 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*111 (MRCItem +litem &98 +pos 0 +dimension 20 +uid 98,0 +) +*112 (MRCItem +litem &99 +pos 1 +dimension 23 +uid 99,0 +) +*113 (MRCItem +litem &100 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*114 (MRCItem +litem &101 +pos 0 +dimension 20 +uid 102,0 +) +*115 (MRCItem +litem &103 +pos 1 +dimension 50 +uid 103,0 +) +*116 (MRCItem +litem &104 +pos 2 +dimension 100 +uid 104,0 +) +*117 (MRCItem +litem &105 +pos 3 +dimension 100 +uid 105,0 +) +*118 (MRCItem +litem &106 +pos 4 +dimension 50 +uid 106,0 +) +*119 (MRCItem +litem &107 +pos 5 +dimension 50 +uid 107,0 +) +*120 (MRCItem +litem &108 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Common_test/hds/spike@filter_tb/symbol.sb b/Libs/Common_test/hds/spike@filter_tb/symbol.sb new file mode 100644 index 0000000..551f7ed --- /dev/null +++ b/Libs/Common_test/hds/spike@filter_tb/symbol.sb @@ -0,0 +1,1278 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 97,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 98,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 101,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 102,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 103,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 104,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 105,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 106,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spikeFilter_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "spikeFilter_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:50" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "spikeFilter_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spikeFilter_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modeltech_6.3g\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "c:\\eda\\modeltech_6.2c\\win32" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:50" +) +(vvPair +variable "unit" +value "spikeFilter_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15000,28200,15900" +st "Common_test" +blo "22200,15700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15900,29700,16800" +st "spikeFilter_tb" +blo "22200,16600" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,62600,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,53000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 107,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/spike@filter_tester/interface b/Libs/Common_test/hds/spike@filter_tester/interface new file mode 100644 index 0000000..c28676a --- /dev/null +++ b/Libs/Common_test/hds/spike@filter_tester/interface @@ -0,0 +1,1206 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 16,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 49,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 13,0 +) +) +uid 272,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "filtered" +t "std_ulogic" +o 2 +suid 14,0 +) +) +uid 274,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 15,0 +) +) +uid 276,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 16,0 +) +) +uid 278,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 64,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 65,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 66,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 273,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 275,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 277,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 279,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 69,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 70,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 71,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 73,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 74,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 75,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 76,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 48,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 78,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 90,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 92,0 +optionalChildren [ +*49 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 93,0 +) +*50 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 94,0 +) +*51 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 95,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*52 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 97,0 +) +*53 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 98,0 +) +*54 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 99,0 +) +*55 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 100,0 +) +*56 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 101,0 +) +*57 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 102,0 +) +*58 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 103,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 91,0 +vaOverrides [ +] +) +] +) +uid 77,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spikeFilter_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "spikeFilter_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:51" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "spikeFilter_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spike@filter_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/spikeFilter_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:43:51" +) +(vvPair +variable "unit" +value "spikeFilter_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 47,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 252,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 253,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 254,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 255,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,9500" +st "clock" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 256,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3300,60000,4200" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 13,0 +) +) +) +*61 (CptPort +uid 257,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 258,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 259,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 260,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "50550,7000,51450,11000" +st "filtered" +ju 2 +blo "51250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 261,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2400,60000,3300" +st "filtered : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "filtered" +t "std_ulogic" +o 2 +suid 14,0 +) +) +) +*62 (CptPort +uid 262,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 263,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 264,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 265,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,9500" +st "input" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 266,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4200,60000,5100" +st "input : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic" +o 3 +suid 15,0 +) +) +) +*63 (CptPort +uid 267,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 268,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 269,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 270,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9500" +st "reset" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 271,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5100,59000,6000" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 4 +suid 16,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,59000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "32250,9100,38250,10000" +st "Common_test" +blo "32250,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "32250,10000,41750,10900" +st "spikeFilter_tester" +blo "32250,10700" +) +) +gi *64 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,9,0" +) +xt "14000,6000,24500,6900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *65 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +uid 17,0 +va (VaSet +font "courier,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*67 (MLText +uid 18,0 +va (VaSet +font "courier,9,0" +) +xt "0,1200,15500,3900" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Common_test" +entityName "spikeFilter_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,31000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "20300,14800,25700,16000" +st "" +blo "20300,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "20300,16000,24200,17200" +st "" +blo "20300,17000" +) +) +gi *68 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,9,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *69 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,9,1" +) +xt "42000,6000,44500,6900" +st "User:" +blo "42000,6700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,9,0" +) +xt "44000,6900,44000,6900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 279,0 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/test@utils_tb/symbol.sb b/Libs/Common_test/hds/test@utils_tb/symbol.sb new file mode 100644 index 0000000..d84b83f --- /dev/null +++ b/Libs/Common_test/hds/test@utils_tb/symbol.sb @@ -0,0 +1,1275 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 0 +dimension 20 +) +uid 36,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 0 +dimension 20 +) +uid 64,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 65,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 66,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 69,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 70,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 71,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 72,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 73,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 74,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/test@utils_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/test@utils_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/test@utils_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/testUtils_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "testUtils_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:56" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "testUtils_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/test@utils_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/testUtils_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:56" +) +(vvPair +variable "unit" +value "testUtils_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 19,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "29000,10000,47000,30000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "29200,30000,35200,30900" +st "Common_test" +blo "29200,30700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "29200,30900,35700,31800" +st "testUtils_tb" +blo "29200,31600" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "29000,33200,39500,34100" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 79,0 +optionalChildren [ +*54 (CommentText +uid 81,0 +shape (Rectangle +uid 82,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 83,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41500,69800,42500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 84,0 +shape (Rectangle +uid 85,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 86,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "29750,41350,40250,42650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 87,0 +shape (Rectangle +uid 88,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 89,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47500,41000,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 90,0 +shape (Rectangle +uid 91,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 92,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41500,53000,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 93,0 +shape (Rectangle +uid 94,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 95,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43500,43400,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 96,0 +shape (Rectangle +uid 97,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 98,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43500,25800,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 99,0 +shape (Rectangle +uid 100,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 101,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45500,25200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 102,0 +shape (Rectangle +uid 103,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 104,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,61400,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 105,0 +shape (Rectangle +uid 106,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 107,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45500,46400,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 108,0 +shape (Rectangle +uid 109,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 110,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47500,26400,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 80,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 17,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 18,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "11,88,1387,1017" +viewArea "-1100,-1100,74105,51875" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,11000,5400,12000" +st "Declarations" +blo "0,11800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,12000,2700,13000" +st "Ports:" +blo "0,12800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13000,2500,13900" +st "User:" +blo "0,13700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,11000,5800,12000" +st "Internal User:" +blo "0,11800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13900,2000,13900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,11000,0,11000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 156,0 +activeModelName "Symbol" +) diff --git a/Libs/Common_test/hds/toggler_tb/struct.bd b/Libs/Common_test/hds/toggler_tb/struct.bd new file mode 100644 index 0000000..f12abfe --- /dev/null +++ b/Libs/Common_test/hds/toggler_tb/struct.bd @@ -0,0 +1,2714 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tb" +duLibraryName "Common_test" +duName "toggler_tester" +elements [ +] +mwi 0 +uid 377,0 +) +(Instance +name "I_togl" +duLibraryName "Common" +duName "toggler" +elements [ +(GiElement +name "counterBitNb" +type "natural" +value "counterBitNb" +) +] +mwi 0 +uid 6332,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "toggler_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:56" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../VerifThin_TB/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "toggler_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "task_perl" +value "c:\\eda\\hds2007.1a\\resources\\perl\\bin\\perl.exe" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:43:56" +) +(vvPair +variable "unit" +value "toggler_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 52,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-1000,53000,0" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-1000,52400,0" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-5000,57000,-4000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-5000,56800,-4000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-3000,53000,-2000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-3000,52400,-2000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-3000,36000,-2000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-3000,35800,-2000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,-4000,73000,0" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,-3800,66400,-2800" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,-5000,73000,-4000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,-5000,59000,-4000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-5000,53000,-3000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,-4500,47000,-3500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-2000,36000,-1000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-2000,35200,-1000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,-1000,36000,0" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,-1000,35800,0" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,-2000,53000,-1000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,-2000,50600,-1000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,-5000,73000,0" +) +oxt "14000,66000,55000,71000" +) +*12 (Blk +uid 377,0 +shape (Rectangle +uid 378,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "19000,-19000,63000,-11000" +) +oxt "64000,30000,150000,40000" +ttg (MlTextGroup +uid 379,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 380,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-10500,25250,-9500" +st "Common_test" +blo "19150,-9700" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 381,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-9500,25150,-8500" +st "toggler_tester" +blo "19150,-8700" +tm "BlkNameMgr" +) +*15 (Text +uid 382,0 +va (VaSet +font "courier,8,1" +) +xt "19150,-8500,20950,-7500" +st "I_tb" +blo "19150,-7700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 383,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 384,0 +text (MLText +uid 385,0 +va (VaSet +font "courier,8,0" +) +xt "19000,-7600,42500,-6000" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 386,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "19250,-12750,20750,-11250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 6090,0 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 100,0 +) +declText (MLText +uid 6091,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-37500,15500,-36600" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 6098,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +declText (MLText +uid 6099,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-39300,15500,-38400" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 6106,0 +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 102,0 +) +declText (MLText +uid 6107,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-38400,15500,-37500" +st "SIGNAL input : std_ulogic" +) +) +*19 (Net +uid 6306,0 +decl (Decl +n "toggle" +t "std_ulogic" +o 4 +suid 104,0 +) +declText (MLText +uid 6307,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-36600,15500,-35700" +st "SIGNAL toggle : std_ulogic" +) +) +*20 (SaComponent +uid 6332,0 +optionalChildren [ +*21 (CptPort +uid 6316,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6317,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-31375,35000,-30625" +) +tg (CPTG +uid 6318,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6319,0 +va (VaSet +) +xt "36000,-31500,38100,-30500" +st "clock" +blo "36000,-30700" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*22 (CptPort +uid 6320,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6321,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-35375,35000,-34625" +) +tg (CPTG +uid 6322,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6323,0 +va (VaSet +) +xt "36000,-35500,38000,-34500" +st "input" +blo "36000,-34700" +) +) +thePort (LogicalPort +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*23 (CptPort +uid 6324,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6325,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,-29375,35000,-28625" +) +tg (CPTG +uid 6326,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6327,0 +va (VaSet +) +xt "36000,-29500,38100,-28500" +st "reset" +blo "36000,-28700" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*24 (CptPort +uid 6328,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6329,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,-35375,51750,-34625" +) +tg (CPTG +uid 6330,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6331,0 +va (VaSet +) +xt "47400,-35500,50000,-34500" +st "toggle" +ju 2 +blo "50000,-34700" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "toggle" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 6333,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,-39000,51000,-27000" +) +oxt "43000,11000,59000,23000" +ttg (MlTextGroup +uid 6334,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 6335,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-27000,39100,-26000" +st "Common" +blo "35200,-26200" +tm "BdLibraryNameMgr" +) +*26 (Text +uid 6336,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-26000,38300,-25000" +st "toggler" +blo "35200,-25200" +tm "CptNameMgr" +) +*27 (Text +uid 6337,0 +va (VaSet +font "courier,8,1" +) +xt "35200,-25000,37700,-24000" +st "I_togl" +blo "35200,-24200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6338,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6339,0 +text (MLText +uid 6340,0 +va (VaSet +font "courier,8,0" +) +xt "35000,-24200,57500,-23300" +st "counterBitNb = counterBitNb ( natural ) " +) +header "" +) +elements [ +(GiElement +name "counterBitNb" +type "natural" +value "counterBitNb" +) +] +) +viewicon (ZoomableIcon +uid 6341,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "35250,-28750,36750,-27250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sIVOD 1 +) +archFileType "UNKNOWN" +) +*28 (Wire +uid 6092,0 +shape (OrthoPolyLine +uid 6093,0 +va (VaSet +vasetType 3 +) +xt "33000,-29000,34250,-19000" +pts [ +"34250,-29000" +"33000,-29000" +"33000,-19000" +] +) +start &23 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6096,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6097,0 +va (VaSet +) +xt "31250,-30000,34250,-29000" +st "reset" +blo "31250,-29200" +tm "WireNameMgr" +) +) +on &16 +) +*29 (Wire +uid 6100,0 +shape (OrthoPolyLine +uid 6101,0 +va (VaSet +vasetType 3 +) +xt "31000,-31000,34250,-19000" +pts [ +"34250,-31000" +"31000,-31000" +"31000,-19000" +] +) +start &21 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6104,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6105,0 +va (VaSet +) +xt "31250,-32000,34250,-31000" +st "clock" +blo "31250,-31200" +tm "WireNameMgr" +) +) +on &17 +) +*30 (Wire +uid 6108,0 +shape (OrthoPolyLine +uid 6109,0 +va (VaSet +vasetType 3 +) +xt "27000,-35000,34250,-19000" +pts [ +"34250,-35000" +"27000,-35000" +"27000,-19000" +] +) +start &22 +end &12 +sat 32 +eat 2 +st 0 +sf 1 +tg (WTG +uid 6112,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6113,0 +va (VaSet +) +xt "31250,-36000,34250,-35000" +st "input" +blo "31250,-35200" +tm "WireNameMgr" +) +) +on &18 +) +*31 (Wire +uid 6308,0 +shape (OrthoPolyLine +uid 6309,0 +va (VaSet +vasetType 3 +) +xt "51750,-35000,55000,-19000" +pts [ +"51750,-35000" +"55000,-35000" +"55000,-19000" +] +) +start &24 +end &12 +sat 32 +eat 1 +st 0 +sf 1 +tg (WTG +uid 6312,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6313,0 +va (VaSet +) +xt "53750,-36000,56350,-35000" +st "toggle" +blo "53750,-35200" +tm "WireNameMgr" +) +) +on &19 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *32 (PackageList +uid 41,0 +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 42,0 +va (VaSet +font "courier,8,1" +) +xt "0,-49000,5400,-48000" +st "Package List" +blo "0,-48200" +) +*34 (MLText +uid 43,0 +va (VaSet +) +xt "0,-48000,18600,-45000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 44,0 +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 45,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*36 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*37 (MLText +uid 47,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*38 (Text +uid 48,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*39 (MLText +uid 49,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*40 (Text +uid 50,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*41 (MLText +uid 51,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "100,37,1441,900" +viewArea "-1100,-50100,76318,-351" +cachedDiagramExtent "0,-49000,73000,6000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-49000" +lastUid 6403,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*42 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*43 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*44 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,5500,3200,6500" +st "I0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +va (VaSet +font "courier,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*46 (Text +va (VaSet +font "courier,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*47 (Text +va (VaSet +font "courier,8,1" +) +xt "550,5500,1550,6500" +st "I0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*48 (Text +va (VaSet +font "courier,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*49 (Text +va (VaSet +font "courier,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*50 (Text +va (VaSet +font "courier,8,1" +) +xt "900,5500,1900,6500" +st "I0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*52 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*53 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5500,1500,6500" +st "I0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +font "courier,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*55 (Text +va (VaSet +font "courier,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*56 (Text +va (VaSet +font "courier,8,1" +) +xt "50,5500,1050,6500" +st "I0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*58 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*60 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*62 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,-44200,5400,-43200" +st "Declarations" +blo "0,-43400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,-43200,2700,-42200" +st "Ports:" +blo "0,-42400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,-42200,3800,-41200" +st "Pre User:" +blo "0,-41400" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,-41200,21000,-40300" +st "constant counterBitNb : natural := 4;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "0,-40300,7100,-39300" +st "Diagram Signals:" +blo "0,-39500" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,-44200,4700,-43200" +st "Post User:" +blo "0,-43400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,-44200,0,-44200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 104,0 +usingSuid 1 +emptyRow *63 (LEmptyRow +) +uid 54,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*71 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*72 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*73 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*74 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*75 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*76 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 100,0 +) +) +uid 6122,0 +) +*77 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 101,0 +) +) +uid 6124,0 +) +*78 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 102,0 +) +) +uid 6126,0 +) +*79 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "toggle" +t "std_ulogic" +o 4 +suid 104,0 +) +) +uid 6314,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 67,0 +optionalChildren [ +*80 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *81 (MRCItem +litem &63 +pos 4 +dimension 20 +) +uid 69,0 +optionalChildren [ +*82 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 70,0 +) +*83 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 71,0 +) +*84 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 72,0 +) +*85 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 6123,0 +) +*86 (MRCItem +litem &77 +pos 1 +dimension 20 +uid 6125,0 +) +*87 (MRCItem +litem &78 +pos 2 +dimension 20 +uid 6127,0 +) +*88 (MRCItem +litem &79 +pos 3 +dimension 20 +uid 6315,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*89 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 74,0 +) +*90 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 75,0 +) +*91 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 76,0 +) +*92 (MRCItem +litem &71 +pos 3 +dimension 50 +uid 77,0 +) +*93 (MRCItem +litem &72 +pos 4 +dimension 100 +uid 78,0 +) +*94 (MRCItem +litem &73 +pos 5 +dimension 100 +uid 79,0 +) +*95 (MRCItem +litem &74 +pos 6 +dimension 50 +uid 80,0 +) +*96 (MRCItem +litem &75 +pos 7 +dimension 80 +uid 81,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 68,0 +vaOverrides [ +] +) +] +) +uid 53,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *97 (LEmptyRow +) +uid 83,0 +optionalChildren [ +*98 (RefLabelRowHdr +) +*99 (TitleRowHdr +) +*100 (FilterRowHdr +) +*101 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*102 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*103 (GroupColHdr +tm "GroupColHdrMgr" +) +*104 (NameColHdr +tm "GenericNameColHdrMgr" +) +*105 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*106 (InitColHdr +tm "GenericValueColHdrMgr" +) +*107 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*108 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 95,0 +optionalChildren [ +*109 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *110 (MRCItem +litem &97 +pos 0 +dimension 20 +) +uid 97,0 +optionalChildren [ +*111 (MRCItem +litem &98 +pos 0 +dimension 20 +uid 98,0 +) +*112 (MRCItem +litem &99 +pos 1 +dimension 23 +uid 99,0 +) +*113 (MRCItem +litem &100 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*114 (MRCItem +litem &101 +pos 0 +dimension 20 +uid 102,0 +) +*115 (MRCItem +litem &103 +pos 1 +dimension 50 +uid 103,0 +) +*116 (MRCItem +litem &104 +pos 2 +dimension 100 +uid 104,0 +) +*117 (MRCItem +litem &105 +pos 3 +dimension 100 +uid 105,0 +) +*118 (MRCItem +litem &106 +pos 4 +dimension 50 +uid 106,0 +) +*119 (MRCItem +litem &107 +pos 5 +dimension 50 +uid 107,0 +) +*120 (MRCItem +litem &108 +pos 6 +dimension 80 +uid 108,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 82,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Common_test/hds/toggler_tb/symbol.sb b/Libs/Common_test/hds/toggler_tb/symbol.sb new file mode 100644 index 0000000..f463423 --- /dev/null +++ b/Libs/Common_test/hds/toggler_tb/symbol.sb @@ -0,0 +1,1278 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 68,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 97,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 98,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 101,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 102,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 103,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 104,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 105,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 106,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "toggler_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:56" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "toggler_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modeltech_6.3g\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "c:\\eda\\modeltech_6.2c\\win32" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:43:56" +) +(vvPair +variable "unit" +value "toggler_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15000,28200,15900" +st "Common_test" +blo "22200,15700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "22200,15900,27700,16800" +st "toggler_tb" +blo "22200,16600" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,62600,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,50600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,2000,44500,2900" +st "User:" +blo "42000,2700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,44000,2900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 107,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/hds/toggler_tester/interface b/Libs/Common_test/hds/toggler_tester/interface new file mode 100644 index 0000000..e542199 --- /dev/null +++ b/Libs/Common_test/hds/toggler_tester/interface @@ -0,0 +1,1523 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 16,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 81,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 13,0 +) +) +uid 304,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 14,0 +) +) +uid 306,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 15,0 +) +) +uid 308,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "toggle" +t "std_ulogic" +o 4 +suid 16,0 +) +) +uid 310,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 305,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 307,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 309,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 311,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 101,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 102,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 103,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 104,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 105,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 106,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 107,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 108,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 80,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &35 +pos 3 +dimension 20 +) +uid 124,0 +optionalChildren [ +*49 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 125,0 +) +*50 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 126,0 +) +*51 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*52 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 129,0 +) +*53 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 130,0 +) +*54 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 131,0 +) +*55 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 132,0 +) +*56 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 133,0 +) +*57 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 134,0 +) +*58 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 135,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "toggler_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:43:56" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Common_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Common_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "toggler_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Common_test/hds/toggler_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:43:56" +) +(vvPair +variable "unit" +value "toggler_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 284,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 285,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 286,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 287,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,9500" +st "clock" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 288,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,59000,3800" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 13,0 +) +) +) +*61 (CptPort +uid 289,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 290,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 291,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 292,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,9500" +st "input" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 293,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,59000,4700" +st "input : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "input" +t "std_ulogic" +o 2 +suid 14,0 +) +) +) +*62 (CptPort +uid 294,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 295,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 296,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 297,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9500" +st "reset" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 298,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,58000,5600" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 15,0 +) +) +) +*63 (CptPort +uid 299,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 300,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 301,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 302,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "50550,7000,51450,10000" +st "toggle" +ju 2 +blo "51250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 303,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,59000,2900" +st "toggle : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "toggle" +t "std_ulogic" +o 4 +suid 16,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,59000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "33250,9100,39250,10000" +st "Common_test" +blo "33250,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "33250,10000,40750,10900" +st "toggler_tester" +blo "33250,10700" +) +) +gi *64 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "13000,6000,23500,6900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*65 (Grouping +uid 16,0 +optionalChildren [ +*66 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,53000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *76 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*78 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Common_test" +entityName "toggler_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *79 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *80 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,5600,44500,6500" +st "User:" +blo "42000,6300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,44000,6500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 311,0 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Common_test/sim/debouncerULogicVector.do b/Libs/Common_test/sim/debouncerULogicVector.do new file mode 100644 index 0000000..dc4bdbd --- /dev/null +++ b/Libs/Common_test/sim/debouncerULogicVector.do @@ -0,0 +1,24 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /debouncerulogicvector_tb/reset +add wave -noupdate /debouncerulogicvector_tb/clock +add wave -noupdate -expand /debouncerulogicvector_tb/input +add wave -noupdate -format Analog-Step -height 30 -max 15.0 -radix unsigned /debouncerulogicvector_tb/i_filt/debouncecounter +add wave -noupdate -expand /debouncerulogicvector_tb/debounced +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {8842679128 ps} 0} +configure wave -namecolwidth 285 +configure wave -valuecolwidth 42 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1000 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ps} {2100 ns} diff --git a/Libs/Common_test/sim/rotaryToUnsigned.do b/Libs/Common_test/sim/rotaryToUnsigned.do new file mode 100644 index 0000000..36ec08a --- /dev/null +++ b/Libs/Common_test/sim/rotaryToUnsigned.do @@ -0,0 +1,26 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /rotarytounsigned_tb/reset +add wave -noupdate /rotarytounsigned_tb/clock +add wave -noupdate -format Analog-Step -height 50 -max 14.999999999999998 -radix unsigned -radixshowbase 0 /rotarytounsigned_tb/rotary +add wave -noupdate /rotarytounsigned_tb/I_DUT/glitchDelayCounter +add wave -noupdate -format Analog-Step -height 50 -max 14.999999999999998 -radix unsigned -childformat {{/rotarytounsigned_tb/I_DUT/rotaryStable(3) -radix unsigned} {/rotarytounsigned_tb/I_DUT/rotaryStable(2) -radix unsigned} {/rotarytounsigned_tb/I_DUT/rotaryStable(1) -radix unsigned} {/rotarytounsigned_tb/I_DUT/rotaryStable(0) -radix unsigned}} -radixshowbase 0 -subitemconfig {/rotarytounsigned_tb/I_DUT/rotaryStable(3) {-height 17 -radix unsigned -radixshowbase 0} /rotarytounsigned_tb/I_DUT/rotaryStable(2) {-height 17 -radix unsigned -radixshowbase 0} /rotarytounsigned_tb/I_DUT/rotaryStable(1) {-height 17 -radix unsigned -radixshowbase 0} /rotarytounsigned_tb/I_DUT/rotaryStable(0) {-height 17 -radix unsigned -radixshowbase 0}} /rotarytounsigned_tb/I_DUT/rotaryStable +add wave -noupdate -format Analog-Step -height 200 -max 63.0 -radix unsigned -radixshowbase 0 /rotarytounsigned_tb/number +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ps} 0} +quietly wave cursor active 0 +configure wave -namecolwidth 243 +configure wave -valuecolwidth 40 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ns +update +WaveRestoreZoom {0 ps} {210 us} diff --git a/Libs/Common_test/sim/spikeFilter.do b/Libs/Common_test/sim/spikeFilter.do new file mode 100644 index 0000000..be55526 --- /dev/null +++ b/Libs/Common_test/sim/spikeFilter.do @@ -0,0 +1,23 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /spikefilter_tb/reset +add wave -noupdate /spikefilter_tb/clock +add wave -noupdate /spikefilter_tb/input +add wave -noupdate /spikefilter_tb/filtered +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {3129283489 ps} 0} +configure wave -namecolwidth 285 +configure wave -valuecolwidth 42 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1000 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ps} {42 us} diff --git a/Libs/Gates/hdl/and2_sim.vhd b/Libs/Gates/hdl/and2_sim.vhd new file mode 100644 index 0000000..f2c1ddd --- /dev/null +++ b/Libs/Gates/hdl/and2_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and2 IS +BEGIN + out1 <= in1 and in2 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and2inv1_sim.vhd b/Libs/Gates/hdl/and2inv1_sim.vhd new file mode 100644 index 0000000..61770f5 --- /dev/null +++ b/Libs/Gates/hdl/and2inv1_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and2inv1 IS +BEGIN + out1 <= in1 and (not in2) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and2inv2_sim.vhd b/Libs/Gates/hdl/and2inv2_sim.vhd new file mode 100644 index 0000000..356240a --- /dev/null +++ b/Libs/Gates/hdl/and2inv2_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and2inv2 IS +BEGIN + out1 <= (not in1) and (not in2) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and3_sim.vhd b/Libs/Gates/hdl/and3_sim.vhd new file mode 100644 index 0000000..c1468e4 --- /dev/null +++ b/Libs/Gates/hdl/and3_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and3 IS +BEGIN + out1 <= in1 and in2 and in3 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and3inv1_sim.vhd b/Libs/Gates/hdl/and3inv1_sim.vhd new file mode 100644 index 0000000..7df64cb --- /dev/null +++ b/Libs/Gates/hdl/and3inv1_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and3inv1 IS +BEGIN + out1 <= (not in1) and in2 and in3 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and3inv2_sim.vhd b/Libs/Gates/hdl/and3inv2_sim.vhd new file mode 100644 index 0000000..1c3d98d --- /dev/null +++ b/Libs/Gates/hdl/and3inv2_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and3inv2 IS +BEGIN + out1 <= (not in1) and (not in2) and in3 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and3inv3_sim.vhd b/Libs/Gates/hdl/and3inv3_sim.vhd new file mode 100644 index 0000000..1aaf84a --- /dev/null +++ b/Libs/Gates/hdl/and3inv3_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and3inv3 IS +BEGIN + out1 <= (not in1) and (not in2) and (not in3) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and4_sim.vhd b/Libs/Gates/hdl/and4_sim.vhd new file mode 100644 index 0000000..a1ebcb2 --- /dev/null +++ b/Libs/Gates/hdl/and4_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and4 IS +BEGIN + out1 <= in1 and in2 and in3 and in4 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and4inv1_sim.vhd b/Libs/Gates/hdl/and4inv1_sim.vhd new file mode 100644 index 0000000..aee155f --- /dev/null +++ b/Libs/Gates/hdl/and4inv1_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and4inv1 IS +BEGIN + out1 <= (not in1) and in2 and in3 and in4 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and4inv2_sim.vhd b/Libs/Gates/hdl/and4inv2_sim.vhd new file mode 100644 index 0000000..f87e651 --- /dev/null +++ b/Libs/Gates/hdl/and4inv2_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and4inv2 IS +BEGIN + out1 <= (not in1) and (not in2) and in3 and in4 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and4inv3_sim.vhd b/Libs/Gates/hdl/and4inv3_sim.vhd new file mode 100644 index 0000000..6547d0f --- /dev/null +++ b/Libs/Gates/hdl/and4inv3_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and4inv3 IS +BEGIN + out1 <= (not in1) and (not in2) and (not in3) and in4 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and4inv4_sim.vhd b/Libs/Gates/hdl/and4inv4_sim.vhd new file mode 100644 index 0000000..55cde7f --- /dev/null +++ b/Libs/Gates/hdl/and4inv4_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and4inv4 IS +BEGIN + out1 <= (not in1) and (not in2) and (not in3) and (not in4) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/and5_sim.vhd b/Libs/Gates/hdl/and5_sim.vhd new file mode 100644 index 0000000..b73d266 --- /dev/null +++ b/Libs/Gates/hdl/and5_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF and5 IS +BEGIN + out1 <= in1 and in2 and in3 and in4 and in5 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/bufferLogicVector_sim.vhd b/Libs/Gates/hdl/bufferLogicVector_sim.vhd new file mode 100644 index 0000000..86feae4 --- /dev/null +++ b/Libs/Gates/hdl/bufferLogicVector_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF bufferLogicVector IS +BEGIN + out1 <= in1 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/bufferSigned_sim.vhd b/Libs/Gates/hdl/bufferSigned_sim.vhd new file mode 100644 index 0000000..64a3a6a --- /dev/null +++ b/Libs/Gates/hdl/bufferSigned_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF bufferSigned IS +BEGIN + out1 <= in1 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/bufferULogicVector_sim.vhd b/Libs/Gates/hdl/bufferULogicVector_sim.vhd new file mode 100644 index 0000000..c800c2f --- /dev/null +++ b/Libs/Gates/hdl/bufferULogicVector_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF bufferUlogicVector IS +BEGIN + out1 <= in1 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/bufferULogic_sim.vhd b/Libs/Gates/hdl/bufferULogic_sim.vhd new file mode 100644 index 0000000..ecd14af --- /dev/null +++ b/Libs/Gates/hdl/bufferULogic_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF bufferUlogic IS +BEGIN + out1 <= in1 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/bufferUnsigned_sim.vhd b/Libs/Gates/hdl/bufferUnsigned_sim.vhd new file mode 100644 index 0000000..937ada0 --- /dev/null +++ b/Libs/Gates/hdl/bufferUnsigned_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF bufferUnsigned IS +BEGIN + out1 <= in1 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/demux1to2_sim.vhd b/Libs/Gates/hdl/demux1to2_sim.vhd new file mode 100644 index 0000000..82e98b8 --- /dev/null +++ b/Libs/Gates/hdl/demux1to2_sim.vhd @@ -0,0 +1,19 @@ +ARCHITECTURE sim OF demux1to2 IS +BEGIN + + process(sel, in1) + begin + -- default values + out0 <= '0'; + out1 <= '0'; + + -- selection + case sel is + when '0' => out0 <= in1 after delay; + when '1' => out1 <= in1 after delay; + when others => NULL; + end case; + + end process; + +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/demux1to4_sim.vhd b/Libs/Gates/hdl/demux1to4_sim.vhd new file mode 100644 index 0000000..2d76d3b --- /dev/null +++ b/Libs/Gates/hdl/demux1to4_sim.vhd @@ -0,0 +1,23 @@ +ARCHITECTURE sim OF demux1to4 IS +BEGIN + + process(sel, in1) + begin + -- default values + out0 <= '0'; + out1 <= '0'; + out2 <= '0'; + out3 <= '0'; + + -- selection + case sel is + when "00" => out0 <= in1 after delay; + when "01" => out1 <= in1 after delay; + when "10" => out2 <= in1 after delay; + when "11" => out3 <= in1 after delay; + when others => NULL; + end case; + + end process; + +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/gates_pkg.vhd b/Libs/Gates/hdl/gates_pkg.vhd new file mode 100644 index 0000000..46d5370 --- /dev/null +++ b/Libs/Gates/hdl/gates_pkg.vhd @@ -0,0 +1,9 @@ +LIBRARY ieee; +USE ieee.std_logic_1164.all; + +PACKAGE gates IS + +-- constant gateDelay: time := 1 ns; + constant gateDelay: time := 0.1 ns; + +END gates; diff --git a/Libs/Gates/hdl/inverter_sim.vhd b/Libs/Gates/hdl/inverter_sim.vhd new file mode 100644 index 0000000..82499cf --- /dev/null +++ b/Libs/Gates/hdl/inverter_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF inverter IS +BEGIN + out1 <= NOT in1 after delay; +END sim; diff --git a/Libs/Gates/hdl/logic0_sim.vhd b/Libs/Gates/hdl/logic0_sim.vhd new file mode 100644 index 0000000..4bf83a8 --- /dev/null +++ b/Libs/Gates/hdl/logic0_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF logic0 IS +BEGIN + logic_0 <= '0'; +END sim; diff --git a/Libs/Gates/hdl/logic1_sim.vhd b/Libs/Gates/hdl/logic1_sim.vhd new file mode 100644 index 0000000..7a5359e --- /dev/null +++ b/Libs/Gates/hdl/logic1_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF logic1 IS +BEGIN + logic_1 <= '1'; +END sim; diff --git a/Libs/Gates/hdl/mux16to1_sim.vhd b/Libs/Gates/hdl/mux16to1_sim.vhd new file mode 100644 index 0000000..3364b29 --- /dev/null +++ b/Libs/Gates/hdl/mux16to1_sim.vhd @@ -0,0 +1,33 @@ +ARCHITECTURE sim OF mux16to1 IS + +BEGIN + + P1: process(sel, + in0, in1, in2, in3, + in4, in5, in6, in7, + in8, in9, in10, in11, + in12, in13, in14, in15 + ) + begin + case to_integer(sel) is + when 0 => muxOut <= in0 after delay; + when 1 => muxOut <= in1 after delay; + when 2 => muxOut <= in2 after delay; + when 3 => muxOut <= in3 after delay; + when 4 => muxOut <= in4 after delay; + when 5 => muxOut <= in5 after delay; + when 6 => muxOut <= in6 after delay; + when 7 => muxOut <= in7 after delay; + when 8 => muxOut <= in8 after delay; + when 9 => muxOut <= in9 after delay; + when 10 => muxOut <= in10 after delay; + when 11 => muxOut <= in11 after delay; + when 12 => muxOut <= in12 after delay; + when 13 => muxOut <= in13 after delay; + when 14 => muxOut <= in14 after delay; + when 15 => muxOut <= in15 after delay; + when others => null; + end case; + end process P1; + +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/mux2to1Signed_sim.vhd b/Libs/Gates/hdl/mux2to1Signed_sim.vhd new file mode 100644 index 0000000..9f88771 --- /dev/null +++ b/Libs/Gates/hdl/mux2to1Signed_sim.vhd @@ -0,0 +1,22 @@ +ARCHITECTURE sim OF mux2to1Signed IS + + signal selInt: std_ulogic; + +BEGIN + + selInt <= to_X01(sel); + + muxSelect: process(selInt, in0, in1) + begin + if selInt = '0' then + muxOut <= in0 after delay; + elsif selInt = '1' then + muxOut <= in1 after delay; + elsif in0 = in1 then + muxOut <= in0 after delay; + else + muxOut <= (others => 'X') after delay; + end if; + end process muxSelect; + +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/mux2to1ULogicVector_sim.vhd b/Libs/Gates/hdl/mux2to1ULogicVector_sim.vhd new file mode 100644 index 0000000..7820eb9 --- /dev/null +++ b/Libs/Gates/hdl/mux2to1ULogicVector_sim.vhd @@ -0,0 +1,22 @@ +ARCHITECTURE sim OF mux2to1ULogicVector IS + + signal selInt: std_ulogic; + +BEGIN + + selInt <= to_X01(sel); + + muxSelect: process(selInt, in0, in1) + begin + if selInt = '0' then + muxOut <= in0 after delay; + elsif selInt = '1' then + muxOut <= in1 after delay; + elsif in0 = in1 then + muxOut <= in0 after delay; + else + muxOut <= (others => 'X') after delay; + end if; + end process muxSelect; + +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/mux2to1Unsigned_sim.vhd b/Libs/Gates/hdl/mux2to1Unsigned_sim.vhd new file mode 100644 index 0000000..6d43ff6 --- /dev/null +++ b/Libs/Gates/hdl/mux2to1Unsigned_sim.vhd @@ -0,0 +1,22 @@ +ARCHITECTURE sim OF mux2to1Unsigned IS + + signal selInt: std_ulogic; + +BEGIN + + selInt <= to_X01(sel); + + muxSelect: process(selInt, in0, in1) + begin + if selInt = '0' then + muxOut <= in0 after delay; + elsif selInt = '1' then + muxOut <= in1 after delay; + elsif in0 = in1 then + muxOut <= in0 after delay; + else + muxOut <= (others => 'X') after delay; + end if; + end process muxSelect; + +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/mux2to1_sim.vhd b/Libs/Gates/hdl/mux2to1_sim.vhd new file mode 100644 index 0000000..ebc7d46 --- /dev/null +++ b/Libs/Gates/hdl/mux2to1_sim.vhd @@ -0,0 +1,43 @@ +ARCHITECTURE sim OF mux2to1 IS + subtype tSelect is std_uLogic_vector(0 to 2); +BEGIN + + muxSelect: process(sel, in0, in1) + begin + if sel = '1' then + muxOut <= in1 after delay; + elsif sel = '0' then + muxOut <= in0 after delay; + else + muxOut <= 'X' after delay; + end if; + end process muxSelect; + +-- muxSelect: process(sel, in0, in1) +-- begin +-- -- case tSelect'(to_X01(sel & in0 & in1)) is +-- case to_X01(tSelect'(sel & in0 & in1)) is +-- -- select in0 +-- when "000" => muxOut <= '0' after delay; +-- when "001" => muxOut <= '0' after delay; +-- when "00X" => muxOut <= '0' after delay; +-- when "010" => muxOut <= '1' after delay; +-- when "011" => muxOut <= '1' after delay; +-- when "01X" => muxOut <= '1' after delay; +-- -- select in1 +-- when "100" => muxOut <= '0' after delay; +-- when "110" => muxOut <= '0' after delay; +-- when "1X0" => muxOut <= '0' after delay; +-- when "101" => muxOut <= '1' after delay; +-- when "111" => muxOut <= '1' after delay; +-- when "1X1" => muxOut <= '1' after delay; +-- -- select in0 equal to in1 +-- when "X00" => muxOut <= '0' after delay; +-- when "X11" => muxOut <= '1' after delay; +-- -- others +-- when others => muxOut <= 'X' after delay; +-- end case; +-- end process muxSelect; + + +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/mux4to1Signed_sim.vhd b/Libs/Gates/hdl/mux4to1Signed_sim.vhd new file mode 100644 index 0000000..7e9e5f4 --- /dev/null +++ b/Libs/Gates/hdl/mux4to1Signed_sim.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF mux4to1Signed IS + +BEGIN + + muxSelect: process(sel, in0, in1, in2, in3) + begin + case to_integer(sel) is + when 0 => muxOut <= in0 after delay; + when 1 => muxOut <= in1 after delay; + when 2 => muxOut <= in2 after delay; + when 3 => muxOut <= in3 after delay; + when others => muxOut <= (others => 'X') after delay; + end case; + end process muxSelect; + +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/mux4to1ULogicVector_sim.vhd b/Libs/Gates/hdl/mux4to1ULogicVector_sim.vhd new file mode 100644 index 0000000..a3e8aa4 --- /dev/null +++ b/Libs/Gates/hdl/mux4to1ULogicVector_sim.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF mux4to1ULogicVector IS + +BEGIN + + muxSelect: process(sel, in0, in1, in2, in3) + begin + case to_integer(sel) is + when 0 => muxOut <= in0 after delay; + when 1 => muxOut <= in1 after delay; + when 2 => muxOut <= in2 after delay; + when 3 => muxOut <= in3 after delay; + when others => muxOut <= (others => 'X') after delay; + end case; + end process muxSelect; + +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/mux4to1Unsigned_sim.vhd b/Libs/Gates/hdl/mux4to1Unsigned_sim.vhd new file mode 100644 index 0000000..eb5c7a0 --- /dev/null +++ b/Libs/Gates/hdl/mux4to1Unsigned_sim.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF mux4to1Unsigned IS + +BEGIN + + muxSelect: process(sel, in0, in1, in2, in3) + begin + case to_integer(sel) is + when 0 => muxOut <= in0 after delay; + when 1 => muxOut <= in1 after delay; + when 2 => muxOut <= in2 after delay; + when 3 => muxOut <= in3 after delay; + when others => muxOut <= (others => 'X') after delay; + end case; + end process muxSelect; + +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/mux4to1_sim.vhd b/Libs/Gates/hdl/mux4to1_sim.vhd new file mode 100644 index 0000000..0b95077 --- /dev/null +++ b/Libs/Gates/hdl/mux4to1_sim.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF mux4to1 IS + +BEGIN + + muxSelect: process(sel, in0, in1, in2, in3) + begin + case to_integer(sel) is + when 0 => muxOut <= in0 after delay; + when 1 => muxOut <= in1 after delay; + when 2 => muxOut <= in2 after delay; + when 3 => muxOut <= in3 after delay; + when others => muxOut <= 'X' after delay; + end case; + end process muxSelect; + +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/nand2_sim.vhd b/Libs/Gates/hdl/nand2_sim.vhd new file mode 100644 index 0000000..91a4938 --- /dev/null +++ b/Libs/Gates/hdl/nand2_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF nand2 IS +BEGIN + out1 <= not( in1 and in2 ) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/nor2_m_sim.vhd b/Libs/Gates/hdl/nor2_m_sim.vhd new file mode 100644 index 0000000..ff972f0 --- /dev/null +++ b/Libs/Gates/hdl/nor2_m_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF nor2_m IS +BEGIN + out1 <= (not in1) and (not in2) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/nor2_sim.vhd b/Libs/Gates/hdl/nor2_sim.vhd new file mode 100644 index 0000000..c7dc8dc --- /dev/null +++ b/Libs/Gates/hdl/nor2_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF nor2 IS +BEGIN + out1 <= not( in1 or in2 ) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/onesSigned_sim.vhd b/Libs/Gates/hdl/onesSigned_sim.vhd new file mode 100644 index 0000000..09f9420 --- /dev/null +++ b/Libs/Gates/hdl/onesSigned_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF onesSigned IS +BEGIN + ones <= (others => '1'); +END sim; diff --git a/Libs/Gates/hdl/onesUnsigned_sim.vhd b/Libs/Gates/hdl/onesUnsigned_sim.vhd new file mode 100644 index 0000000..2778f83 --- /dev/null +++ b/Libs/Gates/hdl/onesUnsigned_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF onesUnsigned IS +BEGIN + ones <= (others => '1'); +END sim; diff --git a/Libs/Gates/hdl/or2_m_sim.vhd b/Libs/Gates/hdl/or2_m_sim.vhd new file mode 100644 index 0000000..a760cfd --- /dev/null +++ b/Libs/Gates/hdl/or2_m_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF or2_m IS +BEGIN + out1 <= in1 or in2 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/or2_sim.vhd b/Libs/Gates/hdl/or2_sim.vhd new file mode 100644 index 0000000..6675513 --- /dev/null +++ b/Libs/Gates/hdl/or2_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF or2 IS +BEGIN + out1 <= in1 or in2 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/or2inv1_sim.vhd b/Libs/Gates/hdl/or2inv1_sim.vhd new file mode 100644 index 0000000..05e1584 --- /dev/null +++ b/Libs/Gates/hdl/or2inv1_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF or2inv1 IS +BEGIN + out1 <= (not in1) or in2 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/or2inv2_sim.vhd b/Libs/Gates/hdl/or2inv2_sim.vhd new file mode 100644 index 0000000..bc6aac1 --- /dev/null +++ b/Libs/Gates/hdl/or2inv2_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF or2inv2 IS +BEGIN + out1 <= (not in1) or (not in2) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/or3_m_sim.vhd b/Libs/Gates/hdl/or3_m_sim.vhd new file mode 100644 index 0000000..36591ca --- /dev/null +++ b/Libs/Gates/hdl/or3_m_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF or3_m IS +BEGIN + out1 <= in1 or in2 or in3 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/or3_sim.vhd b/Libs/Gates/hdl/or3_sim.vhd new file mode 100644 index 0000000..bf6745e --- /dev/null +++ b/Libs/Gates/hdl/or3_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF or3 IS +BEGIN + out1 <= in1 or in2 or in3 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/or4_m_sim.vhd b/Libs/Gates/hdl/or4_m_sim.vhd new file mode 100644 index 0000000..1d4abab --- /dev/null +++ b/Libs/Gates/hdl/or4_m_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF or4_m IS +BEGIN + out1 <= in1 or in2 or in3 or in4 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/or4_sim.vhd b/Libs/Gates/hdl/or4_sim.vhd new file mode 100644 index 0000000..9643021 --- /dev/null +++ b/Libs/Gates/hdl/or4_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF or4 IS +BEGIN + out1 <= in1 or in2 or in3 or in4 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/or5_m_sim.vhd b/Libs/Gates/hdl/or5_m_sim.vhd new file mode 100644 index 0000000..c7e2345 --- /dev/null +++ b/Libs/Gates/hdl/or5_m_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF or5_m IS +BEGIN + out1 <= in1 or in2 or in3 or in4 or in5 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/or5_sim.vhd b/Libs/Gates/hdl/or5_sim.vhd new file mode 100644 index 0000000..817c260 --- /dev/null +++ b/Libs/Gates/hdl/or5_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF or5 IS +BEGIN + out1 <= in1 or in2 or in3 or in4 or in5 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/transLogUlog_sim.vhd b/Libs/Gates/hdl/transLogUlog_sim.vhd new file mode 100644 index 0000000..85b459d --- /dev/null +++ b/Libs/Gates/hdl/transLogUlog_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF transLogUlog IS +BEGIN + out1 <= std_ulogic_vector(in1) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/transSignedUlog_sim.vhd b/Libs/Gates/hdl/transSignedUlog_sim.vhd new file mode 100644 index 0000000..1d0a8ca --- /dev/null +++ b/Libs/Gates/hdl/transSignedUlog_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF transSignedUlog IS +BEGIN + out1 <= std_ulogic_vector(in1) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/transSignedUnsigned_sim.vhd b/Libs/Gates/hdl/transSignedUnsigned_sim.vhd new file mode 100644 index 0000000..1d49073 --- /dev/null +++ b/Libs/Gates/hdl/transSignedUnsigned_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF transSignedUnsigned IS +BEGIN + out1 <= unsigned(in1) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/transUlogSigned_sim.vhd b/Libs/Gates/hdl/transUlogSigned_sim.vhd new file mode 100644 index 0000000..3fa20e8 --- /dev/null +++ b/Libs/Gates/hdl/transUlogSigned_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF transUlogSigned IS +BEGIN + out1 <= signed(in1) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/transUlogUnsigned_sim.vhd b/Libs/Gates/hdl/transUlogUnsigned_sim.vhd new file mode 100644 index 0000000..e65db96 --- /dev/null +++ b/Libs/Gates/hdl/transUlogUnsigned_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF transUlogUnsigned IS +BEGIN + out1 <= unsigned(in1) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/transUnsignedSigned_sim.vhd b/Libs/Gates/hdl/transUnsignedSigned_sim.vhd new file mode 100644 index 0000000..31b4904 --- /dev/null +++ b/Libs/Gates/hdl/transUnsignedSigned_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF transUnsignedSigned IS +BEGIN + out1 <= signed(in1) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/transUnsignedUlog_sim.vhd b/Libs/Gates/hdl/transUnsignedUlog_sim.vhd new file mode 100644 index 0000000..5a8817d --- /dev/null +++ b/Libs/Gates/hdl/transUnsignedUlog_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF transUnsignedUlog IS +BEGIN + out1 <= std_ulogic_vector(in1) after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/xnor2_sim.vhd b/Libs/Gates/hdl/xnor2_sim.vhd new file mode 100644 index 0000000..329e69b --- /dev/null +++ b/Libs/Gates/hdl/xnor2_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF xnor2 IS +BEGIN + xorOut <= not in1 xor in2 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/xor2_sim.vhd b/Libs/Gates/hdl/xor2_sim.vhd new file mode 100644 index 0000000..0095859 --- /dev/null +++ b/Libs/Gates/hdl/xor2_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF xor2 IS +BEGIN + xorOut <= in1 xor in2 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/xor3_sim.vhd b/Libs/Gates/hdl/xor3_sim.vhd new file mode 100644 index 0000000..34941b4 --- /dev/null +++ b/Libs/Gates/hdl/xor3_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF xor3 IS +BEGIN + xorOut <= in1 xor in2 xor in3 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/xor4_sim.vhd b/Libs/Gates/hdl/xor4_sim.vhd new file mode 100644 index 0000000..cc45303 --- /dev/null +++ b/Libs/Gates/hdl/xor4_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF xor4 IS +BEGIN + xorOut <= in1 xor in2 xor in3 xor in4 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/xor5_sim.vhd b/Libs/Gates/hdl/xor5_sim.vhd new file mode 100644 index 0000000..18f9cc0 --- /dev/null +++ b/Libs/Gates/hdl/xor5_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF xor5 IS +BEGIN + xorOut <= in1 xor in2 xor in3 xor in4 xor in5 after delay; +END ARCHITECTURE sim; diff --git a/Libs/Gates/hdl/zeroSigned_sim.vhd b/Libs/Gates/hdl/zeroSigned_sim.vhd new file mode 100644 index 0000000..4ca1fa5 --- /dev/null +++ b/Libs/Gates/hdl/zeroSigned_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF zeroSigned IS +BEGIN + zero <= (others => '0'); +END sim; diff --git a/Libs/Gates/hdl/zeroUnsigned_sim.vhd b/Libs/Gates/hdl/zeroUnsigned_sim.vhd new file mode 100644 index 0000000..f645f0b --- /dev/null +++ b/Libs/Gates/hdl/zeroUnsigned_sim.vhd @@ -0,0 +1,4 @@ +ARCHITECTURE sim OF zeroUnsigned IS +BEGIN + zero <= (others => '0'); +END sim; diff --git a/Libs/Gates/hds/.hdlsidedata/_and2_entity.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and2_entity.vhd._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and2_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Libs/Gates/hds/.hdlsidedata/_and2_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and2_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and2_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and2_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and2inv1_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and2inv1_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and2inv1_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and2inv1_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and2inv1_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and2inv1_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and2inv2_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and2inv2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and2inv2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and2inv2_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and2inv2_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and2inv2_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and3_entity.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and3_entity.vhd._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and3_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Libs/Gates/hds/.hdlsidedata/_and3_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and3_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and3_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and3_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and3_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and3_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and3inv1_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and3inv1_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and3inv1_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and3inv1_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and3inv1_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and3inv1_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and3inv2_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and3inv2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and3inv2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and3inv2_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and3inv2_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and3inv2_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and3inv3_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and3inv3_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and3inv3_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and3inv3_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and3inv3_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and3inv3_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and4_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and4_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and4_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and4_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and4_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and4_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and4inv1_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and4inv1_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and4inv1_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and4inv1_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and4inv1_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and4inv1_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and4inv2_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and4inv2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and4inv2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and4inv2_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and4inv2_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and4inv2_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and4inv3_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and4inv3_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and4inv3_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and4inv3_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and4inv3_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and4inv3_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and4inv4_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and4inv4_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and4inv4_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and4inv4_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and4inv4_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and4inv4_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and5_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_and5_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and5_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_and5_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_and5_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_and5_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_bufferLogicVector_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_bufferLogicVector_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_bufferLogicVector_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_bufferSigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_bufferSigned_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_bufferSigned_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_bufferULogicVector_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_bufferULogicVector_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_bufferULogicVector_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_bufferULogic_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_bufferULogic_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_bufferULogic_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_bufferUnsigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_bufferUnsigned_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_bufferUnsigned_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_bufferlogicvector_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_bufferlogicvector_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_bufferlogicvector_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_buffersigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_buffersigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_buffersigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_bufferulogic_entity.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_bufferulogic_entity.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_bufferulogic_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_bufferulogic_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_bufferulogic_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_bufferulogic_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_bufferulogicvector_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_bufferulogicvector_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_bufferulogicvector_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_bufferunsigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_bufferunsigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_bufferunsigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_demux1to2_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_demux1to2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_demux1to2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_demux1to2_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_demux1to2_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_demux1to2_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_demux1to4_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_demux1to4_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_demux1to4_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_demux1to4_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_demux1to4_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_demux1to4_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_gates_pkg.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_gates_pkg.vhd._fpf new file mode 100644 index 0000000..83d563a --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_gates_pkg.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_87 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/Gates/hds/.hdlsidedata/_inverter_entity.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_inverter_entity.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_inverter_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_inverter_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_inverter_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_inverter_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_inverter_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_inverter_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_inverter_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_logic0_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_logic0_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_logic0_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_logic0_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_logic0_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_logic0_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_logic1_entity.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_logic1_entity.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_logic1_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_logic1_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_logic1_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_logic1_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_logic1_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_logic1_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_logic1_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux16to1_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_mux16to1_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux16to1_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux16to1_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_mux16to1_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux16to1_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux2to1Signed_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_mux2to1Signed_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux2to1Signed_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux2to1ULogicVector_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_mux2to1ULogicVector_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux2to1ULogicVector_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux2to1Unsigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_mux2to1Unsigned_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux2to1Unsigned_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux2to1_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_mux2to1_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux2to1_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux2to1_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_mux2to1_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux2to1_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux2to1signed_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_mux2to1signed_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux2to1signed_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux2to1ulogicvector_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_mux2to1ulogicvector_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux2to1ulogicvector_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux2to1unsigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_mux2to1unsigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux2to1unsigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux4to1Signed_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_mux4to1Signed_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux4to1Signed_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux4to1ULogicVector_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_mux4to1ULogicVector_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux4to1ULogicVector_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux4to1Unsigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_mux4to1Unsigned_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux4to1Unsigned_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux4to1_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_mux4to1_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux4to1_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux4to1_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_mux4to1_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux4to1_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux4to1signed_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_mux4to1signed_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux4to1signed_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux4to1ulogicvector_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_mux4to1ulogicvector_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux4to1ulogicvector_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_mux4to1unsigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_mux4to1unsigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_mux4to1unsigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_nand2_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_nand2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_nand2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_nand2_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_nand2_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_nand2_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_nor2_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_nor2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_nor2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_nor2_m_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_nor2_m_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_nor2_m_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_nor2_m_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_nor2_m_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_nor2_m_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_nor2_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_nor2_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_nor2_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_onesSigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_onesSigned_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_onesSigned_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_onesUnsigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_onesUnsigned_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_onesUnsigned_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_onessigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_onessigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_onessigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_onesunsigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_onesunsigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_onesunsigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or2_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_or2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or2_m_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_or2_m_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or2_m_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or2_m_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or2_m_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or2_m_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or2_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or2_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or2_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or2inv1_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_or2inv1_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or2inv1_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or2inv1_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or2inv1_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or2inv1_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or2inv2_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_or2inv2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or2inv2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or2inv2_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or2inv2_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or2inv2_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or3_entity.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or3_entity.vhd._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or3_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Libs/Gates/hds/.hdlsidedata/_or3_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_or3_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or3_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or3_m_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_or3_m_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or3_m_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or3_m_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or3_m_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or3_m_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or3_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or3_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or3_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or4_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_or4_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or4_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or4_m_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_or4_m_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or4_m_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or4_m_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or4_m_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or4_m_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or4_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or4_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or4_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or5_entity.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or5_entity.vhd._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or5_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Libs/Gates/hds/.hdlsidedata/_or5_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_or5_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or5_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or5_m_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_or5_m_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or5_m_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or5_m_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or5_m_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or5_m_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_or5_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_or5_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_or5_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transLogUlog_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_transLogUlog_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transLogUlog_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transSignedUlog_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_transSignedUlog_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transSignedUlog_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transSignedUnsigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_transSignedUnsigned_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transSignedUnsigned_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transUlogSigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_transUlogSigned_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transUlogSigned_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transUlogUnsigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_transUlogUnsigned_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transUlogUnsigned_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transUnsignedSigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_transUnsignedSigned_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transUnsignedSigned_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transUnsignedUlog_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_transUnsignedUlog_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transUnsignedUlog_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_translogulog_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_translogulog_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_translogulog_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transsignedulog_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_transsignedulog_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transsignedulog_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transsignedunsigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_transsignedunsigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transsignedunsigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transulogsigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_transulogsigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transulogsigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transulogunsigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_transulogunsigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transulogunsigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transunsignedsigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_transunsignedsigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transunsignedsigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_transunsignedulog_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_transunsignedulog_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_transunsignedulog_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_xnor2_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_xnor2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_xnor2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_xnor2_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_xnor2_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_xnor2_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_xor2_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_xor2_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_xor2_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_xor2_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_xor2_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_xor2_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_xor3_entity.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_xor3_entity.vhd._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_xor3_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Libs/Gates/hds/.hdlsidedata/_xor3_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_xor3_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_xor3_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_xor3_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_xor3_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_xor3_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_xor4_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_xor4_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_xor4_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_xor4_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_xor4_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_xor4_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_xor5_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_xor5_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_xor5_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_xor5_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_xor5_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_xor5_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_zeroSigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_zeroSigned_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_zeroSigned_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_zeroUnsigned_sim.vhd._fpf b/Libs/Gates/hds/.hdlsidedata/_zeroUnsigned_sim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_zeroUnsigned_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_zerosigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_zerosigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_zerosigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/.hdlsidedata/_zerounsigned_entity.vhg._fpf b/Libs/Gates/hds/.hdlsidedata/_zerounsigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Gates/hds/.hdlsidedata/_zerounsigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Gates/hds/_and2._epf b/Libs/Gates/hds/_and2._epf new file mode 100644 index 0000000..39ade64 --- /dev/null +++ b/Libs/Gates/hds/_and2._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom and2_sim.vhd diff --git a/Libs/Gates/hds/_and5._epf b/Libs/Gates/hds/_and5._epf new file mode 100644 index 0000000..423ef21 --- /dev/null +++ b/Libs/Gates/hds/_and5._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom and5_sim.vhd diff --git a/Libs/Gates/hds/_bufferlogicvector._epf b/Libs/Gates/hds/_bufferlogicvector._epf new file mode 100644 index 0000000..9df73d3 --- /dev/null +++ b/Libs/Gates/hds/_bufferlogicvector._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom bufferLogicVector_sim.vhd diff --git a/Libs/Gates/hds/_buffersigned._epf b/Libs/Gates/hds/_buffersigned._epf new file mode 100644 index 0000000..7b12431 --- /dev/null +++ b/Libs/Gates/hds/_buffersigned._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom bufferSigned_sim.vhd diff --git a/Libs/Gates/hds/_bufferulogic._epf b/Libs/Gates/hds/_bufferulogic._epf new file mode 100644 index 0000000..35a6dd8 --- /dev/null +++ b/Libs/Gates/hds/_bufferulogic._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom bufferULogic_sim.vhd diff --git a/Libs/Gates/hds/_bufferulogicvector._epf b/Libs/Gates/hds/_bufferulogicvector._epf new file mode 100644 index 0000000..dc22383 --- /dev/null +++ b/Libs/Gates/hds/_bufferulogicvector._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom bufferULogicVector_sim.vhd diff --git a/Libs/Gates/hds/_bufferunsigned._epf b/Libs/Gates/hds/_bufferunsigned._epf new file mode 100644 index 0000000..0e5d4f3 --- /dev/null +++ b/Libs/Gates/hds/_bufferunsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom bufferUnsigned_sim.vhd diff --git a/Libs/Gates/hds/_inverter._epf b/Libs/Gates/hds/_inverter._epf new file mode 100644 index 0000000..ed5001c --- /dev/null +++ b/Libs/Gates/hds/_inverter._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom inverter_sim.vhd diff --git a/Libs/Gates/hds/_logic0._epf b/Libs/Gates/hds/_logic0._epf new file mode 100644 index 0000000..b4b8dc5 --- /dev/null +++ b/Libs/Gates/hds/_logic0._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom logic0_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/Libs/Gates/hds/_logic1._epf b/Libs/Gates/hds/_logic1._epf new file mode 100644 index 0000000..6d2b94f --- /dev/null +++ b/Libs/Gates/hds/_logic1._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom logic1_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/Libs/Gates/hds/_mux16to1._epf b/Libs/Gates/hds/_mux16to1._epf new file mode 100644 index 0000000..db9191a --- /dev/null +++ b/Libs/Gates/hds/_mux16to1._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom mux16to1_sim.vhd diff --git a/Libs/Gates/hds/_mux2to1._epf b/Libs/Gates/hds/_mux2to1._epf new file mode 100644 index 0000000..33a1958 --- /dev/null +++ b/Libs/Gates/hds/_mux2to1._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom mux2to1_sim.vhd diff --git a/Libs/Gates/hds/_mux2to1signed._epf b/Libs/Gates/hds/_mux2to1signed._epf new file mode 100644 index 0000000..3bc527c --- /dev/null +++ b/Libs/Gates/hds/_mux2to1signed._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom mux2to1Signed_sim.vhd diff --git a/Libs/Gates/hds/_mux2to1ulogicvector._epf b/Libs/Gates/hds/_mux2to1ulogicvector._epf new file mode 100644 index 0000000..576217a --- /dev/null +++ b/Libs/Gates/hds/_mux2to1ulogicvector._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom mux2to1ULogicVector_sim.vhd diff --git a/Libs/Gates/hds/_mux2to1unsigned._epf b/Libs/Gates/hds/_mux2to1unsigned._epf new file mode 100644 index 0000000..69ff576 --- /dev/null +++ b/Libs/Gates/hds/_mux2to1unsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom mux2to1Unsigned_sim.vhd diff --git a/Libs/Gates/hds/_mux4to1._epf b/Libs/Gates/hds/_mux4to1._epf new file mode 100644 index 0000000..a59efcc --- /dev/null +++ b/Libs/Gates/hds/_mux4to1._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom mux4to1_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/Libs/Gates/hds/_mux4to1signed._epf b/Libs/Gates/hds/_mux4to1signed._epf new file mode 100644 index 0000000..f789c2a --- /dev/null +++ b/Libs/Gates/hds/_mux4to1signed._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom mux4to1Signed_sim.vhd diff --git a/Libs/Gates/hds/_mux4to1ulogicvector._epf b/Libs/Gates/hds/_mux4to1ulogicvector._epf new file mode 100644 index 0000000..c0b56e7 --- /dev/null +++ b/Libs/Gates/hds/_mux4to1ulogicvector._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom mux4to1ULogicVector_sim.vhd diff --git a/Libs/Gates/hds/_mux4to1unsigned._epf b/Libs/Gates/hds/_mux4to1unsigned._epf new file mode 100644 index 0000000..edd319a --- /dev/null +++ b/Libs/Gates/hds/_mux4to1unsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom mux4to1Unsigned_sim.vhd diff --git a/Libs/Gates/hds/_onessigned._epf b/Libs/Gates/hds/_onessigned._epf new file mode 100644 index 0000000..e10b136 --- /dev/null +++ b/Libs/Gates/hds/_onessigned._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom onesSigned_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/Libs/Gates/hds/_onesunsigned._epf b/Libs/Gates/hds/_onesunsigned._epf new file mode 100644 index 0000000..68d966b --- /dev/null +++ b/Libs/Gates/hds/_onesunsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom onesUnsigned_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/Libs/Gates/hds/_or3_m._epf b/Libs/Gates/hds/_or3_m._epf new file mode 100644 index 0000000..64585c2 --- /dev/null +++ b/Libs/Gates/hds/_or3_m._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom or3_m_sim.vhd diff --git a/Libs/Gates/hds/_translogulog._epf b/Libs/Gates/hds/_translogulog._epf new file mode 100644 index 0000000..1dc649e --- /dev/null +++ b/Libs/Gates/hds/_translogulog._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom transLogUlog_sim.vhd diff --git a/Libs/Gates/hds/_transsignedulog._epf b/Libs/Gates/hds/_transsignedulog._epf new file mode 100644 index 0000000..88b1d5b --- /dev/null +++ b/Libs/Gates/hds/_transsignedulog._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom transSignedUlog_sim.vhd diff --git a/Libs/Gates/hds/_transsignedunsigned._epf b/Libs/Gates/hds/_transsignedunsigned._epf new file mode 100644 index 0000000..1088def --- /dev/null +++ b/Libs/Gates/hds/_transsignedunsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom transSignedUnsigned_sim.vhd diff --git a/Libs/Gates/hds/_transulogsigned._epf b/Libs/Gates/hds/_transulogsigned._epf new file mode 100644 index 0000000..81da8e2 --- /dev/null +++ b/Libs/Gates/hds/_transulogsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom transUlogSigned_sim.vhd diff --git a/Libs/Gates/hds/_transulogunsigned._epf b/Libs/Gates/hds/_transulogunsigned._epf new file mode 100644 index 0000000..bc39494 --- /dev/null +++ b/Libs/Gates/hds/_transulogunsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom transUlogUnsigned_sim.vhd diff --git a/Libs/Gates/hds/_transunsignedsigned._epf b/Libs/Gates/hds/_transunsignedsigned._epf new file mode 100644 index 0000000..0649f33 --- /dev/null +++ b/Libs/Gates/hds/_transunsignedsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom transUnsignedSigned_sim.vhd diff --git a/Libs/Gates/hds/_transunsignedulog._epf b/Libs/Gates/hds/_transunsignedulog._epf new file mode 100644 index 0000000..e952239 --- /dev/null +++ b/Libs/Gates/hds/_transunsignedulog._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom transUnsignedUlog_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/Libs/Gates/hds/_xnor2._epf b/Libs/Gates/hds/_xnor2._epf new file mode 100644 index 0000000..69d89cf --- /dev/null +++ b/Libs/Gates/hds/_xnor2._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom xor2_sim1.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/Libs/Gates/hds/_zerosigned._epf b/Libs/Gates/hds/_zerosigned._epf new file mode 100644 index 0000000..78a44c6 --- /dev/null +++ b/Libs/Gates/hds/_zerosigned._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom zeroSigned_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/Libs/Gates/hds/_zerounsigned._epf b/Libs/Gates/hds/_zerounsigned._epf new file mode 100644 index 0000000..4ed55f7 --- /dev/null +++ b/Libs/Gates/hds/_zerounsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom zeroUnsigned_sim.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/Libs/Gates/hds/and2/symbol.sb b/Libs/Gates/hds/and2/symbol.sb new file mode 100644 index 0000000..bfeaf29 --- /dev/null +++ b/Libs/Gates/hds/and2/symbol.sb @@ -0,0 +1,1479 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 152,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 153,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 154,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 155,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 156,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 95,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 98,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 100,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 102,0 +) +*22 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 121,0 +) +*23 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 122,0 +) +*24 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 123,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 104,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 108,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 110,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 112,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 114,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 116,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 118,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 120,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 94,0 +vaOverrides [ +] +) +] +) +uid 151,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 149,0 +) +] +) +pdm (PhysicalDM +uid 159,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 125,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 128,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 130,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 132,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 150,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 126,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 134,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 138,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 140,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 142,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 144,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 146,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 148,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 124,0 +vaOverrides [ +] +) +] +) +uid 157,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:52" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and2" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:52" +) +(vvPair +variable "unit" +value "and2" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,13625,31000,14375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "31000,13600,32400,14600" +st "in1" +blo "31000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 84,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11000,13600,12000" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,17625,31000,18375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "31000,17600,32400,18600" +st "in2" +blo "31000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11900,13600,12900" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*62 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37950,15625,38700,16375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "36200,15550,38000,16550" +st "out1" +ju 2 +blo "38000,16350" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 86,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12800,13700,13800" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "31000,13000,38000,19000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "31600,18700,34700,19700" +st "gates" +blo "31600,19500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "31600,19700,34500,20700" +st "and2" +blo "31600,20500" +) +) +gi *63 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "31000,22600,41800,25600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*64 (Grouping +uid 183,0 +optionalChildren [ +*65 (CommentText +uid 185,0 +shape (Rectangle +uid 186,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 187,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 188,0 +shape (Rectangle +uid 189,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,57000,44000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 190,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,43500,53200,43500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 191,0 +shape (Rectangle +uid 192,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 193,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 194,0 +shape (Rectangle +uid 195,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 196,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 197,0 +shape (Rectangle +uid 198,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,73000,48000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 199,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44200,67300,45400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 200,0 +shape (Rectangle +uid 201,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,43000,73000,44000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 202,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,43500,57200,43500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 203,0 +shape (Rectangle +uid 204,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,53000,45000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 205,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,43400,47650,44600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 206,0 +shape (Rectangle +uid 207,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 208,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 209,0 +shape (Rectangle +uid 210,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 211,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 212,0 +shape (Rectangle +uid 213,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 214,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 184,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,43000,73000,48000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *75 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +uid 92,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-500,5400,500" +st "Package List" +blo "0,300" +) +*77 (MLText +uid 93,0 +va (VaSet +) +xt "0,500,17500,5300" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "42,37,1252,889" +viewArea "-1000,-1600,74316,54488" +cachedDiagramExtent "0,-500,73000,48000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-1000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *78 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *79 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9000,5400,10000" +st "Declarations" +blo "0,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,10000,2700,11000" +st "Ports:" +blo "0,10800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,13700,2500,14600" +st "User:" +blo "0,14400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,9000,5800,10000" +st "Internal User:" +blo "0,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14600,2000,14600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "20000,9000,20000,9000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 306,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and2inv1/symbol.sb b/Libs/Gates/hds/and2inv1/symbol.sb new file mode 100644 index 0000000..00912f7 --- /dev/null +++ b/Libs/Gates/hds/and2inv1/symbol.sb @@ -0,0 +1,1488 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 153,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 154,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 155,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 156,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 157,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 99,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 101,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 103,0 +) +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 122,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 123,0 +) +*24 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 124,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 97,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 105,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 109,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 111,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 113,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 115,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 117,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 119,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 121,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 152,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 159,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 150,0 +) +] +) +pdm (PhysicalDM +uid 160,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 126,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 129,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 131,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 133,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 151,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 127,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 135,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 139,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 141,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 143,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 145,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 147,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 149,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 125,0 +vaOverrides [ +] +) +] +) +uid 158,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv1\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv1\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv1" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv1" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and2inv1" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:52" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and2inv1" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv1\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv1\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:52" +) +(vvPair +variable "unit" +value "and2inv1" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,13625,33000,14375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "33000,13600,34400,14600" +st "in1" +blo "33000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 84,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13600,13600,14600" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 63,0 +optionalChildren [ +*62 (Circle +uid 94,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32250,17625,33000,18375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,17625,32250,18375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "33000,17600,34400,18600" +st "in2" +blo "33000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14500,13600,15500" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*63 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39950,15625,40700,16375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "38200,15550,40000,16550" +st "out1" +ju 2 +blo "40000,16350" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 86,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15400,13700,16400" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,13000,40000,19000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,18700,36700,19700" +st "gates" +blo "33600,19500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,19700,38500,20700" +st "and2inv1" +blo "33600,20500" +) +) +gi *64 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,21600,43800,24600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*65 (Grouping +uid 188,0 +optionalChildren [ +*66 (CommentText +uid 190,0 +shape (Rectangle +uid 191,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 192,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,57000,44000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,43500,53200,43500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,73000,48000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44200,67300,45400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,43000,73000,44000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 207,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,43500,57200,43500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,53000,45000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 210,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,43400,47650,44600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 213,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 217,0 +shape (Rectangle +uid 218,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 219,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 189,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,43000,73000,48000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *76 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 92,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-1000,5400,0" +st "Package List" +blo "0,-200" +) +*78 (MLText +uid 93,0 +va (VaSet +) +xt "0,0,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "19,52,1381,907" +viewArea "-1067,-2068,78361,47479" +cachedDiagramExtent "0,-1000,73000,48000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-1000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *79 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *80 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,5400,12600" +st "Declarations" +blo "0,12400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,12600,2700,13600" +st "Ports:" +blo "0,13400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,16300,2500,17200" +st "User:" +blo "0,17000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,11600,5800,12600" +st "Internal User:" +blo "0,12400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17200,2000,17200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "20000,11600,20000,11600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 265,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and2inv2/symbol.sb b/Libs/Gates/hds/and2inv2/symbol.sb new file mode 100644 index 0000000..70306a6 --- /dev/null +++ b/Libs/Gates/hds/and2inv2/symbol.sb @@ -0,0 +1,1501 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 154,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 155,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 156,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 157,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 158,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 97,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 100,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 102,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 104,0 +) +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 123,0 +) +*23 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 124,0 +) +*24 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 125,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 98,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 106,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 110,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 112,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 114,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 116,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 118,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 120,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 122,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 153,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 160,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 151,0 +) +] +) +pdm (PhysicalDM +uid 161,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 127,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 130,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 132,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 152,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 136,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 140,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 142,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 144,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 146,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 148,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 150,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 126,0 +vaOverrides [ +] +) +] +) +uid 159,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv2\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv2\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv2" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv2" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and2inv2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:52" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and2inv2" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv2\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and2inv2\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:52" +) +(vvPair +variable "unit" +value "and2inv2" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +optionalChildren [ +*61 (Circle +uid 95,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32250,16625,33000,17375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,16625,32250,17375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "33000,16600,34400,17600" +st "in1" +blo "33000,17400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 84,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12000,13600,13000" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*62 (CptPort +uid 63,0 +optionalChildren [ +*63 (Circle +uid 94,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32250,20625,33000,21375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,20625,32250,21375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "33000,20600,34400,21600" +st "in2" +blo "33000,21400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12900,13600,13900" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39950,18625,40700,19375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "38200,18550,40000,19550" +st "out1" +ju 2 +blo "40000,19350" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 86,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13800,13700,14800" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,16000,40000,22000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,21700,36700,22700" +st "gates" +blo "33600,22500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,22700,38500,23700" +st "and2inv2" +blo "33600,23500" +) +) +gi *65 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,24600,43800,27600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*66 (Grouping +uid 185,0 +optionalChildren [ +*67 (CommentText +uid 187,0 +shape (Rectangle +uid 188,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 189,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 190,0 +shape (Rectangle +uid 191,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,57000,44000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 192,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,43500,53200,43500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,73000,48000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44200,67300,45400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,43000,73000,44000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,43500,57200,43500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,53000,45000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 207,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,43400,47650,44600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 213,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 186,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,43000,73000,48000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *77 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 92,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-1000,5400,0" +st "Package List" +blo "0,-200" +) +*79 (MLText +uid 93,0 +va (VaSet +) +xt "0,0,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "22,38,1379,912" +viewArea "-1000,-2000,76384,49680" +cachedDiagramExtent "0,-1000,73000,48000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-1000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *80 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *81 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,10000,5400,11000" +st "Declarations" +blo "0,10800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11000,2700,12000" +st "Ports:" +blo "0,11800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,14700,2500,15600" +st "User:" +blo "0,15400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,10000,5800,11000" +st "Internal User:" +blo "0,10800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15600,2000,15600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "20000,10000,20000,10000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 285,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and3/symbol.sb b/Libs/Gates/hds/and3/symbol.sb new file mode 100644 index 0000000..82f76e9 --- /dev/null +++ b/Libs/Gates/hds/and3/symbol.sb @@ -0,0 +1,1556 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 152,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 153,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 154,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 155,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 156,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 157,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 94,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 99,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 101,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 120,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 121,0 +) +*25 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 122,0 +) +*26 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 123,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 95,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 103,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 107,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 109,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 111,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 113,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 115,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 117,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 119,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 93,0 +vaOverrides [ +] +) +] +) +uid 151,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 159,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 149,0 +) +] +) +pdm (PhysicalDM +uid 160,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 125,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 128,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 130,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 132,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 150,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 126,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 134,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 138,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 140,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 142,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 144,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 146,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 148,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 124,0 +vaOverrides [ +] +) +] +) +uid 158,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and3" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:51" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and3" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:51" +) +(vvPair +variable "unit" +value "and3" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,14625,34000,15375" +) +tg (CPTG +uid 87,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "34000,14500,35400,15500" +st "in1" +blo "34000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 81,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16200,13600,17200" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,18625,34000,19375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +) +xt "34000,18500,35400,19500" +st "in3" +blo "34000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,18000,13600,19000" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*64 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,16625,34000,17375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +) +xt "34000,16500,35400,17500" +st "in2" +blo "34000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 83,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17100,13600,18100" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*65 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40950,16625,41700,17375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +) +xt "39200,16500,41000,17500" +st "out1" +ju 2 +blo "41000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 84,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,18900,13700,19900" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,14000,41000,20000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,19700,37700,20700" +st "gates" +blo "34600,20500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,20700,37500,21700" +st "and3" +blo "34600,21500" +) +) +gi *66 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,23600,44800,26600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*67 (Grouping +uid 184,0 +optionalChildren [ +*68 (CommentText +uid 186,0 +shape (Rectangle +uid 187,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 188,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 197,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 200,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 206,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 207,0 +shape (Rectangle +uid 208,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 209,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 210,0 +shape (Rectangle +uid 211,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 212,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 213,0 +shape (Rectangle +uid 214,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 215,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 185,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *78 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 91,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*80 (MLText +uid 92,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "13,33,1326,1031" +viewArea "-1600,-1100,73886,59896" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,14200,5400,15200" +st "Declarations" +blo "0,15000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15200,2700,16200" +st "Ports:" +blo "0,16000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,19800,2500,20700" +st "User:" +blo "0,20500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,14200,5800,15200" +st "Internal User:" +blo "0,15000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,20700,2000,20700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "18000,14200,18000,14200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 307,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and3inv1/symbol.sb b/Libs/Gates/hds/and3inv1/symbol.sb new file mode 100644 index 0000000..9d6ef16 --- /dev/null +++ b/Libs/Gates/hds/and3inv1/symbol.sb @@ -0,0 +1,1550 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 153,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 154,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 155,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 156,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 157,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 158,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 95,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 98,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 100,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 102,0 +) +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 121,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 122,0 +) +*25 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 123,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 124,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 104,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 108,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 110,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 112,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 114,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 116,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 118,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 120,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 94,0 +vaOverrides [ +] +) +] +) +uid 152,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 160,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 150,0 +) +] +) +pdm (PhysicalDM +uid 161,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 126,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 129,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 131,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 133,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 151,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 127,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 135,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 139,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 141,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 143,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 145,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 147,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 149,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 125,0 +vaOverrides [ +] +) +] +) +uid 159,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv1\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv1\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv1" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv1" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and3inv1" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:51" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and3inv1" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv1\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv1\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:51" +) +(vvPair +variable "unit" +value "and3inv1" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +optionalChildren [ +*63 (Circle +uid 93,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "31250,14625,32000,15375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30500,14625,31250,15375" +) +tg (CPTG +uid 87,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "33000,14500,34400,15500" +st "in1" +blo "33000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 81,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17200,13600,18200" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*64 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,18625,32000,19375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "33000,18500,34400,19500" +st "in3" +blo "33000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,19000,13600,20000" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*65 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,16625,32000,17375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "33000,16500,34400,17500" +st "in2" +blo "33000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 83,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,18100,13600,19100" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*66 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38950,16625,39700,17375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "36200,16500,38000,17500" +st "out1" +ju 2 +blo "38000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 84,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,19900,13700,20900" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,14000,39000,20000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "32600,19700,35700,20700" +st "gates" +blo "32600,20500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "32600,20700,37500,21700" +st "and3inv1" +blo "32600,21500" +) +) +gi *67 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "32000,22600,42800,25600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*68 (Grouping +uid 185,0 +optionalChildren [ +*69 (CommentText +uid 187,0 +shape (Rectangle +uid 188,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 189,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 190,0 +shape (Rectangle +uid 191,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 192,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 207,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 213,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 186,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *79 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 91,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*81 (MLText +uid 92,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,37,1379,953" +viewArea "-1076,-1076,74612,49426" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15200,5400,16200" +st "Declarations" +blo "0,16000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,16200,2700,17200" +st "Ports:" +blo "0,17000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,20800,2500,21700" +st "User:" +blo "0,21500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15200,5800,16200" +st "Internal User:" +blo "0,16000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,21700,2000,21700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "18000,15200,18000,15200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 262,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and3inv2/symbol.sb b/Libs/Gates/hds/and3inv2/symbol.sb new file mode 100644 index 0000000..9aa8701 --- /dev/null +++ b/Libs/Gates/hds/and3inv2/symbol.sb @@ -0,0 +1,1563 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 154,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 155,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 156,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 157,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 158,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 159,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 96,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 99,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 101,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 103,0 +) +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 122,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 123,0 +) +*25 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 124,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 125,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 97,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 105,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 109,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 111,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 113,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 115,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 117,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 119,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 121,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 153,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 161,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 151,0 +) +] +) +pdm (PhysicalDM +uid 162,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 127,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 130,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 132,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 152,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 136,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 140,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 142,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 144,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 146,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 148,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 150,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 126,0 +vaOverrides [ +] +) +] +) +uid 160,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv2\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv2\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv2" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv2" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and3inv2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:51" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and3inv2" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv2\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv2\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:51" +) +(vvPair +variable "unit" +value "and3inv2" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +optionalChildren [ +*63 (Circle +uid 93,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "32250,17625,33000,18375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,17625,32250,18375" +) +tg (CPTG +uid 87,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "33000,17500,34400,18500" +st "in1" +blo "33000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 81,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,13600,10800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*64 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,21625,33000,22375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "33000,21500,34400,22500" +st "in3" +blo "33000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,13600,12600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*65 (CptPort +uid 63,0 +optionalChildren [ +*66 (Circle +uid 94,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "32250,19625,33000,20375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,19625,32250,20375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "33000,19500,34400,20500" +st "in2" +blo "33000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 83,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,13600,11700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*67 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39950,19625,40700,20375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "38200,19500,40000,20500" +st "out1" +ju 2 +blo "40000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 84,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,13700,13500" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,17000,40000,23000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,22700,36700,23700" +st "gates" +blo "33600,23500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,23700,38500,24700" +st "and3inv2" +blo "33600,24500" +) +) +gi *68 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,25600,43800,28600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*69 (Grouping +uid 186,0 +optionalChildren [ +*70 (CommentText +uid 188,0 +shape (Rectangle +uid 189,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 190,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 191,0 +shape (Rectangle +uid 192,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 193,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 194,0 +shape (Rectangle +uid 195,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 196,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 197,0 +shape (Rectangle +uid 198,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 199,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 200,0 +shape (Rectangle +uid 201,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 202,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 203,0 +shape (Rectangle +uid 204,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 205,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 206,0 +shape (Rectangle +uid 207,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 208,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 209,0 +shape (Rectangle +uid 210,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 211,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 212,0 +shape (Rectangle +uid 213,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 214,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 215,0 +shape (Rectangle +uid 216,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 217,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 187,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *80 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 91,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*82 (MLText +uid 92,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,35,1387,950" +viewArea "-1100,-1100,74820,50965" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,13400,2500,14300" +st "User:" +blo "0,14100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14300,2000,14300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "18000,7800,18000,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 309,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and3inv3/symbol.sb b/Libs/Gates/hds/and3inv3/symbol.sb new file mode 100644 index 0000000..3fb7ac6 --- /dev/null +++ b/Libs/Gates/hds/and3inv3/symbol.sb @@ -0,0 +1,1576 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 155,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 156,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 157,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 158,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 159,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 160,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 97,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 100,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 102,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 104,0 +) +*23 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 123,0 +) +*24 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 124,0 +) +*25 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 125,0 +) +*26 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 126,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 98,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 106,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 110,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 112,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 114,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 116,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 118,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 120,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 122,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 96,0 +vaOverrides [ +] +) +] +) +uid 154,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 162,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 152,0 +) +] +) +pdm (PhysicalDM +uid 163,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 128,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 131,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 133,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 135,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 153,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 129,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 137,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 141,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 143,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 145,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 147,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 149,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 151,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 127,0 +vaOverrides [ +] +) +] +) +uid 161,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv3\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv3\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv3" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv3" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and3inv3" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:51" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and3inv3" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv3\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and3inv3\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:51" +) +(vvPair +variable "unit" +value "and3inv3" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +optionalChildren [ +*63 (Circle +uid 93,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "32250,17625,33000,18375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,17625,32250,18375" +) +tg (CPTG +uid 87,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "33000,17500,34400,18500" +st "in1" +blo "33000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 81,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,13600,10800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*64 (CptPort +uid 57,0 +optionalChildren [ +*65 (Circle +uid 94,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "32250,21625,33000,22375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,21625,32250,22375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "33000,21500,34400,22500" +st "in3" +blo "33000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,13600,12600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*66 (CptPort +uid 63,0 +optionalChildren [ +*67 (Circle +uid 95,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "32250,19625,33000,20375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,19625,32250,20375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "33000,19500,34400,20500" +st "in2" +blo "33000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 83,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,13600,11700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*68 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39950,19625,40700,20375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "38200,19500,40000,20500" +st "out1" +ju 2 +blo "40000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 84,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,13700,13500" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,17000,40000,23000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,22700,36700,23700" +st "gates" +blo "33600,23500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,23700,38500,24700" +st "and3inv3" +blo "33600,24500" +) +) +gi *69 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,25600,43800,28600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*70 (Grouping +uid 187,0 +optionalChildren [ +*71 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 197,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 200,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 206,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 207,0 +shape (Rectangle +uid 208,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 209,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 210,0 +shape (Rectangle +uid 211,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 212,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 213,0 +shape (Rectangle +uid 214,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 215,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 216,0 +shape (Rectangle +uid 217,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 218,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 188,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *81 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 91,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 92,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "-1,39,1391,964" +viewArea "-1064,-1064,74611,49450" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,13400,2500,14300" +st "User:" +blo "0,14100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14300,2000,14300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "18000,7800,18000,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 264,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and4/symbol.sb b/Libs/Gates/hds/and4/symbol.sb new file mode 100644 index 0000000..ea4fea4 --- /dev/null +++ b/Libs/Gates/hds/and4/symbol.sb @@ -0,0 +1,1598 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 171,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +uid 172,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +uid 173,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 174,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 175,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 176,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 177,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 112,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 115,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 117,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 119,0 +) +*24 (MRCItem +litem &2 +pos 4 +dimension 20 +uid 138,0 +) +*25 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 139,0 +) +*26 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 140,0 +) +*27 (MRCItem +litem &5 +pos 2 +dimension 20 +uid 141,0 +) +*28 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 142,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 113,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 121,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 125,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 127,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 129,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 131,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 133,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 135,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 137,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 111,0 +vaOverrides [ +] +) +] +) +uid 170,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 179,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 168,0 +) +] +) +pdm (PhysicalDM +uid 180,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 144,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 147,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 149,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 151,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 169,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 145,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 153,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 157,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 159,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 161,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 163,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 165,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 167,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 143,0 +vaOverrides [ +] +) +] +) +uid 178,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and4" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:50" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and4" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:50" +) +(vvPair +variable "unit" +value "and4" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,17625,33000,18375" +) +tg (CPTG +uid 104,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "33000,17400,34400,18400" +st "in1" +blo "33000,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,13600,11800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,20625,33000,21375" +) +tg (CPTG +uid 105,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "33000,20400,34400,21400" +st "in3" +blo "33000,21200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,13600,13600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*66 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,18625,33000,19375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "33000,18250,34400,19250" +st "in2" +blo "33000,19050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 99,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,13600,12700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*67 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39950,19625,40700,20375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "38150,19400,39950,20400" +st "out1" +ju 2 +blo "39950,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,13700,15400" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +) +*68 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,21625,33000,22375" +) +tg (CPTG +uid 108,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +) +xt "33000,21400,34400,22400" +st "in4" +blo "33000,22200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 101,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,13600,14500" +st "in4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,17000,40000,23000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,22700,36700,23700" +st "gates" +blo "33600,23500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,23700,36500,24700" +st "and4" +blo "33600,24500" +) +) +gi *69 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,25600,43800,28600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*70 (Grouping +uid 204,0 +optionalChildren [ +*71 (CommentText +uid 206,0 +shape (Rectangle +uid 207,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 208,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 209,0 +shape (Rectangle +uid 210,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 211,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 212,0 +shape (Rectangle +uid 213,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 214,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 215,0 +shape (Rectangle +uid 216,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 217,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 218,0 +shape (Rectangle +uid 219,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 220,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 221,0 +shape (Rectangle +uid 222,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 223,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 224,0 +shape (Rectangle +uid 225,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 226,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 227,0 +shape (Rectangle +uid 228,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 229,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 230,0 +shape (Rectangle +uid 231,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 232,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 233,0 +shape (Rectangle +uid 234,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 235,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 205,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *81 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 109,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 110,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "1,42,1386,980" +viewArea "-1060,-1060,74589,50101" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15300,2500,16200" +st "User:" +blo "0,16000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16200,2000,16200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "24000,8800,24000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 281,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and4inv1/symbol.sb b/Libs/Gates/hds/and4inv1/symbol.sb new file mode 100644 index 0000000..00ee489 --- /dev/null +++ b/Libs/Gates/hds/and4inv1/symbol.sb @@ -0,0 +1,1611 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 175,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 176,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +uid 177,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +uid 178,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 179,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 180,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 181,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 116,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 119,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 121,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 123,0 +) +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 142,0 +) +*25 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 143,0 +) +*26 (MRCItem +litem &4 +pos 4 +dimension 20 +uid 144,0 +) +*27 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 145,0 +) +*28 (MRCItem +litem &6 +pos 2 +dimension 20 +uid 146,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 117,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 125,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 129,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 131,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 133,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 135,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 137,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 139,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 141,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 115,0 +vaOverrides [ +] +) +] +) +uid 174,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 183,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 172,0 +) +] +) +pdm (PhysicalDM +uid 184,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 148,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 151,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 153,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 173,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 149,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 157,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 161,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 163,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 165,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 167,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 169,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 171,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 147,0 +vaOverrides [ +] +) +] +) +uid 182,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv1\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv1\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv1" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv1" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and4inv1" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:50" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and4inv1" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv1\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv1\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:50" +) +(vvPair +variable "unit" +value "and4inv1" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +optionalChildren [ +*65 (Circle +uid 111,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "33250,15625,34000,16375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32500,15625,33250,16375" +) +tg (CPTG +uid 104,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "34000,15400,35400,16400" +st "in1" +blo "34000,16200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,13600,11800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*66 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,18625,34000,19375" +) +tg (CPTG +uid 105,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "34000,18400,35400,19400" +st "in3" +blo "34000,19200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,13600,13600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*67 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,16625,34000,17375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "34000,16250,35400,17250" +st "in2" +blo "34000,17050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 99,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,13600,12700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*68 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40950,17625,41700,18375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "39150,17400,40950,18400" +st "out1" +ju 2 +blo "40950,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,13700,15400" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +) +*69 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,19625,34000,20375" +) +tg (CPTG +uid 108,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +) +xt "34000,19400,35400,20400" +st "in4" +blo "34000,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 101,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,13600,14500" +st "in4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,15000,41000,21000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,20700,37700,21700" +st "gates" +blo "34600,21500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,21700,39500,22700" +st "and4inv1" +blo "34600,22500" +) +) +gi *70 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,23600,44800,26600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*71 (Grouping +uid 208,0 +optionalChildren [ +*72 (CommentText +uid 210,0 +shape (Rectangle +uid 211,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 212,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 213,0 +shape (Rectangle +uid 214,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 215,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 216,0 +shape (Rectangle +uid 217,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 218,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 219,0 +shape (Rectangle +uid 220,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 221,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 222,0 +shape (Rectangle +uid 223,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 224,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 225,0 +shape (Rectangle +uid 226,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 227,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 228,0 +shape (Rectangle +uid 229,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 230,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 231,0 +shape (Rectangle +uid 232,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 233,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 234,0 +shape (Rectangle +uid 235,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 236,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 237,0 +shape (Rectangle +uid 238,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 239,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 209,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *82 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 109,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*84 (MLText +uid 110,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "10,37,1385,966" +viewArea "-1079,-1079,74587,50434" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *85 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *86 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15300,2500,16200" +st "User:" +blo "0,16000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16200,2000,16200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "24000,8800,24000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 285,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and4inv2/symbol.sb b/Libs/Gates/hds/and4inv2/symbol.sb new file mode 100644 index 0000000..b2d01c4 --- /dev/null +++ b/Libs/Gates/hds/and4inv2/symbol.sb @@ -0,0 +1,1624 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 175,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +uid 176,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +uid 177,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 178,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 179,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 180,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 181,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 116,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 119,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 121,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 123,0 +) +*24 (MRCItem +litem &2 +pos 4 +dimension 20 +uid 142,0 +) +*25 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 143,0 +) +*26 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 144,0 +) +*27 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 145,0 +) +*28 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 146,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 117,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 125,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 129,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 131,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 133,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 135,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 137,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 139,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 141,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 115,0 +vaOverrides [ +] +) +] +) +uid 174,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 183,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 172,0 +) +] +) +pdm (PhysicalDM +uid 184,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 148,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 151,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 153,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 173,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 149,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 157,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 161,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 163,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 165,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 167,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 169,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 171,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 147,0 +vaOverrides [ +] +) +] +) +uid 182,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv2\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv2\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv2" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv2" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and4inv2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:50" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and4inv2" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv2\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv2\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:50" +) +(vvPair +variable "unit" +value "and4inv2" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +optionalChildren [ +*65 (Circle +uid 111,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "33250,17625,34000,18375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32500,17625,33250,18375" +) +tg (CPTG +uid 104,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "34000,17400,35400,18400" +st "in1" +blo "34000,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,13600,11800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*66 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,20625,34000,21375" +) +tg (CPTG +uid 105,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "34000,20400,35400,21400" +st "in3" +blo "34000,21200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,13600,13600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*67 (CptPort +uid 63,0 +optionalChildren [ +*68 (Circle +uid 114,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "33250,18625,34000,19375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32500,18625,33250,19375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "34000,18250,35400,19250" +st "in2" +blo "34000,19050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 99,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,13600,12700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*69 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40950,19625,41700,20375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "39150,19400,40950,20400" +st "out1" +ju 2 +blo "40950,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,13700,15400" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +) +*70 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,21625,34000,22375" +) +tg (CPTG +uid 108,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +) +xt "34000,21400,35400,22400" +st "in4" +blo "34000,22200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 101,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,13600,14500" +st "in4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,17000,41000,23000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,22700,37700,23700" +st "gates" +blo "34600,23500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,23700,39500,24700" +st "and4inv2" +blo "34600,24500" +) +) +gi *71 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,25600,44800,28600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*72 (Grouping +uid 208,0 +optionalChildren [ +*73 (CommentText +uid 210,0 +shape (Rectangle +uid 211,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 212,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 213,0 +shape (Rectangle +uid 214,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 215,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 216,0 +shape (Rectangle +uid 217,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 218,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 219,0 +shape (Rectangle +uid 220,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 221,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 222,0 +shape (Rectangle +uid 223,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 224,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 225,0 +shape (Rectangle +uid 226,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 227,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 228,0 +shape (Rectangle +uid 229,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 230,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 231,0 +shape (Rectangle +uid 232,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 233,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 234,0 +shape (Rectangle +uid 235,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 236,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 237,0 +shape (Rectangle +uid 238,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 239,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 209,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *83 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 109,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*85 (MLText +uid 110,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "9,28,1386,953" +viewArea "-1078,-1078,74624,50109" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15300,2500,16200" +st "User:" +blo "0,16000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16200,2000,16200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "24000,8800,24000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 285,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and4inv3/symbol.sb b/Libs/Gates/hds/and4inv3/symbol.sb new file mode 100644 index 0000000..8172b65 --- /dev/null +++ b/Libs/Gates/hds/and4inv3/symbol.sb @@ -0,0 +1,1637 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 175,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 176,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 177,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 178,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +uid 179,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +uid 180,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 181,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 116,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 119,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 121,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 123,0 +) +*24 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 142,0 +) +*25 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 143,0 +) +*26 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 144,0 +) +*27 (MRCItem +litem &5 +pos 4 +dimension 20 +uid 145,0 +) +*28 (MRCItem +litem &6 +pos 3 +dimension 20 +uid 146,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 117,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 125,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 129,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 131,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 133,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 135,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 137,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 139,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 141,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 115,0 +vaOverrides [ +] +) +] +) +uid 174,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 183,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 172,0 +) +] +) +pdm (PhysicalDM +uid 184,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 148,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 151,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 153,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 173,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 149,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 157,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 161,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 163,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 165,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 167,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 169,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 171,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 147,0 +vaOverrides [ +] +) +] +) +uid 182,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv3\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv3\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv3" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv3" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and4inv3" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:49" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and4inv3" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv3\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv3\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:49" +) +(vvPair +variable "unit" +value "and4inv3" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +optionalChildren [ +*65 (Circle +uid 111,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "31250,14625,32000,15375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30500,14625,31250,15375" +) +tg (CPTG +uid 104,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "32000,14400,33400,15400" +st "in1" +blo "32000,15200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,13600,11800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*66 (CptPort +uid 57,0 +optionalChildren [ +*67 (Circle +uid 113,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "31250,17625,32000,18375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30500,17625,31250,18375" +) +tg (CPTG +uid 105,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "32000,17400,33400,18400" +st "in3" +blo "32000,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,13600,13600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*68 (CptPort +uid 63,0 +optionalChildren [ +*69 (Circle +uid 114,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "31250,15625,32000,16375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30500,15625,31250,16375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "32000,15250,33400,16250" +st "in2" +blo "32000,16050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 99,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,13600,12700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*70 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38950,16625,39700,17375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "37150,16400,38950,17400" +st "out1" +ju 2 +blo "38950,17200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,13700,15400" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +) +*71 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,18625,32000,19375" +) +tg (CPTG +uid 108,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +) +xt "32000,18400,33400,19400" +st "in4" +blo "32000,19200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 101,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,13600,14500" +st "in4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,14000,39000,20000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "32600,19700,35700,20700" +st "gates" +blo "32600,20500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "32600,20700,37500,21700" +st "and4inv3" +blo "32600,21500" +) +) +gi *72 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "32000,22600,42800,25600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*73 (Grouping +uid 208,0 +optionalChildren [ +*74 (CommentText +uid 210,0 +shape (Rectangle +uid 211,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 212,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 213,0 +shape (Rectangle +uid 214,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 215,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 216,0 +shape (Rectangle +uid 217,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 218,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 219,0 +shape (Rectangle +uid 220,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 221,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 222,0 +shape (Rectangle +uid 223,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 224,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 225,0 +shape (Rectangle +uid 226,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 227,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 228,0 +shape (Rectangle +uid 229,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 230,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 231,0 +shape (Rectangle +uid 232,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 233,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 234,0 +shape (Rectangle +uid 235,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 236,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 237,0 +shape (Rectangle +uid 238,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 239,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 209,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *84 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 109,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*86 (MLText +uid 110,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "9,33,1381,942" +viewArea "-1082,-1082,74581,49250" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *87 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *88 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15300,2500,16200" +st "User:" +blo "0,16000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16200,2000,16200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "24000,8800,24000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 285,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and4inv4/symbol.sb b/Libs/Gates/hds/and4inv4/symbol.sb new file mode 100644 index 0000000..8519a3d --- /dev/null +++ b/Libs/Gates/hds/and4inv4/symbol.sb @@ -0,0 +1,1650 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 175,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +uid 176,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 177,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +uid 178,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 179,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 180,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 181,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 116,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 119,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 121,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 123,0 +) +*24 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 142,0 +) +*25 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 143,0 +) +*26 (MRCItem +litem &4 +pos 4 +dimension 20 +uid 144,0 +) +*27 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 145,0 +) +*28 (MRCItem +litem &6 +pos 2 +dimension 20 +uid 146,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 117,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 125,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 129,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 131,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 133,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 135,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 137,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 139,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 141,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 115,0 +vaOverrides [ +] +) +] +) +uid 174,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 183,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 172,0 +) +] +) +pdm (PhysicalDM +uid 184,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 148,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 151,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 153,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 173,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 149,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 157,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 161,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 163,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 165,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 167,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 169,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 171,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 147,0 +vaOverrides [ +] +) +] +) +uid 182,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv4\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv4\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv4" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv4" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "and4inv4" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:49" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and4inv4" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv4\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and4inv4\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:49" +) +(vvPair +variable "unit" +value "and4inv4" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +optionalChildren [ +*65 (Circle +uid 111,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "32250,15625,33000,16375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,15625,32250,16375" +) +tg (CPTG +uid 104,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "33000,15400,34400,16400" +st "in1" +blo "33000,16200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,13600,11800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*66 (CptPort +uid 57,0 +optionalChildren [ +*67 (Circle +uid 113,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "32250,18625,33000,19375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,18625,32250,19375" +) +tg (CPTG +uid 105,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "33000,18400,34400,19400" +st "in3" +blo "33000,19200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,13600,13600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*68 (CptPort +uid 63,0 +optionalChildren [ +*69 (Circle +uid 114,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "32250,16625,33000,17375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,16625,32250,17375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "33000,16250,34400,17250" +st "in2" +blo "33000,17050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 99,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,13600,12700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*70 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39950,17625,40700,18375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "38150,17400,39950,18400" +st "out1" +ju 2 +blo "39950,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,13700,15400" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +) +*71 (CptPort +uid 81,0 +optionalChildren [ +*72 (Circle +uid 112,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "32250,19625,33000,20375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,19625,32250,20375" +) +tg (CPTG +uid 108,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +) +xt "33000,19400,34400,20400" +st "in4" +blo "33000,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 101,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,13600,14500" +st "in4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,15000,40000,21000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,20700,36700,21700" +st "gates" +blo "33600,21500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,21700,38500,22700" +st "and4inv4" +blo "33600,22500" +) +) +gi *73 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,23600,43800,26600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*74 (Grouping +uid 208,0 +optionalChildren [ +*75 (CommentText +uid 210,0 +shape (Rectangle +uid 211,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 212,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 213,0 +shape (Rectangle +uid 214,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 215,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 216,0 +shape (Rectangle +uid 217,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 218,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 219,0 +shape (Rectangle +uid 220,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 221,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 222,0 +shape (Rectangle +uid 223,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 224,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 225,0 +shape (Rectangle +uid 226,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 227,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 228,0 +shape (Rectangle +uid 229,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 230,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 231,0 +shape (Rectangle +uid 232,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 233,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 234,0 +shape (Rectangle +uid 235,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 236,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 237,0 +shape (Rectangle +uid 238,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 239,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 209,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *85 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +uid 109,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*87 (MLText +uid 110,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "-3,44,1386,960" +viewArea "-1066,-1066,74604,48992" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *88 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *89 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15300,2500,16200" +st "User:" +blo "0,16000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16200,2000,16200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "24000,8800,24000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 285,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/and5/symbol.sb b/Libs/Gates/hds/and5/symbol.sb new file mode 100644 index 0000000..d84972c --- /dev/null +++ b/Libs/Gates/hds/and5/symbol.sb @@ -0,0 +1,1679 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 187,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 6 +suid 4,0 +) +) +uid 188,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 189,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +uid 190,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 191,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "in5" +t "std_uLogic" +o 5 +suid 6,0 +) +) +uid 192,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 193,0 +) +*8 (RefLabelRowHdr +) +*9 (TitleRowHdr +) +*10 (FilterRowHdr +) +*11 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*12 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*13 (GroupColHdr +tm "GroupColHdrMgr" +) +*14 (NameColHdr +tm "NameColHdrMgr" +) +*15 (ModeColHdr +tm "ModeColHdrMgr" +) +*16 (TypeColHdr +tm "TypeColHdrMgr" +) +*17 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*18 (InitColHdr +tm "InitColHdrMgr" +) +*19 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 194,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 127,0 +optionalChildren [ +*22 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 130,0 +) +*23 (MRCItem +litem &9 +pos 1 +dimension 23 +uid 132,0 +) +*24 (MRCItem +litem &10 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*25 (MRCItem +litem &2 +pos 5 +dimension 20 +uid 153,0 +) +*26 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 154,0 +) +*27 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 155,0 +) +*28 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 156,0 +) +*29 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 157,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 20 +uid 158,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*31 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 136,0 +) +*32 (MRCItem +litem &13 +pos 1 +dimension 50 +uid 140,0 +) +*33 (MRCItem +litem &14 +pos 2 +dimension 100 +uid 142,0 +) +*34 (MRCItem +litem &15 +pos 3 +dimension 50 +uid 144,0 +) +*35 (MRCItem +litem &16 +pos 4 +dimension 100 +uid 146,0 +) +*36 (MRCItem +litem &17 +pos 5 +dimension 100 +uid 148,0 +) +*37 (MRCItem +litem &18 +pos 6 +dimension 50 +uid 150,0 +) +*38 (MRCItem +litem &19 +pos 7 +dimension 80 +uid 152,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 126,0 +vaOverrides [ +] +) +] +) +uid 186,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 196,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 184,0 +) +] +) +pdm (PhysicalDM +uid 197,0 +optionalChildren [ +*52 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *53 (MRCItem +litem &39 +pos 1 +dimension 20 +) +uid 160,0 +optionalChildren [ +*54 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 163,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 165,0 +) +*56 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 167,0 +) +*57 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 185,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 161,0 +optionalChildren [ +*58 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 169,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 173,0 +) +*60 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 175,0 +) +*61 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 177,0 +) +*62 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 179,0 +) +*63 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 181,0 +) +*64 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 183,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 159,0 +vaOverrides [ +] +) +] +) +uid 195,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and5\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and5\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and5" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and5" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "and5" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:49" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "and5" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and5\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\and5\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:49" +) +(vvPair +variable "unit" +value "and5" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*65 (SymbolBody +uid 11,0 +optionalChildren [ +*66 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,17625,35000,18375" +) +tg (CPTG +uid 118,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "35000,17400,36400,18400" +st "in1" +blo "35000,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 110,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,13600,11800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*67 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,19625,35000,20375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "35000,19500,36400,20500" +st "in3" +blo "35000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 111,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,13600,13600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*68 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,18625,35000,19375" +) +tg (CPTG +uid 120,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "35000,18250,36400,19250" +st "in2" +blo "35000,19050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 112,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,13600,12700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*69 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41950,19625,42700,20375" +) +tg (CPTG +uid 121,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "40150,19400,41950,20400" +st "out1" +ju 2 +blo "41950,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15300,13700,16300" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 6 +suid 4,0 +) +) +) +*70 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,20625,35000,21375" +) +tg (CPTG +uid 122,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +) +xt "35000,20400,36400,21400" +st "in4" +blo "35000,21200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,13600,14500" +st "in4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +) +*71 (CptPort +uid 92,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 93,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,21625,35000,22375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 95,0 +va (VaSet +isHidden 1 +) +xt "35000,21400,36400,22400" +st "in5" +blo "35000,22200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 115,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,13600,15400" +st "in5 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in5" +t "std_uLogic" +o 5 +suid 6,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,17000,42000,23000" +) +showPorts 0 +oxt "9000,12000,18000,22000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,22700,38700,23700" +st "gates" +blo "35600,23500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,23700,38500,24700" +st "and5" +blo "35600,24500" +) +) +gi *72 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,25600,45800,28600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*73 (Grouping +uid 221,0 +optionalChildren [ +*74 (CommentText +uid 223,0 +shape (Rectangle +uid 224,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 225,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 226,0 +shape (Rectangle +uid 227,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 228,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 229,0 +shape (Rectangle +uid 230,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 231,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 232,0 +shape (Rectangle +uid 233,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 234,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 235,0 +shape (Rectangle +uid 236,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 237,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 238,0 +shape (Rectangle +uid 239,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 240,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 241,0 +shape (Rectangle +uid 242,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 243,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 244,0 +shape (Rectangle +uid 245,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 246,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 247,0 +shape (Rectangle +uid 248,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 249,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 250,0 +shape (Rectangle +uid 251,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 252,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 222,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *84 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 124,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*86 (MLText +uid 125,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "213,36,1428,858" +viewArea "-1031,-1031,74581,50591" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *87 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *88 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,16200,2500,17100" +st "User:" +blo "0,16900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17100,2000,17100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "32000,8800,32000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 321,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/buffer@logic@vector/symbol.sb b/Libs/Gates/hds/buffer@logic@vector/symbol.sb new file mode 100644 index 0000000..24046da --- /dev/null +++ b/Libs/Gates/hds/buffer@logic@vector/symbol.sb @@ -0,0 +1,1465 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 101,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 102,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 103,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 104,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 100,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 106,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 96,0 +) +*44 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 97,0 +) +] +) +pdm (PhysicalDM +uid 107,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*50 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 98,0 +) +*51 (MRCItem +litem &44 +pos 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 105,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@logic@vector\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@logic@vector\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@logic@vector" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\bufferLogicVector" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "bufferLogicVector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:49" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "bufferLogicVector" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@logic@vector\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\bufferLogicVector\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:49" +) +(vvPair +variable "unit" +value "bufferLogicVector" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,17625,35000,18375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "35000,17700,47800,18900" +st "in1 : (dataBitNb-1:0)" +blo "35000,18700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,26800,10800" +st "in1 : IN std_logic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,17625,40750,18375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "26500,17700,40000,18900" +st "out1 : (dataBitNb-1:0)" +ju 2 +blo "40000,18700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,26900,11700" +st "out1 : OUT std_logic_vector (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,15000,40000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "35910,20700,39010,21700" +st "gates" +blo "35910,21500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "35910,21700,45310,22700" +st "bufferLogicVector" +blo "35910,22500" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,23800,48200,27800" +st "Generic Declarations + +dataBitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*63 (Grouping +uid 131,0 +optionalChildren [ +*64 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,41000,57000,42000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,41500,53200,41500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,43000,53000,44000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,43500,36200,43500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 142,0 +shape (Rectangle +uid 143,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,36000,44000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 144,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,43500,32200,43500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 145,0 +shape (Rectangle +uid 146,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,73000,46000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 147,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42200,67300,43400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 148,0 +shape (Rectangle +uid 149,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,41000,73000,42000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 150,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,41500,57200,41500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 151,0 +shape (Rectangle +uid 152,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,53000,43000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 153,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,41400,47650,42600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 154,0 +shape (Rectangle +uid 155,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 156,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 157,0 +shape (Rectangle +uid 158,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 159,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 160,0 +shape (Rectangle +uid 161,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 162,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 132,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,41000,73000,46000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-3000,5400,-2000" +st "Package List" +blo "0,-2200" +) +*76 (MLText +uid 41,0 +va (VaSet +) +xt "0,-2000,17500,2800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "65,0,1388,900" +viewArea "-1100,-4100,75076,48202" +cachedDiagramExtent "0,-3000,73000,46000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1100,1100,3900,2100" +st "Panel0" +blo "1100,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,2500,12500" +st "User:" +blo "0,12300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,2000,12500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 231,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/buffer@signed/symbol.sb b/Libs/Gates/hds/buffer@signed/symbol.sb new file mode 100644 index 0000000..331f63b --- /dev/null +++ b/Libs/Gates/hds/buffer@signed/symbol.sb @@ -0,0 +1,1466 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 101,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 102,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 103,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 104,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 100,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 106,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 96,0 +) +*44 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 97,0 +) +] +) +pdm (PhysicalDM +uid 107,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*50 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 98,0 +) +*51 (MRCItem +litem &44 +pos 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 105,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@signed\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@signed\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@signed" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\bufferSigned" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "bufferSigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:48" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "bufferSigned" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@signed\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\bufferSigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:48" +) +(vvPair +variable "unit" +value "bufferSigned" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,20625,35000,21375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "35000,20700,47800,21900" +st "in1 : (dataBitNb-1:0)" +blo "35000,21700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,22900,10800" +st "in1 : IN signed (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,20625,40750,21375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "26500,20700,40000,21900" +st "out1 : (dataBitNb-1:0)" +ju 2 +blo "40000,21700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,23000,11700" +st "out1 : OUT signed (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,18000,40000,24000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "35910,23700,39010,24700" +st "gates" +blo "35910,24500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "35910,24700,43010,25700" +st "bufferSigned" +blo "35910,25500" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,26800,48200,30800" +st "Generic Declarations + +dataBitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*63 (Grouping +uid 131,0 +optionalChildren [ +*64 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 142,0 +shape (Rectangle +uid 143,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 144,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 145,0 +shape (Rectangle +uid 146,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 147,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 148,0 +shape (Rectangle +uid 149,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 150,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 151,0 +shape (Rectangle +uid 152,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 153,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 154,0 +shape (Rectangle +uid 155,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 156,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 157,0 +shape (Rectangle +uid 158,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 159,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 160,0 +shape (Rectangle +uid 161,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 162,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 132,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*76 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,17500,7000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "118,67,1339,900" +viewArea "-1098,-1098,74523,51052" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,2500,12500" +st "User:" +blo "0,12300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,2000,12500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 231,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/buffer@ulogic/symbol.sb b/Libs/Gates/hds/buffer@ulogic/symbol.sb new file mode 100644 index 0000000..c8c1898 --- /dev/null +++ b/Libs/Gates/hds/buffer@ulogic/symbol.sb @@ -0,0 +1,1437 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 100,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 101,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 96,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*44 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *45 (MRCItem +litem &31 +pos 1 +dimension 20 +) +uid 72,0 +optionalChildren [ +*46 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*47 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*48 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*49 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 97,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*50 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*52 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*53 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*54 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*55 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*56 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@ulogic\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@ulogic\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@ulogic" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\bufferUlogic" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "bufferUlogic" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:48" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "bufferUlogic" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@ulogic\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\bufferUlogic\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:48" +) +(vvPair +variable "unit" +value "bufferUlogic" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*57 (SymbolBody +uid 8,0 +optionalChildren [ +*58 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,17625,32000,18375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "32000,17700,33400,18700" +st "in1" +blo "32000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,13600,10800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*59 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37000,17625,37750,18375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "35200,17700,37000,18700" +st "out1" +ju 2 +blo "37000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,13700,11700" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,15000,37000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "32910,20700,36010,21700" +st "gates" +blo "32910,21500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "32910,21700,39810,22700" +st "bufferUlogic" +blo "32910,22500" +) +) +gi *60 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "32000,23600,42800,26600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*61 (Grouping +uid 129,0 +optionalChildren [ +*62 (CommentText +uid 131,0 +shape (Rectangle +uid 132,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 133,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 134,0 +shape (Rectangle +uid 135,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 136,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*64 (CommentText +uid 137,0 +shape (Rectangle +uid 138,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 139,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 140,0 +shape (Rectangle +uid 141,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 142,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 143,0 +shape (Rectangle +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 145,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 146,0 +shape (Rectangle +uid 147,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 148,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 149,0 +shape (Rectangle +uid 150,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 151,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 152,0 +shape (Rectangle +uid 153,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 154,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 155,0 +shape (Rectangle +uid 156,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 157,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 158,0 +shape (Rectangle +uid 159,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 160,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 130,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *72 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*74 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "113,47,1387,900" +viewArea "-1043,-1043,75380,50461" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *75 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *76 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,2500,12500" +st "User:" +blo "0,12300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,2000,12500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 229,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/buffer@ulogic@vector/symbol.sb b/Libs/Gates/hds/buffer@ulogic@vector/symbol.sb new file mode 100644 index 0000000..70a00ca --- /dev/null +++ b/Libs/Gates/hds/buffer@ulogic@vector/symbol.sb @@ -0,0 +1,1461 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 101,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 102,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 103,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 104,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 100,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 106,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 96,0 +) +*44 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 97,0 +) +] +) +pdm (PhysicalDM +uid 107,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*50 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 98,0 +) +*51 (MRCItem +litem &44 +pos 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 105,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@ulogic@vector\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@ulogic@vector\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@ulogic@vector" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\bufferUlogicVector" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "bufferUlogicVector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:48" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "bufferUlogicVector" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@ulogic@vector\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\bufferUlogicVector\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:48" +) +(vvPair +variable "unit" +value "bufferUlogicVector" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,18625,35000,19375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "35000,18700,47800,19900" +st "in1 : (dataBitNb-1:0)" +blo "35000,19700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,6400,27500,7400" +st "in1 : IN std_uLogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,18625,40750,19375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "26500,18700,40000,19900" +st "out1 : (dataBitNb-1:0)" +ju 2 +blo "40000,19700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,7300,27600,8300" +st "out1 : OUT std_uLogic_vector (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,16000,40000,22000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "35910,21700,39010,22700" +st "gates" +blo "35910,22500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "35910,22700,45810,23700" +st "bufferUlogicVector" +blo "35910,23500" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,24800,48200,28800" +st "Generic Declarations + +dataBitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*63 (Grouping +uid 131,0 +optionalChildren [ +*64 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,41000,57000,42000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,41500,53200,41500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,43000,53000,44000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,43500,36200,43500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 142,0 +shape (Rectangle +uid 143,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,36000,44000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 144,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,43500,32200,43500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 145,0 +shape (Rectangle +uid 146,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,73000,46000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 147,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42200,67300,43400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 148,0 +shape (Rectangle +uid 149,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,41000,73000,42000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 150,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,41500,57200,41500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 151,0 +shape (Rectangle +uid 152,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,53000,43000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 153,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,41400,47650,42600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 154,0 +shape (Rectangle +uid 155,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 156,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 157,0 +shape (Rectangle +uid 158,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 159,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 160,0 +shape (Rectangle +uid 161,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 162,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 132,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,41000,73000,46000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-3000,5400,-2000" +st "Package List" +blo "0,-2200" +) +*76 (MLText +uid 41,0 +va (VaSet +) +xt "0,-2000,17500,2800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "168,61,1425,899" +viewArea "-1065,-4045,75674,47410" +cachedDiagramExtent "0,-3000,73000,46000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,4400,5400,5400" +st "Declarations" +blo "0,5200" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,5400,2700,6400" +st "Ports:" +blo "0,6200" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8200,2500,9100" +st "User:" +blo "0,8900" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,12500,5800,13500" +st "Internal User:" +blo "0,13300" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9100,2000,9100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,14200,2000,14200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 231,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/buffer@unsigned/symbol.sb b/Libs/Gates/hds/buffer@unsigned/symbol.sb new file mode 100644 index 0000000..2ae5de8 --- /dev/null +++ b/Libs/Gates/hds/buffer@unsigned/symbol.sb @@ -0,0 +1,1466 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 101,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 102,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 103,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 104,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 100,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 106,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 96,0 +) +*44 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 97,0 +) +] +) +pdm (PhysicalDM +uid 107,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*50 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 98,0 +) +*51 (MRCItem +litem &44 +pos 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 105,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@unsigned\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@unsigned\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@unsigned" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\bufferUnsigned" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "bufferUnsigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:47" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "bufferUnsigned" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\buffer@unsigned\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\bufferUnsigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:47" +) +(vvPair +variable "unit" +value "bufferUnsigned" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,18625,36000,19375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "36000,18700,48800,19900" +st "in1 : (dataBitNb-1:0)" +blo "36000,19700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,23900,10800" +st "in1 : IN unsigned (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,18625,41750,19375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "27500,18700,41000,19900" +st "out1 : (dataBitNb-1:0)" +ju 2 +blo "41000,19700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,24000,11700" +st "out1 : OUT unsigned (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,16000,41000,22000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "36910,21700,40010,22700" +st "gates" +blo "36910,22500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "36910,22700,45210,23700" +st "bufferUnsigned" +blo "36910,23500" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "36000,24800,49200,28800" +st "Generic Declarations + +dataBitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*63 (Grouping +uid 131,0 +optionalChildren [ +*64 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 142,0 +shape (Rectangle +uid 143,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 144,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 145,0 +shape (Rectangle +uid 146,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 147,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 148,0 +shape (Rectangle +uid 149,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 150,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 151,0 +shape (Rectangle +uid 152,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 153,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 154,0 +shape (Rectangle +uid 155,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 156,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 157,0 +shape (Rectangle +uid 158,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 159,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 160,0 +shape (Rectangle +uid 161,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 162,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 132,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*76 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,17500,7000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "175,61,1412,886" +viewArea "-1085,-1085,75591,50359" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,2500,12500" +st "User:" +blo "0,12300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,2000,12500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 231,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/demux1to2/symbol.sb b/Libs/Gates/hds/demux1to2/symbol.sb new file mode 100644 index 0000000..12782d6 --- /dev/null +++ b/Libs/Gates/hds/demux1to2/symbol.sb @@ -0,0 +1,1537 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 204,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out0" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 206,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 2,0 +) +) +uid 207,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 5,0 +) +) +uid 209,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "sel" +t "std_ulogic" +o 2 +suid 6,0 +) +) +uid 210,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 211,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 144,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 147,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 149,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 151,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 171,0 +) +*24 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 172,0 +) +*25 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 174,0 +) +*26 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 175,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 145,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 153,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 157,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 159,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 161,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 163,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 165,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 167,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 169,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 143,0 +vaOverrides [ +] +) +] +) +uid 203,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 213,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 201,0 +) +] +) +pdm (PhysicalDM +uid 214,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 177,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 180,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 182,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 184,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 202,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 178,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 186,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 190,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 192,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 194,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 196,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 198,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 200,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 176,0 +vaOverrides [ +] +) +] +) +uid 212,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to2\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to2\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to2" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to2" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "demux1to2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:47" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "demux1to2" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to2\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to2\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:47" +) +(vvPair +variable "unit" +value "demux1to2" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 130,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,8625,45750,9375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "42200,8500,44000,9500" +st "out0" +ju 2 +blo "44000,9300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11200,14400,12200" +st "out0 : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out0" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*63 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 131,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,16625,45750,17375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +) +xt "42200,16500,44000,17500" +st "out1" +ju 2 +blo "44000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 115,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12100,13700,13100" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 2,0 +) +) +) +*64 (CptPort +uid 75,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 134,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,12625,37000,13375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 78,0 +va (VaSet +) +xt "38000,12500,39400,13500" +st "in1" +blo "38000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9400,13600,10400" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 5,0 +) +) +) +*65 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,19000,41375,19750" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +va (VaSet +) +xt "41000,18000,42400,19000" +st "sel" +blo "41000,18800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10300,13300,11300" +st "sel : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_ulogic" +o 2 +suid 6,0 +) +) +) +] +shape (Mux +uid 87,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "37000,4000,45000,22000" +) +showPorts 0 +oxt "12000,13000,18000,26000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,17700,38700,18700" +st "gates" +blo "35600,18500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,18700,41400,19700" +st "demux1to2" +blo "35600,19500" +) +) +gi *66 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,21600,45800,24600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*67 (Grouping +uid 238,0 +optionalChildren [ +*68 (CommentText +uid 240,0 +shape (Rectangle +uid 241,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 242,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 243,0 +shape (Rectangle +uid 244,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,41000,57000,42000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 245,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,41500,53200,41500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 246,0 +shape (Rectangle +uid 247,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,43000,53000,44000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 248,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,43500,36200,43500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 249,0 +shape (Rectangle +uid 250,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,36000,44000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 251,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,43500,32200,43500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 252,0 +shape (Rectangle +uid 253,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,73000,46000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 254,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42200,67300,43400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 255,0 +shape (Rectangle +uid 256,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,41000,73000,42000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 257,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,41500,57200,41500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 258,0 +shape (Rectangle +uid 259,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,53000,43000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 260,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,41400,47650,42600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 261,0 +shape (Rectangle +uid 262,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 263,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 264,0 +shape (Rectangle +uid 265,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 266,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 267,0 +shape (Rectangle +uid 268,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 269,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 239,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,41000,73000,46000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *78 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 141,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-2500,5400,-1500" +st "Package List" +blo "0,-1700" +) +*80 (MLText +uid 142,0 +va (VaSet +) +xt "0,-1500,17500,3300" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,34,1388,942" +viewArea "-1100,-3600,74885,48010" +cachedDiagramExtent "0,-2500,73000,46000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1600,1100,4400,2100" +st "Panel0" +blo "1600,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7400,5400,8400" +st "Declarations" +blo "0,8200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8400,2700,9400" +st "Ports:" +blo "0,9200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,13000,2500,13900" +st "User:" +blo "0,13700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7400,5800,8400" +st "Internal User:" +blo "0,8200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13900,2000,13900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "56000,7400,56000,7400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 384,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/demux1to4/symbol.sb b/Libs/Gates/hds/demux1to4/symbol.sb new file mode 100644 index 0000000..c4cb228 --- /dev/null +++ b/Libs/Gates/hds/demux1to4/symbol.sb @@ -0,0 +1,1670 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 204,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out2" +t "std_uLogic" +o 5 +suid 3,0 +) +) +uid 205,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out0" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 206,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 2,0 +) +) +uid 207,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out3" +t "std_uLogic" +o 6 +suid 4,0 +) +) +uid 208,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 7 +suid 5,0 +) +) +uid 209,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(1 DOWNTO 0)" +o 1 +suid 6,0 +) +) +uid 210,0 +) +*8 (RefLabelRowHdr +) +*9 (TitleRowHdr +) +*10 (FilterRowHdr +) +*11 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*12 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*13 (GroupColHdr +tm "GroupColHdrMgr" +) +*14 (NameColHdr +tm "NameColHdrMgr" +) +*15 (ModeColHdr +tm "ModeColHdrMgr" +) +*16 (TypeColHdr +tm "TypeColHdrMgr" +) +*17 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*18 (InitColHdr +tm "InitColHdrMgr" +) +*19 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 211,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 144,0 +optionalChildren [ +*22 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 147,0 +) +*23 (MRCItem +litem &9 +pos 1 +dimension 23 +uid 149,0 +) +*24 (MRCItem +litem &10 +pos 2 +hidden 1 +dimension 20 +uid 151,0 +) +*25 (MRCItem +litem &2 +pos 4 +dimension 20 +uid 170,0 +) +*26 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 171,0 +) +*27 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 172,0 +) +*28 (MRCItem +litem &5 +pos 5 +dimension 20 +uid 173,0 +) +*29 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 174,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 20 +uid 175,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 145,0 +optionalChildren [ +*31 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 153,0 +) +*32 (MRCItem +litem &13 +pos 1 +dimension 50 +uid 157,0 +) +*33 (MRCItem +litem &14 +pos 2 +dimension 100 +uid 159,0 +) +*34 (MRCItem +litem &15 +pos 3 +dimension 50 +uid 161,0 +) +*35 (MRCItem +litem &16 +pos 4 +dimension 100 +uid 163,0 +) +*36 (MRCItem +litem &17 +pos 5 +dimension 100 +uid 165,0 +) +*37 (MRCItem +litem &18 +pos 6 +dimension 50 +uid 167,0 +) +*38 (MRCItem +litem &19 +pos 7 +dimension 80 +uid 169,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 143,0 +vaOverrides [ +] +) +] +) +uid 203,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 213,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 201,0 +) +] +) +pdm (PhysicalDM +uid 214,0 +optionalChildren [ +*52 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *53 (MRCItem +litem &39 +pos 1 +dimension 20 +) +uid 177,0 +optionalChildren [ +*54 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 180,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 182,0 +) +*56 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 184,0 +) +*57 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 202,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 178,0 +optionalChildren [ +*58 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 186,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 190,0 +) +*60 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 192,0 +) +*61 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 194,0 +) +*62 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 196,0 +) +*63 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 198,0 +) +*64 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 200,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 176,0 +vaOverrides [ +] +) +] +) +uid 212,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to4\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to4\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to4" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to4" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "demux1to4" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:34:47" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "demux1to4" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to4\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\demux1to4\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:34:47" +) +(vvPair +variable "unit" +value "demux1to4" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*65 (SymbolBody +uid 11,0 +optionalChildren [ +*66 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 130,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,6625,45750,7375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "42200,6500,44000,7500" +st "out0" +ju 2 +blo "44000,7300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11200,14400,12200" +st "out0 : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out0" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*67 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 131,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,10625,45750,11375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +) +xt "42200,10500,44000,11500" +st "out1" +ju 2 +blo "44000,11300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 115,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12100,14400,13100" +st "out1 : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 2,0 +) +) +) +*68 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,14625,45750,15375" +) +tg (CPTG +uid 125,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +) +xt "42200,14500,44000,15500" +st "out2" +ju 2 +blo "44000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13000,14400,14000" +st "out2 : OUT std_uLogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out2" +t "std_uLogic" +o 5 +suid 3,0 +) +) +) +*69 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 133,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,18625,45750,19375" +) +tg (CPTG +uid 126,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +) +xt "42200,18500,44000,19500" +st "out3" +ju 2 +blo "44000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 117,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13900,13700,14900" +st "out3 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out3" +t "std_uLogic" +o 6 +suid 4,0 +) +) +) +*70 (CptPort +uid 75,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 134,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,12625,37000,13375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 78,0 +va (VaSet +) +xt "38000,12500,39400,13500" +st "in1" +blo "38000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9400,13600,10400" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 7 +suid 5,0 +) +) +) +*71 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,19000,41375,19750" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +va (VaSet +) +xt "41000,17000,42400,18000" +st "sel" +blo "41000,17800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10300,19000,11300" +st "sel : IN unsigned (1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(1 DOWNTO 0)" +o 1 +suid 6,0 +) +) +) +] +shape (Mux +uid 87,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "37000,4000,45000,22000" +) +showPorts 0 +oxt "12000,13000,18000,26000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,17700,38700,18700" +st "gates" +blo "35600,18500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,18700,41400,19700" +st "demux1to4" +blo "35600,19500" +) +) +gi *72 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,21600,45800,24600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*73 (Grouping +uid 238,0 +optionalChildren [ +*74 (CommentText +uid 240,0 +shape (Rectangle +uid 241,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 242,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 243,0 +shape (Rectangle +uid 244,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,41000,57000,42000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 245,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,41500,53200,41500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 246,0 +shape (Rectangle +uid 247,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,43000,53000,44000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 248,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,43500,36200,43500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 249,0 +shape (Rectangle +uid 250,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,36000,44000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 251,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,43500,32200,43500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 252,0 +shape (Rectangle +uid 253,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,73000,46000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 254,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42200,67300,43400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 255,0 +shape (Rectangle +uid 256,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,41000,73000,42000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 257,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,41500,57200,41500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 258,0 +shape (Rectangle +uid 259,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,53000,43000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 260,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,41400,47650,42600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 261,0 +shape (Rectangle +uid 262,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 263,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 264,0 +shape (Rectangle +uid 265,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 266,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 267,0 +shape (Rectangle +uid 268,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 269,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 239,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,41000,73000,46000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *84 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 141,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-2500,5400,-1500" +st "Package List" +blo "0,-1700" +) +*86 (MLText +uid 142,0 +va (VaSet +) +xt "0,-1500,17500,4500" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,34,1386,942" +viewArea "-1100,-3600,74755,48010" +cachedDiagramExtent "0,-2500,73000,46000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1600,1100,4400,2100" +st "Panel0" +blo "1600,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *87 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *88 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7400,5400,8400" +st "Declarations" +blo "0,8200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8400,2700,9400" +st "Ports:" +blo "0,9200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,14800,2500,15700" +st "User:" +blo "0,15500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7400,5800,8400" +st "Internal User:" +blo "0,8200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15700,2000,15700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "56000,7400,56000,7400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 338,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/inverter/symbol.sb b/Libs/Gates/hds/inverter/symbol.sb new file mode 100644 index 0000000..c3dab14 --- /dev/null +++ b/Libs/Gates/hds/inverter/symbol.sb @@ -0,0 +1,1449 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 100,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 101,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 96,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*44 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *45 (MRCItem +litem &31 +pos 1 +dimension 20 +) +uid 72,0 +optionalChildren [ +*46 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*47 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*48 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*49 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 97,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*50 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*52 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*53 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*54 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*55 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*56 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\inverter\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\inverter\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\inverter" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\inverter" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "inverter" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:14" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "inverter" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\inverter\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\inverter\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:14" +) +(vvPair +variable "unit" +value "inverter" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*57 (SymbolBody +uid 8,0 +optionalChildren [ +*58 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,17625,34000,18375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +) +xt "34000,17700,35400,18700" +st "in1" +blo "34000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,13600,10800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*59 (CptPort +uid 22,0 +optionalChildren [ +*60 (Circle +uid 28,0 +va (VaSet +fg "0,65535,0" +) +xt "39000,17625,39750,18375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39750,17625,40500,18375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +) +xt "36950,17700,38750,18700" +st "out1" +ju 2 +blo "38750,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,13700,11700" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,15000,39000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,20700,37010,21700" +st "gates" +blo "33910,21500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,21700,38110,22700" +st "inverter" +blo "33910,22500" +) +) +gi *61 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,23600,44800,26600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*62 (Grouping +uid 175,0 +optionalChildren [ +*63 (CommentText +uid 177,0 +shape (Rectangle +uid 178,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 179,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*64 (CommentText +uid 180,0 +shape (Rectangle +uid 181,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 182,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 183,0 +shape (Rectangle +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 185,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 186,0 +shape (Rectangle +uid 187,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 188,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 197,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 200,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 206,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 176,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *73 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*74 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*75 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "169,43,1425,884" +viewArea "-1062,-1062,75386,50469" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *76 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *77 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,2500,12500" +st "User:" +blo "0,12300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,2000,12500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 298,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/logic0/symbol.sb b/Libs/Gates/hds/logic0/symbol.sb new file mode 100644 index 0000000..00b165b --- /dev/null +++ b/Libs/Gates/hds/logic0/symbol.sb @@ -0,0 +1,1337 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "logic_0" +t "std_uLogic" +o 1 +suid 2,0 +) +) +uid 100,0 +) +*3 (RefLabelRowHdr +) +*4 (TitleRowHdr +) +*5 (FilterRowHdr +) +*6 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*7 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*8 (GroupColHdr +tm "GroupColHdrMgr" +) +*9 (NameColHdr +tm "NameColHdrMgr" +) +*10 (ModeColHdr +tm "ModeColHdrMgr" +) +*11 (TypeColHdr +tm "TypeColHdrMgr" +) +*12 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*13 (InitColHdr +tm "InitColHdrMgr" +) +*14 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*15 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *16 (MRCItem +litem &1 +pos 1 +dimension 20 +) +uid 43,0 +optionalChildren [ +*17 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 46,0 +) +*18 (MRCItem +litem &4 +pos 1 +dimension 23 +uid 48,0 +) +*19 (MRCItem +litem &5 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*21 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 52,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 50 +uid 56,0 +) +*23 (MRCItem +litem &9 +pos 2 +dimension 100 +uid 58,0 +) +*24 (MRCItem +litem &10 +pos 3 +dimension 50 +uid 60,0 +) +*25 (MRCItem +litem &11 +pos 4 +dimension 100 +uid 62,0 +) +*26 (MRCItem +litem &12 +pos 5 +dimension 100 +uid 64,0 +) +*27 (MRCItem +litem &13 +pos 6 +dimension 50 +uid 66,0 +) +*28 (MRCItem +litem &14 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *29 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*30 (RefLabelRowHdr +) +*31 (TitleRowHdr +) +*32 (FilterRowHdr +) +*33 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*34 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*35 (GroupColHdr +tm "GroupColHdrMgr" +) +*36 (NameColHdr +tm "GenericNameColHdrMgr" +) +*37 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*38 (InitColHdr +tm "GenericValueColHdrMgr" +) +*39 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*40 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*41 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *42 (MRCItem +litem &29 +pos 0 +dimension 20 +) +uid 72,0 +optionalChildren [ +*43 (MRCItem +litem &30 +pos 0 +dimension 20 +uid 75,0 +) +*44 (MRCItem +litem &31 +pos 1 +dimension 23 +uid 77,0 +) +*45 (MRCItem +litem &32 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*46 (MRCItem +litem &33 +pos 0 +dimension 20 +uid 81,0 +) +*47 (MRCItem +litem &35 +pos 1 +dimension 50 +uid 85,0 +) +*48 (MRCItem +litem &36 +pos 2 +dimension 100 +uid 87,0 +) +*49 (MRCItem +litem &37 +pos 3 +dimension 100 +uid 89,0 +) +*50 (MRCItem +litem &38 +pos 4 +dimension 50 +uid 91,0 +) +*51 (MRCItem +litem &39 +pos 5 +dimension 50 +uid 93,0 +) +*52 (MRCItem +litem &40 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic0\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic0\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic0" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic0" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "logic0" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:14" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "logic0" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic0\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic0\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Projects\\Levisat\\Onboard\\FPGA\\board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:14" +) +(vvPair +variable "unit" +value "logic0" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*53 (SymbolBody +uid 8,0 +optionalChildren [ +*54 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,14250,37375,15000" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +) +xt "37600,15000,40500,16000" +st "logic_0" +ju 2 +blo "40500,15800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,14700,10800" +st "logic_0 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "logic_0" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +] +shape (Pd +uid 255,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,15000,39000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,20700,37010,21700" +st "gates" +blo "33910,21500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,21700,37410,22700" +st "logic0" +blo "33910,22500" +) +) +gi *55 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,23600,43700,24600" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*56 (Grouping +uid 175,0 +optionalChildren [ +*57 (CommentText +uid 177,0 +shape (Rectangle +uid 178,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 179,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 180,0 +shape (Rectangle +uid 181,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 182,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 183,0 +shape (Rectangle +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 185,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 186,0 +shape (Rectangle +uid 187,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 188,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 197,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*64 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 200,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 206,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 176,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *67 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*69 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,42,1282,960" +viewArea "-1100,-1100,74373,53996" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *70 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *71 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,10700,2500,11600" +st "User:" +blo "0,11400" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,2000,11600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 301,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/logic1/symbol.sb b/Libs/Gates/hds/logic1/symbol.sb new file mode 100644 index 0000000..90f6b4f --- /dev/null +++ b/Libs/Gates/hds/logic1/symbol.sb @@ -0,0 +1,1337 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "logic_1" +t "std_uLogic" +o 1 +suid 2,0 +) +) +uid 100,0 +) +*3 (RefLabelRowHdr +) +*4 (TitleRowHdr +) +*5 (FilterRowHdr +) +*6 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*7 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*8 (GroupColHdr +tm "GroupColHdrMgr" +) +*9 (NameColHdr +tm "NameColHdrMgr" +) +*10 (ModeColHdr +tm "ModeColHdrMgr" +) +*11 (TypeColHdr +tm "TypeColHdrMgr" +) +*12 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*13 (InitColHdr +tm "InitColHdrMgr" +) +*14 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*15 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *16 (MRCItem +litem &1 +pos 1 +dimension 20 +) +uid 43,0 +optionalChildren [ +*17 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 46,0 +) +*18 (MRCItem +litem &4 +pos 1 +dimension 23 +uid 48,0 +) +*19 (MRCItem +litem &5 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*21 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 52,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 50 +uid 56,0 +) +*23 (MRCItem +litem &9 +pos 2 +dimension 100 +uid 58,0 +) +*24 (MRCItem +litem &10 +pos 3 +dimension 50 +uid 60,0 +) +*25 (MRCItem +litem &11 +pos 4 +dimension 100 +uid 62,0 +) +*26 (MRCItem +litem &12 +pos 5 +dimension 100 +uid 64,0 +) +*27 (MRCItem +litem &13 +pos 6 +dimension 50 +uid 66,0 +) +*28 (MRCItem +litem &14 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *29 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*30 (RefLabelRowHdr +) +*31 (TitleRowHdr +) +*32 (FilterRowHdr +) +*33 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*34 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*35 (GroupColHdr +tm "GroupColHdrMgr" +) +*36 (NameColHdr +tm "GenericNameColHdrMgr" +) +*37 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*38 (InitColHdr +tm "GenericValueColHdrMgr" +) +*39 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*40 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*41 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *42 (MRCItem +litem &29 +pos 0 +dimension 20 +) +uid 72,0 +optionalChildren [ +*43 (MRCItem +litem &30 +pos 0 +dimension 20 +uid 75,0 +) +*44 (MRCItem +litem &31 +pos 1 +dimension 23 +uid 77,0 +) +*45 (MRCItem +litem &32 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*46 (MRCItem +litem &33 +pos 0 +dimension 20 +uid 81,0 +) +*47 (MRCItem +litem &35 +pos 1 +dimension 50 +uid 85,0 +) +*48 (MRCItem +litem &36 +pos 2 +dimension 100 +uid 87,0 +) +*49 (MRCItem +litem &37 +pos 3 +dimension 100 +uid 89,0 +) +*50 (MRCItem +litem &38 +pos 4 +dimension 50 +uid 91,0 +) +*51 (MRCItem +litem &39 +pos 5 +dimension 50 +uid 93,0 +) +*52 (MRCItem +litem &40 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic1\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic1\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic1" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic1" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "logic1" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:13" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "logic1" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic1\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\logic1\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Projects\\Levisat\\Onboard\\FPGA\\board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:13" +) +(vvPair +variable "unit" +value "logic1" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*53 (SymbolBody +uid 8,0 +optionalChildren [ +*54 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,21000,37375,21750" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +) +xt "38000,20000,40900,21000" +st "logic_1" +blo "38000,20800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,14700,10800" +st "logic_1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "logic_1" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +] +shape (Pu +uid 279,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,15000,39000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,18700,37010,19700" +st "gates" +blo "33910,19500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,19700,37410,20700" +st "logic1" +blo "33910,20500" +) +) +gi *55 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,23600,43700,24600" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*56 (Grouping +uid 175,0 +optionalChildren [ +*57 (CommentText +uid 177,0 +shape (Rectangle +uid 178,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 179,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*58 (CommentText +uid 180,0 +shape (Rectangle +uid 181,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 182,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*59 (CommentText +uid 183,0 +shape (Rectangle +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 185,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 186,0 +shape (Rectangle +uid 187,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 188,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 197,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*64 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 200,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 206,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 176,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *67 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*68 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*69 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,42,1282,960" +viewArea "-1100,-1100,74373,53996" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *70 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *71 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,10700,2500,11600" +st "User:" +blo "0,11400" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,2000,11600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 325,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/mux16to1/symbol.sb b/Libs/Gates/hds/mux16to1/symbol.sb new file mode 100644 index 0000000..1d092b1 --- /dev/null +++ b/Libs/Gates/hds/mux16to1/symbol.sb @@ -0,0 +1,2408 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2018,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 283,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in9" +t "std_uLogic" +o 13 +suid 12,0 +) +) +uid 284,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 4 +suid 2,0 +) +) +uid 285,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in6" +t "std_uLogic" +o 8 +suid 9,0 +) +) +uid 286,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "std_uLogic" +o 7 +suid 5,0 +) +) +uid 287,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(3 DOWNTO 0)" +o 1 +suid 6,0 +) +) +uid 288,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 7 +suid 7,0 +) +) +uid 289,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "in7" +t "std_uLogic" +o 10 +suid 10,0 +) +) +uid 290,0 +) +*9 (LogPort +port (LogicalPort +decl (Decl +n "in0" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 291,0 +) +*10 (LogPort +port (LogicalPort +decl (Decl +n "in15" +t "std_uLogic" +o 16 +suid 18,0 +) +) +uid 292,0 +) +*11 (LogPort +port (LogicalPort +decl (Decl +n "in11" +t "std_uLogic" +o 14 +suid 14,0 +) +) +uid 293,0 +) +*12 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 5 +suid 3,0 +) +) +uid 294,0 +) +*13 (LogPort +port (LogicalPort +decl (Decl +n "in8" +t "std_uLogic" +o 11 +suid 11,0 +) +) +uid 295,0 +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "in14" +t "std_uLogic" +o 18 +suid 17,0 +) +) +uid 296,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "in10" +t "std_uLogic" +o 12 +suid 13,0 +) +) +uid 297,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "in5" +t "std_uLogic" +o 9 +suid 8,0 +) +) +uid 298,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "in12" +t "std_uLogic" +o 17 +suid 15,0 +) +) +uid 299,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 6 +suid 4,0 +) +) +uid 300,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "in13" +t "std_uLogic" +o 15 +suid 16,0 +) +) +uid 301,0 +) +*20 (RefLabelRowHdr +) +*21 (TitleRowHdr +) +*22 (FilterRowHdr +) +*23 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*24 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*25 (GroupColHdr +tm "GroupColHdrMgr" +) +*26 (NameColHdr +tm "NameColHdrMgr" +) +*27 (ModeColHdr +tm "ModeColHdrMgr" +) +*28 (TypeColHdr +tm "TypeColHdrMgr" +) +*29 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*30 (InitColHdr +tm "InitColHdrMgr" +) +*31 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 302,0 +optionalChildren [ +*32 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *33 (MRCItem +litem &1 +pos 18 +dimension 20 +) +uid 211,0 +optionalChildren [ +*34 (MRCItem +litem &20 +pos 0 +dimension 20 +uid 214,0 +) +*35 (MRCItem +litem &21 +pos 1 +dimension 23 +uid 216,0 +) +*36 (MRCItem +litem &22 +pos 2 +hidden 1 +dimension 20 +uid 218,0 +) +*37 (MRCItem +litem &2 +pos 12 +dimension 20 +uid 237,0 +) +*38 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 238,0 +) +*39 (MRCItem +litem &4 +pos 7 +dimension 20 +uid 239,0 +) +*40 (MRCItem +litem &5 +pos 5 +dimension 20 +uid 240,0 +) +*41 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 241,0 +) +*42 (MRCItem +litem &7 +pos 6 +dimension 20 +uid 242,0 +) +*43 (MRCItem +litem &8 +pos 9 +dimension 20 +uid 243,0 +) +*44 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 244,0 +) +*45 (MRCItem +litem &10 +pos 15 +dimension 20 +uid 245,0 +) +*46 (MRCItem +litem &11 +pos 13 +dimension 20 +uid 246,0 +) +*47 (MRCItem +litem &12 +pos 2 +dimension 20 +uid 247,0 +) +*48 (MRCItem +litem &13 +pos 10 +dimension 20 +uid 248,0 +) +*49 (MRCItem +litem &14 +pos 17 +dimension 20 +uid 249,0 +) +*50 (MRCItem +litem &15 +pos 11 +dimension 20 +uid 250,0 +) +*51 (MRCItem +litem &16 +pos 8 +dimension 20 +uid 251,0 +) +*52 (MRCItem +litem &17 +pos 16 +dimension 20 +uid 252,0 +) +*53 (MRCItem +litem &18 +pos 3 +dimension 20 +uid 253,0 +) +*54 (MRCItem +litem &19 +pos 14 +dimension 20 +uid 254,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 212,0 +optionalChildren [ +*55 (MRCItem +litem &23 +pos 0 +dimension 20 +uid 220,0 +) +*56 (MRCItem +litem &25 +pos 1 +dimension 50 +uid 224,0 +) +*57 (MRCItem +litem &26 +pos 2 +dimension 100 +uid 226,0 +) +*58 (MRCItem +litem &27 +pos 3 +dimension 50 +uid 228,0 +) +*59 (MRCItem +litem &28 +pos 4 +dimension 100 +uid 230,0 +) +*60 (MRCItem +litem &29 +pos 5 +dimension 100 +uid 232,0 +) +*61 (MRCItem +litem &30 +pos 6 +dimension 50 +uid 234,0 +) +*62 (MRCItem +litem &31 +pos 7 +dimension 80 +uid 236,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 210,0 +vaOverrides [ +] +) +] +) +uid 282,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *63 (LEmptyRow +) +uid 304,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "GenericNameColHdrMgr" +) +*71 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*72 (InitColHdr +tm "GenericValueColHdrMgr" +) +*73 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*74 (EolColHdr +tm "GenericEolColHdrMgr" +) +*75 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 280,0 +) +] +) +pdm (PhysicalDM +uid 305,0 +optionalChildren [ +*76 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *77 (MRCItem +litem &63 +pos 1 +dimension 20 +) +uid 256,0 +optionalChildren [ +*78 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 259,0 +) +*79 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 261,0 +) +*80 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 263,0 +) +*81 (MRCItem +litem &75 +pos 0 +dimension 20 +uid 281,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 257,0 +optionalChildren [ +*82 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 265,0 +) +*83 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 269,0 +) +*84 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 271,0 +) +*85 (MRCItem +litem &71 +pos 3 +dimension 100 +uid 273,0 +) +*86 (MRCItem +litem &72 +pos 4 +dimension 50 +uid 275,0 +) +*87 (MRCItem +litem &73 +pos 5 +dimension 50 +uid 277,0 +) +*88 (MRCItem +litem &74 +pos 6 +dimension 80 +uid 279,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 255,0 +vaOverrides [ +] +) +] +) +uid 303,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux16to1\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux16to1\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux16to1" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux16to1" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "mux16to1" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:13" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "mux16to1" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux16to1\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux16to1\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:13" +) +(vvPair +variable "unit" +value "mux16to1" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*89 (SymbolBody +uid 11,0 +optionalChildren [ +*90 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,2625,32000,3375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "33000,2500,34400,3500" +st "in0" +blo "33000,3300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9400,14200,10400" +st "in0 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in0" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*91 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,4625,32000,5375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +) +xt "33000,4500,34400,5500" +st "in1" +blo "33000,5300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 115,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10300,14200,11300" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 4 +suid 2,0 +) +) +) +*92 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,6625,32000,7375" +) +tg (CPTG +uid 125,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +) +xt "33000,6500,34400,7500" +st "in2" +blo "33000,7300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16600,14200,17600" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 5 +suid 3,0 +) +) +) +*93 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,8625,32000,9375" +) +tg (CPTG +uid 126,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +) +xt "33000,8500,34400,9500" +st "in3" +blo "33000,9300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 117,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17500,14200,18500" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 6 +suid 4,0 +) +) +) +*94 (CptPort +uid 75,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 76,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,17625,40750,18375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 78,0 +va (VaSet +) +xt "37100,17600,40000,18600" +st "muxOut" +ju 2 +blo "40000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,24700,15100,25700" +st "muxOut : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "std_uLogic" +o 7 +suid 5,0 +) +) +) +*95 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35625,30667,36375,31417" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +va (VaSet +) +xt "35000,29900,39000,30900" +st "sel : (3:0)" +blo "35000,30700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,23800,19600,24800" +st "sel : IN unsigned (3 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(3 DOWNTO 0)" +o 1 +suid 6,0 +) +) +) +*96 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,10625,32000,11375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +) +xt "33000,10500,34400,11500" +st "in4" +blo "33000,11300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,18400,14200,19400" +st "in4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 7 +suid 7,0 +) +) +) +*97 (CptPort +uid 144,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 145,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,12625,32000,13375" +) +tg (CPTG +uid 146,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 147,0 +va (VaSet +) +xt "33000,12500,34400,13500" +st "in5" +blo "33000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 149,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,19300,14200,20300" +st "in5 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in5" +t "std_uLogic" +o 9 +suid 8,0 +) +) +) +*98 (CptPort +uid 150,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 151,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,14625,32000,15375" +) +tg (CPTG +uid 152,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 153,0 +va (VaSet +) +xt "33000,14500,34400,15500" +st "in6" +blo "33000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 155,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,20200,14200,21200" +st "in6 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in6" +t "std_uLogic" +o 8 +suid 9,0 +) +) +) +*99 (CptPort +uid 156,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 157,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,16625,32000,17375" +) +tg (CPTG +uid 158,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 159,0 +va (VaSet +) +xt "33000,16500,34400,17500" +st "in7" +blo "33000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 161,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,21100,14200,22100" +st "in7 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in7" +t "std_uLogic" +o 10 +suid 10,0 +) +) +) +*100 (CptPort +uid 162,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 163,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,18625,32000,19375" +) +tg (CPTG +uid 164,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 165,0 +va (VaSet +) +xt "33000,18500,34400,19500" +st "in8" +blo "33000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 167,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,22000,14200,23000" +st "in8 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in8" +t "std_uLogic" +o 11 +suid 11,0 +) +) +) +*101 (CptPort +uid 168,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 169,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,20625,32000,21375" +) +tg (CPTG +uid 170,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 171,0 +va (VaSet +) +xt "33000,20500,34400,21500" +st "in9" +blo "33000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 173,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,22900,14200,23900" +st "in9 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in9" +t "std_uLogic" +o 13 +suid 12,0 +) +) +) +*102 (CptPort +uid 174,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 175,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,22625,32000,23375" +) +tg (CPTG +uid 176,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 177,0 +va (VaSet +) +xt "33000,22500,34800,23500" +st "in10" +blo "33000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 179,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11200,14400,12200" +st "in10 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in10" +t "std_uLogic" +o 12 +suid 13,0 +) +) +) +*103 (CptPort +uid 180,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 181,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,24625,32000,25375" +) +tg (CPTG +uid 182,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 183,0 +va (VaSet +) +xt "33000,24500,34800,25500" +st "in11" +blo "33000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 185,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12100,14400,13100" +st "in11 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in11" +t "std_uLogic" +o 14 +suid 14,0 +) +) +) +*104 (CptPort +uid 186,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 187,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,26625,32000,27375" +) +tg (CPTG +uid 188,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 189,0 +va (VaSet +) +xt "33000,26500,34800,27500" +st "in12" +blo "33000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 191,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13000,14400,14000" +st "in12 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in12" +t "std_uLogic" +o 17 +suid 15,0 +) +) +) +*105 (CptPort +uid 192,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 193,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,28625,32000,29375" +) +tg (CPTG +uid 194,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 195,0 +va (VaSet +) +xt "33000,28500,34800,29500" +st "in13" +blo "33000,29300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 197,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13900,14400,14900" +st "in13 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in13" +t "std_uLogic" +o 15 +suid 16,0 +) +) +) +*106 (CptPort +uid 198,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 199,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,30625,32000,31375" +) +tg (CPTG +uid 200,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 201,0 +va (VaSet +) +xt "33000,30500,34800,31500" +st "in14" +blo "33000,31300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 203,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14800,14400,15800" +st "in14 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in14" +t "std_uLogic" +o 18 +suid 17,0 +) +) +) +*107 (CptPort +uid 204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 205,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,32625,32000,33375" +) +tg (CPTG +uid 206,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 207,0 +va (VaSet +) +xt "33000,32500,34800,33500" +st "in15" +blo "33000,33300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 209,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15700,14400,16700" +st "in15 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in15" +t "std_uLogic" +o 16 +suid 18,0 +) +) +) +] +shape (Mux +uid 306,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,-1000,40000,37000" +) +showPorts 0 +oxt "12000,13000,18000,26000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "38600,27700,41700,28700" +st "gates" +blo "38600,28500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "38600,28700,43900,29700" +st "mux16to1" +blo "38600,29500" +) +) +gi *108 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "38000,30600,48800,33600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*109 (Grouping +uid 353,0 +optionalChildren [ +*110 (CommentText +uid 355,0 +shape (Rectangle +uid 356,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 357,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*111 (CommentText +uid 358,0 +shape (Rectangle +uid 359,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,41000,57000,42000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 360,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,41500,53200,41500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*112 (CommentText +uid 361,0 +shape (Rectangle +uid 362,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,43000,53000,44000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 363,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,43500,36200,43500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*113 (CommentText +uid 364,0 +shape (Rectangle +uid 365,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,36000,44000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 366,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,43500,32200,43500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*114 (CommentText +uid 367,0 +shape (Rectangle +uid 368,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,73000,46000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 369,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42200,67300,43400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*115 (CommentText +uid 370,0 +shape (Rectangle +uid 371,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,41000,73000,42000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 372,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,41500,57200,41500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*116 (CommentText +uid 373,0 +shape (Rectangle +uid 374,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,53000,43000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 375,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,41400,47650,42600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*117 (CommentText +uid 376,0 +shape (Rectangle +uid 377,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 378,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*118 (CommentText +uid 379,0 +shape (Rectangle +uid 380,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 381,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*119 (CommentText +uid 382,0 +shape (Rectangle +uid 383,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 384,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 354,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,41000,73000,46000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *120 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*121 (Text +uid 136,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-3000,5400,-2000" +st "Package List" +blo "0,-2200" +) +*122 (MLText +uid 137,0 +va (VaSet +) +xt "0,-2000,17500,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.NUMERIC_STD.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "-1673,33,-307,919" +viewArea "-1100,-4100,75146,44877" +cachedDiagramExtent "0,-3000,73000,46000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1600,1100,4400,2100" +st "Panel0" +blo "1600,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *123 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *124 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7400,5400,8400" +st "Declarations" +blo "0,8200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8400,2700,9400" +st "Ports:" +blo "0,9200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,25600,2500,26500" +st "User:" +blo "0,26300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7400,5800,8400" +st "Internal User:" +blo "0,8200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,26500,2000,26500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "56000,7400,56000,7400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 453,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/mux2to1/symbol.sb b/Libs/Gates/hds/mux2to1/symbol.sb new file mode 100644 index 0000000..f8236bf --- /dev/null +++ b/Libs/Gates/hds/mux2to1/symbol.sb @@ -0,0 +1,1569 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 197,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in0" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 198,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 4 +suid 2,0 +) +) +uid 199,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "MuxOut" +t "std_uLogic" +o 7 +suid 3,0 +) +) +uid 200,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "sel" +t "std_uLogic" +o 1 +suid 4,0 +) +) +uid 201,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 202,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 139,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 142,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 144,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 146,0 +) +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 165,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 166,0 +) +*25 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 167,0 +) +*26 (MRCItem +litem &5 +pos 2 +dimension 20 +uid 168,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 140,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 148,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 152,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 154,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 156,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 158,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 160,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 162,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 164,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 138,0 +vaOverrides [ +] +) +] +) +uid 196,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 204,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 194,0 +) +] +) +pdm (PhysicalDM +uid 205,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 170,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 173,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 175,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 177,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 195,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 171,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 179,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 183,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 185,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 187,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 189,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 191,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 193,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 169,0 +vaOverrides [ +] +) +] +) +uid 203,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "mux2to1" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:13" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "mux2to1" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_SimulationPath" +value "$SIMULATION_DIR" +) +(vvPair +variable "task_SynplifyPath" +value "$SYNPLIFY_HOME" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:13" +) +(vvPair +variable "unit" +value "mux2to1" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,13625,34000,14375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "34000,13500,35400,14500" +st "in0" +blo "34000,14300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9200,14200,10200" +st "in0 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in0" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*63 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,19625,34000,20375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +) +xt "33550,19400,35850,20600" +st "in1" +blo "33550,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 115,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10100,14200,11100" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 4 +suid 2,0 +) +) +) +*64 (CptPort +uid 75,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 76,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,16625,40750,17375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 78,0 +va (VaSet +) +xt "35300,16400,40000,17600" +st "MuxOut" +ju 2 +blo "40000,17400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11900,15000,12900" +st "MuxOut : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "MuxOut" +t "std_uLogic" +o 7 +suid 3,0 +) +) +) +*65 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,21667,37375,22417" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +va (VaSet +) +xt "36000,20800,38200,22000" +st "sel" +blo "36000,21800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11000,14100,12000" +st "sel : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_uLogic" +o 1 +suid 4,0 +) +) +) +] +shape (Mux +uid 330,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,10000,40000,24000" +) +showPorts 0 +oxt "12000,13000,18000,26000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,24700,37700,25700" +st "gates" +blo "34600,25500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,25700,39300,26700" +st "mux2to1" +blo "34600,26500" +) +) +gi *66 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,26600,44800,29600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +selT 0 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*67 (Grouping +uid 229,0 +optionalChildren [ +*68 (CommentText +uid 231,0 +shape (Rectangle +uid 232,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 233,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 234,0 +shape (Rectangle +uid 235,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 236,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 237,0 +shape (Rectangle +uid 238,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 239,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 240,0 +shape (Rectangle +uid 241,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 242,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 243,0 +shape (Rectangle +uid 244,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 245,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 246,0 +shape (Rectangle +uid 247,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 248,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 249,0 +shape (Rectangle +uid 250,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 251,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 252,0 +shape (Rectangle +uid 253,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 254,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 255,0 +shape (Rectangle +uid 256,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 257,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 258,0 +shape (Rectangle +uid 259,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 260,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 230,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *78 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 136,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*80 (MLText +uid 137,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "-1358,103,-144,958" +viewArea "-1100,-600,74822,53300" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7200,5400,8200" +st "Declarations" +blo "0,8000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8200,2700,9200" +st "Ports:" +blo "0,9000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,12800,2500,13700" +st "User:" +blo "0,13500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7200,5800,8200" +st "Internal User:" +blo "0,8000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13700,2000,13700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "56000,7200,56000,7200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 426,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/mux2to1@signed/symbol.sb b/Libs/Gates/hds/mux2to1@signed/symbol.sb new file mode 100644 index 0000000..7011d5a --- /dev/null +++ b/Libs/Gates/hds/mux2to1@signed/symbol.sb @@ -0,0 +1,1591 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 205,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "sel" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 206,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in0" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 207,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +uid 208,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 209,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 210,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 145,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 148,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 150,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 152,0 +) +*23 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 171,0 +) +*24 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 172,0 +) +*25 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 173,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 174,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 146,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 154,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 158,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 160,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 162,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 164,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 166,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 168,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 170,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 204,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 212,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 200,0 +) +*48 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 201,0 +) +] +) +pdm (PhysicalDM +uid 213,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 176,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 179,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 181,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 202,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 203,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 177,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 185,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 189,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 191,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 193,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 195,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 197,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 199,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 175,0 +vaOverrides [ +] +) +] +) +uid 211,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@signed\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@signed\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@signed" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1Signed" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "mux2to1Signed" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:13" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "mux2to1Signed" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@signed\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1Signed\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:13" +) +(vvPair +variable "unit" +value "mux2to1Signed" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,10625,38000,11375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "38000,10400,40300,11600" +st "in0" +blo "38000,11400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9200,23500,10200" +st "in0 : IN signed (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in0" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 75,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 76,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,14625,44750,15375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 78,0 +va (VaSet +) +xt "39300,14500,44000,15700" +st "muxOut" +ju 2 +blo "44000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11900,24400,12900" +st "muxOut : OUT signed (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +) +*66 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,20333,41375,21083" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +va (VaSet +) +xt "40000,19000,41400,20000" +st "sel" +blo "40000,19800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11000,14100,12000" +st "sel : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*67 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,18625,38000,19375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +) +xt "38000,18500,40300,19700" +st "in1" +blo "38000,19500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10100,23500,11100" +st "in1 : IN signed (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +] +shape (Mux +uid 87,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,7000,44000,23000" +) +showPorts 0 +oxt "12000,13000,18000,26000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "43600,18700,46700,19700" +st "gates" +blo "43600,19500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "43600,19700,52100,20700" +st "mux2to1Signed" +blo "43600,20500" +) +) +gi *68 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,21800,57200,25800" +st "Generic Declarations + +dataBitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*69 (Grouping +uid 237,0 +optionalChildren [ +*70 (CommentText +uid 239,0 +shape (Rectangle +uid 240,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 241,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 242,0 +shape (Rectangle +uid 243,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,57000,44000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 244,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,43500,53200,43500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 245,0 +shape (Rectangle +uid 246,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 247,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 248,0 +shape (Rectangle +uid 249,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 250,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 251,0 +shape (Rectangle +uid 252,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,73000,48000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 253,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44200,67300,45400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 254,0 +shape (Rectangle +uid 255,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,43000,73000,44000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 256,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,43500,57200,43500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 257,0 +shape (Rectangle +uid 258,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,53000,45000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 259,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,43400,47650,44600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 260,0 +shape (Rectangle +uid 261,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 262,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 263,0 +shape (Rectangle +uid 264,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 265,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 266,0 +shape (Rectangle +uid 267,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 268,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 238,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,43000,73000,48000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *80 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 136,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-1000,5400,0" +st "Package List" +blo "0,-200" +) +*82 (MLText +uid 137,0 +va (VaSet +) +xt "0,0,17500,6000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "148,48,1421,898" +viewArea "-1000,-2000,75285,48735" +cachedDiagramExtent "0,-1000,73000,48000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-1000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "950,1000,3750,2000" +st "Panel0" +blo "950,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7200,5400,8200" +st "Declarations" +blo "0,8000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8200,2700,9200" +st "Ports:" +blo "0,9000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,12800,2500,13700" +st "User:" +blo "0,13500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7200,5800,8200" +st "Internal User:" +blo "0,8000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13700,2000,13700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "56000,7200,56000,7200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 360,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/mux2to1@u@logic@vector/symbol.sb b/Libs/Gates/hds/mux2to1@u@logic@vector/symbol.sb new file mode 100644 index 0000000..88c544e --- /dev/null +++ b/Libs/Gates/hds/mux2to1@u@logic@vector/symbol.sb @@ -0,0 +1,1591 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 205,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +uid 206,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 207,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "sel" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 208,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in0" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 209,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 210,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 145,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 148,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 150,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 152,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 171,0 +) +*24 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 172,0 +) +*25 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 173,0 +) +*26 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 174,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 146,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 154,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 158,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 160,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 162,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 164,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 166,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 168,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 170,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 204,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 212,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 200,0 +) +*48 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 201,0 +) +] +) +pdm (PhysicalDM +uid 213,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 176,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 179,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 181,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 202,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 203,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 177,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 185,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 189,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 191,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 193,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 195,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 197,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 199,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 175,0 +vaOverrides [ +] +) +] +) +uid 211,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@u@logic@vector\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@u@logic@vector\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@u@logic@vector" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1ULogicVector" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "mux2to1ULogicVector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:12" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "mux2to1ULogicVector" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@u@logic@vector\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1ULogicVector\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:12" +) +(vvPair +variable "unit" +value "mux2to1ULogicVector" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,-7375,42000,-6625" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "42000,-7600,44300,-6400" +st "in0" +blo "42000,-6600" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,-11200,28100,-10200" +st "in0 : IN std_uLogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in0" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 75,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 76,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,-3375,48750,-2625" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 78,0 +va (VaSet +) +xt "43300,-3500,48000,-2300" +st "muxOut" +ju 2 +blo "48000,-2500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,-8500,29000,-7500" +st "muxOut : OUT std_uLogic_vector (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +) +*66 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44625,2333,45375,3083" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +va (VaSet +) +xt "44000,1000,45400,2000" +st "sel" +blo "44000,1800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,-9400,14100,-8400" +st "sel : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*67 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,625,42000,1375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +) +xt "42000,400,44300,1600" +st "in1" +blo "42000,1400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,-10300,28100,-9300" +st "in1 : IN std_uLogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +] +shape (Mux +uid 87,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "42000,-11000,48000,5000" +) +showPorts 0 +oxt "12000,13000,18000,26000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "46600,700,49700,1700" +st "gates" +blo "46600,1500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "46600,1700,58100,2700" +st "mux2to1ULogicVector" +blo "46600,2500" +) +) +gi *68 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "47000,3800,60200,7800" +st "Generic Declarations + +dataBitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*69 (Grouping +uid 237,0 +optionalChildren [ +*70 (CommentText +uid 239,0 +shape (Rectangle +uid 240,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,27000,53000,28000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 241,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,27500,36200,27500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 242,0 +shape (Rectangle +uid 243,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,23000,57000,24000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 244,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,23500,53200,23500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 245,0 +shape (Rectangle +uid 246,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,25000,53000,26000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 247,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,25500,36200,25500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 248,0 +shape (Rectangle +uid 249,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,25000,36000,26000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 250,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,25500,32200,25500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 251,0 +shape (Rectangle +uid 252,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,24000,73000,28000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 253,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,24200,67300,25400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 254,0 +shape (Rectangle +uid 255,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,23000,73000,24000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 256,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,23500,57200,23500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 257,0 +shape (Rectangle +uid 258,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,23000,53000,25000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 259,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,23400,47650,24600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 260,0 +shape (Rectangle +uid 261,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,26000,36000,27000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 262,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,26500,32200,26500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 263,0 +shape (Rectangle +uid 264,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,27000,36000,28000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 265,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,27500,32200,27500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 266,0 +shape (Rectangle +uid 267,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,26000,53000,27000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 268,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,26500,36200,26500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 238,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,23000,73000,28000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *80 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 136,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-21000,5400,-20000" +st "Package List" +blo "0,-20200" +) +*82 (MLText +uid 137,0 +va (VaSet +) +xt "0,-20000,17500,-14000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "195,67,1416,900" +viewArea "-1000,-22000,75461,30206" +cachedDiagramExtent "0,-21000,73000,28000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-21000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "950,1000,3750,2000" +st "Panel0" +blo "950,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-13200,5400,-12200" +st "Declarations" +blo "0,-12400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-12200,2700,-11200" +st "Ports:" +blo "0,-11400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-7600,2500,-6700" +st "User:" +blo "0,-6900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,-13200,5800,-12200" +st "Internal User:" +blo "0,-12400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,-6700,2000,-6700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "56000,-13200,56000,-13200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 429,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/mux2to1@unsigned/symbol.sb b/Libs/Gates/hds/mux2to1@unsigned/symbol.sb new file mode 100644 index 0000000..05fa5b5 --- /dev/null +++ b/Libs/Gates/hds/mux2to1@unsigned/symbol.sb @@ -0,0 +1,1587 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 205,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +uid 206,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 207,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in0" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 208,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "sel" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 209,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 210,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 145,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 148,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 150,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 152,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 171,0 +) +*24 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 172,0 +) +*25 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 173,0 +) +*26 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 174,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 146,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 154,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 158,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 160,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 162,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 164,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 166,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 168,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 170,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 204,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 212,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 200,0 +) +*48 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 201,0 +) +] +) +pdm (PhysicalDM +uid 213,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 176,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 179,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 181,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 202,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 203,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 177,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 185,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 189,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 191,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 193,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 195,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 197,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 199,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 175,0 +vaOverrides [ +] +) +] +) +uid 211,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@unsigned\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@unsigned\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@unsigned" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1Unsigned" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "mux2to1Unsigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:12" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "mux2to1Unsigned" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1@unsigned\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux2to1Unsigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:12" +) +(vvPair +variable "unit" +value "mux2to1Unsigned" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,5625,38000,6375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "38000,5500,40300,6700" +st "in0" +blo "38000,6500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,2800,24500,3800" +st "in0 : IN unsigned (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in0" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 75,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 76,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,9625,44750,10375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 78,0 +va (VaSet +) +xt "41100,9500,45800,10700" +st "muxOut" +blo "41100,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,5500,25400,6500" +st "muxOut : OUT unsigned (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +) +*66 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,15333,41375,16083" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +ro 270 +va (VaSet +) +xt "40500,13600,41500,15000" +st "sel" +blo "41300,15000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,4600,14100,5600" +st "sel : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "sel" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*67 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,13625,38000,14375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +) +xt "38000,13500,40300,14700" +st "in1" +blo "38000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,3700,24500,4700" +st "in1 : IN unsigned (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +] +shape (Mux +uid 87,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,2000,44000,18000" +) +showPorts 0 +oxt "12000,13000,18000,26000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "42600,13700,45700,14700" +st "gates" +blo "42600,14500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "42600,14700,52300,15700" +st "mux2to1Unsigned" +blo "42600,15500" +) +) +gi *68 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "43000,16800,56200,20800" +st "Generic Declarations + +dataBitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*69 (Grouping +uid 237,0 +optionalChildren [ +*70 (CommentText +uid 239,0 +shape (Rectangle +uid 240,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,42000,53000,43000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 241,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,42500,36200,42500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 242,0 +shape (Rectangle +uid 243,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,38000,57000,39000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 244,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,38500,53200,38500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 245,0 +shape (Rectangle +uid 246,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,40000,53000,41000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 247,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,40500,36200,40500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 248,0 +shape (Rectangle +uid 249,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,40000,36000,41000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 250,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,40500,32200,40500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 251,0 +shape (Rectangle +uid 252,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,39000,73000,43000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 253,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,39200,67300,40400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 254,0 +shape (Rectangle +uid 255,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,38000,73000,39000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 256,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,38500,57200,38500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 257,0 +shape (Rectangle +uid 258,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,38000,53000,40000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 259,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,38400,47650,39600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 260,0 +shape (Rectangle +uid 261,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,36000,42000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 262,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,41500,32200,41500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 263,0 +shape (Rectangle +uid 264,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,36000,43000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 265,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,42500,32200,42500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 266,0 +shape (Rectangle +uid 267,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,41000,53000,42000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 268,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,41500,36200,41500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 238,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,38000,73000,43000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *80 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 136,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-6000,5400,-5000" +st "Package List" +blo "0,-5200" +) +*82 (MLText +uid 137,0 +va (VaSet +) +xt "0,-5000,17500,1000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "190,46,1418,874" +viewArea "-1091,-7127,74560,44307" +cachedDiagramExtent "0,-6000,73000,43000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-6000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "950,1000,3750,2000" +st "Panel0" +blo "950,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,800,5400,1800" +st "Declarations" +blo "0,1600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,1800,2700,2800" +st "Ports:" +blo "0,2600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6400,2500,7300" +st "User:" +blo "0,7100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,800,5800,1800" +st "Internal User:" +blo "0,1600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,7300,2000,7300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "56000,800,56000,800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 360,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/mux4to1/symbol.sb b/Libs/Gates/hds/mux4to1/symbol.sb new file mode 100644 index 0000000..9413749 --- /dev/null +++ b/Libs/Gates/hds/mux4to1/symbol.sb @@ -0,0 +1,1708 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 205,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 206,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 207,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in0" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 208,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +uid 209,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_ulogic" +o 5 +suid 2005,0 +) +) +uid 302,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_ulogic" +o 6 +suid 2006,0 +) +) +uid 304,0 +) +] +) +pdm (PhysicalDM +uid 210,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 145,0 +optionalChildren [ +*22 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 148,0 +) +*23 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 150,0 +) +*24 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 152,0 +) +*25 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 171,0 +) +*26 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 172,0 +) +*27 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 173,0 +) +*28 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 174,0 +) +*29 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 303,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 305,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 146,0 +optionalChildren [ +*31 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 154,0 +) +*32 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 158,0 +) +*33 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 160,0 +) +*34 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 162,0 +) +*35 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 164,0 +) +*36 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 166,0 +) +*37 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 168,0 +) +*38 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 170,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 204,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 212,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "dataNbBits" +type "positive" +value "8" +) +uid 200,0 +) +*52 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 201,0 +) +] +) +pdm (PhysicalDM +uid 213,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &39 +pos 2 +dimension 20 +) +uid 176,0 +optionalChildren [ +*55 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 179,0 +) +*56 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 181,0 +) +*57 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +*58 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 202,0 +) +*59 (MRCItem +litem &52 +pos 1 +dimension 20 +uid 203,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 177,0 +optionalChildren [ +*60 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 185,0 +) +*61 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 189,0 +) +*62 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 191,0 +) +*63 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 193,0 +) +*64 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 195,0 +) +*65 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 197,0 +) +*66 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 199,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 175,0 +vaOverrides [ +] +) +] +) +uid 211,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "mux4to1" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:12" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "mux4to1" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:12" +) +(vvPair +variable "unit" +value "mux4to1" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*67 (SymbolBody +uid 11,0 +optionalChildren [ +*68 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,5625,38000,6375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "38000,5500,39400,6500" +st "in0" +blo "38000,6300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9200,14000,10200" +st "in0 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in0" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*69 (CptPort +uid 75,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 76,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,11625,45750,12375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 78,0 +va (VaSet +) +xt "42100,11500,45000,12500" +st "muxOut" +ju 2 +blo "45000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13700,14900,14700" +st "muxOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "std_ulogic" +o 3 +suid 2,0 +) +) +) +*70 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,19143,41375,19893" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +ro 270 +va (VaSet +) +xt "40500,17410,41500,18810" +st "sel" +blo "41300,18810" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12800,19600,13800" +st "sel : IN unsigned (1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +) +*71 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,9625,38000,10375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +) +xt "38000,9500,39400,10500" +st "in1" +blo "38000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10100,14000,11100" +st "in1 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*72 (CptPort +uid 292,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 293,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,13625,38000,14375" +) +tg (CPTG +uid 294,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 295,0 +va (VaSet +) +xt "39000,13500,40400,14500" +st "in2" +blo "39000,14300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 296,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11000,14000,12000" +st "in2 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_ulogic" +o 5 +suid 2005,0 +) +) +) +*73 (CptPort +uid 297,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 298,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,17625,38000,18375" +) +tg (CPTG +uid 299,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 300,0 +va (VaSet +) +xt "39000,17500,40400,18500" +st "in3" +blo "39000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 301,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11900,14000,12900" +st "in3 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_ulogic" +o 6 +suid 2006,0 +) +) +) +] +shape (Mux +uid 87,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,2000,45000,22000" +) +showPorts 0 +oxt "12000,13000,18000,26000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "42600,17700,45700,18700" +st "gates" +blo "42600,18500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "42600,18700,47300,19700" +st "mux4to1" +blo "42600,19500" +) +) +gi *74 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "43000,20800,56500,24800" +st "Generic Declarations + +dataNbBits positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataNbBits" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*75 (Grouping +uid 237,0 +optionalChildren [ +*76 (CommentText +uid 239,0 +shape (Rectangle +uid 240,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,42000,53000,43000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 241,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,42500,36200,42500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 242,0 +shape (Rectangle +uid 243,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,38000,57000,39000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 244,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,38500,53200,38500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 245,0 +shape (Rectangle +uid 246,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,40000,53000,41000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 247,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,40500,36200,40500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 248,0 +shape (Rectangle +uid 249,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,40000,36000,41000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 250,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,40500,32200,40500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 251,0 +shape (Rectangle +uid 252,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,39000,73000,43000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 253,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,39200,67300,40400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 254,0 +shape (Rectangle +uid 255,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,38000,73000,39000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 256,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,38500,57200,38500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 257,0 +shape (Rectangle +uid 258,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,38000,53000,40000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 259,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,38400,47650,39600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 260,0 +shape (Rectangle +uid 261,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,36000,42000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 262,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,41500,32200,41500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 263,0 +shape (Rectangle +uid 264,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,36000,43000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 265,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,42500,32200,42500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 266,0 +shape (Rectangle +uid 267,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,41000,53000,42000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 268,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,41500,36200,41500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 238,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,38000,73000,43000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *86 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 136,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-6000,5400,-5000" +st "Package List" +blo "0,-5200" +) +*88 (MLText +uid 137,0 +va (VaSet +) +xt "0,-5000,17500,1000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "-1680,41,-397,935" +viewArea "-1100,-7100,75915,46847" +cachedDiagramExtent "0,-6000,73000,43000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-6000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "950,1000,3750,2000" +st "Panel0" +blo "950,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *89 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *90 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7200,5400,8200" +st "Declarations" +blo "0,8000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8200,2700,9200" +st "Ports:" +blo "0,9000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,14600,2500,15500" +st "User:" +blo "0,15300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7200,5800,8200" +st "Internal User:" +blo "0,8000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15500,2000,15500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "56000,7200,56000,7200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 443,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/mux4to1@signed/symbol.sb b/Libs/Gates/hds/mux4to1@signed/symbol.sb new file mode 100644 index 0000000..c2cb77b --- /dev/null +++ b/Libs/Gates/hds/mux4to1@signed/symbol.sb @@ -0,0 +1,1722 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 205,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +uid 206,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 207,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in0" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 208,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +uid 209,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 2005,0 +) +) +uid 302,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 2006,0 +) +) +uid 304,0 +) +] +) +pdm (PhysicalDM +uid 210,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 145,0 +optionalChildren [ +*22 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 148,0 +) +*23 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 150,0 +) +*24 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 152,0 +) +*25 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 171,0 +) +*26 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 172,0 +) +*27 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 173,0 +) +*28 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 174,0 +) +*29 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 303,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 305,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 146,0 +optionalChildren [ +*31 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 154,0 +) +*32 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 158,0 +) +*33 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 160,0 +) +*34 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 162,0 +) +*35 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 164,0 +) +*36 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 166,0 +) +*37 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 168,0 +) +*38 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 170,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 204,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 212,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 200,0 +) +*52 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 201,0 +) +] +) +pdm (PhysicalDM +uid 213,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &39 +pos 2 +dimension 20 +) +uid 176,0 +optionalChildren [ +*55 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 179,0 +) +*56 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 181,0 +) +*57 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +*58 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 202,0 +) +*59 (MRCItem +litem &52 +pos 1 +dimension 20 +uid 203,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 177,0 +optionalChildren [ +*60 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 185,0 +) +*61 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 189,0 +) +*62 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 191,0 +) +*63 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 193,0 +) +*64 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 195,0 +) +*65 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 197,0 +) +*66 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 199,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 175,0 +vaOverrides [ +] +) +] +) +uid 211,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@signed\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@signed\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@signed" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1Signed" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "mux4to1Signed" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:11" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "mux4to1Signed" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@signed\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1Signed\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:11" +) +(vvPair +variable "unit" +value "mux4to1Signed" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*67 (SymbolBody +uid 11,0 +optionalChildren [ +*68 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,5625,38000,6375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "38000,5500,40300,6700" +st "in0" +blo "38000,6500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,4200,23500,5200" +st "in0 : IN signed (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in0" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*69 (CptPort +uid 75,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 76,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,11625,45750,12375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 78,0 +va (VaSet +) +xt "40300,11500,45000,12700" +st "muxOut" +ju 2 +blo "45000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8700,24400,9700" +st "muxOut : OUT signed (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +) +*70 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,19143,41375,19893" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +ro 270 +va (VaSet +) +xt "40500,17410,41500,18810" +st "sel" +blo "41300,18810" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,7800,19600,8800" +st "sel : IN unsigned (1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +) +*71 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,9625,38000,10375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +) +xt "38000,9500,40300,10700" +st "in1" +blo "38000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,5100,23500,6100" +st "in1 : IN signed (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*72 (CptPort +uid 292,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 293,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,13625,38000,14375" +) +tg (CPTG +uid 294,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 295,0 +va (VaSet +) +xt "39000,13500,41300,14700" +st "in2" +blo "39000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 296,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,6000,23500,7000" +st "in2 : IN signed (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 2005,0 +) +) +) +*73 (CptPort +uid 297,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 298,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,17625,38000,18375" +) +tg (CPTG +uid 299,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 300,0 +va (VaSet +) +xt "39000,17500,41300,18700" +st "in3" +blo "39000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 301,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,6900,23500,7900" +st "in3 : IN signed (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 2006,0 +) +) +) +] +shape (Mux +uid 87,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,2000,45000,22000" +) +showPorts 0 +oxt "12000,13000,18000,26000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "42600,17700,45700,18700" +st "gates" +blo "42600,18500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "42600,18700,51100,19700" +st "mux4to1Signed" +blo "42600,19500" +) +) +gi *74 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "43000,20800,56200,24800" +st "Generic Declarations + +dataBitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*75 (Grouping +uid 237,0 +optionalChildren [ +*76 (CommentText +uid 239,0 +shape (Rectangle +uid 240,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,42000,53000,43000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 241,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,42500,36200,42500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 242,0 +shape (Rectangle +uid 243,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,38000,57000,39000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 244,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,38500,53200,38500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 245,0 +shape (Rectangle +uid 246,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,40000,53000,41000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 247,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,40500,36200,40500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 248,0 +shape (Rectangle +uid 249,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,40000,36000,41000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 250,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,40500,32200,40500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 251,0 +shape (Rectangle +uid 252,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,39000,73000,43000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 253,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,39200,67300,40400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 254,0 +shape (Rectangle +uid 255,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,38000,73000,39000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 256,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,38500,57200,38500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 257,0 +shape (Rectangle +uid 258,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,38000,53000,40000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 259,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,38400,47650,39600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 260,0 +shape (Rectangle +uid 261,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,36000,42000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 262,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,41500,32200,41500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 263,0 +shape (Rectangle +uid 264,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,36000,43000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 265,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,42500,32200,42500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 266,0 +shape (Rectangle +uid 267,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,41000,53000,42000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 268,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,41500,36200,41500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 238,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,38000,73000,43000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *86 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 136,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-6000,5400,-5000" +st "Package List" +blo "0,-5200" +) +*88 (MLText +uid 137,0 +va (VaSet +) +xt "0,-5000,17500,1000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "180,38,1431,889" +viewArea "-1067,-7041,74603,44945" +cachedDiagramExtent "0,-6000,73000,43000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-6000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "950,1000,3750,2000" +st "Panel0" +blo "950,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *89 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *90 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,2200,5400,3200" +st "Declarations" +blo "0,3000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,3200,2700,4200" +st "Ports:" +blo "0,4000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9600,2500,10500" +st "User:" +blo "0,10300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,2200,5800,3200" +st "Internal User:" +blo "0,3000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10500,2000,10500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "56000,2200,56000,2200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 397,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/mux4to1@u@logic@vector/symbol.sb b/Libs/Gates/hds/mux4to1@u@logic@vector/symbol.sb new file mode 100644 index 0000000..f19b9c7 --- /dev/null +++ b/Libs/Gates/hds/mux4to1@u@logic@vector/symbol.sb @@ -0,0 +1,1722 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 205,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +uid 206,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 207,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in0" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 208,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +uid 209,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 2005,0 +) +) +uid 302,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 2006,0 +) +) +uid 304,0 +) +] +) +pdm (PhysicalDM +uid 210,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 145,0 +optionalChildren [ +*22 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 148,0 +) +*23 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 150,0 +) +*24 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 152,0 +) +*25 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 171,0 +) +*26 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 172,0 +) +*27 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 173,0 +) +*28 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 174,0 +) +*29 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 303,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 305,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 146,0 +optionalChildren [ +*31 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 154,0 +) +*32 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 158,0 +) +*33 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 160,0 +) +*34 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 162,0 +) +*35 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 164,0 +) +*36 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 166,0 +) +*37 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 168,0 +) +*38 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 170,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 204,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 212,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 200,0 +) +*52 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 201,0 +) +] +) +pdm (PhysicalDM +uid 213,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &39 +pos 2 +dimension 20 +) +uid 176,0 +optionalChildren [ +*55 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 179,0 +) +*56 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 181,0 +) +*57 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +*58 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 202,0 +) +*59 (MRCItem +litem &52 +pos 1 +dimension 20 +uid 203,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 177,0 +optionalChildren [ +*60 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 185,0 +) +*61 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 189,0 +) +*62 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 191,0 +) +*63 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 193,0 +) +*64 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 195,0 +) +*65 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 197,0 +) +*66 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 199,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 175,0 +vaOverrides [ +] +) +] +) +uid 211,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@u@logic@vector\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@u@logic@vector\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@u@logic@vector" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1ULogicVector" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "mux4to1ULogicVector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:11" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "mux4to1ULogicVector" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@u@logic@vector\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1ULogicVector\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:11" +) +(vvPair +variable "unit" +value "mux4to1ULogicVector" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*67 (SymbolBody +uid 11,0 +optionalChildren [ +*68 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,5625,38000,6375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "38000,5500,40300,6700" +st "in0" +blo "38000,6500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,4200,27900,5200" +st "in0 : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in0" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*69 (CptPort +uid 75,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 76,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,11625,45750,12375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 78,0 +va (VaSet +) +xt "40300,11500,45000,12700" +st "muxOut" +ju 2 +blo "45000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8700,28800,9700" +st "muxOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +) +*70 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,19143,41375,19893" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +ro 270 +va (VaSet +) +xt "40500,17410,41500,18810" +st "sel" +blo "41300,18810" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,7800,19600,8800" +st "sel : IN unsigned (1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +) +*71 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,9625,38000,10375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +) +xt "38000,9500,40300,10700" +st "in1" +blo "38000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,5100,27900,6100" +st "in1 : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*72 (CptPort +uid 292,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 293,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,13625,38000,14375" +) +tg (CPTG +uid 294,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 295,0 +va (VaSet +) +xt "39000,13500,41300,14700" +st "in2" +blo "39000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 296,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,6000,27900,7000" +st "in2 : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 2005,0 +) +) +) +*73 (CptPort +uid 297,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 298,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,17625,38000,18375" +) +tg (CPTG +uid 299,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 300,0 +va (VaSet +) +xt "39000,17500,41300,18700" +st "in3" +blo "39000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 301,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,6900,27900,7900" +st "in3 : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 2006,0 +) +) +) +] +shape (Mux +uid 87,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,2000,45000,22000" +) +showPorts 0 +oxt "12000,13000,18000,26000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "42600,17700,45700,18700" +st "gates" +blo "42600,18500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "42600,18700,54100,19700" +st "mux4to1ULogicVector" +blo "42600,19500" +) +) +gi *74 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "43000,20800,56200,24800" +st "Generic Declarations + +dataBitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*75 (Grouping +uid 237,0 +optionalChildren [ +*76 (CommentText +uid 239,0 +shape (Rectangle +uid 240,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,42000,53000,43000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 241,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,42500,36200,42500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 242,0 +shape (Rectangle +uid 243,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,38000,57000,39000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 244,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,38500,53200,38500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 245,0 +shape (Rectangle +uid 246,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,40000,53000,41000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 247,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,40500,36200,40500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 248,0 +shape (Rectangle +uid 249,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,40000,36000,41000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 250,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,40500,32200,40500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 251,0 +shape (Rectangle +uid 252,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,39000,73000,43000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 253,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,39200,67300,40400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 254,0 +shape (Rectangle +uid 255,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,38000,73000,39000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 256,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,38500,57200,38500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 257,0 +shape (Rectangle +uid 258,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,38000,53000,40000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 259,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,38400,47650,39600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 260,0 +shape (Rectangle +uid 261,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,36000,42000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 262,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,41500,32200,41500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 263,0 +shape (Rectangle +uid 264,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,36000,43000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 265,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,42500,32200,42500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 266,0 +shape (Rectangle +uid 267,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,41000,53000,42000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 268,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,41500,36200,41500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 238,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,38000,73000,43000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *86 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 136,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-6000,5400,-5000" +st "Package List" +blo "0,-5200" +) +*88 (MLText +uid 137,0 +va (VaSet +) +xt "0,-5000,17500,1000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "227,88,1427,900" +viewArea "-1000,-7000,74537,44590" +cachedDiagramExtent "0,-6000,73000,43000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-6000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "950,1000,3750,2000" +st "Panel0" +blo "950,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *89 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *90 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,2200,5400,3200" +st "Declarations" +blo "0,3000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,3200,2700,4200" +st "Ports:" +blo "0,4000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9600,2500,10500" +st "User:" +blo "0,10300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,2200,5800,3200" +st "Internal User:" +blo "0,3000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10500,2000,10500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "56000,2200,56000,2200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 420,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/mux4to1@unsigned/symbol.sb b/Libs/Gates/hds/mux4to1@unsigned/symbol.sb new file mode 100644 index 0000000..cd6a17d --- /dev/null +++ b/Libs/Gates/hds/mux4to1@unsigned/symbol.sb @@ -0,0 +1,1722 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 205,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +uid 206,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 207,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in0" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 208,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +uid 209,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 2005,0 +) +) +uid 302,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 2006,0 +) +) +uid 304,0 +) +] +) +pdm (PhysicalDM +uid 210,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 145,0 +optionalChildren [ +*22 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 148,0 +) +*23 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 150,0 +) +*24 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 152,0 +) +*25 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 171,0 +) +*26 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 172,0 +) +*27 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 173,0 +) +*28 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 174,0 +) +*29 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 303,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 305,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 146,0 +optionalChildren [ +*31 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 154,0 +) +*32 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 158,0 +) +*33 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 160,0 +) +*34 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 162,0 +) +*35 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 164,0 +) +*36 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 166,0 +) +*37 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 168,0 +) +*38 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 170,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 204,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 212,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 200,0 +) +*52 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 201,0 +) +] +) +pdm (PhysicalDM +uid 213,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *54 (MRCItem +litem &39 +pos 2 +dimension 20 +) +uid 176,0 +optionalChildren [ +*55 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 179,0 +) +*56 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 181,0 +) +*57 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +*58 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 202,0 +) +*59 (MRCItem +litem &52 +pos 1 +dimension 20 +uid 203,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 177,0 +optionalChildren [ +*60 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 185,0 +) +*61 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 189,0 +) +*62 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 191,0 +) +*63 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 193,0 +) +*64 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 195,0 +) +*65 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 197,0 +) +*66 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 199,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 175,0 +vaOverrides [ +] +) +] +) +uid 211,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@unsigned\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@unsigned\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@unsigned" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1Unsigned" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "mux4to1Unsigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:11" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "mux4to1Unsigned" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1@unsigned\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\mux4to1Unsigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:11" +) +(vvPair +variable "unit" +value "mux4to1Unsigned" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*67 (SymbolBody +uid 11,0 +optionalChildren [ +*68 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,5625,38000,6375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "38000,5500,40300,6700" +st "in0" +blo "38000,6500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,4200,24500,5200" +st "in0 : IN unsigned (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in0" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*69 (CptPort +uid 75,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 76,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,11625,45750,12375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 78,0 +va (VaSet +) +xt "40300,11500,45000,12700" +st "muxOut" +ju 2 +blo "45000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8700,25400,9700" +st "muxOut : OUT unsigned (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "muxOut" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +) +*70 (CptPort +uid 88,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 89,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,19143,41375,19893" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 91,0 +ro 270 +va (VaSet +) +xt "40500,17410,41500,18810" +st "sel" +blo "41300,18810" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,7800,19600,8800" +st "sel : IN unsigned (1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "sel" +t "unsigned" +b "(1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +) +*71 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,9625,38000,10375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +) +xt "38000,9500,40300,10700" +st "in1" +blo "38000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,5100,24500,6100" +st "in1 : IN unsigned (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*72 (CptPort +uid 292,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 293,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,13625,38000,14375" +) +tg (CPTG +uid 294,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 295,0 +va (VaSet +) +xt "39000,13500,41300,14700" +st "in2" +blo "39000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 296,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,6000,24500,7000" +st "in2 : IN unsigned (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 2005,0 +) +) +) +*73 (CptPort +uid 297,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 298,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,17625,38000,18375" +) +tg (CPTG +uid 299,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 300,0 +va (VaSet +) +xt "39000,17500,41300,18700" +st "in3" +blo "39000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 301,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,6900,24500,7900" +st "in3 : IN unsigned (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 2006,0 +) +) +) +] +shape (Mux +uid 87,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,2000,45000,22000" +) +showPorts 0 +oxt "12000,13000,18000,26000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "42600,17700,45700,18700" +st "gates" +blo "42600,18500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "42600,18700,52300,19700" +st "mux4to1Unsigned" +blo "42600,19500" +) +) +gi *74 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "43000,20800,56200,24800" +st "Generic Declarations + +dataBitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*75 (Grouping +uid 237,0 +optionalChildren [ +*76 (CommentText +uid 239,0 +shape (Rectangle +uid 240,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,42000,53000,43000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 241,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,42500,36200,42500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 242,0 +shape (Rectangle +uid 243,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,38000,57000,39000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 244,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,38500,53200,38500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 245,0 +shape (Rectangle +uid 246,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,40000,53000,41000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 247,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,40500,36200,40500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 248,0 +shape (Rectangle +uid 249,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,40000,36000,41000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 250,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,40500,32200,40500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 251,0 +shape (Rectangle +uid 252,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,39000,73000,43000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 253,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,39200,67300,40400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 254,0 +shape (Rectangle +uid 255,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,38000,73000,39000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 256,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,38500,57200,38500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 257,0 +shape (Rectangle +uid 258,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,38000,53000,40000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 259,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,38400,47650,39600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 260,0 +shape (Rectangle +uid 261,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,36000,42000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 262,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,41500,32200,41500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 263,0 +shape (Rectangle +uid 264,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,36000,43000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 265,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,42500,32200,42500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 266,0 +shape (Rectangle +uid 267,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,41000,53000,42000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 268,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,41500,36200,41500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 238,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,38000,73000,43000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *86 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 136,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-6000,5400,-5000" +st "Package List" +blo "0,-5200" +) +*88 (MLText +uid 137,0 +va (VaSet +) +xt "0,-5000,17500,1000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "218,58,1430,867" +viewArea "-1000,-7000,75461,44359" +cachedDiagramExtent "0,-6000,73000,43000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-6000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "950,1000,3750,2000" +st "Panel0" +blo "950,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *89 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *90 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,2200,5400,3200" +st "Declarations" +blo "0,3000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,3200,2700,4200" +st "Ports:" +blo "0,4000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9600,2500,10500" +st "User:" +blo "0,10300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,2200,5800,3200" +st "Internal User:" +blo "0,3000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10500,2000,10500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "56000,2200,56000,2200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 397,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/nand2/symbol.sb b/Libs/Gates/hds/nand2/symbol.sb new file mode 100644 index 0000000..a985cc8 --- /dev/null +++ b/Libs/Gates/hds/nand2/symbol.sb @@ -0,0 +1,1487 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 153,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 154,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 155,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 156,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 157,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 96,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 99,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 101,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 103,0 +) +*22 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 122,0 +) +*23 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 123,0 +) +*24 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 124,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 97,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 105,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 109,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 111,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 113,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 115,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 117,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 119,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 121,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 152,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 159,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 150,0 +) +] +) +pdm (PhysicalDM +uid 160,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 126,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 129,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 131,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 133,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 151,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 127,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 135,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 139,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 141,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 143,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 145,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 147,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 149,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 125,0 +vaOverrides [ +] +) +] +) +uid 158,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nand2\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nand2\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nand2" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nand2" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "nand2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:10" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "nand2" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nand2\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nand2\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:10" +) +(vvPair +variable "unit" +value "nand2" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,16625,31000,17375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "31000,16400,32400,17400" +st "in1" +blo "31000,17200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 84,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8800,13600,9800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,20625,31000,21375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "31000,20400,32400,21400" +st "in2" +blo "31000,21200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9700,13600,10700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*62 (CptPort +uid 69,0 +optionalChildren [ +*63 (Circle +uid 92,0 +va (VaSet +fg "0,65535,0" +) +xt "37950,18625,38700,19375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38700,18625,39450,19375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "35950,18350,37750,19350" +st "out1" +ju 2 +blo "37750,19150" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 86,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10600,13700,11600" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "31000,16000,38000,22000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "31600,21700,34700,22700" +st "gates" +blo "31600,22500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "31600,22700,35100,23700" +st "nand2" +blo "31600,23500" +) +) +gi *64 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "31000,24600,41800,27600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*65 (Grouping +uid 184,0 +optionalChildren [ +*66 (CommentText +uid 186,0 +shape (Rectangle +uid 187,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 188,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,57000,44000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,43500,53200,43500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 197,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,73000,48000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 200,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44200,67300,45400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,43000,73000,44000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,43500,57200,43500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,53000,45000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 206,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,43400,47650,44600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 207,0 +shape (Rectangle +uid 208,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 209,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 210,0 +shape (Rectangle +uid 211,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 212,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 213,0 +shape (Rectangle +uid 214,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 215,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 185,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,43000,73000,48000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *76 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 93,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-500,5400,500" +st "Package List" +blo "0,300" +) +*78 (MLText +uid 94,0 +va (VaSet +) +xt "0,500,17500,5300" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,30,1398,952" +viewArea "-1100,-1600,74356,50112" +cachedDiagramExtent "0,-500,73000,48000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-1000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *79 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *80 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6800,5400,7800" +st "Declarations" +blo "0,7600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,2700,8800" +st "Ports:" +blo "0,8600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11500,2500,12400" +st "User:" +blo "0,12200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,6800,5800,7800" +st "Internal User:" +blo "0,7600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12400,2000,12400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "20000,6800,20000,6800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 284,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/nor2/symbol.sb b/Libs/Gates/hds/nor2/symbol.sb new file mode 100644 index 0000000..b8b53ab --- /dev/null +++ b/Libs/Gates/hds/nor2/symbol.sb @@ -0,0 +1,1489 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 150,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 151,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 152,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 153,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 154,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 93,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 96,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 98,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 100,0 +) +*22 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 119,0 +) +*23 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 120,0 +) +*24 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 121,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 94,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 102,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 106,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 108,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 110,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 112,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 114,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 116,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 118,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 92,0 +vaOverrides [ +] +) +] +) +uid 149,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 156,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 147,0 +) +] +) +pdm (PhysicalDM +uid 157,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 123,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 126,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 128,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 130,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 148,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 124,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 132,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 136,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 138,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 140,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 142,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 144,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 146,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 122,0 +vaOverrides [ +] +) +] +) +uid 155,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "nor2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:10" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "nor2" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:10" +) +(vvPair +variable "unit" +value "nor2" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 75,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33585,15625,34335,16375" +) +tg (CPTG +uid 86,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "34557,15250,35957,16250" +st "in1" +blo "34557,16050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8800,13600,9800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33584,19625,34334,20375" +) +tg (CPTG +uid 87,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "34557,19250,35957,20250" +st "in2" +blo "34557,20050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 81,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9700,13600,10700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*62 (CptPort +uid 63,0 +optionalChildren [ +*63 (Circle +uid 91,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "41000,17625,41750,18375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41750,17625,42500,18375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "39250,17500,41050,18500" +st "out1" +ju 2 +blo "41050,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10600,13700,11600" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (Or +uid 158,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,15000,41000,21000" +) +showPorts 0 +oxt "16000,14000,27000,22000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,20700,37700,21700" +st "gates" +blo "34600,21500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,21700,37400,22700" +st "nor2" +blo "34600,22500" +) +) +gi *64 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,23600,44800,26600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*65 (Grouping +uid 182,0 +optionalChildren [ +*66 (CommentText +uid 184,0 +shape (Rectangle +uid 185,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 186,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 187,0 +shape (Rectangle +uid 188,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 189,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 190,0 +shape (Rectangle +uid 191,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 192,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 204,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 207,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 213,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 183,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *76 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 89,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*78 (MLText +uid 90,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "4,29,1376,960" +viewArea "-1100,-1100,74998,52822" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *79 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *80 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6800,5400,7800" +st "Declarations" +blo "0,7600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,2700,8800" +st "Ports:" +blo "0,8600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11500,2500,12400" +st "User:" +blo "0,12200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,6800,5800,7800" +st "Internal User:" +blo "0,7600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12400,2000,12400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "16000,6800,16000,6800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 282,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/nor2_m/symbol.sb b/Libs/Gates/hds/nor2_m/symbol.sb new file mode 100644 index 0000000..17c7715 --- /dev/null +++ b/Libs/Gates/hds/nor2_m/symbol.sb @@ -0,0 +1,1496 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 149,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 150,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 151,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 152,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 153,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 92,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 95,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 97,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*22 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 118,0 +) +*23 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 119,0 +) +*24 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 120,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 93,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 101,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 105,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 107,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 109,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 111,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 113,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 115,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 117,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 91,0 +vaOverrides [ +] +) +] +) +uid 148,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 155,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 146,0 +) +] +) +pdm (PhysicalDM +uid 156,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 122,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 125,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 127,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 129,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 147,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 123,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 131,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 135,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 137,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 139,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 141,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 143,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 145,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 121,0 +vaOverrides [ +] +) +] +) +uid 154,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2_m\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2_m\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2_m" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2_m" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "nor2_m" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:10" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "nor2_m" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2_m\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\nor2_m\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:10" +) +(vvPair +variable "unit" +value "nor2_m" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 75,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +optionalChildren [ +*61 (Circle +uid 77,0 +va (VaSet +fg "0,65535,0" +) +xt "32250,14625,33000,15375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,14625,32250,15375" +) +tg (CPTG +uid 86,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "33472,14250,34872,15250" +st "in1" +blo "33472,15050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8800,13600,9800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*62 (CptPort +uid 57,0 +optionalChildren [ +*63 (Circle +uid 78,0 +va (VaSet +fg "0,65535,0" +) +xt "32250,18625,33000,19375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,18625,32250,19375" +) +tg (CPTG +uid 87,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "33473,18250,34873,19250" +st "in2" +blo "33473,19050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 81,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9700,13600,10700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39950,16625,40700,17375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "38200,16500,40000,17500" +st "out1" +ju 2 +blo "40000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10600,13700,11600" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (And +uid 85,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,14000,40000,20000" +) +showPorts 0 +oxt "16000,14000,27000,22000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,19700,36700,20700" +st "gates" +blo "33600,20500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,20700,37800,21700" +st "nor2_m" +blo "33600,21500" +) +) +gi *65 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,22600,43800,25600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*66 (Grouping +uid 180,0 +optionalChildren [ +*67 (CommentText +uid 182,0 +shape (Rectangle +uid 183,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 184,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 185,0 +shape (Rectangle +uid 186,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 187,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 188,0 +shape (Rectangle +uid 189,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 190,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 191,0 +shape (Rectangle +uid 192,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 193,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 194,0 +shape (Rectangle +uid 195,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 196,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 197,0 +shape (Rectangle +uid 198,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 199,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 200,0 +shape (Rectangle +uid 201,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 202,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 203,0 +shape (Rectangle +uid 204,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 205,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 206,0 +shape (Rectangle +uid 207,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 208,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 209,0 +shape (Rectangle +uid 210,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 211,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 181,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *77 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 89,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*79 (MLText +uid 90,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "-2,32,1393,943" +viewArea "-1061,-1061,74617,48426" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *80 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *81 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6800,5400,7800" +st "Declarations" +blo "0,7600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,2700,8800" +st "Ports:" +blo "0,8600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11500,2500,12400" +st "User:" +blo "0,12200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,6800,5800,7800" +st "Internal User:" +blo "0,7600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12400,2000,12400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "16000,6800,16000,6800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 257,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/ones@signed/symbol.sb b/Libs/Gates/hds/ones@signed/symbol.sb new file mode 100644 index 0000000..b83619c --- /dev/null +++ b/Libs/Gates/hds/ones@signed/symbol.sb @@ -0,0 +1,1388 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ones" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 100,0 +) +*3 (RefLabelRowHdr +) +*4 (TitleRowHdr +) +*5 (FilterRowHdr +) +*6 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*7 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*8 (GroupColHdr +tm "GroupColHdrMgr" +) +*9 (NameColHdr +tm "NameColHdrMgr" +) +*10 (ModeColHdr +tm "ModeColHdrMgr" +) +*11 (TypeColHdr +tm "TypeColHdrMgr" +) +*12 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*13 (InitColHdr +tm "InitColHdrMgr" +) +*14 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*15 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *16 (MRCItem +litem &1 +pos 1 +dimension 20 +) +uid 43,0 +optionalChildren [ +*17 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 46,0 +) +*18 (MRCItem +litem &4 +pos 1 +dimension 23 +uid 48,0 +) +*19 (MRCItem +litem &5 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*21 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 52,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 50 +uid 56,0 +) +*23 (MRCItem +litem &9 +pos 2 +dimension 100 +uid 58,0 +) +*24 (MRCItem +litem &10 +pos 3 +dimension 50 +uid 60,0 +) +*25 (MRCItem +litem &11 +pos 4 +dimension 100 +uid 62,0 +) +*26 (MRCItem +litem &12 +pos 5 +dimension 100 +uid 64,0 +) +*27 (MRCItem +litem &13 +pos 6 +dimension 50 +uid 66,0 +) +*28 (MRCItem +litem &14 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *29 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*30 (RefLabelRowHdr +) +*31 (TitleRowHdr +) +*32 (FilterRowHdr +) +*33 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*34 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*35 (GroupColHdr +tm "GroupColHdrMgr" +) +*36 (NameColHdr +tm "GenericNameColHdrMgr" +) +*37 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*38 (InitColHdr +tm "GenericValueColHdrMgr" +) +*39 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*40 (EolColHdr +tm "GenericEolColHdrMgr" +) +*41 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 279,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*42 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *43 (MRCItem +litem &29 +pos 1 +dimension 20 +) +uid 72,0 +optionalChildren [ +*44 (MRCItem +litem &30 +pos 0 +dimension 20 +uid 75,0 +) +*45 (MRCItem +litem &31 +pos 1 +dimension 23 +uid 77,0 +) +*46 (MRCItem +litem &32 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*47 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 280,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*48 (MRCItem +litem &33 +pos 0 +dimension 20 +uid 81,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 50 +uid 85,0 +) +*50 (MRCItem +litem &36 +pos 2 +dimension 100 +uid 87,0 +) +*51 (MRCItem +litem &37 +pos 3 +dimension 100 +uid 89,0 +) +*52 (MRCItem +litem &38 +pos 4 +dimension 50 +uid 91,0 +) +*53 (MRCItem +litem &39 +pos 5 +dimension 50 +uid 93,0 +) +*54 (MRCItem +litem &40 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\ones@signed\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\ones@signed\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\ones@signed" +) +(vvPair +variable "d_logical" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\onesSigned" +) +(vvPair +variable "date" +value "12/ 9/2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "09" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "onesSigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "zas" +) +(vvPair +variable "graphical_source_date" +value "12/ 9/2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "ZELL" +) +(vvPair +variable "graphical_source_time" +value "15:02:18" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "ZELL" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "onesSigned" +) +(vvPair +variable "month" +value "Dec" +) +(vvPair +variable "month_long" +value "December" +) +(vvPair +variable "p" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\ones@signed\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\onesSigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "15:02:18" +) +(vvPair +variable "unit" +value "onesSigned" +) +(vvPair +variable "user" +value "zas" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39625,20000,40375,20750" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +) +xt "41000,18800,54600,20000" +st "ones : (dataBitNb-1:0)" +blo "41000,19800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,23100,10800" +st "ones : OUT signed (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ones" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +] +shape (Pu +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "37000,14000,42000,20000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,17700,37010,18700" +st "gates" +blo "33910,18500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,18700,40510,19700" +st "onesSigned" +blo "33910,19500" +) +) +gi *57 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "41000,20000,51200,23000" +st "Generic Declarations + +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*58 (Grouping +uid 175,0 +optionalChildren [ +*59 (CommentText +uid 177,0 +shape (Rectangle +uid 178,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 179,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 180,0 +shape (Rectangle +uid 181,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 182,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 183,0 +shape (Rectangle +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 185,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 186,0 +shape (Rectangle +uid 187,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 188,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*64 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 197,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 200,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 206,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 176,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *69 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*71 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,17500,7000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.NUMERIC_STD.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "761,40,1543,871" +viewArea "-1100,-1100,50876,48321" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *72 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *73 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,10700,2500,11600" +st "User:" +blo "0,11400" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,2000,11600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 441,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/ones@unsigned/symbol.sb b/Libs/Gates/hds/ones@unsigned/symbol.sb new file mode 100644 index 0000000..9e04c7d --- /dev/null +++ b/Libs/Gates/hds/ones@unsigned/symbol.sb @@ -0,0 +1,1388 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ones" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 100,0 +) +*3 (RefLabelRowHdr +) +*4 (TitleRowHdr +) +*5 (FilterRowHdr +) +*6 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*7 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*8 (GroupColHdr +tm "GroupColHdrMgr" +) +*9 (NameColHdr +tm "NameColHdrMgr" +) +*10 (ModeColHdr +tm "ModeColHdrMgr" +) +*11 (TypeColHdr +tm "TypeColHdrMgr" +) +*12 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*13 (InitColHdr +tm "InitColHdrMgr" +) +*14 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*15 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *16 (MRCItem +litem &1 +pos 1 +dimension 20 +) +uid 43,0 +optionalChildren [ +*17 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 46,0 +) +*18 (MRCItem +litem &4 +pos 1 +dimension 23 +uid 48,0 +) +*19 (MRCItem +litem &5 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*21 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 52,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 50 +uid 56,0 +) +*23 (MRCItem +litem &9 +pos 2 +dimension 100 +uid 58,0 +) +*24 (MRCItem +litem &10 +pos 3 +dimension 50 +uid 60,0 +) +*25 (MRCItem +litem &11 +pos 4 +dimension 100 +uid 62,0 +) +*26 (MRCItem +litem &12 +pos 5 +dimension 100 +uid 64,0 +) +*27 (MRCItem +litem &13 +pos 6 +dimension 50 +uid 66,0 +) +*28 (MRCItem +litem &14 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *29 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*30 (RefLabelRowHdr +) +*31 (TitleRowHdr +) +*32 (FilterRowHdr +) +*33 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*34 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*35 (GroupColHdr +tm "GroupColHdrMgr" +) +*36 (NameColHdr +tm "GenericNameColHdrMgr" +) +*37 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*38 (InitColHdr +tm "GenericValueColHdrMgr" +) +*39 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*40 (EolColHdr +tm "GenericEolColHdrMgr" +) +*41 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 279,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*42 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *43 (MRCItem +litem &29 +pos 1 +dimension 20 +) +uid 72,0 +optionalChildren [ +*44 (MRCItem +litem &30 +pos 0 +dimension 20 +uid 75,0 +) +*45 (MRCItem +litem &31 +pos 1 +dimension 23 +uid 77,0 +) +*46 (MRCItem +litem &32 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*47 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 280,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*48 (MRCItem +litem &33 +pos 0 +dimension 20 +uid 81,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 50 +uid 85,0 +) +*50 (MRCItem +litem &36 +pos 2 +dimension 100 +uid 87,0 +) +*51 (MRCItem +litem &37 +pos 3 +dimension 100 +uid 89,0 +) +*52 (MRCItem +litem &38 +pos 4 +dimension 50 +uid 91,0 +) +*53 (MRCItem +litem &39 +pos 5 +dimension 50 +uid 93,0 +) +*54 (MRCItem +litem &40 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\ones@unsigned\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\ones@unsigned\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\ones@unsigned" +) +(vvPair +variable "d_logical" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\onesUnsigned" +) +(vvPair +variable "date" +value "12/ 9/2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "09" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "onesUnsigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "zas" +) +(vvPair +variable "graphical_source_date" +value "12/ 9/2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "ZELL" +) +(vvPair +variable "graphical_source_time" +value "15:02:45" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "ZELL" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "onesUnsigned" +) +(vvPair +variable "month" +value "Dec" +) +(vvPair +variable "month_long" +value "December" +) +(vvPair +variable "p" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\ones@unsigned\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\repo\\edu\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\onesUnsigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "15:02:45" +) +(vvPair +variable "unit" +value "onesUnsigned" +) +(vvPair +variable "user" +value "zas" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,21000,37375,21750" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +) +xt "38000,18800,51600,20000" +st "ones : (dataBitNb-1:0)" +blo "38000,19800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,24100,10800" +st "ones : OUT unsigned (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ones" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +] +shape (Pu +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,15000,39000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "29910,18700,33010,19700" +st "gates" +blo "29910,19500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "29910,19700,37710,20700" +st "onesUnsigned" +blo "29910,20500" +) +) +gi *57 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "38000,20000,48200,23000" +st "Generic Declarations + +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*58 (Grouping +uid 175,0 +optionalChildren [ +*59 (CommentText +uid 177,0 +shape (Rectangle +uid 178,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 179,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 180,0 +shape (Rectangle +uid 181,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 182,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 183,0 +shape (Rectangle +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 185,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 186,0 +shape (Rectangle +uid 187,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 188,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*64 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 197,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 200,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 206,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 176,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *69 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*71 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,17500,7000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.NUMERIC_STD.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "176,31,1424,864" +viewArea "-1100,-1100,83716,49660" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *72 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *73 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,10700,2500,11600" +st "User:" +blo "0,11400" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,2000,11600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 418,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/or2/symbol.sb b/Libs/Gates/hds/or2/symbol.sb new file mode 100644 index 0000000..99f5f55 --- /dev/null +++ b/Libs/Gates/hds/or2/symbol.sb @@ -0,0 +1,1481 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 145,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 146,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 147,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 148,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 149,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 88,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 91,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 93,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 95,0 +) +*22 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 114,0 +) +*23 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 115,0 +) +*24 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 116,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 89,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 97,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 101,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 103,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 105,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 107,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 109,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 111,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 113,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 87,0 +vaOverrides [ +] +) +] +) +uid 144,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 142,0 +) +] +) +pdm (PhysicalDM +uid 152,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 118,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 121,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 123,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 125,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 143,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 119,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 127,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 131,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 133,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 135,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 137,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 139,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 141,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 117,0 +vaOverrides [ +] +) +] +) +uid 150,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "or2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:09" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "or2" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:09" +) +(vvPair +variable "unit" +value "or2" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 75,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34585,14625,35335,15375" +) +tg (CPTG +uid 82,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "35557,14250,36957,15250" +st "in1" +blo "35557,15050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 77,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14000,13600,15000" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34584,18625,35334,19375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "35557,18250,36957,19250" +st "in2" +blo "35557,19050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 78,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14900,13600,15900" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*62 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,16625,42750,17375" +) +tg (CPTG +uid 84,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "39200,16250,41000,17250" +st "out1" +ju 2 +blo "41000,17050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 79,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15800,13700,16800" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (Or +uid 76,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,14000,42000,20000" +) +showPorts 0 +oxt "16000,14000,27000,22000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,19700,38700,20700" +st "gates" +blo "35600,20500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,20700,37800,21700" +st "or2" +blo "35600,21500" +) +) +gi *63 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,22600,45800,25600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*64 (Grouping +uid 176,0 +optionalChildren [ +*65 (CommentText +uid 178,0 +shape (Rectangle +uid 179,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 180,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 181,0 +shape (Rectangle +uid 182,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 183,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 184,0 +shape (Rectangle +uid 185,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 186,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 187,0 +shape (Rectangle +uid 188,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 189,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 190,0 +shape (Rectangle +uid 191,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 192,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 198,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 207,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 177,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *75 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +uid 85,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*77 (MLText +uid 86,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "31,36,1346,881" +viewArea "-1081,-1081,76059,50369" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *78 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *79 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,12000,5400,13000" +st "Declarations" +blo "0,12800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,13000,2700,14000" +st "Ports:" +blo "0,13800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,16700,2500,17600" +st "User:" +blo "0,17400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,12000,5800,13000" +st "Internal User:" +blo "0,12800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "16000,12000,16000,12000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 299,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/or2_m/symbol.sb b/Libs/Gates/hds/or2_m/symbol.sb new file mode 100644 index 0000000..916dbaf --- /dev/null +++ b/Libs/Gates/hds/or2_m/symbol.sb @@ -0,0 +1,1506 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 149,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 150,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 151,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 152,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 153,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 92,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 95,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 97,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*22 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 118,0 +) +*23 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 119,0 +) +*24 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 120,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 93,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 101,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 105,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 107,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 109,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 111,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 113,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 115,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 117,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 91,0 +vaOverrides [ +] +) +] +) +uid 148,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 155,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 146,0 +) +] +) +pdm (PhysicalDM +uid 156,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 122,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 125,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 127,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 129,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 147,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 123,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 131,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 135,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 137,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 139,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 141,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 143,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 145,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 121,0 +vaOverrides [ +] +) +] +) +uid 154,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2_m\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2_m\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2_m" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2_m" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "or2_m" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:09" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "or2_m" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2_m\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2_m\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:09" +) +(vvPair +variable "unit" +value "or2_m" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 75,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +optionalChildren [ +*61 (Circle +uid 77,0 +va (VaSet +fg "0,65535,0" +) +xt "31250,12625,32000,13375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30500,12625,31250,13375" +) +tg (CPTG +uid 86,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "32472,12250,33872,13250" +st "in1" +blo "32472,13050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8800,13600,9800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*62 (CptPort +uid 57,0 +optionalChildren [ +*63 (Circle +uid 78,0 +va (VaSet +fg "0,65535,0" +) +xt "31250,16625,32000,17375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30500,16625,31250,17375" +) +tg (CPTG +uid 87,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "32473,16250,33873,17250" +st "in2" +blo "32473,17050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 81,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9700,13600,10700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 63,0 +optionalChildren [ +*65 (Circle +uid 79,0 +va (VaSet +fg "0,65535,0" +) +xt "38950,14625,39700,15375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39700,14625,40450,15375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "36950,14500,38750,15500" +st "out1" +ju 2 +blo "38750,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10600,13700,11600" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (And +uid 85,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,12000,39000,18000" +) +showPorts 0 +oxt "16000,14000,27000,22000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "32600,17700,35700,18700" +st "gates" +blo "32600,18500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "32600,18700,36200,19700" +st "or2_m" +blo "32600,19500" +) +) +gi *66 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "32000,20600,42800,23600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*67 (Grouping +uid 180,0 +optionalChildren [ +*68 (CommentText +uid 182,0 +shape (Rectangle +uid 183,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 184,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 185,0 +shape (Rectangle +uid 186,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 187,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 188,0 +shape (Rectangle +uid 189,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 190,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 191,0 +shape (Rectangle +uid 192,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 193,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 194,0 +shape (Rectangle +uid 195,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 196,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 197,0 +shape (Rectangle +uid 198,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 199,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 200,0 +shape (Rectangle +uid 201,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 202,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 203,0 +shape (Rectangle +uid 204,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 205,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 206,0 +shape (Rectangle +uid 207,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 208,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 209,0 +shape (Rectangle +uid 210,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 211,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 181,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *78 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 89,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*80 (MLText +uid 90,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "2,27,1378,912" +viewArea "-1100,-1100,76419,50557" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6800,5400,7800" +st "Declarations" +blo "0,7600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,2700,8800" +st "Ports:" +blo "0,8600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11500,2500,12400" +st "User:" +blo "0,12200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,6800,5800,7800" +st "Internal User:" +blo "0,7600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12400,2000,12400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "16000,6800,16000,6800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 280,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/or2inv1/symbol.sb b/Libs/Gates/hds/or2inv1/symbol.sb new file mode 100644 index 0000000..603378f --- /dev/null +++ b/Libs/Gates/hds/or2inv1/symbol.sb @@ -0,0 +1,1490 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 146,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 147,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 148,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 149,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 150,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 89,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 92,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 94,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 96,0 +) +*22 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 115,0 +) +*23 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 116,0 +) +*24 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 117,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 90,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 98,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 102,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 104,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 106,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 108,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 110,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 112,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 114,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 88,0 +vaOverrides [ +] +) +] +) +uid 145,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 152,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 143,0 +) +] +) +pdm (PhysicalDM +uid 153,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 119,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 122,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 124,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 126,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 144,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 120,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 128,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 132,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 134,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 136,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 138,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 140,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 118,0 +vaOverrides [ +] +) +] +) +uid 151,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv1\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv1\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv1" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv1" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "or2inv1" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:09" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "or2inv1" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv1\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv1\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:09" +) +(vvPair +variable "unit" +value "or2inv1" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 75,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +optionalChildren [ +*61 (Circle +uid 87,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "31585,13625,32335,14375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30835,13625,31585,14375" +) +tg (CPTG +uid 82,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "32557,13250,33957,14250" +st "in1" +blo "32557,14050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 77,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8700,13600,9700" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*62 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31584,17625,32334,18375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "32557,17250,33957,18250" +st "in2" +blo "32557,18050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 78,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9600,13600,10600" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*63 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,15625,39750,16375" +) +tg (CPTG +uid 84,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "36200,15250,38000,16250" +st "out1" +ju 2 +blo "38000,16050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 79,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10500,13700,11500" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (Or +uid 76,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,13000,39000,19000" +) +showPorts 0 +oxt "16000,14000,27000,22000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "32600,18700,35700,19700" +st "gates" +blo "32600,19500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "32600,19700,36800,20700" +st "or2inv1" +blo "32600,20500" +) +) +gi *64 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "32000,21600,42800,24600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*65 (Grouping +uid 177,0 +optionalChildren [ +*66 (CommentText +uid 179,0 +shape (Rectangle +uid 180,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 181,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 182,0 +shape (Rectangle +uid 183,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 184,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 185,0 +shape (Rectangle +uid 186,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 187,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 188,0 +shape (Rectangle +uid 189,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 190,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 191,0 +shape (Rectangle +uid 192,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 193,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 194,0 +shape (Rectangle +uid 195,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 196,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 197,0 +shape (Rectangle +uid 198,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 199,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 200,0 +shape (Rectangle +uid 201,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 202,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 203,0 +shape (Rectangle +uid 204,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 205,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 206,0 +shape (Rectangle +uid 207,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 208,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 178,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *76 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 85,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*78 (MLText +uid 86,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,29,1394,972" +viewArea "-1100,-1100,74100,51956" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *79 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *80 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6700,5400,7700" +st "Declarations" +blo "0,7500" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7700,2700,8700" +st "Ports:" +blo "0,8500" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11400,2500,12300" +st "User:" +blo "0,12100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,6700,5800,7700" +st "Internal User:" +blo "0,7500" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12300,2000,12300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "16000,6700,16000,6700" +tm "SyDeclarativeTextMgr" +) +) +lastUid 277,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/or2inv2/symbol.sb b/Libs/Gates/hds/or2inv2/symbol.sb new file mode 100644 index 0000000..1143ac4 --- /dev/null +++ b/Libs/Gates/hds/or2inv2/symbol.sb @@ -0,0 +1,1503 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 147,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 148,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 149,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 150,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 151,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 90,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 93,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 95,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 97,0 +) +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 116,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 117,0 +) +*24 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 118,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 91,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 99,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 103,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 105,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 107,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 109,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 111,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 113,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 89,0 +vaOverrides [ +] +) +] +) +uid 146,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 153,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 144,0 +) +] +) +pdm (PhysicalDM +uid 154,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 120,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 123,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 125,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 145,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 129,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 133,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 135,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 137,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 139,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 141,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 143,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 119,0 +vaOverrides [ +] +) +] +) +uid 152,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv2\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv2\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv2" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv2" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "or2inv2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:08" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "or2inv2" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv2\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or2inv2\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:08" +) +(vvPair +variable "unit" +value "or2inv2" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 75,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +optionalChildren [ +*61 (Circle +uid 87,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "33585,19625,34335,20375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32835,19625,33585,20375" +) +tg (CPTG +uid 82,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "34557,19250,35957,20250" +st "in1" +blo "34557,20050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 77,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8700,13600,9700" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*62 (CptPort +uid 57,0 +optionalChildren [ +*63 (Circle +uid 88,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "33584,23625,34334,24375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32834,23625,33584,24375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "34557,23250,35957,24250" +st "in2" +blo "34557,24050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 78,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9600,13600,10600" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,21625,41750,22375" +) +tg (CPTG +uid 84,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "38200,21250,40000,22250" +st "out1" +ju 2 +blo "40000,22050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 79,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10500,13700,11500" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +] +shape (Or +uid 76,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,19000,41000,25000" +) +showPorts 0 +oxt "16000,14000,27000,22000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,24700,37700,25700" +st "gates" +blo "34600,25500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,25700,38800,26700" +st "or2inv2" +blo "34600,26500" +) +) +gi *65 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,27600,44800,30600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*66 (Grouping +uid 178,0 +optionalChildren [ +*67 (CommentText +uid 180,0 +shape (Rectangle +uid 181,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 182,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 183,0 +shape (Rectangle +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 185,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 186,0 +shape (Rectangle +uid 187,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 188,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 197,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 200,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 206,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 207,0 +shape (Rectangle +uid 208,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 209,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 179,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *77 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 85,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*79 (MLText +uid 86,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "1,41,1397,996" +viewArea "-1100,-1100,74228,52724" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *80 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *81 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6700,5400,7700" +st "Declarations" +blo "0,7500" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7700,2700,8700" +st "Ports:" +blo "0,8500" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11400,2500,12300" +st "User:" +blo "0,12100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,6700,5800,7700" +st "Internal User:" +blo "0,7500" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12300,2000,12300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "16000,6700,16000,6700" +tm "SyDeclarativeTextMgr" +) +) +lastUid 278,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/or3/symbol.sb b/Libs/Gates/hds/or3/symbol.sb new file mode 100644 index 0000000..8f75f5a --- /dev/null +++ b/Libs/Gates/hds/or3/symbol.sb @@ -0,0 +1,1541 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 153,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 154,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 155,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 156,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 157,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 158,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 95,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 98,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 100,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 102,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 121,0 +) +*24 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 122,0 +) +*25 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 123,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 124,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 104,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 108,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 110,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 112,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 114,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 116,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 118,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 120,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 94,0 +vaOverrides [ +] +) +] +) +uid 152,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 160,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 150,0 +) +] +) +pdm (PhysicalDM +uid 161,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 126,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 129,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 131,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 133,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 151,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 127,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 135,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 139,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 141,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 143,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 145,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 147,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 149,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 125,0 +vaOverrides [ +] +) +] +) +uid 159,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "or3" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:08" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "or3" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:08" +) +(vvPair +variable "unit" +value "or3" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30585,13625,31335,14375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "31335,13400,32735,14400" +st "in1" +blo "31335,14200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 81,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,13600,11800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30584,17625,31334,18375" +) +tg (CPTG +uid 89,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "31334,17400,32734,18400" +st "in3" +blo "31334,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,13600,13600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*64 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31027,15625,31777,16375" +) +tg (CPTG +uid 90,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "31777,15400,33177,16400" +st "in2" +blo "31777,16200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 83,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,13600,12700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*65 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38000,15625,38750,16375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "36200,15400,38000,16400" +st "out1" +ju 2 +blo "38000,16200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 84,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,13700,14500" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (Or +uid 87,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "31000,13000,38000,19000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "31600,18700,34700,19700" +st "gates" +blo "31600,19500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "31600,19700,33800,20700" +st "or3" +blo "31600,20500" +) +) +gi *66 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "31000,21600,41800,24600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*67 (Grouping +uid 185,0 +optionalChildren [ +*68 (CommentText +uid 187,0 +shape (Rectangle +uid 188,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 189,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 190,0 +shape (Rectangle +uid 191,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 192,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 207,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 213,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 186,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *78 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 92,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*80 (MLText +uid 93,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "61,35,1387,895" +viewArea "-1061,-1061,75406,50470" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,14400,2500,15300" +st "User:" +blo "0,15100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15300,2000,15300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "18000,8800,18000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 331,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/or3_m/symbol.sb b/Libs/Gates/hds/or3_m/symbol.sb new file mode 100644 index 0000000..ab25d56 --- /dev/null +++ b/Libs/Gates/hds/or3_m/symbol.sb @@ -0,0 +1,1593 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 159,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 160,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 161,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 162,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 163,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 100,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 103,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 105,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 107,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 126,0 +) +*24 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 127,0 +) +*25 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 128,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 129,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 101,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 109,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 113,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 115,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 117,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 119,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 121,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 123,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 125,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 99,0 +vaOverrides [ +] +) +] +) +uid 157,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 155,0 +) +] +) +pdm (PhysicalDM +uid 166,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 131,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 134,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 136,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 138,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 156,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 132,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 140,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 144,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 146,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 148,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 150,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 152,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 154,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 164,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3_m\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3_m\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3_m" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3_m" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "or3_m" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:08" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "or3_m" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3_m\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or3_m\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Kart\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:08" +) +(vvPair +variable "unit" +value "or3_m" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +optionalChildren [ +*63 (Circle +uid 82,0 +va (VaSet +fg "0,65535,0" +) +xt "34250,16625,35000,17375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33500,16625,34250,17375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "35250,16400,36650,17400" +st "in1" +blo "35250,17200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 86,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,13600,10800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*64 (CptPort +uid 57,0 +optionalChildren [ +*65 (Circle +uid 83,0 +va (VaSet +fg "0,65535,0" +) +xt "34250,20625,35000,21375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33500,20625,34250,21375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "35250,20400,36650,21400" +st "in3" +blo "35250,21200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,13600,12600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*66 (CptPort +uid 63,0 +optionalChildren [ +*67 (Circle +uid 84,0 +va (VaSet +fg "0,65535,0" +) +xt "34250,18625,35000,19375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33500,18625,34250,19375" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "35250,18400,36650,19400" +st "in2" +blo "35250,19200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 88,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,13600,11700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*68 (CptPort +uid 69,0 +optionalChildren [ +*69 (Circle +uid 85,0 +va (VaSet +fg "0,65535,0" +) +xt "41950,18625,42700,19375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42700,18625,43450,19375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "39950,18500,41750,19500" +st "out1" +ju 2 +blo "41750,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 89,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,13700,13500" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (And +uid 92,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,16000,42000,22000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,21700,38700,22700" +st "gates" +blo "35600,22500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,22700,39200,23700" +st "or3_m" +blo "35600,23500" +) +) +gi *70 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,24600,45800,27600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*71 (Grouping +uid 190,0 +optionalChildren [ +*72 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 197,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 200,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 206,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 207,0 +shape (Rectangle +uid 208,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 209,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 210,0 +shape (Rectangle +uid 211,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 212,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 213,0 +shape (Rectangle +uid 214,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 215,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 216,0 +shape (Rectangle +uid 217,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 218,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 219,0 +shape (Rectangle +uid 220,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 221,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 191,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *82 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 97,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*84 (MLText +uid 98,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,29,1281,935" +viewArea "-1100,-1100,75364,53908" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *85 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *86 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,13400,2500,14300" +st "User:" +blo "0,14100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14300,2000,14300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "18000,7800,18000,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 313,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/or4/symbol.sb b/Libs/Gates/hds/or4/symbol.sb new file mode 100644 index 0000000..fa60db8 --- /dev/null +++ b/Libs/Gates/hds/or4/symbol.sb @@ -0,0 +1,1602 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 172,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +uid 173,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 174,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 175,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +uid 176,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 177,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 178,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 113,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 116,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 118,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*24 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 139,0 +) +*25 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 140,0 +) +*26 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 141,0 +) +*27 (MRCItem +litem &5 +pos 4 +dimension 20 +uid 142,0 +) +*28 (MRCItem +litem &6 +pos 1 +dimension 20 +uid 143,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 122,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 126,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 128,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 130,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 132,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 134,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 136,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 138,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 112,0 +vaOverrides [ +] +) +] +) +uid 171,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 180,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 169,0 +) +] +) +pdm (PhysicalDM +uid 181,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 145,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 148,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 150,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 152,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 170,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 146,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 154,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 158,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 160,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 162,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 164,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 166,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 168,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 179,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "or4" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:07" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "or4" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:07" +) +(vvPair +variable "unit" +value "or4" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34585,16625,35335,17375" +) +tg (CPTG +uid 105,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "35335,16400,36735,17400" +st "in1" +blo "35335,17200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,13600,11800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34881,19625,35631,20375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "35631,19400,37031,20400" +st "in3" +blo "35631,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 99,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,13600,13600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*66 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34882,17625,35632,18375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "35632,17250,37032,18250" +st "in2" +blo "35632,18050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,13600,12700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*67 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,18625,42750,19375" +) +tg (CPTG +uid 108,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "40200,18500,42000,19500" +st "out1" +ju 2 +blo "42000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 101,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,13700,15400" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +) +*68 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34584,20625,35334,21375" +) +tg (CPTG +uid 109,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +) +xt "35334,20400,36734,21400" +st "in4" +blo "35334,21200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 102,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,13600,14500" +st "in4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +) +] +shape (Or +uid 92,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,16000,42000,22000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,21700,38700,22700" +st "gates" +blo "35600,22500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "35600,22700,37800,23700" +st "or4" +blo "35600,23500" +) +) +gi *69 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "35000,24600,45800,27600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*70 (Grouping +uid 205,0 +optionalChildren [ +*71 (CommentText +uid 207,0 +shape (Rectangle +uid 208,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 209,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 210,0 +shape (Rectangle +uid 211,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 212,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 213,0 +shape (Rectangle +uid 214,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 215,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 216,0 +shape (Rectangle +uid 217,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 218,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 219,0 +shape (Rectangle +uid 220,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 221,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 222,0 +shape (Rectangle +uid 223,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 224,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 225,0 +shape (Rectangle +uid 226,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 227,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 228,0 +shape (Rectangle +uid 229,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 230,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 231,0 +shape (Rectangle +uid 232,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 233,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 234,0 +shape (Rectangle +uid 235,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 236,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 206,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *81 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 110,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 111,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "53,41,1375,899" +viewArea "-1064,-1064,75330,50465" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15300,2500,16200" +st "User:" +blo "0,16000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16200,2000,16200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "24000,8800,24000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 351,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/or4_m/symbol.sb b/Libs/Gates/hds/or4_m/symbol.sb new file mode 100644 index 0000000..2b16a4b --- /dev/null +++ b/Libs/Gates/hds/or4_m/symbol.sb @@ -0,0 +1,1647 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 178,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 179,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 180,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 181,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +uid 182,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +uid 183,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 184,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 119,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 122,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 124,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 126,0 +) +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 145,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 146,0 +) +*26 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 147,0 +) +*27 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 148,0 +) +*28 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 149,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 120,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 128,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 132,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 134,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 136,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 138,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 140,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 142,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 144,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 118,0 +vaOverrides [ +] +) +] +) +uid 177,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 186,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 175,0 +) +] +) +pdm (PhysicalDM +uid 187,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 151,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 154,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 156,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 158,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 176,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 152,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 160,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 164,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 166,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 168,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 170,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 172,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 174,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 150,0 +vaOverrides [ +] +) +] +) +uid 185,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4_m\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4_m\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4_m" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4_m" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "or4_m" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:07" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "or4_m" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4_m\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or4_m\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:07" +) +(vvPair +variable "unit" +value "or4_m" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +optionalChildren [ +*65 (Circle +uid 98,0 +va (VaSet +fg "0,65535,0" +) +xt "32250,17625,33000,18375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,17625,32250,18375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "33250,17350,34650,18350" +st "in1" +blo "33250,18150" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,13600,11800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*66 (CptPort +uid 57,0 +optionalChildren [ +*67 (Circle +uid 100,0 +va (VaSet +fg "0,65535,0" +) +xt "32250,20625,33000,21375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,20625,32250,21375" +) +tg (CPTG +uid 112,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "33250,20400,34650,21400" +st "in3" +blo "33250,21200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 104,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,13600,13600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*68 (CptPort +uid 63,0 +optionalChildren [ +*69 (Circle +uid 101,0 +va (VaSet +fg "0,65535,0" +) +xt "32250,18625,33000,19375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,18625,32250,19375" +) +tg (CPTG +uid 113,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "33250,18250,34650,19250" +st "in2" +blo "33250,19050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 105,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,13600,12700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*70 (CptPort +uid 69,0 +optionalChildren [ +*71 (Circle +uid 102,0 +va (VaSet +fg "0,65535,0" +) +xt "39950,19625,40700,20375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40700,19625,41450,20375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "37900,19400,39700,20400" +st "out1" +ju 2 +blo "39700,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 106,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,13700,15400" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 5 +suid 4,0 +) +) +) +*72 (CptPort +uid 81,0 +optionalChildren [ +*73 (Circle +uid 99,0 +va (VaSet +fg "0,65535,0" +) +xt "32250,21625,33000,22375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,21625,32250,22375" +) +tg (CPTG +uid 115,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +) +xt "33250,21400,34650,22400" +st "in4" +blo "33250,22200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 107,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,13600,14500" +st "in4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +) +] +shape (And +uid 110,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,17000,40000,23000" +) +showPorts 0 +oxt "9000,12000,18000,20000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,22700,36700,23700" +st "gates" +blo "33600,23500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,23700,37200,24700" +st "or4_m" +blo "33600,24500" +) +) +gi *74 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,25600,43800,28600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*75 (Grouping +uid 211,0 +optionalChildren [ +*76 (CommentText +uid 213,0 +shape (Rectangle +uid 214,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 215,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 216,0 +shape (Rectangle +uid 217,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 218,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 219,0 +shape (Rectangle +uid 220,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 221,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 222,0 +shape (Rectangle +uid 223,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 224,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 225,0 +shape (Rectangle +uid 226,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 227,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 228,0 +shape (Rectangle +uid 229,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 230,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 231,0 +shape (Rectangle +uid 232,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 233,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 234,0 +shape (Rectangle +uid 235,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 236,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 237,0 +shape (Rectangle +uid 238,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 239,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 240,0 +shape (Rectangle +uid 241,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 242,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 212,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *86 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 116,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*88 (MLText +uid 117,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "-4,31,1377,980" +viewArea "-1074,-1074,74601,51482" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *89 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *90 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15300,2500,16200" +st "User:" +blo "0,16000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16200,2000,16200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "24000,8800,24000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 288,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/or5/symbol.sb b/Libs/Gates/hds/or5/symbol.sb new file mode 100644 index 0000000..d6089e6 --- /dev/null +++ b/Libs/Gates/hds/or5/symbol.sb @@ -0,0 +1,1663 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 188,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 189,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +uid 190,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in5" +t "std_uLogic" +o 5 +suid 6,0 +) +) +uid 191,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 192,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 6 +suid 4,0 +) +) +uid 193,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 194,0 +) +*8 (RefLabelRowHdr +) +*9 (TitleRowHdr +) +*10 (FilterRowHdr +) +*11 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*12 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*13 (GroupColHdr +tm "GroupColHdrMgr" +) +*14 (NameColHdr +tm "NameColHdrMgr" +) +*15 (ModeColHdr +tm "ModeColHdrMgr" +) +*16 (TypeColHdr +tm "TypeColHdrMgr" +) +*17 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*18 (InitColHdr +tm "InitColHdrMgr" +) +*19 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 195,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 128,0 +optionalChildren [ +*22 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 131,0 +) +*23 (MRCItem +litem &9 +pos 1 +dimension 23 +uid 133,0 +) +*24 (MRCItem +litem &10 +pos 2 +hidden 1 +dimension 20 +uid 135,0 +) +*25 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 154,0 +) +*26 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 155,0 +) +*27 (MRCItem +litem &4 +pos 4 +dimension 20 +uid 156,0 +) +*28 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 157,0 +) +*29 (MRCItem +litem &6 +pos 5 +dimension 20 +uid 158,0 +) +*30 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 159,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 129,0 +optionalChildren [ +*31 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 137,0 +) +*32 (MRCItem +litem &13 +pos 1 +dimension 50 +uid 141,0 +) +*33 (MRCItem +litem &14 +pos 2 +dimension 100 +uid 143,0 +) +*34 (MRCItem +litem &15 +pos 3 +dimension 50 +uid 145,0 +) +*35 (MRCItem +litem &16 +pos 4 +dimension 100 +uid 147,0 +) +*36 (MRCItem +litem &17 +pos 5 +dimension 100 +uid 149,0 +) +*37 (MRCItem +litem &18 +pos 6 +dimension 50 +uid 151,0 +) +*38 (MRCItem +litem &19 +pos 7 +dimension 80 +uid 153,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 127,0 +vaOverrides [ +] +) +] +) +uid 187,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 197,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 185,0 +) +] +) +pdm (PhysicalDM +uid 198,0 +optionalChildren [ +*52 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *53 (MRCItem +litem &39 +pos 1 +dimension 20 +) +uid 161,0 +optionalChildren [ +*54 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 164,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 166,0 +) +*56 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 168,0 +) +*57 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 186,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 162,0 +optionalChildren [ +*58 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 170,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 174,0 +) +*60 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 176,0 +) +*61 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 178,0 +) +*62 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 180,0 +) +*63 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 182,0 +) +*64 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 184,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 160,0 +vaOverrides [ +] +) +] +) +uid 196,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "or5" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:07" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "or5" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:07" +) +(vvPair +variable "unit" +value "or5" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*65 (SymbolBody +uid 11,0 +optionalChildren [ +*66 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32585,13625,33335,14375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "33335,13400,34735,14400" +st "in1" +blo "33335,14200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 111,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,13600,11800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*67 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33027,15625,33777,16375" +) +tg (CPTG +uid 120,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "33777,15400,35177,16400" +st "in3" +blo "33777,16200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 112,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,13600,13600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*68 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32882,14625,33632,15375" +) +tg (CPTG +uid 121,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "33632,14250,35032,15250" +st "in2" +blo "33632,15050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,13600,12700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*69 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,15625,40750,16375" +) +tg (CPTG +uid 122,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "38200,15400,40000,16400" +st "out1" +ju 2 +blo "40000,16200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 114,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15300,13700,16300" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 6 +suid 4,0 +) +) +) +*70 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32881,16625,33631,17375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +) +xt "33631,16500,35031,17500" +st "in4" +blo "33631,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 115,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,13600,14500" +st "in4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +) +*71 (CptPort +uid 92,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 93,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32584,17625,33334,18375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 95,0 +va (VaSet +isHidden 1 +) +xt "33334,17400,34734,18400" +st "in5" +blo "33334,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,13600,15400" +st "in5 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in5" +t "std_uLogic" +o 5 +suid 6,0 +) +) +) +] +shape (Or +uid 110,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,13000,40000,19000" +) +showPorts 0 +oxt "9000,12000,18000,22000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,18700,36700,19700" +st "gates" +blo "33600,19500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,19700,35800,20700" +st "or5" +blo "33600,20500" +) +) +gi *72 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,21600,43800,24600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*73 (Grouping +uid 222,0 +optionalChildren [ +*74 (CommentText +uid 224,0 +shape (Rectangle +uid 225,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 226,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 227,0 +shape (Rectangle +uid 228,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 229,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 230,0 +shape (Rectangle +uid 231,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 232,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 233,0 +shape (Rectangle +uid 234,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 235,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 236,0 +shape (Rectangle +uid 237,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 238,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 239,0 +shape (Rectangle +uid 240,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 241,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 242,0 +shape (Rectangle +uid 243,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 244,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 245,0 +shape (Rectangle +uid 246,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 247,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 248,0 +shape (Rectangle +uid 249,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 250,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 251,0 +shape (Rectangle +uid 252,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 253,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 223,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *84 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 125,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*86 (MLText +uid 126,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "55,40,1358,887" +viewArea "-1084,-1084,75418,50646" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *87 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *88 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,16200,2500,17100" +st "User:" +blo "0,16900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17100,2000,17100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "32000,8800,32000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 368,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/or5_m/symbol.sb b/Libs/Gates/hds/or5_m/symbol.sb new file mode 100644 index 0000000..6faf891 --- /dev/null +++ b/Libs/Gates/hds/or5_m/symbol.sb @@ -0,0 +1,1719 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 193,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 194,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 195,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 196,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +uid 197,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 6 +suid 4,0 +) +) +uid 198,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "in5" +t "std_uLogic" +o 5 +suid 6,0 +) +) +uid 199,0 +) +*8 (RefLabelRowHdr +) +*9 (TitleRowHdr +) +*10 (FilterRowHdr +) +*11 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*12 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*13 (GroupColHdr +tm "GroupColHdrMgr" +) +*14 (NameColHdr +tm "NameColHdrMgr" +) +*15 (ModeColHdr +tm "ModeColHdrMgr" +) +*16 (TypeColHdr +tm "TypeColHdrMgr" +) +*17 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*18 (InitColHdr +tm "InitColHdrMgr" +) +*19 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 200,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 133,0 +optionalChildren [ +*22 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 136,0 +) +*23 (MRCItem +litem &9 +pos 1 +dimension 23 +uid 138,0 +) +*24 (MRCItem +litem &10 +pos 2 +hidden 1 +dimension 20 +uid 140,0 +) +*25 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 159,0 +) +*26 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 160,0 +) +*27 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 161,0 +) +*28 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 162,0 +) +*29 (MRCItem +litem &6 +pos 5 +dimension 20 +uid 163,0 +) +*30 (MRCItem +litem &7 +pos 4 +dimension 20 +uid 164,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 134,0 +optionalChildren [ +*31 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 142,0 +) +*32 (MRCItem +litem &13 +pos 1 +dimension 50 +uid 146,0 +) +*33 (MRCItem +litem &14 +pos 2 +dimension 100 +uid 148,0 +) +*34 (MRCItem +litem &15 +pos 3 +dimension 50 +uid 150,0 +) +*35 (MRCItem +litem &16 +pos 4 +dimension 100 +uid 152,0 +) +*36 (MRCItem +litem &17 +pos 5 +dimension 100 +uid 154,0 +) +*37 (MRCItem +litem &18 +pos 6 +dimension 50 +uid 156,0 +) +*38 (MRCItem +litem &19 +pos 7 +dimension 80 +uid 158,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 132,0 +vaOverrides [ +] +) +] +) +uid 192,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 202,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 190,0 +) +] +) +pdm (PhysicalDM +uid 203,0 +optionalChildren [ +*52 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *53 (MRCItem +litem &39 +pos 1 +dimension 20 +) +uid 166,0 +optionalChildren [ +*54 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 169,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 171,0 +) +*56 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 173,0 +) +*57 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 191,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 167,0 +optionalChildren [ +*58 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 175,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 179,0 +) +*60 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 181,0 +) +*61 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 183,0 +) +*62 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 185,0 +) +*63 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 187,0 +) +*64 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 189,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 201,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5_m\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5_m\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5_m" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5_m" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "or5_m" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:06" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "or5_m" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5_m\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\or5_m\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:06" +) +(vvPair +variable "unit" +value "or5_m" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*65 (SymbolBody +uid 11,0 +optionalChildren [ +*66 (CptPort +uid 51,0 +optionalChildren [ +*67 (Circle +uid 110,0 +va (VaSet +fg "0,65535,0" +) +xt "32250,16625,33000,17375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,16625,32250,17375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "33250,16400,34650,17400" +st "in1" +blo "33250,17200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,13600,11800" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*68 (CptPort +uid 57,0 +optionalChildren [ +*69 (Circle +uid 111,0 +va (VaSet +fg "0,65535,0" +) +xt "32250,18625,33000,19375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,18625,32250,19375" +) +tg (CPTG +uid 125,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "33250,18400,34650,19400" +st "in3" +blo "33250,19200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 117,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,13600,13600" +st "in3 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*70 (CptPort +uid 63,0 +optionalChildren [ +*71 (Circle +uid 112,0 +va (VaSet +fg "0,65535,0" +) +xt "32250,17625,33000,18375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,17625,32250,18375" +) +tg (CPTG +uid 126,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "33250,17500,34650,18500" +st "in2" +blo "33250,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,13600,12700" +st "in2 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*72 (CptPort +uid 69,0 +optionalChildren [ +*73 (Circle +uid 115,0 +va (VaSet +fg "0,65535,0" +) +xt "39950,18625,40700,19375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40700,18625,41450,19375" +) +tg (CPTG +uid 127,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "37900,18400,39700,19400" +st "out1" +ju 2 +blo "39700,19200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15300,13700,16300" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 6 +suid 4,0 +) +) +) +*74 (CptPort +uid 81,0 +optionalChildren [ +*75 (Circle +uid 113,0 +va (VaSet +fg "0,65535,0" +) +xt "32250,19625,33000,20375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,19625,32250,20375" +) +tg (CPTG +uid 128,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +) +xt "33250,19400,34650,20400" +st "in4" +blo "33250,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 120,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,13600,14500" +st "in4 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_uLogic" +o 4 +suid 5,0 +) +) +) +*76 (CptPort +uid 92,0 +optionalChildren [ +*77 (Circle +uid 114,0 +va (VaSet +fg "0,65535,0" +) +xt "32250,20625,33000,21375" +radius 375 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 93,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31500,20625,32250,21375" +) +tg (CPTG +uid 129,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 95,0 +va (VaSet +isHidden 1 +) +xt "33250,20400,34650,21400" +st "in5" +blo "33250,21200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,13600,15400" +st "in5 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in5" +t "std_uLogic" +o 5 +suid 6,0 +) +) +) +] +shape (And +uid 80,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,16000,40000,22000" +) +showPorts 0 +oxt "9000,12000,18000,22000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,21700,36700,22700" +st "gates" +blo "33600,22500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "33600,22700,37200,23700" +st "or5_m" +blo "33600,23500" +) +) +gi *78 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,24600,43800,27600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*79 (Grouping +uid 227,0 +optionalChildren [ +*80 (CommentText +uid 229,0 +shape (Rectangle +uid 230,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 231,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 232,0 +shape (Rectangle +uid 233,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 234,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 235,0 +shape (Rectangle +uid 236,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 237,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 238,0 +shape (Rectangle +uid 239,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 240,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 241,0 +shape (Rectangle +uid 242,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 243,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 244,0 +shape (Rectangle +uid 245,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 246,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 247,0 +shape (Rectangle +uid 248,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 249,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 250,0 +shape (Rectangle +uid 251,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 252,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 253,0 +shape (Rectangle +uid 254,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 255,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 256,0 +shape (Rectangle +uid 257,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 258,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 228,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *90 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +uid 130,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*92 (MLText +uid 131,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "25,44,1387,948" +viewArea "-1100,-1100,74338,51040" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *93 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *94 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,16200,2500,17100" +st "User:" +blo "0,16900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17100,2000,17100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "32000,8800,32000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 327,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/trans@log@ulog/symbol.sb b/Libs/Gates/hds/trans@log@ulog/symbol.sb new file mode 100644 index 0000000..d1f62c6 --- /dev/null +++ b/Libs/Gates/hds/trans@log@ulog/symbol.sb @@ -0,0 +1,1453 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_logic_vector" +b "(dataNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 100,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 101,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "dataNbBits" +type "positive" +value "8" +) +uid 96,0 +) +*44 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 161,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*50 (MRCItem +litem &43 +pos 1 +dimension 20 +uid 97,0 +) +*51 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 162,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@log@ulog\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@log@ulog\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@log@ulog" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transLogUlog" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "transLogUlog" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:06" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "transLogUlog" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@log@ulog\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transLogUlog\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:06" +) +(vvPair +variable "unit" +value "transLogUlog" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,17625,33000,18375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "33000,17700,34400,18700" +st "in1" +blo "33000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,27200,10800" +st "in1 : IN std_logic_vector (dataNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_logic_vector" +b "(dataNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38000,17625,38750,18375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "36200,17700,38000,18700" +st "out1" +ju 2 +blo "38000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,27800,11700" +st "out1 : OUT std_ulogic_vector (dataNbBits-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,15000,38000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "33910,14700,37010,15700" +st "gates" +blo "33910,15500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "33910,15700,41410,16700" +st "transLogUlog" +blo "33910,16500" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,22600,46500,26600" +st "Generic Declarations + +delay time gateDelay +dataNbBits positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "dataNbBits" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +) +) +*63 (Grouping +uid 129,0 +optionalChildren [ +*64 (CommentText +uid 131,0 +shape (Rectangle +uid 132,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 133,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 134,0 +shape (Rectangle +uid 135,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 136,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42500,53200,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 137,0 +shape (Rectangle +uid 138,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 139,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 140,0 +shape (Rectangle +uid 141,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 142,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 143,0 +shape (Rectangle +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 145,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,43200,67300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 146,0 +shape (Rectangle +uid 147,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 148,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,42500,57200,42500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 149,0 +shape (Rectangle +uid 150,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 151,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 152,0 +shape (Rectangle +uid 153,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 154,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 155,0 +shape (Rectangle +uid 156,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 157,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 158,0 +shape (Rectangle +uid 159,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 160,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 130,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-2000,5400,-1000" +st "Package List" +blo "0,-1200" +) +*76 (MLText +uid 41,0 +va (VaSet +) +xt "0,-1000,17500,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,35,1281,931" +viewArea "-1100,-3100,74302,52422" +cachedDiagramExtent "0,-2000,73000,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,2500,12500" +st "User:" +blo "0,12300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,2000,12500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 231,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/trans@signed@ulog/symbol.sb b/Libs/Gates/hds/trans@signed@ulog/symbol.sb new file mode 100644 index 0000000..27315ce --- /dev/null +++ b/Libs/Gates/hds/trans@signed@ulog/symbol.sb @@ -0,0 +1,1468 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"unisim" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 118,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 120,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 68,0 +optionalChildren [ +*18 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*19 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*20 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*21 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 119,0 +) +*22 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 121,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*23 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*24 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*25 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*26 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*27 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*28 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*29 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*30 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 148,0 +) +*44 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 150,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 96,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 97,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 98,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*50 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 149,0 +) +*51 (MRCItem +litem &44 +pos 1 +dimension 20 +uid 151,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 101,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 102,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 103,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 104,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 105,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 106,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@signed@ulog\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@signed@ulog\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@signed@ulog" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transSignedUlog" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "transSignedUlog" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:06" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "transSignedUlog" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@signed@ulog\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transSignedUlog\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:06" +) +(vvPair +variable "unit" +value "transSignedUlog" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 108,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 109,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,22625,15000,23375" +) +tg (CPTG +uid 110,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 111,0 +va (VaSet +isHidden 1 +) +xt "16000,22000,17400,23000" +st "in1" +blo "16000,22800" +tm "CptPortNameMgr" +) +s (Text +uid 146,0 +va (VaSet +) +xt "16000,23000,16000,23000" +blo "16000,23000" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 112,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,2000,64900,3000" +st "in1 : IN signed (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 113,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 114,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "20000,22625,20750,23375" +) +tg (CPTG +uid 115,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 116,0 +va (VaSet +isHidden 1 +) +xt "17200,22000,19000,23000" +st "out1" +ju 2 +blo "19000,22800" +tm "CptPortNameMgr" +) +s (Text +uid 147,0 +va (VaSet +) +xt "19000,23000,19000,23000" +ju 2 +blo "19000,23000" +tm "CptPortTypeMgr" +) +) +dt (MLText +uid 117,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,2900,69400,3900" +st "out1 : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 145,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,20000,20000,26000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "50860,36700,53960,37700" +st "gates" +blo "50860,37500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "50860,37700,59860,38700" +st "transSignedUlog" +blo "50860,38500" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "-93000,-40000,-79800,-36000" +st "Generic Declarations + +dataBitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +) +*63 (Grouping +uid 16,0 +optionalChildren [ +*64 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *74 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 49,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*76 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,17500,8200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY unisim; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "362,133,1379,823" +viewArea "-500,-500,70510,47650" +cachedDiagramExtent "-93000,-40000,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-94000,-41000" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,12000,9700,13000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "42000,3800,44500,4700" +st "User:" +blo "42000,4500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,4700,44000,4700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 220,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Gates/hds/trans@signed@unsigned/symbol.sb b/Libs/Gates/hds/trans@signed@unsigned/symbol.sb new file mode 100644 index 0000000..5254f83 --- /dev/null +++ b/Libs/Gates/hds/trans@signed@unsigned/symbol.sb @@ -0,0 +1,1473 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 100,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 101,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 96,0 +) +*44 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 161,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*50 (MRCItem +litem &43 +pos 1 +dimension 20 +uid 97,0 +) +*51 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 162,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@signed@unsigned\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@signed@unsigned\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@signed@unsigned" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transSignedUnsigned" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "transSignedUnsigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:05" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "transSignedUnsigned" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@signed@unsigned\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transSignedUnsigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:05" +) +(vvPair +variable "unit" +value "transSignedUnsigned" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,18625,34000,19375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "34000,18700,36300,19900" +st "in1" +blo "34000,19700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,22900,10800" +st "in1 : IN signed (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,18625,39750,19375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "36000,18700,39000,19900" +st "out1" +ju 2 +blo "39000,19700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,24000,11700" +st "out1 : OUT unsigned (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,16000,39000,22000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "34910,15700,38010,16700" +st "gates" +blo "34910,16500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "34910,16700,46110,17700" +st "transSignedUnsigned" +blo "34910,17500" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,23600,47200,27600" +st "Generic Declarations + +delay time gateDelay +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +) +) +*63 (Grouping +uid 129,0 +optionalChildren [ +*64 (CommentText +uid 131,0 +shape (Rectangle +uid 132,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 133,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 134,0 +shape (Rectangle +uid 135,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 136,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42500,53200,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 137,0 +shape (Rectangle +uid 138,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 139,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 140,0 +shape (Rectangle +uid 141,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 142,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 143,0 +shape (Rectangle +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 145,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,43200,67300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 146,0 +shape (Rectangle +uid 147,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 148,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,42500,57200,42500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 149,0 +shape (Rectangle +uid 150,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 151,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 152,0 +shape (Rectangle +uid 153,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 154,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 155,0 +shape (Rectangle +uid 156,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 157,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 158,0 +shape (Rectangle +uid 159,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 160,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 130,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-2000,5400,-1000" +st "Package List" +blo "0,-1200" +) +*76 (MLText +uid 41,0 +va (VaSet +) +xt "0,-1000,17500,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "227,58,1426,863" +viewArea "-1048,-3069,74574,48092" +cachedDiagramExtent "0,-2000,73000,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,2500,12500" +st "User:" +blo "0,12300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,2000,12500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 254,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/trans@ulog@signed/symbol.sb b/Libs/Gates/hds/trans@ulog@signed/symbol.sb new file mode 100644 index 0000000..7af5b71 --- /dev/null +++ b/Libs/Gates/hds/trans@ulog@signed/symbol.sb @@ -0,0 +1,1473 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic_vector" +b "(dataNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 100,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 101,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "dataNbBits" +type "positive" +value "8" +) +uid 96,0 +) +*44 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 161,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*50 (MRCItem +litem &43 +pos 1 +dimension 20 +uid 97,0 +) +*51 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 162,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@ulog@signed\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@ulog@signed\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@ulog@signed" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transUlogSigned" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "transUlogSigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:05" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "transUlogSigned" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@ulog@signed\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transUlogSigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:05" +) +(vvPair +variable "unit" +value "transUlogSigned" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,17625,33000,18375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "33000,17700,34400,18700" +st "in1" +blo "33000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,27900,10800" +st "in1 : IN std_uLogic_vector (dataNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic_vector" +b "(dataNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38000,17625,38750,18375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "36200,17700,38000,18700" +st "out1" +ju 2 +blo "38000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,23400,11700" +st "out1 : OUT signed (dataNbBits-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,15000,38000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "33910,14700,37010,15700" +st "gates" +blo "33910,15500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "33910,15700,42910,16700" +st "transUlogSigned" +blo "33910,16500" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,22600,46500,26600" +st "Generic Declarations + +delay time gateDelay +dataNbBits positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "dataNbBits" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +) +) +*63 (Grouping +uid 129,0 +optionalChildren [ +*64 (CommentText +uid 131,0 +shape (Rectangle +uid 132,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 133,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 134,0 +shape (Rectangle +uid 135,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 136,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42500,53200,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 137,0 +shape (Rectangle +uid 138,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 139,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 140,0 +shape (Rectangle +uid 141,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 142,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 143,0 +shape (Rectangle +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 145,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,43200,67300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 146,0 +shape (Rectangle +uid 147,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 148,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,42500,57200,42500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 149,0 +shape (Rectangle +uid 150,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 151,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 152,0 +shape (Rectangle +uid 153,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 154,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 155,0 +shape (Rectangle +uid 156,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 157,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 158,0 +shape (Rectangle +uid 159,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 160,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 130,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-2000,5400,-1000" +st "Package List" +blo "0,-1200" +) +*76 (MLText +uid 41,0 +va (VaSet +) +xt "0,-1000,17500,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "121,28,1401,899" +viewArea "-1037,-3043,74607,48931" +cachedDiagramExtent "0,-2000,73000,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,2500,12500" +st "User:" +blo "0,12300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,2000,12500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 277,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/trans@ulog@unsigned/symbol.sb b/Libs/Gates/hds/trans@ulog@unsigned/symbol.sb new file mode 100644 index 0000000..2fbeffb --- /dev/null +++ b/Libs/Gates/hds/trans@ulog@unsigned/symbol.sb @@ -0,0 +1,1473 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 100,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 101,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 96,0 +) +*44 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 161,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*50 (MRCItem +litem &43 +pos 1 +dimension 20 +uid 97,0 +) +*51 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 162,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@ulog@unsigned\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@ulog@unsigned\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@ulog@unsigned" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transUlogUnsigned" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "transUlogUnsigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:05" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "transUlogUnsigned" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@ulog@unsigned\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transUlogUnsigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:05" +) +(vvPair +variable "unit" +value "transUlogUnsigned" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,17625,36000,18375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "36000,17700,38300,18900" +st "in1" +blo "36000,18700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,27500,10800" +st "in1 : IN std_uLogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,17625,41750,18375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "38000,17700,41000,18900" +st "out1" +ju 2 +blo "41000,18700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,24000,11700" +st "out1 : OUT unsigned (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,15000,41000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "36910,14700,40010,15700" +st "gates" +blo "36910,15500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "36910,15700,47110,16700" +st "transUlogUnsigned" +blo "36910,16500" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "36000,22600,49200,26600" +st "Generic Declarations + +delay time gateDelay +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +) +) +*63 (Grouping +uid 129,0 +optionalChildren [ +*64 (CommentText +uid 131,0 +shape (Rectangle +uid 132,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 133,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 134,0 +shape (Rectangle +uid 135,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 136,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42500,53200,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 137,0 +shape (Rectangle +uid 138,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 139,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 140,0 +shape (Rectangle +uid 141,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 142,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 143,0 +shape (Rectangle +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 145,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,43200,67300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 146,0 +shape (Rectangle +uid 147,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 148,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,42500,57200,42500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 149,0 +shape (Rectangle +uid 150,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 151,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 152,0 +shape (Rectangle +uid 153,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 154,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 155,0 +shape (Rectangle +uid 156,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 157,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 158,0 +shape (Rectangle +uid 159,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 160,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 130,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-2000,5400,-1000" +st "Package List" +blo "0,-1200" +) +*76 (MLText +uid 41,0 +va (VaSet +) +xt "0,-1000,17500,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "208,72,1428,877" +viewArea "-1042,-3051,76541,48335" +cachedDiagramExtent "0,-2000,73000,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,2500,12500" +st "User:" +blo "0,12300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,2000,12500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 254,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/trans@unsigned@signed/symbol.sb b/Libs/Gates/hds/trans@unsigned@signed/symbol.sb new file mode 100644 index 0000000..2ff641b --- /dev/null +++ b/Libs/Gates/hds/trans@unsigned@signed/symbol.sb @@ -0,0 +1,1472 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 100,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 101,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 96,0 +) +*44 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 161,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*50 (MRCItem +litem &43 +pos 1 +dimension 20 +uid 97,0 +) +*51 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 162,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@unsigned@signed\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@unsigned@signed\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@unsigned@signed" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transUnsignedSigned" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "transUnsignedSigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:04" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "transUnsignedSigned" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@unsigned@signed\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transUnsignedSigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:04" +) +(vvPair +variable "unit" +value "transUnsignedSigned" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28250,17625,29000,18375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "29000,17700,41800,18900" +st "in1 : (dataBitNb-1:0)" +blo "29000,18700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,23900,10800" +st "in1 : IN unsigned (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34000,17625,34750,18375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "20500,17700,34000,18900" +st "out1 : (dataBitNb-1:0)" +ju 2 +blo "34000,18700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,23000,11700" +st "out1 : OUT signed (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "29000,15000,34000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "29910,14700,33010,15700" +st "gates" +blo "29910,15500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "29910,15700,41110,16700" +st "transUnsignedSigned" +blo "29910,16500" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "29000,22600,42200,26600" +st "Generic Declarations + +delay time gateDelay +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*63 (Grouping +uid 129,0 +optionalChildren [ +*64 (CommentText +uid 131,0 +shape (Rectangle +uid 132,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 133,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 134,0 +shape (Rectangle +uid 135,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 136,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42500,53200,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 137,0 +shape (Rectangle +uid 138,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 139,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 140,0 +shape (Rectangle +uid 141,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 142,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 143,0 +shape (Rectangle +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 145,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,43200,67300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 146,0 +shape (Rectangle +uid 147,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 148,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,42500,57200,42500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 149,0 +shape (Rectangle +uid 150,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 151,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 152,0 +shape (Rectangle +uid 153,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 154,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 155,0 +shape (Rectangle +uid 156,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 157,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 158,0 +shape (Rectangle +uid 159,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 160,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 130,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-2000,5400,-1000" +st "Package List" +blo "0,-1200" +) +*76 (MLText +uid 41,0 +va (VaSet +) +xt "0,-1000,17500,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "145,32,1427,892" +viewArea "-1100,-3000,75436,48696" +cachedDiagramExtent "0,-2000,73000,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,2500,12500" +st "User:" +blo "0,12300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,2000,12500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 277,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/trans@unsigned@ulog/symbol.sb b/Libs/Gates/hds/trans@unsigned@ulog/symbol.sb new file mode 100644 index 0000000..88c3fe2 --- /dev/null +++ b/Libs/Gates/hds/trans@unsigned@ulog/symbol.sb @@ -0,0 +1,1473 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 100,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 101,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*16 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *17 (MRCItem +litem &1 +pos 2 +dimension 20 +) +uid 43,0 +optionalChildren [ +*18 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*19 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*20 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*21 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 69,0 +) +*22 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 70,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*23 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*24 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*25 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*26 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*27 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*28 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*29 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*30 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *31 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*32 (RefLabelRowHdr +) +*33 (TitleRowHdr +) +*34 (FilterRowHdr +) +*35 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*36 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*37 (GroupColHdr +tm "GroupColHdrMgr" +) +*38 (NameColHdr +tm "GenericNameColHdrMgr" +) +*39 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*40 (InitColHdr +tm "GenericValueColHdrMgr" +) +*41 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*42 (EolColHdr +tm "GenericEolColHdrMgr" +) +*43 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 96,0 +) +*44 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 161,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*45 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *46 (MRCItem +litem &31 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*47 (MRCItem +litem &32 +pos 0 +dimension 20 +uid 75,0 +) +*48 (MRCItem +litem &33 +pos 1 +dimension 23 +uid 77,0 +) +*49 (MRCItem +litem &34 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*50 (MRCItem +litem &43 +pos 1 +dimension 20 +uid 97,0 +) +*51 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 162,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*52 (MRCItem +litem &35 +pos 0 +dimension 20 +uid 81,0 +) +*53 (MRCItem +litem &37 +pos 1 +dimension 50 +uid 85,0 +) +*54 (MRCItem +litem &38 +pos 2 +dimension 100 +uid 87,0 +) +*55 (MRCItem +litem &39 +pos 3 +dimension 100 +uid 89,0 +) +*56 (MRCItem +litem &40 +pos 4 +dimension 50 +uid 91,0 +) +*57 (MRCItem +litem &41 +pos 5 +dimension 50 +uid 93,0 +) +*58 (MRCItem +litem &42 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@unsigned@ulog\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@unsigned@ulog\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@unsigned@ulog" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transUnsignedUlog" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "transUnsignedUlog" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:04" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "transUnsignedUlog" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\trans@unsigned@ulog\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\transUnsignedUlog\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:04" +) +(vvPair +variable "unit" +value "transUnsignedUlog" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,17625,36000,18375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "36000,17700,38300,18900" +st "in1" +blo "36000,18700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,23900,10800" +st "in1 : IN unsigned (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,17625,41750,18375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "38000,17700,41000,18900" +st "out1" +ju 2 +blo "41000,18700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,27400,11700" +st "out1 : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,15000,41000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "36910,14700,40010,15700" +st "gates" +blo "36910,15500" +) +second (Text +uid 12,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "36910,15700,47110,16700" +st "transUnsignedUlog" +blo "36910,16500" +) +) +gi *62 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "36000,22600,49200,26600" +st "Generic Declarations + +delay time gateDelay +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +sTC 0 +) +) +*63 (Grouping +uid 129,0 +optionalChildren [ +*64 (CommentText +uid 131,0 +shape (Rectangle +uid 132,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 133,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 134,0 +shape (Rectangle +uid 135,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,57000,43000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 136,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42500,53200,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 137,0 +shape (Rectangle +uid 138,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 139,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 140,0 +shape (Rectangle +uid 141,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 142,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 143,0 +shape (Rectangle +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,43000,73000,47000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 145,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,43200,67300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 146,0 +shape (Rectangle +uid 147,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,42000,73000,43000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 148,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,42500,57200,42500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 149,0 +shape (Rectangle +uid 150,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,42000,53000,44000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 151,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,42400,47650,43600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 152,0 +shape (Rectangle +uid 153,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 154,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 155,0 +shape (Rectangle +uid 156,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 157,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 158,0 +shape (Rectangle +uid 159,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 160,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 130,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,42000,73000,47000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-2000,5400,-1000" +st "Package List" +blo "0,-1200" +) +*76 (MLText +uid 41,0 +va (VaSet +) +xt "0,-1000,17500,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "126,37,1412,898" +viewArea "-1100,-3093,75289,48382" +cachedDiagramExtent "0,-2000,73000,47000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,11600,2500,12500" +st "User:" +blo "0,12300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12500,2000,12500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 254,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/xnor2/symbol.sb b/Libs/Gates/hds/xnor2/symbol.sb new file mode 100644 index 0000000..d6883b2 --- /dev/null +++ b/Libs/Gates/hds/xnor2/symbol.sb @@ -0,0 +1,1530 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 148,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 149,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 150,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "xorOut" +t "std_ulogic" +o 3 +suid 1,0 +) +) +uid 151,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 152,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 91,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 94,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 96,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 98,0 +) +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 117,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 118,0 +) +*24 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 119,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 92,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 100,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 104,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 106,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 108,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 110,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 112,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 114,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 116,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 90,0 +vaOverrides [ +] +) +] +) +uid 147,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 154,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 145,0 +) +] +) +pdm (PhysicalDM +uid 155,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 121,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 124,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 126,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 128,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 146,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 122,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 130,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 134,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 136,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 138,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 140,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 142,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 144,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 120,0 +vaOverrides [ +] +) +] +) +uid 153,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xnor2\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xnor2\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xnor2" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xnor2" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "xnor2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:04" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "xnor2" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xnor2\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xnor2\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Kart\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:04" +) +(vvPair +variable "unit" +value "xnor2" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 83,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +optionalChildren [ +*61 (Circle +uid 303,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "42000,17546,42908,18454" +radius 454 +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42908,17625,43658,18375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "38400,17600,41000,18600" +st "xorOut" +ju 2 +blo "41000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 78,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,14400,12600" +st "xorOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "xorOut" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*62 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33631,19625,34381,20375" +) +tg (CPTG +uid 86,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "35533,19450,36933,20450" +st "in2" +blo "35533,20250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 79,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,14000,11700" +st "in2 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*63 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33632,15625,34382,16375" +) +tg (CPTG +uid 87,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "35533,15450,36933,16450" +st "in1" +blo "35533,16250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,14000,10800" +st "in1 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +] +shape (XOr +uid 84,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,15000,42000,21000" +) +showPorts 0 +oxt "16000,16000,28000,24000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,20700,37700,21700" +st "gates" +blo "34600,21500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,21700,37900,22700" +st "xnor2" +blo "34600,22500" +) +) +gi *64 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,23600,44800,26600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +selT 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*65 (Grouping +uid 179,0 +optionalChildren [ +*66 (CommentText +uid 181,0 +shape (Rectangle +uid 182,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 183,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 184,0 +shape (Rectangle +uid 185,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 186,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 187,0 +shape (Rectangle +uid 188,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 189,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 190,0 +shape (Rectangle +uid 191,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 192,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 201,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 207,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 180,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *76 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +uid 88,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*78 (MLText +uid 89,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "20,36,1362,927" +viewArea "-1000,-1000,74241,49183" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *79 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *80 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,12500,2500,13400" +st "User:" +blo "0,13200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13400,2000,13400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "18000,7800,18000,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 349,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/xor2/symbol.sb b/Libs/Gates/hds/xor2/symbol.sb new file mode 100644 index 0000000..659ebe7 --- /dev/null +++ b/Libs/Gates/hds/xor2/symbol.sb @@ -0,0 +1,1501 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 148,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 149,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 150,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "xorOut" +t "std_ulogic" +o 3 +suid 1,0 +) +) +uid 151,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 152,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 91,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 94,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 96,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 98,0 +) +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 117,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 118,0 +) +*24 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 119,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 92,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 100,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 104,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 106,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 108,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 110,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 112,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 114,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 116,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 90,0 +vaOverrides [ +] +) +] +) +uid 147,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 154,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 145,0 +) +] +) +pdm (PhysicalDM +uid 155,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 121,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 124,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 126,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 128,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 146,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 122,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 130,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 134,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 136,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 138,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 140,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 142,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 144,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 120,0 +vaOverrides [ +] +) +] +) +uid 153,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor2\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor2\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor2" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor2" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "xor2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:03" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "xor2" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor2\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor2\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:03" +) +(vvPair +variable "unit" +value "xor2" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 83,0 +optionalChildren [ +*59 (SymbolBody +uid 11,0 +optionalChildren [ +*60 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,17625,42750,18375" +) +tg (CPTG +uid 85,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +isHidden 1 +) +xt "38400,17600,41000,18600" +st "xorOut" +ju 2 +blo "41000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 78,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,14400,12600" +st "xorOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "xorOut" +t "std_ulogic" +o 3 +suid 1,0 +) +) +) +*61 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33631,19625,34381,20375" +) +tg (CPTG +uid 86,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +isHidden 1 +) +xt "35533,19450,36933,20450" +st "in2" +blo "35533,20250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 79,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10700,14000,11700" +st "in2 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*62 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33632,15625,34382,16375" +) +tg (CPTG +uid 87,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +isHidden 1 +) +xt "35533,15450,36933,16450" +st "in1" +blo "35533,16250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,14000,10800" +st "in1 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +] +shape (XOr +uid 84,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,15000,42000,21000" +) +showPorts 0 +oxt "16000,16000,28000,24000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,20700,37700,21700" +st "gates" +blo "34600,21500" +) +second (Text +uid 15,0 +va (VaSet +font "Verdana,8,1" +) +xt "34600,21700,37300,22700" +st "xor2" +blo "34600,22500" +) +) +gi *63 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,23600,44800,26600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +selT 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*64 (Grouping +uid 179,0 +optionalChildren [ +*65 (CommentText +uid 181,0 +shape (Rectangle +uid 182,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 183,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 184,0 +shape (Rectangle +uid 185,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 186,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 187,0 +shape (Rectangle +uid 188,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 189,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 190,0 +shape (Rectangle +uid 191,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 192,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 201,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 207,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 180,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *75 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +uid 88,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*77 (MLText +uid 89,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "20,36,1361,927" +viewArea "-1000,-1000,74174,51059" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *78 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *79 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,12500,2500,13400" +st "User:" +blo "0,13200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,7800,5800,8800" +st "Internal User:" +blo "0,8600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13400,2000,13400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "18000,7800,18000,7800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 325,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/xor3/symbol.sb b/Libs/Gates/hds/xor3/symbol.sb new file mode 100644 index 0000000..5ffcf09 --- /dev/null +++ b/Libs/Gates/hds/xor3/symbol.sb @@ -0,0 +1,1541 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 145,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 146,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 147,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "xorOut" +t "std_ulogic" +o 1 +suid 4,0 +) +) +uid 148,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 149,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 150,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 87,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 90,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 92,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 94,0 +) +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 113,0 +) +*24 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 114,0 +) +*25 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 115,0 +) +*26 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 116,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 88,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 96,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 100,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 102,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 104,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 106,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 108,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 110,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 112,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 86,0 +vaOverrides [ +] +) +] +) +uid 144,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 152,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 142,0 +) +] +) +pdm (PhysicalDM +uid 153,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 118,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 121,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 123,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 125,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 143,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 119,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 127,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 131,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 133,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 135,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 137,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 139,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 141,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 117,0 +vaOverrides [ +] +) +] +) +uid 151,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor3\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor3\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor3" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor3" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "xor3" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:03" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "xor3" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor3\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor3\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:03" +) +(vvPair +variable "unit" +value "xor3" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 53,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32632,13625,33382,14375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +isHidden 1 +) +xt "34153,13550,35553,14550" +st "in1" +blo "34153,14350" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,14000,11800" +st "in1 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33138,15625,33888,16375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "34888,15550,36288,16550" +st "in2" +blo "34888,16350" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,14000,12700" +st "in2 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*64 (CptPort +uid 62,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 63,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32631,17625,33381,18375" +) +tg (CPTG +uid 64,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 65,0 +va (VaSet +isHidden 1 +) +xt "34381,17550,35781,18550" +st "in3" +blo "34381,18350" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 66,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,14000,13600" +st "in3 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*65 (CptPort +uid 67,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 68,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,15625,41750,16375" +) +tg (CPTG +uid 69,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 70,0 +va (VaSet +isHidden 1 +) +xt "38400,15700,41000,16700" +st "xorOut" +ju 2 +blo "41000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 71,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,14400,14500" +st "xorOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "xorOut" +t "std_ulogic" +o 1 +suid 4,0 +) +) +) +] +shape (XOr +uid 83,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,13000,41000,19000" +) +showPorts 0 +oxt "15000,6000,51000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "33500,19400,36600,20400" +st "gates" +blo "33500,20200" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "33500,20400,36200,21400" +st "xor3" +blo "33500,21200" +) +) +gi *66 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "33000,22600,43800,25600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sN 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*67 (Grouping +uid 177,0 +optionalChildren [ +*68 (CommentText +uid 179,0 +shape (Rectangle +uid 180,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 181,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 182,0 +shape (Rectangle +uid 183,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 184,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 185,0 +shape (Rectangle +uid 186,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 187,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 188,0 +shape (Rectangle +uid 189,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 190,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 191,0 +shape (Rectangle +uid 192,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 193,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 194,0 +shape (Rectangle +uid 195,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 196,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 197,0 +shape (Rectangle +uid 198,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 199,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 200,0 +shape (Rectangle +uid 201,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 202,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 203,0 +shape (Rectangle +uid 204,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 205,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 206,0 +shape (Rectangle +uid 207,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 208,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 178,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *78 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 84,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*80 (MLText +uid 85,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "22,45,1349,933" +viewArea "-1100,-1100,74244,51532" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "30500,14400,34100,15400" +st "" +blo "30500,15200" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "30500,15400,33100,16400" +st "" +blo "30500,16200" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,14400,2500,15300" +st "User:" +blo "0,15100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15300,2000,15300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,8800,0,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 323,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/xor4/symbol.sb b/Libs/Gates/hds/xor4/symbol.sb new file mode 100644 index 0000000..f75a297 --- /dev/null +++ b/Libs/Gates/hds/xor4/symbol.sb @@ -0,0 +1,1603 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 145,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in4" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 146,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in2" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 147,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "xorOut" +t "std_ulogic" +o 1 +suid 4,0 +) +) +uid 148,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 149,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "in3" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 150,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 151,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 86,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 89,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 91,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 93,0 +) +*24 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 112,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 113,0 +) +*26 (MRCItem +litem &4 +pos 4 +dimension 20 +uid 114,0 +) +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 115,0 +) +*28 (MRCItem +litem &6 +pos 2 +dimension 20 +uid 116,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 87,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 95,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 99,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 101,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 103,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 105,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 107,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 109,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 111,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 85,0 +vaOverrides [ +] +) +] +) +uid 144,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 153,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 142,0 +) +] +) +pdm (PhysicalDM +uid 154,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 118,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 121,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 123,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 125,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 143,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 119,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 127,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 131,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 133,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 135,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 137,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 139,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 141,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 117,0 +vaOverrides [ +] +) +] +) +uid 152,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor4\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor4\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor4" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor4" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "xor4" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:02" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "xor4" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor4\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor4\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:02" +) +(vvPair +variable "unit" +value "xor4" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 53,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33632,12625,34382,13375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +isHidden 1 +) +xt "35382,12350,36782,13350" +st "in1" +blo "35382,13150" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,14000,11800" +st "in1 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 57,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33973,13625,34723,14375" +) +tg (CPTG +uid 59,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +isHidden 1 +) +xt "35723,13350,37123,14350" +st "in2" +blo "35723,14150" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 61,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,14000,12700" +st "in2 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in2" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*66 (CptPort +uid 62,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 63,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33971,15625,34721,16375" +) +tg (CPTG +uid 64,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 65,0 +va (VaSet +isHidden 1 +) +xt "35721,15350,37121,16350" +st "in3" +blo "35721,16150" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 66,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,14000,13600" +st "in3 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in3" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*67 (CptPort +uid 67,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 68,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,14625,42750,15375" +) +tg (CPTG +uid 69,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 70,0 +va (VaSet +isHidden 1 +) +xt "39400,14350,42000,15350" +st "xorOut" +ju 2 +blo "42000,15150" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 71,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,14400,15400" +st "xorOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "xorOut" +t "std_ulogic" +o 1 +suid 4,0 +) +) +) +*68 (CptPort +uid 73,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 74,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33631,16625,34381,17375" +) +tg (CPTG +uid 75,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +) +xt "35281,16350,36681,17350" +st "in4" +blo "35281,17150" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 77,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,14000,14500" +st "in4 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in4" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (XOr +uid 72,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,12000,42000,18000" +) +showPorts 0 +oxt "15000,6000,51000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "34500,18400,37600,19400" +st "gates" +blo "34500,19200" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "34500,19400,37200,20400" +st "xor4" +blo "34500,20200" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,21600,44800,24600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*70 (Grouping +uid 178,0 +optionalChildren [ +*71 (CommentText +uid 180,0 +shape (Rectangle +uid 181,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 182,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 183,0 +shape (Rectangle +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 185,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 186,0 +shape (Rectangle +uid 187,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 188,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 197,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 200,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 206,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 207,0 +shape (Rectangle +uid 208,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 209,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 179,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *81 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 83,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 84,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "15,54,1370,927" +viewArea "-1000,-1000,77384,51371" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "30500,14400,34100,15400" +st "" +blo "30500,15200" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "30500,15400,33100,16400" +st "" +blo "30500,16200" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,15300,2500,16200" +st "User:" +blo "0,16000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16200,2000,16200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,8800,0,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 301,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/xor5/symbol.sb b/Libs/Gates/hds/xor5/symbol.sb new file mode 100644 index 0000000..4f99312 --- /dev/null +++ b/Libs/Gates/hds/xor5/symbol.sb @@ -0,0 +1,1664 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "In2" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 152,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "xorOut" +t "std_ulogic" +o 6 +suid 6,0 +) +) +uid 153,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "In3" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 154,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "In1" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 155,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "In4" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 156,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "In5" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 157,0 +) +*8 (RefLabelRowHdr +) +*9 (TitleRowHdr +) +*10 (FilterRowHdr +) +*11 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*12 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*13 (GroupColHdr +tm "GroupColHdrMgr" +) +*14 (NameColHdr +tm "NameColHdrMgr" +) +*15 (ModeColHdr +tm "ModeColHdrMgr" +) +*16 (TypeColHdr +tm "TypeColHdrMgr" +) +*17 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*18 (InitColHdr +tm "InitColHdrMgr" +) +*19 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 158,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 91,0 +optionalChildren [ +*22 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 94,0 +) +*23 (MRCItem +litem &9 +pos 1 +dimension 23 +uid 96,0 +) +*24 (MRCItem +litem &10 +pos 2 +hidden 1 +dimension 20 +uid 98,0 +) +*25 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 117,0 +) +*26 (MRCItem +litem &3 +pos 5 +dimension 20 +uid 118,0 +) +*27 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 119,0 +) +*28 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 120,0 +) +*29 (MRCItem +litem &6 +pos 3 +dimension 20 +uid 121,0 +) +*30 (MRCItem +litem &7 +pos 4 +dimension 20 +uid 122,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 92,0 +optionalChildren [ +*31 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 100,0 +) +*32 (MRCItem +litem &13 +pos 1 +dimension 50 +uid 104,0 +) +*33 (MRCItem +litem &14 +pos 2 +dimension 100 +uid 106,0 +) +*34 (MRCItem +litem &15 +pos 3 +dimension 50 +uid 108,0 +) +*35 (MRCItem +litem &16 +pos 4 +dimension 100 +uid 110,0 +) +*36 (MRCItem +litem &17 +pos 5 +dimension 100 +uid 112,0 +) +*37 (MRCItem +litem &18 +pos 6 +dimension 50 +uid 114,0 +) +*38 (MRCItem +litem &19 +pos 7 +dimension 80 +uid 116,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 90,0 +vaOverrides [ +] +) +] +) +uid 150,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 160,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 148,0 +) +] +) +pdm (PhysicalDM +uid 161,0 +optionalChildren [ +*52 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *53 (MRCItem +litem &39 +pos 1 +dimension 20 +) +uid 124,0 +optionalChildren [ +*54 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 127,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 129,0 +) +*56 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 131,0 +) +*57 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 149,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 125,0 +optionalChildren [ +*58 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 133,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 137,0 +) +*60 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 139,0 +) +*61 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 141,0 +) +*62 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 143,0 +) +*63 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 145,0 +) +*64 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 147,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 159,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor5\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor5\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor5" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor5" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "entity_name" +value "xor5" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:02" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "xor5" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor5\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\xor5\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:02" +) +(vvPair +variable "unit" +value "xor5" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 52,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 53,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30631,21625,31381,22375" +) +tg (CPTG +uid 54,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 55,0 +va (VaSet +isHidden 1 +) +xt "32381,21500,33781,22500" +st "In5" +blo "32381,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 56,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,14100,15400" +st "In5 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "In5" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*67 (CptPort +uid 62,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 63,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30632,17625,31382,18375" +) +tg (CPTG +uid 64,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 65,0 +va (VaSet +isHidden 1 +) +xt "32382,17500,33782,18500" +st "In1" +blo "32382,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 66,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,14100,11800" +st "In1 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "In1" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*68 (CptPort +uid 67,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 68,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30973,18625,31723,19375" +) +tg (CPTG +uid 69,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 70,0 +va (VaSet +isHidden 1 +) +xt "32723,18500,34123,19500" +st "In2" +blo "32723,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 71,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,14100,12700" +st "In2 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "In2" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 72,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 73,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31138,19625,31888,20375" +) +tg (CPTG +uid 74,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 75,0 +va (VaSet +isHidden 1 +) +xt "32888,19500,34288,20500" +st "In3" +blo "32888,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 76,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,14100,13600" +st "In3 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "In3" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 77,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 78,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30971,20625,31721,21375" +) +tg (CPTG +uid 79,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 80,0 +va (VaSet +isHidden 1 +) +xt "32721,20500,34121,21500" +st "In4" +blo "32721,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 81,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,13500,14100,14500" +st "In4 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "In4" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*71 (CptPort +uid 82,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 83,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,19625,39750,20375" +) +tg (CPTG +uid 84,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 85,0 +va (VaSet +isHidden 1 +) +xt "36400,19500,39000,20500" +st "xorOut" +ju 2 +blo "39000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 86,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15300,14400,16300" +st "xorOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "xorOut" +t "std_ulogic" +o 6 +suid 6,0 +) +) +) +] +shape (XOr +uid 87,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "31000,17000,39000,23000" +) +showPorts 0 +oxt "15000,6000,41000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "31400,22900,34500,23900" +st "gates" +blo "31400,23700" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "31400,23900,34100,24900" +st "xor5" +blo "31400,24700" +) +) +gi *72 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "31000,25600,41800,28600" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +) +portVis (PortSigDisplay +sN 0 +) +) +*73 (Grouping +uid 185,0 +optionalChildren [ +*74 (CommentText +uid 187,0 +shape (Rectangle +uid 188,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 189,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 190,0 +shape (Rectangle +uid 191,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 192,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 207,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 213,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 186,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *84 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 88,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*86 (MLText +uid 89,0 +va (VaSet +) +xt "0,1000,17500,5800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "2,49,1368,909" +viewArea "-1100,-1100,78043,50374" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,41000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26400,14900,30000,15900" +st "" +blo "26400,15700" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26400,15900,29000,16900" +st "" +blo "26400,16700" +) +) +gi *87 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *88 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,5400,9800" +st "Declarations" +blo "0,9600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,9800,2700,10800" +st "Ports:" +blo "0,10600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,16200,2500,17100" +st "User:" +blo "0,16900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,8800,5800,9800" +st "Internal User:" +blo "0,9600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17100,2000,17100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,8800,0,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 331,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/zero@signed/symbol.sb b/Libs/Gates/hds/zero@signed/symbol.sb new file mode 100644 index 0000000..2482dc7 --- /dev/null +++ b/Libs/Gates/hds/zero@signed/symbol.sb @@ -0,0 +1,1389 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "zero" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 100,0 +) +*3 (RefLabelRowHdr +) +*4 (TitleRowHdr +) +*5 (FilterRowHdr +) +*6 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*7 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*8 (GroupColHdr +tm "GroupColHdrMgr" +) +*9 (NameColHdr +tm "NameColHdrMgr" +) +*10 (ModeColHdr +tm "ModeColHdrMgr" +) +*11 (TypeColHdr +tm "TypeColHdrMgr" +) +*12 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*13 (InitColHdr +tm "InitColHdrMgr" +) +*14 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*15 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *16 (MRCItem +litem &1 +pos 1 +dimension 20 +) +uid 43,0 +optionalChildren [ +*17 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 46,0 +) +*18 (MRCItem +litem &4 +pos 1 +dimension 23 +uid 48,0 +) +*19 (MRCItem +litem &5 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*21 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 52,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 50 +uid 56,0 +) +*23 (MRCItem +litem &9 +pos 2 +dimension 100 +uid 58,0 +) +*24 (MRCItem +litem &10 +pos 3 +dimension 50 +uid 60,0 +) +*25 (MRCItem +litem &11 +pos 4 +dimension 100 +uid 62,0 +) +*26 (MRCItem +litem &12 +pos 5 +dimension 100 +uid 64,0 +) +*27 (MRCItem +litem &13 +pos 6 +dimension 50 +uid 66,0 +) +*28 (MRCItem +litem &14 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *29 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*30 (RefLabelRowHdr +) +*31 (TitleRowHdr +) +*32 (FilterRowHdr +) +*33 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*34 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*35 (GroupColHdr +tm "GroupColHdrMgr" +) +*36 (NameColHdr +tm "GenericNameColHdrMgr" +) +*37 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*38 (InitColHdr +tm "GenericValueColHdrMgr" +) +*39 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*40 (EolColHdr +tm "GenericEolColHdrMgr" +) +*41 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 279,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*42 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *43 (MRCItem +litem &29 +pos 1 +dimension 20 +) +uid 72,0 +optionalChildren [ +*44 (MRCItem +litem &30 +pos 0 +dimension 20 +uid 75,0 +) +*45 (MRCItem +litem &31 +pos 1 +dimension 23 +uid 77,0 +) +*46 (MRCItem +litem &32 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*47 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 280,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*48 (MRCItem +litem &33 +pos 0 +dimension 20 +uid 81,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 50 +uid 85,0 +) +*50 (MRCItem +litem &36 +pos 2 +dimension 100 +uid 87,0 +) +*51 (MRCItem +litem &37 +pos 3 +dimension 100 +uid 89,0 +) +*52 (MRCItem +litem &38 +pos 4 +dimension 50 +uid 91,0 +) +*53 (MRCItem +litem &39 +pos 5 +dimension 50 +uid 93,0 +) +*54 (MRCItem +litem &40 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zero@signed\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zero@signed\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zero@signed" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zeroSigned" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "zeroSigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:02" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "zeroSigned" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zero@signed\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zeroSigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:02" +) +(vvPair +variable "unit" +value "zeroSigned" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,14250,37375,15000" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +) +xt "27200,15000,40500,16200" +st "zero : (dataBitNb-1:0)" +ju 2 +blo "40500,16000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,22900,10800" +st "zero : OUT signed (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "zero" +t "signed" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +] +shape (Pd +uid 255,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,15000,39000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,20700,37010,21700" +st "gates" +blo "33910,21500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,21700,40310,22700" +st "zeroSigned" +blo "33910,22500" +) +) +gi *57 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,23600,44200,26600" +st "Generic Declarations + +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*58 (Grouping +uid 175,0 +optionalChildren [ +*59 (CommentText +uid 177,0 +shape (Rectangle +uid 178,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 179,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 180,0 +shape (Rectangle +uid 181,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 182,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 183,0 +shape (Rectangle +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 185,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 186,0 +shape (Rectangle +uid 187,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 188,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*64 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 197,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 200,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 206,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 176,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *69 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*71 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,17500,7000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "177,47,1423,880" +viewArea "-3660,-12454,77564,41642" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *72 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *73 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,10700,2500,11600" +st "User:" +blo "0,11400" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,2000,11600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 395,0 +activeModelName "Symbol" +) diff --git a/Libs/Gates/hds/zero@unsigned/symbol.sb b/Libs/Gates/hds/zero@unsigned/symbol.sb new file mode 100644 index 0000000..7a1a01f --- /dev/null +++ b/Libs/Gates/hds/zero@unsigned/symbol.sb @@ -0,0 +1,1389 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2002,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "zero" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 100,0 +) +*3 (RefLabelRowHdr +) +*4 (TitleRowHdr +) +*5 (FilterRowHdr +) +*6 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*7 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*8 (GroupColHdr +tm "GroupColHdrMgr" +) +*9 (NameColHdr +tm "NameColHdrMgr" +) +*10 (ModeColHdr +tm "ModeColHdrMgr" +) +*11 (TypeColHdr +tm "TypeColHdrMgr" +) +*12 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*13 (InitColHdr +tm "InitColHdrMgr" +) +*14 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*15 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *16 (MRCItem +litem &1 +pos 1 +dimension 20 +) +uid 43,0 +optionalChildren [ +*17 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 46,0 +) +*18 (MRCItem +litem &4 +pos 1 +dimension 23 +uid 48,0 +) +*19 (MRCItem +litem &5 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*21 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 52,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 50 +uid 56,0 +) +*23 (MRCItem +litem &9 +pos 2 +dimension 100 +uid 58,0 +) +*24 (MRCItem +litem &10 +pos 3 +dimension 50 +uid 60,0 +) +*25 (MRCItem +litem &11 +pos 4 +dimension 100 +uid 62,0 +) +*26 (MRCItem +litem &12 +pos 5 +dimension 100 +uid 64,0 +) +*27 (MRCItem +litem &13 +pos 6 +dimension 50 +uid 66,0 +) +*28 (MRCItem +litem &14 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *29 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*30 (RefLabelRowHdr +) +*31 (TitleRowHdr +) +*32 (FilterRowHdr +) +*33 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*34 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*35 (GroupColHdr +tm "GroupColHdrMgr" +) +*36 (NameColHdr +tm "GenericNameColHdrMgr" +) +*37 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*38 (InitColHdr +tm "GenericValueColHdrMgr" +) +*39 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*40 (EolColHdr +tm "GenericEolColHdrMgr" +) +*41 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 279,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*42 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *43 (MRCItem +litem &29 +pos 1 +dimension 20 +) +uid 72,0 +optionalChildren [ +*44 (MRCItem +litem &30 +pos 0 +dimension 20 +uid 75,0 +) +*45 (MRCItem +litem &31 +pos 1 +dimension 23 +uid 77,0 +) +*46 (MRCItem +litem &32 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*47 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 280,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*48 (MRCItem +litem &33 +pos 0 +dimension 20 +uid 81,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 50 +uid 85,0 +) +*50 (MRCItem +litem &36 +pos 2 +dimension 100 +uid 87,0 +) +*51 (MRCItem +litem &37 +pos 3 +dimension 100 +uid 89,0 +) +*52 (MRCItem +litem &38 +pos 4 +dimension 50 +uid 91,0 +) +*53 (MRCItem +litem &39 +pos 5 +dimension 50 +uid 93,0 +) +*54 (MRCItem +litem &40 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zero@unsigned\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zero@unsigned\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zero@unsigned" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zeroUnsigned" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "zeroUnsigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:35:02" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "gates" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Gates/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "zeroUnsigned" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zero@unsigned\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Gates\\hds\\zeroUnsigned\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:35:02" +) +(vvPair +variable "unit" +value "zeroUnsigned" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*55 (SymbolBody +uid 8,0 +optionalChildren [ +*56 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,14250,37375,15000" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +) +xt "27200,15000,40500,16200" +st "zero : (dataBitNb-1:0)" +ju 2 +blo "40500,16000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9800,23900,10800" +st "zero : OUT unsigned (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "zero" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +] +shape (Pd +uid 255,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,15000,39000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,20700,37010,21700" +st "gates" +blo "33910,21500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "33910,21700,41510,22700" +st "zeroUnsigned" +blo "33910,22500" +) +) +gi *57 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "34000,23600,44200,26600" +st "Generic Declarations + +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*58 (Grouping +uid 175,0 +optionalChildren [ +*59 (CommentText +uid 177,0 +shape (Rectangle +uid 178,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 179,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48500,36200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*60 (CommentText +uid 180,0 +shape (Rectangle +uid 181,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 182,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44500,53200,44500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*61 (CommentText +uid 183,0 +shape (Rectangle +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 185,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46500,36200,46500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*62 (CommentText +uid 186,0 +shape (Rectangle +uid 187,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 188,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46500,32200,46500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*63 (CommentText +uid 189,0 +shape (Rectangle +uid 190,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 191,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,67300,46400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*64 (CommentText +uid 192,0 +shape (Rectangle +uid 193,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 194,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44500,57200,44500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*65 (CommentText +uid 195,0 +shape (Rectangle +uid 196,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 197,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,44400,47650,45600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 198,0 +shape (Rectangle +uid 199,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 200,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47500,32200,47500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 201,0 +shape (Rectangle +uid 202,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 203,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48500,32200,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 204,0 +shape (Rectangle +uid 205,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 206,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47500,36200,47500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 176,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *69 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +uid 40,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*71 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,17500,7000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "176,47,1422,880" +viewArea "-3660,-12454,77564,41642" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *72 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *73 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,10700,2500,11600" +st "User:" +blo "0,11400" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11600,2000,11600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 372,0 +activeModelName "Symbol" +) diff --git a/Libs/IO/hdl/tristateBufferSigned_sim.vhd b/Libs/IO/hdl/tristateBufferSigned_sim.vhd new file mode 100644 index 0000000..c56b0d6 --- /dev/null +++ b/Libs/IO/hdl/tristateBufferSigned_sim.vhd @@ -0,0 +1,5 @@ +ARCHITECTURE sim OF tristateBufferSigned IS +BEGIN + out1 <= in1 after delay when OE = '1' else (others => 'Z') after delay; +END ARCHITECTURE sim; + diff --git a/Libs/IO/hdl/tristateBufferULogicVector_sim.vhd b/Libs/IO/hdl/tristateBufferULogicVector_sim.vhd new file mode 100644 index 0000000..20e5627 --- /dev/null +++ b/Libs/IO/hdl/tristateBufferULogicVector_sim.vhd @@ -0,0 +1,5 @@ +ARCHITECTURE sim OF tristateBufferULogicVector IS +BEGIN + out1 <= std_logic_vector(in1) after delay when OE = '1' else (others => 'Z') after delay; +END ARCHITECTURE sim; + diff --git a/Libs/IO/hdl/tristateBufferULogic_sim.vhd b/Libs/IO/hdl/tristateBufferULogic_sim.vhd new file mode 100644 index 0000000..ba007ba --- /dev/null +++ b/Libs/IO/hdl/tristateBufferULogic_sim.vhd @@ -0,0 +1,5 @@ +ARCHITECTURE sim OF tristateBufferULogic IS +BEGIN + out1 <= in1 after delay when OE = '1' else 'Z' after delay; +END ARCHITECTURE sim; + diff --git a/Libs/IO/hdl/tristateBufferUnsigned_sim.vhd b/Libs/IO/hdl/tristateBufferUnsigned_sim.vhd new file mode 100644 index 0000000..19166d3 --- /dev/null +++ b/Libs/IO/hdl/tristateBufferUnsigned_sim.vhd @@ -0,0 +1,5 @@ +ARCHITECTURE sim OF tristateBufferUnsigned IS +BEGIN + out1 <= in1 after delay when OE = '1' else (others => 'Z') after delay; +END ARCHITECTURE sim; + diff --git a/Libs/IO/hds/.hdlsidedata/_tristateBufferSigned_sim.vhd._fpf b/Libs/IO/hds/.hdlsidedata/_tristateBufferSigned_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/IO/hds/.hdlsidedata/_tristateBufferSigned_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/IO/hds/.hdlsidedata/_tristateBufferULogicVector_sim.vhd._fpf b/Libs/IO/hds/.hdlsidedata/_tristateBufferULogicVector_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/IO/hds/.hdlsidedata/_tristateBufferULogicVector_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/IO/hds/.hdlsidedata/_tristateBufferULogic_sim.vhd._fpf b/Libs/IO/hds/.hdlsidedata/_tristateBufferULogic_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/IO/hds/.hdlsidedata/_tristateBufferULogic_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/IO/hds/.hdlsidedata/_tristateBufferUnsigned_sim.vhd._fpf b/Libs/IO/hds/.hdlsidedata/_tristateBufferUnsigned_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/IO/hds/.hdlsidedata/_tristateBufferUnsigned_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/IO/hds/.hdlsidedata/_tristatebuffersigned_entity.vhg._fpf b/Libs/IO/hds/.hdlsidedata/_tristatebuffersigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/IO/hds/.hdlsidedata/_tristatebuffersigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/IO/hds/.hdlsidedata/_tristatebufferulogic_entity.vhg._fpf b/Libs/IO/hds/.hdlsidedata/_tristatebufferulogic_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/IO/hds/.hdlsidedata/_tristatebufferulogic_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/IO/hds/.hdlsidedata/_tristatebufferulogicvector_entity.vhg._fpf b/Libs/IO/hds/.hdlsidedata/_tristatebufferulogicvector_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/IO/hds/.hdlsidedata/_tristatebufferulogicvector_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/IO/hds/.hdlsidedata/_tristatebufferunsigned_entity.vhg._fpf b/Libs/IO/hds/.hdlsidedata/_tristatebufferunsigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/IO/hds/.hdlsidedata/_tristatebufferunsigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/IO/hds/_tristatebuffersigned._epf b/Libs/IO/hds/_tristatebuffersigned._epf new file mode 100644 index 0000000..69ce634 --- /dev/null +++ b/Libs/IO/hds/_tristatebuffersigned._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom tristateBufferSigned_sim.vhd diff --git a/Libs/IO/hds/_tristatebufferulogicvector._epf b/Libs/IO/hds/_tristatebufferulogicvector._epf new file mode 100644 index 0000000..2da5c39 --- /dev/null +++ b/Libs/IO/hds/_tristatebufferulogicvector._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom tristateBufferULogicVector_sim.vhd diff --git a/Libs/IO/hds/tristate@buffer@signed/symbol.sb b/Libs/IO/hds/tristate@buffer@signed/symbol.sb new file mode 100644 index 0000000..8a28a48 --- /dev/null +++ b/Libs/IO/hds/tristate@buffer@signed/symbol.sb @@ -0,0 +1,1509 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 101,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 102,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 103,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "OE" +t "std_ulogic" +o 3 +suid 2003,0 +) +) +uid 191,0 +) +] +) +pdm (PhysicalDM +uid 104,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 43,0 +optionalChildren [ +*19 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*20 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*21 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*22 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 69,0 +) +*23 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 70,0 +) +*24 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 192,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*25 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*26 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*27 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*28 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*29 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*30 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*31 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*32 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 100,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 106,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "dataNbBits" +type "positive" +value "8" +) +uid 96,0 +) +*46 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 97,0 +) +] +) +pdm (PhysicalDM +uid 107,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &33 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*49 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 75,0 +) +*50 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 77,0 +) +*51 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*52 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 98,0 +) +*53 (MRCItem +litem &46 +pos 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*54 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 81,0 +) +*55 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 85,0 +) +*56 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 87,0 +) +*57 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 89,0 +) +*58 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 91,0 +) +*59 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 93,0 +) +*60 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 105,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@signed/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@signed/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@signed" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristateBufferSigned" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "tristateBufferSigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:44:58" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "io" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/IO/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "tristateBufferSigned" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@signed/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristateBufferSigned/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:44:58" +) +(vvPair +variable "unit" +value "tristateBufferSigned" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,20625,35000,21375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "35000,20700,43400,21700" +st "in1 : (dataNbBits-1:0)" +blo "35000,21500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,25500,11600" +st "in1 : IN signed (dataNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,20625,40750,21375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "31200,20700,40000,21700" +st "out1 : (dataNbBits-1:0)" +ju 2 +blo "40000,21500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,24500,12500" +st "out1 : OUT signed (dataNbBits-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 186,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 187,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,18450,37375,19200" +) +tg (CPTG +uid 188,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 189,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "36500,20200,37500,21700" +st "OE" +ju 2 +blo "37300,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 190,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9800,16000,10700" +st "OE : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "OE" +t "std_ulogic" +o 3 +suid 2003,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,18000,40000,24000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "35910,23700,36910,24600" +st "io" +blo "35910,24400" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "35910,24600,46410,25500" +st "tristateBufferSigned" +blo "35910,25300" +) +) +gi *65 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "35000,26800,51000,30400" +st "Generic Declarations + +dataNbBits positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataNbBits" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*66 (Grouping +uid 131,0 +optionalChildren [ +*67 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 142,0 +shape (Rectangle +uid 143,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 144,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 145,0 +shape (Rectangle +uid 146,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 147,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 148,0 +shape (Rectangle +uid 149,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 150,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 151,0 +shape (Rectangle +uid 152,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 153,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 154,0 +shape (Rectangle +uid 155,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 156,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 157,0 +shape (Rectangle +uid 158,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 159,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 160,0 +shape (Rectangle +uid 161,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 162,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 132,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *77 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 40,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*79 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,18600,6000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "27,29,1339,944" +viewArea "-1100,-1100,74317,54169" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *80 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *81 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,12500,2500,13400" +st "User:" +blo "0,13200" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13400,2000,13400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 215,0 +activeModelName "Symbol" +) diff --git a/Libs/IO/hds/tristate@buffer@u@logic/symbol.sb b/Libs/IO/hds/tristate@buffer@u@logic/symbol.sb new file mode 100644 index 0000000..af5937b --- /dev/null +++ b/Libs/IO/hds/tristate@buffer@u@logic/symbol.sb @@ -0,0 +1,1480 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 99,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 100,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 101,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "OE" +t "std_ulogic" +o 3 +suid 2003,0 +) +) +uid 189,0 +) +] +) +pdm (PhysicalDM +uid 102,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 43,0 +optionalChildren [ +*19 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*20 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*21 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 70,0 +) +*24 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 190,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*25 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*26 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*27 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*28 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*29 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*30 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*31 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*32 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 98,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 104,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 96,0 +) +] +) +pdm (PhysicalDM +uid 105,0 +optionalChildren [ +*46 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *47 (MRCItem +litem &33 +pos 1 +dimension 20 +) +uid 72,0 +optionalChildren [ +*48 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 75,0 +) +*49 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 77,0 +) +*50 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*51 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 97,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*52 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 81,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 85,0 +) +*54 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 87,0 +) +*55 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 89,0 +) +*56 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 91,0 +) +*57 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 93,0 +) +*58 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 103,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@u@logic/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@u@logic/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@u@logic" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristateBufferULogic" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "tristateBufferULogic" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:44:58" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "io" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/IO/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "tristateBufferULogic" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@u@logic/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristateBufferULogic/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:44:58" +) +(vvPair +variable "unit" +value "tristateBufferULogic" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*59 (SymbolBody +uid 8,0 +optionalChildren [ +*60 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,17625,32000,18375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "32000,17700,33400,18700" +st "in1" +blo "32000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,16000,11600" +st "in1 : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*61 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37000,17625,37750,18375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "35200,17700,37000,18700" +st "out1" +ju 2 +blo "37000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,15000,12500" +st "out1 : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_uLogic" +o 2 +suid 2,0 +) +) +) +*62 (CptPort +uid 184,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 185,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33625,15450,34375,16200" +) +tg (CPTG +uid 186,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 187,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "148250,29050,149250,30550" +st "OE" +ju 2 +blo "149050,29050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 188,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9800,16000,10700" +st "OE : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "OE" +t "std_ulogic" +o 3 +suid 2003,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,15000,37000,21000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "32910,20700,33910,21600" +st "io" +blo "32910,21400" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "32910,21600,43410,22500" +st "tristateBufferULogic" +blo "32910,22300" +) +) +gi *63 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,23600,43500,26300" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*64 (Grouping +uid 129,0 +optionalChildren [ +*65 (CommentText +uid 131,0 +shape (Rectangle +uid 132,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 133,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*66 (CommentText +uid 134,0 +shape (Rectangle +uid 135,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 136,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*67 (CommentText +uid 137,0 +shape (Rectangle +uid 138,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 139,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 140,0 +shape (Rectangle +uid 141,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 142,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 143,0 +shape (Rectangle +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 145,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 146,0 +shape (Rectangle +uid 147,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 148,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 149,0 +shape (Rectangle +uid 150,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 151,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 152,0 +shape (Rectangle +uid 153,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 154,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 155,0 +shape (Rectangle +uid 156,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 157,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 158,0 +shape (Rectangle +uid 159,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 160,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 130,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *75 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +uid 40,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*77 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,18600,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "65,29,1387,956" +viewArea "-1100,-1100,75007,54997" +cachedDiagramExtent "0,0,149250,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *78 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *79 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,12500,2500,13400" +st "User:" +blo "0,13200" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13400,2000,13400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 213,0 +activeModelName "Symbol" +) diff --git a/Libs/IO/hds/tristate@buffer@u@logic@vector/symbol.sb b/Libs/IO/hds/tristate@buffer@u@logic@vector/symbol.sb new file mode 100644 index 0000000..33c448b --- /dev/null +++ b/Libs/IO/hds/tristate@buffer@u@logic@vector/symbol.sb @@ -0,0 +1,1504 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 101,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "std_uLogic_vector" +b "(dataNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 102,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_Logic_vector" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 103,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "OE" +t "std_ulogic" +o 3 +suid 2003,0 +) +) +uid 191,0 +) +] +) +pdm (PhysicalDM +uid 104,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 43,0 +optionalChildren [ +*19 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*20 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*21 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 70,0 +) +*24 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 192,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*25 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*26 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*27 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*28 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*29 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*30 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*31 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*32 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 100,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 106,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "dataNbBits" +type "positive" +value "8" +) +uid 96,0 +) +*46 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 97,0 +) +] +) +pdm (PhysicalDM +uid 107,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &33 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*49 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 75,0 +) +*50 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 77,0 +) +*51 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*52 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 98,0 +) +*53 (MRCItem +litem &46 +pos 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*54 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 81,0 +) +*55 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 85,0 +) +*56 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 87,0 +) +*57 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 89,0 +) +*58 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 91,0 +) +*59 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 93,0 +) +*60 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 105,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@u@logic@vector/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@u@logic@vector/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@u@logic@vector" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristateBufferULogicVector" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "tristateBufferULogicVector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:44:57" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "io" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/IO/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "tristateBufferULogicVector" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@u@logic@vector/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristateBufferULogicVector/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:44:57" +) +(vvPair +variable "unit" +value "tristateBufferULogicVector" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,18625,35000,19375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "35000,18700,43400,19700" +st "in1 : (dataNbBits-1:0)" +blo "35000,19500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,31000,11600" +st "in1 : IN std_uLogic_vector (dataNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "std_uLogic_vector" +b "(dataNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,18625,40750,19375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "31200,18700,40000,19700" +st "out1 : (dataNbBits-1:0)" +ju 2 +blo "40000,19500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,29500,12500" +st "out1 : OUT std_Logic_vector (dataNbBits-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "std_Logic_vector" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 186,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 187,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,16450,37375,17200" +) +tg (CPTG +uid 188,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 189,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "161250,30050,162250,31550" +st "OE" +ju 2 +blo "162050,30050" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 190,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9800,16000,10700" +st "OE : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "OE" +t "std_ulogic" +o 3 +suid 2003,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,16000,40000,22000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "35910,21700,36910,22600" +st "io" +blo "35910,22400" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "35910,22600,49410,23500" +st "tristateBufferULogicVector" +blo "35910,23300" +) +) +gi *65 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "35000,24800,51000,28400" +st "Generic Declarations + +dataNbBits positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataNbBits" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*66 (Grouping +uid 131,0 +optionalChildren [ +*67 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45000,50000,46000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,41000,57000,42000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,41000,56800,42000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,43000,53000,44000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,43000,52400,44000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 142,0 +shape (Rectangle +uid 143,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,36000,44000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 144,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,43000,35800,44000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 145,0 +shape (Rectangle +uid 146,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,73000,46000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 147,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42200,66400,43200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 148,0 +shape (Rectangle +uid 149,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,41000,73000,42000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 150,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,41000,59000,42000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 151,0 +shape (Rectangle +uid 152,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,53000,43000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 153,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,41500,47000,42500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 154,0 +shape (Rectangle +uid 155,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 156,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44000,35200,45000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 157,0 +shape (Rectangle +uid 158,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 159,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45000,35800,46000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 160,0 +shape (Rectangle +uid 161,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 162,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44000,46400,45000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 132,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,41000,73000,46000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *77 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 40,0 +va (VaSet +font "courier,8,1" +) +xt "0,-3000,5400,-2000" +st "Package List" +blo "0,-2200" +) +*79 (MLText +uid 41,0 +va (VaSet +) +xt "0,-2000,18600,2000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "3,38,1382,918" +viewArea "-1100,-4100,76620,45346" +cachedDiagramExtent "0,-3000,162250,46000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *80 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *81 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,12500,2500,13400" +st "User:" +blo "0,13200" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13400,2000,13400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 238,0 +activeModelName "Symbol" +) diff --git a/Libs/IO/hds/tristate@buffer@unsigned/symbol.sb b/Libs/IO/hds/tristate@buffer@unsigned/symbol.sb new file mode 100644 index 0000000..7d63180 --- /dev/null +++ b/Libs/IO/hds/tristate@buffer@unsigned/symbol.sb @@ -0,0 +1,1509 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 101,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 102,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 103,0 +) +*4 (RefLabelRowHdr +) +*5 (TitleRowHdr +) +*6 (FilterRowHdr +) +*7 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*8 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*9 (GroupColHdr +tm "GroupColHdrMgr" +) +*10 (NameColHdr +tm "NameColHdrMgr" +) +*11 (ModeColHdr +tm "ModeColHdrMgr" +) +*12 (TypeColHdr +tm "TypeColHdrMgr" +) +*13 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*14 (InitColHdr +tm "InitColHdrMgr" +) +*15 (EolColHdr +tm "EolColHdrMgr" +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "OE" +t "std_ulogic" +o 3 +suid 2003,0 +) +) +uid 191,0 +) +] +) +pdm (PhysicalDM +uid 104,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 43,0 +optionalChildren [ +*19 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 46,0 +) +*20 (MRCItem +litem &5 +pos 1 +dimension 23 +uid 48,0 +) +*21 (MRCItem +litem &6 +pos 2 +hidden 1 +dimension 20 +uid 50,0 +) +*22 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 69,0 +) +*23 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 70,0 +) +*24 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 192,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 44,0 +optionalChildren [ +*25 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 52,0 +) +*26 (MRCItem +litem &9 +pos 1 +dimension 50 +uid 56,0 +) +*27 (MRCItem +litem &10 +pos 2 +dimension 100 +uid 58,0 +) +*28 (MRCItem +litem &11 +pos 3 +dimension 50 +uid 60,0 +) +*29 (MRCItem +litem &12 +pos 4 +dimension 100 +uid 62,0 +) +*30 (MRCItem +litem &13 +pos 5 +dimension 100 +uid 64,0 +) +*31 (MRCItem +litem &14 +pos 6 +dimension 50 +uid 66,0 +) +*32 (MRCItem +litem &15 +pos 7 +dimension 80 +uid 68,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 42,0 +vaOverrides [ +] +) +] +) +uid 100,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 106,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "dataNbBits" +type "positive" +value "8" +) +uid 96,0 +) +*46 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 97,0 +) +] +) +pdm (PhysicalDM +uid 107,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &33 +pos 2 +dimension 20 +) +uid 72,0 +optionalChildren [ +*49 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 75,0 +) +*50 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 77,0 +) +*51 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 79,0 +) +*52 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 98,0 +) +*53 (MRCItem +litem &46 +pos 1 +dimension 20 +uid 99,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 73,0 +optionalChildren [ +*54 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 81,0 +) +*55 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 85,0 +) +*56 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 87,0 +) +*57 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 89,0 +) +*58 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 91,0 +) +*59 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 93,0 +) +*60 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 95,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 71,0 +vaOverrides [ +] +) +] +) +uid 105,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@unsigned/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@unsigned/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@unsigned" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristateBufferUnsigned" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "tristateBufferUnsigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:44:57" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "io" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/IO/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "tristateBufferUnsigned" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristate@buffer@unsigned/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/IO/hds/tristateBufferUnsigned/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:44:57" +) +(vvPair +variable "unit" +value "tristateBufferUnsigned" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 16,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 17,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,18625,36000,19375" +) +tg (CPTG +uid 38,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 19,0 +va (VaSet +isHidden 1 +) +xt "36000,18700,44400,19700" +st "in1 : (dataNbBits-1:0)" +blo "36000,19500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 34,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10700,26500,11600" +st "in1 : IN unsigned (dataNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 22,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 23,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,18625,41750,19375" +) +tg (CPTG +uid 39,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 25,0 +va (VaSet +isHidden 1 +) +xt "32200,18700,41000,19700" +st "out1 : (dataNbBits-1:0)" +ju 2 +blo "41000,19500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 35,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11600,25500,12500" +st "out1 : OUT unsigned (dataNbBits-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 186,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 187,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37625,16450,38375,17200" +) +tg (CPTG +uid 188,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 189,0 +ro 270 +va (VaSet +isHidden 1 +) +xt "92250,-3550,93250,-2050" +st "OE" +ju 2 +blo "93050,-3550" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 190,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9800,16000,10700" +st "OE : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "OE" +t "std_ulogic" +o 3 +suid 2003,0 +) +) +) +] +shape (Buf +uid 30,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,16000,41000,22000" +) +showPorts 0 +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "36910,21700,37910,22600" +st "io" +blo "36910,22400" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "36910,22600,48410,23500" +st "tristateBufferUnsigned" +blo "36910,23300" +) +) +gi *65 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "36000,24800,52000,28400" +st "Generic Declarations + +dataNbBits positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataNbBits" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sN 0 +) +) +*66 (Grouping +uid 131,0 +optionalChildren [ +*67 (CommentText +uid 133,0 +shape (Rectangle +uid 134,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 135,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 136,0 +shape (Rectangle +uid 137,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 138,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 139,0 +shape (Rectangle +uid 140,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 141,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 142,0 +shape (Rectangle +uid 143,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 144,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 145,0 +shape (Rectangle +uid 146,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 147,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 148,0 +shape (Rectangle +uid 149,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 150,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 151,0 +shape (Rectangle +uid 152,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 153,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 154,0 +shape (Rectangle +uid 155,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 156,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 157,0 +shape (Rectangle +uid 158,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 159,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 160,0 +shape (Rectangle +uid 161,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 162,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,52400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 132,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *77 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 40,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*79 (MLText +uid 41,0 +va (VaSet +) +xt "0,1000,18600,6000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "0,35,1397,924" +viewArea "-1084,-1084,77174,50401" +cachedDiagramExtent "0,-3550,93250,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *80 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *81 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7800,5400,8800" +st "Declarations" +blo "0,8600" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8800,2700,9800" +st "Ports:" +blo "0,9600" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,12500,2500,13400" +st "User:" +blo "0,13200" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,15900,5800,16900" +st "Internal User:" +blo "0,16700" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13400,2000,13400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,17600,2000,17600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 215,0 +activeModelName "Symbol" +) diff --git a/Libs/Lcd/hdl/helloWorld_RTL.vhd b/Libs/Lcd/hdl/helloWorld_RTL.vhd new file mode 100644 index 0000000..62f4e05 --- /dev/null +++ b/Libs/Lcd/hdl/helloWorld_RTL.vhd @@ -0,0 +1,159 @@ +library Common; + use Common.CommonLib.all; + +ARCHITECTURE RTL OF helloWorld IS + + constant displaySequenceLength: positive := 97; + type displayDataType is array (1 to displaySequenceLength+1) + of natural; + constant displayData: displayDataType :=( + character'pos(can), -- cancel (clear display) + character'pos(stx), -- start of text (pos 0,0) + character'pos('H'), -- Line 1 + character'pos('E'), + character'pos('S'), + character'pos('-'), + character'pos('S'), + character'pos('O'), + character'pos('/'), + character'pos('/'), + character'pos('V'), + character'pos('a'), + character'pos('l'), + character'pos('a'), + character'pos('i'), + character'pos('s'), + character'pos(' '), + character'pos('W'), + character'pos('a'), + character'pos('l'), + character'pos('l'), + character'pos('i'), + character'pos('s'), + character'pos(' '), + character'pos(cr), + character'pos(lf), + character'pos('-'), -- Line 2 + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos('-'), + character'pos(cr), + character'pos(lf), + character'pos('F'), -- Line 3 + character'pos('P'), + character'pos('G'), + character'pos('A'), + character'pos('-'), + character'pos('E'), + character'pos('B'), + character'pos('S'), + character'pos(' '), + character'pos('L'), + character'pos('C'), + character'pos('D'), + character'pos('-'), + character'pos('E'), + character'pos('x'), + character'pos('t'), + character'pos('e'), + character'pos('n'), + character'pos('s'), + character'pos('i'), + character'pos('o'), + character'pos('n'), + character'pos(cr), + character'pos(lf), + character'pos('L'), -- Line 4 + character'pos('C'), + character'pos('D'), + character'pos(','), + character'pos(' '), + character'pos('4'), + character'pos(' '), + character'pos('B'), + character'pos('u'), + character'pos('t'), + character'pos('t'), + character'pos('o'), + character'pos('n'), + character'pos('s'), + character'pos(','), + character'pos(' '), + character'pos('8'), + character'pos(' '), + character'pos('L'), + character'pos('e'), + character'pos('d'), + character'pos('s'), + character'pos(stx), -- start of text (pos 0,0) + character'pos('-') + ); + + signal sequenceCounter: unsigned(requiredBitNb(displaySequenceLength+1)-1 downto 0); + signal sequenceDone: std_ulogic; + + signal buttonDelayed, buttonRising: std_ulogic; + +BEGIN + ------------------------------------------------------------------------------ + -- find button push + delayButton: process(reset, clock) + begin + if reset='1' then + buttonDelayed <= '0'; + elsif rising_edge(clock) then + buttonDelayed <= button; + end if; + end process delayButton; + + buttonRising <= '1' when (button = '1') and (buttonDelayed = '0') + else '0'; + + ------------------------------------------------------------------------------ + -- display sequence counter + countDisplaySequence: process(reset, clock) + begin + if reset='1' then + sequenceCounter <= to_unsigned(1, sequenceCounter'length); + elsif rising_edge(clock) then + if (buttonRising = '1') and (sequenceDone = '1') then + sequenceCounter <= to_unsigned(1, sequenceCounter'length); + elsif busy = '0' then + if sequenceDone = '0' then + sequenceCounter <= sequenceCounter + 1; + end if; + end if; + end if; + end process countDisplaySequence; + + sequenceDone <= '1' when sequenceCounter > displaySequenceLength + else '0'; + + ------------------------------------------------------------------------------ + -- output control + ascii <= std_ulogic_vector(to_unsigned( + displayData(to_integer(sequenceCounter)), ascii'length + )) when (sequenceCounter > 0) + else (others => '-'); + send <= not busy when sequenceDone = '0' + else '0'; + +END ARCHITECTURE RTL; diff --git a/Libs/Lcd/hdl/lcdCharacterEncoder_RTL.vhd b/Libs/Lcd/hdl/lcdCharacterEncoder_RTL.vhd new file mode 100644 index 0000000..64c0c54 --- /dev/null +++ b/Libs/Lcd/hdl/lcdCharacterEncoder_RTL.vhd @@ -0,0 +1,213 @@ +library Common; + use Common.CommonLib.all; + +ARCHITECTURE Encoder OF lcdCharacterEncoder IS + + constant lcdLineBitNb : positive := 6; + constant lcdPageBitNb : positive := 4; + constant lcdColumnBitNb : positive := 8; + + + type fontDisplayStateType is ( + init, idle, readChar, displayColumns + ); + signal fontDisplayState : fontDisplayStateType; + + signal asciiColumnCounter : unsigned(requiredBitNb(fontColumnNb)-1 downto 0); + signal pixelOffset : unsigned(requiredBitNb(fontColumnNb*fontRowNb-1)-1 downto 0); + + signal pageCounter : unsigned(requiredBitNb(lcdPageNb)-1 downto 0); + signal columnCounter : unsigned(requiredBitNb(lcdColumnNb)-1 downto 0); + + signal A0 : std_ulogic; + + signal pixelPage : std_ulogic_vector(fontRowNb-1 downto 0); + signal pixelColumnHigh : std_ulogic_vector(fontRowNb-1 downto 0); + signal pixelColumnLow : std_ulogic_vector(fontRowNb-1 downto 0); + +BEGIN + ------------------------------------------------------------------------------ + -- diplay FSM + fontDisplaySequencer: process(reset, clock) + begin + if reset = '1' then + fontDisplayState <= init; + elsif rising_edge(clock) then + case fontDisplayState is + when init => + if lcdBusy = '0' then + fontDisplayState <= idle; + end if; + when idle => + if asciiSend = '1' then + fontDisplayState <= readChar; + end if; + when readChar => + fontDisplayState <= displayColumns; + when displayColumns => + if (asciiColumnCounter = 0) and (lcdBusy = '0') then + fontDisplayState <= idle; + end if; + end case; + end if; + end process fontDisplaySequencer; + + asciiBusy <= '0' when fontDisplayState = idle + else '1'; + + a0_proc: process(reset ,clock) + begin + if reset = '1' then + A0 <= '0'; + elsif rising_edge(clock) then + if asciiSend = '1' then + if unsigned(asciiData) < 32 then + A0 <= '0'; + else + A0 <= '1'; + end if; + end if; + end if; + end process a0_proc; + + + ------------------------------------------------------------------------------ + -- ascii column counter + asciiCountColums: process(reset, clock) + begin + if reset = '1' then + asciiColumnCounter <= (others => '0'); + elsif rising_edge(clock) then + if asciiColumnCounter = 0 then + if (fontDisplayState = idle) and (asciiSend = '1') then + asciiColumnCounter <= asciiColumnCounter + 1; + end if; + else + if (fontDisplayState = displayColumns) and (lcdBusy = '0') then + if asciiColumnCounter < fontColumnNb then + asciiColumnCounter <= asciiColumnCounter + 1; + else + asciiColumnCounter <= (others => '0'); + end if; + end if; + end if; + end if; + end process asciiCountColums; + ------------------------------------------------------------------------------ + -- page, column counter + counter: process(reset, clock) + begin + if reset = '1' then + pageCounter <= (others => '0'); + columnCounter <= (others => '0'); + clearDisplay <= '0'; + elsif rising_edge(clock) then + clearDisplay <= '0'; + if asciiSend = '1' then + case to_integer(unsigned(asciiData)) is + when 2 => -- Start of text (home) + pageCounter <= (others => '0'); + columnCounter <= (others => '0'); + when 3 => -- End of text (end) + pageCounter <= to_unsigned(lcdPageNb - 1,pageCounter'length); + columnCounter <= to_unsigned(lcdColumnNb - fontColumnNb, columnCounter'length); + when 8 => -- BS (backspace) (column back) + if (columnCounter - fontColumnNb) < 0 then + columnCounter <= (others => '0'); + else + columnCounter <= columnCounter - fontColumnNb; + end if; + when 10 => -- LF (linefeed) (next line) + if pageCounter = (lcdPageNb-1) then + pageCounter <= (others => '0'); + else + pageCounter <= pageCounter + 1; + end if; + when 11 => -- Vertical Tab (prev line) + if pageCounter = 0 then + pageCounter <= to_unsigned(lcdPageNb - 1,pageCounter'length); + else + pageCounter <= pageCounter - 1; + end if; + when 13 => -- CR (carriage return) (coloumn back) + columnCounter <= (others => '0'); + when 24 => -- CAN (cancel) (clear display) + clearDisplay <= '1'; + when others => + if asciiData >= x"20" then -- normal ascii char + columnCounter <= columnCounter + fontColumnNb; + end if; + end case; + end if; + end if; + end process counter; + + lcdSend <= '1' when + (fontDisplayState = displayColumns) and + (lcdBusy = '0') and + (asciiColumnCounter > 0) + else '0'; + ------------------------------------------------------------------------------ + -- Ram Data + pixelOffset <= resize( + resize(fontColumnNb-asciiColumnCounter, pixelOffset'length)*fontRowNb, + pixelOffset'length + ) when asciiColumnCounter > 0 + else (others => '0'); + pixelPage <= + pixelData( + to_integer(pixelOffset) + fontRowNb-1 downto + to_integer(pixelOffset) + lcdPageBitNb + ) & + std_ulogic_vector(resize(pageCounter,lcdPageBitNb)); + pixelColumnHigh <= + pixelData( + to_integer(pixelOffset) + fontRowNb-1 downto + to_integer(pixelOffset) + (lcdColumnBitNb/2) + ) & + std_ulogic_vector(columnCounter( + columnCounter'high downto (columnCounter'length/2) + )); + pixelColumnLow <= + pixelData( + to_integer(pixelOffset) + fontRowNb-1 downto + to_integer(pixelOffset) + (lcdColumnBitNb/2) + ) & + std_ulogic_vector(columnCounter( + (columnCounter'length/2)-1 downto columnCounter'low + )); + + buildLcdData: process( + A0, pixelData, pixelOffset, + pixelPage, pixelColumnHigh, pixelColumnLow + ) + begin + lcdData(lcdData'high) <= A0; + if A0 = '1' then + lcdData(lcdData'high-1 downto 0) <= pixelData( + to_integer(pixelOffset)+fontRowNb-1 downto to_integer(pixelOffset) + ); + elsif pixelOffset >= 40 then + lcdData(lcdData'high-1 downto 0) <= pixelData( + to_integer(pixelOffset)+fontRowNb-1 downto to_integer(pixelOffset) + ); + elsif pixelOffset >= 32 then + lcdData(lcdData'high-1 downto 0) <= pixelPage; + elsif pixelOffset >= 24 then + lcdData(lcdData'high-1 downto 0) <= pixelColumnHigh; + elsif pixelOffset >= 16 then + lcdData(lcdData'high-1 downto 0) <= pixelColumnLow; + else + lcdData(lcdData'high-1 downto 0) <= pixelData( + to_integer(pixelOffset)+fontRowNb-1 downto to_integer(pixelOffset) + ); + end if; + end process buildLcdData; + --lcdData <= A0 & pixelData(to_integer(pixelOffset)+fontRowNb-1 downto to_integer(pixelOffset)) when (A0 = '1') + -- else A0 & pixelData(to_integer(pixelOffset)+fontRowNb-1 downto to_integer(pixelOffset)) when (pixelOffset >= 40) + -- else A0 & pixelPage when (pixelOffset >= 32) + -- else A0 & pixelColumnHigh when (pixelOffset >= 24) + -- else A0 & pixelColumnLow when (pixelOffset >= 16) + -- else A0 & pixelData(to_integer(pixelOffset)+fontRowNb-1 downto to_integer(pixelOffset)); + +END ARCHITECTURE Encoder; diff --git a/Libs/Lcd/hdl/lcdInitializer_RTL.vhd b/Libs/Lcd/hdl/lcdInitializer_RTL.vhd new file mode 100644 index 0000000..8bbc6d1 --- /dev/null +++ b/Libs/Lcd/hdl/lcdInitializer_RTL.vhd @@ -0,0 +1,105 @@ +library Common; + use Common.CommonLib.all; + +ARCHITECTURE RTL OF lcdInitializer IS + + constant initializationSequenceLength: positive := 14; + type initializationDataType is array (1 to initializationSequenceLength+1) + of std_ulogic_vector(lcdData'range); + constant initializationData: initializationDataType :=( + '0' & X"40", -- Display start line 0 + '0' & X"A1", -- ADC reverse + '0' & X"C0", -- Normal COM0~COM31 + '0' & X"A6", -- Display normal + '0' & X"A2", -- Set bias 1/9 (Duty 1/33) + '0' & X"2F", -- Booster, Regulator and Follower on + '0' & X"F8", -- Set internal Booster to 3x / 4x + '0' & X"00", -- + '0' & X"23", -- Contrast set + '0' & X"81", -- + '0' & X"1F", -- + '0' & X"AC", -- No indicator + '0' & X"00", -- + '0' & X"AF", -- Display on + std_ulogic_vector(to_unsigned(0, lcdData'length)) + ); + + constant clearDisplaySequenceLength : positive := 566;--(3+132)*4 + 3; -- (3 commands + 132 columns) * 4 pages + jump back to start + constant clearDisplayDataLength : positive := 6; + type clearDisplayDataType is array (1 to clearDisplayDataLength+1) + of std_ulogic_vector(lcdData'range); + constant clearDisplayData: clearDisplayDataType :=( + -- ind seq + '0' & X"B0", -- 1 Page 0 + '0' & X"B1", -- 2 Page 1 + '0' & X"B2", -- 3 Page 2 + '0' & X"B3", -- 4 Page 3 + '0' & X"10", -- 5 Column MSB 0 + '0' & X"00", -- 6 Column LSB 0 + '1' & X"00" -- 7 Data "empty" + ); + + signal initSequenceCounter: unsigned(requiredBitNb(initializationSequenceLength+1)-1 downto 0); + signal initSequenceDone: std_ulogic; + signal clearSequenceCounter: unsigned(requiredBitNb(clearDisplaySequenceLength+1)-1 downto 0); + signal clearSequenceDone: std_ulogic; + +BEGIN + ------------------------------------------------------------------------------ + -- initialization sequence counter + buildInitSequence: process(reset, clock) + begin + if reset='1' then + initSequenceCounter <= to_unsigned(1, initSequenceCounter'length); + elsif rising_edge(clock) then + if lcdBusy = '0' then + if initSequenceDone = '0' then + initSequenceCounter <= initSequenceCounter + 1; + end if; + end if; + end if; + end process buildInitSequence; + + initSequenceDone <= '1' when initSequenceCounter > initializationSequenceLength + else '0'; + + ------------------------------------------------------------------------------ + -- clear sequence counter + buildClearSequence: process(reset, clock) + begin + if reset='1' then + clearSequenceCounter <= to_unsigned(clearDisplaySequenceLength+1, clearSequenceCounter'length); + elsif rising_edge(clock) then + if lcdBusy = '0' then + if clearDisplay = '1' and initSequenceDone = '1' then + clearSequenceCounter <= to_unsigned(1, clearSequenceCounter'length); + elsif clearSequenceDone = '0' then + clearSequenceCounter <= clearSequenceCounter + 1; + end if; + end if; + end if; + end process buildClearSequence; + + clearSequenceDone <= '1' when clearSequenceCounter > clearDisplaySequenceLength + else '0'; + + ------------------------------------------------------------------------------ + -- data multiplexer + lcdData <= columnData when (initSequenceDone = '1' and clearSequenceDone = '1') + else initializationData(to_integer(initSequenceCounter)) when (initSequenceCounter > 0 and initSequenceDone = '0') + else clearDisplayData(1) when (clearSequenceCounter = 1 or clearSequenceCounter = 564) + else clearDisplayData(2) when (clearSequenceCounter = 137) + else clearDisplayData(3) when (clearSequenceCounter = 273) + else clearDisplayData(4) when (clearSequenceCounter = 409) + else clearDisplayData(5) when (clearSequenceCounter = 2 or clearSequenceCounter = 138 or clearSequenceCounter = 274 or clearSequenceCounter = 410 or clearSequenceCounter = 565) + else clearDisplayData(6) when (clearSequenceCounter = 3 or clearSequenceCounter = 139 or clearSequenceCounter = 275 or clearSequenceCounter = 411 or clearSequenceCounter = 566) + else clearDisplayData(7); + + lcdSend <= columnSend when initSequenceDone = '1' and clearSequenceDone = '1' + else not lcdBusy when initSequenceCounter <= initializationSequenceLength + else not lcdBusy when clearSequenceCounter <= clearDisplaySequenceLength + else '0'; + columnBusy <= lcdBusy when initSequenceDone = '1' and clearSequenceDone = '1' + else '1'; + +END ARCHITECTURE RTL; diff --git a/Libs/Lcd/hdl/lcdSerializer_RTL.vhd b/Libs/Lcd/hdl/lcdSerializer_RTL.vhd new file mode 100644 index 0000000..dfee520 --- /dev/null +++ b/Libs/Lcd/hdl/lcdSerializer_RTL.vhd @@ -0,0 +1,143 @@ +library Common; + use Common.CommonLib.all; + +ARCHITECTURE RTL OF lcdSerializer IS + + ------------------------------------------------------------------------------ + -- The clock-pulse rate of the SCL line can be up to 20 MHz @3.3V + -- The clock frequency is divided by generic value "baudRateDivide" + -- The corresponding "sclEn" is further divided by 2 to generate SCL + -- + signal sclCounter: unsigned(requiredBitNb(baudRateDivide-1)-1 downto 0); + signal sclEn: std_ulogic; + signal scl_int: std_ulogic; + + ------------------------------------------------------------------------------ + -- The minimal reset pulse width is 1 us + -- "sclEn" at 40 MHz has to be divided by 40 to generate the 1 us delay + -- + constant resetCount : natural := 40; + signal resetCounter: unsigned(requiredBitNb(2*resetCount-1)-1 downto 0); + signal resetDone: std_ulogic; + + + ------------------------------------------------------------------------------ + -- Serial data bits have to be stable at the rising edge of SCL + -- Data bits will be updated at the falling edge of SCL + -- + -- Data in comprises 9 bits: A0 (as MSB) and 8 row pixels or command bits + -- A0 selects between command data (A0 = 0) and pixel data (A0 = 1) + -- + constant pixelsPerColumn : positive := data'length-1; + signal dataSampled : std_ulogic_vector(data'range); + signal chipSelect : std_ulogic; + signal updateData: std_ulogic; + signal dataCounter: unsigned(requiredBitNb(pixelsPerColumn+1)-1 downto 0); + +BEGIN + ------------------------------------------------------------------------------ + -- clock divider for SCL + divideClock: process(reset, clock) + begin + if reset='1' then + scl_int <= '0'; + sclCounter <= (others => '0'); + elsif rising_edge(clock) then + if sclEn = '1' then + sclCounter <= (others => '0'); + scl_int <= not scl_int; + else + sclCounter <= sclCounter + 1; + end if; + end if; + end process divideClock; + + sclEn <= '1' when sclCounter = baudRateDivide-1 + else '0'; + + ------------------------------------------------------------------------------ + -- LCD reset + process(clock,reset) + variable i : natural; + begin + if reset = '1' then + resetCounter <= (others => '0'); + elsif rising_edge(clock) then + if sclEn = '1' then + if resetDone = '0' then + resetCounter <= resetCounter + 1; + end if; + end if; + end if; + end process; + + resetDone <= '1' when resetCounter >= 2*resetCount-1 + else '0'; + RST_n <= '1' when resetCounter >= resetCount-1 + else '0'; + + ------------------------------------------------------------------------------ + -- sample input data + process (reset, clock) + begin + if reset = '1' then + dataSampled <= (others => '0'); + elsif rising_edge(clock) then + if send = '1' then + dataSampled <= data; + end if; + end if; + end process; + + ------------------------------------------------------------------------------ + -- A0 + A0 <= dataSampled(data'high); + + ------------------------------------------------------------------------------ + -- serialize data + updateData <= sclEn and scl_int; + + process (reset, clock) + begin + if reset = '1' then + dataCounter <= (others => '0'); + elsif rising_edge(clock) then + if resetDone = '1' then + if dataCounter = 0 then + if send = '1' then + dataCounter <= to_unsigned(pixelsPerColumn+1, dataCounter'length); + end if; + else + if updateData = '1' then + dataCounter <= dataCounter - 1; + end if; + end if; + end if; + end if; + end process; + + busy <= '1' when (resetDone = '0') or (dataCounter > 0) + else '0'; + chipSelect <= '1' when (dataCounter > 0) and (dataCounter < pixelsPerColumn+1) + else '0'; + + sampleData: process (reset, clock) + begin + if reset = '1' then + CS_n <= '1'; + SCL <= '1'; + SI <= '1'; + elsif rising_edge(clock) then + if chipSelect = '1' then + CS_n <= '0'; + SCL <= scl_int or not(chipSelect); + SI <= dataSampled(to_integer(dataCounter-1)); + else + CS_n <= '1'; + SCL <= '1'; + SI <= '1'; + end if; + end if; + end process sampleData; + +END ARCHITECTURE RTL; diff --git a/Libs/Lcd/hds/.hdlsidedata/_helloWorld_RTL.vhd._fpf b/Libs/Lcd/hds/.hdlsidedata/_helloWorld_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Lcd/hds/.hdlsidedata/_helloWorld_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd/hds/.hdlsidedata/_helloworld_entity.vhg._fpf b/Libs/Lcd/hds/.hdlsidedata/_helloworld_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Lcd/hds/.hdlsidedata/_helloworld_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd/hds/.hdlsidedata/_lcdCharacterEncoder_RTL.vhd._fpf b/Libs/Lcd/hds/.hdlsidedata/_lcdCharacterEncoder_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Lcd/hds/.hdlsidedata/_lcdCharacterEncoder_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd/hds/.hdlsidedata/_lcdInitializer_RTL.vhd._fpf b/Libs/Lcd/hds/.hdlsidedata/_lcdInitializer_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Lcd/hds/.hdlsidedata/_lcdInitializer_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd/hds/.hdlsidedata/_lcdSerializer_RTL.vhd._fpf b/Libs/Lcd/hds/.hdlsidedata/_lcdSerializer_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Lcd/hds/.hdlsidedata/_lcdSerializer_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd/hds/.hdlsidedata/_lcdcharacterencoder_entity.vhg._fpf b/Libs/Lcd/hds/.hdlsidedata/_lcdcharacterencoder_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Lcd/hds/.hdlsidedata/_lcdcharacterencoder_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd/hds/.hdlsidedata/_lcdcontroller_entity.vhg._fpf b/Libs/Lcd/hds/.hdlsidedata/_lcdcontroller_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Lcd/hds/.hdlsidedata/_lcdcontroller_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd/hds/.hdlsidedata/_lcdcontroller_struct.vhg._fpf b/Libs/Lcd/hds/.hdlsidedata/_lcdcontroller_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Lcd/hds/.hdlsidedata/_lcdcontroller_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd/hds/.hdlsidedata/_lcdinitializer_entity.vhg._fpf b/Libs/Lcd/hds/.hdlsidedata/_lcdinitializer_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Lcd/hds/.hdlsidedata/_lcdinitializer_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd/hds/.hdlsidedata/_lcdserializer_entity.vhg._fpf b/Libs/Lcd/hds/.hdlsidedata/_lcdserializer_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Lcd/hds/.hdlsidedata/_lcdserializer_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd/hds/_helloworld._epf b/Libs/Lcd/hds/_helloworld._epf new file mode 100644 index 0000000..28e56b5 --- /dev/null +++ b/Libs/Lcd/hds/_helloworld._epf @@ -0,0 +1,3 @@ +TOP_MARKER atom 0 +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom helloWorld_RTL.vhd diff --git a/Libs/Lcd/hds/_lcdcharacterencoder._epf b/Libs/Lcd/hds/_lcdcharacterencoder._epf new file mode 100644 index 0000000..358609b --- /dev/null +++ b/Libs/Lcd/hds/_lcdcharacterencoder._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom lcdCharacterEncoder_RTL.vhd +DEFAULT_ARCHITECTURE atom Encoder diff --git a/Libs/Lcd/hds/_lcdcontroller._epf b/Libs/Lcd/hds/_lcdcontroller._epf new file mode 100644 index 0000000..e6e0541 --- /dev/null +++ b/Libs/Lcd/hds/_lcdcontroller._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom lcd@controller/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Lcd/hds/_lcddemo._epf b/Libs/Lcd/hds/_lcddemo._epf new file mode 100644 index 0000000..9cb5bdd --- /dev/null +++ b/Libs/Lcd/hds/_lcddemo._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom lcd@demo/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Lcd/hds/_lcdinitializer._epf b/Libs/Lcd/hds/_lcdinitializer._epf new file mode 100644 index 0000000..ffe6a0b --- /dev/null +++ b/Libs/Lcd/hds/_lcdinitializer._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom lcdInitializer_RTL.vhd +DEFAULT_ARCHITECTURE atom RTL diff --git a/Libs/Lcd/hds/_lcdserializer._epf b/Libs/Lcd/hds/_lcdserializer._epf new file mode 100644 index 0000000..f147e2a --- /dev/null +++ b/Libs/Lcd/hds/_lcdserializer._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom lcdSerializer_RTL.vhd +DEFAULT_ARCHITECTURE atom RTL diff --git a/Libs/Lcd/hds/hello@world/symbol.sb b/Libs/Lcd/hds/hello@world/symbol.sb new file mode 100644 index 0000000..af9df0f --- /dev/null +++ b/Libs/Lcd/hds/hello@world/symbol.sb @@ -0,0 +1,1650 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "24.1" +appVersion "2009.2 (Build 10)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 30,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 111,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 113,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 3 +suid 11,0 +) +) +uid 798,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 4 +suid 21,0 +) +) +uid 1608,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 5 +suid 29,0 +) +) +uid 2616,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "button" +t "std_ulogic" +o 6 +suid 30,0 +) +) +uid 2719,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 36,0 +optionalChildren [ +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*24 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +*25 (MRCItem +litem &14 +pos 1 +dimension 20 +uid 112,0 +) +*26 (MRCItem +litem &15 +pos 0 +dimension 20 +uid 114,0 +) +*27 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 797,0 +) +*28 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 1607,0 +) +*29 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 2615,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 2720,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*31 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*32 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*33 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*34 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*35 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*36 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*37 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*38 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "asciiBitNb" +type "positive" +value "7" +) +uid 805,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*52 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *53 (MRCItem +litem &39 +pos 1 +dimension 20 +) +uid 64,0 +optionalChildren [ +*54 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 65,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 66,0 +) +*56 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*57 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 804,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*58 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 69,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 70,0 +) +*60 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 71,0 +) +*61 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 72,0 +) +*62 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 73,0 +) +*63 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 74,0 +) +*64 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "d:\\Workspaces\\svn\\eda\\VHDL\\ELN_board\\..\\Libs\\Lcd\\hdl" +) +(vvPair +variable "HDSDir" +value "d:\\Workspaces\\svn\\eda\\VHDL\\ELN_board\\..\\Libs\\Lcd\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "d:\\Workspaces\\svn\\eda\\VHDL\\ELN_board\\..\\Libs\\Lcd\\hds\\hello@world\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "d:\\Workspaces\\svn\\eda\\VHDL\\ELN_board\\..\\Libs\\Lcd\\hds\\hello@world\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "d:\\Workspaces\\svn\\eda\\VHDL\\ELN_board\\..\\Libs\\Lcd\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concat" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "d:\\Workspaces\\svn\\eda\\VHDL\\ELN_board\\..\\Libs\\Lcd\\hds\\hello@world" +) +(vvPair +variable "d_logical" +value "d:\\Workspaces\\svn\\eda\\VHDL\\ELN_board\\..\\Libs\\Lcd\\hds\\helloWorld" +) +(vvPair +variable "date" +value "22.08.2013" +) +(vvPair +variable "day" +value "Do" +) +(vvPair +variable "day_long" +value "Donnerstag" +) +(vvPair +variable "dd" +value "22" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "helloWorld" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3877" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI/concat" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../libs/SPI/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/$DESIGN_NAME/Lcd/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "helloWorld" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "d:\\Workspaces\\svn\\eda\\VHDL\\ELN_board\\..\\Libs\\Lcd\\hds\\hello@world\\symbol.sb" +) +(vvPair +variable "p_logical" +value "d:\\Workspaces\\svn\\eda\\VHDL\\ELN_board\\..\\Libs\\Lcd\\hds\\helloWorld\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "16:01:40" +) +(vvPair +variable "unit" +value "helloWorld" +) +(vvPair +variable "user" +value "uadmin" +) +(vvPair +variable "version" +value "2009.2 (Build 10)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2013" +) +(vvPair +variable "yy" +value "13" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 19,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,23625,8000,24375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "Verdana,9,0" +) +xt "9000,23400,12400,24600" +st "clock" +blo "9000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,8600,-12000,9400" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*67 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,25625,8000,26375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +font "Verdana,9,0" +) +xt "9000,25400,12300,26600" +st "reset" +blo "9000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,7800,-12000,8600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*68 (CptPort +uid 799,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2744,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,19625,24750,20375" +) +tg (CPTG +uid 801,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 802,0 +va (VaSet +font "Verdana,9,0" +) +xt "19900,19400,23000,20600" +st "ascii" +ju 2 +blo "23000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 803,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,9400,3500,10200" +st "ascii : OUT std_ulogic_vector (asciiBitNb-1 downto 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 3 +suid 11,0 +) +) +) +*69 (CptPort +uid 1611,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2745,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,21625,24750,22375" +) +tg (CPTG +uid 1613,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1614,0 +va (VaSet +font "Verdana,9,0" +) +xt "19900,21400,23000,22600" +st "send" +ju 2 +blo "23000,22400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1615,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,10200,-12000,11000" +st "send : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 4 +suid 21,0 +) +) +) +*70 (CptPort +uid 2617,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2746,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,23625,24750,24375" +) +tg (CPTG +uid 2619,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2620,0 +va (VaSet +font "Verdana,9,0" +) +xt "19900,23400,23000,24600" +st "busy" +ju 2 +blo "23000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2621,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,11000,-12000,11800" +st "busy : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 5 +suid 29,0 +) +) +) +*71 (CptPort +uid 2714,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2715,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,19625,8000,20375" +) +tg (CPTG +uid 2716,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2717,0 +va (VaSet +font "Verdana,9,0" +) +xt "9000,19400,12900,20600" +st "button" +blo "9000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2718,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,11800,-13000,12600" +st "button : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "button" +t "std_ulogic" +o 6 +suid 30,0 +) +) +) +] +shape (Rectangle +uid 148,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "8000,16000,24000,28000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "8600,27800,10900,29000" +st "Lcd" +blo "8600,28800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "8600,29000,15200,30200" +st "helloWorld" +blo "8600,30000" +) +) +gi *72 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "8000,31800,21000,34200" +st "Generic Declarations + +asciiBitNb positive 7 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "asciiBitNb" +type "positive" +value "7" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*73 (Grouping +uid 2491,0 +optionalChildren [ +*74 (CommentText +uid 2493,0 +shape (Rectangle +uid 2494,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "24000,41000,43000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 2495,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "24200,41500,34300,42500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*75 (CommentText +uid 2496,0 +shape (Rectangle +uid 2497,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,41000,18000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 2498,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "-750,41250,10750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*76 (CommentText +uid 2499,0 +shape (Rectangle +uid 2500,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,47000,18000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 2501,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,47500,7200,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*77 (CommentText +uid 2502,0 +shape (Rectangle +uid 2503,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,41000,24000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 2504,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "18200,41500,21200,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*78 (CommentText +uid 2505,0 +shape (Rectangle +uid 2506,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,43000,18000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 2507,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,43500,7200,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*79 (CommentText +uid 2508,0 +shape (Rectangle +uid 2509,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,43000,-3000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 2510,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,43500,-5700,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*80 (CommentText +uid 2511,0 +shape (Rectangle +uid 2512,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,45000,-3000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 2513,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,45500,-5700,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 2514,0 +shape (Rectangle +uid 2515,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,43000,43000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 2516,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "18200,43200,27400,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*82 (CommentText +uid 2517,0 +shape (Rectangle +uid 2518,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,45000,18000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 2519,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,45500,3700,46500" +st " + +%library/%unit/%view + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 2520,0 +shape (Rectangle +uid 2521,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,47000,-3000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 2522,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,47500,-5100,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 2492,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "-8000,41000,43000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *84 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,0,-22400,1200" +st "Package List" +blo "-30000,1000" +) +*86 (MLText +uid 18,0 +va (VaSet +font "Verdana,9,0" +) +xt "-30000,1200,-12500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "153,35,1441,894" +viewArea "-31000,-1000,45320,49688" +cachedDiagramExtent "-30000,0,43000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-30000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,9,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,15000,27600,16200" +st "" +blo "22200,16000" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,16200,26100,17400" +st "" +blo "22200,17200" +) +) +gi *87 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,2500,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,4300,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *88 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,5400,-22600,6600" +st "Declarations" +blo "-30000,6400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,6600,-26300,7800" +st "Ports:" +blo "-30000,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,12600,-26800,13800" +st "User:" +blo "-30000,13600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-30000,5400,-21800,6600" +st "Internal User:" +blo "-30000,6400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,13800,-28000,13800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-30000,5400,-30000,5400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 2746,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Lcd/hds/lcd@character@encoder/symbol.sb b/Libs/Lcd/hds/lcd@character@encoder/symbol.sb new file mode 100644 index 0000000..f95bd99 --- /dev/null +++ b/Libs/Lcd/hds/lcd@character@encoder/symbol.sb @@ -0,0 +1,2071 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2015.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 30,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "asciiData" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 4 +suid 1,0 +) +) +uid 106,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "lcdData" +t "std_ulogic_vector" +b "(lcdDataBitNb-1 downto 0)" +o 3 +suid 2,0 +) +) +uid 108,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 7,0 +) +) +uid 229,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 8,0 +) +) +uid 231,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "lcdBusy" +t "std_ulogic" +o 5 +suid 12,0 +) +) +uid 337,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "asciiBusy" +t "std_ulogic" +o 6 +suid 20,0 +) +) +uid 618,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "asciiSend" +t "std_ulogic" +o 7 +suid 22,0 +) +) +uid 676,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "lcdSend" +t "std_ulogic" +o 8 +suid 26,0 +) +) +uid 708,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "pixelData" +t "std_ulogic_vector" +b "(fontColumnNb*fontRowNb-1 downto 0)" +o 9 +suid 28,0 +) +) +uid 954,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clearDisplay" +t "std_ulogic" +o 10 +suid 29,0 +) +) +uid 1177,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 10 +dimension 20 +) +uid 36,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +*29 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 107,0 +) +*30 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 109,0 +) +*31 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 230,0 +) +*32 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 232,0 +) +*33 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 338,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 619,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 677,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 709,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 955,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 1178,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "lcdDataBitNb" +type "positive" +value "8" +) +uid 142,0 +) +*60 (LogGeneric +generic (GiElement +name "asciiBitNb" +type "positive" +value "7" +) +uid 144,0 +) +*61 (LogGeneric +generic (GiElement +name "fontColumnNb" +type "positive" +value "6" +) +uid 1072,0 +) +*62 (LogGeneric +generic (GiElement +name "fontRowNb" +type "positive" +value "8" +) +uid 1097,0 +) +*63 (LogGeneric +generic (GiElement +name "lcdPageNb" +type "positive" +value "4" +) +uid 1122,0 +) +*64 (LogGeneric +generic (GiElement +name "lcdColumnNb" +type "positive" +value "8" +) +uid 1147,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*65 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *66 (MRCItem +litem &47 +pos 6 +dimension 20 +) +uid 64,0 +optionalChildren [ +*67 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 65,0 +) +*68 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 66,0 +) +*69 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*70 (MRCItem +litem &59 +pos 1 +dimension 20 +uid 143,0 +) +*71 (MRCItem +litem &60 +pos 0 +dimension 20 +uid 145,0 +) +*72 (MRCItem +litem &61 +pos 2 +dimension 20 +uid 1073,0 +) +*73 (MRCItem +litem &62 +pos 3 +dimension 20 +uid 1098,0 +) +*74 (MRCItem +litem &63 +pos 4 +dimension 20 +uid 1123,0 +) +*75 (MRCItem +litem &64 +pos 5 +dimension 20 +uid 1148,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*76 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 69,0 +) +*77 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 70,0 +) +*78 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 71,0 +) +*79 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 72,0 +) +*80 (MRCItem +litem &56 +pos 4 +dimension 95 +uid 73,0 +) +*81 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 74,0 +) +*82 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "R:\\SYND\\Ele_2131\\ELN\\Labs\\Libraries\\Lcd\\hdl" +) +(vvPair +variable "HDSDir" +value "R:\\SYND\\Ele_2131\\ELN\\Labs\\Libraries\\Lcd\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "R:\\SYND\\Ele_2131\\ELN\\Labs\\Libraries\\Lcd\\hds\\lcd@character@encoder\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "R:\\SYND\\Ele_2131\\ELN\\Labs\\Libraries\\Lcd\\hds\\lcd@character@encoder\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "R:\\SYND\\Ele_2131\\ELN\\Labs\\Libraries\\Lcd\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "R:\\SYND\\Ele_2131\\ELN\\Labs\\Libraries\\Lcd\\hds\\lcd@character@encoder" +) +(vvPair +variable "d_logical" +value "R:\\SYND\\Ele_2131\\ELN\\Labs\\Libraries\\Lcd\\hds\\lcdCharacterEncoder" +) +(vvPair +variable "date" +value "14.12.2017" +) +(vvPair +variable "day" +value "Do" +) +(vvPair +variable "day_long" +value "Donnerstag" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdCharacterEncoder" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "oliver.gubler" +) +(vvPair +variable "graphical_source_date" +value "14.12.2017" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE5370" +) +(vvPair +variable "graphical_source_time" +value "10:21:59" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE5370" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "LCD" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI/concat" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../libs/SPI/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$HEI_LIBS_DIR/LCD/work" +) +(vvPair +variable "mm" +value "12" +) +(vvPair +variable "module_name" +value "lcdCharacterEncoder" +) +(vvPair +variable "month" +value "Dez" +) +(vvPair +variable "month_long" +value "Dezember" +) +(vvPair +variable "p" +value "R:\\SYND\\Ele_2131\\ELN\\Labs\\Libraries\\Lcd\\hds\\lcd@character@encoder\\symbol.sb" +) +(vvPair +variable "p_logical" +value "R:\\SYND\\Ele_2131\\ELN\\Labs\\Libraries\\Lcd\\hds\\lcdCharacterEncoder\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "ElN_chrono" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "$LIBERO_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$LIBERO_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_LiberoDir" +value "$HDS_LIBERO_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "10:21:59" +) +(vvPair +variable "unit" +value "lcdCharacterEncoder" +) +(vvPair +variable "user" +value "oliver.gubler" +) +(vvPair +variable "version" +value "2015.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2017" +) +(vvPair +variable "yy" +value "17" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 19,0 +optionalChildren [ +*83 (SymbolBody +uid 8,0 +optionalChildren [ +*84 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,-4375,40000,-3625" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "Verdana,9,0" +) +xt "41000,-4600,46500,-3400" +st "asciiData" +blo "41000,-3600" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-11000,36500,-10200" +st "asciiData : IN std_ulogic_vector (asciiBitNb-1 downto 0) ;" +) +thePort (LogicalPort +decl (Decl +n "asciiData" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 4 +suid 1,0 +) +) +) +*85 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,-4375,56750,-3625" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +font "Verdana,9,0" +) +xt "50400,-4600,55000,-3400" +st "lcdData" +ju 2 +blo "55000,-3600" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-11800,37500,-11000" +st "lcdData : OUT std_ulogic_vector (lcdDataBitNb-1 downto 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "lcdData" +t "std_ulogic_vector" +b "(lcdDataBitNb-1 downto 0)" +o 3 +suid 2,0 +) +) +) +*86 (CptPort +uid 219,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 220,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,3625,40000,4375" +) +tg (CPTG +uid 221,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 222,0 +va (VaSet +font "Verdana,9,0" +) +xt "41000,3400,44400,4600" +st "clock" +blo "41000,4400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 223,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-13400,21000,-12600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 7,0 +) +) +) +*87 (CptPort +uid 224,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 225,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,5625,40000,6375" +) +tg (CPTG +uid 226,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 227,0 +va (VaSet +font "Verdana,9,0" +) +xt "41000,5400,44300,6600" +st "reset" +blo "41000,6400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 228,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-12600,21000,-11800" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 8,0 +) +) +) +*88 (CptPort +uid 332,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 333,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,-375,56750,375" +) +tg (CPTG +uid 334,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 335,0 +va (VaSet +font "Verdana,9,0" +) +xt "50300,-600,55000,600" +st "lcdBusy" +ju 2 +blo "55000,400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 336,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-10200,21000,-9400" +st "lcdBusy : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "lcdBusy" +t "std_ulogic" +o 5 +suid 12,0 +) +) +) +*89 (CptPort +uid 613,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 614,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,-375,40000,375" +) +tg (CPTG +uid 615,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 616,0 +va (VaSet +font "Verdana,9,0" +) +xt "41000,-600,46600,600" +st "asciiBusy" +blo "41000,400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 617,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-9400,21000,-8600" +st "asciiBusy : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "asciiBusy" +t "std_ulogic" +o 6 +suid 20,0 +) +) +) +*90 (CptPort +uid 666,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 667,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,-2375,40000,-1625" +) +tg (CPTG +uid 668,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 669,0 +va (VaSet +font "Verdana,9,0" +) +xt "41000,-2600,46600,-1400" +st "asciiSend" +blo "41000,-1600" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 670,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-8600,21000,-7800" +st "asciiSend : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "asciiSend" +t "std_ulogic" +o 7 +suid 22,0 +) +) +) +*91 (CptPort +uid 703,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 704,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,-2375,56750,-1625" +) +tg (CPTG +uid 705,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 706,0 +va (VaSet +font "Verdana,9,0" +) +xt "50300,-2600,55000,-1400" +st "lcdSend" +ju 2 +blo "55000,-1600" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 707,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-7800,21000,-7000" +st "lcdSend : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "lcdSend" +t "std_ulogic" +o 8 +suid 26,0 +) +) +) +*92 (CptPort +uid 949,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1048,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,2625,56750,3375" +) +tg (CPTG +uid 951,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 952,0 +va (VaSet +font "Verdana,9,0" +) +xt "49500,2400,55000,3600" +st "pixelData" +ju 2 +blo "55000,3400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 953,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-7000,42500,-6200" +st "pixelData : IN std_ulogic_vector (fontColumnNb*fontRowNb-1 downto 0) ;" +) +thePort (LogicalPort +decl (Decl +n "pixelData" +t "std_ulogic_vector" +b "(fontColumnNb*fontRowNb-1 downto 0)" +o 9 +suid 28,0 +) +) +) +*93 (CptPort +uid 1172,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1173,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,-6375,56750,-5625" +) +tg (CPTG +uid 1174,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1175,0 +va (VaSet +font "Verdana,9,0" +) +xt "47300,-6600,55000,-5400" +st "clearDisplay" +ju 2 +blo "55000,-5600" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1176,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-6200,20000,-5400" +st "clearDisplay : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "clearDisplay" +t "std_ulogic" +o 10 +suid 29,0 +) +) +) +] +shape (Rectangle +uid 141,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,-8000,56000,8000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "40750,8000,43250,9200" +st "LCD" +blo "40750,9000" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "40750,9200,52050,10400" +st "lcdCharacterEncoder" +blo "40750,10200" +) +) +gi *94 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "40000,12000,54000,18400" +st "Generic Declarations + +asciiBitNb positive 7 +lcdDataBitNb positive 8 +fontColumnNb positive 6 +fontRowNb positive 8 +lcdPageNb positive 4 +lcdColumnNb positive 8 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "asciiBitNb" +type "positive" +value "7" +) +(GiElement +name "lcdDataBitNb" +type "positive" +value "8" +) +(GiElement +name "fontColumnNb" +type "positive" +value "6" +) +(GiElement +name "fontRowNb" +type "positive" +value "8" +) +(GiElement +name "lcdPageNb" +type "positive" +value "4" +) +(GiElement +name "lcdColumnNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*95 (Grouping +uid 848,0 +optionalChildren [ +*96 (CommentText +uid 850,0 +shape (Rectangle +uid 851,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,26000,53000,27000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 852,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,26000,46100,27000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 853,0 +shape (Rectangle +uid 854,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,22000,57000,23000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 855,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,22000,56200,23000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*98 (CommentText +uid 856,0 +shape (Rectangle +uid 857,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,24000,53000,25000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 858,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,24000,46200,25000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*99 (CommentText +uid 859,0 +shape (Rectangle +uid 860,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,24000,36000,25000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 861,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,24000,34300,25000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*100 (CommentText +uid 862,0 +shape (Rectangle +uid 863,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,23000,73000,27000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 864,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,23200,62400,24200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*101 (CommentText +uid 865,0 +shape (Rectangle +uid 866,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,22000,73000,23000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 867,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,22000,58800,23000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*102 (CommentText +uid 868,0 +shape (Rectangle +uid 869,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,22000,53000,24000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 870,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,22500,45850,23500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*103 (CommentText +uid 871,0 +shape (Rectangle +uid 872,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,25000,36000,26000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 873,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,25000,34300,26000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*104 (CommentText +uid 874,0 +shape (Rectangle +uid 875,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,26000,36000,27000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 876,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,26000,34900,27000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*105 (CommentText +uid 877,0 +shape (Rectangle +uid 878,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,25000,53000,26000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 879,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,25000,48500,26000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 849,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,22000,73000,27000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *106 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*107 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-22000,7600,-20800" +st "Package List" +blo "0,-21000" +) +*108 (MLText +uid 18,0 +va (VaSet +font "Verdana,9,0" +) +xt "0,-20800,17500,-17200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "136,36,1445,900" +viewArea "29600,-16000,69597,10233" +cachedDiagramExtent "0,-22000,73000,27000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-22000" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,9,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,15000,27600,16200" +st "" +blo "22200,16000" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,16200,26100,17400" +st "" +blo "22200,17200" +) +) +gi *109 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,2500,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,4300,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *110 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-15800,7400,-14600" +st "Declarations" +blo "0,-14800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-14600,3700,-13400" +st "Ports:" +blo "0,-13600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-5400,3200,-4200" +st "User:" +blo "0,-4400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "0,-15800,8200,-14600" +st "Internal User:" +blo "0,-14800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-4200,2000,-4200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,-15800,0,-15800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 1201,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Lcd/hds/lcd@controller/struct.bd b/Libs/Lcd/hds/lcd@controller/struct.bd new file mode 100644 index 0000000..4422399 --- /dev/null +++ b/Libs/Lcd/hds/lcd@controller/struct.bd @@ -0,0 +1,7037 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_rom" +duLibraryName "Memory" +duName "bramDualportWritefirst" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "asciiBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "characterPixelNb" +) +(GiElement +name "initFile" +type "string" +value "\"$SIMULATION_DIR/lcdFont.txt\"" +) +] +mwi 0 +uid 12026,0 +) +(Instance +name "I_ser" +duLibraryName "Lcd" +duName "lcdSerializer" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "lcdDataBitNb" +) +(GiElement +name "baudRateDivide" +type "integer" +value "baudRateDivide" +) +] +mwi 0 +uid 12076,0 +) +(Instance +name "I_init" +duLibraryName "Lcd" +duName "lcdInitializer" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "lcdDataBitNb" +) +] +mwi 0 +uid 12519,0 +) +(Instance +name "I_font" +duLibraryName "Lcd" +duName "lcdCharacterEncoder" +elements [ +(GiElement +name "asciiBitNb" +type "positive" +value "asciiBitNb" +) +(GiElement +name "lcdDataBitNb" +type "positive" +value "lcdDataBitNb" +) +(GiElement +name "fontColumnNb" +type "positive" +value "fontColumnNb" +) +(GiElement +name "fontRowNb" +type "positive" +value "fontRowNb" +) +(GiElement +name "lcdPageNb" +type "positive" +value "lcdPageNb" +) +(GiElement +name "lcdColumnNb" +type "positive" +value "lcdColumnNb" +) +] +mwi 0 +uid 12569,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@controller\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@controller\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@controller" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcdController" +) +(vvPair +variable "date" +value "14.10.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdController" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "14.10.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "10:35:46" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "LCD" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../libs/SPI/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/LCD/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "lcdController" +) +(vvPair +variable "month" +value "Oct" +) +(vvPair +variable "month_long" +value "October" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@controller\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcdController\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "D:\\Projects\\Trypano\\ControlBoard\\IglooTester\\Board\\actel\\boardTester" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "10:35:46" +) +(vvPair +variable "unit" +value "lcdController" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,89000,38000,90000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,89500,21200,89500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "38000,85000,42000,86000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "38200,85500,38200,85500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,87000,38000,88000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,87500,21200,87500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,87000,21000,88000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "17200,87500,17200,87500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "38000,86000,58000,90000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "38200,86200,52300,87400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,85000,58000,86000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,85500,42200,85500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,85000,38000,87000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "22350,85400,32650,86600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,88000,21000,89000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "17200,88500,17200,88500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "17000,89000,21000,90000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "17200,89500,17200,89500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,88000,38000,89000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,88500,21200,88500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "17000,85000,58000,90000" +) +oxt "14000,66000,55000,71000" +) +*12 (Net +uid 6676,0 +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 115,0 +) +declText (MLText +uid 6677,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,56300,-63400,57300" +st "reset : std_ulogic +" +) +) +*13 (PortIoOut +uid 6678,0 +shape (CompositeShape +uid 6679,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6680,0 +sl 0 +ro 270 +xt "40500,16625,42000,17375" +) +(Line +uid 6681,0 +sl 0 +ro 270 +xt "40000,17000,40500,17000" +pts [ +"40000,17000" +"40500,17000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6682,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6683,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "43000,16300,44400,17600" +st "SI" +blo "43000,17300" +tm "WireNameMgr" +) +) +) +*14 (PortIoOut +uid 6684,0 +shape (CompositeShape +uid 6685,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6686,0 +sl 0 +ro 270 +xt "40500,18625,42000,19375" +) +(Line +uid 6687,0 +sl 0 +ro 270 +xt "40000,19000,40500,19000" +pts [ +"40000,19000" +"40500,19000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6688,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6689,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "43000,18300,45100,19600" +st "SCL" +blo "43000,19300" +tm "WireNameMgr" +) +) +) +*15 (PortIoOut +uid 6690,0 +shape (CompositeShape +uid 6691,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6692,0 +sl 0 +ro 270 +xt "40500,20625,42000,21375" +) +(Line +uid 6693,0 +sl 0 +ro 270 +xt "40000,21000,40500,21000" +pts [ +"40000,21000" +"40500,21000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6694,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6695,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "43000,20300,44400,21600" +st "A0" +blo "43000,21300" +tm "WireNameMgr" +) +) +) +*16 (PortIoOut +uid 6696,0 +shape (CompositeShape +uid 6697,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6698,0 +sl 0 +ro 270 +xt "40500,24625,42000,25375" +) +(Line +uid 6699,0 +sl 0 +ro 270 +xt "40000,25000,40500,25000" +pts [ +"40000,25000" +"40500,25000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6700,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6701,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "43000,24300,46500,25600" +st "CS1_n" +blo "43000,25300" +tm "WireNameMgr" +) +) +) +*17 (PortIoOut +uid 6734,0 +shape (CompositeShape +uid 6735,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6736,0 +sl 0 +ro 270 +xt "40500,22625,42000,23375" +) +(Line +uid 6737,0 +sl 0 +ro 270 +xt "40000,23000,40500,23000" +pts [ +"40000,23000" +"40500,23000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6738,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6739,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "43000,22300,46500,23600" +st "RST_n" +blo "43000,23300" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 6748,0 +decl (Decl +n "SI" +t "std_ulogic" +o 2 +suid 121,0 +) +declText (MLText +uid 6749,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,57300,-63700,58300" +st "SI : std_ulogic +" +) +) +*19 (Net +uid 6750,0 +decl (Decl +n "SCL" +t "std_ulogic" +o 3 +suid 122,0 +) +declText (MLText +uid 6751,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,58300,-63300,59300" +st "SCL : std_ulogic +" +) +) +*20 (Net +uid 6752,0 +decl (Decl +n "A0" +t "std_ulogic" +o 4 +suid 123,0 +) +declText (MLText +uid 6753,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,59300,-63500,60300" +st "A0 : std_ulogic +" +) +) +*21 (Net +uid 7909,0 +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 139,0 +) +declText (MLText +uid 7910,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,62300,-63400,63300" +st "clock : std_ulogic +" +) +) +*22 (PortIoIn +uid 8031,0 +shape (CompositeShape +uid 8032,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 8033,0 +sl 0 +ro 270 +xt "-58000,16625,-56500,17375" +) +(Line +uid 8034,0 +sl 0 +ro 270 +xt "-56500,17000,-56000,17000" +pts [ +"-56500,17000" +"-56000,17000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 8035,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8036,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-62500,16300,-59000,17600" +st "ascii" +ju 2 +blo "-59000,17300" +tm "WireNameMgr" +) +) +) +*23 (Net +uid 8170,0 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 8 +suid 158,0 +) +declText (MLText +uid 8171,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,63300,-50600,64300" +st "ascii : std_ulogic_vector(asciiBitNb-1 downto 0) +" +) +) +*24 (PortIoOut +uid 9321,0 +shape (CompositeShape +uid 9322,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 9323,0 +sl 0 +ro 90 +xt "-58000,20625,-56500,21375" +) +(Line +uid 9324,0 +sl 0 +ro 90 +xt "-56500,21000,-56000,21000" +pts [ +"-56000,21000" +"-56500,21000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 9325,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9326,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-61800,20300,-59000,21600" +st "busy" +ju 2 +blo "-59000,21300" +tm "WireNameMgr" +) +) +) +*25 (PortIoIn +uid 9455,0 +shape (CompositeShape +uid 9456,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 9457,0 +sl 0 +ro 270 +xt "-58000,18625,-56500,19375" +) +(Line +uid 9458,0 +sl 0 +ro 270 +xt "-56500,19000,-56000,19000" +pts [ +"-56500,19000" +"-56000,19000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 9459,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9460,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-61800,18300,-59000,19600" +st "send" +ju 2 +blo "-59000,19300" +tm "WireNameMgr" +) +) +) +*26 (Net +uid 9467,0 +decl (Decl +n "send" +t "std_ulogic" +o 9 +suid 176,0 +) +declText (MLText +uid 9468,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,64300,-63200,65300" +st "send : std_ulogic +" +) +) +*27 (Net +uid 9948,0 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 5 +suid 182,0 +) +declText (MLText +uid 9949,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,60300,-62800,61300" +st "CS1_n : std_ulogic +" +) +) +*28 (Net +uid 9950,0 +decl (Decl +n "RST_n" +t "std_ulogic" +o 6 +suid 183,0 +) +declText (MLText +uid 9951,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,61300,-62800,62300" +st "RST_n : std_ulogic +" +) +) +*29 (PortIoIn +uid 10651,0 +shape (CompositeShape +uid 10652,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 10653,0 +sl 0 +ro 270 +xt "-58000,24625,-56500,25375" +) +(Line +uid 10654,0 +sl 0 +ro 270 +xt "-56500,25000,-56000,25000" +pts [ +"-56500,25000" +"-56000,25000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 10655,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10656,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-62500,24300,-59000,25600" +st "clock" +ju 2 +blo "-59000,25300" +tm "WireNameMgr" +) +) +) +*30 (PortIoIn +uid 10657,0 +shape (CompositeShape +uid 10658,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 10659,0 +sl 0 +ro 270 +xt "-58000,26625,-56500,27375" +) +(Line +uid 10660,0 +sl 0 +ro 270 +xt "-56500,27000,-56000,27000" +pts [ +"-56500,27000" +"-56000,27000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 10661,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10662,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-62500,26300,-59000,27600" +st "reset" +ju 2 +blo "-59000,27300" +tm "WireNameMgr" +) +) +) +*31 (Net +uid 11116,0 +decl (Decl +n "lcdData" +t "std_ulogic_vector" +b "(9-1 DOWNTO 0)" +o 11 +suid 187,0 +) +declText (MLText +uid 11117,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,75100,-49300,76100" +st "SIGNAL lcdData : std_ulogic_vector(9-1 DOWNTO 0) +" +) +) +*32 (Net +uid 11118,0 +decl (Decl +n "lcdSend" +t "std_ulogic" +o 12 +suid 188,0 +) +declText (MLText +uid 11119,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,76100,-59700,77100" +st "SIGNAL lcdSend : std_ulogic +" +) +) +*33 (Net +uid 11124,0 +decl (Decl +n "lcdBusy" +t "std_ulogic" +o 13 +suid 189,0 +) +declText (MLText +uid 11125,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,77100,-59800,78100" +st "SIGNAL lcdBusy : std_ulogic +" +) +) +*34 (Net +uid 11273,0 +decl (Decl +n "lcdData1" +t "std_ulogic_vector" +b "(lcdDataBitNb-1 downto 0)" +o 14 +suid 190,0 +) +declText (MLText +uid 11274,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,78100,-45200,79100" +st "SIGNAL lcdData1 : std_ulogic_vector(lcdDataBitNb-1 downto 0) +" +) +) +*35 (Net +uid 11279,0 +decl (Decl +n "lcdSend1" +t "std_ulogic" +o 15 +suid 191,0 +) +declText (MLText +uid 11280,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,79100,-59500,80100" +st "SIGNAL lcdSend1 : std_ulogic +" +) +) +*36 (Net +uid 11285,0 +decl (Decl +n "lcdBusy1" +t "std_ulogic" +o 16 +suid 192,0 +) +declText (MLText +uid 11286,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,80100,-59600,81100" +st "SIGNAL lcdBusy1 : std_ulogic +" +) +) +*37 (Net +uid 11291,0 +decl (Decl +n "busy" +t "std_ulogic" +o 10 +suid 193,0 +) +declText (MLText +uid 11292,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,65300,-63200,66300" +st "busy : std_ulogic +" +) +) +*38 (Net +uid 11446,0 +lang 11 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(characterPixelNb-1 DOWNTO 0)" +o 17 +suid 194,0 +) +declText (MLText +uid 11447,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,81100,-43000,82100" +st "SIGNAL dataInA : std_ulogic_vector(characterPixelNb-1 DOWNTO 0) +" +) +) +*39 (Net +uid 11454,0 +lang 11 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(asciiBitNb-1 DOWNTO 0)" +o 18 +suid 195,0 +) +declText (MLText +uid 11455,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,82100,-45700,83100" +st "SIGNAL addressB : std_ulogic_vector(asciiBitNb-1 DOWNTO 0) +" +) +) +*40 (Net +uid 11462,0 +lang 11 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(characterPixelNb-1 DOWNTO 0)" +o 19 +suid 196,0 +) +declText (MLText +uid 11463,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,83100,-43000,84100" +st "SIGNAL dataInB : std_ulogic_vector(characterPixelNb-1 DOWNTO 0) +" +) +) +*41 (Net +uid 11470,0 +lang 11 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 20 +suid 197,0 +) +declText (MLText +uid 11471,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,84100,-59600,85100" +st "SIGNAL writeEnB : std_ulogic +" +) +) +*42 (Net +uid 11478,0 +lang 11 +decl (Decl +n "enB" +t "std_ulogic" +o 21 +suid 198,0 +) +declText (MLText +uid 11479,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,85100,-60100,86100" +st "SIGNAL enB : std_ulogic +" +) +) +*43 (Net +uid 11486,0 +lang 11 +decl (Decl +n "clockB" +t "std_ulogic" +o 22 +suid 199,0 +) +declText (MLText +uid 11487,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,86100,-60000,87100" +st "SIGNAL clockB : std_ulogic +" +) +) +*44 (Net +uid 11494,0 +lang 11 +decl (Decl +n "enA" +t "std_ulogic" +o 23 +suid 200,0 +) +declText (MLText +uid 11495,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,87100,-60100,88100" +st "SIGNAL enA : std_ulogic +" +) +) +*45 (Net +uid 11502,0 +lang 11 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 24 +suid 201,0 +) +declText (MLText +uid 11503,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,88100,-59600,89100" +st "SIGNAL writeEnA : std_ulogic +" +) +) +*46 (Net +uid 11665,0 +lang 11 +decl (Decl +n "pixelData" +t "std_ulogic_vector" +b "(characterPixelNb-1 DOWNTO 0)" +posAdd 0 +o 25 +suid 203,0 +) +declText (MLText +uid 11666,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,89100,-42900,90100" +st "SIGNAL pixelData : std_ulogic_vector(characterPixelNb-1 DOWNTO 0) +" +) +) +*47 (HdlText +uid 11667,0 +optionalChildren [ +*48 (EmbeddedText +uid 11714,0 +commentText (CommentText +uid 11715,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 11716,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "16000,38000,32000,54000" +) +oxt "0,0,18000,5000" +text (MLText +uid 11717,0 +va (VaSet +font "Courier,9,0" +) +xt "16200,38200,30600,53800" +st " +addressB <= (others => '0'); +dataInA <= (others => '0'); +dataInB <= (others => '0'); +enA <= '1'; +enB <= '0'; +writeEnA <= '0'; +writeEnB <= '0'; +clockB <= '0'; + + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 16000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 11668,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "16000,37000,32000,55000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 11669,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +uid 11670,0 +va (VaSet +font "Verdana,9,1" +) +xt "16400,55000,17900,55900" +st "eb1" +blo "16400,55700" +tm "HdlTextNameMgr" +) +*50 (Text +uid 11671,0 +va (VaSet +font "Verdana,9,1" +) +xt "16400,56200,16900,57100" +st "1" +blo "16400,56900" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 11850,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "16250,53250,17750,54750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*51 (SaComponent +uid 12026,0 +optionalChildren [ +*52 (CptPort +uid 11978,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11979,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,52625,-16000,53375" +) +tg (CPTG +uid 11980,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11981,0 +va (VaSet +) +xt "-15000,52500,-11400,53500" +st "clockA" +blo "-15000,53300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "clockA" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*53 (CptPort +uid 11982,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11983,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,50625,-16000,51375" +) +tg (CPTG +uid 11984,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11985,0 +va (VaSet +) +xt "-15000,50500,-13200,51500" +st "enA" +blo "-15000,51300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "enA" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*54 (CptPort +uid 11986,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11987,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,46625,-16000,47375" +) +tg (CPTG +uid 11988,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11989,0 +va (VaSet +) +xt "-15000,46500,-10200,47500" +st "writeEnA" +blo "-15000,47300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*55 (CptPort +uid 11990,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11991,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,40625,-16000,41375" +) +tg (CPTG +uid 11992,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11993,0 +va (VaSet +) +xt "-15000,40500,-10200,41500" +st "addressA" +blo "-15000,41300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +) +*56 (CptPort +uid 11994,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11995,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,44625,-16000,45375" +) +tg (CPTG +uid 11996,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 11997,0 +va (VaSet +) +xt "-15000,44500,-10800,45500" +st "dataInA" +blo "-15000,45300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +) +*57 (CptPort +uid 11998,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 11999,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,42625,-16000,43375" +) +tg (CPTG +uid 12000,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12001,0 +va (VaSet +) +xt "-15000,42500,-10200,43500" +st "dataOutA" +blo "-15000,43300" +) +) +thePort (LogicalPort +lang 10 +m 1 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +) +*58 (CptPort +uid 12002,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12003,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,52625,750,53375" +) +tg (CPTG +uid 12004,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12005,0 +va (VaSet +) +xt "-4600,52500,-1000,53500" +st "clockB" +ju 2 +blo "-1000,53300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "clockB" +t "std_ulogic" +o 7 +suid 8,0 +) +) +) +*59 (CptPort +uid 12006,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12007,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,50625,750,51375" +) +tg (CPTG +uid 12008,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12009,0 +va (VaSet +) +xt "-2800,50500,-1000,51500" +st "enB" +ju 2 +blo "-1000,51300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "enB" +t "std_ulogic" +o 8 +suid 10,0 +) +) +) +*60 (CptPort +uid 12010,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12011,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,46625,750,47375" +) +tg (CPTG +uid 12012,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12013,0 +va (VaSet +) +xt "-5800,46500,-1000,47500" +st "writeEnB" +ju 2 +blo "-1000,47300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 9 +suid 11,0 +) +) +) +*61 (CptPort +uid 12014,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12015,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,40625,750,41375" +) +tg (CPTG +uid 12016,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12017,0 +va (VaSet +) +xt "-5800,40500,-1000,41500" +st "addressB" +ju 2 +blo "-1000,41300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 12,0 +) +) +) +*62 (CptPort +uid 12018,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12019,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,44625,750,45375" +) +tg (CPTG +uid 12020,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12021,0 +va (VaSet +) +xt "-5200,44500,-1000,45500" +st "dataInB" +ju 2 +blo "-1000,45300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 13,0 +) +) +) +*63 (CptPort +uid 12022,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12023,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,42625,750,43375" +) +tg (CPTG +uid 12024,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12025,0 +va (VaSet +) +xt "-5800,42500,-1000,43500" +st "dataOutB" +ju 2 +blo "-1000,43300" +) +) +thePort (LogicalPort +lang 10 +m 1 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 14,0 +) +) +) +] +shape (Rectangle +uid 12027,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-16000,37000,0,55000" +) +oxt "39000,11000,55000,29000" +ttg (MlTextGroup +uid 12028,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +uid 12029,0 +va (VaSet +font "Verdana,8,1" +) +xt "-15800,55000,-12800,55900" +st "Memory" +blo "-15800,55700" +tm "BdLibraryNameMgr" +) +*65 (Text +uid 12030,0 +va (VaSet +font "Verdana,8,1" +) +xt "-15800,56000,-4300,56900" +st "bramDualportWritefirst" +blo "-15800,56700" +tm "CptNameMgr" +) +*66 (Text +uid 12031,0 +va (VaSet +font "Verdana,8,1" +) +xt "-15800,57000,-13300,57900" +st "I_rom" +blo "-15800,57700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12032,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12033,0 +text (MLText +uid 12034,0 +va (VaSet +font "Verdana,8,0" +) +xt "-16000,58000,10100,61000" +st "addressBitNb = asciiBitNb ( positive ) +dataBitNb = characterPixelNb ( positive ) +initFile = \"$SIMULATION_DIR/lcdFont.txt\" ( string ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "asciiBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "characterPixelNb" +) +(GiElement +name "initFile" +type "string" +value "\"$SIMULATION_DIR/lcdFont.txt\"" +) +] +) +viewicon (ZoomableIcon +uid 12035,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-15750,53250,-14250,54750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*67 (SaComponent +uid 12076,0 +optionalChildren [ +*68 (CptPort +uid 12036,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12037,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,20625,32750,21375" +) +tg (CPTG +uid 12038,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12039,0 +va (VaSet +) +xt "30000,20400,31000,21300" +st "A0" +ju 2 +blo "31000,21100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 5 +suid 1,0 +) +) +) +*69 (CptPort +uid 12040,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12041,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,24625,16000,25375" +) +tg (CPTG +uid 12042,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12043,0 +va (VaSet +) +xt "17000,24400,19500,25300" +st "clock" +blo "17000,25100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*70 (CptPort +uid 12044,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12045,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,24625,32750,25375" +) +tg (CPTG +uid 12046,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12047,0 +va (VaSet +) +xt "29000,24400,31000,25300" +st "CS_n" +ju 2 +blo "31000,25100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS_n" +t "std_ulogic" +o 6 +suid 4,0 +) +) +) +*71 (CptPort +uid 12048,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12049,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,26625,16000,27375" +) +tg (CPTG +uid 12050,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12051,0 +va (VaSet +) +xt "17000,26400,19500,27300" +st "reset" +blo "17000,27100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 7,0 +) +) +) +*72 (CptPort +uid 12052,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12053,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,22625,32750,23375" +) +tg (CPTG +uid 12054,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12055,0 +va (VaSet +) +xt "28500,22400,31000,23300" +st "RST_n" +ju 2 +blo "31000,23100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 7 +suid 8,0 +) +) +) +*73 (CptPort +uid 12056,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12057,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,18625,32750,19375" +) +tg (CPTG +uid 12058,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12059,0 +va (VaSet +) +xt "29500,18400,31000,19300" +st "SCL" +ju 2 +blo "31000,19100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 9,0 +) +) +) +*74 (CptPort +uid 12060,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12061,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32000,16625,32750,17375" +) +tg (CPTG +uid 12062,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12063,0 +va (VaSet +) +xt "30000,16400,31000,17300" +st "SI" +ju 2 +blo "31000,17100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 3 +suid 10,0 +) +) +) +*75 (CptPort +uid 12064,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12065,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,16625,16000,17375" +) +tg (CPTG +uid 12066,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12067,0 +va (VaSet +) +xt "17000,16400,19000,17300" +st "data" +blo "17000,17100" +) +) +thePort (LogicalPort +decl (Decl +n "data" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 11,0 +) +) +) +*76 (CptPort +uid 12068,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12069,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,18625,16000,19375" +) +tg (CPTG +uid 12070,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12071,0 +va (VaSet +) +xt "17000,18400,19000,19300" +st "send" +blo "17000,19100" +) +) +thePort (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 9 +suid 17,0 +) +) +) +*77 (CptPort +uid 12072,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12073,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15250,20625,16000,21375" +) +tg (CPTG +uid 12074,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12075,0 +va (VaSet +) +xt "17000,20400,19000,21300" +st "busy" +blo "17000,21100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 10 +suid 19,0 +) +) +) +] +shape (Rectangle +uid 12077,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "16000,13000,32000,29000" +) +oxt "38000,-2000,54000,14000" +ttg (MlTextGroup +uid 12078,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 12079,0 +va (VaSet +font "Verdana,9,1" +) +xt "15950,29000,17450,29900" +st "Lcd" +blo "15950,29700" +tm "BdLibraryNameMgr" +) +*79 (Text +uid 12080,0 +va (VaSet +font "Verdana,9,1" +) +xt "15950,30200,22950,31100" +st "lcdSerializer" +blo "15950,30900" +tm "CptNameMgr" +) +*80 (Text +uid 12081,0 +va (VaSet +font "Verdana,9,1" +) +xt "15950,31400,18450,32300" +st "I_ser" +blo "15950,32100" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12082,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12083,0 +text (MLText +uid 12084,0 +va (VaSet +font "Verdana,8,0" +) +xt "16000,33800,38400,35800" +st "dataBitNb = lcdDataBitNb ( positive ) +baudRateDivide = baudRateDivide ( integer ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "lcdDataBitNb" +) +(GiElement +name "baudRateDivide" +type "integer" +value "baudRateDivide" +) +] +) +viewicon (ZoomableIcon +uid 12085,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "16250,27250,17750,28750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*81 (SaComponent +uid 12519,0 +optionalChildren [ +*82 (CptPort +uid 12483,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12484,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,18625,-16000,19375" +) +tg (CPTG +uid 12485,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12486,0 +va (VaSet +) +xt "-15000,18400,-9500,19300" +st "columnSend" +blo "-15000,19100" +) +) +thePort (LogicalPort +decl (Decl +n "columnSend" +t "std_ulogic" +o 3 +suid 2,0 +) +) +) +*83 (CptPort +uid 12487,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12488,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,16625,-16000,17375" +) +tg (CPTG +uid 12489,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12490,0 +va (VaSet +) +xt "-15000,16400,-9500,17300" +st "columnData" +blo "-15000,17100" +) +) +thePort (LogicalPort +decl (Decl +n "columnData" +t "std_ulogic_vector" +b "(dataBitNb-1 downto 0)" +o 4 +suid 3,0 +) +) +) +*84 (CptPort +uid 12491,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12492,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,16625,750,17375" +) +tg (CPTG +uid 12493,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12494,0 +va (VaSet +) +xt "-4500,16400,-1000,17300" +st "lcdData" +ju 2 +blo "-1000,17100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "lcdData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 4,0 +) +) +) +*85 (CptPort +uid 12495,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12496,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,24625,-16000,25375" +) +tg (CPTG +uid 12497,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12498,0 +va (VaSet +) +xt "-15000,24400,-12500,25300" +st "clock" +blo "-15000,25100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 6,0 +) +) +) +*86 (CptPort +uid 12499,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12500,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,18625,750,19375" +) +tg (CPTG +uid 12501,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12502,0 +va (VaSet +) +xt "-4500,18400,-1000,19300" +st "lcdSend" +ju 2 +blo "-1000,19100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "lcdSend" +t "std_ulogic" +o 5 +suid 11,0 +) +) +) +*87 (CptPort +uid 12503,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12504,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,20625,750,21375" +) +tg (CPTG +uid 12505,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12506,0 +va (VaSet +) +xt "-4500,20400,-1000,21300" +st "lcdBusy" +ju 2 +blo "-1000,21100" +) +) +thePort (LogicalPort +decl (Decl +n "lcdBusy" +t "std_ulogic" +o 6 +suid 12,0 +) +) +) +*88 (CptPort +uid 12507,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12508,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,26625,-16000,27375" +) +tg (CPTG +uid 12509,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12510,0 +va (VaSet +) +xt "-15000,26400,-12500,27300" +st "reset" +blo "-15000,27100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 13,0 +) +) +) +*89 (CptPort +uid 12511,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12512,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,20625,-16000,21375" +) +tg (CPTG +uid 12513,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12514,0 +va (VaSet +) +xt "-15000,20400,-9500,21300" +st "columnBusy" +blo "-15000,21100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "columnBusy" +t "std_ulogic" +o 8 +suid 14,0 +) +) +) +*90 (CptPort +uid 12515,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12516,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-16750,14625,-16000,15375" +) +tg (CPTG +uid 12517,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12518,0 +va (VaSet +) +xt "-15000,14400,-8500,15300" +st "clearDisplay" +blo "-15000,15100" +) +) +thePort (LogicalPort +decl (Decl +n "clearDisplay" +t "std_ulogic" +o 9 +suid 15,0 +) +) +) +] +shape (Rectangle +uid 12520,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-16000,13000,0,29000" +) +oxt "44000,1000,60000,17000" +ttg (MlTextGroup +uid 12521,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +uid 12522,0 +va (VaSet +font "Verdana,9,1" +) +xt "-16000,29500,-14500,30400" +st "Lcd" +blo "-16000,30200" +tm "BdLibraryNameMgr" +) +*92 (Text +uid 12523,0 +va (VaSet +font "Verdana,9,1" +) +xt "-16000,30700,-8500,31600" +st "lcdInitializer" +blo "-16000,31400" +tm "CptNameMgr" +) +*93 (Text +uid 12524,0 +va (VaSet +font "Verdana,9,1" +) +xt "-16000,31900,-13000,32800" +st "I_init" +blo "-16000,32600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12525,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12526,0 +text (MLText +uid 12527,0 +va (VaSet +font "Verdana,8,0" +) +xt "-16000,33600,2600,34600" +st "dataBitNb = lcdDataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "lcdDataBitNb" +) +] +) +viewicon (ZoomableIcon +uid 12528,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-15750,27250,-14250,28750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*94 (SaComponent +uid 12569,0 +optionalChildren [ +*95 (CptPort +uid 12529,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12530,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-48750,16625,-48000,17375" +) +tg (CPTG +uid 12531,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12532,0 +va (VaSet +) +xt "-47000,16400,-42500,17300" +st "asciiData" +blo "-47000,17100" +) +) +thePort (LogicalPort +decl (Decl +n "asciiData" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 4 +suid 1,0 +) +) +) +*96 (CptPort +uid 12533,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12534,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-32000,16625,-31250,17375" +) +tg (CPTG +uid 12535,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12536,0 +va (VaSet +) +xt "-36500,16400,-33000,17300" +st "lcdData" +ju 2 +blo "-33000,17100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "lcdData" +t "std_ulogic_vector" +b "(lcdDataBitNb-1 downto 0)" +o 3 +suid 2,0 +) +) +) +*97 (CptPort +uid 12537,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12538,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-48750,24625,-48000,25375" +) +tg (CPTG +uid 12539,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12540,0 +va (VaSet +) +xt "-47000,24400,-44500,25300" +st "clock" +blo "-47000,25100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 7,0 +) +) +) +*98 (CptPort +uid 12541,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12542,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-48750,26625,-48000,27375" +) +tg (CPTG +uid 12543,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12544,0 +va (VaSet +) +xt "-47000,26400,-44500,27300" +st "reset" +blo "-47000,27100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 8,0 +) +) +) +*99 (CptPort +uid 12545,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12546,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-32000,20625,-31250,21375" +) +tg (CPTG +uid 12547,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12548,0 +va (VaSet +) +xt "-36500,20400,-33000,21300" +st "lcdBusy" +ju 2 +blo "-33000,21100" +) +) +thePort (LogicalPort +decl (Decl +n "lcdBusy" +t "std_ulogic" +o 5 +suid 12,0 +) +) +) +*100 (CptPort +uid 12549,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12550,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-48750,20625,-48000,21375" +) +tg (CPTG +uid 12551,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12552,0 +va (VaSet +) +xt "-47000,20400,-42500,21300" +st "asciiBusy" +blo "-47000,21100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "asciiBusy" +t "std_ulogic" +o 6 +suid 20,0 +) +) +) +*101 (CptPort +uid 12553,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12554,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-48750,18625,-48000,19375" +) +tg (CPTG +uid 12555,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 12556,0 +va (VaSet +) +xt "-47000,18400,-42500,19300" +st "asciiSend" +blo "-47000,19100" +) +) +thePort (LogicalPort +decl (Decl +n "asciiSend" +t "std_ulogic" +o 7 +suid 22,0 +) +) +) +*102 (CptPort +uid 12557,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12558,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-32000,18625,-31250,19375" +) +tg (CPTG +uid 12559,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12560,0 +va (VaSet +) +xt "-36500,18400,-33000,19300" +st "lcdSend" +ju 2 +blo "-33000,19100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "lcdSend" +t "std_ulogic" +o 8 +suid 26,0 +) +) +) +*103 (CptPort +uid 12561,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12562,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-32000,23625,-31250,24375" +) +tg (CPTG +uid 12563,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12564,0 +va (VaSet +) +xt "-37500,23400,-33000,24300" +st "pixelData" +ju 2 +blo "-33000,24100" +) +) +thePort (LogicalPort +decl (Decl +n "pixelData" +t "std_ulogic_vector" +b "(fontColumnNb*fontRowNb-1 downto 0)" +o 9 +suid 28,0 +) +) +) +*104 (CptPort +uid 12565,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 12566,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-32000,14625,-31250,15375" +) +tg (CPTG +uid 12567,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 12568,0 +va (VaSet +) +xt "-39500,14400,-33000,15300" +st "clearDisplay" +ju 2 +blo "-33000,15100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "clearDisplay" +t "std_ulogic" +o 10 +suid 29,0 +) +) +) +] +shape (Rectangle +uid 12570,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-48000,13000,-32000,29000" +) +oxt "40000,-8000,56000,8000" +ttg (MlTextGroup +uid 12571,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*105 (Text +uid 12572,0 +va (VaSet +font "Verdana,9,1" +) +xt "-47250,29000,-45750,29900" +st "Lcd" +blo "-47250,29700" +tm "BdLibraryNameMgr" +) +*106 (Text +uid 12573,0 +va (VaSet +font "Verdana,9,1" +) +xt "-47250,30200,-37250,31100" +st "lcdCharacterEncoder" +blo "-47250,30900" +tm "CptNameMgr" +) +*107 (Text +uid 12574,0 +va (VaSet +font "Verdana,9,1" +) +xt "-47250,31400,-44250,32300" +st "I_font" +blo "-47250,32100" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 12575,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 12576,0 +text (MLText +uid 12577,0 +va (VaSet +font "Verdana,8,0" +) +xt "-48000,33000,-26800,39000" +st "asciiBitNb = asciiBitNb ( positive ) +lcdDataBitNb = lcdDataBitNb ( positive ) +fontColumnNb = fontColumnNb ( positive ) +fontRowNb = fontRowNb ( positive ) +lcdPageNb = lcdPageNb ( positive ) +lcdColumnNb = lcdColumnNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "asciiBitNb" +type "positive" +value "asciiBitNb" +) +(GiElement +name "lcdDataBitNb" +type "positive" +value "lcdDataBitNb" +) +(GiElement +name "fontColumnNb" +type "positive" +value "fontColumnNb" +) +(GiElement +name "fontRowNb" +type "positive" +value "fontRowNb" +) +(GiElement +name "lcdPageNb" +type "positive" +value "lcdPageNb" +) +(GiElement +name "lcdColumnNb" +type "positive" +value "lcdColumnNb" +) +] +) +viewicon (ZoomableIcon +uid 12578,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-47750,27250,-46250,28750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*108 (Net +uid 12579,0 +decl (Decl +n "clearDisplay" +t "std_ulogic" +o 26 +suid 204,0 +) +declText (MLText +uid 12580,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,90100,-59500,91100" +st "SIGNAL clearDisplay : std_ulogic +" +) +) +*109 (Wire +uid 6704,0 +shape (OrthoPolyLine +uid 6705,0 +va (VaSet +vasetType 3 +) +xt "32750,17000,40000,17000" +pts [ +"32750,17000" +"40000,17000" +] +) +start &74 +end &13 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6708,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6709,0 +va (VaSet +font "Verdana,12,0" +) +xt "38000,15600,39400,16900" +st "SI" +blo "38000,16600" +tm "WireNameMgr" +) +) +on &18 +) +*110 (Wire +uid 6712,0 +shape (OrthoPolyLine +uid 6713,0 +va (VaSet +vasetType 3 +) +xt "32750,19000,40000,19000" +pts [ +"32750,19000" +"40000,19000" +] +) +start &73 +end &14 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6716,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6717,0 +va (VaSet +font "Verdana,12,0" +) +xt "37000,17600,39100,18900" +st "SCL" +blo "37000,18600" +tm "WireNameMgr" +) +) +on &19 +) +*111 (Wire +uid 6720,0 +shape (OrthoPolyLine +uid 6721,0 +va (VaSet +vasetType 3 +) +xt "32750,21000,40000,21000" +pts [ +"32750,21000" +"40000,21000" +] +) +start &68 +end &15 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6724,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6725,0 +va (VaSet +font "Verdana,12,0" +) +xt "38000,19600,39400,20900" +st "A0" +blo "38000,20600" +tm "WireNameMgr" +) +) +on &20 +) +*112 (Wire +uid 6728,0 +shape (OrthoPolyLine +uid 6729,0 +va (VaSet +vasetType 3 +) +xt "32750,25000,40000,25000" +pts [ +"32750,25000" +"40000,25000" +] +) +start &70 +end &16 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6732,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6733,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,23600,39500,24900" +st "CS1_n" +blo "36000,24600" +tm "WireNameMgr" +) +) +on &27 +) +*113 (Wire +uid 6742,0 +shape (OrthoPolyLine +uid 6743,0 +va (VaSet +vasetType 3 +) +xt "32750,23000,40000,23000" +pts [ +"32750,23000" +"40000,23000" +] +) +start &72 +end &17 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6746,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6747,0 +va (VaSet +font "Verdana,12,0" +) +xt "36000,21600,39500,22900" +st "RST_n" +blo "36000,22600" +tm "WireNameMgr" +) +) +on &28 +) +*114 (Wire +uid 7967,0 +shape (OrthoPolyLine +uid 7968,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "750,17000,15250,17000" +pts [ +"750,17000" +"15250,17000" +] +) +start &84 +end &75 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 7971,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7972,0 +va (VaSet +font "Verdana,12,0" +) +xt "3000,15600,7900,16900" +st "lcdData" +blo "3000,16600" +tm "WireNameMgr" +) +) +on &31 +) +*115 (Wire +uid 8051,0 +optionalChildren [ +*116 (BdJunction +uid 11663,0 +ps "OnConnectorStrategy" +shape (Circle +uid 11664,0 +va (VaSet +vasetType 1 +) +xt "-50391,16600,-49591,17400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 8052,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-56000,17000,-48750,17000" +pts [ +"-56000,17000" +"-48750,17000" +] +) +start &22 +end &95 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 8055,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 8056,0 +va (VaSet +font "Verdana,12,0" +) +xt "-56000,15600,-52500,16900" +st "ascii" +blo "-56000,16600" +tm "WireNameMgr" +) +) +on &23 +) +*117 (Wire +uid 9469,0 +optionalChildren [ +*118 (BdJunction +uid 12304,0 +ps "OnConnectorStrategy" +shape (Circle +uid 12305,0 +va (VaSet +vasetType 1 +) +xt "-52399,18600,-51599,19400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 9470,0 +va (VaSet +vasetType 3 +) +xt "-56000,19000,-48750,19000" +pts [ +"-56000,19000" +"-48750,19000" +] +) +start &25 +end &101 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9471,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9472,0 +va (VaSet +font "Verdana,12,0" +) +xt "-56000,17600,-53200,18900" +st "send" +blo "-56000,18600" +tm "WireNameMgr" +) +) +on &26 +) +*119 (Wire +uid 9608,0 +shape (OrthoPolyLine +uid 9609,0 +va (VaSet +vasetType 3 +) +xt "-56000,21000,-48750,21000" +pts [ +"-48750,21000" +"-56000,21000" +] +) +start &100 +end &24 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9610,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9611,0 +va (VaSet +font "Verdana,12,0" +) +xt "-56000,19600,-53200,20900" +st "busy" +blo "-56000,20600" +tm "WireNameMgr" +) +) +on &37 +) +*120 (Wire +uid 9879,0 +shape (OrthoPolyLine +uid 9880,0 +va (VaSet +vasetType 3 +) +xt "12000,25000,15250,25000" +pts [ +"12000,25000" +"15250,25000" +] +) +end &69 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9885,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9886,0 +va (VaSet +font "Verdana,12,0" +) +xt "11000,23600,14500,24900" +st "clock" +blo "11000,24600" +tm "WireNameMgr" +) +) +on &21 +) +*121 (Wire +uid 9887,0 +shape (OrthoPolyLine +uid 9888,0 +va (VaSet +vasetType 3 +) +xt "12000,27000,15250,27000" +pts [ +"12000,27000" +"15250,27000" +] +) +end &71 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9893,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9894,0 +va (VaSet +font "Verdana,12,0" +) +xt "11000,25600,14500,26900" +st "reset" +blo "11000,26600" +tm "WireNameMgr" +) +) +on &12 +) +*122 (Wire +uid 10663,0 +shape (OrthoPolyLine +uid 10664,0 +va (VaSet +vasetType 3 +) +xt "-56000,27000,-48750,27000" +pts [ +"-56000,27000" +"-48750,27000" +] +) +start &30 +end &98 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10667,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10668,0 +va (VaSet +font "Verdana,12,0" +) +xt "-56000,25600,-52500,26900" +st "reset" +blo "-56000,26600" +tm "WireNameMgr" +) +) +on &12 +) +*123 (Wire +uid 10669,0 +shape (OrthoPolyLine +uid 10670,0 +va (VaSet +vasetType 3 +) +xt "-56000,25000,-48750,25000" +pts [ +"-56000,25000" +"-48750,25000" +] +) +start &29 +end &97 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10673,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10674,0 +va (VaSet +font "Verdana,12,0" +) +xt "-56000,23600,-52500,24900" +st "clock" +blo "-56000,24600" +tm "WireNameMgr" +) +) +on &21 +) +*124 (Wire +uid 11120,0 +shape (OrthoPolyLine +uid 11121,0 +va (VaSet +vasetType 3 +) +xt "750,19000,15250,19000" +pts [ +"750,19000" +"15250,19000" +] +) +start &86 +end &76 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11122,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11123,0 +va (VaSet +font "Verdana,12,0" +) +xt "2750,17600,7650,18900" +st "lcdSend" +blo "2750,18600" +tm "WireNameMgr" +) +) +on &32 +) +*125 (Wire +uid 11126,0 +shape (OrthoPolyLine +uid 11127,0 +va (VaSet +vasetType 3 +) +xt "750,21000,15250,21000" +pts [ +"750,21000" +"15250,21000" +] +) +start &87 +end &77 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11128,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11129,0 +va (VaSet +font "Verdana,12,0" +) +xt "2750,19600,7650,20900" +st "lcdBusy" +blo "2750,20600" +tm "WireNameMgr" +) +) +on &33 +) +*126 (Wire +uid 11130,0 +shape (OrthoPolyLine +uid 11131,0 +va (VaSet +vasetType 3 +) +xt "-20000,25000,-16750,25000" +pts [ +"-20000,25000" +"-16750,25000" +] +) +end &85 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11136,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11137,0 +va (VaSet +font "Verdana,12,0" +) +xt "-21000,23600,-17500,24900" +st "clock" +blo "-21000,24600" +tm "WireNameMgr" +) +) +on &21 +) +*127 (Wire +uid 11138,0 +shape (OrthoPolyLine +uid 11139,0 +va (VaSet +vasetType 3 +) +xt "-20000,27000,-16750,27000" +pts [ +"-20000,27000" +"-16750,27000" +] +) +end &88 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11144,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11145,0 +va (VaSet +font "Verdana,12,0" +) +xt "-21000,25600,-17500,26900" +st "reset" +blo "-21000,26600" +tm "WireNameMgr" +) +) +on &12 +) +*128 (Wire +uid 11275,0 +shape (OrthoPolyLine +uid 11276,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-31250,17000,-16750,17000" +pts [ +"-31250,17000" +"-16750,17000" +] +) +start &96 +end &83 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11277,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11278,0 +va (VaSet +font "Verdana,12,0" +) +xt "-29000,15600,-23400,16900" +st "lcdData1" +blo "-29000,16600" +tm "WireNameMgr" +) +) +on &34 +) +*129 (Wire +uid 11281,0 +shape (OrthoPolyLine +uid 11282,0 +va (VaSet +vasetType 3 +) +xt "-31250,19000,-16750,19000" +pts [ +"-31250,19000" +"-16750,19000" +] +) +start &102 +end &82 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11283,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11284,0 +va (VaSet +font "Verdana,12,0" +) +xt "-29250,17600,-23650,18900" +st "lcdSend1" +blo "-29250,18600" +tm "WireNameMgr" +) +) +on &35 +) +*130 (Wire +uid 11287,0 +shape (OrthoPolyLine +uid 11288,0 +va (VaSet +vasetType 3 +) +xt "-31250,21000,-16750,21000" +pts [ +"-31250,21000" +"-16750,21000" +] +) +start &99 +end &89 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11289,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11290,0 +va (VaSet +font "Verdana,12,0" +) +xt "-29250,19600,-23650,20900" +st "lcdBusy1" +blo "-29250,20600" +tm "WireNameMgr" +) +) +on &36 +) +*131 (Wire +uid 11448,0 +shape (OrthoPolyLine +uid 11449,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-20000,45000,-16750,45000" +pts [ +"-16750,45000" +"-20000,45000" +] +) +start &56 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11452,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11453,0 +va (VaSet +font "Verdana,12,0" +) +xt "-23750,43600,-17950,45000" +st "dataInA" +blo "-23750,44800" +tm "WireNameMgr" +) +) +on &38 +) +*132 (Wire +uid 11456,0 +shape (OrthoPolyLine +uid 11457,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "750,41000,16000,41000" +pts [ +"750,41000" +"16000,41000" +] +) +start &61 +end &47 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11460,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11461,0 +va (VaSet +font "Verdana,12,0" +) +xt "2000,39600,8700,41000" +st "addressB" +blo "2000,40800" +tm "WireNameMgr" +) +) +on &39 +) +*133 (Wire +uid 11464,0 +shape (OrthoPolyLine +uid 11465,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "750,45000,16000,45000" +pts [ +"750,45000" +"16000,45000" +] +) +start &62 +end &47 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11468,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11469,0 +va (VaSet +font "Verdana,12,0" +) +xt "2000,43600,7800,45000" +st "dataInB" +blo "2000,44800" +tm "WireNameMgr" +) +) +on &40 +) +*134 (Wire +uid 11472,0 +shape (OrthoPolyLine +uid 11473,0 +va (VaSet +vasetType 3 +) +xt "750,47000,16000,47000" +pts [ +"750,47000" +"16000,47000" +] +) +start &60 +end &47 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11476,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11477,0 +va (VaSet +font "Verdana,12,0" +) +xt "2000,45600,8400,47000" +st "writeEnB" +blo "2000,46800" +tm "WireNameMgr" +) +) +on &41 +) +*135 (Wire +uid 11480,0 +shape (OrthoPolyLine +uid 11481,0 +va (VaSet +vasetType 3 +) +xt "750,51000,16000,51000" +pts [ +"750,51000" +"16000,51000" +] +) +start &59 +end &47 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11484,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11485,0 +va (VaSet +font "Verdana,12,0" +) +xt "2000,49600,5200,51000" +st "enB" +blo "2000,50800" +tm "WireNameMgr" +) +) +on &42 +) +*136 (Wire +uid 11488,0 +shape (OrthoPolyLine +uid 11489,0 +va (VaSet +vasetType 3 +) +xt "750,53000,16000,53000" +pts [ +"750,53000" +"16000,53000" +] +) +start &58 +end &47 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11492,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11493,0 +va (VaSet +font "Verdana,12,0" +) +xt "2000,51600,6600,53000" +st "clockB" +blo "2000,52800" +tm "WireNameMgr" +) +) +on &43 +) +*137 (Wire +uid 11504,0 +shape (OrthoPolyLine +uid 11505,0 +va (VaSet +vasetType 3 +) +xt "-20000,47000,-16750,47000" +pts [ +"-16750,47000" +"-20000,47000" +] +) +start &54 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11508,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11509,0 +va (VaSet +font "Verdana,12,0" +) +xt "-23750,45600,-17350,47000" +st "writeEnA" +blo "-23750,46800" +tm "WireNameMgr" +) +) +on &45 +) +*138 (Wire +uid 11510,0 +shape (OrthoPolyLine +uid 11511,0 +va (VaSet +vasetType 3 +) +xt "-20000,53000,-16750,53000" +pts [ +"-20000,53000" +"-16750,53000" +] +) +end &52 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11516,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11517,0 +va (VaSet +font "Verdana,12,0" +) +xt "-21000,51600,-17500,52900" +st "clock" +blo "-21000,52600" +tm "WireNameMgr" +) +) +on &21 +) +*139 (Wire +uid 11655,0 +shape (OrthoPolyLine +uid 11656,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-31250,24000,-16750,43000" +pts [ +"-16750,43000" +"-24000,43000" +"-24000,24000" +"-31250,24000" +] +) +start &57 +end &103 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11657,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11658,0 +va (VaSet +font "Verdana,12,0" +) +xt "-24750,41600,-18050,43000" +st "pixelData" +blo "-24750,42800" +tm "WireNameMgr" +) +) +on &46 +) +*140 (Wire +uid 11659,0 +shape (OrthoPolyLine +uid 11660,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-49991,17000,-16750,41000" +pts [ +"-49991,17000" +"-49991,41000" +"-16750,41000" +] +) +start &116 +end &55 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11661,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11662,0 +va (VaSet +font "Verdana,12,0" +) +xt "-21750,39600,-18250,40900" +st "ascii" +blo "-21750,40600" +tm "WireNameMgr" +) +) +on &23 +) +*141 (Wire +uid 11672,0 +shape (OrthoPolyLine +uid 11673,0 +va (VaSet +vasetType 3 +) +xt "12000,50000,16000,50000" +pts [ +"16000,50000" +"12000,50000" +] +) +start &47 +sat 2 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11678,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11679,0 +va (VaSet +font "Verdana,12,0" +) +xt "11250,48600,14450,50000" +st "enA" +blo "11250,49800" +tm "WireNameMgr" +) +) +on &44 +) +*142 (Wire +uid 11680,0 +shape (OrthoPolyLine +uid 11681,0 +va (VaSet +vasetType 3 +) +xt "12000,49000,16000,49000" +pts [ +"16000,49000" +"12000,49000" +] +) +start &47 +sat 2 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11686,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11687,0 +va (VaSet +font "Verdana,12,0" +) +xt "8250,47600,14650,49000" +st "writeEnA" +blo "8250,48800" +tm "WireNameMgr" +) +) +on &45 +) +*143 (Wire +uid 11688,0 +shape (OrthoPolyLine +uid 11689,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "12000,43000,16000,43000" +pts [ +"16000,43000" +"12000,43000" +] +) +start &47 +sat 2 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 11694,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 11695,0 +va (VaSet +font "Verdana,12,0" +) +xt "8250,41600,14050,43000" +st "dataInA" +blo "8250,42800" +tm "WireNameMgr" +) +) +on &38 +) +*144 (Wire +uid 12300,0 +shape (OrthoPolyLine +uid 12301,0 +va (VaSet +vasetType 3 +) +xt "-51999,19000,-16750,51000" +pts [ +"-51999,19000" +"-51999,51000" +"-16750,51000" +] +) +start &118 +end &53 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12302,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12303,0 +va (VaSet +font "Verdana,12,0" +) +xt "-21750,49600,-18950,50900" +st "send" +blo "-21750,50600" +tm "WireNameMgr" +) +) +on &26 +) +*145 (Wire +uid 12581,0 +shape (OrthoPolyLine +uid 12582,0 +va (VaSet +vasetType 3 +) +xt "-31250,15000,-16750,15000" +pts [ +"-31250,15000" +"-16750,15000" +] +) +start &104 +end &90 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 12583,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12584,0 +va (VaSet +font "Verdana,12,0" +) +xt "-29250,13600,-20150,14900" +st "clearDisplay" +blo "-29250,14600" +tm "WireNameMgr" +) +) +on &108 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *146 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*147 (Text +uid 43,0 +va (VaSet +font "Verdana,9,1" +) +xt "-76000,100,-69500,1000" +st "Package List" +blo "-76000,800" +) +*148 (MLText +uid 44,0 +va (VaSet +) +xt "-76000,1300,-58500,4900" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*149 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*150 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,31500,1900" +st "Pre-module directives:" +blo "20000,1700" +) +*151 (MLText +uid 48,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*152 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32000,4900" +st "Post-module directives:" +blo "20000,4700" +) +*153 (MLText +uid 50,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*154 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,31500,5900" +st "End-module directives:" +blo "20000,5700" +) +*155 (MLText +uid 52,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "208,38,1383,976" +viewArea "-77939,-1818,60767,94402" +cachedDiagramExtent "-76000,0,58000,90000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 55 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-76000,0" +lastUid 12996,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "700,1000,4700,2200" +st "Panel0" +blo "700,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*156 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2450,3500,7850,4700" +st "" +blo "2450,4500" +tm "BdLibraryNameMgr" +) +*157 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2450,4700,7250,5900" +st "" +blo "2450,5700" +tm "BlkNameMgr" +) +*158 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2450,5900,4750,7100" +st "I_0" +blo "2450,6900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "2450,13500,2450,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*159 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1000,3500,6700,4900" +st "Library" +blo "1000,4700" +) +*160 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1000,4900,12700,6300" +st "MWComponent" +blo "1000,6100" +) +*161 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1000,6300,4300,7700" +st "I_0" +blo "1000,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*162 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1250,3500,6950,4900" +st "Library" +blo "1250,4700" +tm "BdLibraryNameMgr" +) +*163 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1250,4900,12150,6300" +st "SaComponent" +blo "1250,6100" +tm "CptNameMgr" +) +*164 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1250,6300,4550,7700" +st "I_0" +blo "1250,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*165 (Text +va (VaSet +font "Verdana,12,1" +) +xt "950,3500,6650,4900" +st "Library" +blo "950,4700" +) +*166 (Text +va (VaSet +font "Verdana,12,1" +) +xt "950,4900,13050,6300" +st "VhdlComponent" +blo "950,6100" +) +*167 (Text +va (VaSet +font "Verdana,12,1" +) +xt "950,6300,4250,7700" +st "I_0" +blo "950,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*168 (Text +va (VaSet +font "Verdana,12,1" +) +xt "450,3500,6150,4900" +st "Library" +blo "450,4700" +) +*169 (Text +va (VaSet +font "Verdana,12,1" +) +xt "450,4900,14350,6300" +st "VerilogComponent" +blo "450,6100" +) +*170 (Text +va (VaSet +font "Verdana,12,1" +) +xt "450,6300,3750,7700" +st "I_0" +blo "450,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*171 (Text +va (VaSet +font "Verdana,9,1" +) +xt "3400,4000,5800,5200" +st "eb1" +blo "3400,5000" +tm "HdlTextNameMgr" +) +*172 (Text +va (VaSet +font "Verdana,9,1" +) +xt "3400,5200,4600,6400" +st "1" +blo "3400,6200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "Courier,9,0" +) +xt "200,200,4200,1500" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3400,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,4700,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,-200,4700,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1200,9600,2400" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "-2950,-1200,15550,0" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "-150,150,1250,1350" +st "1" +blo "-150,1150" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*173 (Text +va (VaSet +font "Verdana,9,1" +) +xt "14100,20000,24900,21200" +st "Frame Declarations" +blo "14100,21000" +) +*174 (MLText +va (VaSet +) +xt "14100,21200,14100,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "-1800,-1200,9200,0" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "-150,150,1250,1350" +st "1" +blo "-150,1150" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*175 (Text +va (VaSet +font "Verdana,9,1" +) +xt "14100,20000,24900,21200" +st "Frame Declarations" +blo "14100,21000" +) +*176 (MLText +va (VaSet +) +xt "14100,21200,14100,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "-76000,54500,-69500,55400" +st "Declarations" +blo "-76000,55200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "-76000,55400,-73000,56300" +st "Ports:" +blo "-76000,56100" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "-76000,66300,-71500,67200" +st "Pre User:" +blo "-76000,67000" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "-74000,67200,-44900,74200" +st "constant fontColumnNb: positive := 6; +constant fontRowNb: positive := 8; +constant characterPixelNb: positive := fontColumnNb*fontRowNb; + +constant lcdDataBitNb: positive := 8+1; +constant lcdPageNb: positive := 4; +constant lcdColumnNb: positive := 132;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "-76000,74200,-67500,75100" +st "Diagram Signals:" +blo "-76000,74900" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-76000,54500,-70500,55400" +st "Post User:" +blo "-76000,55200" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-76000,54500,-76000,54500" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 205,0 +usingSuid 1 +emptyRow *177 (LEmptyRow +) +uid 1151,0 +optionalChildren [ +*178 (RefLabelRowHdr +) +*179 (TitleRowHdr +) +*180 (FilterRowHdr +) +*181 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*182 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*183 (GroupColHdr +tm "GroupColHdrMgr" +) +*184 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*185 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*186 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*187 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*188 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*189 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*190 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 115,0 +) +) +uid 6784,0 +) +*191 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 2 +suid 121,0 +) +) +uid 6786,0 +) +*192 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 3 +suid 122,0 +) +) +uid 6788,0 +) +*193 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 4 +suid 123,0 +) +) +uid 6790,0 +) +*194 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 139,0 +) +) +uid 7915,0 +) +*195 (LeafLogPort +port (LogicalPort +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 8 +suid 158,0 +) +) +uid 8176,0 +) +*196 (LeafLogPort +port (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 9 +suid 176,0 +) +) +uid 9479,0 +) +*197 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 5 +suid 182,0 +) +) +uid 9952,0 +) +*198 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 6 +suid 183,0 +) +) +uid 9954,0 +) +*199 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "lcdData" +t "std_ulogic_vector" +b "(9-1 DOWNTO 0)" +o 11 +suid 187,0 +) +) +uid 11293,0 +) +*200 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "lcdSend" +t "std_ulogic" +o 12 +suid 188,0 +) +) +uid 11295,0 +) +*201 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "lcdBusy" +t "std_ulogic" +o 13 +suid 189,0 +) +) +uid 11297,0 +) +*202 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "lcdData1" +t "std_ulogic_vector" +b "(lcdDataBitNb-1 downto 0)" +o 14 +suid 190,0 +) +) +uid 11299,0 +) +*203 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "lcdSend1" +t "std_ulogic" +o 15 +suid 191,0 +) +) +uid 11301,0 +) +*204 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "lcdBusy1" +t "std_ulogic" +o 16 +suid 192,0 +) +) +uid 11303,0 +) +*205 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 10 +suid 193,0 +) +) +uid 11305,0 +) +*206 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(characterPixelNb-1 DOWNTO 0)" +o 17 +suid 194,0 +) +) +uid 11696,0 +) +*207 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(asciiBitNb-1 DOWNTO 0)" +o 18 +suid 195,0 +) +) +uid 11698,0 +) +*208 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(characterPixelNb-1 DOWNTO 0)" +o 19 +suid 196,0 +) +) +uid 11700,0 +) +*209 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 20 +suid 197,0 +) +) +uid 11702,0 +) +*210 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "enB" +t "std_ulogic" +o 21 +suid 198,0 +) +) +uid 11704,0 +) +*211 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "clockB" +t "std_ulogic" +o 22 +suid 199,0 +) +) +uid 11706,0 +) +*212 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "enA" +t "std_ulogic" +o 23 +suid 200,0 +) +) +uid 11708,0 +) +*213 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 24 +suid 201,0 +) +) +uid 11710,0 +) +*214 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "pixelData" +t "std_ulogic_vector" +b "(characterPixelNb-1 DOWNTO 0)" +posAdd 0 +o 25 +suid 203,0 +) +) +uid 11712,0 +) +*215 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clearDisplay" +t "std_ulogic" +o 26 +suid 204,0 +) +) +uid 12585,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1164,0 +optionalChildren [ +*216 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *217 (MRCItem +litem &177 +pos 26 +dimension 20 +) +uid 1166,0 +optionalChildren [ +*218 (MRCItem +litem &178 +pos 0 +dimension 20 +uid 1167,0 +) +*219 (MRCItem +litem &179 +pos 1 +dimension 23 +uid 1168,0 +) +*220 (MRCItem +litem &180 +pos 2 +hidden 1 +dimension 20 +uid 1169,0 +) +*221 (MRCItem +litem &190 +pos 0 +dimension 20 +uid 6785,0 +) +*222 (MRCItem +litem &191 +pos 1 +dimension 20 +uid 6787,0 +) +*223 (MRCItem +litem &192 +pos 2 +dimension 20 +uid 6789,0 +) +*224 (MRCItem +litem &193 +pos 3 +dimension 20 +uid 6791,0 +) +*225 (MRCItem +litem &194 +pos 4 +dimension 20 +uid 7916,0 +) +*226 (MRCItem +litem &195 +pos 5 +dimension 20 +uid 8177,0 +) +*227 (MRCItem +litem &196 +pos 6 +dimension 20 +uid 9480,0 +) +*228 (MRCItem +litem &197 +pos 7 +dimension 20 +uid 9953,0 +) +*229 (MRCItem +litem &198 +pos 8 +dimension 20 +uid 9955,0 +) +*230 (MRCItem +litem &199 +pos 10 +dimension 20 +uid 11294,0 +) +*231 (MRCItem +litem &200 +pos 11 +dimension 20 +uid 11296,0 +) +*232 (MRCItem +litem &201 +pos 12 +dimension 20 +uid 11298,0 +) +*233 (MRCItem +litem &202 +pos 13 +dimension 20 +uid 11300,0 +) +*234 (MRCItem +litem &203 +pos 14 +dimension 20 +uid 11302,0 +) +*235 (MRCItem +litem &204 +pos 15 +dimension 20 +uid 11304,0 +) +*236 (MRCItem +litem &205 +pos 9 +dimension 20 +uid 11306,0 +) +*237 (MRCItem +litem &206 +pos 16 +dimension 20 +uid 11697,0 +) +*238 (MRCItem +litem &207 +pos 17 +dimension 20 +uid 11699,0 +) +*239 (MRCItem +litem &208 +pos 18 +dimension 20 +uid 11701,0 +) +*240 (MRCItem +litem &209 +pos 19 +dimension 20 +uid 11703,0 +) +*241 (MRCItem +litem &210 +pos 20 +dimension 20 +uid 11705,0 +) +*242 (MRCItem +litem &211 +pos 21 +dimension 20 +uid 11707,0 +) +*243 (MRCItem +litem &212 +pos 22 +dimension 20 +uid 11709,0 +) +*244 (MRCItem +litem &213 +pos 23 +dimension 20 +uid 11711,0 +) +*245 (MRCItem +litem &214 +pos 24 +dimension 20 +uid 11713,0 +) +*246 (MRCItem +litem &215 +pos 25 +dimension 20 +uid 12586,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1170,0 +optionalChildren [ +*247 (MRCItem +litem &181 +pos 0 +dimension 20 +uid 1171,0 +) +*248 (MRCItem +litem &183 +pos 1 +dimension 50 +uid 1172,0 +) +*249 (MRCItem +litem &184 +pos 2 +dimension 100 +uid 1173,0 +) +*250 (MRCItem +litem &185 +pos 3 +dimension 50 +uid 1174,0 +) +*251 (MRCItem +litem &186 +pos 4 +dimension 100 +uid 1175,0 +) +*252 (MRCItem +litem &187 +pos 5 +dimension 100 +uid 1176,0 +) +*253 (MRCItem +litem &188 +pos 6 +dimension 50 +uid 1177,0 +) +*254 (MRCItem +litem &189 +pos 7 +dimension 80 +uid 1178,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1165,0 +vaOverrides [ +] +) +] +) +uid 1150,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *255 (LEmptyRow +) +uid 1180,0 +optionalChildren [ +*256 (RefLabelRowHdr +) +*257 (TitleRowHdr +) +*258 (FilterRowHdr +) +*259 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*260 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*261 (GroupColHdr +tm "GroupColHdrMgr" +) +*262 (NameColHdr +tm "GenericNameColHdrMgr" +) +*263 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*264 (InitColHdr +tm "GenericValueColHdrMgr" +) +*265 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*266 (EolColHdr +tm "GenericEolColHdrMgr" +) +*267 (LogGeneric +generic (GiElement +name "asciiBitNb" +type "positive" +value "7" +) +uid 8178,0 +) +*268 (LogGeneric +generic (GiElement +name "baudRateDivide" +type "integer" +value "2" +) +uid 10994,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1192,0 +optionalChildren [ +*269 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *270 (MRCItem +litem &255 +pos 2 +dimension 20 +) +uid 1194,0 +optionalChildren [ +*271 (MRCItem +litem &256 +pos 0 +dimension 20 +uid 1195,0 +) +*272 (MRCItem +litem &257 +pos 1 +dimension 23 +uid 1196,0 +) +*273 (MRCItem +litem &258 +pos 2 +hidden 1 +dimension 20 +uid 1197,0 +) +*274 (MRCItem +litem &267 +pos 1 +dimension 20 +uid 8179,0 +) +*275 (MRCItem +litem &268 +pos 0 +dimension 20 +uid 10993,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1198,0 +optionalChildren [ +*276 (MRCItem +litem &259 +pos 0 +dimension 20 +uid 1199,0 +) +*277 (MRCItem +litem &261 +pos 1 +dimension 50 +uid 1200,0 +) +*278 (MRCItem +litem &262 +pos 2 +dimension 100 +uid 1201,0 +) +*279 (MRCItem +litem &263 +pos 3 +dimension 100 +uid 1202,0 +) +*280 (MRCItem +litem &264 +pos 4 +dimension 50 +uid 1203,0 +) +*281 (MRCItem +litem &265 +pos 5 +dimension 50 +uid 1204,0 +) +*282 (MRCItem +litem &266 +pos 6 +dimension 80 +uid 1205,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1193,0 +vaOverrides [ +] +) +] +) +uid 1179,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Lcd/hds/lcd@controller/symbol.sb b/Libs/Lcd/hds/lcd@controller/symbol.sb new file mode 100644 index 0000000..edae5b1 --- /dev/null +++ b/Libs/Lcd/hds/lcd@controller/symbol.sb @@ -0,0 +1,1962 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 32,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 1,0 +) +) +uid 111,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 113,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 115,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 117,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 119,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 8 +suid 11,0 +) +) +uid 798,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 9 +suid 21,0 +) +) +uid 1608,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 5 +suid 27,0 +) +) +uid 2133,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 6 +suid 28,0 +) +) +uid 2135,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 10 +suid 29,0 +) +) +uid 2616,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 13 +dimension 20 +) +uid 36,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +*29 (MRCItem +litem &14 +pos 4 +dimension 20 +uid 112,0 +) +*30 (MRCItem +litem &15 +pos 0 +dimension 20 +uid 114,0 +) +*31 (MRCItem +litem &16 +pos 1 +dimension 20 +uid 116,0 +) +*32 (MRCItem +litem &17 +pos 2 +dimension 20 +uid 118,0 +) +*33 (MRCItem +litem &18 +pos 3 +dimension 20 +uid 120,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 797,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 1607,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 2132,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 2134,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 2615,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "baudRateDivide" +type "integer" +value "2" +) +uid 149,0 +) +*60 (LogGeneric +generic (GiElement +name "asciiBitNb" +type "positive" +value "7" +) +uid 805,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*61 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *62 (MRCItem +litem &47 +pos 2 +dimension 20 +) +uid 64,0 +optionalChildren [ +*63 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 65,0 +) +*64 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 66,0 +) +*65 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*66 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 150,0 +) +*67 (MRCItem +litem &60 +pos 1 +dimension 20 +uid 804,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*68 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 69,0 +) +*69 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 70,0 +) +*70 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 71,0 +) +*71 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 72,0 +) +*72 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 73,0 +) +*73 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 74,0 +) +*74 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@controller\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@controller\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@controller" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcdController" +) +(vvPair +variable "date" +value "14.10.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdController" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "14.10.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "10:35:46" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "LCD" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI/concat" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../libs/SPI/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/LCD/work" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "lcdController" +) +(vvPair +variable "month" +value "Oct" +) +(vvPair +variable "month_long" +value "October" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@controller\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcdController\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "10:35:46" +) +(vvPair +variable "unit" +value "lcdController" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 19,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,23625,8000,24375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +) +xt "9000,23400,12400,24600" +st "clock" +blo "9000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,12600,-12500,13400" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 1,0 +) +) +) +*77 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,25625,8000,26375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "9000,25400,12300,26600" +st "reset" +blo "9000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,7800,-12500,8600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*78 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,15625,24750,16375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 89,0 +va (VaSet +) +xt "21100,15400,23000,16600" +st "SI" +ju 2 +blo "23000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,8600,-12500,9400" +st "SI : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*79 (CptPort +uid 91,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 92,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,17625,24750,18375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 94,0 +va (VaSet +) +xt "20200,17400,23000,18600" +st "SCL" +ju 2 +blo "23000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 95,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,9400,-12500,10200" +st "SCL : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*80 (CptPort +uid 96,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 97,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,19625,24750,20375" +) +tg (CPTG +uid 98,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 99,0 +va (VaSet +) +xt "20800,19400,23000,20600" +st "A0" +ju 2 +blo "23000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,10200,-12500,11000" +st "A0 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*81 (CptPort +uid 799,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 800,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,15625,8000,16375" +) +tg (CPTG +uid 801,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 802,0 +va (VaSet +) +xt "9000,15400,12100,16600" +st "ascii" +blo "9000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 803,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,13400,3000,14200" +st "ascii : IN std_ulogic_vector (asciiBitNb-1 downto 0) ;" +) +thePort (LogicalPort +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 8 +suid 11,0 +) +) +) +*82 (CptPort +uid 1611,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1612,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,17625,8000,18375" +) +tg (CPTG +uid 1613,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1614,0 +va (VaSet +) +xt "9000,17400,12100,18600" +st "send" +blo "9000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1615,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,14200,-12500,15000" +st "send : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 9 +suid 21,0 +) +) +) +*83 (CptPort +uid 2136,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2137,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,23625,24750,24375" +) +tg (CPTG +uid 2138,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2139,0 +va (VaSet +) +xt "18900,23400,23000,24600" +st "CS1_n" +ju 2 +blo "23000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2140,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,11000,-12500,11800" +st "CS1_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 5 +suid 27,0 +) +) +) +*84 (CptPort +uid 2141,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2142,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,21625,24750,22375" +) +tg (CPTG +uid 2143,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2144,0 +va (VaSet +) +xt "19000,21400,23000,22600" +st "RST_n" +ju 2 +blo "23000,22400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2145,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,11800,-12500,12600" +st "RST_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 6 +suid 28,0 +) +) +) +*85 (CptPort +uid 2617,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2618,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,19625,8000,20375" +) +tg (CPTG +uid 2619,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2620,0 +va (VaSet +) +xt "9000,19400,12100,20600" +st "busy" +blo "9000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2621,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,15000,-13500,15800" +st "busy : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 10 +suid 29,0 +) +) +) +] +shape (Rectangle +uid 148,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "8000,12000,24000,28000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "8600,27800,11100,29000" +st "LCD" +blo "8600,28800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "8600,29000,16100,30200" +st "lcdController" +blo "8600,30000" +) +) +gi *86 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "8000,31800,23000,35000" +st "Generic Declarations + +baudRateDivide integer 2 +asciiBitNb positive 7 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "baudRateDivide" +type "integer" +value "2" +) +(GiElement +name "asciiBitNb" +type "positive" +value "7" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*87 (Grouping +uid 2491,0 +optionalChildren [ +*88 (CommentText +uid 2493,0 +shape (Rectangle +uid 2494,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "24000,41000,43000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 2495,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "24200,41400,39600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 2496,0 +shape (Rectangle +uid 2497,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,41000,18000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 2498,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "-750,41250,10750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 2499,0 +shape (Rectangle +uid 2500,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,47000,18000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 2501,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,47400,13000,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 2502,0 +shape (Rectangle +uid 2503,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,41000,24000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 2504,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "18200,41400,22900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*92 (CommentText +uid 2505,0 +shape (Rectangle +uid 2506,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,43000,18000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 2507,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,43400,12400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*93 (CommentText +uid 2508,0 +shape (Rectangle +uid 2509,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,43000,-3000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 2510,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,43400,-4400,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*94 (CommentText +uid 2511,0 +shape (Rectangle +uid 2512,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,45000,-3000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 2513,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,45400,-4400,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*95 (CommentText +uid 2514,0 +shape (Rectangle +uid 2515,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,43000,43000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 2516,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "18200,43200,32300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*96 (CommentText +uid 2517,0 +shape (Rectangle +uid 2518,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,45000,18000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 2519,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,45400,7100,46600" +st " + +%library/%unit/%view + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*97 (CommentText +uid 2520,0 +shape (Rectangle +uid 2521,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,47000,-3000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 2522,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,47400,-3500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 2492,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "-8000,41000,43000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *98 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,0,-22400,1200" +st "Package List" +blo "-30000,1000" +) +*100 (MLText +uid 18,0 +va (VaSet +) +xt "-30000,1200,-12500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "153,35,1440,894" +viewArea "-31100,-1000,45148,49688" +cachedDiagramExtent "-30000,0,43000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-30000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,15000,27600,16200" +st "" +blo "22200,16000" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,16200,26100,17400" +st "" +blo "22200,17200" +) +) +gi *101 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *102 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,5400,-22600,6600" +st "Declarations" +blo "-30000,6400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,6600,-26300,7800" +st "Ports:" +blo "-30000,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,15800,-26800,17000" +st "User:" +blo "-30000,16800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-30000,5400,-21800,6600" +st "Internal User:" +blo "-30000,6400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,17000,-28000,17000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-30000,5400,-30000,5400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 2849,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Lcd/hds/lcd@demo/struct.bd b/Libs/Lcd/hds/lcd@demo/struct.bd new file mode 100644 index 0000000..c5d3aff --- /dev/null +++ b/Libs/Lcd/hds/lcd@demo/struct.bd @@ -0,0 +1,5667 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "I_lcd" +duLibraryName "Lcd" +duName "lcdController" +elements [ +(GiElement +name "baudRateDivide" +type "integer" +value "baudRateDivide" +) +(GiElement +name "asciiBitNb" +type "positive" +value "asciiBitNb" +) +] +mwi 0 +uid 13430,0 +) +(Instance +name "I_0" +duLibraryName "RS232" +duName "serialPortReceiver" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "rs232DataBitNb" +) +(GiElement +name "baudRateDivide" +type "positive" +value "clockFrequency/rs232BaudRate" +) +] +mwi 0 +uid 13570,0 +) +(Instance +name "I_hello" +duLibraryName "Lcd" +duName "helloWorld" +elements [ +(GiElement +name "asciiBitNb" +type "positive" +value "asciiBitNb" +) +] +mwi 0 +uid 13604,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +(EmbeddedInstance +name "eb3" +number "3" +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@demo\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@demo\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@demo" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcdDemo" +) +(vvPair +variable "date" +value "14.10.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdDemo" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "14.10.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "10:35:46" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "LCD" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI/concat" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../libs/SPI/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/LCD/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "lcdDemo" +) +(vvPair +variable "month" +value "Oct" +) +(vvPair +variable "month_long" +value "October" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@demo\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcdDemo\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "D:\\Projects\\Trypano\\ControlBoard\\IglooTester\\Board\\actel\\boardTester" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "10:35:46" +) +(vvPair +variable "unit" +value "lcdDemo" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (Grouping +uid 9,0 +optionalChildren [ +*2 (CommentText +uid 11,0 +shape (Rectangle +uid 12,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,24000,31000,25000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 13,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "14200,24500,14200,24500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 14,0 +shape (Rectangle +uid 15,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "31000,20000,35000,21000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 16,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "31200,20500,31200,20500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 17,0 +shape (Rectangle +uid 18,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,22000,31000,23000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 19,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "14200,22500,14200,22500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 20,0 +shape (Rectangle +uid 21,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "10000,22000,14000,23000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 22,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "10200,22500,10200,22500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 23,0 +shape (Rectangle +uid 24,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "31000,21000,51000,25000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 25,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "31200,21200,45300,22400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*7 (CommentText +uid 26,0 +shape (Rectangle +uid 27,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,20000,51000,21000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 28,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "35200,20500,35200,20500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 29,0 +shape (Rectangle +uid 30,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "10000,20000,31000,22000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 31,0 +va (VaSet +fg "32768,0,0" +) +xt "15350,20400,25650,21600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 32,0 +shape (Rectangle +uid 33,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "10000,23000,14000,24000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 34,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "10200,23500,10200,23500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 35,0 +shape (Rectangle +uid 36,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "10000,24000,14000,25000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 37,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "10200,24500,10200,24500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 38,0 +shape (Rectangle +uid 39,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,23000,31000,24000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 40,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "14200,23500,14200,23500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 10,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "10000,20000,51000,25000" +) +oxt "14000,66000,55000,71000" +) +*12 (Net +uid 6676,0 +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 115,0 +) +declText (MLText +uid 6677,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-65600,-85300,-64600" +st "reset : std_ulogic" +) +) +*13 (PortIoOut +uid 6678,0 +shape (CompositeShape +uid 6679,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6680,0 +sl 0 +ro 270 +xt "14500,-16375,16000,-15625" +) +(Line +uid 6681,0 +sl 0 +ro 270 +xt "14000,-16000,14500,-16000" +pts [ +"14000,-16000" +"14500,-16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6682,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6683,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "17000,-16700,18400,-15400" +st "SI" +blo "17000,-15700" +tm "WireNameMgr" +) +) +) +*14 (PortIoOut +uid 6684,0 +shape (CompositeShape +uid 6685,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6686,0 +sl 0 +ro 270 +xt "14500,-14375,16000,-13625" +) +(Line +uid 6687,0 +sl 0 +ro 270 +xt "14000,-14000,14500,-14000" +pts [ +"14000,-14000" +"14500,-14000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6688,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6689,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "17000,-14700,19100,-13400" +st "SCL" +blo "17000,-13700" +tm "WireNameMgr" +) +) +) +*15 (PortIoOut +uid 6690,0 +shape (CompositeShape +uid 6691,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6692,0 +sl 0 +ro 270 +xt "14500,-12375,16000,-11625" +) +(Line +uid 6693,0 +sl 0 +ro 270 +xt "14000,-12000,14500,-12000" +pts [ +"14000,-12000" +"14500,-12000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6694,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6695,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "17000,-12700,18400,-11400" +st "A0" +blo "17000,-11700" +tm "WireNameMgr" +) +) +) +*16 (PortIoOut +uid 6696,0 +shape (CompositeShape +uid 6697,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6698,0 +sl 0 +ro 270 +xt "14500,-8375,16000,-7625" +) +(Line +uid 6699,0 +sl 0 +ro 270 +xt "14000,-8000,14500,-8000" +pts [ +"14000,-8000" +"14500,-8000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6700,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6701,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "17000,-8700,20500,-7400" +st "CS1_n" +blo "17000,-7700" +tm "WireNameMgr" +) +) +) +*17 (PortIoOut +uid 6734,0 +shape (CompositeShape +uid 6735,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 6736,0 +sl 0 +ro 270 +xt "14500,-10375,16000,-9625" +) +(Line +uid 6737,0 +sl 0 +ro 270 +xt "14000,-10000,14500,-10000" +pts [ +"14000,-10000" +"14500,-10000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 6738,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6739,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "17000,-10700,20500,-9400" +st "RST_n" +blo "17000,-9700" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 6748,0 +decl (Decl +n "SI" +t "std_ulogic" +o 2 +suid 121,0 +) +declText (MLText +uid 6749,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-64700,-85600,-63700" +st "SI : std_ulogic" +) +) +*19 (Net +uid 6750,0 +decl (Decl +n "SCL" +t "std_ulogic" +o 3 +suid 122,0 +) +declText (MLText +uid 6751,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-63800,-85200,-62800" +st "SCL : std_ulogic" +) +) +*20 (Net +uid 6752,0 +decl (Decl +n "A0" +t "std_ulogic" +o 4 +suid 123,0 +) +declText (MLText +uid 6753,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-62900,-85400,-61900" +st "A0 : std_ulogic" +) +) +*21 (Net +uid 7909,0 +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 139,0 +) +declText (MLText +uid 7910,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-60200,-85300,-59200" +st "clock : std_ulogic" +) +) +*22 (Net +uid 9948,0 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 5 +suid 182,0 +) +declText (MLText +uid 9949,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-62000,-84700,-61000" +st "CS1_n : std_ulogic" +) +) +*23 (Net +uid 9950,0 +decl (Decl +n "RST_n" +t "std_ulogic" +o 6 +suid 183,0 +) +declText (MLText +uid 9951,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-61100,-84700,-60100" +st "RST_n : std_ulogic" +) +) +*24 (PortIoIn +uid 10651,0 +shape (CompositeShape +uid 10652,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 10653,0 +sl 0 +ro 270 +xt "-75000,-12375,-73500,-11625" +) +(Line +uid 10654,0 +sl 0 +ro 270 +xt "-73500,-12000,-73000,-12000" +pts [ +"-73500,-12000" +"-73000,-12000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 10655,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10656,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-79500,-12700,-76000,-11400" +st "clock" +ju 2 +blo "-76000,-11700" +tm "WireNameMgr" +) +) +) +*25 (PortIoIn +uid 10657,0 +shape (CompositeShape +uid 10658,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 10659,0 +sl 0 +ro 270 +xt "-75000,-10375,-73500,-9625" +) +(Line +uid 10660,0 +sl 0 +ro 270 +xt "-73500,-10000,-73000,-10000" +pts [ +"-73500,-10000" +"-73000,-10000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 10661,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10662,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-79500,-10700,-76000,-9400" +st "reset" +ju 2 +blo "-76000,-9700" +tm "WireNameMgr" +) +) +) +*26 (HdlText +uid 11667,0 +optionalChildren [ +*27 (EmbeddedText +uid 11714,0 +commentText (CommentText +uid 11715,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 11716,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-10000,5000,6000,21000" +) +oxt "0,0,18000,5000" +text (MLText +uid 11717,0 +va (VaSet +font "Courier,9,0" +) +xt "-9800,5200,6200,13000" +st " +leds(buttons'range) <= buttons; + +leds(buttons'high+1 to leds'high) <= (others => '0'); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 16000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 11668,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-10000,4000,6000,22000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 11669,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*28 (Text +uid 11670,0 +va (VaSet +font "Verdana,9,1" +) +xt "-9600,22000,-8100,22900" +st "eb1" +blo "-9600,22700" +tm "HdlTextNameMgr" +) +*29 (Text +uid 11671,0 +va (VaSet +font "Verdana,9,1" +) +xt "-9600,23200,-9100,24100" +st "1" +blo "-9600,23900" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 11850,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-9750,20250,-8250,21750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*30 (PortIoIn +uid 12304,0 +shape (CompositeShape +uid 12305,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 12306,0 +sl 0 +ro 270 +xt "-20000,7625,-18500,8375" +) +(Line +uid 12307,0 +sl 0 +ro 270 +xt "-18500,8000,-18000,8000" +pts [ +"-18500,8000" +"-18000,8000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 12308,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12309,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-25900,7500,-21000,8800" +st "buttons" +ju 2 +blo "-21000,8500" +tm "WireNameMgr" +) +) +) +*31 (Net +uid 12316,0 +decl (Decl +n "buttons" +t "std_ulogic_vector" +b "(1 to buttonNb)" +o 8 +suid 204,0 +) +declText (MLText +uid 12317,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-59300,-75000,-58300" +st "buttons : std_ulogic_vector(1 to buttonNb)" +) +) +*32 (PortIoOut +uid 12318,0 +shape (CompositeShape +uid 12319,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 12320,0 +sl 0 +ro 270 +xt "14500,7625,16000,8375" +) +(Line +uid 12321,0 +sl 0 +ro 270 +xt "14000,8000,14500,8000" +pts [ +"14000,8000" +"14500,8000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 12322,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12323,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "17000,7500,19800,8800" +st "leds" +blo "17000,8500" +tm "WireNameMgr" +) +) +) +*33 (Net +uid 12330,0 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(1 to ledNb)" +o 9 +suid 205,0 +) +declText (MLText +uid 12331,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-58400,-76900,-57400" +st "leds : std_ulogic_vector(1 to ledNb)" +) +) +*34 (HdlText +uid 12702,0 +optionalChildren [ +*35 (EmbeddedText +uid 12708,0 +commentText (CommentText +uid 12709,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 12710,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-32000,-19000,-16000,-9000" +) +oxt "0,0,18000,5000" +text (MLText +uid 12711,0 +va (VaSet +font "Courier,9,0" +) +xt "-31800,-18800,-16600,-9700" +st " +mux: process(uartSend, helloData, helloSend) +begin + if uartSend = '1' then + ascii <= uartData(ascii'range); + send <= '1'; + else + ascii <= helloData; + send <= helloSend; + end if; +end process mux; + +helloBusy <= busy; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 10000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 12703,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-32000,-20000,-16000,-8000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 12704,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 12705,0 +va (VaSet +font "Verdana,9,1" +) +xt "-31700,-8200,-30200,-7300" +st "eb2" +blo "-31700,-7500" +tm "HdlTextNameMgr" +) +*37 (Text +uid 12706,0 +va (VaSet +font "Verdana,9,1" +) +xt "-31700,-7000,-31200,-6100" +st "2" +blo "-31700,-6300" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 12707,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-31750,-9750,-30250,-8250" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*38 (Net +uid 12758,0 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 13 +suid 214,0 +) +declText (MLText +uid 12759,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-50300,-69300,-49300" +st "SIGNAL ascii : std_ulogic_vector(asciiBitNb-1 downto 0)" +) +) +*39 (Net +uid 12760,0 +decl (Decl +n "send" +t "std_ulogic" +o 15 +suid 215,0 +) +declText (MLText +uid 12761,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-48500,-81900,-47500" +st "SIGNAL send : std_ulogic" +) +) +*40 (Net +uid 12762,0 +decl (Decl +n "busy" +t "std_ulogic" +o 17 +suid 216,0 +) +declText (MLText +uid 12763,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-46700,-81900,-45700" +st "SIGNAL busy : std_ulogic" +) +) +*41 (PortIoIn +uid 12796,0 +shape (CompositeShape +uid 12797,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 12798,0 +sl 0 +ro 270 +xt "-75000,-36375,-73500,-35625" +) +(Line +uid 12799,0 +sl 0 +ro 270 +xt "-73500,-36000,-73000,-36000" +pts [ +"-73500,-36000" +"-73000,-36000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 12800,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12801,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-78100,-36700,-76000,-35400" +st "RxD" +ju 2 +blo "-76000,-35700" +tm "WireNameMgr" +) +) +) +*42 (Net +uid 12802,0 +decl (Decl +n "RxD" +t "std_ulogic" +o 10 +suid 217,0 +) +declText (MLText +uid 12803,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-57500,-85000,-56500" +st "RxD : std_ulogic" +) +) +*43 (PortIoOut +uid 13024,0 +shape (CompositeShape +uid 13025,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 13026,0 +sl 0 +ro 270 +xt "14500,-33375,16000,-32625" +) +(Line +uid 13027,0 +sl 0 +ro 270 +xt "14000,-33000,14500,-33000" +pts [ +"14000,-33000" +"14500,-33000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13028,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13029,0 +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "17000,-33700,20500,-32400" +st "debug" +blo "17000,-32700" +tm "WireNameMgr" +) +) +) +*44 (Net +uid 13038,0 +decl (Decl +n "debug" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 11 +suid 219,0 +) +declText (MLText +uid 13039,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-56600,-74700,-55600" +st "debug : std_ulogic_vector(15 DOWNTO 0)" +) +) +*45 (HdlText +uid 13102,0 +optionalChildren [ +*46 (EmbeddedText +uid 13132,0 +commentText (CommentText +uid 13133,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 13134,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "2000,-31000,23000,-26000" +) +oxt "0,0,18000,5000" +text (MLText +uid 13135,0 +va (VaSet +font "Courier,9,0" +) +xt "2200,-30800,23000,-28200" +st " +debug <= (others => '0'); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 5000 +visibleWidth 21000 +) +) +) +] +shape (Rectangle +uid 13103,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-6000,-35000,2000,-25000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 13104,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +uid 13105,0 +va (VaSet +font "Verdana,9,1" +) +xt "-3200,-31200,-1700,-30300" +st "eb3" +blo "-3200,-30500" +tm "HdlTextNameMgr" +) +*48 (Text +uid 13106,0 +va (VaSet +font "Verdana,9,1" +) +xt "-3200,-30000,-2700,-29100" +st "3" +blo "-3200,-29300" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 13107,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-5750,-26750,-4250,-25250" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*49 (SaComponent +uid 13430,0 +optionalChildren [ +*50 (CptPort +uid 13390,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13391,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-10750,-8375,-10000,-7625" +) +tg (CPTG +uid 13392,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13393,0 +va (VaSet +) +xt "-9000,-8600,-6500,-7700" +st "clock" +blo "-9000,-7900" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 1,0 +) +) +) +*51 (CptPort +uid 13394,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13395,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-10750,-6375,-10000,-5625" +) +tg (CPTG +uid 13396,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13397,0 +va (VaSet +) +xt "-9000,-6600,-6500,-5700" +st "reset" +blo "-9000,-5900" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*52 (CptPort +uid 13398,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13399,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6000,-16375,6750,-15625" +) +tg (CPTG +uid 13400,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13401,0 +va (VaSet +) +xt "4000,-16600,5000,-15700" +st "SI" +ju 2 +blo "5000,-15900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*53 (CptPort +uid 13402,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13403,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6000,-14375,6750,-13625" +) +tg (CPTG +uid 13404,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13405,0 +va (VaSet +) +xt "3500,-14600,5000,-13700" +st "SCL" +ju 2 +blo "5000,-13900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*54 (CptPort +uid 13406,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13407,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6000,-12375,6750,-11625" +) +tg (CPTG +uid 13408,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13409,0 +va (VaSet +) +xt "4000,-12600,5000,-11700" +st "A0" +ju 2 +blo "5000,-11900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*55 (CptPort +uid 13410,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13411,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-10750,-16375,-10000,-15625" +) +tg (CPTG +uid 13412,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13413,0 +va (VaSet +) +xt "-9000,-16600,-6500,-15700" +st "ascii" +blo "-9000,-15900" +) +) +thePort (LogicalPort +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 8 +suid 11,0 +) +) +) +*56 (CptPort +uid 13414,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13415,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-10750,-14375,-10000,-13625" +) +tg (CPTG +uid 13416,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13417,0 +va (VaSet +) +xt "-9000,-14600,-7000,-13700" +st "send" +blo "-9000,-13900" +) +) +thePort (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 9 +suid 21,0 +) +) +) +*57 (CptPort +uid 13418,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13419,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6000,-8375,6750,-7625" +) +tg (CPTG +uid 13420,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13421,0 +va (VaSet +) +xt "2500,-8600,5000,-7700" +st "CS1_n" +ju 2 +blo "5000,-7900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 5 +suid 27,0 +) +) +) +*58 (CptPort +uid 13422,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13423,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "6000,-10375,6750,-9625" +) +tg (CPTG +uid 13424,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13425,0 +va (VaSet +) +xt "2500,-10600,5000,-9700" +st "RST_n" +ju 2 +blo "5000,-9900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 6 +suid 28,0 +) +) +) +*59 (CptPort +uid 13426,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13427,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-10750,-12375,-10000,-11625" +) +tg (CPTG +uid 13428,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13429,0 +va (VaSet +) +xt "-9000,-12600,-7000,-11700" +st "busy" +blo "-9000,-11900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 10 +suid 29,0 +) +) +) +] +shape (Rectangle +uid 13431,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-10000,-20000,6000,-4000" +) +oxt "8000,12000,24000,28000" +ttg (MlTextGroup +uid 13432,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +uid 13433,0 +va (VaSet +font "Verdana,9,1" +) +xt "-9400,-4200,-7900,-3300" +st "Lcd" +blo "-9400,-3500" +tm "BdLibraryNameMgr" +) +*61 (Text +uid 13434,0 +va (VaSet +font "Verdana,9,1" +) +xt "-9400,-3000,-2400,-2100" +st "lcdController" +blo "-9400,-2300" +tm "CptNameMgr" +) +*62 (Text +uid 13435,0 +va (VaSet +font "Verdana,9,1" +) +xt "-9400,-1800,-6900,-900" +st "I_lcd" +blo "-9400,-1100" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 13436,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 13437,0 +text (MLText +uid 13438,0 +va (VaSet +font "Verdana,8,0" +) +xt "-10000,-600,12400,1400" +st "baudRateDivide = baudRateDivide ( integer ) +asciiBitNb = asciiBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "baudRateDivide" +type "integer" +value "baudRateDivide" +) +(GiElement +name "asciiBitNb" +type "positive" +value "asciiBitNb" +) +] +) +viewicon (ZoomableIcon +uid 13439,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-9750,-5750,-8250,-4250" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*63 (SaComponent +uid 13570,0 +optionalChildren [ +*64 (CptPort +uid 13550,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13551,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-65750,-36375,-65000,-35625" +) +tg (CPTG +uid 13552,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13553,0 +va (VaSet +) +xt "-64000,-36600,-62500,-35700" +st "RxD" +blo "-64000,-35900" +) +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 13554,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13555,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-65750,-32375,-65000,-31625" +) +tg (CPTG +uid 13556,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13557,0 +va (VaSet +) +xt "-64000,-32600,-61500,-31700" +st "clock" +blo "-64000,-31900" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*66 (CptPort +uid 13558,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13559,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-65750,-30375,-65000,-29625" +) +tg (CPTG +uid 13560,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13561,0 +va (VaSet +) +xt "-64000,-30600,-61500,-29700" +st "reset" +blo "-64000,-29900" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 13562,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13563,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-49000,-36375,-48250,-35625" +) +tg (CPTG +uid 13564,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13565,0 +va (VaSet +) +xt "-53499,-36600,-49999,-35700" +st "dataOut" +ju 2 +blo "-49999,-35900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*68 (CptPort +uid 13566,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13567,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-49000,-34375,-48250,-33625" +) +tg (CPTG +uid 13568,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13569,0 +va (VaSet +) +xt "-54500,-34600,-50000,-33700" +st "dataValid" +ju 2 +blo "-50000,-33900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataValid" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 13571,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-65000,-40000,-49000,-28000" +) +oxt "34000,16000,50000,28000" +ttg (MlTextGroup +uid 13572,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +uid 13573,0 +va (VaSet +font "Verdana,9,1" +) +xt "-64400,-28200,-61900,-27300" +st "RS232" +blo "-64400,-27500" +tm "BdLibraryNameMgr" +) +*70 (Text +uid 13574,0 +va (VaSet +font "Verdana,9,1" +) +xt "-64400,-27300,-54900,-26400" +st "serialPortReceiver" +blo "-64400,-26600" +tm "CptNameMgr" +) +*71 (Text +uid 13575,0 +va (VaSet +font "Verdana,9,1" +) +xt "-64400,-26400,-62900,-25500" +st "I_0" +blo "-64400,-25700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 13576,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 13577,0 +text (MLText +uid 13578,0 +va (VaSet +font "Verdana,8,0" +) +xt "-65000,-25200,-36300,-23200" +st "dataBitNb = rs232DataBitNb ( positive ) +baudRateDivide = clockFrequency/rs232BaudRate ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "rs232DataBitNb" +) +(GiElement +name "baudRateDivide" +type "positive" +value "clockFrequency/rs232BaudRate" +) +] +) +viewicon (ZoomableIcon +uid 13579,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-64750,-29750,-63250,-28250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*72 (SaComponent +uid 13604,0 +optionalChildren [ +*73 (CptPort +uid 13580,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13581,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-65750,-12375,-65000,-11625" +) +tg (CPTG +uid 13582,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13583,0 +va (VaSet +) +xt "-64000,-12600,-61500,-11700" +st "clock" +blo "-64000,-11900" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*74 (CptPort +uid 13584,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13585,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-65750,-10375,-65000,-9625" +) +tg (CPTG +uid 13586,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13587,0 +va (VaSet +) +xt "-64000,-10600,-61500,-9700" +st "reset" +blo "-64000,-9900" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*75 (CptPort +uid 13588,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13589,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-49000,-16375,-48250,-15625" +) +tg (CPTG +uid 13590,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13591,0 +va (VaSet +) +xt "-52500,-16600,-50000,-15700" +st "ascii" +ju 2 +blo "-50000,-15900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 3 +suid 11,0 +) +) +) +*76 (CptPort +uid 13592,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13593,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-49000,-14375,-48250,-13625" +) +tg (CPTG +uid 13594,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13595,0 +va (VaSet +) +xt "-52000,-14600,-50000,-13700" +st "send" +ju 2 +blo "-50000,-13900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 4 +suid 21,0 +) +) +) +*77 (CptPort +uid 13596,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13597,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-49000,-12375,-48250,-11625" +) +tg (CPTG +uid 13598,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 13599,0 +va (VaSet +) +xt "-52000,-12600,-50000,-11700" +st "busy" +ju 2 +blo "-50000,-11900" +) +) +thePort (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 5 +suid 29,0 +) +) +) +*78 (CptPort +uid 13600,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 13601,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-65750,-16375,-65000,-15625" +) +tg (CPTG +uid 13602,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 13603,0 +va (VaSet +) +xt "-64000,-16600,-61000,-15700" +st "button" +blo "-64000,-15900" +) +) +thePort (LogicalPort +decl (Decl +n "button" +t "std_ulogic" +o 6 +suid 30,0 +) +) +) +] +shape (Rectangle +uid 13605,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-65000,-20000,-49000,-8000" +) +oxt "8000,16000,24000,28000" +ttg (MlTextGroup +uid 13606,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 13607,0 +va (VaSet +font "Verdana,9,1" +) +xt "-64400,-8200,-62900,-7300" +st "Lcd" +blo "-64400,-7500" +tm "BdLibraryNameMgr" +) +*80 (Text +uid 13608,0 +va (VaSet +font "Verdana,9,1" +) +xt "-64400,-7300,-58900,-6400" +st "helloWorld" +blo "-64400,-6600" +tm "CptNameMgr" +) +*81 (Text +uid 13609,0 +va (VaSet +font "Verdana,9,1" +) +xt "-64400,-6400,-60900,-5500" +st "I_hello" +blo "-64400,-5700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 13610,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 13611,0 +text (MLText +uid 13612,0 +va (VaSet +font "Verdana,8,0" +) +xt "-65000,-4200,-47800,-3200" +st "asciiBitNb = asciiBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "asciiBitNb" +type "positive" +value "asciiBitNb" +) +] +) +viewicon (ZoomableIcon +uid 13613,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-64750,-9750,-63250,-8250" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +connectByName 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*82 (Net +uid 13620,0 +decl (Decl +n "helloData" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 12 +suid 226,0 +) +declText (MLText +uid 13621,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-51200,-68400,-50200" +st "SIGNAL helloData : std_ulogic_vector(asciiBitNb-1 downto 0)" +) +) +*83 (Net +uid 13622,0 +decl (Decl +n "helloSend" +t "std_ulogic" +o 14 +suid 227,0 +) +declText (MLText +uid 13623,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-49400,-81400,-48400" +st "SIGNAL helloSend : std_ulogic" +) +) +*84 (Net +uid 13624,0 +decl (Decl +n "helloBusy" +t "std_ulogic" +o 16 +suid 228,0 +) +declText (MLText +uid 13625,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-47600,-81500,-46600" +st "SIGNAL helloBusy : std_ulogic" +) +) +*85 (Net +uid 13626,0 +decl (Decl +n "uartData" +t "std_ulogic_vector" +b "(rs232DataBitNb-1 DOWNTO 0)" +o 18 +suid 229,0 +) +declText (MLText +uid 13627,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-45800,-65300,-44800" +st "SIGNAL uartData : std_ulogic_vector(rs232DataBitNb-1 DOWNTO 0)" +) +) +*86 (Net +uid 13628,0 +decl (Decl +n "uartSend" +t "std_ulogic" +o 19 +suid 230,0 +) +declText (MLText +uid 13629,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-44900,-81400,-43900" +st "SIGNAL uartSend : std_ulogic" +) +) +*87 (Wire +uid 6704,0 +shape (OrthoPolyLine +uid 6705,0 +va (VaSet +vasetType 3 +) +xt "6750,-16000,14000,-16000" +pts [ +"6750,-16000" +"14000,-16000" +] +) +start &52 +end &13 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6708,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6709,0 +va (VaSet +font "Verdana,12,0" +) +xt "12000,-17400,13400,-16100" +st "SI" +blo "12000,-16400" +tm "WireNameMgr" +) +) +on &18 +) +*88 (Wire +uid 6712,0 +shape (OrthoPolyLine +uid 6713,0 +va (VaSet +vasetType 3 +) +xt "6750,-14000,14000,-14000" +pts [ +"6750,-14000" +"14000,-14000" +] +) +start &53 +end &14 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6716,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6717,0 +va (VaSet +font "Verdana,12,0" +) +xt "11000,-15400,13100,-14100" +st "SCL" +blo "11000,-14400" +tm "WireNameMgr" +) +) +on &19 +) +*89 (Wire +uid 6720,0 +shape (OrthoPolyLine +uid 6721,0 +va (VaSet +vasetType 3 +) +xt "6750,-12000,14000,-12000" +pts [ +"6750,-12000" +"14000,-12000" +] +) +start &54 +end &15 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6724,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6725,0 +va (VaSet +font "Verdana,12,0" +) +xt "12000,-13400,13400,-12100" +st "A0" +blo "12000,-12400" +tm "WireNameMgr" +) +) +on &20 +) +*90 (Wire +uid 6728,0 +shape (OrthoPolyLine +uid 6729,0 +va (VaSet +vasetType 3 +) +xt "6750,-8000,14000,-8000" +pts [ +"6750,-8000" +"14000,-8000" +] +) +start &57 +end &16 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6732,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6733,0 +va (VaSet +font "Verdana,12,0" +) +xt "10000,-9400,13500,-8100" +st "CS1_n" +blo "10000,-8400" +tm "WireNameMgr" +) +) +on &22 +) +*91 (Wire +uid 6742,0 +shape (OrthoPolyLine +uid 6743,0 +va (VaSet +vasetType 3 +) +xt "6750,-10000,14000,-10000" +pts [ +"6750,-10000" +"14000,-10000" +] +) +start &58 +end &17 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6746,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6747,0 +va (VaSet +font "Verdana,12,0" +) +xt "10000,-11400,13500,-10100" +st "RST_n" +blo "10000,-10400" +tm "WireNameMgr" +) +) +on &23 +) +*92 (Wire +uid 9879,0 +shape (OrthoPolyLine +uid 9880,0 +va (VaSet +vasetType 3 +) +xt "-14000,-8000,-10750,-8000" +pts [ +"-14000,-8000" +"-10750,-8000" +] +) +end &50 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9885,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9886,0 +va (VaSet +font "Verdana,12,0" +) +xt "-15000,-9400,-11500,-8100" +st "clock" +blo "-15000,-8400" +tm "WireNameMgr" +) +) +on &21 +) +*93 (Wire +uid 9887,0 +shape (OrthoPolyLine +uid 9888,0 +va (VaSet +vasetType 3 +) +xt "-14000,-6000,-10750,-6000" +pts [ +"-14000,-6000" +"-10750,-6000" +] +) +end &51 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 9893,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 9894,0 +va (VaSet +font "Verdana,12,0" +) +xt "-15000,-7400,-11500,-6100" +st "reset" +blo "-15000,-6400" +tm "WireNameMgr" +) +) +on &12 +) +*94 (Wire +uid 10663,0 +shape (OrthoPolyLine +uid 10664,0 +va (VaSet +vasetType 3 +) +xt "-73000,-10000,-65750,-10000" +pts [ +"-73000,-10000" +"-65750,-10000" +] +) +start &25 +end &74 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10667,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10668,0 +va (VaSet +font "Verdana,12,0" +) +xt "-73000,-11400,-69500,-10100" +st "reset" +blo "-73000,-10400" +tm "WireNameMgr" +) +) +on &12 +) +*95 (Wire +uid 10669,0 +shape (OrthoPolyLine +uid 10670,0 +va (VaSet +vasetType 3 +) +xt "-73000,-12000,-65750,-12000" +pts [ +"-73000,-12000" +"-65750,-12000" +] +) +start &24 +end &73 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 10673,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 10674,0 +va (VaSet +font "Verdana,12,0" +) +xt "-73000,-13400,-69500,-12100" +st "clock" +blo "-73000,-12400" +tm "WireNameMgr" +) +) +on &21 +) +*96 (Wire +uid 12310,0 +shape (OrthoPolyLine +uid 12311,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-18000,8000,-10000,8000" +pts [ +"-18000,8000" +"-10000,8000" +] +) +start &30 +end &26 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12314,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12315,0 +va (VaSet +font "Verdana,12,0" +) +xt "-18000,6600,-13100,7900" +st "buttons" +blo "-18000,7600" +tm "WireNameMgr" +) +) +on &31 +) +*97 (Wire +uid 12324,0 +shape (OrthoPolyLine +uid 12325,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "6000,8000,14000,8000" +pts [ +"6000,8000" +"14000,8000" +] +) +start &26 +end &32 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12328,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12329,0 +va (VaSet +font "Verdana,12,0" +) +xt "11000,6600,13800,7900" +st "leds" +blo "11000,7600" +tm "WireNameMgr" +) +) +on &33 +) +*98 (Wire +uid 12543,0 +shape (OrthoPolyLine +uid 12544,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-48250,-16000,-32000,-16000" +pts [ +"-48250,-16000" +"-40000,-16000" +"-32000,-16000" +] +) +start &75 +end &34 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12545,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12546,0 +va (VaSet +font "Verdana,12,0" +) +xt "-47000,-17300,-40700,-16000" +st "helloData" +blo "-47000,-16300" +tm "WireNameMgr" +) +) +on &82 +) +*99 (Wire +uid 12549,0 +shape (OrthoPolyLine +uid 12550,0 +va (VaSet +vasetType 3 +) +xt "-48250,-14000,-32000,-14000" +pts [ +"-48250,-14000" +"-40000,-14000" +"-32000,-14000" +] +) +start &76 +end &34 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12551,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12552,0 +va (VaSet +font "Verdana,12,0" +) +xt "-47000,-15300,-40700,-14000" +st "helloSend" +blo "-47000,-14300" +tm "WireNameMgr" +) +) +on &83 +) +*100 (Wire +uid 12555,0 +shape (OrthoPolyLine +uid 12556,0 +va (VaSet +vasetType 3 +) +xt "-48250,-12000,-32000,-12000" +pts [ +"-48250,-12000" +"-40000,-12000" +"-32000,-12000" +] +) +start &77 +end &34 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12557,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12558,0 +va (VaSet +font "Verdana,12,0" +) +xt "-47000,-13300,-40700,-12000" +st "helloBusy" +blo "-47000,-12300" +tm "WireNameMgr" +) +) +on &84 +) +*101 (Wire +uid 12559,0 +shape (OrthoPolyLine +uid 12560,0 +va (VaSet +vasetType 3 +) +xt "-73000,-16000,-65750,-16000" +pts [ +"-65750,-16000" +"-73000,-16000" +] +) +start &78 +sat 32 +eat 16 +sl "(1)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12563,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12564,0 +va (VaSet +font "Verdana,12,0" +) +xt "-74000,-17400,-66300,-16100" +st "buttons(1)" +blo "-74000,-16400" +tm "WireNameMgr" +) +) +on &31 +) +*102 (Wire +uid 12714,0 +shape (OrthoPolyLine +uid 12715,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-48250,-36000,-20000,-20000" +pts [ +"-48250,-36000" +"-20000,-36000" +"-20000,-20000" +] +) +start &67 +end &34 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12718,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12719,0 +va (VaSet +font "Verdana,12,0" +) +xt "-46250,-37400,-40650,-36100" +st "uartData" +blo "-46250,-36400" +tm "WireNameMgr" +) +) +on &85 +) +*103 (Wire +uid 12722,0 +shape (OrthoPolyLine +uid 12723,0 +va (VaSet +vasetType 3 +) +xt "-48250,-34000,-23000,-20000" +pts [ +"-48250,-34000" +"-23000,-34000" +"-23000,-20000" +] +) +start &68 +end &34 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12726,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12727,0 +va (VaSet +font "Verdana,12,0" +) +xt "-46250,-35400,-40650,-34100" +st "uartSend" +blo "-46250,-34400" +tm "WireNameMgr" +) +) +on &86 +) +*104 (Wire +uid 12734,0 +shape (OrthoPolyLine +uid 12735,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-16000,-16000,-10750,-16000" +pts [ +"-16000,-16000" +"-12000,-16000" +"-10750,-16000" +] +) +start &34 +end &55 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12740,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12741,0 +va (VaSet +font "Verdana,12,0" +) +xt "-14000,-16400,-10500,-15100" +st "ascii" +blo "-14000,-15400" +tm "WireNameMgr" +) +) +on &38 +) +*105 (Wire +uid 12742,0 +shape (OrthoPolyLine +uid 12743,0 +va (VaSet +vasetType 3 +) +xt "-16000,-14000,-10750,-14000" +pts [ +"-16000,-14000" +"-10750,-14000" +] +) +start &34 +end &56 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12748,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12749,0 +va (VaSet +font "Verdana,12,0" +) +xt "-14250,-14000,-11450,-12700" +st "send" +blo "-14250,-13000" +tm "WireNameMgr" +) +) +on &39 +) +*106 (Wire +uid 12750,0 +shape (OrthoPolyLine +uid 12751,0 +va (VaSet +vasetType 3 +) +xt "-16000,-12000,-10750,-12000" +pts [ +"-16000,-12000" +"-10750,-12000" +] +) +start &34 +end &59 +sat 1 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12756,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12757,0 +va (VaSet +font "Verdana,12,0" +) +xt "-14000,-12400,-11200,-11100" +st "busy" +blo "-14000,-11400" +tm "WireNameMgr" +) +) +on &40 +) +*107 (Wire +uid 12780,0 +shape (OrthoPolyLine +uid 12781,0 +va (VaSet +vasetType 3 +) +xt "-69000,-32000,-65750,-32000" +pts [ +"-69000,-32000" +"-65750,-32000" +] +) +end &65 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12786,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12787,0 +va (VaSet +font "Verdana,12,0" +) +xt "-70000,-33400,-66500,-32100" +st "clock" +blo "-70000,-32400" +tm "WireNameMgr" +) +) +on &21 +) +*108 (Wire +uid 12788,0 +shape (OrthoPolyLine +uid 12789,0 +va (VaSet +vasetType 3 +) +xt "-69000,-30000,-65750,-30000" +pts [ +"-69000,-30000" +"-65750,-30000" +] +) +end &66 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12794,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12795,0 +va (VaSet +font "Verdana,12,0" +) +xt "-70000,-31400,-66500,-30100" +st "reset" +blo "-70000,-30400" +tm "WireNameMgr" +) +) +on &12 +) +*109 (Wire +uid 12804,0 +shape (OrthoPolyLine +uid 12805,0 +va (VaSet +vasetType 3 +) +xt "-73000,-36000,-65750,-36000" +pts [ +"-73000,-36000" +"-65750,-36000" +] +) +start &41 +end &64 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 12806,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 12807,0 +va (VaSet +font "Verdana,12,0" +) +xt "-71000,-37400,-68900,-36100" +st "RxD" +blo "-71000,-36400" +tm "WireNameMgr" +) +) +on &42 +) +*110 (Wire +uid 13032,0 +shape (OrthoPolyLine +uid 13033,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "2000,-33000,14000,-33000" +pts [ +"2000,-33000" +"14000,-33000" +] +) +start &45 +end &43 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 13036,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 13037,0 +va (VaSet +font "Verdana,12,0" +) +xt "9000,-34400,12500,-33100" +st "debug" +blo "9000,-33400" +tm "WireNameMgr" +) +) +on &44 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *111 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*112 (Text +uid 43,0 +va (VaSet +font "Verdana,9,1" +) +xt "-97000,-74000,-90500,-73100" +st "Package List" +blo "-97000,-73300" +) +*113 (MLText +uid 44,0 +va (VaSet +) +xt "-97000,-72800,-79500,-69200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*114 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*115 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,31500,1900" +st "Pre-module directives:" +blo "20000,1700" +) +*116 (MLText +uid 48,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*117 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32000,4900" +st "Post-module directives:" +blo "20000,4700" +) +*118 (MLText +uid 50,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*119 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,31500,5900" +st "End-module directives:" +blo "20000,5700" +) +*120 (MLText +uid 52,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "545,32,1655,952" +viewArea "-99147,-76102,54100,30070" +cachedDiagramExtent "-97000,-74000,51000,25000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 50 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-97000,-74000" +lastUid 13694,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "700,1000,4700,2200" +st "Panel0" +blo "700,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*121 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2450,3500,7850,4700" +st "" +blo "2450,4500" +tm "BdLibraryNameMgr" +) +*122 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2450,4700,7250,5900" +st "" +blo "2450,5700" +tm "BlkNameMgr" +) +*123 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2450,5900,4750,7100" +st "I_0" +blo "2450,6900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "2450,13500,2450,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*124 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1000,3500,6700,4900" +st "Library" +blo "1000,4700" +) +*125 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1000,4900,12700,6300" +st "MWComponent" +blo "1000,6100" +) +*126 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1000,6300,4300,7700" +st "I_0" +blo "1000,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*127 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1250,3500,6950,4900" +st "Library" +blo "1250,4700" +tm "BdLibraryNameMgr" +) +*128 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1250,4900,12150,6300" +st "SaComponent" +blo "1250,6100" +tm "CptNameMgr" +) +*129 (Text +va (VaSet +font "Verdana,12,1" +) +xt "1250,6300,4550,7700" +st "I_0" +blo "1250,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*130 (Text +va (VaSet +font "Verdana,12,1" +) +xt "950,3500,6650,4900" +st "Library" +blo "950,4700" +) +*131 (Text +va (VaSet +font "Verdana,12,1" +) +xt "950,4900,13050,6300" +st "VhdlComponent" +blo "950,6100" +) +*132 (Text +va (VaSet +font "Verdana,12,1" +) +xt "950,6300,4250,7700" +st "I_0" +blo "950,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*133 (Text +va (VaSet +font "Verdana,12,1" +) +xt "450,3500,6150,4900" +st "Library" +blo "450,4700" +) +*134 (Text +va (VaSet +font "Verdana,12,1" +) +xt "450,4900,14350,6300" +st "VerilogComponent" +blo "450,6100" +) +*135 (Text +va (VaSet +font "Verdana,12,1" +) +xt "450,6300,3750,7700" +st "I_0" +blo "450,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*136 (Text +va (VaSet +font "Verdana,9,1" +) +xt "3400,4000,5800,5200" +st "eb1" +blo "3400,5000" +tm "HdlTextNameMgr" +) +*137 (Text +va (VaSet +font "Verdana,9,1" +) +xt "3400,5200,4600,6400" +st "1" +blo "3400,6200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "Courier,9,0" +) +xt "200,200,4200,1500" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,3400,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,0,4700,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,-200,4700,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5000,1200" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1200,9600,2400" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "-2950,-1200,15550,0" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "-150,150,1250,1350" +st "1" +blo "-150,1150" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*138 (Text +va (VaSet +font "Verdana,9,1" +) +xt "14100,20000,24900,21200" +st "Frame Declarations" +blo "14100,21000" +) +*139 (MLText +va (VaSet +) +xt "14100,21200,14100,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "-1800,-1200,9200,0" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "-150,150,1250,1350" +st "1" +blo "-150,1150" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*140 (Text +va (VaSet +font "Verdana,9,1" +) +xt "14100,20000,24900,21200" +st "Frame Declarations" +blo "14100,21000" +) +*141 (MLText +va (VaSet +) +xt "14100,21200,14100,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "-97000,-67400,-90500,-66500" +st "Declarations" +blo "-97000,-66700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "-97000,-66500,-94000,-65600" +st "Ports:" +blo "-97000,-65800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "-97000,-55700,-92500,-54800" +st "Pre User:" +blo "-97000,-55000" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "-95000,-54800,-75200,-51800" +st "constant asciiBitNb: positive := 7; +constant rs232DataBitNb: positive := 8; +constant clockFrequency: positive := 66E6;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "-97000,-52100,-88500,-51200" +st "Diagram Signals:" +blo "-97000,-51400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "-97000,-67400,-91500,-66500" +st "Post User:" +blo "-97000,-66700" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "-97000,-67400,-97000,-67400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 230,0 +usingSuid 1 +emptyRow *142 (LEmptyRow +) +uid 1151,0 +optionalChildren [ +*143 (RefLabelRowHdr +) +*144 (TitleRowHdr +) +*145 (FilterRowHdr +) +*146 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*147 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*148 (GroupColHdr +tm "GroupColHdrMgr" +) +*149 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*150 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*151 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*152 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*153 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*154 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*155 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 115,0 +) +) +uid 6784,0 +) +*156 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 2 +suid 121,0 +) +) +uid 6786,0 +) +*157 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 3 +suid 122,0 +) +) +uid 6788,0 +) +*158 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 4 +suid 123,0 +) +) +uid 6790,0 +) +*159 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 139,0 +) +) +uid 7915,0 +) +*160 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 5 +suid 182,0 +) +) +uid 9952,0 +) +*161 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 6 +suid 183,0 +) +) +uid 9954,0 +) +*162 (LeafLogPort +port (LogicalPort +decl (Decl +n "buttons" +t "std_ulogic_vector" +b "(1 to buttonNb)" +o 8 +suid 204,0 +) +) +uid 12301,0 +) +*163 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(1 to ledNb)" +o 9 +suid 205,0 +) +) +uid 12303,0 +) +*164 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 13 +suid 214,0 +) +) +uid 12774,0 +) +*165 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "send" +t "std_ulogic" +o 15 +suid 215,0 +) +) +uid 12776,0 +) +*166 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "busy" +t "std_ulogic" +o 17 +suid 216,0 +) +) +uid 12778,0 +) +*167 (LeafLogPort +port (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 10 +suid 217,0 +) +) +uid 12808,0 +) +*168 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "debug" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 11 +suid 219,0 +) +) +uid 13198,0 +) +*169 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "helloData" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 12 +suid 226,0 +) +) +uid 13630,0 +) +*170 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "helloSend" +t "std_ulogic" +o 14 +suid 227,0 +) +) +uid 13632,0 +) +*171 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "helloBusy" +t "std_ulogic" +o 16 +suid 228,0 +) +) +uid 13634,0 +) +*172 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "uartData" +t "std_ulogic_vector" +b "(rs232DataBitNb-1 DOWNTO 0)" +o 18 +suid 229,0 +) +) +uid 13636,0 +) +*173 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "uartSend" +t "std_ulogic" +o 19 +suid 230,0 +) +) +uid 13638,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1164,0 +optionalChildren [ +*174 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *175 (MRCItem +litem &142 +pos 19 +dimension 20 +) +uid 1166,0 +optionalChildren [ +*176 (MRCItem +litem &143 +pos 0 +dimension 20 +uid 1167,0 +) +*177 (MRCItem +litem &144 +pos 1 +dimension 23 +uid 1168,0 +) +*178 (MRCItem +litem &145 +pos 2 +hidden 1 +dimension 20 +uid 1169,0 +) +*179 (MRCItem +litem &155 +pos 0 +dimension 20 +uid 6785,0 +) +*180 (MRCItem +litem &156 +pos 1 +dimension 20 +uid 6787,0 +) +*181 (MRCItem +litem &157 +pos 2 +dimension 20 +uid 6789,0 +) +*182 (MRCItem +litem &158 +pos 3 +dimension 20 +uid 6791,0 +) +*183 (MRCItem +litem &159 +pos 4 +dimension 20 +uid 7916,0 +) +*184 (MRCItem +litem &160 +pos 6 +dimension 20 +uid 9953,0 +) +*185 (MRCItem +litem &161 +pos 7 +dimension 20 +uid 9955,0 +) +*186 (MRCItem +litem &162 +pos 5 +dimension 20 +uid 12300,0 +) +*187 (MRCItem +litem &163 +pos 8 +dimension 20 +uid 12302,0 +) +*188 (MRCItem +litem &164 +pos 11 +dimension 20 +uid 12775,0 +) +*189 (MRCItem +litem &165 +pos 12 +dimension 20 +uid 12777,0 +) +*190 (MRCItem +litem &166 +pos 13 +dimension 20 +uid 12779,0 +) +*191 (MRCItem +litem &167 +pos 9 +dimension 20 +uid 12809,0 +) +*192 (MRCItem +litem &168 +pos 10 +dimension 20 +uid 13199,0 +) +*193 (MRCItem +litem &169 +pos 14 +dimension 20 +uid 13631,0 +) +*194 (MRCItem +litem &170 +pos 15 +dimension 20 +uid 13633,0 +) +*195 (MRCItem +litem &171 +pos 16 +dimension 20 +uid 13635,0 +) +*196 (MRCItem +litem &172 +pos 17 +dimension 20 +uid 13637,0 +) +*197 (MRCItem +litem &173 +pos 18 +dimension 20 +uid 13639,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1170,0 +optionalChildren [ +*198 (MRCItem +litem &146 +pos 0 +dimension 20 +uid 1171,0 +) +*199 (MRCItem +litem &148 +pos 1 +dimension 50 +uid 1172,0 +) +*200 (MRCItem +litem &149 +pos 2 +dimension 100 +uid 1173,0 +) +*201 (MRCItem +litem &150 +pos 3 +dimension 50 +uid 1174,0 +) +*202 (MRCItem +litem &151 +pos 4 +dimension 100 +uid 1175,0 +) +*203 (MRCItem +litem &152 +pos 5 +dimension 100 +uid 1176,0 +) +*204 (MRCItem +litem &153 +pos 6 +dimension 50 +uid 1177,0 +) +*205 (MRCItem +litem &154 +pos 7 +dimension 80 +uid 1178,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 1165,0 +vaOverrides [ +] +) +] +) +uid 1150,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *206 (LEmptyRow +) +uid 1180,0 +optionalChildren [ +*207 (RefLabelRowHdr +) +*208 (TitleRowHdr +) +*209 (FilterRowHdr +) +*210 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*211 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*212 (GroupColHdr +tm "GroupColHdrMgr" +) +*213 (NameColHdr +tm "GenericNameColHdrMgr" +) +*214 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*215 (InitColHdr +tm "GenericValueColHdrMgr" +) +*216 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*217 (EolColHdr +tm "GenericEolColHdrMgr" +) +*218 (LogGeneric +generic (GiElement +name "baudRateDivide" +type "positive" +value "2" +) +uid 10994,0 +) +*219 (LogGeneric +generic (GiElement +name "buttonNb" +type "positive" +value "4" +) +uid 12333,0 +) +*220 (LogGeneric +generic (GiElement +name "ledNb" +type "positive" +value "8" +) +uid 12335,0 +) +*221 (LogGeneric +generic (GiElement +name "rs232BaudRate" +type "positive" +value "9600" +) +uid 12916,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 1192,0 +optionalChildren [ +*222 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *223 (MRCItem +litem &206 +pos 4 +dimension 20 +) +uid 1194,0 +optionalChildren [ +*224 (MRCItem +litem &207 +pos 0 +dimension 20 +uid 1195,0 +) +*225 (MRCItem +litem &208 +pos 1 +dimension 23 +uid 1196,0 +) +*226 (MRCItem +litem &209 +pos 2 +hidden 1 +dimension 20 +uid 1197,0 +) +*227 (MRCItem +litem &218 +pos 0 +dimension 20 +uid 10993,0 +) +*228 (MRCItem +litem &219 +pos 1 +dimension 20 +uid 12332,0 +) +*229 (MRCItem +litem &220 +pos 2 +dimension 20 +uid 12334,0 +) +*230 (MRCItem +litem &221 +pos 3 +dimension 20 +uid 12917,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 1198,0 +optionalChildren [ +*231 (MRCItem +litem &210 +pos 0 +dimension 20 +uid 1199,0 +) +*232 (MRCItem +litem &212 +pos 1 +dimension 50 +uid 1200,0 +) +*233 (MRCItem +litem &213 +pos 2 +dimension 100 +uid 1201,0 +) +*234 (MRCItem +litem &214 +pos 3 +dimension 100 +uid 1202,0 +) +*235 (MRCItem +litem &215 +pos 4 +dimension 50 +uid 1203,0 +) +*236 (MRCItem +litem &216 +pos 5 +dimension 50 +uid 1204,0 +) +*237 (MRCItem +litem &217 +pos 6 +dimension 80 +uid 1205,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 1193,0 +vaOverrides [ +] +) +] +) +uid 1179,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Lcd/hds/lcd@demo/symbol.sb b/Libs/Lcd/hds/lcd@demo/symbol.sb new file mode 100644 index 0000000..46a6a66 --- /dev/null +++ b/Libs/Lcd/hds/lcd@demo/symbol.sb @@ -0,0 +1,2070 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 32,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 1,0 +) +) +uid 111,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +uid 113,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 115,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 117,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 4 +suid 5,0 +) +) +uid 119,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "buttons" +t "std_ulogic_vector" +b "(1 to buttonNb)" +o 8 +suid 11,0 +) +) +uid 798,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 5 +suid 27,0 +) +) +uid 2133,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 6 +suid 28,0 +) +) +uid 2135,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(1 to ledNb)" +o 9 +suid 30,0 +) +) +uid 2723,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 10 +suid 31,0 +) +) +uid 2750,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "debug" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 11 +suid 32,0 +) +) +uid 2828,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*25 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *26 (MRCItem +litem &1 +pos 11 +dimension 20 +) +uid 36,0 +optionalChildren [ +*27 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*28 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*29 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +*30 (MRCItem +litem &14 +pos 4 +dimension 20 +uid 112,0 +) +*31 (MRCItem +litem &15 +pos 0 +dimension 20 +uid 114,0 +) +*32 (MRCItem +litem &16 +pos 1 +dimension 20 +uid 116,0 +) +*33 (MRCItem +litem &17 +pos 2 +dimension 20 +uid 118,0 +) +*34 (MRCItem +litem &18 +pos 3 +dimension 20 +uid 120,0 +) +*35 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 797,0 +) +*36 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 2132,0 +) +*37 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 2134,0 +) +*38 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 2724,0 +) +*39 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 2749,0 +) +*40 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 2827,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*41 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*42 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*43 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*44 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*45 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*46 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*47 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*48 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *49 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*50 (RefLabelRowHdr +) +*51 (TitleRowHdr +) +*52 (FilterRowHdr +) +*53 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*54 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*55 (GroupColHdr +tm "GroupColHdrMgr" +) +*56 (NameColHdr +tm "GenericNameColHdrMgr" +) +*57 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*58 (InitColHdr +tm "GenericValueColHdrMgr" +) +*59 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*60 (EolColHdr +tm "GenericEolColHdrMgr" +) +*61 (LogGeneric +generic (GiElement +name "baudRateDivide" +type "positive" +value "2" +) +uid 149,0 +) +*62 (LogGeneric +generic (GiElement +name "buttonNb" +type "positive" +value "4" +) +uid 2714,0 +) +*63 (LogGeneric +generic (GiElement +name "ledNb" +type "positive" +value "8" +) +uid 2716,0 +) +*64 (LogGeneric +generic (GiElement +name "rs232BaudRate" +type "positive" +value "9600" +) +uid 2803,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*65 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *66 (MRCItem +litem &49 +pos 4 +dimension 20 +) +uid 64,0 +optionalChildren [ +*67 (MRCItem +litem &50 +pos 0 +dimension 20 +uid 65,0 +) +*68 (MRCItem +litem &51 +pos 1 +dimension 23 +uid 66,0 +) +*69 (MRCItem +litem &52 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*70 (MRCItem +litem &61 +pos 0 +dimension 20 +uid 150,0 +) +*71 (MRCItem +litem &62 +pos 1 +dimension 20 +uid 2715,0 +) +*72 (MRCItem +litem &63 +pos 2 +dimension 20 +uid 2717,0 +) +*73 (MRCItem +litem &64 +pos 3 +dimension 20 +uid 2802,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*74 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 69,0 +) +*75 (MRCItem +litem &55 +pos 1 +dimension 50 +uid 70,0 +) +*76 (MRCItem +litem &56 +pos 2 +dimension 100 +uid 71,0 +) +*77 (MRCItem +litem &57 +pos 3 +dimension 100 +uid 72,0 +) +*78 (MRCItem +litem &58 +pos 4 +dimension 50 +uid 73,0 +) +*79 (MRCItem +litem &59 +pos 5 +dimension 50 +uid 74,0 +) +*80 (MRCItem +litem &60 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@demo\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@demo\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@demo" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcdDemo" +) +(vvPair +variable "date" +value "14.10.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdDemo" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "14.10.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "10:35:46" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "LCD" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI/concat" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../libs/SPI/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/LCD/work" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "lcdDemo" +) +(vvPair +variable "month" +value "Oct" +) +(vvPair +variable "month_long" +value "October" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcd@demo\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Libs\\Lcd\\hds\\lcdDemo\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "10:35:46" +) +(vvPair +variable "unit" +value "lcdDemo" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 19,0 +optionalChildren [ +*81 (SymbolBody +uid 8,0 +optionalChildren [ +*82 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,23625,8000,24375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +) +xt "9000,23400,12400,24600" +st "clock" +blo "9000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,12600,-11500,13400" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 1,0 +) +) +) +*83 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,25625,8000,26375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "9000,25400,12300,26600" +st "reset" +blo "9000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,7800,-11500,8600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*84 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,15625,24750,16375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 89,0 +va (VaSet +) +xt "21100,15400,23000,16600" +st "SI" +ju 2 +blo "23000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,8600,-11500,9400" +st "SI : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*85 (CptPort +uid 91,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 92,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,17625,24750,18375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 94,0 +va (VaSet +) +xt "20200,17400,23000,18600" +st "SCL" +ju 2 +blo "23000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 95,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,9400,-11500,10200" +st "SCL : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*86 (CptPort +uid 96,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 97,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,19625,24750,20375" +) +tg (CPTG +uid 98,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 99,0 +va (VaSet +) +xt "20800,19400,23000,20600" +st "A0" +ju 2 +blo "23000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 100,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,10200,-11500,11000" +st "A0 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*87 (CptPort +uid 799,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 800,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,11625,8000,12375" +) +tg (CPTG +uid 801,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 802,0 +va (VaSet +) +xt "9000,11400,13500,12600" +st "buttons" +blo "9000,12400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 803,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,13400,0,14200" +st "buttons : IN std_ulogic_vector (1 to buttonNb) ;" +) +thePort (LogicalPort +decl (Decl +n "buttons" +t "std_ulogic_vector" +b "(1 to buttonNb)" +o 8 +suid 11,0 +) +) +) +*88 (CptPort +uid 2136,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2137,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,23625,24750,24375" +) +tg (CPTG +uid 2138,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2139,0 +va (VaSet +) +xt "18900,23400,23000,24600" +st "CS1_n" +ju 2 +blo "23000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2140,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,11000,-11500,11800" +st "CS1_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 5 +suid 27,0 +) +) +) +*89 (CptPort +uid 2141,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2142,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,21625,24750,22375" +) +tg (CPTG +uid 2143,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2144,0 +va (VaSet +) +xt "19000,21400,23000,22600" +st "RST_n" +ju 2 +blo "23000,22400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2145,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,11800,-11500,12600" +st "RST_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 6 +suid 28,0 +) +) +) +*90 (CptPort +uid 2718,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2748,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,11625,24750,12375" +) +tg (CPTG +uid 2720,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2721,0 +va (VaSet +) +xt "20200,11400,23000,12600" +st "leds" +ju 2 +blo "23000,12400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2722,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,14200,-1500,15000" +st "leds : OUT std_ulogic_vector (1 to ledNb) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(1 to ledNb)" +o 9 +suid 30,0 +) +) +) +*91 (CptPort +uid 2751,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2752,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,15625,8000,16375" +) +tg (CPTG +uid 2753,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2754,0 +va (VaSet +) +xt "9000,15400,11800,16600" +st "RxD" +blo "9000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2755,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,15000,-11500,15800" +st "RxD : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 10 +suid 31,0 +) +) +) +*92 (CptPort +uid 2829,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2830,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,25625,24750,26375" +) +tg (CPTG +uid 2831,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2832,0 +va (VaSet +) +xt "19300,25400,23000,26600" +st "debug" +ju 2 +blo "23000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2833,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,15800,-2000,16600" +st "debug : OUT std_ulogic_vector (15 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "debug" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 11 +suid 32,0 +) +) +) +] +shape (Rectangle +uid 148,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "8000,8000,24000,28000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "8600,27800,11100,29000" +st "LCD" +blo "8600,28800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "8600,29000,13400,30200" +st "lcdDemo" +blo "8600,30000" +) +) +gi *93 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "8000,31800,24500,36600" +st "Generic Declarations + +baudRateDivide positive 2 +buttonNb positive 4 +ledNb positive 8 +rs232BaudRate positive 9600 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "baudRateDivide" +type "positive" +value "2" +) +(GiElement +name "buttonNb" +type "positive" +value "4" +) +(GiElement +name "ledNb" +type "positive" +value "8" +) +(GiElement +name "rs232BaudRate" +type "positive" +value "9600" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*94 (Grouping +uid 2491,0 +optionalChildren [ +*95 (CommentText +uid 2493,0 +shape (Rectangle +uid 2494,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "24000,41000,43000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 2495,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "24200,41400,39600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*96 (CommentText +uid 2496,0 +shape (Rectangle +uid 2497,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,41000,18000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 2498,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "-750,41250,10750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*97 (CommentText +uid 2499,0 +shape (Rectangle +uid 2500,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,47000,18000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 2501,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,47400,13000,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*98 (CommentText +uid 2502,0 +shape (Rectangle +uid 2503,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,41000,24000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 2504,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "18200,41400,22900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*99 (CommentText +uid 2505,0 +shape (Rectangle +uid 2506,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,43000,18000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 2507,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,43400,12400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*100 (CommentText +uid 2508,0 +shape (Rectangle +uid 2509,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,43000,-3000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 2510,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,43400,-4400,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*101 (CommentText +uid 2511,0 +shape (Rectangle +uid 2512,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,45000,-3000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 2513,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,45400,-4400,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*102 (CommentText +uid 2514,0 +shape (Rectangle +uid 2515,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,43000,43000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 2516,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "18200,43200,32300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*103 (CommentText +uid 2517,0 +shape (Rectangle +uid 2518,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,45000,18000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 2519,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,45400,7100,46600" +st " + +%library/%unit/%view + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*104 (CommentText +uid 2520,0 +shape (Rectangle +uid 2521,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-8000,47000,-3000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 2522,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-7800,47400,-3500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 2492,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "-8000,41000,43000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *105 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*106 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,0,-22400,1200" +st "Package List" +blo "-30000,1000" +) +*107 (MLText +uid 18,0 +va (VaSet +) +xt "-30000,1200,-12500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "153,35,1442,894" +viewArea "-31100,-1000,45292,49688" +cachedDiagramExtent "-30000,0,43000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-30000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,15000,27600,16200" +st "" +blo "22200,16000" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,16200,26100,17400" +st "" +blo "22200,17200" +) +) +gi *108 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *109 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,5400,-22600,6600" +st "Declarations" +blo "-30000,6400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,6600,-26300,7800" +st "Ports:" +blo "-30000,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "-30000,16600,-26800,17800" +st "User:" +blo "-30000,17600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "-30000,5400,-21800,6600" +st "Internal User:" +blo "-30000,6400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "-28000,17800,-28000,17800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "-30000,5400,-30000,5400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 2948,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Lcd/hds/lcd@initializer/symbol.sb b/Libs/Lcd/hds/lcd@initializer/symbol.sb new file mode 100644 index 0000000..dd05038 --- /dev/null +++ b/Libs/Lcd/hds/lcd@initializer/symbol.sb @@ -0,0 +1,1886 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "24.1" +appVersion "2009.2 (Build 10)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 16,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "columnSend" +t "std_ulogic" +o 3 +suid 2,0 +) +) +uid 108,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "columnData" +t "std_ulogic_vector" +b "(dataBitNb-1 downto 0)" +o 4 +suid 3,0 +) +) +uid 110,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "lcdData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 4,0 +) +) +uid 112,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 6,0 +) +) +uid 116,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "lcdSend" +t "std_ulogic" +o 5 +suid 11,0 +) +) +uid 370,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "lcdBusy" +t "std_ulogic" +o 6 +suid 12,0 +) +) +uid 372,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 13,0 +) +) +uid 384,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "columnBusy" +t "std_ulogic" +o 8 +suid 14,0 +) +) +uid 386,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "clearDisplay" +t "std_ulogic" +o 9 +suid 15,0 +) +) +uid 487,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*23 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *24 (MRCItem +litem &1 +pos 9 +dimension 20 +) +uid 36,0 +optionalChildren [ +*25 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*26 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*27 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +*28 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 109,0 +) +*29 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 111,0 +) +*30 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 113,0 +) +*31 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 117,0 +) +*32 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 371,0 +) +*33 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 373,0 +) +*34 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 385,0 +) +*35 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 387,0 +) +*36 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 488,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*37 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*38 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*39 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*40 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*41 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*42 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*43 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*44 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *45 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*46 (RefLabelRowHdr +) +*47 (TitleRowHdr +) +*48 (FilterRowHdr +) +*49 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*50 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*51 (GroupColHdr +tm "GroupColHdrMgr" +) +*52 (NameColHdr +tm "GenericNameColHdrMgr" +) +*53 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*54 (InitColHdr +tm "GenericValueColHdrMgr" +) +*55 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*56 (EolColHdr +tm "GenericEolColHdrMgr" +) +*57 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8+1" +) +uid 142,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*58 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *59 (MRCItem +litem &45 +pos 1 +dimension 20 +) +uid 64,0 +optionalChildren [ +*60 (MRCItem +litem &46 +pos 0 +dimension 20 +uid 65,0 +) +*61 (MRCItem +litem &47 +pos 1 +dimension 23 +uid 66,0 +) +*62 (MRCItem +litem &48 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*63 (MRCItem +litem &57 +pos 0 +dimension 20 +uid 143,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*64 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 69,0 +) +*65 (MRCItem +litem &51 +pos 1 +dimension 50 +uid 70,0 +) +*66 (MRCItem +litem &52 +pos 2 +dimension 100 +uid 71,0 +) +*67 (MRCItem +litem &53 +pos 3 +dimension 100 +uid 72,0 +) +*68 (MRCItem +litem &54 +pos 4 +dimension 50 +uid 73,0 +) +*69 (MRCItem +litem &55 +pos 5 +dimension 50 +uid 74,0 +) +*70 (MRCItem +litem &56 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "d:\\Workspaces\\Projects\\LCD\\LCD_tester\\..\\Libs\\Lcd\\hdl" +) +(vvPair +variable "HDSDir" +value "d:\\Workspaces\\Projects\\LCD\\LCD_tester\\..\\Libs\\Lcd\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "d:\\Workspaces\\Projects\\LCD\\LCD_tester\\..\\Libs\\Lcd\\hds\\lcd@initializer\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "d:\\Workspaces\\Projects\\LCD\\LCD_tester\\..\\Libs\\Lcd\\hds\\lcd@initializer\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "d:\\Workspaces\\Projects\\LCD\\LCD_tester\\..\\Libs\\Lcd\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "d:\\Workspaces\\Projects\\LCD\\LCD_tester\\..\\Libs\\Lcd\\hds\\lcd@initializer" +) +(vvPair +variable "d_logical" +value "d:\\Workspaces\\Projects\\LCD\\LCD_tester\\..\\Libs\\Lcd\\hds\\lcdInitializer" +) +(vvPair +variable "date" +value "14.08.2013" +) +(vvPair +variable "day" +value "Mi" +) +(vvPair +variable "day_long" +value "Mittwoch" +) +(vvPair +variable "dd" +value "14" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdInitializer" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3877" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI/concat" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../libs/SPI/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/$DESIGN_NAME/Lcd/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "lcdInitializer" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "d:\\Workspaces\\Projects\\LCD\\LCD_tester\\..\\Libs\\Lcd\\hds\\lcd@initializer\\symbol.sb" +) +(vvPair +variable "p_logical" +value "d:\\Workspaces\\Projects\\LCD\\LCD_tester\\..\\Libs\\Lcd\\hds\\lcdInitializer\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "08:05:31" +) +(vvPair +variable "unit" +value "lcdInitializer" +) +(vvPair +variable "user" +value "uadmin" +) +(vvPair +variable "version" +value "2009.2 (Build 10)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2013" +) +(vvPair +variable "yy" +value "13" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 19,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,6625,44000,7375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +font "Verdana,9,0" +) +xt "45000,6400,52500,7600" +st "columnSend" +blo "45000,7400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,1000,21000,1800" +st "columnSend : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "columnSend" +t "std_ulogic" +o 3 +suid 2,0 +) +) +) +*73 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,4625,44000,5375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 89,0 +va (VaSet +font "Verdana,9,0" +) +xt "45000,4400,52400,5600" +st "columnData" +blo "45000,5400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,1800,36000,2600" +st "columnData : IN std_ulogic_vector (dataBitNb-1 downto 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "columnData" +t "std_ulogic_vector" +b "(dataBitNb-1 downto 0)" +o 4 +suid 3,0 +) +) +) +*74 (CptPort +uid 91,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 92,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,4625,60750,5375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 94,0 +va (VaSet +font "Verdana,9,0" +) +xt "54400,4400,59000,5600" +st "lcdData" +ju 2 +blo "59000,5400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 95,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-600,36000,200" +st "lcdData : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "lcdData" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 4,0 +) +) +) +*75 (CptPort +uid 101,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 102,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,12625,44000,13375" +) +tg (CPTG +uid 103,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 104,0 +va (VaSet +font "Verdana,9,0" +) +xt "45000,12400,48400,13600" +st "clock" +blo "45000,13400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 105,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,200,21000,1000" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 6,0 +) +) +) +*76 (CptPort +uid 360,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 411,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,6625,60750,7375" +) +tg (CPTG +uid 362,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 363,0 +va (VaSet +font "Verdana,9,0" +) +xt "54300,6400,59000,7600" +st "lcdSend" +ju 2 +blo "59000,7400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 364,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,2600,21000,3400" +st "lcdSend : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "lcdSend" +t "std_ulogic" +o 5 +suid 11,0 +) +) +) +*77 (CptPort +uid 365,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 366,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60000,8625,60750,9375" +) +tg (CPTG +uid 367,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 368,0 +va (VaSet +font "Verdana,9,0" +) +xt "54300,8400,59000,9600" +st "lcdBusy" +ju 2 +blo "59000,9400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 369,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,3400,21000,4200" +st "lcdBusy : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "lcdBusy" +t "std_ulogic" +o 6 +suid 12,0 +) +) +) +*78 (CptPort +uid 374,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 375,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,14625,44000,15375" +) +tg (CPTG +uid 376,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 377,0 +va (VaSet +font "Verdana,9,0" +) +xt "45000,14400,48300,15600" +st "reset" +blo "45000,15400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 378,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,4200,21000,5000" +st "reset : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 13,0 +) +) +) +*79 (CptPort +uid 379,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 481,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,8625,44000,9375" +) +tg (CPTG +uid 381,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 382,0 +va (VaSet +font "Verdana,9,0" +) +xt "45000,8400,52500,9600" +st "columnBusy" +blo "45000,9400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 383,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,5000,21000,5800" +st "columnBusy : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "columnBusy" +t "std_ulogic" +o 8 +suid 14,0 +) +) +) +*80 (CptPort +uid 482,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 483,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43250,2625,44000,3375" +) +tg (CPTG +uid 484,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 485,0 +va (VaSet +font "Verdana,9,0" +) +xt "45000,2400,52700,3600" +st "clearDisplay" +blo "45000,3400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 486,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,5800,20000,6600" +st "clearDisplay : IN std_ulogic +" +) +thePort (LogicalPort +decl (Decl +n "clearDisplay" +t "std_ulogic" +o 9 +suid 15,0 +) +) +) +] +shape (Rectangle +uid 141,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "44000,1000,60000,17000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "44000,17500,46300,18700" +st "Lcd" +blo "44000,18500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "44000,18700,51500,19900" +st "lcdInitializer" +blo "44000,19700" +) +) +gi *81 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,21600,57500,24000" +st "Generic Declarations + +dataBitNb positive 8+1 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8+1" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*82 (Grouping +uid 220,0 +optionalChildren [ +*83 (CommentText +uid 222,0 +shape (Rectangle +uid 223,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,40000,53000,41000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 224,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,40000,46200,41000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 225,0 +shape (Rectangle +uid 226,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,36000,57000,37000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 227,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,36000,56200,37000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 228,0 +shape (Rectangle +uid 229,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,38000,53000,39000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 230,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,38000,46200,39000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 231,0 +shape (Rectangle +uid 232,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,38000,36000,39000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 233,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,38000,34300,39000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 234,0 +shape (Rectangle +uid 235,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,37000,73000,41000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 236,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,37200,62400,38200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 237,0 +shape (Rectangle +uid 238,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,36000,73000,37000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 239,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,36000,58800,37000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 240,0 +shape (Rectangle +uid 241,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,36000,53000,38000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 242,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,36500,45850,37500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 243,0 +shape (Rectangle +uid 244,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,39000,36000,40000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 245,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,39000,34300,40000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 246,0 +shape (Rectangle +uid 247,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,40000,36000,41000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 248,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,40000,34900,41000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 249,0 +shape (Rectangle +uid 250,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,39000,53000,40000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 251,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,39000,45400,40000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 221,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,36000,73000,41000" +) +oxt "14000,66000,55000,71000" +) +*93 (CommentGraphic +uid 257,0 +shape (PolyLine2D +pts [ +"44000,18000" +"60000,18000" +] +uid 258,0 +layer 0 +va (VaSet +vasetType 1 +transparent 1 +fg "49152,49152,49152" +) +xt "44000,18000,60000,18000" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *94 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*95 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-8000,7600,-6800" +st "Package List" +blo "0,-7000" +) +*96 (MLText +uid 18,0 +va (VaSet +font "Verdana,9,0" +) +xt "0,-6800,17500,-3200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "149,33,1428,891" +viewArea "-1000,-9000,74672,41616" +cachedDiagramExtent "0,-8000,73000,41000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-8000" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,9,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,15000,27600,16200" +st "" +blo "22200,16000" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,16200,26100,17400" +st "" +blo "22200,17200" +) +) +gi *97 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,2500,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,4300,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *98 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-3000,7400,-1800" +st "Declarations" +blo "0,-2000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-1800,3700,-600" +st "Ports:" +blo "0,-800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,6600,3200,7800" +st "User:" +blo "0,7600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "0,-3000,8200,-1800" +st "Internal User:" +blo "0,-2000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,7800,2000,7800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,-3000,0,-3000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 488,0 +activeModelName "Symbol" +) diff --git a/Libs/Lcd/hds/lcd@serializer/symbol.sb b/Libs/Lcd/hds/lcd@serializer/symbol.sb new file mode 100644 index 0000000..c7d6537 --- /dev/null +++ b/Libs/Lcd/hds/lcd@serializer/symbol.sb @@ -0,0 +1,1948 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +] +) +version "24.1" +appVersion "2009.2 (Build 10)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 24,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 5 +suid 1,0 +) +) +uid 126,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 130,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "CS_n" +t "std_ulogic" +o 6 +suid 4,0 +) +) +uid 132,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 7,0 +) +) +uid 138,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 7 +suid 8,0 +) +) +uid 140,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 9,0 +) +) +uid 142,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 3 +suid 10,0 +) +) +uid 144,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "data" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 11,0 +) +) +uid 398,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 9 +suid 17,0 +) +) +uid 478,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 10 +suid 19,0 +) +) +uid 508,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 10 +dimension 20 +) +uid 36,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +*29 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 127,0 +) +*30 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 131,0 +) +*31 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 133,0 +) +*32 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 139,0 +) +*33 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 141,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 143,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 145,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 399,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 479,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 509,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "baudRateDivide" +type "integer" +value "2" +) +uid 170,0 +) +*60 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "9" +) +uid 197,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*61 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *62 (MRCItem +litem &47 +pos 2 +dimension 20 +) +uid 64,0 +optionalChildren [ +*63 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 65,0 +) +*64 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 66,0 +) +*65 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +*66 (MRCItem +litem &59 +pos 1 +dimension 20 +uid 171,0 +) +*67 (MRCItem +litem &60 +pos 0 +dimension 20 +uid 198,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*68 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 69,0 +) +*69 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 70,0 +) +*70 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 71,0 +) +*71 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 72,0 +) +*72 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 73,0 +) +*73 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 74,0 +) +*74 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "D:\\Labs\\LCD\\..\\Libs\\Lcd\\hdl" +) +(vvPair +variable "HDSDir" +value "D:\\Labs\\LCD\\..\\Libs\\Lcd\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "D:\\Labs\\LCD\\..\\Libs\\Lcd\\hds\\lcd@serializer\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "D:\\Labs\\LCD\\..\\Libs\\Lcd\\hds\\lcd@serializer\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "D:\\Labs\\LCD\\..\\Libs\\Lcd\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "ADC" +) +(vvPair +variable "config" +value "%(unit)_config" +) +(vvPair +variable "d" +value "D:\\Labs\\LCD\\..\\Libs\\Lcd\\hds\\lcd@serializer" +) +(vvPair +variable "d_logical" +value "D:\\Labs\\LCD\\..\\Libs\\Lcd\\hds\\lcdSerializer" +) +(vvPair +variable "date" +value "12.07.2013" +) +(vvPair +variable "day" +value "ven." +) +(vvPair +variable "day_long" +value "vendredi" +) +(vvPair +variable "dd" +value "12" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdSerializer" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE3673" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI/concat" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../libs/SPI/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/$DESIGN_NAME/Lcd/work" +) +(vvPair +variable "mm" +value "07" +) +(vvPair +variable "module_name" +value "lcdSerializer" +) +(vvPair +variable "month" +value "juil." +) +(vvPair +variable "month_long" +value "juillet" +) +(vvPair +variable "p" +value "D:\\Labs\\LCD\\..\\Libs\\Lcd\\hds\\lcd@serializer\\symbol.sb" +) +(vvPair +variable "p_logical" +value "D:\\Labs\\LCD\\..\\Libs\\Lcd\\hds\\lcdSerializer\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "15:35:23" +) +(vvPair +variable "unit" +value "lcdSerializer" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2009.2 (Build 10)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2013" +) +(vvPair +variable "yy" +value "13" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 19,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,5625,54750,6375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +font "Verdana,9,0" +) +xt "50800,5400,53000,6600" +st "A0" +ju 2 +blo "53000,6400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-1800,17500,-1000" +st "A0 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 5 +suid 1,0 +) +) +) +*77 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,9625,38000,10375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 89,0 +va (VaSet +font "Verdana,9,0" +) +xt "39000,9400,42400,10600" +st "clock" +blo "39000,10400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-5000,17500,-4200" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*78 (CptPort +uid 91,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 92,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,9625,54750,10375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 94,0 +va (VaSet +font "Verdana,9,0" +) +xt "49600,9400,53000,10600" +st "CS_n" +ju 2 +blo "53000,10400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 95,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-1000,17500,-200" +st "CS_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS_n" +t "std_ulogic" +o 6 +suid 4,0 +) +) +) +*79 (CptPort +uid 106,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 107,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,11625,38000,12375" +) +tg (CPTG +uid 108,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 109,0 +va (VaSet +font "Verdana,9,0" +) +xt "39000,11400,42300,12600" +st "reset" +blo "39000,12400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 110,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-4200,17500,-3400" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 7,0 +) +) +) +*80 (CptPort +uid 111,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 112,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,7625,54750,8375" +) +tg (CPTG +uid 113,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 114,0 +va (VaSet +font "Verdana,9,0" +) +xt "49000,7400,53000,8600" +st "RST_n" +ju 2 +blo "53000,8400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 115,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-200,17500,600" +st "RST_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 7 +suid 8,0 +) +) +) +*81 (CptPort +uid 116,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 117,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,3625,54750,4375" +) +tg (CPTG +uid 118,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 119,0 +va (VaSet +font "Verdana,9,0" +) +xt "50200,3400,53000,4600" +st "SCL" +ju 2 +blo "53000,4400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 120,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-2600,17500,-1800" +st "SCL : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 9,0 +) +) +) +*82 (CptPort +uid 121,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 122,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,1625,54750,2375" +) +tg (CPTG +uid 123,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 124,0 +va (VaSet +font "Verdana,9,0" +) +xt "51100,1400,53000,2600" +st "SI" +ju 2 +blo "53000,2400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 125,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,-3400,17500,-2600" +st "SI : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 3 +suid 10,0 +) +) +) +*83 (CptPort +uid 383,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 384,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,1625,38000,2375" +) +tg (CPTG +uid 385,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 386,0 +va (VaSet +font "Verdana,9,0" +) +xt "39000,1400,41900,2600" +st "data" +blo "39000,2400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 387,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,600,32500,1400" +st "data : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "data" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 11,0 +) +) +) +*84 (CptPort +uid 473,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 474,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,3625,38000,4375" +) +tg (CPTG +uid 475,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 476,0 +va (VaSet +font "Verdana,9,0" +) +xt "39000,3400,42100,4600" +st "send" +blo "39000,4400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 477,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,1400,17500,2200" +st "send : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 9 +suid 17,0 +) +) +) +*85 (CptPort +uid 503,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 504,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,5625,38000,6375" +) +tg (CPTG +uid 505,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 506,0 +va (VaSet +font "Verdana,9,0" +) +xt "39000,5400,42100,6600" +st "busy" +blo "39000,6400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 507,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,2200,16500,3000" +st "busy : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 10 +suid 19,0 +) +) +) +] +shape (Rectangle +uid 169,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,-2000,54000,14000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "37950,14000,40250,15200" +st "Lcd" +blo "37950,15000" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "37950,15200,45150,16400" +st "lcdSerializer" +blo "37950,16200" +) +) +gi *86 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "38000,18800,53000,22000" +st "Generic Declarations + +dataBitNb positive 9 +baudRateDivide integer 2 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "9" +) +(GiElement +name "baudRateDivide" +type "integer" +value "2" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*87 (Grouping +uid 708,0 +optionalChildren [ +*88 (CommentText +uid 710,0 +shape (Rectangle +uid 711,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,33000,53000,34000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 712,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,33000,46200,34000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 713,0 +shape (Rectangle +uid 714,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,29000,57000,30000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 715,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,29000,56200,30000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 716,0 +shape (Rectangle +uid 717,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,31000,53000,32000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 718,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,31000,46200,32000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 719,0 +shape (Rectangle +uid 720,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,31000,36000,32000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 721,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,31000,34300,32000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 722,0 +shape (Rectangle +uid 723,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,30000,73000,34000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 724,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,30200,62400,31200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*93 (CommentText +uid 725,0 +shape (Rectangle +uid 726,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,29000,73000,30000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 727,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,29000,58800,30000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*94 (CommentText +uid 728,0 +shape (Rectangle +uid 729,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,29000,53000,31000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 730,0 +va (VaSet +fg "32768,0,0" +) +xt "39150,29500,45850,30500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*95 (CommentText +uid 731,0 +shape (Rectangle +uid 732,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,32000,36000,33000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 733,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,32000,34300,33000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*96 (CommentText +uid 734,0 +shape (Rectangle +uid 735,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,33000,36000,34000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 736,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,33000,34900,34000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 737,0 +shape (Rectangle +uid 738,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,32000,53000,33000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 739,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,32000,45600,33000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 709,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,29000,73000,34000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *98 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-14800,7600,-13600" +st "Package List" +blo "0,-13800" +) +*100 (MLText +uid 18,0 +va (VaSet +font "Verdana,9,0" +) +xt "0,-13600,17500,-10000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +windowSize "134,37,1420,895" +viewArea "-1100,-15900,75724,35652" +cachedDiagramExtent "0,-14800,73000,34000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-15000" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,9,0" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,15000,27600,16200" +st "" +blo "22200,16000" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "22200,16200,26100,17400" +st "" +blo "22200,17200" +) +) +gi *101 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,2500,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,9,0" +) +xt "0,750,4300,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *102 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-7400,7400,-6200" +st "Declarations" +blo "0,-6400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,-6200,3700,-5000" +st "Ports:" +blo "0,-5200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,3000,3200,4200" +st "User:" +blo "0,4000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "0,-7400,8200,-6200" +st "Internal User:" +blo "0,-6400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,4200,2000,4200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,-7400,0,-7400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 969,0 +activeModelName "Symbol" +) diff --git a/Libs/Lcd_test/LCD_explanation.txt b/Libs/Lcd_test/LCD_explanation.txt new file mode 100644 index 0000000..7214b89 --- /dev/null +++ b/Libs/Lcd_test/LCD_explanation.txt @@ -0,0 +1,188 @@ +-- filename: LCD_usage.vhd +-- kind: txt file +-------------------------------------------------------------------------------- +-- History: +-- v1.0 : zas 22.08.2013 -- Initial Version +-------------------------------------------------------------------------------- +-- Description: +-- Explanation about the usage and the functionalities of the LCD Driver. +-------------------------------------------------------------------------------- + +-------------------------------------------------------------------------------- +-- Overview +-- +The Lcd and Lcd_test library is intended to use with the HEB_LCD_V2_0, see +http://wiki.hevs.ch/uit/index.php5/Hardware/Parallelport/heb_lcd. + +The HEB_LCD_V2_0 features a EADOGM132-5 LCD. Its a 4 Line and 132 column black- +light display. The font created for it uses 6 columns per character which allows +to have 4 lines with 22 characters each. + +The connection is I2C based and some initial configuration needs to be done. The +bloc lcdController has everything needed to use the LCD. See the vague toplevel +overview below. + + +--------------------------------------------------------------------------+ + | +---------------------+ +----------------+ +---------------+ | + | | lcdCharacterEncoder |---->| lcdInitialiser |------>| lcdSerialiser | | + | +---------------------+ +----------------+ +---------------+ | + | ^ | + | | +-------------+ | + | +--------| bramMemory | | + | lcdController +-------------+ | + +--------------------------------------------------------------------------+ + +-------------------------------------------------------------------------------- +-- Bloc description +-- +lcdController -- Main bloc containing all needed subblocs + lcdCharacterEncoder -- This bloc receives the ASCII data to display and + and converts it to display data or display commands + bramMemory -- Contains the command for command chars (<0x20) and + the display (pixel) data for a the symbol chars + (>=0x20). + All the data of this ram are stored inthe font.txt file + lcdInitialiser -- This bloc lets send predefined commands for 2 + features. One is for initialising the display in the + beginning, and the other is for clear all data from + the display + lcdSerialiser -- This bloc takes the parallised data and sends them + over the I2C bus. + +-------------------------------------------------------------------------------- +-- Supported Characters +-- +In VHDL the characters can be written with the following command: + character'pos("VHDL") +where VHDL is inidicated in the table below. + + Hex VHDL Value Description Function +----------------------------------------------------------- +0x00 "nul" Null Not supported +0x01 "soh" Start of Header Not supported +0x02 "stx" Start of Text (0,0) Goto pos line 0 character 0 +0x03 "etx" End of Text (3,21) Goto pos line 3 character 21 +0x04 "eot" End of Transmission Not supported +0x05 "enq" Enquiry Not supported +0x06 "ack" Acknowledgment Not supported +0x07 "bel" Bell Not supported +0x08 "bs" Backspace (y,x-1) Jump one char back +0x09 "ht" Horizontal Tab Not supported +0x0A "lf" Line Feed (y+1,x)Goto next line +0x0B "vt" Vertical Tab (y-1,x) Goto previous line +0x0C "ff" Form Feed Not supported +0x0D "cr" Carriage Return (y,0) Goto beginning of line +0x0E "so" Shift Out Not supported +0x0F "si" Shift In Not supported +0x10 "dle" Data Link Escape Not supported +0x11 "dc1" XON Device Control 1 Not supported +0x12 "dc2" Device Control 2 Not supported +0x13 "dc3" XOFFDevice Control 3 Not supported +0x14 "dc4" Device Control 4 Not supported +0x15 "nak" Negativ Acknowledgemnt Not supported +0x16 "syn" Synchronous Idle Not supported +0x17 "etb" End of Trans. Block Not supported +0x18 "can" Cancel Clear entire display +0x19 "em" End of Medium Not supported +0x1A "sub" Substitute Not supported +0x1B "esc" Escape Not supported +0x1C "fsp" File Separator Not supported +0x1D "gsp" Group Separator Not supported +0x1E "rsp" Reqst to SendRec. Sep. Not supported +0x1F "usp" Unit Separator Not supported +0x20 " " Space Print char +0x21 "!" exclamation mark Print char +0x22 """ double quote Print char +0x23 "#" number sign Print char +0x24 "$" dollar sign Print char +0x25 "%" percent Print char +0x26 "&" ampersand Print char +0x27 "'" single quote Print char +0x28 "(" left/open parenthesis Print char +0x29 ")" right/closing parenth. Print char +0x2A "*" asterisk Print char +0x2B "+" plus Print char +0x2C "," comma Print char +0x2D "-" minus or dash Print char +0x2E "." dot Print char +0x2F "/" forward slash Print char +0x30 "0" Print char +0x31 "1" Print char +0x32 "2" Print char +0x33 "3" Print char +0x34 "4" Print char +0x35 "5" Print char +0x36 "6" Print char +0x37 "7" Print char +0x38 "8" Print char +0x39 "9" Print char +0x3A ":" colon Print char +0x3B ";" semi-colon Print char +0x3C "<" less than Print char +0x3D "=" equal sign Print char +0x3E ">" greater than Print char +0x3F "?" question mark Print char +0x40 "@" AT symbol Print char +0x41 "A" Print char +0x42 "B" Print char +0x43 "C" Print char +0x44 "D" Print char +0x45 "E" Print char +0x46 "F" Print char +0x47 "G" Print char +0x48 "H" Print char +0x49 "I" Print char +0x4A "J" Print char +0x4B "K" Print char +0x4C "L" Print char +0x4D "M" Print char +0x4E "N" Print char +0x4F "O" Print char +0x50 "P" Print char +0x51 "Q" Print char +0x52 "R" Print char +0x53 "S" Print char +0x54 "T" Print char +0x55 "U" Print char +0x56 "V" Print char +0x57 "W" Print char +0x58 "X" Print char +0x59 "Y" Print char +0x5A "Z" Print char +0x5B "[" left/opening bracket Print char +0x5C "\" back slash Print char +0x5D "]" right/closing bracket Print char +0x5E "^" caret/circumflex Print char +0x5F "_" underscore Print char +0x60 "`" Print char +0x61 "a" Print char +0x62 "b" Print char +0x63 "c" Print char +0x64 "d" Print char +0x65 "e" Print char +0x66 "f" Print char +0x67 "g" Print char +0x68 "h" Print char +0x69 "i" Print char +0x6A "j" Print char +0x6B "k" Print char +0x6C "l" Print char +0x6D "m" Print char +0x6E "n" Print char +0x6F "o" Print char +0x70 "p" Print char +0x71 "q" Print char +0x72 "r" Print char +0x73 "s" Print char +0x74 "t" Print char +0x75 "u" Print char +0x76 "v" Print char +0x77 "w" Print char +0x78 "x" Print char +0x79 "y" Print char +0x7A "z" Print char +0x7B "{" left/opening brace Print char +0x7C "|" vertical bar Print char +0x7D "}" right/closing brace Print char +0x7E "~" tilde Print char +0x7F "del" arrow to the left Print char \ No newline at end of file diff --git a/Libs/Lcd_test/dogm132-5e.pdf b/Libs/Lcd_test/dogm132-5e.pdf new file mode 100644 index 0000000000000000000000000000000000000000..37c6a3a0f3a63e1fe4c962b6163f912f0d1a6262 GIT binary patch literal 652088 zcmeFZ1z1&E*FQ>w64EVf8YK4Kz$OGixe1GeYS3nS8 zG~)B;eEE3(P9HzNpy2QI1R(<4zvV$8yxhO{2Zcg-{#Fmj{GC21Am!iZ0fqAM|IQaE z4|E(=A6!P~xKJMRn0EIyWf0q%IkMD0|0GbB*eOzuB-{0oJ%_qR~`?x$% zfxpqh!w2R0ofaNG81L`%<>BY$`+ZzqUT)ss<-rSs{!Qk*fZTrXkC$Ii;CDUc6@Ws1 zml3Z3FYoU%fMxc8unquQe%hfsa61bZOArrC5G-wF;{taAOWPQ`z$M|P_GWOv z2mvwytAco-5D^h)7bm!}Ens1$O^l3;%#DmZ+giI@jdFWIodHPVIHkAmkzzzqh+}%- z;8BPQw6+%3Z;E>sgzh#)*TP7cH#n2zqm6E3_M-%b=9%x89k(}D+PW?7V+u!=QLDEZ zr+vF_S0UFR!hY!C(-CTla;*yf(uuJ4&A1~isjSP|QIpq{by(B-3s)T4K)rG{%?Z85 zstvwEJ-33rP=aXFc-h4Hh90sziVS=rY0V^-K|2Itp-;GVZpUt$xjlb!J554j^zCb* zn>Pb&%uP+n@QGMIFD((K8Xyw@6RAML=DvDW?AeoqgoJm-Z@J2it+1+bZ1DN=hXS1% zaMFA5P^=U|d>ADfCJXRS&*6hepRI?DT+^-UxX02ulnO)RAFKpm80O3DGBX7$*|NAP z3cnjE%H@kKFe2N6(^?E=W9_3VJj^&w&G4;(i>@dOrWWuFyySnd69C%9$j2a%Y)$2H zm`lTOg5v9qy8sFWf&gTgSs9Dl zd*~vX!vOOnz{{-}r+0szyMa25a|Hih$~Ac+4m359_8elh?o4NMnkB@G1@=6OwNZV+N|18t;vKmr#F zRGJrr*bESP0I}zs5D@`uDVf}dn<84v*+M}Gs{>S!v*iW}Tnr><%L6R?pG(}97f4_9 zA!iE%(wDs`JK3A6!d-O1fQtZDgL}9DT>!k3xVXsagQYxNWK8#5Q5Xr-XnC+i`i2L)e0AeneH4sU#|#?5P66% zVzi4|h?D>@)60^}D-V!|XnolVkw(ypxB_2<3BO$K2;BmtstcBrkU$8{420O!K`Q!x z)IgAbUPBe`VC-bz@`e;`k96Sx-RByim+RVYy@?PG$%KNA1ntLRx496 zI}00x8AGfLVCAxcoe`!7ED2Tu%YYTZDqu0NGFTQY2bKVQp)?h8LrKf`>+zxDRws1e1$*#1KBV=4TWd}7|yK!t0gWAQbkjt%6O)i&xo%eZ^&}smk=mb zOF^)Yj?4GdQh413hZ?1sCQxm-(x5x+34YkbCktB{l1p0!1;DH{o6^$ zO3(80%JI6p)9Hc3u~2Zq0Nrlkkz8fC|Cs=KMcg4R*U-FA%<_t1GVOMix{s&3=l4Wv zr}t-Gm8tUE1eJSMHOqKTV47~n9|0wy-=dQ(s#{xyH#Zmc5;2ne!J@O&;8)&f8#^Z( zWb^)Kt6e*rV>A2}bF<%l4hN)k?{~>m=W9&7EpoT|s;c^~k~i!Vr@;Q|s~L-XAG(Pf z?9Xg;>bReMp~DmwyR3e6H`pMtra<*MI#EK4nJZ_H*TYr5lf^Q3U=lKGT=bMUjK zWnk!kVc8SQ;4AwakI|qp3F<-{gTso&&V|7pEDOp!5s??dieQ6k}c zNK*>DGmKMZe`9ngwuVXUAzf?Wr&kqf=aEUgKK6_ zTbC%vOzr0aF`;36M%fn-Du+_dNTr@Cb?>n+k|%L<$rfsy*pF%z?F6nT-f~kqI$IGB z^YA3=?E($r?y0&3vGrYfgA&)C=PhkwS0F%vqD=hiCf$tT#k>W5$*Zx0doHp z;R&2N3~rWN)(VBlbBxyZk*|PXJ2v)aXC0VKgtAIlP98_7r%@U~8c5-Ddbg&Lb&z)~`tco4_T zH$PWoc#&se^9(0a_*OkmF7oBCVWP0V?L()KJC(_pAIlkds+au|dk> z;cx2vtMUBBnSGH#_xLxmiZSI^kIek-Df=>8u0h)GsR~$O*E{K*`l~_CD+{4%T4`)TPS+eyy=vkK zWzyxe{1qtbXH^p!Pe?d3a&Z*Gr?W$-NJvRY<6m|lG zzIXOP{GsBe1m5k5-Bi~GZ(kwJr#^nZy{H zY;UP{$n7sjZ;tf>yg_hAA(}wUM5PwJyPfQAW4(^kEIUXQEAgA;PvC_pb-lQz`4kbZ za!RS?Pmzb^qF{^C3T~&tvI2_%n)2-2ZY{zeUl&%+GaX{5i&R`ANqJ1&T|MgPLseC3 zk@66tVD6(r7s+MHe?#5dtZmJtyL7aYmiz->OG=D43;%Sn`2@e*t1vF5mG_&S2Iant zn6CaRSsYWXlu{Vw*7^mj| zF`FAwwR1Vzgo=qxwm3GCutyeV1EqJMnYpg*vDlsd$6-v-EcORC3?rNDry2~B_dFYo z9h%n`{3XL@#wG<}q|=z&g!?i99#gbi5=@8aQ{@InhvL>0Zb5-5DsNc6gM5 zUH*7?p+DH{!QjU%S~Z5AomWVxNSp#W-~J(zIS()Xx~=dC{@ZOuQz(g@9jD)dq&Y|= zoA!C8oWVllk3Ds{;RAtGw(8;9nCJbj-qGxPG$n==wAxOGgm?CdCv9)Ak+0LID^|ql zuK9G}@tmC~?o+IXyLl%xlsO(Z^?W2N`xLt!@AT+ZQ5= za6Ex;buCevC}((T<}Vw;1H>Qr_O79P$|Sh??ZHXZ6M~aSRQE@g{iIDaZG1;32d%vC zaE}kHk8km2JZ12GW;E!?#%%z?tcMXl&*3;rB}#zY+^>T#e!J~TKw!BNZV@DoB)t==AZ{U+qBmP@lw#`s`_Gh3F`E5buEC;^we$4&+TqGJ=d`&Ma zvgh_q^}V*YUJ*MXXEgam20?6fZy4Fpqj1dMSW7z-O&D?1<+>ANG9W42eDHjjST_{C zAcl9J0mi!A?WF`?9C&_OYLI2TGD)f;Gg{+OOeLSxBG`--TnYlOQ90F=mEA?|jxBAN z)Xh%3I`GNo#%?Wm>c-2O*sm!M7B*kWAkmgLZL%53n}O4!U(nCTx5)9qC7jTGk`LYW z4?APhn%u&q_f);cU!cvLt#fYQxrdvJk{f$v@{Ya8xDYZ7$H(v*4Br}T5=?q38+Ckj z%dI3lfV;OA`ob0MQFcy_Y`~XIH>;}jnnFts@uWD?v=3vJfk+AO)osZ9>@*JJ9*~4~ z^@eCs*zKmWs_WS@Sce~cBcLhc*FjgXvhaY@>ya z8fo$|EFRQIYDk)A^&)Tay+Pap?SQ^dFl^@0_s&uz(sL5dX*a&=uVK*YHsTse4K_Gy z=rpZ!O*TFKTHa_x@rk@(^I^w0MY7rua$b52uf z&*c4&TUxixS`^&OeH1@zALGmRJ5%3UT%esW#i82|dY!*y`ldbj!-v_R;*(a5>7GCo z2HJQ!@paYa&@{U8)!@N+6HiB#;gYtfL1ckw~T zgW?X^t8EpXJ}R5lvMI?6=}|sewkQ*h$W?t3Hq{SPLe{%S1G+?7C6ve@h(|<@zBxL!BHtd}zDTF#Qi54tiK%3e3_=i_hnA@ON#&H{IxQeExg;DeS|-%nJs zlBaJby$W3Bj%`;syXU~({;hh|PonF-t((KivwHR^ZnL+~pJ%PXOh~Bbl+B1f7jLn= zNT6EYEt*L8qjI5)3l#MerZkx+rYRDseFLMdtk~$qGb|_#LY1UD5V3UNIb?790#$o~ zAya>*4_0C~<1v7UdHc`SMSo|^oZRb*)OQ*^lDj`*vowLju26m@(~-lbm^ZsWU-sG4 zPtOEA#3a;-_TU8iAy?cXmA0nWwWZX`jZTe&&ctcePpno%_+CVD3vfTLjG=C4V>Ek+ zTH3S1{^>E}Qgi)nFY!JzjqT`nY^lR{Bd8z|64o5j;2UL5$<_>?f)?*Eb5Tfll$$;0bn2Lp!1tx- z*Ga?8V2`YuC3IlZz8 zZ83kgx;(+slAOElQP`D)sSdphZ_qc$TuxhDuRKU{C}v>izCltU>EHM5+xn1b3rG(; zrFPg^|F@g{#?WMg`>r{L?qyl)Gic-(D))(ry`Kl{uEp)G*G|lO8T} zrOjWnKP1qn3@08?ZICEV5I0_Vad@AZXKlEJj%&}4^?e9G3GM9ZhcdLYWt}HMyeB%J zoyR4RN-fF#k+tz!3zzU(3gpuhqI>ddt{ z`t|jyO-4;rWQTI`@^6^obs1E;))g+L=H0Eo{cTZT7UB5$#}}1 zNUURzrkq&mJ}z-yy|;cn=kWWUdb=;&kQX%~AT5K(9y@4M&K#nr!Or@ntN{?_{WAK8 z<)x50Di<)S&{R+JU3bG1WFCV}USc_P+j}DVGdEye0tY)Zw~`9S8SqZ$*PE@bv@t@^6@uXe@y*ajoeW zk$H^mMz6*b+N;B>o>wq(^3u0lhau8E6D{d)XJ$`bVZQ#iz7rWCNkKDL{>e)J`HcMX znGHAe-#OPd8gg-rf>=#M>`SOb%*ZC5N;4?~IqTd%L~$0vnHoRGS>Zi0a*XNypqAQ0 zSc>-Jjxa)jt`P_2Hmut_4|mh)dMaLh-#`_2;<#x$wKEoE73}JmxiI>4`0dyu zACiP@VI}ELle*7W?+riA=!*%tzY#G?)<1FInFc~;>n@4?Jm{HooQ?CUh-gjbsI4^Z zF6#94e$tPVUb^V$mIKo1EkF0?pyPSOY2HEX>EZ(zn^I-G7mgUz3 zr8{4?DnIN+zHl%z{mA)zG#5|#EhdKi-fBixKCR@-k|#-QqVxUvjK;L5)JMHfiFRYv z`iIJ$SLQXbo3PN^=B+62y5+f)GWGcX@Dbg0a-LXoLT%e%4pVxvGV{hYugT1Na^9e3 zfh*2b-j5J}inQ(^_LRwDTYZpxe0U>d>V8GQ(Flv;!Yy6ZLK(TcJagmu81wH>vh5b% z=A~C;=BR(MT2E}rmEb8Xn#?ey#$nYvi=vxJzp?!ugTh3@9)+`#pKFPW87}}0EE{hXB*kKBIlvBTO0Qf z=%_67n?qUR7vt=0)2E7Zj$$@uWfPxOPli2=GTZ6v(UjOk9-%L-aTqlT-14u^o|1iA zr5bb6yAVD+7>g4tiz3zW#8B2U%3Rl+km+Mari0vivbO|Tz0s3zf>l$sb4pJR(g*bk zP7e%n=jTjS)@Q3t*9VU&>S9t{(lGZ5mCU|m6tjtF@T=Rx-y^dPe{}Mky?w=VZft#W zU%WTrGfKm|RO|Zv&5`FvI)kXqy*D*K?OvsrJ6UYb{fOIdNp2N3>|#B(b%i2rYh7@x;toZ8EEN7=>BY8TwsjVo?mro6gS|Kiqb~YlzWv>5HJ> zaj=D1(b6n0JvDStbwAD>YFONpjBtVNYOQkJtjPcTb~a&Zyv2Jvwb;;m7-x&Dz`nmLh6+D0i z5PavoD($yVfgRs7ZCcPQ>i*=hmrU@s8z(`wMK*37UP^17wbJOlN-hro%L_% zw>KNFNe#@+vHFm~Omml`Q{AcPd+4`)QpbWjvC$&^>=?~CkjpI0-}!}RQsE{({<<8? zBVZ2?f#kfDHhF9_VEaa^8_%?zIjRYM_3oD4wSC)J&rKP_b^;Hh@n=V^^uqnRF(a$_ zCA?Vf@2>^jiWrI&MJoY|V3lT?^sVgEc|>KW%>>itZU~~xbI+Ev_AD2O=&W)OkVIi z%x|^*4w9XS)Un)JpUWrlw@WcTEXG|w9BB@V{((-8^jRdm;-5_5pD)DzFoApm|JG4e zaAFd~djE}h3q_Ugc7O{_kK|r^)st^v3~qJ5l}`p!R~{|JgKo`w4#a}9Cdx&1ASzVl z6am%}X_OU1*JjrVgGHp*C!W2bygL(+)R=RhX7|ZR5FL@1rhmP@;OQ0HkI+yfy~@?~ zb<}yV(kpC_51}AEe24M*AS%N`m+gIrqYAT(MMc&zB-|QD+j)MQbymZJca7k5W^Qxl z(Wq)0t!ue{onLN`e|~*btvUCk*7HiYU7+SIs&dKql*b`n8_Q={bHUpRPA%xK+@sMQgxX*Q_?D6Lu@(J&WHMMZL}H zXdeM_Mdyw8q+g}fJH4GlhF3_*nB1qb;vVa3)&j1LMEz~_=1&yJehM6RM`1La zGxp7-L>>)VbHiHube{deqfcDBti+B&Ab#7}`0U1<1r;;0KnhC?y5qmVJ^4$I$Ax-?q`|9-V#2PUQ zJ)KJEYeUs9!Lj?8Vu|p-QV~VER9Qv0ophFxh@F%v zOH>?|UJvAoN*aS1KGS|9s58#Wu{Fv3&P^JQwMS`Iuy1>(w>$Wo>Z^Unh7&FA)^D?d z{dfJ-rRjVy@5JMGiT7=yUcBfUCVCEb4&g!$7B z6fd;Rd!+^2gxrx?WP9Gt%~{yo`Ppr{ls=7_Wk_dHQM5w>B}U(6hvm-PL;E(G9v>6- z$Be{6_BpQo>JIU4-*F#DUngtdeZ5)ZPb0kmr}~E9+N!KarHHgRBl~K-eIBWfFbz3R zh|gS1)7R!5A%{qA(S+aCuSH#?E63xrPmMmtGT#157EFusg@-*f(hawf$DUDd6E%#~ zrT;Eb+MvY^!ykB`lLIO?dpo2>`ZknF!XB*)-b#nx^@W1FgGe>z`t#^^HEIj|J_-&L zmv=F>2|X_vjK=gPUgse3Z0}q-IZ*;a{JI;-F8^dXf4+1EUT@kpXOPqq>Ih5uPjKX=> z7muUPb@iVy3NB8raPTh>h4XtQ<-Z~nenUI_ON7EXbmcDy1pq<;AQTYT9$?mjJkZ|| z3V&S`=imeYYH$He0MNaNge-s)0pMHz2utAR`QO13bO4Zm0{{`Q0)PR|05HG_01hA^ z3Fn}L3lstZ-~e}mn*k7ppRj`qoB;x_a1Ky7MHu6q6RZukbp;R)3II^y z0ym*`j+nRyU@Oev76>541w`Qj@Zfe1ZSVxJ6Q)*HE><=GSkckd-UY6QYi42tHnX>} zF$Si539NuSx*FU3f@(NNu>JKpCZN~<#ywQiR7mLuDV5beeo>Q!+b{!LQy&1G`QgFu(9GOtZJBZ86)jf-B#cDv@m(({~dWNU-HSv)6?5Xl)W$+nPe$UgXh%Bi~28aG=9I=Fae*M;NYiO zJA{o_ER3(LtM?@KMNgpMBnu_fL_Nj3b+1rLd3o{G0-@+QKJl%Rgd_4V3>hb1uFgbU zt7XY0)CpqJUv?_JVF>AYx@^vGmhL=LGNk*85xLA+tDv#1$?4JEPbR$bd?7Pr97Ah2 z$7_*VczK;>u&AhK>S{iOY@3E2UxDb`jh(zLB<<EulpnkK4}V5mG;h7{LQ}W z&&<(us!pWVlWfze7Yd_lXPNg@m31pxj)QK^So)#W2b~~U1he*v3zbilwFt&|9^tjN zF2V%SSJ!O5mV`EoSz~<9ow&NR6vPwl>Y^hbP-PvCdD6m<^1^IkcwvcEj3pa;bhN=5 zl${WcG%a1CbMMm@?N#QU-ZB{U6!+edln_3qpR{!F$HaGe$3&o+v)5)_CjZwz}HrFZ> z)Mkb@lvT&Et(orbeO1pU=2WI22MkKbt^viUp<8_}#w;(|&H99F6`uPxpKx98=f|s{ zu2Pz)i66hR-usY}r%ZUAE;|G1j$d>mmiCpK9nBw3WyVCiTj9vCVbp@?guj>ZIA);TM}a=BV98#-f}`+i3|E zyl^pRP3nSBsh6btre%E@j`19k6;BKuZnaR0MLMVMP{@41?%gwYz(NZ@o}BG^Pwwr! zz49(5{pFDc5#y((W3N)q?L9$5W4G?sc5QwJl82LrNQ=^U@jn-Z=J|EF3)~tz5koFv zcbijc;#w%Ro4i9Q>wKo*$z{z>rNH#5ikKn)HsWAKuT9I1O^{+W~$d;)?LUF7MAhNdyfD`hdEZJ|F@ddR~U83qVIN+aSEV zU+>RBq=}8h}0#d6%>!PB_Y$_?RUueL8Eqw%b^S_e*xySxj>HqXSFa6R#B!%EO z09^l{Nc@5y7hFa3hiHG%@1+d>knvBMAY^wr@?~7`YaO5upyoN3&wX~p9YQ~WkWK*rU8n8*Q$)qX<6 z&4J*}?N^w#=TE4&?aw$!3ILp6g3td7HrKq2=gtx5h?wop1)|&oh_AGPuuJ04$mk+c zI}i8H<0l|`G67B+Tm)0V%{f^70u>Ina54s9=>U!#0VltR6@Nx%%3#M|qpQC^eCLJz zJCsPNMxWD+G=B3k`>O1;l;uHJK`WzVTh0~AfKq{^Yh3a*D}+oe^71F8dV0srd)zS} zIn={UKY^MuGcz|QDlE)ivOjy-{)AhO2}gWAxat`WPU1bR>L?T4Y%%r-45C|QR2ld; zyM{3y43{L#>c2CS4IB3qJd$NC3V_^Km}^ms3+f4&YvFxMcZVC_<;LTf+1#;f>p5zv z@7b!9Q(I*!odeP>$1oM%(2d+1ln#<(G>(rXh2ECuWBezmV&(OvH zI+dyWq3zl?+c6Owt1pw5G1OeLH#*l_hvRk9tEO%(eZrs=tx1SkijtEUK602hQp6~6 zdcal}rB_qy@-m#E?Dbo}Pj$~o6-Hxj9`--DgCuQV<&E=_rVdW1gW22oo;#wKIg6?^ z)(My6rVig*2DNU{+v?rKFTD9Y?5*@o{nf3?-h72%Rfh>Ce(2Mu9Jv3$(TR}o!*`)~ z(P-RNwFg+u@bQH^x4DWiRmjcp68*G#FdR9oJWz~NkeD}|A0$+#guM_@b-UA#5kgRh z@hHBIQ=~OSQo>S|&G@#PSqwfl4l-7w*|o_?j60x23~9`m=&)>bSMxe1d$Pl1RGDk9 zp$|<6%!3(?(w|CoZKjmNOQ247m;&)zuj6(mq6yN|H_OuK1We+Y$w$piLtQ6f4?V6U zZ-L|=O24(El@3E|d7RMaI+J&RQ`ZsKSxSRD9xG;ifOK74nE=`TiRYFxpIAIy=k4`- z*3$~0HpRf$<8mH+iBD%$Ftx;%JIvaIL6|vJbZGRL6{SAH+*YP{2b6Wid#AJ%6|0kG zUGus>w)U|pDnGV;?Hg<0Oob}Z!26b*nyyio0UD$?*NAh;$P`@Xw}x% zuB;r`)HI5G+yB}mEQC4JHP*PkwfT5-(R6X=KC(t0IcacS|1eqdXJmFYtwp6^1xP$| z&Rpf~1+K;D+tAU_kWUHQrk!q@)VxBRq!V2cOGbY2olTfdC&!d{9vEq&S2^v1Nrlo+8X`bmY7umqTRU_st($Z)k^Nbns{ljy>3x_J|1a! zqRC#FLg}%>Jf_CxQNi57+j}t}l*8icXl5!6Zy0&1{P5|KkPs@*v^EmW7iDx3s%t4L!qLP>CPrA( z^nzNTy7qBBN=QqF{N`~W)%CN9vO>}b<~RHBM#^INY(%-ABTgqr%VOVsVL>zf)=mx7 z7*NQGpf9a^^~YjZuW9~@y)*IrasaKsYg^65APO>ipHnHJA1q?98f)TBvZ@HrpvXm? ztU5xP6aD>tnFvwfaO!*cv7Ud52mgF=@bi@`aG;z2@|l{Xgxb$D#pkXTaIlge{PXA) za8?~GZsp>v40n>Sw{-yaGT`79@IVwemUMBv6No_0_x^JS;pg$oKf;6aW0QZv4S!7j z*hIn;1AZO>j${^qgoK3rV+QFV5+NQQ9zGrkK0XNz5djem10@LwB?CPA|mBJ6&V>7B`NSnM|u4^B^{KGj**TID#FPE zd^kk}gaB7pNI+8ZZ_Onof3zYIU?C-;3ZWv?BcTu=qY@zh=tLF;=tD<7{{W;SKB!2@ zC}`*ym{?b?Vk7@vh>V1SdRd5%gp7uaih_oMj){SVj*7zr6cV7K(Gfz?#qJps(K`lm zV-UyYREje&lBl$q@bEeXjYwcJ#i?#D?wC5mNT0rVz$_WBW+v61>%vF&R(%Qnwd!HV zsO$2sG)qEW@XN%`cOUkiRd}#02g(T(IbdRqd@XKggxQ8Ye)b>oQ9TFg+pa9gO zozsMgfet$-feuQD22kKgPlV1L7)y+xpiO0Dn}^Y4(J3fSLY2hSnRkZ?13>^LGpzkB zKtwK?nUp%83w-Gh68<#>EPs;lV;Ttu^?XbMBvGU`ImX1*;y5|RguFO8CN{=6Ie9t8 zIFKQc7_U7yeH|4*s-OA7+GXVpyfK?%hAMYeLX+0W3)?(3?ku(F9sO((re z2*0X)?|)K3{@uT8QTBg(jDJt1+yPUi_GD7$jJ~36n2m-TQzg4PtmY!GOl^EJsmsDd zm#|t@*F={jBg{sA>_651Kf@5~QH4>BGu~$2H~NZ7ieN?Ey|;UBt5sDmv&+=p0Xbu@ zfFeLCZt*t5?13Uc1^!d{Kiv3LH~5+X-LN(>NQGDASL7$>mw8!vS?Ls&e0uhom zRipU+iIvy=37{ykIJE`HsRoLk-TyzVGQzqU0?Mv)u?{p5>!6vphUxz_I{!Uy5k}k> zA(K%Blm8c(pVV2VHij@26&I%B=g zsjL6=jOXX?4FTZL%V#{mZ}we2wQKN}sFfyXpiLgrcH?OG?sIXPCOD#~|eg;}VzGCx6 zDVi=pldY58JbNc^G%=`On(x~((pS={dRuZ{j81wp9Y+jcEoa>EeZXYo+zwXtk z-iiuCx3z#)7)(578#b`Y>(}IeiiJTa6_0xwx`SGDE$*rCbEbrYgV=k%H&4LgDM$8C zq5JI)N9w&60@OA3KJBbHxr-A5BHLd>I=1b7q6Y{2NrN9PLn$8<6YUs&_*@ry$RmU& zvu4pjP|U*?9wI?Pi#I#8e`ST{MM_EVkb{8JK28~O_1@y>_SV`+FMjlNcTNS(iF__A zj&IL)(U;uqFa3pD)^v_qne8a0Yipb@?KvRSQFlkU_)l~auUu8f{i6L*A#{k|-9a)d zj8@vn=4sODmFE5+&PVi17R1sRU+;M2+FQ|3@K;78_#GsI1*j>*diTjy3JNpob&;>x z_3qSGk=JPCn(8YDRbA{HV<=^p)#+@yQZDpLy{ff6V_7=T!vclSZiN5t{+v~Hq=Vn z{F!%_BO?0HvUXfh-Y`ZL2t+SZbo5V<51|?OF)v40`4n}eOu31_PWhyocPm<(BkD=l zwQy~cPQ{+&M^9c*`)G8&uG1hI8+p8{l@tFdL0epgwsG;cb^nWag?7^dg(N2Bn<xah`^9fJu%{Tevsn#^LNM#9U zmTt_GM={l3S)S>!@ii7;zAu_K6h~oDMaDzDD$u`%-D0e`o%$-BrH;$oWmx3FA?934 zUWNZaoEg_TePxLWVJG;b2UMxFt-pA=L7Dlq3Mlf|y-r&xJB<+$oWU_EUW%R>Oqb!! z=se*$-c8PWZ@acL`1l1!T}noAT5wnJJmY=cVo`hWTGF^qGwE(<&9QK$U)0>`!-*e+ z>in^@U0q>x$gRgtZlAMbx|T#Ur4{D#$M8PTfvjK2(zuWi`xr1|Gc%<|X!ct#4}uMZ zpJ&pj8qJ|N5LM?;Wx1)o#Qtop9~s=hD9p&IB7g8eD6V-c#Rp=xZYxaK=5BIC#~haS zV0|gjlH}G~$+YR$LB0x+NsI3=`h5%XW*?N4l&rIh@PA)u`R<{cJYMa&IGNGok+R+88klnoA#`=*qO`G!17C4 zQPhKu>8wcc-jaj8QHk{YAALXSHbc8;@2zHZn?Rd8%Gz(_3?pE6?AytSnbYap9CyiJ+er?a5Z(=R0k0o3K9Bg__rM&!o<6+^^Rek&bR(>3EzqFq_JinN%7+@1K0* zcE@_+-H)|R7QG?-q%T6`S43$Ztr*aZpHZ;*Y~Hg+Elu+#RpSdUow(7cHw)gXdHTW; zqdA$Tu(1#2eru&Rm{w%w@or6h$%DEBUrBr=F4} zRueKu!!6Oq(K$5SrT43^G&j#IL>#x)??GUJD;Y8PBA_)Gk?6OU=VW5cOmm~X&>lM=I)Amda2{iW zyl>I2=IYF}LoIdAeC>X>BDppt%F@L8hSMjC`ZJx({HBvG%W@4}RE8Z}uc(99`5%{V z^;Wm@)!gki@4A*U>pYPyo4O*ES>TzOY?Z05owN#9U-L{XXlyDrnqJXPS~MWpR##Uy zSAU`*Fy63L+%#B%1AEcH@q)Wzr$XLZttjG!e94WOjhI*L>^@(c%1iX`O=#~rJ)a8XkuMd&v;C^5yA1cq( z8UTCXCcN4jSVQ9c_30d4DYMWXYE3Hns7*48l7X2G?z5{Oqm1r6yFrk?oJ{JOLH}y7 zEp41QLnYJAs*B=wBsCdn-YSZon9@}&I%E`&uaqQjRi@!Kc*Y8q_kP@9u(J%jlSh(* z_q>Bn>P`5U8o~s=DLJhj0xTQ_%?LeBxC36#px}0pwS={A8N8 zMZO$<2(dw{YzOh*bAB8Lq zpH@_=1wXCSo|2w@;)c)6XU<8t;4Gly7$;B|j^B$tQ7L#8J$B1KF`AWfCl^Jg$;gLc zm17itDi++NKEc9kaNSkZ152iInij|0)TS(Y32u*P?-x(r?y{{%AvWc2L0*R9?C|a& zlO49xzkRat@dhpW2Zwh!hu(^P+ml*}_fx5e3k<*M|l3llme!?Q+VY)B!b{%YE4_J@%2+mUp~c&gvTzh0X4}m4PUh8(&?nlnO| zY}i;_Gn5KY3BhZ#ULqLjLj@@%J)In3p{+6Gc1{l+=_~UlJjJ@5rxdbqmYd?4$5&(z zu{AYakwks3M3?a#9^)Ux8Rys5J~Z6YkE2HUJ|Vl+CHQtNm;9A}sXf{HqX#W20uG)O zyUA-lMqc=iBK~qlZw9IFeElp-9x+?bC@Bg*>|a+vxKFBK1yN# zphjV5bjy%QI!ff(=uE`=7bZL*52#b0qhy#>l2R(%D#=1BY3(b!lP7n&bG2Drcoy{LqaQY*}V^y4Z zh~e>+ckQ?;$@g(0dFbm2wdT=9R8ZMpL-b%|k-g2=N|C6;6ckHQ%~{(}ii}fB9yxr_ z5fBb*rX7Bq99(R)0H1G>s>OP}`$(okXvS)JggKk+c(5E~MW-c6s`0>txVLGpnf{

rmmR9@}Yj!^dzY&UIm`2<rbexZeJl`NezGv_93AQnp$FIFs6Y2R{{GcXrH0w>(2Ct6#``88Cr54l18}m^U z&>)kdHNw)*4Mj6Gwy8CV_Mx>t>*XQU!Bv|d=#8B^Mr-`XBFomZzOH|Gl-;mFIS`;k zMCF6j_b`71oHLz;wV8@>oRzg?OEKYECKAb{GJ7gHmGw9Pxs2|49p$)<4t>1cOi}9c zsNlZFD--4I2TOMq?y;PCRLj@EDpe@qlq+hw5g%Wq!j?Dm+!cjU>POM6m^ZRsNpin* z8&^O6@$RVm?#&>>Y03o4o9m3b>7jK(C>~`RVX0`rMcNpOTRLl#V>_B#Ma4a>X-Z;0 zK9oVrXo`Y___YXfCbb};dDvC{y6W$b14z2mQ2T48?9uM|8PpkLKYr}~{2o(ztI+Z{ z4Ju!K38qfiMuTk0)Uz?n!17TwxAz{Z6W2Nf?w;8;9WDzGn2JV^@*a5f@eKKjKIVsZ z@D0UFg3{;wK6h~s)@tL$*Y(gqmy*7G8fUPk2?X^;U#WbQW})_ksW8hP5~jM@8SG2W zWZf{tD)88y&2x)@(Uk@z6s79DXZx#kG-(l)>t-jPMji+mJZO3t-ieJf7W?^B86%ZG zR1B%hUzIZcGk%E8Z6(%sfnUO6%=oXlTXhNZSO@45P1TWe+Qg#nKcab-ai)L&v2f9s zD^di)>!D2on7mr74;bsPwpwVFG0!kX_l0SAq`$TvbsMK(@i&TuEl55S{?LD|uCG|r zI2SMa=(gEa!vBk}cZ?Mz+M;!P+qP}nwr$(CZQHhO^tNr=w(;QSklMF`orJ|i%88y|LiuN;OQ6~QfXW#O7PHD3?0um zMq2-@u2gLJy=LQVnQh#c4vPgc^LV#+Vl=kcNG<1)YNEsTUOnm54>JM++G7#j!@4y+ zL-fsTjr;O7Doyp5Dj@d~Qz%ap#oQCvd~B-5^p`u_1Ptl$&Br zoYwfK8J4=L;!c5LsME8KTl-(I?q}6lh@oqZ|&F#1z(t+N=A}%RJ{?P%{SZRkRkx${;)232+v!57`xGVo??e3D8z6v4QQjkn=?O+wV&wp z#(LCK$!cIrU?}2_`Po9P-SF2T=yb^_x>pM@73vUF z-CsMH#5wo$PfBMY=j<0-dIFoK=;NrR#!}-b_Pr(U4d%ZIJ-b1Qf$hc0qxsHP_DH~? zQoZ>=J?{2ZTi(qbCq)};RQKFMV&NP`K~i{_)}OUDN)#yLz?2T9*AR-kUM|<$1>nmg zfBPr%nq*+(;1>VpOiPG9AHDRm)M;M+vk z%WJ6S1tW)Zu4Z4sYXO`Ysi?y~pc}bRw??rrsq;O&dp|pVKV_dzV&X73%d`($_wWv@ zN??dihvIaYUFMou8AC}~%)CyIuxaOtH$Fu_!I+y5jOdM%*iw=&QU zoQiisE?y%#feOm}WQbGB{czhlJC_#NSkIL2~(xG5ChRFTW#V>s&p zA*KNqO~cViQ+I1Fwtw2*zWK(NIv|3c4|gFlX*lV}9_r_8bu~k2#GB5=a7n;@TwojN zxqK00KOaa~hR$`MZlORKjON{)_9++VWTiL%zxb}+x#1xgP)F++YyH_#IsH253H z3u_r5h@=&6LpElypT2?fkrNHC##tXk@22}2z(BME^hXP#;alxS_e^Olm zdw!fYj7GB*Y^XeAIS#jndc0W2dddXVjJj?CcS2@6m6lioh8l7{Z&HjVj8lVyD~WUq zIb|qIq_oaE`wcllYu>B!-kaeCv{^2_1-BLBqn2t3!&y6I90V9;1+EW|SJ)U~jWX zZH0A!GwxztZJha47;1N~obbQ=p465~i}jF{`~3&#$Y0C42If*R>;DS~{fD>w`t`qw z!2h#X`u`Py+1XkC%Zc{?dG!9H=`slYuLqp6GL*cM8ES8uyJt!hFKO+S`go5s(Ofg^KV(ie$>b z(}MyguL7patFK@0$JzH;4yWGRuTwGzC#FDRiOsz}kbQ#$*n*8{?;72-N(`39?Cc}b zOsAxpt2wO49kjo%`T1Lz7dBO7Ok5Q@WI7ow(ijC=86|X6E1dVT7?Qv6C8j1D)z#P~v2yWQ zX^B-*ix!T~8(VM^;DJYI1o+^Q_|(L~f%sQ6;9^#U{KCTW!qxHWlJFeB3Igz9;Dm{* z;q+v|L(YYf?gGBTqVelTst@EIxz-F>=B%sMX??2j_-`}W^lq$tVlstG%GfrdU~^>V z@RcF=19ZVXg%E`qg~STI`e|-BRp-yG(9wFS}R(;O_`=B1}=8pp5F6s ztPMfzGSa(r7raIQ!rbG=IhDuh8c34JTJ1?czSP^l>>I=jvQa&YJ#WX~)hQ3B* zX^>oFs6tHwa|0!eplb;HgUDO=s}kKCeLSqIN`Q$y@R=%QZ8eB0!SM+BlL{C+I} zJZ6dg>%!C+ycti)vjYPdqkF?z?dC=9nXZ9q8Sp{rFsi%$@qo6F@ecZCiO+_WLBZ{N zVU+OYLFWndxlpu`q0Yq4JduXaNCsV^uut~Pw@UVrdB+}8_n=p&!OjKEbQV}LGP7w_ zLVAG>!@pnE z>}71La2i}2PK$~Z-toWJdFeM^y;cbJDw6vOtO!K>u`Gz`M^qz!hX-yDno|C3D++D= z+Lr+_Mqq~6JFv$^5JNCGQ>54-}`K{$^H!ck`%qT;j6DkD4D&a>J z890{+OGePaf=taQ8TKyMD^2WUY`Bw22@t!(^dnc6I+|zKtH5m-Md3hYCtckb!4UvB zzj@?ebjNU-!(EN(8>&;iu^kV-p>gyIfpcaNG#`u0ST=nsyf3wSQJhp9#ctEdRKrv= zSl?@Hz}YX0Hh-=^zS5t}v;_R&Hbe}`Tw8*FZSRme9jTQ7TKXS3D*i)(xiwPBpr=tp?S1{oIaplU`SG zxzwh0yBmKctDsK`uR6Pgz7TD43yY&Y?Xe5_=XF!iJEQ_e?$q8eu=4#f~6M^UjAAv0tuY$vn$^caNU9l6v=dbfLkr zWq|rdOT)&+y&j@4@~0#G7!g}}`KR~QiP>RAmW0$R=9EH%C9P@ZG2$YY7LR3 z{cOmW{J}PT@KZ&f5@T62ATGt{qbwJ}*>%KI2SDn3ppBQYCdWZO>|WA{eY9sBBM8?+ zOo!~>`-5bfpS6HD9?yc+yX$uaS#HHY9y)g*_%m$PmH)0|5pWc?53vAii(;PfSzZ!q zUX3nWFc&>C=vON5H)oSyBJ0espoSl8S{aQyU@EDtz5%frU0% zJUKvpXZo$1|G3FKA#zw*Q@f`#%4ki272vXcm%~iRcI&8^(1H>KFYAr0Yb0NAgF?t%YC2L3h8Jo~a!8KsGc}CkLUZ z5F7vm0l3J#o-$G$B|$iWM4?Ir;erqbQwc8|(h?Jo8@l{n1rMYmGO!_cE%IK4us(Tj zq7z2E$<^oOXOnAf2f!*R`z4#lY-Z-?cl&nd_w(laM<`Ma8qgJV0>CqFQ( zrR9z{+Fu#j{637Bf=mQjv?uUhxt@kq-7bhZYv#n>s8UAJNT27@ zO6gM&(`&Od)j55QV23L6V&f^#1RhETk-J5~PtFL)`XUrIDd@HH?*!*AbXv8%;i4MH zI#`V%ix&{B#GTa7HJnk|RDu#>=_xhyLA2ZX8<@aX(t~(ZR=+J9Kd*Lyga>xABdq^xO zw0-C>P+YtNAy`~XFLS{)?OeK6=V1d1O{=HCeyu*6^b8IG{FUXFCYkF4uUc-rGzb#` z>XG*gB-*r5?tt?pQCMeMrF>WDoF&d<ZKrg!q6Gb<6+^^k4xudLYSyCsilAthF zvYejMP>wG)wKR~=Q91|}dsMs|SX10UI-pbFIB~4rg8X9;66>Vt+I?0ZGR%g=kU{+l z1J1M_=lxFQ!(U+JW^PIb1&E(aBsBqs%whu6nFV+BcGW>RhY7=DsPfb*ueg786OT6f zSV%SC6xB830+wb0^9r@w%U@Q`k2qP+v4K<(d{xy~xhi@xgV^y` zfKK(-ib}GaZ-=Zzu~=c-b2wf+THd}jfWCaE`J)d>7)CHa^C_$Mb27vR+7&in$Pvi z25b6`DFkH0$_9@iI9Q*vW_k}48C_&xN#luU5M`E$0fN4z2ZexU;^~Ydek?=%L8*E{ zoAhO|IJM{+8;z_vZy(JJ*(RUP);9hs7qCOjQ0 z?fpfZ#vYl~aPuK>?Z@uz`Agi&B!=g;tgoVF+VXTvc*h8D!{-{74 z5i=zt9mTp|PT708{!WtPSM#GJF-?2$DJRn^*pltx3tK}A{B(RTI$7caiN^^S#Qm;c z70J9u>TOqia_LAEBOlcQY0$iuWw-MMM0^5h`12WBfY_-&GUxHiA5Xy=T@$iLsw2Xc zO#+7ju)pw`m1sB^6NLB|FlXS2TRH|*4*NrB$xSX987JN@n@2wHw*xE&RK&7(W$r5u z$w(|Ay1Ahb@q&N1xM_VmKb}O~gJgY+I zFWvakw)K3i#WZ3!SQCfysRK#vp1QeuSOrL8a3>Z$Vid>T+e~`q9w(4xnDlS8OuuaO zdWL6UdZ~}@EfK5U>pAf9uJ6^r^FgpNM&9OrtGt$u)^0tWMR#cS_=9)bjIw;Ogta$!5iJ@05=^B2AKohKUb53CJdwOBlQp(v1@eMLAU+a|38;4@QWn@iy~aF5qvoV#R3g~(*`Lt5L$ulg~oQ<5r^xtOZN_Q=O zZrZH`G9m`pc2iz<8IYScAlqvyW9!QD=u7zK$@7;al1iF(g{8GD!_6n9FAoIX7KMef ztBAW=`u27+n|bj%wlQ;v$mj!*2y4Rz99a5f5&WKA~bAXq4F1yK=1YOnw!T?>GODIq)> z0085n(B}Rm2tYb1YPVuk5a?#V%0Z$B@`=YVJaLthu85BfVKtz0DjI5_b5)Q>$tO`d zWOXJz(d7XM-nV_agnmAmEcYl${Prj=B^C^eUz2lR~h z|C!&03I+cJaK7b71KvJy?oW4sqJbi9!qy|rk@1q}!R>@$tDKZf=L|AZpIs>Xl&oW~ zV;r4;in47)@)&9gBg#dal9J0=o?gJcRB{UnD`+z7Nm?`t1PRuTmq_I&4KYqvL#L`u z!@yIEm1`f_LLyCzkdZ`{204z3bHk&;O1)>Bjx?E2-QCi+#=fUW&>rL~J4;D^ zILzoU8@cEDnft2I4xz0qw7bys*T{Y9#Wg-xBfE;55*T4wu^kN05Z2%&e~~aP+uv$N zrWJi92lVw3=r`HVf&l(v0zg&nLP4M{UUtk@#X2BNkS8ycZhR7p`t&G5TF4=WejU=p zPIjap?L5>QNVWgEP(@xtB_;0m?{}G4yzts-@#qs{P+QI*i(q^p!qnvb# zG9&iT1HlIoFiU3(aq?M>U;~V7q5_Oz>aTvM2ar)FDW3cX5rz*yZMz||5TK>DjFYXV zH8~}4DbGW=0G*yqCO}QQW@8x znWGkPzC%^o4VgoVl68%!LZN`&EjL}sIP1bW0v0pBeM$4Gp2JZa*2`h(S3j8nxpZn* zu5@Kg7czAkpD{`@wf5gXilH%Nh9WAJ(>SJao>EgDy;6qriOq=-U(K%T-%Ce@n*=>& zVyaB!?dsI+i5=ns;skc_I>i#~x+!_jWIXaPWzrNSmkGVo-%!6AKRU=^+h4fPHm~U&i0^IiUE3T+43&137UJ)!i z09ycbd^NZZ8~wA822rF4!E5S^l#0=*PxR$Dfe}0$f=Ex?`_8x7_^w#csb!-ZL}ubW z^+Wh~GV@eS$j_Xk}S$T|*C5`q{1CPOE$; z&Cm7ZemhKc$O)i*X|VL;c({G;Oop#p<56j=5T7W@B2ACmoLE!vl|}3PKhDxP%wzkr zcj2R;O9DXeemD9rIIGDXRa?@$&=UoNS`$Tl0zEPqCx#K%(!!s9`JqGVf5-EFa!dc(|VOVkHbVlX5W!2 z4jPyC(#ibqYRV&5=_QGj{86i8x0qU(y&uBMF1@#$=1=;9>pIXFr^A{;)qIYFyLz>n|pNY{(tYcw`|LmZ+l$&H@*gc>}?tZ~|}_ zYe==J>j}L9V`cCu#G4R%n2~j&4Kz4 zVQa7gjo~}!&IZNYZQ&L3V`>OWRLDn#dDva<7Ywrn?%IeXs4EK)iUfksc#q8wz|IvF zd5KfxlKv)pdawfl5P2r@6o$o1z8rQ!EsH_(JX@Uewb97v$h%k8DDo%l1%LO=fOP;| z(a`(An;OoMAy9yrpkRf}*MFWPn(Oct%dJ!VJCG}4cOAVR6*eVQly)*^91YZ9KJ|C!&45CsZn>OZA;B6_@){>nQ#w0a+I}Su zkDF{n=8HuBf@p#Ynm;JkxDoIvid4!^4$x~Phf=7&aOURsjontr)6};%iLqqr+|v`! zTPn=YQ=U`kc|sR1V-{|)h&R29gq+T3TYi=IyayYJsP=ChH`~@jt=_|3O+UtBb@W#~ zx1`mc<4t$OCCOX0=6m{nTlqO+b`T`jJPFq>W^FRWOCqAS1-zB`mrC+(X4pzJvADgo zGV6YQeTPu#g^Lzj!I5WpeoUYITbzy$a(381JDlqW#QRH0Wz)f%Q-|V6@EOEM4l}9b%MH(2S;l`l_tAxUIAU5+Y# zRYxb$qC{lNc$S~~;=7NSZw847d5H8e!H@OPnR*?H*|y^p_2B8U#oi#qh_V;lN)7Jk zm5IZEr1M~t0%SI2L}%2(6Fm`$S$I3BKuHaK{!sRWvHd;jFo*G?K}%)LQl-mK=A=xN zsnwY#{IpTBD7dO{dS{^5T}`Xl@S*_X@5*9s`iRG^$z^%GKXgOsDRQ-`$z}*u5 zsaa)~7jK?OCkFl~K?+3r?DNJR{y`zmG5|AZ!n8iuEe}L3vo#ACd!o99ZbE(g@X`r_ z|K`QGP4Q4oG{!>aOb)lRTmX&7OBToXaqFULLT@4f1cy_V##qsLWqa8$kx7oWz-Fw7eS0M_@wiR`ez5IECtT$i@m}ogM5{V+vnAY?_++ue(GA0*^Ap>(=h#n-AoI z?p#5dIsbV8IJOokj3B=`TDT%KPU7(nn~&)w#A>vW=!S-5tgNNkyaZ6>!k%Ay?MpuS z4Keh<7b?9i2{qA5?Noj_xgat!L3m&wfv&Q56lOFz`2;~+HZ^%Z!3iN9OdxGMut#_y zs9yL#qY=h7Q(<`SserQxQQ^p5LZ`TA`%d!eUmb}`MXfJ}L1($&&cC;pc90nDnOz`v z#`!9pA%ae9?M*)$E zjVplibZZtN(E`MVeT7Bv?l_?!+@czyNhRNrBe}Ua<({sFgVDiXL|^hc|`OM$EfmbNv;9`Cc6p(|Y*RrmDJ zXyR{?Nc*MY>x3#fdbHtCaZKfi@-Ju=x9$CLz*6`$!y z>qF@!M5a?*+e8#rXPg=;=&HQ8Lt(J{tGd><^ZnBLr0HajrH>J6PaGTlM(HzU->r^{ z{C=Q*$AoA7`dnzk_rOiel_!jE^MpSKenc#z=KF}I%xs$|rtR_|i9!Tb0u|09INsxG z2-SNIJ6ASM-6k)o;9=UW@9V9H0Fg)hT%1GP=9f=b@|_tze5YUR!_xp{jX#DHAIz?j z{s-iOh~4#P4OFIuP{xqx{rC`mBhpPde+-~S1!*x7v;o9(nmoU#BxtX4F3o8l`(=`9 zt(8I}_abeV3(TVi^yu}QfH_^w%l4OOw&$fbPf1Bnt+!~4oI3Z?c$^x-Qp*|lej>eQ zvt<2z)EA0x#i_rF*_Q!ER2yYPG)$&7oxO-HGk=(^p0AGDADl*%O3);UjU-$o)^WjF zyim(Uzk#oVd*yo`+mwY+Z8n@Hy5wnRBykQe(fm$t1~YH{yuIn!`3Iq;?P_ErT8iu6;(&^SLvsI}S@W=fh8Tsq7coVIvi$;kT*8J>&6?+iNf z8C#XzTqV2KQhKCTP{!%A1>{*JM}eH*bjc~)$T#u?@E-i7WCLc|@+SStlgo%-#Zz*R z#~elvv{nByoMhL*;_!GopvGDXxZN@7)^sb-!Fc7 zE9o&yDVOs=`wrBk+OUww9=VFZ91geFR6RFvBJ-YGzDcWEzcRc*i{;|QYG5&(_(%h) zpu6*&+W@B-Ap!!2w?)P=x1MG8O5D?>S~MZu4S3xsEQoE5U!>UIOU%AWx_0J&RXYO$ ztJahB=%?6P8$-j!tI0lFvxNn5MUaxd1j4WjJks6Jk97nYIGFhGjz-2p()3B1$Zc~B~)0;Xv6 zQAz^aVaDmb)jEX!KE(xgkF$f2Zd;|$T)~vAhF#dyEy@>l6y_>kiP%GbtPl}1z6?TB zpheE-KvAJ*oLe6*kA^TAk^Fl;))XzBbD4kdHlu%Y&P&g!UMSa(<^JnaDQo2hR2^?6 z0>Y%mtef+dLBmhqCv1mu3J^!1pvP7NpMbyY^4&FGA)nlO=L+nz z%6!e~aCs1yyt_>5_0HhrX!I%95_Ysj(FF$|~MD)aK3}^ks*W7yZ9pG=!v`QApjCxw!Pe`cV z1wx{B9+NSojr1O^b1-O0Wkdt5>vl>zjS9P7^rFVY$>jk-m(na-_Dr@Pty}NNdm*8)shF?#~>N`G7 zd0Sr$WtnD*cSyuu3(9Ww^PIsP<17UuZCEUpujY5@8@*;GQev+y;p43Ro2-2d!R-!! zE|@+x0&wBoCkI^fKVI6#2DH^GiiGg7oY%fV+_evE_fjuEEj!Twx1AA|R~scJsDxfa zWo2L>WrteI>;0$)N9Vgos1PGF??r}rjwe1?HCZXO=hx6NmL4-3E5As?4`%@3+Tw>h9Z9!$%F8yaHdFWeuduTjhYDs(XXpC(BrrvDEe_P?~1{;w7yl zH%9eHtau#o6vZGYd88KX5Yc)7MCZ_RCM$FL_za+)l7d^!{WIJ@M-SEq%5af zFZ6LW@?Jql^kF09Fcx;`?tV4QEwv2$KrmQ42zHvKGl(5P;cQUS7F23MltzjreLF~Z zC&dzw!Wwv+41JWql*?*GJ0N_<{y&h*%VV{oE~m0Wi}vyZDy@%@|{SuJ|^e3#D{IwqYgKq4iRK4+e5+Yt9Ey(^A|2G?Yr&Yc1=jxS57B zEpBJrl=H<}wo@t({%fdbg9|;!IuY1?|G3e=^4{dq#BJm;_7L-e!?%hHq<WlSt&fjXUM>Aw9qRaVIGe>P>v2`$X2zHO}Ln ze00<8<{SP`Mt5ZUe&v6imH#k}|8Mz8$->h_i;;yz=l|{dWn=ztfr9@assDlPYW>Sn z`8V``+&XlM2DWDZ$E*Jb_oifEV{dKpkM$;OXJcSt`=4Pt|2IPXKi@O5F#kiky4V^y zTiDtD$3lir|G&;Z^?;dtBEX+NbDcMxzRo(UE;|3N!_Jq^+S=M4C%G-J+bu5w!p0$h zaPok{J$_*UcoBK*@W}ka_OTv^G^!@JSvNaBKR>(M-I>`Y zE5FoKHs(pJsLYu6;g*h&mi71L^YxU9jFj<=l6#8jwPI6e!6IBebPO$YTpjce7QXyk zrI@K_xY-vN87i42wmPp3j?qn*ueODAUw|NdmOfl7=8|vz-d{tNE`i)1bV&u}~spQ0oO>t-m z-Hc%MjY^$`M5Qgkd^WSitovqC?F4i!@)=5GGes;WNX*4YEP7-GOp##HD0yRoMCCaA z<-x}brAOd;ZGdQXuxWr5Qlz(dYhT1((C9jep?k#oZg+iDHdZ8coTUB;*;8SIwFGom zVNDfwO)g{=j7Z}Ue2xC_NPJ-t{A`T$326Tmpl^d?U-?kF zu!L#B@zTEdJkoyRL;XYr_;GsjS$uh|U$I)p~3 zaxY?bUtn}!UUXYra$8z*TUc;cR&ZBT`CKn0S}Rc3Q>V2UeP*;6ayg3ua@mV`Tn4xt zhPa%Dxa@{ET=};g`L`QIw`)bVn+3M(1-2W60c_R@t2Xef)d*@e2x`@PX*GLk)q7|( zN_6U_xo!SAP5wC`{WJcGvO$Wn8A5WI0&?jBaap8zOftNdnchRdj%(=Y&O_kUHsI1r ztnB4^_Ud%HT5LD0M_WxtQWM!lOubc6IBdGr$_Dc(8rE`ql zv?Edh26Ni9V^BLrTO!KXnL}6e;z(xxP zjFMRFB??rNxu8f{v89S-&RDaFH{Fw>Fx{)VACH;Wo>T7Ihwk0See_*`L5D389gJC5|5Ql9Aq%%BDfyQ49G?Wlr;PF%v4F9fG-~YQ*HrkUovt zS>(I<3+9WAE|Rw`lCdbrlxpUPyo(pdl(E)pcxLh><;b2X3-wRGq{H^M>Qf5Q9{TCX zgXqv%M19@w>2*LaAh9(=^(utcLFh^b>YSm+`fC=@P$$lV?&twEqZ9R;?GSaNpZBM* zv9M6s&9FS&5Ois7IdlhDZ+PK<2t(WH<{)h$e1i3&0)^AC&Db|eJ*1Unycl$tzI&AF zmA0dIr_B*Q!>o6HOz=(6Dh};9>Sr{5sx22BTH+$KDu1nY22#Z^p1Z< zg!Ua~fXqX8XwfEzL%SJXw1|9vfZ$9AF)NQhWBpp7BR>2qYrmOvY&ziU=FL1!m_&)k z?_>p|gsJAOCGAw)npv5dS2B`9GdGI_;o4V9*E6EbvX4iPqrk~PHOu1Hv0InEy$ukM19 zHrVU!-o_xdJ0yAw>zcYX5GjXt5L1Yx!e_b2XAy7`{ev%ruyzt`W>)aU7nvnqZ|p7% z3qB~+sfo9Zo4*jYAUDdrRvqI zASFqjs+O?k)Hbj()@&r*o>w9sz|GQZc9oD>)xf9;ITFrHj7>(g3{7$kIsiG_q_PAd z49e?po`Kr8bMyse+2;yw(9aQW|2gC5@^8+ELJB_)52W@!gRMhUP}W-v`ssQS`PNVg zC{*ts(xz3Jk4T6Z(U#>2zZ$Izhyc5`PoE^Fx54Khy?^vSO`_!h;%0p5;;yXiDOD0( zX(`@Vw(Q}}ATUa?S$BzE`^)XaJr`bQOn{@9bzy6OO)ua#4ZjQV;BbkD(cHApgD9>+uWfcNYv) zpM;=QeHC`7BU(EPvBRQf-jWI2kU2rRc|S9u#F#$05&m%TP!x6^x!~vokXQlb%D^$u zD>RhQlzJ41n8YC$njX=;#dCi$ErM$}Db%_TFvA)Bu;zhZ^kQmqIZ$jaRq}_BAkjaA zzUlr!$J70?O73Z_2AF1ZWC;Z^hO86RFP^s(v6rEO7;rox4Vt8onGlM94d`a8TIWh` z8`1qs_%+)bl;8>{5}Vt^=C@LB!)3Z2)j%Beu|h&MRVG;lr&U>E+>9<1C0r2EF6Cc8 z+WCvE#X`_Wq%V2>nA%!0Nyp@?dlX?SSPWIY0*k zwoSI+!Py}0F*7{}I1zb1;e4XN4soF)aDn1q559*|KD`ik-6>X|TU-m#4}h3f4KF-b zZ~u}#z~)(>Bg?c1sOxGo8C5WJ>%@~V`Oie}iIwQO!ntYaW(1XdcFY?0wr>jWcVxgF zrX!a0rhG1DuG2UY4(NC0QEN(8lvHd-yE6a=;Jyn_1kR9H1-P!FZ|~Lue&V~vKfC`@ z!M*j{70X6Ro)b^J&~SrZ?MHYVt1-OEU2qBsk_j~O^SZHpuzzLt>Z7qQc_7?JwJ@>a zU1_I2A9EgE*W7%XVi}MNcf|_cpLm!+h}mB^;GBlq@>ol2i_pNxTN#el93Rx31{pf za*9eXTZdxN*cWnak_No#>0|11?Ia0-)Xvf3QhVzTgg*ygu3V){1tr#=(h?zuA#%zm6m9w_;m40Rs>vy z-bsKih@VLiCMtxFZn9V|0-W_jNWqpxMJr(-MD>t^lNrq`v|6-KBl|N}p-6T(UW+ut zUN99=1OZ1mj*u2-RXU_YP8gPKDA!IbS+ia<`zylUPmSwuGJ$A#^RX5upZ=s@T~RW1 zg$_&tG^r6ARh;Zef~th#h2w~P@)aFe4XC0oDro=!$?Bd&w8}4{tP!)KrKr9TkCdpE ztW|7W2{Ys#1k?Nre9DpQRLc*CtxCaJR>gExfV>gsQprFaDbo=O4pVBoKkOCbFd}a~@f_p?s-Gz$9-mHkn4x7K?y5=kb>9py@Rs~&2 zxQza%Uuqrzhg;ifdHj2(U)T{?DBQk>h(OG7DwtA_M2;POp;J0Cah|mqh{7;yj<W#6RtPRopWrXj1p4s9J zwsv|bBWhauoNSNE+CiJk$bt#v100Nf=Xm&&!e96ZuJ;IL)sI`Q4!%Il7Nr2q22B}w zqa0a>ymD%$7;=f?Vw;(Rd+|Cay8C#;oMy&`m~O{Ry53ol^-)2J1jf(+r1inKEvY^V z*sdUrJ_7zcRxM<*kqzbG@wwZ*O2qamzmhs-#d0HP#J6N>O${@=W#IljqjvYf*D=ne z{o#%fpCD`Ou+|4xnTr8dN5mH}rDl2nCHFcv5~0vtKqz0oZ(_%NMv^@yu1>eu* z$2H~^ymHcLy04PF!h)#1CNCV=XABY>l!{rOiUoqatc{Os- zcPDsdsW`Ta2w3yya%J+5okcQwEUG8q&ERX%l)qY0*C%Q>D!*1rKyXg1U*P%B?4Dw17kZfLpTrJ@SGy&0*3a{EdjIzbhvQ zn4l8>80SLHdW1&6y`6hJpwF6kgHRdBnCv`@x|>%)^`3_Za1uEJryNXLGho8INYurQ z2dP_cf}G~er(i8(;*Df=DZQjA+tnEDQ=>m*SSKQekVurh3Sdkitm7w2ns}^kr5>pi zZxfY5tMUHLfupv}pT{1_SW0M&*hqM~85rKY;iI5vMNXS@Boa8e5;<>oHl9Ujs;O*v zwmyKN0N(jpjk3zf`?=w0a%R6ZXM29vI0Z>J_4Kl0xJH2e$H17DYFFVMXPG`kmMLU! z9xEW~dv&A(`%(OdH!WL3uZmhRC?)f_$CQ%2cc|RbR#*@RBB~z(nb$9K?CB(5jUQYa zr3SBy73kjO5#aCLlY%wcu6}hkFIQByU@ws>c^R#xkzXLk^bxBsgbRL+Afz&DkRQ#O z-bT$73z57Rsfnl&>>afA;x7?*7vdixurhIqLY8v;x~J2A)%|T>QW_N379x@!HVF02 z=P?jWE>!BYw03#863z(~&6>BW4&EM^YM?i*?CN*jiXKEJAO038@mM)q-~-EFJ|p2R zwvvS(5>6NnDy{1G-Ut${LDrkM$Bz2~+d4*vDTYW07FoKCC9V{}Xtg84NJ0 zcxoR`8{lAG20FvIIdRHNB=o(6uY>>>kY9A7MZ1A73KX=P?Hz0PKX zE14QngC*wrK*w{bq3;1JyoT-2yC!01mG&h9=KpK_4wxM7i!{8vDXMoc&wW2pP>;+l zw;yrjm=KeqP#MU4?TguS%nHxxFd{FBM;(k(kD9s_%iUyfeT2gSf&_9fHq9xP-6) zzQU#DZIE5WD$|q~E8<6w9*&u_(g9;f)jrQ!@V>u{g~w=YdMm;u-F+<4Nh(2>q>w>k zk;hr*>gSs{g4`9Xx9Zu#9ZLO$ru_$XJSp~4=(NNotdWt0nsxh)9dzMT^1yBA8YXEZ zWL3AWQCXuhLzD?%(o&DRT`otG12dq5R)9)j?!>4{RFCJAZdC<$N!fR_-~+cI)?tE) z>m#@K)U`79Qu(5>*1wCA6IWU482$*JjvxFrAqX2@5D5!bt7;4nr`!)@B0E4R}Dt z>52?W>SO)6JI(#p#{lv0@#$%x@nbG#O@DtqPNw7C-+#-q@ny*mzY(sV9k z=-T8a2n-#32#XsXy00#CHt0K!!b(X7rKo%fLR>_spsZ2nG0S7VUDAS83jH9Wqk7Fd6f!Iuz5S`reB3{I zoR%jgPb%^nWm?ixj9U+0YD6yzC_sO^h%RqF9I>fnRop6UQdG-^MK$v6HHweV_iT=R z>3Rw!+Z~XtM{b{lq|7F%-@lVBhjZ1Q&xTpoo<+X2En|YUREGm!f+%8?_63}d+CoY> zbLjHZ^s4TW=;g~fv#OgKq48)L56Jcd!mNZaUkDjG6fHU~+|V$6`p=|01qMk6VP$1y zLk7XyjEMdXnO&Yavi0VlHqzq-O`!jay|;>vV@uWsOKe#d+G1vlnaN_7EM{gZF_SIX zk}PIsX2udTGcz+YOH=1`|F`cy-SaRH0}nTAtz1!iXJ&krmGJF|9TC+8fv=>Bsp?Ug zGRls*f_xq$T2|B*L?%<}y%sp-VkwLvo`RL$ORfpi$8H}hX_Q0i&{T{XdGV0YqkgMa z?T;O(DM1zf2_CloCf)D>WgSj_EWAw=wiIilC6VPZ`=eA5GaEYx^6X+FroO5_s{xY^ zI>qQjcII@xC9%>4_Dn&v2`DDPtS`U4QKVS|y+U|vHz78-rkb04buFGh&=*i3B6P<= zM^plnRaa$ihpsLfOVUE<|4moX$0X?oHClxK>NASrH>k7T>lj!$1YTN1hufo`CcD}A z2c=I!ZK<+Xqztm95-;#gbcM@hsEnFFi4@(DR{67MYaXCES+_caHuGCTvPSb#bM}{| zo(q}oH(o|1!5oBJf4nwlw5$gBECiq}GXpv$10 zH8`mk4r1Qk?0_P01G#B$?eS}kirQCxfK>>;5W_0Ks+EnYKk20T-7wLTU2PDhD40Jm zT}ZKf7$>QT+0pq&xoyuIhtFGRps5w+AKI?b^sD_8ja1U?S_l6$;;Hd>)B~}>sHyVL z2E>tnWJH_15t(0_@CEWY@77n!IjD@gge!S54ZprIs$1dXxAzlInZW}2GBTHNcT7HQ z^5ep@ksG%W+rD_bKX9m-mv;>Uonb-ge(43$9m036yp5<#hc1m&Bbcvz*{9A#A4^+e zp0OOT;vzXF?V0WQNU(z_cM)G8US7~8Af&PBd2Qg}mNgudDL<}3@#ED(JWFpeoJiRP z!X?=HPey8KTOT&DLeIJQ%C4U_G5I8c$|9(YH&&!|3kY-u$Lc@+vFrAfBi0_;Q7%IG z{FRE+2AO(j!X2-5!)y;hMzkOYlp>V#03QS(zQ1mJ;N*3&RfSI$oX3^1oxw#kP7|xh zL&g2|fsua194fH9iiR7qIl~`m87>#kIXPZ4K|lD2fz@h93*o%DOG&p6k~#5Ck88qtKI}Q|*`&Yx0f5Icq`J!h;7BhiYX-y;am0r?c1{3moL?!ehie5@t;oo-Q z3A952qvk4-mXB=)X}jAJ&|yT4aZkWU8|LEWbV~E>=#$W4=^2PQ(~z>^oW?J zUr9{@WY*)-)72VK)Np=Vi#T$i{jOX08!t!WH(VP8ZXKq`aDQgA_~HdLN;WLLnD#y> zrRG>(##>*@g3o9mw1$P#jqxYv^NLcY&xr_ApBl_fvvWUD;N}pAnJXgP|2b>5RAP0j z5{pqG7f*9X^6W57IJ!jTknKiuR;5Mx-QTt$(+!Eww6pa#*dL=0&vp&StBeWKEZ^2T z4U?HPs!wR)hYz?+ z*ZNO_D>8ffcEl<2Gu61AXu7&tUzH^OD6i77g@Sl+OKnJ@Bp|$^EYtErCBpj_2HnYK%&#$76&SmTINb=%}iwP%!Y4+H3XioUg3UzeqffwYGU#Xc`D>lxd%IT1YAyu(#)Z z*4`azcdXPcL3lV*rPQ&|TE#;QZ9B;D*bQATlk-*M8-4K}m*<GUwbNkuWzGt2Sz# zIzd0)a2hzXbnb42EnxkWUj66MvmY6EvSYzpJmL&`GpM6uA?VwqO#E_z+-lpYBmuIzhGI3z|Z=U=AH$B5o@9*#D>+QZ??{Bxm z3F8_3K49r}nZs7M-Q)GDcX)q1UBLV5<}#-rnUELcd)~RqbAPtd=6Sq#iaT$s)9kT* z6laydpwsHMdG-4`VJxlHZttc^iE=!h*Z%3DN=ZB-mDAzjACrDm($YS~?keymEX*X(teoF}bH zr%~&0*@cd<&!ELy*H-IYBtxU!GbxG%5U3O+ccd&lEm$P7c0p^DVxYt<@@xj zt;m~C$)lO_-bwc8C~;zOZGCcXer{@cX=Qb4W_D&`QGI>#`@F8+vZmImqSCCk&Z4r) zq`HQxp01{vs-l*rwvwW} zgyhte=-9N>#JJeVB&>`mloSHO7!1rb9Najx&q;XrQD4y0v9RM&P;m$e&@eIZaB;qT zM#I9#!$SXpf_*@Ux`BCij=OjMd2b*8=oo!#8+&J$;NXy8V{`BP>}daN>v-?v_-JQ) z>)`a{V0UNZ(ENPUeE&@U*wl90)NI?*>eSF^*V^XL*k;q*WK-YbOy9uN7HDY(G_*1` zG_tg|F*G(YG&iv}w=mW>D6|D;nwe%=6<1gl7Z_!i*p!x- z620YsW>~$I&1^_AW!R;dEu=^y6y4>ACIq?V=Vb`llKsL<;|M6D)w_>WyY#jeF+m0L&3xnWa_Z`r{$pn1AxmXyPD2jt?**Q8nm>Ad~ zew6r=8t<;GICx$pp}k3^8D0A}|xdO99rxQ*yO=}OHN_?M7$UARb# zRuY+^4#tc3KXmhlh=6a{oN!-b>l{*f?8}Qe4rK1IQ{D68C;}8dA74V-*Rxhxrnl~U z?Qt#jd<;|lSwm%(CX~Z?X_2Znj~&NJTEyu(TNHQ8sn@%hH&m#w{j&0Y!*Yt>W%cC73YBz|R84kf2LE{TQp zXB4KWh&@D4%+<_^vA~5$Y`;$B%wIBei}DxE>g*KqC7k5>{Zx_C{yl$fY&vG7DP8ad z!PZ7#UybkM4>yd8(Wi#`DLO!C1$4*No>t26Gl2234ZrPS%=;nVor(j?$)K(6_V$+F zGH<0{d4l691F8MndCPde(@M&fzWoq$Qq|Cpq$7%o@?!R*D$O*R@}6G;_*;7T57+K) zW>mwm9(foM1KkBOWh$GebJR1i&UF|{R_{umeN7hRSq0`B8;vR;Di+`>>8}7+4G4hU zDS%=v;`-0E8@jk?IOYqs_06@Hm2vo|d!Q5dNNM97lEcjHFR1ke25&RT{pdpA9NwWjv~5~-r3{Auke>w)Uo z?vd_k?$JQ|V=Q__A_|_h%^dx6Ms!JNN&G3)DT!6qLoPoGf8cEg+JRU@`iuxU`aV*C zT#sU%v^~4>Yk4fA6t}3LMedD4-`D=Hm{ilTYRc>?-@-EYzS9(*&JZ8@9AO{X9DT+K z+Y>>^GntyH-K`~FIycXl&NpW`zyne02r*>i9v&YMPDM<&XA2lGVgWY>o2~B>B@IB#Mv2l%vLk|f#CxK9q!_<<`=Tq2OI7DS z9DhBAwTd4#30XL_Mr=-K4fGE8&Jf;ewBO?TC`Y*uHAXe2L*M1RC)Mb1_VEns{*!C6 z_zt|AqApBD;Jwxwv~6Fi5rKKS*_uAT;oF}_GQ!Q~%e)tAAHsK{ckcJR-)W+uzo!ht zdVNBMF~(_aXmjY|;#cG0Xe%_@YP(9e%BaiO)x)$_tHjFq%2>*d%NjH?s(g&QYv3EA zmYtUIYMU$&mOYkC7ulD6^gTOW=Em0OC*{lS+QCXU#;*! z;p-uYBhcb&yZOcE&pXT~&dcD@soSY*Spm*Q)&jqlwWfKlaE>bkViZ%ST%k$5+I-G^ za(W1T=Dl;iq`O(&@SMA^(~H!LTTfi4LluvuJwn0%?7e?}$Z$`1*^blkLE?is0w>yq zO{Q8Vvz^vPhJT}=g?|Y`SqvB;?!5w8sz+6b?QJQ!ufRI+9&8Xk?ehm)0zjkqeBJ54Sg_a zztYtZ(ePdLR{3R_b0p}l{HXs(S|`F+`c_X;Wa!yjGjubAOygTRRKcA|Y$e4iUWaFs zcjr{+?JRsto|aH9&7tvGwWi2aEF*he17ViFh|h3iQ$Ptb^sFzguLv{%iURH5y59!k zEFdlgAcUodS>c?sk+Z($W81`YWLVowUhYvR>?Jw?WVb^QIxMNo6O~%gQ}>#X76SXEWy=;*&wXyOWjw?4&Ji+ zE6ghQ19jccF|9EF`J zGw?G)GM+LmG8eMMv+A=cvg31Lb6j%va#eHt^EmU0@^SJ*@?Q&p1sjEmg*`rP`$vWg=yb<#gp)6&MvEm4Hg;%A+d%s<~?E>aH5jn(|um+SIzwb;0!z z_3rf-4dx9SjT()UO;Sx=ARbU%GktSG3vo+ID|%~0+sC$FZSUeckAo4snc-Qv*~vMTxrKS{`HcnRg@Z-w#mgm^rRU`zD=;g; zt0=4SYj|tf>s0F%8|)jcnz&IzY4v^zAm_7z3ICBe!F&ObN757{DA#X z_{jO#|D^V`|Lpn#_mcQZ^$L2Eep`9Bd4C6IcD(;}{rn%v=D%t<|6PYi%ogY*V&Z7z zU}5KE3m(sbzX}Q2x@pldGcppxa6}XBG$QD2| z1`!KWQxgXhppl8A77H_jqn&|~34@87k+p#h5f=x80fQlf5rZ*<34|&l9=fG$>kApe| zq7L+M8wiF^3acJLZPt6MJhJ0YMGsH^IOfSDKUb`-UyphZLoQT5NsS zA^vgbrQRi5yh%5d?<$#ZJv?F7q!=&$?rfO2*I}WJ}D^0zM6jLdruU zxk9Xne@pJL_tXXS(`kVOcsZReHJi0lbLx9k`;UZImo{!WPKUY^4apn8v_oiNvB!iM3B?5+=!&pfhB-Bm?xXBL`gu+GX-^V)<3J1Q zD(Q(y{1O@&Ku$)Lt=d(iqoI4Z@UE#ViL-#QnYPKOWm~dsNXX!jtEbXokSB0ulgs7` zJR{F{Vy)apl0lF>W#ES>1C2TrB^Crf#`REVgax_wF776U%G)7~CHk9Pq^#ig$t@-p zVSnc0C;w7fTehJW0^GP>z{zwDbtuDUEPQ>?pGgnx2`lJLLH(N6yvYc9}YCZ;xJtTx1ont7K>s4r5>J;=;eOLE>aV(CQyH zDKiTGMymFaOu-UZ;f8uRk;g{oZ9?6P*;Dn?*f{R2P%TFD~0sLjQ#zh3Iv8b z0!8F|T?xIYLv3SVT)=!~Vt363>1lc9y!f*haYCG3Un_RFl;dI~R@8$vZ(5g-t2OQfufrPF+Tq^8Z}^a$gjrTSjrtg!bh2~#G6t-cn{$Os zmjxYZG?dAd%j^QpLPwL*id?AiRIT>>hgQbX)e=;zcYCQL{Pnt~&$BI~_k@l>xIqv0 z{3C(g(3}v>oA3|hGdY?I>O=mPz)ib`xw5V?P`Sgm?I$2bOD^ZKECdx)*79>m5OhtJ77*m3(iyvdp61 zlAc{^g}OS=;O-}wLIz6BkAJ@SaOZDgoieO}HO42`slvH%Qv=CA#fL^P&>X8xqgHRC zz2;7I5+i@lWtvdVvHDK)frd~!zXDI@?R33Z zEc4u{zXehnUYk2HY-3ANMq>KVn6^eHh!|aodeCE=VCodno_6%fUzcMt7l3pW%TXyG z6WHWU-QM0-r_?3Q6EPLIb4{6ACpVuo)M(su-DlcI`Nqp+lx^C#8Z+|6h@DHU5w%{x z>yiNJiHSH8$)p>Np^pWdH%<3T9>urPOvs%-T*?Gcx^d#R6a12R;8fv+Dq)^*sU50{ zOvJqu1)pyYuLiacFcILkUPpP;mlq^}k^0bYsD@uLTW~*2E3|Kn!?h7Cw~l3O2YNM} zCE8!73Dzh4BFtW5iR;7V&J1jaqdV%+^6^L7Jz+ay;ziV&RG99E4=_cmCl4G6u2C%f z5xCRj75`l(@y@;Ovy(ulgY+f&PYh}w`Xd7&`0#-R$ zJ4!l!SfKBk4LyUv5oJ1zYiIxI^}z%tDE}*X>Hmt#h*?;huz(p1ctgd1aT{hPFtcG~ zA!6g;BI4lS_;1WcOjJ-xT!cnhSyYTe{x2){-^7OfpPYt~;lJ}3#((h`R`&nmF<|k( zcnlL0*Z;<2!26pUI4GGoef=jpF=em@^AR@&3oth^26GQPFiUXENeCz@=^0rV=_&d7g}_Ej zk^g;x`11KP1~vvRCMGTp2KYmagO86x%tTB~Moi4aPe%z}==eE#SXfwiI7LMMZFdoo z_YMH+2S7OB9twg40Er3#g$nWB10ev$2Lti<0*3n60tJA8goc5I`vCtD0pkDM2?2nF z`d^(W00?LZC`f2X7&zDuFi@XZz@4a2(8Op=FoFsOpGoY0GQ)m}%Bm6~B|}&2G-P3Q z@S7BdBac?P*t|4yWW&g=_NNeuQ8pIs%5h@HoKo2`xvKfqJ?*@GEk+re`@1%-XJ+Rn zuda7?_g2-^B_KY(zHe^tjze6{%r!8fprL<${~i?p2?<6E{Wm5!SQxgyArLd6L4y(4 zlYE9@{u%Yt=O@CoW~ou~i-z!{n(ODJ?KND&=#r%lceVp7$|-j>W_oB~a$f|v>cZ=WX( zxm&qy?I4YpOH!`qS1zr=4178SPNdT$Lj&mBncKc{?8$(XP#@PB0SXsiOzOTrj{J{* zZ0XyOfx7v5mGKC!<-^R_AF9R3*x%tSt4uwY+)}nna6@oDga2rAT=`@!&uy8oJc1|& zLiEfnFceb65Lh07j)t~0?)&p;pD+V0I_(dlYN*O{1tm5Atlt0ea??_$(-m6xk=>5>kMxE3+S_F1BM?wP9!Br zoYxP6L>>wxytYY-C?H}%Pp&GR=LSV+0U%P8h5|CZ0W1xA!bj9TcC7b4(n&>{mz z)(i7ho#RPFXfCB(@>Eo-whFmh!|5=QhD{{S4_~*ODL9+weDjF}XYeTp4f2MhT(D)7XO_(_2dHSHdD14apt5B zaR(_<3V%V>DJgfypq$nDR&=um;J%Y;D+*`u&(n`-ldHG%aI7n9DiCnzxx9z1y1aO|?hoErQwyhV8kl>6mZSC(;g+9no zj=gcmSNgQDE)&Sww?zR>$XLo#w3$#f+roJ^!q9qAuDjKmTYGuiv<gTmhd=#6iQ!{we|`^gwP{XD&teGS)GpLw>oqEl@~WgF=-7UuhJ%qaLs zF5dwbsftPwt(B?RC#vlyA@6`QmMA#!K~fnr??bBI`HsS96PvgG_P6cka|tKU@z;FU zwdhox{!aESPrB(zV8p@M^R!Rzz&oJv?0HJ*cj<-FaO3Yr4~lOBlM!pFPT&jkj7oqt zc5}D|Ey0a$eW8KyS;FULKU@35tJ zb2x>;B}d&fv(i;8G(pK%zft-0{iUejTvG1q&EpdPE&>8Vo*$gw>T6icJHX!e%X*cA zz!?=7ho9s*Je(K!xPR$q*h;x0e+S&7ydF|@t$Ah9^$(wG7Gm3* ziflBcrpF@k$0D-4S+9yrmET%42VY|MZ@%;K$b0WvmX3ukWbOB!y_Cq3Q?XJ?lG8>z zMJol)RHu&RF5zd~ee_1$=;j+=6W@=3wvRITqNL+a2yABSFQWS=byqbm>M`+GQ=~0=gF>%ufbpg%USij0LPuPG6o}RWqrSvdV`Yw zz6$28b#XOKU0*X(fIyL5>6vwkH{;QW)$*m74}~gQeX@PYSou{y&y1th&8ilR7bmwV zIUGWcRz2t%3r5fMrWDb2|EX^R+MPT*#&Fk}a?7k~8A%1Bsnj|{_GhV8CO4&|D&v@( znMA*D`B6oEebYQEE6AN26{nDekUkmo)5LI?ncnfxz|P!}ps!l;v{M>3^~poliI;j#+j|kdc?&$P9fD%E41HZq>?H07Vkq@jd~g$-`a=rXCJy7 z%$;V6;WZq4;%3c$)Yelv+@NybR$=tJb@!2*!_4iv-Pu_yT1@WFg2Fu!5r^+~4%hs% zZBGZ)%jr1Q*lf{5djKOiw>|!70mC$LXP?+9&66QP*bfZAU$m(uPZ`VA03R);?vw*O z4-FfW-^1a`ChYLr7x*gD?_8$=pY2mW2=5=68`WSkoz}x?_%36 z6#EjA^IAWF^y&5e(@A`#j`C9e3>BXeJr&tEk8cJsEG+nwt5M-|?ERX3Rqp`N-BCo> zj63LEzF~6o0P@S7TZ+PzJl}4HZa>>oz=D+ za4%l5y6cqz4y!M_k&ijy=Ope|3m4DY_~X)GoVaNBM<4rZcJ;CAbw`+p<4!-z8eYfU zecQaVRaa$l^?$g{6X-@O>}F-iEAh;xSBOTI6K($eoMp zixFE~eF9_sG3;IdsJ_|2+>WPpZE3(xTh9kqQ9uLq+=Y|7Y>V_8ah@KWN+nL z5a%LVZh+2VR;$+NP3eGCF!(Vvm3XSKw>-sR(F*tvaig`OFSRaB(WyktjXB+UD4O)~=5pTJ zYirhtB(QRpWee~S(rLD5VaaZeicpmmD-o&fB#;A^**%~O6=R2F2s6_+&_8RLWk0+0 z3jVMS%W9Vr!lAPM2(BFLj4?OS;f;whNwCk>laVZ6T>q+{-&YH_7-o78QBvRZLyBEM z))_l~_cebRab2##X0c#$L%yLJabc7Xn2>U2li$D>7nYuo-!-v^&*2&R1@!u5Zv0rj zf_cQ_yaIQExct_#`gFI0TkG(0+!_21J}D`xK&zbeCMe(kbCXI-yRu0l7!KL&E9;!V zy~Iq{<9ORJwfrOw0Wr3MY=hcIBWAWV{HJE>#zdIV^tL!PmOLu0Oib-OcTSt%`py0e#L6`07KH7loz@0LSe z*Z$$=UVR>R3IWj_F~ZKRA}y!Cmz_|)(^hR{IYRwL6sZ`8FPykfYolN~fakj0Y{`1G z83p1tcLH$Z8FiDH)(!p*$LVgLyyy`5=FJHZX z1xf?f3;ir{(nYN-BIKFB7~#0Bs^Mn*iBVXKHJJZgOhXDxCL$k(-&L@rn^`n%$9j(Ns#wh(kHO{&{TTv;&?`d(G!MPk!f1P{+ zw*v1m+o=U)b&xnWSXDRICop{<-~7##m*?T@&ANosv+Sr<2~6*(mkm>SbFI>$YYJ1E zK~ZRLRa=d$K_ygAa%$hzUbwt3wAi`|QD1fat@z4F{N8-@yhjDDi{bot@*k&Br9Fw8 zJS=vg0BMS+

r3$pS~$Wk>u|CkOUMPoqN`PA(nlbA`lG8$-U0*#n^MUGkz}1xvPf zR-Kvz#yO%<02h>32k|rMUc^LEg@Ki~6xO}Z2)k%K1ab4NClq*`khF4mhmRhf1EjkV z_^|sLK%6(e#HV@pW?+xT+f`w!)m6dPn3Zb~uW`&W=~53oSq1r*mL+U&YvUYKn?%A+ z-Lds>bO3S4oJy3E&nk4V+|H^>a~Oz(soWQEp^xtIg`F;TwGT)7ZRnjjIEcsHo#$Eo z2rVFGSR+}(P--r12kXj;Vk_CIZ9(yMSw&~8Hosy1~qBT~3JYKx8s*ogWz;;zBIU6Zqtap!Grk02Ua6)! zrOB^m`^2c_y)aw#q4LmV|D+giq(WRMKJGR88UuZ+f|tYJl+KvUcPzNrv1&RR(2+PU zJBl5;eI2NEE9rPa&{X&~y(K4$Sn{hgrPWoj6W2!F)}(XEJEzyvA~jEHvIT|J!_<`% zPo<7`O*?H{n{{RP4$c9;EgqfK!UAKyWttc-N(1SvIHH_9QZ2(=wGU-|mZf(i0!G;h zJ)Q2J-5{ItnZV9^h824QwM)o}fBz$B~1{>IJHISX5>#MYbB`xTgjR}#4g=c!~ ztT<*irr6mv^&1XO33Q?;Rk+-BgyE>?H(s-gFH`cRLy}jo-w@jgb}ThwS@tB|avz7^al=x8nV^WEY=HzxA@{v{Sutih#&9j|q)+P|pe zPfL(c_}beXh)5Q6-lZp$e}?ZiyEQkWh=@p-L&x*;^H$NVn}AvIb_3FUqY1Z5EhB6E z@H>EG^@F!kvBLDlu>EE|M&NgxuA>|$hsjzRxWb#~%5~4(g^g23J*~VRar8#%ww=PW z0z#(5*^PBMnB48N%-V=4++DBRj1o0 zu%|xR_M5Qrp9C=V7;`VzYrX>|%_P_3F}1a!RD zVT`=xkAM|~1PR`0Be$#-Gwuy~3gtT<_JuoTE>)qp>JxKE`XA7oc}b*FG{c!ls%N(C_xcg)9f)X(P2}8wG>pVP~c}H=aT?gwBk{@-X!%@Sv1E7@Zil9hHZVC zF7Ffr4tR%3l)fHJK|uGgiUBe=vKYMStg3?Z@_dWfcOyiXYvD~b^rqS191#yrs$t8= z_uU99DhmrqbS$o4Vm`?SogxF3jw|s`e$1T;q;>J5yPpnOl(!UHrD;Up@nF~8TWM(| zMdU1UHLzsV`oIs40Y19}E>FK+x=}TxhBXB1E`sK^w(Y#q$tl{>uiS3)mQi$eVL+9@v&vw6(gb){1c9x^Q z2GmA*rqHgwl{<{n-~sZSG_%?wpXPUfgTO%^cKbc$Td-;_=ElytN`gx0iQRe4ye`vn zQ)z#LyKC)f5OtrKY*m7P=)8JA2h%wPYYlle?s80*`RF^KiO^5W(f3SzBLYG@dW{ioj%+1ba}?juQ9FICw8u|4v+Yz^Z( zU@7Jv`TQG|zlTYD^A{bFt*1MUJ2QY*gmm%J9BTj6#if>tf*3hHkd4j=x_P=3_RPsg zv6_!}{5jfZ^Y!qRcKsg$npE_SsoufPbVBHnmQB3|h7IvaOH9L(JpOFHQ+k5d($gii z(So3rKY%FwgEAlO_;ci6`+K+-yv<}Z`g*7;Ctt@s14D4bj0(#el#hP`$EV_(U0ER6 zM7ny|Zt-4HXqJw3Csp#vXHTqucyYj}O^tw09OW6|T0%||_%D!O5oo1R;S}^Xc=@7diKrGv>ES~k+^B(>vvCN*LT&#U-D+4OyciP^Y%CFvEJY4X{k(k|I zil{tG3?N!pj6}Zm36;$h*59cP$<3dqP2;zS(6F+NKUTwhM# zWVBmQ!Z{e|JQ$3Ec#x!=I2fSUKiebzpKJf@(JFo4|CnVhNQq5j7W z^*?T?|8Yb8|Jn^z5*HCn?}@7nn>g9u&v^Hr{EQ#I;q#(-vipLKaa_^s=j<@L_-Ca>Co><4P zeS>|a!f(b$8Gydc3{}b#32x-{7n%g|98bu-4AKO?NfF7q|4>)h)Z0tfU#UqDMtIQv zWvkTw4enBUIpb{jL*0&yU9}JRs7mxPZkQPI zQ_wyLWnkkvy(3~{TNYw2+Xfmwh0wv1+9doh)!%aad$|dFxzPzKS8YF4(XA^o6_vV- zeFe;JgK@yFvT=c@{;MpyRKii=LAOPIayUu~5X6!-Q{yp$!&uDJ$!%f3XMik;HarY_?mb%TwkvsIu;^|E!}VZ=z)dYNfr`D97`VNMGtRnxCC3T9G$!0S2TM+JML zp1yP{6tP?sjCqNht4o{1dQMe5;U)2D`y?W$3&CSG4sUg9;*(x|b2sh;5fmSkbY`C# z|3HOzfOwW{S=4lrxMT(SDcykM5AMUNX&kRF+-h=M^$7wp9`Aru*N7{XmK55Cqg%PE z<+LLR%Ff&$1(R0gYiUV36*tHB5aX|tct_bRiM`BnZJS}|nsY%1d(Ig8)qA0onDY%Q zG8s;fUHP@31X)uW8c+zIChY`|v8 zx-+lg)+O2nO2MJyvhf;%6Iz!_t|mlt$IyWd^J*Q@xZAB2?oPHwPS&wby50V66(ri~ z%_78XV|bp)7ZikWO>v@#Ao;p=kk1wc3#Klq!hjx9;&ZV-D5TQE1;Jmq zHw$76CJIyR5Um4JkNDg}OkW4-R~TM8 zJ~c?rDgn|V1YlsApLDnhf?Z^*wHOxJmRMg zAy_nWBpe$bHCSDJnTdXxiT$dhI{XBqp;G+H73PEKe(Sf19IG6Lj9I?g%rW;qotFTb1Bk@=~&HxNSvg#fPHg;8Cd z!hJgFmnh)=h;h1^C+WzCSgt4*HBZ`Kk4(~C$O)+bS<9e^7HvI zFDt2?%yQ{KQ>y-yIy53Y5RkO3Ty3}&$*avFRN}#&jXz>ZbA(S&YO1-k4xStn2)9~b ziykw>D2HBFxEyrWk zRLa?L9`)GSE?yldZ9aG?-&=kzw(;7#xe*f^RhvT5~0*o?Fyvm&5IdWw63T6EW)vL#h9|A9|#>QjU*;Vc3d*~2!8keI57j7!;Qo+Mdx zoNTBP#&Hk#HBI?UKM>QUzz#>u93rRKMfB7|{ z(?7276TTWfs+69<8L~&~9eIqbXNKj-pQ`|$Y#-w0+c8p#^5)bv+A_Y`R}@1`c^=fk z>V9%-MzQfeAg~gESgqX}Sko7d zuEyu!aNn0e|4V_$Y;vkk%oGo~w(@K)W)mP!Ye$E*y{0mHi@Yw$=`+y`*r6uwu1x+`IQOtW7a)I>_V1!EkWVz^@i$i$W5B)U zLgL{V#B3GsZoj4w-vE&pjO;cB;-;O1W1eYktXNkM2Dy*Sd;>~iz0RGkDUAA*ISZ)d z6ewQX-*Sttd^rTphOZ=@LE-WmIdg7S zVqeMNge4bF;oQ$^xP2uWm=}EI*3lC;S4qdm_hKx0iv5LCCZRe*xmXtp=*_Fnx%xgkFDZqMB zsD!TXbIafPo(Ijm1GXYa-)J7#Sa%1zJ`gFJkmkQo#F>m8wDRiNwT_#A;m23{bw0_V z)q!=F8qk{8z}stsS|h5L?>kic4(KD?%9%B~i#gc@y?&UB68Bj2G7t(KX zk6>J@Sh%Dsmv_b&na1Q9hGBXyS!7u*L+&%kPr;dn0s-=cH?e^su!rKtfyxiG56>kA z%rM~%a9U(I>B-~6bZx!oh0SWduF8tlEc47vF@qKYL%+sRqV^}mV0TTD*FSwyPr~Lp zdbgJp_y&+A^K=5O(c#!kU4Wj$PTq#PYyJpHbC`(s93>)FS4tqBAuRJu=G zK68J8W~Kgd{el)tAHXZ13G@yyvV+7lHR-c%IEQs+UX8|!T^gyN!G1LjdB^KH~@+=G{C=3U3Lc=Q-^D3Fo#U;B*YoAZYD9ws>D1Il^c@aZ7417mfv zTK?VL%-L+Ij#e0!tZKxZu~b!EWA+Bs?xoGm5y^o>zVydZo>m>G}U=lFg-jNYsN zwiMM=yHrE8Q{AG|Dj>j1@=DiQ3hwG{w22`ww^{i{)c;{2_m1jQ_yPG`#A|^%B+1C9 zVh2&to{A^XmZ(e#1d;x*A7TqhIjpN?)p}hX{+-_k|MExUeJ_k|UB*|!%{Q!kq52o- zANIwc`@n>$QuDdX^_A@5!|43O>V}?l-F`+1;{B1R)S|?8%rldn@0jk22V@|uYY=d+zn=Z{{lgI z^Aqf4&|07t^y^a+)M*LSBrR&krO2$aE=KPhsTH20)Qrhw=~teqnz#!`;ItU;k_c>Z zC;kO8X!#@}Kt#-%2O_oEA7i`5G;bx-;^unzc1D&z&wJR^h}ErUa8_)}m8ql;Lnxf` zRBs%QlC6p9p?pnQ%HBVNU7YFYd16U#>noV-FMh7Oiamvx_jnkZpEoNWY!rfkf zi3#~Fv^1Ogr!E5+f5uR@G||}LGi_wI3|msQcz9r!?IpI?0+sB)$AAlf(2K27jw znwr?_GP@;iTyoU}4nDHa;4Dmi$~Cn6CCw9Lxz$DYUnesil7n_!9zhSFu#q!D>j?vDs7V42kg za}Tn~SY^Si1;^F{u(PQy*CAqDk^14h(nrj3FF8)pc1aREa@RGEpJaH-wDZRtT-Kxz z7w@5o54l9?f+j7w^#r*|QA~ap(fJz_JAe5VXW$*#GtBZ)re+o|We5uNlFxe7HuN1n zMQ9owzKG?K0lr5b_LMUulAqk!RR!nKolu}y2{KRsK*(rPaQfEB#Fw7GBa>`ya&2m! z{YFM*hf!#ZubhLBM2^m$(chR;IN~0rzlH!0q#nRu4KdGxF~v_Yh0r(Hc@oVnr@Y@` z!RV0j@1z$_V!q+;Tg#)izMsLR%Qn}NOgu8xcGkZ3jvu8C8eE~zuHObWo%OPF7G`h` zeLEdvNXttj5N*9EgSni|ozwe}aV!0*3|9yidiA}aC9f3bRXBc!;_9VZU_t{i%~Ukx zVlO35$q;o#doAcG80_N7Pm;5;p>>EH3PUYRWywh3{rc($q}=@|7jUu-f7sGfkb1Sq0iY zTq3`YoXUj#xy);KS$^~T-wPw^h4Pw7o)XMk(>!sc(BHOFVmKcAOPx^Pn-C01;LdP~ zGo*@J!zN~{r09n|_2@!^vHjlKp4#9REtdj>afZd^Au1*+k1J4(cjq<5&_Kq*hcc#t zbE5-vVTvkrt0z4y$`a|lBBAsIF9MSoMSOMB5CmT?v36=hBobEx7oh!1> zc<`4B7H4A1Tf5EmgiSe@g5f%K%N-6vUGwXs#IS+BvyFBPRH-%PmR z&XDI)^iBdBye44;JD|zJB+A*hKrh0ytt5Z^RebmS(zYspeM*(W(iZ+LZq)4SIWb=m zBed|;J)UE;92V{4hiQJY#{TFvlBBVNe!Tfo3hjan0@R!wOZgE3e1ZM;RP86*^~&@`@+&EcxaQC*l0Eg9b?d zy5_cR009y~952cQ|0&gV{+JM6T&Z~v>@&fgt-t?;hvqlLy6E&6DZM6AW$J*}M`AWF zBCIlZZD++6%xhpqZ?bdna!*nzUNvKz9f*->5djQ_C`W+-I(|ZXig7o>`iB_ZtBZH( zlM~i+wLhLipQaFVl9Ubn&)OU`ZV=KqS6%NLZNSbhlyQb=9UnMZ6+ls8!69EUJdG3@ zI{=0;UeabZEB(ONsTRw4)o<$TgT?ZZhw1}oX8!dC}R77Vr%kG8&p;8W-HQb1p-;5$++Du1it z-@eJAx75ZkAW}m#v#QsJ&0O+c1 z4IcpJA2R>g_~aX&MSf`@S|PpW&z)7npJA|L;rN2N0ijjr$+Ea&7DZXu<1eMeJw!O) z8B7@oIOMgb-~nAprd7|}Y8V8({2&bYGW$BXB1q9q!syOu-$Wst6;+yUTA2CF=S_JF zic{aV5WD8~CcgqqmHu{&&^2|i91m_P3B^B3z098+u5Yi$GKykl36>HFAc=AkXu{zV(`<+H*-yz%$WJ*6rJUqhMG)(i9itCr`6^3mdw}|bp`hqh`oR7Ok3G|ky7>&kW08%{LA4ZNOd<+G3BJk<&=#*&o!Wf1A)F`9&rEH#CB`)Oms zy8SI^iw9rvn}w3hHbUx!kd;P*z~~f=RCeD_$bbX+oEQfmR{ z(?$T>-T@>+sOtyH{^Z~llyI%MmB>*aO{_4Db^Pa6fk!Nur#Ms^9vihqtvY1LGaB^| zuQV19=lGo;W*hdXvzdlGr068bY^aa6i4Jm@yNfDz9-ms%jYjCet$*Y zONnM*z2;c^0xp>dqM1|y$6tj@95nZDA9Et(lC%;s7LyDkqhBI_^w=JnJwZ+w$>%*C z8b>zj?0~GALGu`Zg>qi&|FN-jIwhe;t*(DIufT2`TfsXIr`cVWWucy7+2mqdq1D&# z%!!riVD3Sjeu*C`XUf30Jui3Qufw01)ebNDI)}{*{j#b%#!HQIUcUs3(yOmpBk15q zJV^bn{0)8B{4x<(^;Z_ONr`OW47=OPU&bFfHtJ6oTwzu>p)DMhJQbfKpKa=QXvjTTKuubt9??qd6AhS>iuYRit^aLfF8K&aO|~^;+pKy&|s~d_eh0rYV{RgUUOt9w`Jpi?8h zE5vI!5PPQa&I-MdR$bVqjXxIqeKnrVr(OO>wn4MY1-$cL!hWO5phDJF~`h4YTs5rgJm_Fwy4t#+l6!`_)MItVW}U;b~iY*vu(q ziRkH9M3%$G1j3IMq24cfULUaUEi3>P`}22}e?#5i@d95GUt|@etqLv@k7y5}+)m*k zTgt2n!yBKP8)>{6_5>;*DtkRoe1x`lT+M)ZJw*v#EEMWuApwzv}jEiTd|<5JiYa}oz(G^t*N)FI#pmXux<9n=X<(CQsN}fN^;8ogd-ixXe^gV7BApDHHQK5z zvaV>>3oX{juVoTcRWeTX5b{q_QY>__t)fJ;sf<=qpS*tNTm)%+(wU{}Xdd{iq`G<6 zrMyfGTL*eYYuZ;du*0?}pN(1la1h_pBJ6M!>!l%OQ^`=HK?mOb8X{<93-RyI%f^bC zeP=O>R>$wL4wa(xR!O{sH(2kUf~=nc;|x{Bg8QmVip?wT4I zhc_6b+nPOt+i2zJ`Xa)<)>w5^wy-M-@hK+^Fc8h?guD&xXQ^1V0+QzXo8)-w8O{oa*K+-=HNF)`i9ofbnl zNnDfLd5IvG&rsJ@)EGO0ib2oGqURN*v`J!Eet%3_y0EmUV`>ln1tI_|4Jg^kfb;tif6&j$PHgcVZmtyzgRziP|*QP*=6CqyW_J~ z%|;qiyqzjywb&6N7B|a0h}TO278S)I>s3j%8C#1b(g+*4|s$X96297L+BP2qh3zrKr z;!MC^mWV6c?H%}69_ZQ#dQB?eg}j zR*TZDeUrr}XO77gbB8VwMTk)TZgVw;?gZK8kQpI@Q$Sr#!SO3vbmF+ZyJi`UX}r*Y zf#YyA_gVdosk66sj4PxV&m8>Kk}*$JMYKP?k@k))ez{p9~=wyXZ~+5Q=?6 zW22*a)#5|>InCNmFRW}J@^{LlPjqHXNK?NpH13$AFMU1?dG?BCrJRG9Q7zMqsIIj$Dk*cViER3QMboD_3#(;SElMwHPBz*zS zj6!Ct@}A};-+$R0in5_x{$Z4nnOB0M@vXvV&Xlq$i!;T1)l3n6W9vO6J;Pq}b&BLx zK!#2KQ0)P))s^6>{F%+e*+?FL8xooze9bD-1sKNhl%wyc!x0_0)Zexa(G3plux?%Ho4g=stIuS zukq2(BY_M_5}!@`H&NI+GuozHt@}!QoYf-38I6D?sCp6oX;X);cE0-`G+)u{#9hHeHd?bq!^vU2o4B$XLvsgx%jY(fg445D00$}DB#Kt!!KJ( z2Z2!YQ4lipd9+&Bew?aGZu(Xb54XZS36yb3i#6lB7(mrjV1-Ix;);2Cg!2EDcR>|1H^fW)6>S&($&(IvV^|`;u15xUL8JAh7SW@+AhE+ zY52Uz8m{q~Uca2a0yu`kY0W|O9fyjV2H}eK-l<`oSqlu|*s)lVgvHbX=FXDj%!{CI zN{1A`SF$O#+`19-Voy{6_(-79dl@g|MdRQL*nXVCj3HONNm^}j&mwx-v3N1y-`y z@u?8xD`C*PWJD{;gQhaj6qx3z(7T-f&%G)CCkM=T-~WYo;=kb2{JV^u(6_?ww=$ap z;Xizu|0>2N|E;}7C$Il4Z0q12!y&Gi4F*82}7JQfZXLUv|G zZ8{-)8{7X>sA%M1<7EG>jZ63s@{Zzvh|2v3m*+p!;JCTzgdAA^O%qPYft`@`pFImX z{6jJCUj`xMz(7yP`VV2;@5cT`TkqRSl!1`-AK5Iv#r7Bp+5RahC(265{7(~6HbUlq zDf>3~SB<%E8wo2$LiT@Fkg)o$`)^Ik+uIm?YvpOu$qS3pDI2*ue%Jh73!#5rB(&*7 zTph)f{;?9@jfg3I_xw+pKQX26uK%@>|Cr`~@)9#Jv#~S$8)xbNkjCaebne8%wA3c5 z4O`$gp4Bpq>Tj^(-04u(8S0_p37;V&MfX-t&Iwb4e703YP93+oKqnnf_JM+xhf(y`Fn;b-!<4=CttjY<7PP z!E)?o4n^cU{Ixg+Q;dFm;M!&0hzPtZ@e3Ic*8hXp>p^kCu{B(XFc=qA! zet$>sX}H*4;OqXh$B|lBUDAhD)~{LYpE`Zu4S)LDAIbUhVt4WSJ3NpM&t*~;{MX*9 z;P$WbNG!PXhv!D7i?ny5yF160-#UlAPHHJeuDx-tESqvVNYTjW(dIPwMBFXbuv>-+ z6+KIiHsVrAJJF!#h|I*j2Or8+)aGV&0V6#8u4nQbx;N1Ks_~I=;4U}|F&7ct&~z7? z!YFN~9b&F#QTYLPN_C2u#irQes_TUJiI#Q_YZvFS_xm+XM~>H;LM(g0rGfZJG6)d$l6t2Le1!*8$vxmt##MmYUVT(q7z-t54V$ys-Qy-jdD` z2MTrYb27`;3p~#HWpGo8EHn_9#Pnj30?G{Io5=am;B&MzE*zWCt$yk<}rM`1N zWXc4YcCI8mRTQENwF91v{-Aet0F*ll-z%Z7XcGQK3>Q{5`{~AV5{wz>oUu9nF|NHX z_FASJM=mbpP@k43Zp!7Ki7AMPMtgy%uIXe&8AtYBIQWBuOBw)P9n5VRCja zQ60Ii-V;6kK*=P>i^b(R>AEn}S=7hE=~a(Nf8qgr-J}D1N0@+^DHFUiN6X}K&Skdk zRK_}@LnxgndUF}J6IK@(1iAkp4`X4w%oqh*>vSffa)-O^(SbZb9&@JPR8LI`k#*rk zX=UKo=1)HaT`7*&M$~5qu_g*9sDSiSNj?kC88}KpT>-MZ*?DX)oLDID=Swm^f=r^7 zi2JajWrs> zRCF50>LPccvK?nY_^ny%sg57e`dAhVpZnIqTjC{?TJ#a>*z6H&LoIf(15b!+n_gs) zXKKqN4I5@toT;MN4)nD;(ArV(wmA|7Je#a+56d_C>2#Lhw0gT|)%+WSi0@XD(sU@z3C#PpqvLUG|Nf~

}>+C$I<;&3mOPt8N{4MQ zsNZikh;5j{6KE7xU9VXmj>}xdWjX~&XGw5=6}MJ+O~FA~Zw?DUG)aumRRAH`aeFe_ z)Kq>}dpmjq7&>o=g#ZOrz$_s#Kw=^fKj-8qD;sQ*H>FBwajal4*Tk}bTN~?NQz-Hv zennop@>LgUH+Pw?lo;+ZB+ekOypuIx;Sba+45zS1wc*w{=WV0y4`PGtw?&*}sNzQr z%7*pUGQCKfIyuF@ET(r2tKsO*FWZ&nb#~->FgVGxRB0l#W@wN}9jtlTLFM9}7PgGbAT}+L=_3|<{K`oDB z7@Z@%#{NzNpRV*n50|Jm$?8h5cJ+6n7N%EfO-nmHIpqQQ51VxYg8keX!uZOU4PMN& zCbLuW#NRwea5Dw+mDy<#A{x z(cII8+d0AW(Q~Y@dcW-$0`~_;s6?!+B_~Kr%a{#Z>Vh~LcJ0(=;mg61F$UvL+&=1a8CT$)QchV zhphpLw;aqks!A3E@l5#yu-buX4is(G0+y5AQ0R|kuC;7E$~sTXeu{B%R?FIZ-srk2 z9YVBQ$Es-Ee@_4$9d^+TB@MIC9=7t+#y*;otJ6O(xIPt@L=6Y(-XAl^bzDvRQmrbC z=QcU-J={c`syAWZ*D?Tx$%{^Z_&J#K9ASpXph_2m2(Wb|y(BSYfI}MqDKxk@Ls#nR z&LlqCILQ>#$oAUfB4zLQ$-__fm!cG4v=%LiSZ)Ok)Vx=1L*|<7xcDN4m3h>2>Hq^6 zY!Vf}I>?0NdmTNat7C9zz?^k4ouKMg*`93=jn?D!zP|vD?-nZ@Z@h4$fR)BIpXmS? zYqrfoo^fQoVwVFJ1#(1C=kD3Un7eqtxLT8lgXfd?(I)#WYEeDb*ah@|*z6{&|XCtjHVSuRtEEb*EeBmo)VoxS-;!s`ht40Y=1f36uM z{Tf{L(>vS?t1Sl46;czfUxQ&gk0W_~%2xoeiK=9(eFw^VMOw1h_BvSQY&cU)qlmG< zTl?VeQrM~~wUtL@pek_+NwXVoTWcgGAb!-_c~tI>BG%BLBjFW0dLwe@7!afy?B zZPno?0~cYdSdAV#6Ydk-3&4Jz#UHiP;z7<(26!8O24%06ojKnExnBH;dk8Y1#EAkF zMZF%BOWEZD}kkiCg*QlRkz1qQ94 zgOLSO-^zJv5J7$zZ;L7lqBung!G;}8@ADa+OtaPa6J2F>;cfSj};t+Ny!=?eh zJdfk^`TQKAL&aGL`s*Ho{c$-x_xJCsE+chKEJ7)&Ptx{?LYMn66g(^7bvDizdZf~k z{u!#qTKR#j4NPUPmB)XLzl|3f%G zV5FIu+0-zla|QUty{Kza#wb2e&oMg~W+|D?m)Rgi$973KD< z1o{f&^U941`!R+w@dn*oV_E#T0_w?5+XOld7=Hf z93Hb!ZK@u|6*m`SH$Rrzd0p|3{F59~tOnj)=rvo6OR2K|MWa63W4x<#2!?ci6DgiX zVFc`8oL(GgL#e{9jKC30?sCo_KY?pfuQ)0_pu(TlS}2?#s%7xiJcB&c0FNLn$!@+OXJZ>}?uDknnuulySC(^-(F;A}@SG^MBy z4ZpH?2Z?RE3|6qrk=<~B#=4y4xBAKxRhIF9aEsmUCu*|pzP8#mN4CIaZk7wwOvQ<% zHSJ?DpkJ#~B5YIpB8@(gY&V|ry!c0EhI>=z7-2CHtx6``B)(n7K5GW48hh+}lFYlE za(8=1z)we98GAmyeO}zfN({=0b(zJ>83l`GI0n0W&j3WkkycN~!0iXMrH?X3l=#ND?DF)%jl9p#dj0Z_J;^VOfs9&3C(xQQ<< z4a8wHL7`E5bsvV2YQvJ3z>BomGbU@D+A?syIy7?~weGIITx2}o;_4}eES+M@_(9dP zlGU+qd{(XT46$k~q-i(L8?t7lrZs-mTnjh_dBhVwjVUTktC}WWOIhc%)=KYuX+kz*(6d%V>!g7M5-7M?1ovBWx z4r78IrnwLWXP9Wxhc(3_P{(;HP|Z&Kh(gDm*DrzhxregO)HuW^0lRvHyFLriwIB-h-%P5W4L0ORP-Wn~y6FJ0mY`aih2M$JscPMq=*oe_63Sbk!gs-35l&$d&OhrDQM zlgju`d=w3;ER~YK`wz7EwqPQDKp_yNrG6sz#DMaYKf3;UGH1k+*GyL#^`B>83QMA< zF|d%6scH4cY;4-5X)@tXXv$gKftKo6PMtpMTbAmiYQb^BM-k(T(P~Mb1tj!0tz@in z9n0o%7T+n^B&%__gOaQH2jTBd=?uT4T3t~Qie+4{k~JdjeSx((y}L%VW#_%$!z=u9 zc4HT9JRS?Y@TObjb-wTkU&U4&sUl9Tt-N;mv;P{&_6H`aK`Xt)kI*H~wB4XKgoAFe zmBk;q6=;g|4x@uht={{DKzewPMBS$0a!OyR-D#`PZci>+JIZ7%1N2T`j3kc$-K6f* znOh2VHV5~;!DOrglpI6K6BiXfl2RZ)w%Nt(=Y|L5rZOy$cxvQG4j6h#f=W^ywQ)o> zIeKzWZucN9tiz*~xwF+dz75CZ>uoX*SKbnP)y}gWH>zuz?mao=+P3ows^lHa(Zx3Y z%%g1f5!`sRgxkhGQP%X2AnTSWF!w@-1!L4Wv0ha+cOtuw4Os*}HuHu)kveAv7{0d z33NnOF`KHcOYVPfdF&@QA^fK2>*xX&TCo^}LeA!u-l=6k%u4RSI66*vq*apu(_sNC z=Ey?JhF+%Hx;w~E!uJz6_VCRC32d6%h+nT?qj2oOYn%rVQ(7$>t*5R(d)=WwY?i8}E>@R_Wn0Ze2FgGiY46~EFSAaL>4dG^ug z4IU@&w>C3gdo0x^tVu_ZI{&CWD6kS*cQu^-QlJtDCgmHA&_Ah7J&Z?XKX4cK*zIvK z7FKnMvAp9qR&nCFL&B;%i^8oG87gDbQ;d?g(F1bCf0&&-F$YyDurUV}Q>aW`>lK-U zDQJB@lJqeiU+?6kC@N!}q|W>P*VZv1q2PSH?~o!z#(IU{ zIVxgsX?|>5+Iq*D#@Zf~fr{}ELa?!kmAC0KPe5B?-+PO+;2e&*)ZM@&eQT%Xdn6ZlZ^dl3 ztQ@hYa9Wcr=C|zheZSKLBHY+){#Yy7%+Oe&;5uq%_!}G_Dz4vgl`z4>B~E0QzdH8= zB~_onlNuUuR5;9(VJk?q(cP%KNoggmBTYcQR;cL5Qk{kQ86*)Lnymt)h9b%C8rh_>JKp(e;_eYszNz_}W{+1D;J8L>XtQ2O2N^x7~cO!A9 z9QGAm2q-_8Vcb{w@vG4PWJv7Suvm~NXNn(u!#hH`nFTPg7#?%MQQUjBrlk!*H(MEh z6Hjc;%?jD8)CxP`cZ4?jE5+9o!cBg$$u06hqA{J0Cep~>BH4MhMVi^Wl^SHI^?)Dy zQ&-9AW8X=G-_f7ZOi+!-@KVJUlToDn@jJLr1e@wXM+7G=x!w{_1KgJW?k+xXAt`_C zhDdY!W(Lce&{IG@oYt6RxX1*2NTZSkWN_o8drlhhI^3h_uAAEWe;-TA?0s;C* zR?MZC^EqP4eT7z4re>`H@QEiAUb)zdbv5@F9vdB3FjLdArPKl59qRa0J1avD8^H0r z)YgTvRf1k&igIc?v!sznef&`n%H!Ol)g&HFP&Hj}dhS%oA{tFX0GO@NRk5EIdEU7OWBoY$Rno5ZZw8^xB}Z9>c_ey=9)Ne5q) z3H6{ZMX^*&Od2p^AAle)Fp&Q=(Sl5kFsg0Qfb9>yNmRbdVd}`3yeH{H9o(|sRwES= zKV$?pw|sZQ0N?XAkkca&Y5qY>5g+C+#l%3Da=kMV8JHk#{xg$akKHE7fK7=Pvow3= z3C2AH4GBC79!jm?yeuEej4Iu~aUCt`uIIB8x%((oL9bZ>8f)qvPNhfyyh1r!N8C|! zEn_vp7Oxb_Kqqe@WjWFjC2a!dz6B>cWA*mYx1|0phDA!>G$lxr#9W~Xtq{y_8DI1; zx!=Cb|%S2Eo z-6UoaoEU7=7(_#oOV0h7IHTf@f18`0o5p~AL?x>7lxTb!iVP8)7Tfi75oN$qSpkT3 z>CY@o6W#{_$|Q~Y(5gEA)eMnxIv1_0Xzg=;eCb~pu?#oXBn6ZssVO*x;M%Ez20(7R zOT~{M6^m`{ON@}N0Jw|7#rc%1Mz(G%NHHowhQC#C9D*7a*->H+jbdjQ=`;q-HM#pc zTR2LbaOq(aD7sVylekm9;I{xcODPb}3gi5R@y!HFM~qbZ^4Q@-Sf*)+Gtk0E2;!)V zDJ908BUKOYB!-h8w`atCW`lcZc*j#gwp_;@tyEYgu{YH-=YTWrE(WXkod;5Q)F8DS ziDXD{Q%b5|0^eS;AT-nXQ3Wvrv?Ww9zz=|5H&4-_U=bBLqcS5sS}5**?=}jT zCrP8kmQ@Y0*_?U)K+Vldx8$*$si1)0Ip?q0h_;8#++vlh034o)@->S5Xxj6RwiUifa{Vr=xMMr!GW6wy>j zj36uKN$M&U%zVKRql{dR!qffM_vt831ST-nq!}D}7&xYOvmRf9vg;)kO{b*QV;>Tr zc5*sJB>@Q$3smOMIP59&BT&EXQ1{wG9c4X9ENOn#BAvTziayBKkCd93S>Hfkr$})8 zC|r0S9o8a9UwC2R;n0ft_X@Q+5pg)VKujWC(dE?Ku|2_Pm;x#>6juHrSRdtd2h<}4 z%8Y3!%h1)!?23|58iG^uB@qEmM6sGP^}3cT1A_h%aA;}A0ksEl@F|12Mj~?^1^m-J zo@oPt5E&T_{pOW0*A5wkxE$uM;$~*K-J`zXnqs1;G#;7z(8HAC#*~L0CEJe-lT}Y zpWa-JC;_@XI6fY4uHKxem)WGWtgC%E0uSZhZf&Fh-P8=!d~|$GE6X=uXG{v5EQfJP~pn^Yvy{S#rnR5o#cq;fzFij1M(5ymf47V#?lb=S+i&_)1-h~9N) zLUy_}Xz_gFf8(hJ=9Wz{pX>>n348>o)|(2q75oMXer{pgV-R3u#x<2B6RDS*qjsAl zHLwT4hBoaJq{ywI*^YE|uK$jLQuLHG;30dgG3N&}Jp=V@5lfLUZ7wYur1NSB!B=r9byK z;C;+&oQ0xN%4Li(Y`0OzqC*UKh6&?ePE0P*eaB7Q9$ST@%H+#_G83vU;W|MIANOoQ z+g4RBjVbP}^&P~j-sw9=x~TH;!N{Jmi3!9?ZeBTyKV_Lf?o|N&*wVilT4qx`PcofB zuwV!$J~tW>?xsSB-%(z$npP4aJC1MlyVw0W+S?C4*gnPhBfC=F);4Z{)#|_&WT>6e z0qIDsMmy#mT{cF-ZKP1J(0Y?G$&vd0h4_pSG}6vx{(bi(Ui5MNKyzD^bV{||U#nhx zkJfyAkXL{WMz5<9G5$rs_sm>nxw#iLQ%yla)^>8`G7ECR!0TiR)I4=ouby)m55abU zt%;r)AWlBy*B>th5jTAKmO>Y?U3~;==X8I6eLr-(P8UINroA%HCAUB4L@Z>pa9AvP zHe9PkgHvu#o8bQf+&kiU#Ec@$K~_g1cq%B;#eKq{!|junKwK)UIL$Rff1a@)rw7r0O0SIHh#mt1bjAHBE%Bw=ii$E z9zH%a+I+x?;O;hu_O&58fKW1w8@@H^xg79=&j*dLL*_^9k;!5VVTW2EI`p3c{6QYG0vHkW-zwNl30H%={qEBF_uFm zSei&X_*w%u7IPSG$>pFuj!6V1=t%3F`z(*(D+H9}16{=UM;3XnHyg#rfMkk1JI#{B zZ9R4w$yp0XS}k_Z4?#|IhPq@J#OD?6dOrM-5U z9}cTFXy(hES>3JYQ`Oyggu)Ma)O#3K0@}#^hlglkr;7^CblopLy12#F=e}r@?(Vv( zBThI{FfOlfg}yKyXgaJ?3C%I%#v$DLs_JfX`C#4=O=uOoIy5@Xj^4k@3^=DP%f}Le zRFy!#hwA^(ge?Sdh)&$Drl_wi6E~`B!;w{pggsTr!;p3DIL$Wivpy*ycV#cHOYYpI zl_}c1F@rRH?=hZCg|pA|B{#f&_GGp7(zVZU1$Bao54p;=VBPCy^u4tchb9wG9 zOA^22k*2C5see6m5Vf$&Y0h;VNpRLVvUiDpp0JwF9LVR1hTKg-e|LPiFHj`EB@F$6cfZo2Y7hT6K-NsF{7CRgi@>ItLcq%$GT{ zuccu#dfH9C{xwR@P!rjnu$b_fJr{^LSnXoeTezC!sILaY_r)CkZmv$5NO7+O2XML!#EuDpvDG(-j*(-?$3u+pdLfx5ed_FGtoit?U-76laAi@uNfSstfO6_SqlxBuoJ!6K~RnX9gAWv8)5~AWFu-W6Z!Jz=+TSR|FYD!<;&`H<9$Jn_I$ZJ(=)g z8|pm6i}pu4i|pJSeAZ>maEllf-(d{5F*-^th~Ep`p$J27LxZ7KJa^H^*V552_c*iz z(OM)yH{{_Eq&cTi9Q`ym7(;Y_^{L8|2L}&}TPc_y1969*kR_sU*MB3-z|CJ1pN6gI8Zx=he&QEUp3X!t{)E5uM90b@nxh6xk4G*@oTRls!>U9Gcj>j$0kS;HWBS(a&1eW^^di2{~Pj5T=9$&Th1Ci@;R zwD{@vWxk1Qo0n<5my32J`yGb!_|y-+O*6rViAQML4~DA0-sb=?jvY+5QX9wV(L^W2 zJxr(E-v(V!Nq>f|PPlYthp)<31i}c2HtACqd z#i^E;q%Xz%L}LM70J>cM=A4j{hM2|hm1cYa?b>2#e&(J@AXq%`A(9?NRbuqXH(n0B?jh(L$)P@ zEbfPGIemKm9^YhV8R$B72}9w=dV0YE$Z$6X*u&`FeqnrabD3i5*wQZjb#GWTzQIA) z%T3aJR>SAKBI`{i5w#(3g(}Y($x5S08*byM@b!*?h&2zZ7OrSC{q*cl@4HDP%Z-ms z^RgpHf117A%zk~J_i|HhT}r|`H810Dc#);3Ub^$QXA5DS6x`ytjl^?V)7(y%=uSg-Ct)APeuIr=_)v*Pz)i z@CNW^?Y~^H#;eMZ$V(jv8dL%_VO#0EQP|P|FBvxE`G9=A>Jn=@Wn=;=5o; zLbeBwTMOk0o2>!A{~#%uwwkBz8t^4+VE(Gm$(Cw;RzPF<`?2NHk5!iG zS+RQHh&I$H-Y&tQ!SG4>j4Py`XmTn3OcBpAsh}^fC=<6k=Vh*E$DAmh+rqg3%g_dx zkEyE_K}afqP#*gnMB?RBWyhjt#h_S1<^5Rzd@UMz6jqRKh0wB%TXN#Ga#ZxXonXV= zvRTPE1dByPcUFIdTP{WWo+hQ)pzDQ7p))SB2g&d8ab>_o4>}+BHsezuM>D;2# zq<4B7o{aA~7&%7P6CmGNU-WkHl!ok!n!F3$LEiK9E;ekM*}r(LPGJyGF+rYb6~%MH zk0dj=S7e(5N%X{bzyS`Z^Yns>hvVjj7-yF)5_J^3)07O_RtF#noa(iL^*r^SOw~>8 zWva0j0jnA1ln(5JhHJYq*}@1&RekKIVk0TWFg)`Z_N>!ylB=Ba53U2&@NJZ+s0WvQ zNYoDk`o!-QLn~_REv`1tdp8TMMU0Gx>Nv>S$V)emux&8rL56A%!XxxkL;}I~YT10C zEyC1XkeFM{TH(%l#(=38>i_H|o01w_zYe;MJ%&^^i0<5JY@3q#8ePZEk*B~?~8)nluOR!A76 z6IlH55O9pW8Qr+bMA)FdkBGgp_X;|#x7_th&R{ek>lS^ZCnm22-OM+DO-N(X5jZ|e z6_mXY5(62eX>W_nh0wQ-2}*#{H=OWOkh7?*1?|M<+u6T3rp>%2%S^p&1yR}>s{}}F z(l0U3*>hHjF*q=WRqBU0%u#1q9qQ@85`w#jbNL&70}umn7hi{N15QR6;Arcg7}Ee0 z=S72;8j+7J@z5)02#RnG4^2_KLlU(pV1RgFSB`qS#4OZ2uA zUj-@d8QMM+s5X)SmULu$SNVv&6AOqNOTgUR9b?dP`jfd3j#vr;ecgMTPm>| zgVbR%TIYi@JJjIga;!Q%==H23KKLEA&|;$FWIASZZxVxqBZ`;lIeFt|<70`SYiE<~ znl?DHemP=DD#*`mz95RsM2WcyFhh}dRcF<^8mp2(dTDf+SEjjjl2mnRT5^>RRp?ZW z?&m$z+T%tDqv*nh6?qtO8<7Fgf33X$Q6I?VZ6*^BB!UC-D6|R?3eV-H~Uz71Q3H=sNzS) zwk(F|3*9Gkud!+>#*`XBRLcAXP-={odu%U{BP#ea&x968ub-fT$#*_s+N24mgV)@} zh)Y#q@QR+5bQs-^i`isVqinn}EUUv9csAWc%ArPjzw1)5>h|-ktnc$)Cj4vLO#t-g zO&YP`4PLSQbH zzb&tU>L){s`0tANHtUlwe)eGTW`umGZ!W}=ffhX9HZVX#pGNFhZtHlShVSIhl^o{? zk~MS4vxdxrc<8qh%a&&45?EoT;Rc^`Dmx)Lg}b)kRiku%tfM$0?}SQqxd;U*-nvsp zT)P30rW~x3ZTNV~hzN2~g!Uz1jTnuOYmB0O?4rl0!PwO(iC-vKl|GDC1HTplBGa|_ zB4;(248aYMfz7wHHT>?TN-mfv{$7KcU&qO#;{_YASCXQ1Y}aD4ic$(|HH^KDX0_bh*XQb_A;zm<93BU4P%+2PF%)PL?>HC=-D_iYxK>0me{BfWGQdqOE zj8qjzLXlR9QZ7pgstEiOu^;FaaTD>*2pcu;&nsfF$Scqzdg#w%kJ028tx>cnzEL!4 zi?dRH^PPGChs9|9E_1*76S0v7X~e4j+oo;*G~b);6V2&S@-w3|)c#-!pbSU#PDhyA z*5w-^ZTM|~vW;7P8AiKpTT0m1VM=_6nQ;lyD_F*--Wug;!Ox*%x|2c z9cvBz16_Av&*n}mMA2E_z%;yT(Kp2}DrFJ7ayhJP`|{LeF!o8jsV4ke<|Kq;ePz)Q zA*tninz;6LSRp}c=Je$*hP*0n&$fWgeo)Rd$qx$dPu8Mi#w%+0;|e@2N=d|+&Q7Pe zTfu1`T-k-r&Pluco&jD@(J>;3O4D&4`PdJMYg-9?q6k$x+QhM*X@de@0TNNOfWIh5clQK z6rY}=%^!U_wh%MCQoX z0W@wl6>hP)PvthM?1LaOyzM3#Bku+6#zqhIzV2sg zFQi&l3Cb!hV9tWt{KMc!FPd^cU>MOV|5Fz5IpOy-~X!L2Z;a zN6V=w?wi%p0t>7zyDv|1Tx@og1E#nDAPoBgZyu7JN7^TVfhfut$I;KFfU*z}pDddjK-TVLA)qkS)zZL)AK={92_CLq*e;o+_57C7+vNrrF753le z|0>}me=^h2;W7PF%Ks-U%!tSOAD~S0zuEBr84Lf2U>3aph~J-x z74N?cSj>v;rvd+!_>=e({8Zq7Vmds!{}%C+PXE(V|0|sVkM3U=`A>dEJi7ln=AS%F zcy#|cCI9xrj7RsssPa$n(?|Y$ME`fK^1l-Pd&d7~c||%pW+vAEvsJD$WI_06m5C@l zh2={hRDqb`P(gle;MzL+)aKKG&_R1=Pf(sZK2K1-6-kScD;afmeY`w-etbMWZKqGW zOQ&xR$KG_mrjmR;{>f~;tImIRcILUtZl?MBW;y))-THa?^d7yQ%yaYy7x%m4&~0do zGfMr9?E{@H)7w*b7`H2G{|g1Td+XclojP;0*85mzb%>Mu%jJC%zMG@#dp!E@lycYo zw)clS)_IGat6A~uY%c6n-_b>4`@Gs)$=b(qw)fYt-o{fuxQ#{IWyT_d_r$vChZJ{^ z;>a+~aAkvglw#DGN$D_&Eyt#%UY@$|nVF!g_G3RLJl9q8+DPz4C?C z#QAq3)Gp_#0cXFLj*{^{#e1&DqvzR!dB*#t4hzL$1=9AVW5$acmFjTn!o5-ME*zeW zR0#STB%9Dj&CbkQe$0>FYhSyr2-!z6nt{6#gTE0)l?lVvq^`23T=VU+lin< z+fGTQO;gciPwPDnCx^2_4!_TxgiX&}l#Mo(z=3Dek|`z)yQ*N}&OgD` zq#A%ROaKLVz+E7&4G1#{H_rcr`TI0aw=GAQD)wn&fW$aTE9Ujif z_xDp=dg6!^e$6#%&h21Ea;t%zJaqb)K3edh8bbNij{f3o6P;XplU{Y<8arBnmgLKv z8%cZ(-C?oQ-RI-sO6Y+(QZKUuY?BsBkpGKsb~y%ojjAO3AFLdy$*wBi! zKrR^oFcFDOoG`HYk1JpOmjB_(SpuIukyC`0?Q=Q0No8@S@ARSA|yBiY2>nX5Y1S+oP9MB7j+2jMoT90?NjTB63cV{U&3EqFu( zI873=C)swEm+8Ju2%B_QM@I%0^}$?HveJeHn@>>2bNMf1F!jk_z#@7LhNY?)kip^B&UjNlc#x?jZmc35?~XL;aD@@mz{UZVU_9YWPb z2wA$pH|iAGZ~07IA51#LOKN%wlh@dipWmlP(i=4o71hqvTmV59gICtjL1%&OC|$KK zg{T+nPz#v5a3gAgAks&jtsy^%>@|&gnO_iI= z{KpmszBPp>aTdJ9Bdk|nleh3gV8pF!hfq_R*_iHk9jL$6Pa0+n-IUk2+xesW2iLDY zftvb>-n1Lwp%M}Vu%^WoXfY0ivjO?*JG!~DYAAn+$Q$IR%dygy-VC+`dc>fyuGAIGWFv3WOg2>G^1o;~ROl4{;y-4&*cVaY(?E}g zG{MAD*!W1*2ei9kNLeefcn--^F1Q+D{KqWUbJsJ3!-E#qmI!;I)Z2!KvwKeYozGlA5So5c4=3^a?4zE7+aIt{Vd?P6)xA5|zAr z%ELrSNnt>yDW%m`AN`1B{1z=oMfD*{r7+X{)JoGLKnvQ|3O>YGY40k&dVOPq1vzvf z6fwXPHs`*^@eE83wm?Z(?hT6>1k}1#6XqFEI@RJJMgtbAFADmHqT?`R(?e8)@)1(80ZxJkRW(00aftVKPZvhT*l~NDBp>J!2azJ=rHR z4b2+7@=ywDq&Vmmx7b*q{)_;PR)`%6f(3j=YO}ZncFbDS5Q8Z}V+tyHG?Iv-F{%}Y zu->l_7jo#3f7I~xt-Pj6H52U4h{R!QGcQ71f13~8h-7)8vGDy}g*Ej;Vs0uXA9Ihs zHY;QC@z>@~qQb&;aEmWo*Rh5It z^JIx4YG`r*tQsw<0q;(*ZD|>w*prBY4PrG}PCBAiIGrBV3^yMop(P4VYG}XmHl^3T z;e@Nyya^+F#h(@qc?~T$`y=cdpm5=O(o`Ds`!Uh`S1vFzTU3Oi(sGf#Hn0v%Beq1Y z4qM;rg^Plqh|^A?>1`F9CUj3V|8pZk$zNJTnN|nFAqG18LJc~*qJ3q$`dX+7OC2ar zrVnKaN6N@yj$C`+mMRiahAc4a$H?_?F}~kP)AOP+LNchMpS~dl7sq8+N{I*4^+sWN z8|2Ca>eaOhxi|96Yewe|10qX0jtW?1*5DsQ3lqkkV8aaTi4`IU%E9XX;N@S`pA$oa!R8O1 zl%g3U|Ijj(HT5k4f!ApW)RhEj>3N)hy6lxeTstfJLQjbVeZH``0wRO2cKQL(g?!?a zV$Pz4)9M}pj}uZy&oUnee0}zT>X3$;AoevT3Vh`p-mf@`{MuQEG=8fJXjKHJF*3?e%D5~T zXRY79vNmiVzk_oF2e@I+0Yk(CD-I^q+=tWzP<`TB(j6-fAMA`P&h==*ktL_PthACB zSo*~UOBA8utBRTbGs}nOXaJd^1rHh7t%{hjofki5+57$cc^i?u3mjBS_~|Zq`|9z& zJNhqaRUEXZjcic&X!U-{&N0SadE+(Cs5ooea4(MF@6_eUFoJ_6K?7f1(M>l`9CzD_ zL6>O^u7YjNnn#fJqMHSW)?r_WD&|~l9AxKdIxi<{6=)Eq1fXthPn8t4_CI2OOrVC# zw_%T3x&nc^uIkYjt}veFn4Dr~O`}%GD^s`{IiJVu{1=y6+KEO9jzXsivA}#9LT8N zQo$Gd-l&5F>bNR?z;cKbf z^;50-?CKf=`sC?r`UPhf&8hW+j;vLp9fS`gM!0kR{ospZR|7bK7JeV|y0#<(jmc08 zKou;DP&}A!xUGQY@YdT_vw{VE3l{@!nAxQE?6C0zYHJ!Co@~(Z)KD$a-2txIb!ddj z)<|m%MdP#QGpydZQB0Wz4p*a`Ww`j{i>4Vcg!Q&e`glTg>Xv8ZX7WU)H>>n`z8+*Z zM3>>lNTTIKpWvuxXnBe)Dr|fK7kvys^uHa*5U%U!C&_zQ3dym@g0cIDHrZ1S=#Nt= zAtG~k$@6wOjxx<9p#G8N9u$&t@t;Y2Ca_Z3-O^uwSBtd*!2XbBJ)Kft)>P3VvR`7) zO~_82;k9#avO;!}y=XENOKI+Nu?dn)H}!rB&u^vI$y8W=Q~S0;i4q`F{SMd{1uE== zLA<#4dme_u>eH-c5Y<}_oC0Xy*)9-sRTZ- z(yZ!AbNowxteI`P`7juDm+^Y&vDjD!$+mOSq|`9 zd%}uLHl53IXwzFaBOIktz^OPu|LmX1QOOonKcYMBo}h+4(+l`vi$G@a#qt#Z$BPvN zTtNTE2pf!eAm0;+z0Do=y20<-+*eLk26stkZuYj9UY5i_9v6YwtEoZ$lUL2 z5ReG*?@VcWx?0XJU1-^uOioMCf=)e;?}P{XUW`p4vUMGnT_R%HXgvKa=5fZ)rD7~@Y?biu?rkAs;RWW8P)lU=h8}|t|p8TP`k*kRtFlIlA zy=WVr>o`*01^tn4g>oilh92ngOY$2`(#yR*xKn(cMA?IYJwI^GpShbsN%J>PD=MnT z2+<>rxT6fhE`2_VBBsv^F1O>XE6Pc$iWG$3i#U}+DOZqbQ&X(ASIwK1e>$35a5&2< z$CbQ2fG^m~yJST#EZS=|l#Zo!kAxpg)fBv9s}$=|V;`N?U(3%rr*ZO2TMpeyDKl=u^XcN_0455ub zBa!+~L`R-Mi3^7n_hnQ{ay3?OK0UGZgRi3U$ zQCBjqK&Y33=SJx-q^TY2)AUNmRfPlYGAo%7QJSlOcO?!j1;e?w@|m1b%41 zS$v@G=(7dGfv41Z)_`GucW*AWb9(s9}PE9&FBn%ntv?|(4%eHZh*z9Aww*)66J@V7nk#5 zb^C=neNrN%E=dR5n+7Bp!j@`47aCOGy|Bnkgm|2qRap+zjC&0EsU0yv=Rnwot%9em z%m3Jz0Xnv5MH%cdX0s{mWyZ3Vlp#g^U>3h@oUgq(wC>m4YPi_UrQ8|jEJckd{JxZH z3>?LMZq2N_W{H)%<;Na93EpelVE*i?SP1^-a!z(_O3E~%1kYC3~t-px(=ISP+7d0 zW00_EO-gU@jK!$H{Ry_8@@CD7e!S=`0>}_Ouj_e}xa1o233E2L@S>4pi%ZoCBxKA}8x#j5KZ>dpje8=>wp z58zNWuixT$Cs-;o3@Fm;Jnb5Js(E?HzTVlMd_Qm3lSXtMn-juYjcBl_={}%lV^Q#E`8VzL&-&p|nn1*Q<3!JjhTWo(EMSXgtdzXN8xnOiRQ$xisJOM4 z;xdaK1}t6qD2rX}3o5mgH~g~gt7Ufq{6~CzA}x8(Rv~Au>Lgv5fOl{=J73tgw>N^7 z3@)INve(x#y$%_)z7{78Snkq&BK^3dS=O$nIv+O<*jH974ph-@fK$Z4Mg(nMnZBku0HE5!TvWJD{k?VU3d!Jd0y$K5-*4ohnNHCvP%55%?ZN zCEp1=1L5$f<7jWEn;2Y1<`6#H&82ekLC+w6(NYL7gXCFQKWVYsUuT^-f9uoWqn!1( zIcyS|<)!9td-naAp0w#TazcCiO{9?l2AH8Nodb0+=$z zOu`jKZJqX2x~QS-Z86*FgntFuS1C>dLuXv>Y1m!j#Bs$)LI%zCx)i5~cgoyoC4*`P zRwv1dkX*rCVFZ9L`>-Q-Xc;C-8z7j(n5bjR4f&>ZnVc#HGxKtdgf}@h;o^q^Ex7ti)USCa)2lgH<$z7(6J%8!s;9pFIRjwJIN_~Q&5)O(;6b8lp6iK{u3cwNn`#hftIFPML_NPN^Qc;;>$rUPf0=^J- zA!VT2Q@EmiQBxy!)G&ZLM$Fi9!MY28O3C^`>t~6ST|s(=DUT4*EO$ksl;xOOD9^op zxq1IzM0{}4Gq8+k^8kh#mF=2$fJWPn)?XOFT>WUPNaK=7dV;!vVr4A!T{IY4P|Nof zOun>^-5o6un8m}P4&U|@9%y9;sW`@k9qFWs^$tC3IV8COA7xi3v|Qk219#}AQ_h|) zYMId{%?i0<3qD$MwhGlHfvn!Q1SEoWzIHe49*wg4c?-ji2_*~JK2Hr>ES$n+H*+Iy5JKXMu39PG+1)=g3v&B_PF0mgxDoV!O8*%SnL z0~5SYVa?SWNMB=a915`)d1K3l$N5JtKV|?tIiDWCB1_?a3}wYQO`|$5`L1fGnwb(F zyWAK}^^1{EA$^#kuwDo5voHv!lvK|=YnI|eW&W%-Os``L`h9{Hw$R*L~dZ%xn2~z$ykju$&98QQCkbGL`%#t&`4#q#y%JX5j%q=xxN+LG+Ol7^I}1!i919DFfEigk>#f zc*e29$j|_Un_@TdcY9ipG_|ng!9uHvsuv~-N;4r4#4nB^;7_&fv4feWk3o&R` zRwe|RhpbwoK#49`oiS;LuHt2c-e!ZOxUA}>(r_u29q)8JVq)pEP-gmWoBc86SJ(>^ z{7Gu`lj4}@!7L9g0Ol!*@*TOUj(HWHrtykn^FxxuS~a{}>03%IoVIQt&X>SO^tt@} z;(MF!d`eTT)XQSfU^l}PkOxZIN>~+JOJ^Hrm^;h%`XpAdT^9xU_f{mVYY1Vl*H)yz z#x(BDIZ<>$00ruiC!zo{+j_hlm9H`w8R$&!8rjdsW`R^^P}#Y7DI1JXS%2bGQr7#PdKL5l00T^uW*!y%h3y3AVQii|rb zBAb%gySLQZ6>cA~eGR1-xJKs+fF_;5iA<-^j#4N_rTN|?Se*?WY&&SsPZWzH-Byxa zLOh1XFhU|4u4aO~H~Ws;@F45Xrx;u1Wng%7aiw8;7p7h5aMJ->haz6hLXR=+t{%X~ zwn7?j%ySgl)u7?G!7+b{Wumn?*zfOtC>Ouo0Mg?g4sxs~^(Bg9T2&R4A%VK_dc(JY z_VsnCviz83%K#Pr1F=I#l(IyAq!_bGBDiZ*YZzYc*3qSYu+DT$fG&7fN?qqB_`_W{ zm2yoSA5Qch@y?V)53EVV&Vl({>|dw5HXx?IxnmZWl9un-YC8@g{<7^hB0jjZG@TTq z&6_g8J;fvBEof0W3(C}j({Ay%OvSIpEcY`ep{1T5(vqQ@>|Ukmp8cLx1Iops*Xfn< z-HDB`jGF*A(h+YRv^EvU4eA-s1BEY&UN91Vn{c0aUW^w~HO#NuPEPzK-p9I&z)&iA zK+7p(K<4o0=*F+BDVFN7gaj+4$A8HJnc?Sx+MyU2e1`5}%aE=-kTg$3o^DxMt-dH& zRY=Lwpnt3{S1!JX+BT3!l8Dl;OW9cVw}|I%rHxdQ2+<M`4j9J^EU=zpNKKFL%bcSU;rUqPH zr>6E;TtkT^e}d%DeZZ#WIQ2x6rAy6z*Nn7l=>D7uOh`Dj2gnEK>N5^z;L*rc-il#S;?<-I4GEo*zLO_BMwK##gK_hSq?7>96QY|(Uw;a$_F=Dt#eqadDxVGf zFu@bY&U2XNyqiCaNhG9OkS)#(%vj*C;pK90!wokIRP&tjS4Vfd&B=c?*Bl!egA;A* zvT=AmZSL)dd8BXD@7B6r?cE;xlRLb=ZC~#X7tf1ZJH6XSpPnA4lN&yJnZN4}FPEj} zp5~vLyNfSB2eB73g4IF)_9j!_ZE8I|-EBS|E?&1=b${7nyfnOy$ywdIw|(v5*0j0T zg%+p3hr3uDcmqe!5K0?s~tiUf;i*zufP( z&h~cr4A(l>ctBr&>BN7db7C%V%3mMZqJ#9U!u^!$YG2uIN0~wW_nks_M#bd z<#}RoN>D5)JFAfWz&qTP(%d5Fz+b7OyxEEmUX-R38eVQ36gmM;ofzG0f01G z^7K}TXMA?NBSm^gRecpMgs~;w+GRX0(fqRAf>m5B|b4&CkLNMP_QTF!g zc$)$dQ`{m+tP1z(koj?cbWIY2U>UnsB%j1VvSTqF$^eCo$+GG|kSvvBvN$y`da;3K zb+=}TxW;mFGxTx3*x@;#hUB0VC`Plk6DHqd+18i1qW5Z5HOBF`O9`wq1C!fU9xX_5 zHxw9-{$R(OV4fU;5=)of1ssrdLpHfd48<;)KneU$CnE-ue}h=1y!J&Ixx0eOV}2wm zxn-CY0yhd{#Y?~u>a-uUgde|f95ktStRJCnx7onX7f4sOY~e`GdNN{XAXdgJm=qy@ zK_D3`xs(4GtgYB#(d^Ean*Wv645qY3BW#QBjxQ5~?Gp0Hdks@clQ~umu;yOnY>$Tj zm!O-+4NXIcf{N82YuzL!=F1tiM0WU!Cabj4@+y3eg21gREcf;meVFyae+ev%&{*xx zuJFf&qiop1H^CKi9IzV{Ad-z9bb1Pl*bp+t9U@BYM46h)bt_Z z4W5RCOFDa4vIa9b|KeeJKZc^48lP(}bgk zyiD0|nHQuKX2=H4-KB^e)A}Fx;Ef!C_lX8VzA$|sOyIBc=y^3k09KD-?pj_OYKjnd(2V4i6V-Z7TwEi$B_ z9kh8{_kuyTg&kJF)Z<>BD7Yv%CZ*tCzCQ=T{9}ZJZ+Tt{OWk$h?Hbex8k86!%L-`% zu%TEU(S9F-y~WICgV`0G>-sQQJf^CIA}EyWZ@Wm-{s#OdZ7G`sU1SAUE2P}Ga7`iY z{B}$Us@e+YgHfCgb?(^mjIXJCCU%yrn#ySjt+-iD%M_vjI~HpVM)Woqz(+Qou~ATF z3!57A#F$O8QjB_Q@gA`SBrKX74M{n@C*l5)m0~ae@@=^nWGw}xedL!0xeneRG}SfW zne!QbZW!m=nhtO6Zv#04fgbhE66(fsiHH+nv8)+C&s?wJ7%V{GyMmW^F6B3LeM=Pz zo0WgJ)Ui3YUg)MVAai828v6oR(NCCSj*_?RzS;5ELoZ zIzo_QkJqc&f@3WqpF4#rp*~;ts0_U5(9CSU`D!5LSw8@CJjGfrUJ^Tcp3=tOAXRzl z%GhDLS{em|br1h{(aCQp4beYMsd1BsqxB>8d52z#EWEl;9J-9Z+rkBw`;*R#Dsb*( z{j&BfIm65SrE!2-$>?Tg&8GUWQ}t8Jc1i@m>Fk*8FP=2yB zf2q(4O}MP_Sj_*%*Q8YqjaoTV%<~2$=t&{g{uXRxYhDx%s}Mn}hFxA-lcMj)KhOOHwkA+%4>1B=DAVJ;O7 ztE#aZyx}}guw}VXU2I*5IU)jK@N$B0Kjt1?UXd(lo@KMWCrdq?>Y_Gd1Q=-Z zZ5#9Mh}@{x#Gfc}h3L20#y)QC=$(**{#iG{_QKInUO8l%82|{Ftw#O*+dSNr6Z3)% zKAb9H=1zmM*c=dOk}44+N$jb}O0bTeft#j{W^HDq;P4@C=>4(4F;|*Wnkp=lq@k(` zX4uUx{aR`?1q$n~_SIHtO(?Gdjn_c-?V##j$BI6R!f#EeZ75dW-I69~v>Fo_5up~Y zP?C5t`}=BHnROUzXYQ(kR5)fxs&%CGI&4dpymDe-!56fH@{=RzH2yRt@ZxT3JeNCg zNt&Ma9o_<*#f`*oF<0)H9ha(Tsq8gp+L$Ew<}Qy`&bvGWk*y@*i6uKdMP}eHWZO(R znx~H#UP*RsYotQSNhX1He}6<1vv$Uph!1kRM0VV>qW0?aQGXzho-xr-ql;*Xi$){l z{XElW7Bs?2@Wdr8kA{gamA*CqN*j>ROB8<}I(t>) zxU?(k!WS9yQ;+c683GHHWiNua%A)@3M8*EV9nj}A&xt_T03`|3u=ZHr3$x<|v%K_^-Ud721zCTwdiI*C{C#;{IXy{u z-AjA^?@jt@Fq)7JNK=wKfL~1X_NI9JePE1{Xii>elTD<}H)-Sgq($2>-;6m1t$NYl zl)4KxsHkAfYZs6DyFH}K8X~G{$Yq->6PKvc?w*61@9r#^d@96kn6m)q54RA0{Bux+ z?o{(X|EO4kde36xs=&X&-e)k1LjjUOv2&e%3G4np?0pAR zQ`@?31Qn4cU1_0;bm<@^DAJqKJ0hI`p?8RYfKsI^Rit<6y(vXRKzi>8gkD1lNnUX8 zefBx`oPEZ9W88bkE5;$LwdP#^{MRC5&hPu%^qma!J4xb-!qaXyysh1LpI;jEE(B3} zMH5jn&ZXlb$`${NO?Wuxt>v`~8*9qkYajV|7+Fp=i`L!UoX;IPA0O3(sI+9eAfEPR$}99Rrt}-L9$#p!QK6%z$V;dR$xMECtQ1r7u+AoDN?{}n)ix5jHi?Q%9)LcvY=AqvVxW^q z#C~Vz+2~nl$_LRbFQ1-YuME>pX3GvNk8;Z z-L~$IN^$QJjFsZQ9(c6_)yzs{o#)^=H?t-xEDS-+_HGW+p02L%mNK_r6YpbNqjQH9m+#5@7Xh+8>HzYgTMWt%+GQ~=m!dv{-&t@&DCDYKQ} zE&Gp5F&yB@J2oA8K?|i2~R| z`^41i?=tZ!=Y_!D(JtKUWDcfSy1g^Evh?h{jSI<^PIHz?zLm#6z`O8GF5*YQ?sWG( zs_wzY03n}2Bc6(*=O-CCFe~w0XNNdEi}pbM@+%@{;!7&z)x{Bo+&rB+9h$)ejD#d~ zOGUy691p>&f0=l2872OY@!SIUh5rcWek`r|*uo5AZo#8tWA18=iBsq2`6F#Wkmr$& ztIHD$XK9GNBgEk{Pe6o68uCXJ{vR83{Y(S+eM2oC4Y%j6m+1{ZH_Q5SGb{82dIv!G z5EIdF0Wg07006F_rvWbkB!q;7w+P8^-6E$WB_^d~q9P}!Vq&~~o9gy$MpimT1_nkt zR#xu&{P($8B_$s{l9YV>kDn`Kq@)ygD5xnZsi`P1{}`xfX{i`^85o!u7?@1_EaEHJ#8=QAS3ns3u3h>0!Kixq!3JEx zx_a$8&JEm~cvt>o;}rlF_8*P609USF!N$6Zbq(kGjceHW{Fp{!?5hkUyw@H+H6>+q z3gEj=7L!^2h>4k8z5O}AfOFuWG!9Fw#@^z-nTsIBhl-%PkK;7WWj^3Ovy$r3i z@U}|c7KA*xS=uTHzB9SlpFvhD)`9#Xx#pSCl%rS$tUeE&1UGIG9Rp2Giy93OGmMP| zmO@*FUDPu(?hYl(7i`Gy87@s~bLum@q$lwO+L6sV0?1g$bjjh1wF`>!(hLc+$K6G% zH!JKA1aZ=zZ_gjScstt-NftWs-$x8Xpu50kcCCCj&bZjGsw@GeMWZ~CRNIX;zCONB zVZ-#VB5~&-C{DTV`iHyxZFQ z2X+k&#ax2tFG#wH95cubMk-ID=W;aW9L!_g6cZf66qo~7qogE@T1;YY5+8t4L7S7f zTD{*?NqMmZINbKTNjRr(E0V=NMeed%Bg32XPs?9sDloU4#8vrs`aIn?!+jL1;CI;; z2G3gRA_->BBx+vS29_It^VNOyBo8-}=WnN<(zqmxB-!F&D7RL!3*UYPRbEB`MV2sn z5+2!e1?E!Ts@-#|<%?S}kaLGq%x15G8Q-7}{4?T&Kna+gXal@4TNG+$$0}UojCGAw z?_tL5V3-E#7hZawr*;^$l>PZ)%XbhIUmL5yk~?lQkM#)`>)Ga>G#qv4e=Oc$d_s9& z>BV>VgjDto$u#rfUGC7==Un?w)$m!kncRJtRiz)QY=gX7y*+_Vl1HElP+JaM=ag)| zVHs6BRpxGtD|8m!%++GHD~;evzs6HBxuA|H1#S8jo>(lzig(Y&dqp>E_I7*~**&^) z>^gmtZ@##SOlyfqv1n|e9YT~!9w0P!dfS_8zxlzkzWJ>~Eh;f1D2d-RaqFHlv6Yzg zWDZ!8JgP!2o@DyP4qzosa_+JDjRTKcMtv&w6t~VpOs^d3aNHgS76~Ii``p^)!1R4L)fd2KikC$mm|F|j$3IABMEF_0t;sh=ZXG@Ek`!?`Ny0A$rq4{rt*aI zz`1@g4|`x-x)yZW%fzgVX-f!#nMd=LUx37-kJ-EP(SSLSw{cEJlmAhHcGk%59i2hA z0iI3q1SgHIR9eYt;e5?OOOFZ~VEVCPEs?6D7qi=%7+l*=3FI~J4OJh&+^t#k%)3;o zrzdYKkz)B{a~bAF9P88mS0*(F68K>MPE%1LPEO#v>#R}8I&BFh5N7Uf{`phAuBd&bvD%Z^GIA$RVQRBp8rL_EnTyJI z5E4;o=HNq279L8;qQ2x~(yc46SQm=UNBt50$8?ovt-yr}pY*^UY@Vxb`>I8lvYw&*xp?e`^B$-h}Hnf>{8`chdU@N_2K>ca_G9PNq+a z?F;PxuBGVjTQa#ad47s(9-f>$E;pm-S&Swxx3SKM0DJU&IFZ9A2^K;oM8ZWIg=W&lLw?QrP$tvzv7Ye56Z(12#(EYNnq+QV6> z@kDJVaoiJF58iF&QAY!gU$z`UJ@$2~msadO0uZrNyVxgO(94Ek6H30VkmfeoZi-D{ za?)J%4vHP#q)%?)^e4cGforse}fMkst<<^PR@y;W5>7I6F$< zR##-Nfgc*Mi3@4%1U6^PUTAF-?x>Dqbd0lu?qt-J;78P&>1^mPjeQ|q)uc{qfiKs5 z-jHYvJ(iz6BSB0e2jNAN`*ysg$S8RGEVleO472i=A_N0fw*}LDnBSkMK@L*wQb9*- z6=;B>-@c@6>zVK{8h``qPqm*#Zg#~!WX%b6uo$%~H|L!Rc1jYQ|_OwJ@0?%dhQUPOhIlK&WNY<)#aG?{H z9oy19xsA9!KeRP@Q3@gposduw@{Y;dwKu*Wi;Do-(M65R4PNZ18{ds(M*#oz>i9Et z_aB!>eqsJ!r0FO9`bC<4k*5EbNK?X=ONk@)=YxCRLY^F~XXCzc`FxhC=Fd4)*K^CV ztOAWA({BzbWO(*Wu`d#s$PQd>raa|PU^#gW537^b8LVvQkwIZP_$~Q;D7`guWVffb zKDkiBoHWPhj_IIr*+F*Tnmx1Req5E-C#v+wbllC7?dq3N8$++p?N0Ng#lO8^d{7u< z${ee${sIknu$#1^{uB+ki3VT}wD^73)-jvUD+;nP$0{gd_>($@K9Obj3@Iqx1*v3^ z!J>yConpWNpdhOPbHWe7ba=(M1HH1`Oj3!-E>SZPcT#ibHY|_n7*7IevtY@&= zR*ffFOOI86(nM$&XRU<(#UqG*>)g$ZpTy{rA(a!6>p6IjqV^EOcwo0kupw?bi&?|J zV@8f`)RZ6W8Zd7ph8?p3wF27-SyCu-Y=+Z+?&L2f{mU8+|2XLj3*Z0iN&goo`eiBm zFSL~X;za+)a3W=X`_dxZ^7a=UBxV&w@Na5I-w>Zljx*NB#EsAZKf{@;_yxm1T7WbY zA=09n=!9uewprCeM7lx68J) z4eGp|3D4jMBzkseKu6~#htejIodUW=?*dQc%8zz>H-*X309`a-q}0t7s^=dLD!Y6g zOLnzxEwrfB=#!kY0%q9VT5W|th}7kB700T;!ik|)EbAB!^*Tio8&%942ioViYJ-lx zj6(y0UZVl+Fv;@j9W(c;2H=F+YN^Li?FsD(`P3?9=#I^cs|jg{hv`X08$Nh70|&A6 z9?yTAotbuTM?BY5XxusUPfMHFt;>N;B1#cqn;yn)*B|+fvwZ49C4yE%Pv<8Ns4p28 z+fPnY+UhrtAv}#pDWzZ&m>CL;@_>tnjQd~mGNVggRyywyx!+a=5^^_4(#&Vz==Nzp>bM9-ELiJ1{3EphHY&Zzmc?fSl@m| z&*nIJE7u7kf`zUN%e{tG@+q>3bH}sA6T@ z!=%E4p_6C;&+hJcr3ES;vE(}nJ%Hq)h=$WdrW+CCk<4*=m&_^_Y0%^OK|X8il7jJc zV#rid7&C@DO=14%2N#+g2r{6Woxsp0F|q?gD+`f*xDt{3X|-fx;#`z z>h$g#v&SkTfL66z)KH~LtAn}=b>OpOfyoWojOJ?3Px7o=ejmNXjDT{7^$MQJ@*BPd{w_z;QzuE_?NHhf6`Z#HrfoY6Ho)jNN>SU{n@{x+|U5# z$M!bX$n<%lPM*r?#nYl57VCdJwf)bW3Qv~oU1%M}Rtre>K|+_(8|*BKxo@#{8cRcm ztd3)=SrnMx7{6}qZ~bvbd~5n*6J-)Z%#wpysua~RKjJa;3d6S)*nVCJIC9|87) zieqCj7rDVHcZ^DBq~1o?D{Yhe?@9IM>^iwzts}s((fd@I1shY5JXrV4_%KhF*htrG z(nNZWp*5QRU(f)IBgkaoX^wES?S=X=cwf`M7sH4ysZoK-u6`?7vn_^&aTYfzXGNg_ zQa~M*R__p1I>_YodQXi(x z;inr)GZzh*VnZ%uZH}E(mpIdw~Op2Goj<+BW8 zp3*S;e}_E%#3P0_m2~}kg(`qQ>b4P_^%24zj6YHRE*&ycdcFTHRxKC4b;}~ z4%HWUa`;L@r>SO4*{-ZIAvN>d!?%?YpH;n|OL3=fWARMN3~MTMV-=dlm-en%x0Oun zPG(K?m=UP&4jQ0wdM{5gp`(2gX>K;R|4KqzTqsw0*UEztf366gsO{92JzhVM&NVIO zj|7o@k0py@232{_PwH7s;uPCWZLEc%0Y0ZpVq%5i2e)|xN^2Z)hA)4=aq~ zsZq98l)Yssl?XYa6U;1`YPAF$xVX9Yi9_o`?$w}mI)olZX}7x6v3qfow4nyus}>dB zT5r+14h-yRgmGkeL>l$P5%G&AGx9un(5ia(TI-P!@=ITTCv?#FB5YajC~&NEQAYe3 z9>u2fgxQ;s*XI)0&TLL04QlOrIZ+fJW3q$8)|6}st{tTn)|-}hVOnpMlFoX z3W>d^Cxm3lJLhaF>pOltpH0!iipS6@&*iI1c1KbtYo)~!3GBc2U8~RJR{c3B74Ln~ z1!d2Z-b3lWjI;^iAqD2uAUXN~vvzt)rxQ+^2)r#X7bT|iUJs#gx`S1L*z4&el`^z) z7MJl7;aB(O$$HFQ3pq?WuJuHu69V^=1&_8u8WgO~+HK7=H5kStijX)SvA;=km(Z>G=XpU72W)hwFk|2sT0V2Ht z2-F`qoT}nIcTmDwlbz5BxM&V}d z`wcZXSP!W0NU;MYlgOUg zg8{0q4u(x)RnFT(mgR}cj-yw9ESFzwOEh?v-5um3U&G61@C1Z>p48ea%SK=I5nHq~zrS7kg=UEi9MPv87n zVqxd1jRrg|KgxpqczP?rv*5UOTDKAIJ%LzuqN_B6MC`qm)9P;N8tY|;7KjOni*`c} zyzssV=$sqN)YaRy6v+q?6x~m&_d`UGvIX?Pxpr0S9Jwd$k+$!Vc~6X z>UdSHk8~SzH{qbOh^Oegq4WIre9tB}-co~{H&C^2VNlB2gW{Ptt49x7`ox4jPF7~9 z9bTMif9$$T)y4ePSC~5@2CHUc#me>DH+G}}Teq7prBIEJ!hT}#^OoRbxZvX3HW8eM z`SKaS=ik3|b$BRWe*>==YJcJ~Paq`nkc(TK3qQir#GX1`e}i`8`l}P!Hv|2&E&FkQ7g%?*6C68MfBkPK=FsczDLDV`>Gm6U10sbA1!enkJWaHxF^RRR0>r^ z_&(j`{@y^#OJ`v>MYkV2edipgdgs1$)VKo*cjy)kQy^fM`HjHf>bXCigS~1+qFHr` z`@Ea-$YJ*VyuR)YT`r=E23o!E%?a94lFr|&AE?+tq{HcM_e%Fbsj-`zNBH>e_uWPc z(+#?$pHDZ!r1ckI%)TUxp?9!|=@n~WtA&)6()^Slx`s5c(-x%&Z=}8QT|;K)wk%9O z&4(#lA6}&j+VU6;0ZISP@0P+O7h(6RcPOgoN|Yfu5SPq7tsNwfaku4*ry9klJMd2c zsetw9UN&0EPMgUujYJ@ljY13E{u@Qa1h<<2uSntqj|QZWPH(lIbR=5%+X354jz6Q6f%}n$xs-Z+pUZ~KCCl@{?PC778d^(12WFsU z>I)q%rJ2#?`%9nGestm|rX-v(pUFnR(zZsq_CDQizsUrXtV zLCoQ_>n;ZSW>p}?Sh7mZ&05BFv`okAnpZ-d{cWBe2jy!T8ZNpoOP;|m=!4Y+#0{y= zxWQ7~50WzGGL8r7;PY_X6W;d}uV1t;Rz5A=CG%v+>F`dFt~hZ^O>snZS4WfrSl)-; z!aqIH;^i|5f73gGo!awaTlNEaBku-SpN9U)La%(ZOZx0=6JfHub_KO=uVjkB#?3kR zkgCxt{wdkTqgoaiAb^&|yhygPVN9da;I01bwyBvxX&X_Wgdc?Eo%@dvZM&-LZyvs& zUMwURn%Jn|x{?xPP&(#+a$hbqP;z$cb;u03xZ-xVv&7ykHQViO2jXh>P|NZSJrjF( z@Qu>(1DwGn#+!GcIz9a*)l*bsRXZX5d6DMvivC}x4Yx~N^rdRlYRy8MY8RmF6tdjk zo=BUSUTu-9(<_4zV9`sz@^&M`s@mFewM(p-a@q1KLxfi+F+K9eNBtez@Y?EiT zEZ_vUM353`KR61j0iG4gA;&Y~73DL|d(nV(9^^jDyAJ4@!8J61VqE7_o>1bb>o(zx z(AyEtr^jHx_8Md2K@V4g5W2?bez zV!ED@kNOu~M7Q(&+3(o1sqA9DZQlb4ya+Qn^ug|&KY|``6e4xbfPp53nE0(drQ_D| z3$3#7uV_Hu1&|Uth|v;bFG-n@+^6`ge4KMasK5oGFG;)wP)|}C5P}a8bA(S3f*!;eM69Cs0|<0`nyj|#nx{}eUE)U z{uco9&rKQr0FZn_|0b#97l8a_XZbI*v-|>(|FZznW9-A&Q6EBoO>lde+-9;m7$JIu27vllM9!LdI0##{ zzvlmhjMi!qRUG*1qS0o?cOtM~xKh1*y$|QYZ}Qb~{ccNyU-eO&W=&cp>XwpHG@dlm zoup~mwZw){?|`hsEEV5ajc)T{2d>&@M#z)^hc9YoMZ66Fz6t*E9>xZm|A#%f`Ec zHF;CDl*p0$DJ@@NZdQ-rT6%!9UhO=El9Kfpv(I;)dGS23BZQwk`O-@Iy&F~s4>vr~ zo}E{u8h415YiAMQzkirtTB|?fbFCjAY@mUggiBK{i+UF$Q#AsAo@6d&#+YmEi!6Ho z&4Fc->?t^v%jOtt=Ci%y%HG_Xy%{eo#a1wIx;sRo5#vtbz%r`&b$`}=xJpNZb$X`v zQKdht&WBLrW!b5&H397&jF~>U`t8Q-DEC!@W6ieVd2kOm3Xil9RLq-rzC+OE1Aj65 zalsQyaDh2nMCX{Mx0&6K4a;!ZfFWyODk~;!gm=r2A}r`#CS4rU0prn4J|myq>?+x+Ss`t~BYqGxAP(b$zdgTHpKQD) z6i2T;M*Pf%;1lqmBDSu^Fke-0j9KbFI=f zubJ1>SZoa8h0mCoZ%>WIpc?YkxsQsm6?hFS8vg)xEG$_ie;_(BpPt#Cvm80&f&507 z;La|;!|l_@?C&y{7RGyA^shLLG@&qG(b8ArHE2MkPJIcWO8GhwL2{&rXLhcRn=b~- z^;Adx9hP%83ip9L17onE42*C1k2 zHf7dURgs@z4hkwBZmCI@wf94I!FW`&?f|cy^p?su--`HxZeuXqyXyc*NWSK@X%XXh z>N>&XZL3|EtDc@r_OsS+?Yjzl8Hzoa=C=ncV&BkZ#lgER?}u$e>HX*!=|5Px*`-cR z8wHerRoCq{d{+vz;M!Ab_Jm-0iXQlu<4NMhjSEda-WoG3YU&#-LlU0`LPK7&jjo>& z*jFtsqhiuiikp$a(Lrc{T(Cyb5AXh(qK6hE2Irs$GZQG%L$z1%Mb{!{>|{Q^8}?5u z+FJ>G8oKjhwC;>1W<1TTc}-m#y^@`mJpo-U2kud~JY{3U1a$MD0q-%GKPCryeO&uf z9^c>NGncFX2A|m|_4&8K7Gid-GThEHahr}C(T$RE-A2&(xXZQx1<-(>KuST4 z3xSQ(Iq!)9P&t1)D$P4KS@FmH3Cx(qYq#)$lz!Wn5DOU)w6xpY`VXLuIWGYj5RB;m z4R~R2-J<_tE5@L_qNDX2rqV*mEySklU>Z=rHE2W^O=uj=V_p>+z}S#+iTqf9A~i*? z5I=*@PWMmJSPwmclbHDU6kT(H&=#6!V$+8gql2KWH260jr%)P`oyrp;^>*J{Kb$#3NS1?2j3C#t_eE?&OBc5VHFT)!aKFUa+OtIbXY zR7_qmqd&1Qq*4s1&s~|1W=;U>@DWBT5*HS|&UmI~7Sl#cA{B}V}s{n2o z&9Htwv)4BRx#)`P`pDsPOBMedhA0Tmky{{90KBkR zf@zzHwmMX#^_fSG_T<|=jb|Q8urilaf=Sj1>U>D;yHn0w3IX+1v7^QwSLG`Csn|5% zuA?mus_u^@iw)FTcLfh^?^2(;8^Cq&p$w&k1x&qD`Nul-v%21PJZ?S!h}f$Wca0CJ zSuyT@qg2UV%?SUfoN@qJ{8T1VjJ=nA0w;TDjdE03S{c~)DBCq<5H%JeXXEr&30N#S7V^YONKxVlv_PDwa4_F}YbSZ^qOqxo)Vp-iR>SmW=Im+Y{t|Us_A+nUS-e zhEa~G=CbSrHC5V-`HK5~SLg7Sq2$Yz>`ok-EskvR>oFR1EGD>;Tk=67BW?ekcDWE$ z_`55mP7GO6gNwk;LfX3-RjuGOdRS5Pan%ER1!WGH?(q-&l75%=H?+jObT`Lj2)^Wu z)FoD*lgw$k8=0jp4c}c&(j+p~o1X6g?`swCh|C@Mfu4R)@F-~X&zka_ijAwyUalpc zc;9F?L$hYnAV(Vq%KpA(`8=tKua*+)My%2D2f=FX@j*TADZ_1Hu@f~%-zQe~4%2rt z%|8F=r||>2=aQ&fE|?+TJQtxHnPY{y@H0pg2I0_W!<-JlmPm zcJ3%KJ>?fLPkcsv@5}5P=`^u+Yik^76KHw?Ti-_Aj-Q8x$(Ey!o|3QbBq_2W!7SJ8 zW}(GsgKb0HMoh2qF#l~2hh*$&;a-#8K5!w6x?<`dcY9Is%wKa=!M6I-7 zzK-q=Kjo~0E>Tg^Pa~Dafd2p*&?pQ|1=aI>1R~-v(k_7!@DdoY{KQc4hx3&K$R3Pz zjBQH|A(AFJ`g++W63Yy1Uv`lmf5F&mr;{lZRvmrnP~ z-}&EHgXEXF>VMQ+rNUFJ4Bnf#VIx_Dt&~i6_3d3+rr@3*qr*-EFloI0Mj5REq~fOJ zN2px3=hVR0y5wFpZD<*3eS2fw?AhzsyC*PPwsM1EXOr?su*qa;VTu2(TNw~#vzg&1 zEZMKgwWxgKt!wG6WP)=>cX+tKe(ga|Zrse#d626w{g6WV5;&PO6ZjNNc6YQjr{}1Y zd=5HiUjbroYfYijHqW_AayqB6o7rmy=x~KS)Y_c5uTP_1&{qAhtOU!bf?E~pl3bXc z-oSDjC2UdpxKB4G5y|q&uUg&btXhp?OFYT03~YkHU?vceZRUvanj4RqQfl9O;geS< zY{S|Q8)l}#g4LNj(?@R8x3ILq{$ci`{&Y(7#$5rrES;kox8}DpHFwfnIDOcx$%S2V z3+oOBt<1z28%kZGZbgr!%mMEPD|pL^sj3PuyScgpmM&Roiuw5N!8TB? z?ew12q2DbJGZUrGl4!7beOs+BBAF=g6-@V2oMxkr!))%hfCe{p`i6ibL!;EWoZel{ z;-iy~2hWY4?Ql+iJN3-f+sSr!#W?cqUFHll+-sPk>p8r&xdPr}8xPXWp#gWaoobSM zF&K$CBof>A&4!@&Zs;8x6(eK`;hThX1Y5A*gG6)u*DmRTfc*{*;UVsVQIR*aJv8qt z#_RjJ`0THa5!r`;h|4;4^6Lh7SuOXN<67OfWkVwiz_SV|!`8R^yRS_fE-Y;e%Pa4s zD3wn}8E!|ge16S*x*l#}M^sqvN=bVOilAXYd{>2H-36FSC{S*;;AQcN`omzg$q@bk zKl>%GRiArHN9Rt)#-G+iFwXffA@9|iS|=Mv$y7hCHpG?Fmx_>CuWQ3~x2UdsuS6V6 z>(3GpW+|l^)eHO3(2Z%%Q`ENh>B1&ZX(~0fD()kGk3_-k?=CdVtA4oM%%4&bKorLi zuyE2IA@c|pUd;9vch}l^=DB7!i(sHlO4k>7a2ZAd{FRWW~#iw5{As7b?FPle8N zS-iA(6Db7*r>FGY(>^0NdEgRO5SRm!b?}5}$B4AX-xZT&GEz&F)p!U!B%9RHKhJ5G z;C?SJY(W1;c5xcx;j3gv15_Xww3GB`zP!8E9K3k=o99ddG%6b{hTv;G8p9+?VSvnJ z1R9|Bv!~3TW}xW2c75@+tp+q;c={3%wOaBcC0f@og9HEc*BNJQ6v?F`69Hk{m5>K%mc<(KqzFJ}1&}wMFUEEOlMQ2gBqYIbwC!Y>W2en+#0QH95V$fg#olxaL zDP6_zC~))eH;5F*7T1~i9d8iFb>eg03doGAtMQ|o*5=7o*4g2=2lMi@mmq0+B}=9@ zV&Y>}eMxHS5kMVkEzznib`TH zl;uK1f1)Ifi%02Pr}cyreUZ?ehQjJoA1%W7Yhw8b>iTa}*idhYuWnjz9BTQd`s}S; zveq|=0W4N8>6l!jA{)v&dVDq~CW=XxHVR%r;7>N7YSlHORaG}r>W8%qy{s$C`;JHL ztE(u8%XcJdJ#E8vDsyMHq4My`ol(+GM7Ja9u`6%sCl?cYI}4RkVUb}SD3Ohtj{1jQ z0}W-$+c-moAjTdpAIG;3#Y{|Nrt|@LO4pht`pbq%eGKZpt;Mlhq2@HCB+R~`kBf_0#q9tGXR5%>z?xjB=nA)2;`VDhk5Ou@uO;m+Y*+1U zY;4Ze} zG8tH=VmADNCI9W!*E0*UXD0w(GC8F#a;oeN4~Jp*WVR%N0XHg&z&;PJ5LM69@uNw)YR`x8~l!yj5*o>6jIR;XhhNNX%+JtYz1Zk$9a~U zea4NMT@Nv@60FL=&F1ldLmMAMRY5zV7=H^z-Ljn`LZV!q;W`J^f-r#uu4 znl_E@unl_Zlr^K;x2&#uHd}@hSzznNYQaqVASPM%FnVWLbL#JVvR*&wb;Lo%qU?NA?`p@Sr+sZ6ftzohF5B&RiQ}K!*qyzkuLfqFx z26#+aI^-Gzo8Iz-8&p_A=K|LC@C2Y*pX@V!30(P z2B)AuJFlY(tGrfSwd>ySaoxYm(nPdXK=-P@E3-pKg$+MLmXT&C23vg`7X4h#Mxzu@Nh3Ex-cEmLPUP~9#u3*nc zFY?>{3ig;Df874W8Dgek;cCG1L{wCO_it%?vUV6jzf}qTv!bv3YeSvhQuA7ZnG>BHPLKDTb2!Zx?jwGVnl z54YTCaG?eg_DgD@A)DaVsJZFMO($rp-`S>*SPNo)JEIoVI@@y5Nhmgla;fy{GNzVu zuqx{Q=sLDcK;43T5QWh3MR=o+;VKU_I?vNuIitOrknV`jC(s8i2m}$da%+AB)Pi)T zR+`oxGsV+1EgSvR2Ro7sgQJ$aGf;kHJLjm#P(fPvesu!$k15$@bU_pY-qz_^o#^h{ zi+jgM&P~|0E-Ov?^QLD#` z+lVK6tRcFvaz7FIy}m)x-rKDo37>rk4b)m`p)#TxdbQCd;Jjm)R!CPRY82nHi zzCcTrd86x-WyQrZftO8&2IAgAlZ#^B)tfei_ZNy|KQH$T#EO+oeA;@6sY|5PAeBI) zO~tUIyZRvc(<`JQ4)M`l|AU8sNOp+{pa-A0(@=dG2l>$T?7k84e$kZ{fhy5MXDLy_ zu%P+{zO3t^mhUxX=ERx9U!1j$NhHI8BC~dOvQGDKp_4CDW!ZGb!7fxU4fk_i=yI>AH|{f`NtHkrI0sI zyVtwGq1E!%hVS;L_W78^HuxkL-ZWH^_Qm(?(-9B6YVJ#re3k1u$T2xEvKD7}r?%Xm zHUXw(neNNye)@JlKhsMo2<&=p&hYH}3Dz9AnPTPPT?Ua9{Pr9pOZb?_P|K#eeN?m5 z+k24<)1>XBX1I7nU9e2Dv4v6#D#~Y@BjJTBqAe%xEFo%iqgfl~dh+-WCJ~xbU05#H zOv6ZZV;(q52QK4RMN+-|z^I)2{sHwC7FFEG4}_Zb+p(SGG9iyt_P8YIlJ8B29Yy5S zm|NsCkkY6Dc4P@j6~9igJs#Jg5i);YL0Xj+xX{rqkm^zLu%}o?hPUY1SpMT+F@o3T zJL-@0Zrk>o9b3LHN`4fR)b3Dbxd*$)XQ?%wl0Ex^GT_aC-s2Cs& zzI*88Csy@F5PSzLenm^$x5p-3~+DL`cXPRu=31vwM)Nmj9-q~vd!@3QW0vVTi`iqMCC zXxbpBoQg>5)5K*oco}GuSg+%xB=PdjKm{dT`5r#)ck6*!scKHb4$B`m%!tQyC<}c* z4@7fp4D2c{QXa6B1lhU8@O$^Q5uIgs4zhD@sw+f(4srC%C`ah;IX(OlM;L` z<|KNv`%&GCnrE3SIuR!lkLbgs1VV}Khq z>`33;o7Y0QqeLh8 z%7R0gNhAdH(nn8EO7n!H)f$(t2V*~S4LF?zi(khBhp?%E?uA@7tdT|jxJ&%Rdbbq9E*}k~ZE>Etpa&OMFV$9Fk=p`P6@Z}=? zTQmKDdp9L0FY3Ne@TUw83r?w+?va?d_{wN}PV?PbcVF-RERqrA=$00mCi7msLt!#LKXZ zIRDmvPm|j0O^(8xVAWGLfxO#oB9ESNOwR;AtL(g2fB*cx3B=7*Rr8D5?YSC|WY@#Y zhs=8W^?vvHsLhP;HOBR+bnU~klI)kgY?xp6UK>n%VDR#|TIN7*SObe!^WYfiZc2OM zxm2K|s>Q_7Pvez}anljx(dO7wv~?^!ztR0VS|@C3CO%Q&iH1$r&T~8$%g@WDADH;r ztqL|iL|WDqzq?-CzEW{rp~>~Yg&>)hpW0;hmNWCU_iGah2O(mD?t#lUEc9>$CvFh^ zsJ9aOehudr<%6L0;9IX5)+pF#W!nzn4P zau}JWOB*|ooGpd!p@vNZCG5WF!D?ssWg06rt1MFZ!u!-dR84$En#zR7c~)`cmk_g) zP0@trz3+j%)7pL6jc%(a2VonAjg;_e;p#7(MVsjX&eo4$WD#-1Pm1tB0!{Jf@!ZK+ zx2&qU4MY~gXUjis$)XyBI5kpPRvO~N)lb&ABDa4`MXKL09CKjfKajQ3^PO=CAFXi| z5f78slh(^Fuj(QbkS)YABf87A*4*}WW&n?*DtlJhAu?*Io@l0>dX{)UY|z`;*Q_Ej48DZA4`AU!!z_nD#rHJ z?ut^=dZs%*=<8Nv6Yf~j?AAQb*Dko>gYa1eBfwcl=PA<<%7TN)(F&dkWeV z>r(|*n)oy!LdjNd80fK^y7Ayi0>#{>dVLx=g^XG3S@p*rHANP3HPoToyE;b?+OGP4 zg`WtJm=AhT@S}+yGg|<4+{y}>K~Y>vWUvy(G^M&xtJl`eU8*IY;(De?Ra|ZxaecDV zO0yuDsug_I*p}=l@|gX~;zyddxJ8uu_Fp%=Sl3vOWpSU3u=KeZJs^CqbshQT98aQJ zV|07z%HG5sMi^eVrVv%NBK2X9R-A}Py)B9x`E5sD(G9k*OE3DA^yBi^!u&;Mh#WKQ z)y*BHdp%pRXf1XRQW$)yEcX8M1g}_@9EM6PJU-}S;b2|YHX%#B@v#ZC4GyArJm@Xga_wRIR9K;KCXyDYHI@VULet@G z)u&uvpZ_{yLXvjy$##NWXUSO44?abQz-jec=KI$`l2ys&-S{g2#*grsb8B8Z!KuOL z_wlIwb9_JXa*|4BWjFoMou!=~Fv;q%+)0gnd8dBr<8WYOzAPl-$8A1p)8@*|7q9l( zzUZ4wvJ{EOLQF}CWv90d{KIh7+9NjUbd}WIW7W^?@(X0i@V~z6cK4Ma+&(!*1(bdH zY>9L7CY1Y1$T=JT0A8Yz9jx`KRTRVI3Vo77UQ%xCfJGvmyU?`+#d}_NdX6MD8%+;zm1mC9IcSfy1ZId7u3HwHO>S@og<=6?g{1Np^pg#Pp8vz(CEWfb6sNw;1f0! zduw!-_i+RQdlpgHa4zclU{<<`(6nBG>^dO$GGma>#T4avzW%hj2_bScW z0vLai4~<<^QQiR!-_6FgdqG3>X+_3SL7WTbEgau+XEmrBZEtoL%@eFPv|O_@IMHib zn~)F>;eH;u8deg^K7^=9T#JHmG#f5*-AL-*D(X1;M%Nj7x-^a->g^%LHzpiPp4KK# zJ4=&$s3#NXd3QpxC6tCWpQcOj8EtSiH)IAEAlV}mUMZJs96BQF55`Jr2TyHhI z+HQ+eNfGumAvjB-miHijvqrUln~s}rcxcYpO2FefHq(B2jV4{rx?Z-;>X!$3L%ACB zj10R3hLjmG~`yglmPlAs)Wm;GKaj()1lYR<4kjQ|^b7z{pE!IBeMYAzM zxT~nQVC1p~@4o3lqS;oDcp-Yv=wdoDZoJsmC{pyzNR;D9e^T3F`L#P4Ttq5mH+>Zl zA5=s&3%ig8A3s3*J~e;EWgJ_)6T_$R6>IgIfC}!sW}#y~oio1L{{m=0m%r}Tfh3nX z&Sa&KnTD%?Ch0w+)RssfzFo>mSDdV>(1Rl4=1o5K&mleU%2{GzBtE zfvCDS@PI+>LacNiR8d4<{nDcaY7ITwX(LK*aS;G z?N>U@hKX+D!uu&Kzs9?+-g7*I+Ce+-5TIAl+1y;}O|^u%c#{&lyVx^fC`-a6Rn|=z zI5+ibd6Pj*LtLsj8<;)fHpgu?Nv?1p_bZ$BLuwfXF)*7b1{)c4BqG6E^k z9C7pmJDuabv;#|s!+bI4+PhzmrdCs=3pSgST?>)xi}DA7|L)KWz~P#qtcz~WE?j;b zO?3($6cdW&JNuxZZo1$f83L8G#`RV9igG)iCHF;iweAY5AkYQ3*G0&L&!}^7V`rmU1(ok~$dQ#LY@@ zo4v>E+{@GOkllP;+pA^Py?1_14^W$LHW^lau{(Fi&D349?hUfdhPL9SeGawTuDF!l zZDF<21?oG9(jQAvDR~|q>883(uuOlEIr}p_ND;}Y3(ekx&DWUTvN|V#)6H6kNW;9% z9K|cg6^56bQpzvh(p}BZ>1no7AcxB;Rx2E890hd1O?D@VhJ^~{@>KcF6^C)L&<4i` zsFs_+yajnMwu$Lzjn6O|X?Yf-tJiyTPeo#)hpTZss-~cy!el180vs`YsAqLDN;ipl z?hQ*oTJ}-Y^r`t^mVR=IC$A@^;-YQdvW)!co*fHG6Ijft$5Q_?%|r5%Y7;`nW;SLeo|(wYFL%Tgvq&nycHH15FSD@ zG5aBY9|w9=>+w+R)nqcDwlu4d1*cO6P9+b zF`dNxT$MYF)o)sErxin7$LGB{abjM&1b6%=(YXl{50h&qVFLrmXWc|=Tn2d=>u-<0d zo5QAb>%2+h#Gf3y8qbn92js(!MnXR<&SLxRc$O%lKRNbi&yrUMPA-=CI4C?ARf0qz zZST@dxc3gasTKf`Fd2_O2`o-0t14qz%obfwEG&OPeTiKb=IYzj?Aq2n-g21p<1Kr3 zLalLH!SCaEDDX1B=1&f^zwNLol;jo6*}pxY>zzE!8!k@bBz;oGd{0_9;?P zD#Zm>g4=yF_vcu5nouLbsT=?%Ag%5Qq(MQ*&UID1^lr#)W9#-%mh=Ku4n zCdbHnxwhlfGaP2^M~9>a9COqyHEQw~7;Wb#%x>e!UpUZLu5k#?kQy}=Lh6p zQS$wf8*mJ@b;u2xxo){}nUWjZafRX~ufCXS)nBqbasv+X=Pftr7Y3-gyEpTH*{+t|NRA_TpReenY(U(4 zXVp=nR)P#kevlW#&|xvlB`I*o^^o?$fA7qQtnMD!U!iOGGf&mIarH|Q*Xee z8lm360O&mEqnXjw2Bt>e)CP{CSTVJMi=-7saqrEQ{>+rOd391sQ*D@8Lf_N|+{~V4 za>L9(ZfA01nycK{4dhBEN7t2u%Tq3!+^~V{8W)MJr<1>#+`!#h+~fvgR%FTzglKDR zd}`Z6gSw)|{k%y=*BfwZDVyHd4NPd#2HpGr4y<}(9iZNTS<=)SW)^6)tLXdZmZsh? zlM2=31~X!(HxSl5Nv|b$_==+w@qkA-;AWE)_B4fO( ztfLnjcXGWk2V8Hk_UaTIh!X`vc5u=Afulk)*!|7tE-3JvIyS+9*Y^Fikhso|M=YD( zSgVJ617U>FU|(?4^~TOLy}@tO)En44^i6NzY;qve8@QNj)Z%eqg2gg}7V~c2p53P2 zpj+A|IOw)E1;@_IrMBgFPG=k%D3?7|^X-HIDqYnbNXW)=R_CBoHKLMoU*NIwmbfan zBbE>HSF6GxSJV>&$0|R{)3UXeiWk!oNp{fzG?#d@7@IF26rDt0!Dk@lX>XQ1clUu> zBIhA4^*NQh)9T$<3pm`B@ZOZKf~wPdli+vHCVeEGFcA5sAw}N~vCu`a5yE_RVY(E~ zW}vDAmzs=VUiVJy>IEuRO`sjX(G78|a}%7}jD%)AgcgA&$XuIUeRamIQ1c|=$CYOG zw6iQAjTt-SA*{P10F+(x-k$ia}){AFE5dc;zZEu#oF6Tf-cB)AfiIP1* z2s$~=1Y*ZU#dSp1$V{IgLgM6Y$OIxOwqsVM$$F(<+;k_Bmd$!@oy@6uQG%lNI9;9w z4)>CdM5s6z&mC|B%cBYaQmxvcm7;Pdc1=@VPgpS$e32}%)U;;-fCXm7aXsPuXyS#$ zuMJFxF6-r^(tB!1e_S;5$ou&~IxOH&0knjVvDKDnL~|fd0Ee=xnxoyc94OJ3`#?3S zV_)al_qcc66`Gx$P+123cCkEGz_E+xxC118SlmdRT7~)&rJk<5qDdIdgMk_isFZn- zT}Sq25MFy5z@{1cb~vP|72$%xLWm};${cv&0E65zZyo}=ZHAK4TQ#~c&j2KnASf&1 z@oca5?6&K^YLDEuX^*aT-wxAK#Ih~LnGD%ehkhxn8*5gVna5-_$OR;*V@^!YxDGHE z%V2M_7K9DqDXH8)XLdK4=SPAmh`rsuuSklJ%4Gu7*!J@-3dH@!p1?keY#BsSBohUf zW@270-@%s|2`8DEq$G1a0^d(k4*HdEHc%tVyFiYy@q2!%(nJK3YToX*vb$Y&1O2)jjl zW@h!9*BJNn*)^llKyyMSzxUi!Hzg)(p&zJVlqxX31HD1xBD?t8fP(E42S{gx5p6(3 z?RHEbadA=S=bgtV-aFx-f;t0P@1EP3r|#t8;a&We)JP8rVszr!PBcZ+zPh zj#h+X{#zSzgtFE=8;|B_kJK+TTgGf(LC3+pH>PwNG!vI6zE(z=Ihp|r;P`E-+zKA&n^)W}FSw2T1D;L>k$fr)0p*t@ivXW6&Am)kT8Sh{Y>9&YKJ2 zcFuQkS29i^;a#EEwbXffW7;Ia=}8tsEDs9MR8~-e3YN~|NV7SrA=Ir(xQs6ZtB8dgh{oAaWL>ca-ee1sPtY+C|X3Td>u zlBzBW$OH1b(=8AdAf$8}IT`nEQ{=_MX^B)TnI<4pTZ?br)cF`FKtnazdf1>>Qyjq8 zfnK>CtABgz60c!19_r z{CS7hs$KdXt~L7MVj8W+z$)jLfWU*6)FFNaCNI5NMM z08`xQozVzj98VmGJ(5&<@i8mBPI33f545h()0Qg45(GY8j-SMNbr-m{g>MYwsJ~kFjuk2X1 zx$t5h!mI-jQFqB%_CyTRW7M)JX<}0x?B_esW}Zn7vb^&t7lw(X1~H+enA!1dE8;gH^(`X)>;|Ga2lR{NO|hgoFq}N_{LBoo z9&2VvOci5Hb77Hr=0oe*x+Q-x3-H)fVeD`wr>*3w?>sYRDmblzp|8fY+ zpY-G3mb83XVpx7&{_$&AJ|29NMG@)rX^cdviA{Y~%nHM)y__?>N$)yKMp2}peZ_HehHAMYRDmbaJX`0(NR{%(2we0O~O z^mzR6_W1I=?UL3#QnNk2`ttbp@ba&><@5RR<-9zieTJu|`B>Km&qEnLL=oVPffk9UD+4U!S$AYF~UA1&|I^yD!zNZmXif zhT=fn*zqcnZ54gkk*kUvI9`L@_!SOw{4MC6j%NVjQB#<{u;d>n=dWMCeDUM?X-6GB zdHdqk&(p=<&;R!N<;9!Rf4=?eGr?#$(6Y{QoLuN7OH>%@0j=EcA)WVos{8N7C*V9C z{`2*4`vu_LU4OgXAExV1)AO<0J&*Ek`t{`F_2G{0A(C%@FpKS^lYd=)KhX0Z;PtPU zAFhs9|2=&C^>n(rn=Zd!UL7w#91nk*7$k^|+ush`BeF%o&9~1tT#pN;FNed&!$*34 zIv(!s7Q43FP_wyhfBpI9`peVYQM%%MW)Y) z!wEd)`}OhbB|`S|Sj93vTb2Bcd!#fx#(O6u-foJgl>r*Vw{w4_!*&+I zTJZ;`RuG^$e*>zoCe8BF_b>i(G5vD>^G_EByMuH_>a5!zK=mky-y*&iG$#CeF1w8I zy$2l6J`0A?!y{4RiPZw_0@`>IGzH5u`N2uUPI6SIEn0|i1FQh5xh#R+1`-_p7BEl# zf~B&+pkhTk*fXqi()bt$m%*{263KA{Lq9k0g1rVCL))|Hj*=8sAmn^4r| z6WD(2ENM}vQ$6i$J?_m?A*24adWfbB-{7~B1<$|Q-N5w+4i_}##M2|&G;Wm=bzuGc zNE1=vVvV?!Yycb!*Z%p3KfHw&AmqV>V0Fl-$a?6kK@YBg_4q8-#CrRrMsx&)reIWI z*MF=DLs=Jh;Vq;UPiWvBbhz!V$C{#(g555uJgKo)93Q>wNaDd|15JGMSa_+BZ*QIk zE?w?vn(R6W&w4mqoMGHn1Hwf>0!J`C2Kh^hwtg z;r8+Fh-`}4HI?)XwWDYhfFffYpetfCnL`7_s&fDp5p0*5fYv||MrnFL2A-4~(Zm(X zW*=B(ST@We7)m!-&YBgu&dezfG`+2BV#k%)SD>C#?xc&5i`v>{m%7DXPE1cYBb$4G zEo6GW>RWg)cYrV?dmW=bb{H6|zHWrBa;imT$#zFp;>-84Sy65|YMgdy8<}HP@g1`U z(CPu4wBYROS7gPD&TqaU@rr<%tlN<>M3vDp^cg)_kTW6d8T1Kbymx!2p{@KFFpO#e z--hEv0I4X=7N8QToZ~QH#e!Hz4l0rzD1j)!G2l_NJ1NUZi@bm2a1GbiIY-Tj_}M&8 z_pGT2O{2$M!+WJAmD%Vh*JNkVU1r(nc1Ee)pJLcF-64u0<>BbcuBoQNrCGrWqGHWM z#i*ab{7QCkQ_1GA8g)fhG`bqMmB*OfVH%F9c7+a?qB*`S?O ztO2HK`BPvp4Ff9CaxOnirbHNmCG- zR;+z=U;s{_V=ZN&Q5@quX>(JfM!{6n%mFC0ltnygv2vB+!Weyrcu5}Fb_C5SWGP&! zcO;9Vb>@rK4u`XVuRrHPPx@)o{@GujX-!2mqn6yN!@N^O`X* ztl&2bnpuH_k!~zWFReg7sg+4A7|B z60^}+PYU9%n3J}O`rk6Tb$fG*v*^BWW%&*f!>o0#=Ac%w3Q}g*H_uhcX_Rp!%KN9#T z?;u=&x<_8@aZV$$x^K<|2_UUn=jU7*gwRrnBBIk1gRGxegN}4>it2GBhL=Arn2=+% zOh{re!*KPKM`M6Uw`wA!t&FQ$^9`m!RbjHt2SmCu`xP^FvMXRj@XFPznjNZFww_gP z!w8Ku3;dylR;#1-bcAC~UOb~Bm116GgEoZi3I?0i(`FD8F(pxA&?a*pG%3uOS|pC) zEWs&b8FocRAuisoJWq=i;Oex5Aq0^%)HVb?a^|J#$K=5pEJT_zYa~-QKbPzc(%%L1 zl3^9KgrQGZ`e+{Tnf|%R9AlE>!fgpv4@-tEfj%c3p*0-*JJKeLZ;u*r-FtM2#eL)H zo%M65-oi=q7?HvA(>f(IC1R8FqFit}kY6(O1DR*U$69-8NGkvTz0H6iyH&I6spqlz3 z@3o+GXJobl1x%cWBo#+?isx6LTGZrp*FvK^+wINgI5D~$WAX4Zja9MZqq=sqVuyU} zD~T+(bu z3AN800lC2y3{1TeJF?GwRZ9%-FrP5LX@ZwOodBuu@`qKzdTWkJycTj1a}0^be#OBx z$4AIGT%6sEBvph2;Q}Ws7S~-gilHgP2008WnxYz|qXWAc_@crzR@tEFbHCFS&FZeQQv<@UWSiP+IQHU3 zupkRVcbN?}TAP_1lp!<5v-d!$QNb?W195}~9BaDwXj&^CMo6B}$9!pT&R5K%K3+1r z^jR(6%Rh3cyjd}p6;(5KSheQB8s-R%#)zVaaPIcZ&i5pe7Ap|c+lFD_2Ud<0%_#)p zz9`z4S4}X)b-#6X%~#%#tcFEtenZg|fUyipn%FH!6$Q?P_BqXInW6=)GBTc6oEyk$ z62ua^o0Qs6&HRRvY=$emXpxb}|6{w_ku2M7SpHTqD{x8Fe?47xIhaYj|G)r&^SRv< zb(2>*l1LB)bEJBEYSW5nqMsfL+YGg&Ep~yYRPkOIw9!59@@(=^-M4K5+ph%>)Z623 z2p!R+NSA~q@H5x8E&MnM0TwFBbU_ z=Jd27xeCYD8I6j*0no=HhG2u;ho~L@=LX1}BKn`y8?8G!C_~1%bZ z7?#HQLm-Ld=9NX1AS!~%a(e}wNCvQ{n`z?kGSQ~%wc+xNr4?O@=S=O-K9Tdxb*E%g z7BodeV?j%VtUQ7mY2P+?4Aer9bm6U3D-IAgx=*!3*lUB**eOjbrCCdqRw}|g5CwNxh)?4^`ry|>roPs?~fEHXG@!s{d0tp%(pU7MNs4r4* zsn@{Mn{Hle%N5f!Jji^vy)NnS@({!PKvz~fqr@YY_0#OW)I4e)K~91lMT)1h&N@}p zx^3>jVaAPC02fPkV^#DiB(oju^0t~<6nm^0kY`1Eh}m3_93nY8dsgtzA&W9w{!^&s2arPe-n)K!E;`}qk;U`Vb18xE@B01Ee{ku$UhnN;`3G>G>J7R3iFuxPv}>zTHMQoSIgs_;f+gX7tP9`-O1K ztjm*{j_n@^qTR>@$V6STJ%!9ewxXkk`e?z7${;>hf%fjo4nhl?dB4VhojcsgNhPSa zx^clO5pl{+yQKzzGVVG9Wl|NfeXZ!lfD z+1dKWnA=ZLY*y}wjA7~%M;FR*OTd8RGFT;Unxk2_lt&%i!EGr}kg!>EMQ`T%)jsTV zu5`$Qay&XhdKk~kFGOA@QFX3M&AP1%hn^w9T#w$phB{s|s89)!LI#yD5;S)2(}bBU|N z>Blv)c}6u<)xk4V zZ!^)5@Sn=K5P?7>bl(X1O3VNQM|8ggn#+NDiJgb0*rqIxmbYx7iYwEYIbAaXTTwo< zT7%E&K-Ak7W-t)ERz%`6c|oB*+Xoy&W>y_Zx~F}d-nrj40kFK|7$TDuNYGKhu@V3( z+9TBU&Is>_>BdUtIpOX_h6>%$lBbpME3TTIk@;6audO$)dYR7s8u#xoOHnK9%R%L| zK>`_9>X&OZdi!;!g_5|=U*Gb^R02b{4B3Yi{di6)I4El-X-cP+_;8FIM(AO~Q#&}G zjB1fRYofRN=QeUMD14Lq2N#6Zyrpa**Tq}x7RdBu`Kwe8BdAKE$&pfZF?L1|p0eE% zGvTNUlV8&1;kc_pXd%cDt*&^q1(?ig)RTI7J>2SzMlKl<C54)6rwA zCuqmzVfp13wy3y#eI+^LXw7Bsq?Vemuxd>x$56)1EQR97PabRn07;&x{xAg3Nq2get;J6`g}s(-)+r zvW7`KDL|w4Z(}F;`(N#+eT(g=BWJb0cnHXzyq$e$eXObI`5zuYrxz7_tPjs?&1WDp zxS{p0;6^p4-}^2PX#&(s55Vrxl#zqkhblsJK{c((Yga`QfNY+{vQ`f=uNK#`)d#9sSr1zSMHX;duY2l}<)DENiM(W;WdKzy2!P5JQ@MLhSb zt!KURgeD5Bv3$bF62N5%N?vgbq!|Uyg!;K%W!b7gn{td_92N%gTnXkV+gEDqr006W zXqw*!54vsCagI}OPia^paB?p1i)Km+2h3!xaMk_i+pfe9Kd?P*aD@?fR_F84CSsP^dx~NT zJ%bo3^h^R7@d*IZJT{(alILDw4`at3{l2H>rN$=^6C%QbE-SKyb;o$MD+tTQ#xhj# zY94zHQ!uCTT)~t6OjfZ(fvaFFR)kcuzKJ+R6~f4YXtb*g;at7lWeCxj`C5Uwk1Gt| z=Q)>jcZ*HJJxw=ZsH@0I;|w)1CtY@8BRU=sINv#Tn<;#vtF>Xbe8N;lqOACozziv| z!&z7)Fo7yx)Oagmfape}8)UY~K&Ghjr73B9lqtkATB(-|nq7FZ($ckVU}5MveR@Ti z41FH?2=(KebOWTSA8wE*l6Pg7vsG%7j(>YF5ygz^-hTh@AAj4W2h>Go80{T)&=pt5{h%%1o=kAxo6lEk@?#V%r+n3a=WWU3dzhvGV`^~I-ORa{4AzvwsFg|G1=1Q2@tC}?VRkLREp!!L zKa_7Gv3>U(N^?hBM9755xIKBa0{1qD>#%A4e00_l2@H8SxI7o;VOBU?@Jy;G$A~BD z9vUs0%1J}ocjE39o#kq`VuMC$k8BFZk~Gl~-Rwmj4@du^uaDn;{pNdQyim8$h_eq$ z1NFldJpE!m-Q(pF73=aCi=3t45PCp}u4+_6m{FVEZl1nA9QtLiuxo;1;K@lVe3A-# zaRqV=c1_PG@B|W|zHDPCksnXv6nD+G+bn9_@_Q)j4|3q zZ*A-2OSI9gvNLbrd-S0EWWdohjaB~N7*>FFFNptxKm4kZoK##m(jyoi|5!(W>pe!TJFjSp{p_^ac?ucy6wl|{l|_~6Y1N4oIg@(3Ra zvS(fRfJ%_;!3Vdihx6cr+iBeNfCbhbdcX@xe&|78xai^9h3Mh(h#qvB&u`}wGO?vx zXI77SUL=BeJewfoIRpW_l|v9PQ@s(wJ*MIw2;p|k{Xqycaog2C5CTm*w+A7@KOclZ z)gS#vhKaVFia;u;_=_TtEY=>1 zfQ@#uDMI!|5%PR~iwC8;yUL^0(`O!OiX$$MIO2#^9C5@dj=0n+kT_};NF22iBrdTE zB#u}G5=X2AiA$>jiF#oHFyUzRvA0&`Ia(D+9IXl@F0BeAj#fnyN2?-RWNbXDwsHG6--=e z6-*qp3MP(P1rwKA1rtZDf{86xvBV`;vBZ(9P(gB)b;qO*T>G#2;XYh?9Q?Vgdd zo{5#Oz;3Y{hX{o+2lV^j#<15l!mh?`^t|-M?nsjl%*2qON@WM495vZPH2Deie^%Xz zasX6}OLPhVE`!}i3)@-@7Vfc_YEz^?Y}QDZ`?zgX+pZ_J$552;hM@}5!>o$ZEK3jM z!ZN(pjBU?FB0}!K7J}?l^7G`E-&K;>&Bn@VgPUYFdui4od$D8d8ghPC;NC=U=yR|K5(u?= z#WxHa*2Vc(BP$bc-o<{KnbXqocL-9h!x-HY55E6K*_B7j4zHm>yQ}k=> z=-XhS7_o_@Bwjp46@em0PfpgV;*N}p;f{908!*~UvWnTz-OkChueHaDp(jeA4n}$E z<;OP#3zz?CGs2Jei0~c}-Xp@_U_|)wo)F#>!h1saTTBQ)-UGsWKzI)b|7QcjFY+_) zGv|2vnh$dKQ$F*7y?89nRdRKap7{Xzo{R5l4+u5vp7wxXVb^vB1TF9u!~uZ|mdh7* z!KLdH8W8&HHb%6{+v5X*>uVq9^VtCLQEhyC@TI5zh4A!?=?R@G)`ER%RBbZ%TqnHD?S4xii~ZvSK(dF zbF_k1}V*r!WqHVsy^E2pSD$~Kh* zYnF!LZIcB4Yjj);6!o|&?+^^#2E!1qW7h&|V} z!fPI_N#HAszpl0c{D16MOO7PF4cz+_eS(2Llt`UtHofS#diMv+U{IOL>VW}o4BK6+ z0$EClB7?!uTEtzA=a0)hYe~*6?77K%?k`5tH%GnSerErS(?piIUgjf_;__zw(Swi1 zi$`Ktw>6<0(DjRCB3FjxAnpuQL(q%Q-I1M7dn*5zY$zu~&8to(6DbILKPqx8bxut@ zBG$RWK`5H#Oa(t^PuXvRxo2TM%QS9*G92dOBz(e<0}AR-+Budlj~_o)sEjB3Wr!RCSPs5rPnUAoN^ z6Xq=F)jcqAcoGvL{LE?swwecFL$Cj}F-DOME?U{{Qt|2VB!HR+*a`ALg&1|6@t}0Q64-D*#WkWfNDr(u7?&!7p}oaT>&c5rPdxc zx|ff-@PLmJzqYxd^NfKV!6kj4(F%EQHTH2lSlm{kv*+J>@fZ4f|8La!_&nRV6_OKP zuEf@g9h}i|G_WQNK~+AUB>VfJKYqxl`Fu~B_oR7Gn)jr6Pn!Saq&eO+bNW&JoHSa4 zB#udQcyiKAkH8UoOq!`2F?-awJ@t6#x-Iqb(8Y?NiySrBAyA*ACeJuV%@IeAn!}T$ zChzn0?S_2UpxTp%ON^V#aewD=ArCJeepE0vV1pvvuE7m%16*#mCA-&^Ss77qzZ*Jx zqAsjxi92eHSC+h)#;vQdyT@P_L@oRu91Z% zQw$nTsH$Q1_Ao~R{q_W0U$R-5v1{Jl3+Js>VHHf_NQ-H|Z@qtPx*B z_o<_Dh2;ucyxGc|cD2jSTx`@PnYP5N)q2oGje0TP!O!k#$_lQLH%(&M_P7S)_Vi9V zT$Q<~`Gw&O$H2PIP+8&8jB3`Js9{v-Q`o(Y3pK=11?Bp^Rv}X39)Uw5Q~I7e#faV7 zILA!bAFFWAsj#1P?a?;7k`ruv>`{mIQI=F}H|_7&F8+Eb=O099pKm^U^Vyrv{{Q&w z&}Ty&ljEeb;c-_x>Fn?%osHrDoNVTf3fOHn8}QrBW^N;G2b*C98hEoAc0i1q&3ME? zW=9lBW``%qEN}Dm?S7X!D@faMX)i{7ez-k&EY0+^*xa8}%4bdi?+&y{mJ8r_d)OwY zfd`O#HW~HYAzJNeBD_T7S5_VWOPV$HYC`T9xl*5xi$?JT5yI^()M44woJZk^*ufyZ8 z#Dv4_gfek^QT&*H?LDvu2E;>;S7d)v%wu1`-hhOnS2y{uN|$E5~P^=sNsAlf}RGlDKGpaLJ)CEKsD z_Uzu+>e(7vxuUIkq?R^|VPhRDyjsc?qHM>yxP!Ht>MC>&&?>2pV@3D&TOY58`VBq; zFGTupl--^pmMH0oc{0gtnmw_0q)=k$8{ypwbO<6V`nVhHgiY*uuQ1&^%!Caq(Ao`~ zno+Q-J+cFys1N(DDm@N^+CT0cCTnw@FDf#V_~t5Q(Qpeua9(1$#OOofR|%djt=<#$Gk-*=e_qT)?AM!ZWzQ3Kyu&uov*2 zN8kg$lRl$BTGmTkOZB?V*X#8{@->Ax@3D7x^7<=0D;^&1nemxB79F)99E&gzo~;#j zsCb0iXN2c+J-E^D;|vE|25pfZ_RRmg^!#Gg%A9!7nz}ky+TbnN668x8U#u%%v~ixk_}AAm}a&7US|67b0ct%82J+c)mM#G8C3-h$4UtgqW;?}Ui zGH$QNGc|&8p&*uDm}z*rGVB`G=mcQL>~VXJk++zG>veL)il=A~(m zGhb@U9kMb!K}J??7 z{P;|o(Tec&7M_v#5RfPKY(!YA%o5q{8KA8y+ZQ9w2$$4C1q$}(& z8xbVTcBvaP4TOLw=14-w^3Kx~)m)#Bw#W`RC;%h;yyvw`v;06XN&zue-#S67i&s$o zJMSiGH9W&rYNTZmqS7;Mk$OkH>{RO_tXpAm3O9gEidyZavn*y?m5o|gEa+^*t`D=8 zy}l=PbZ*~_WHd~;e_Kh2o2{0TziI^J0fu-+b;xfLL&bi@^a`p7J_GbEvSJw3ZjVJ~ zkad|%QUR8(1^%Jvu>l6;$`jh=h8!RZm`9%)Z&kBzXUSVE$-b3NlAeJbsB_Xf`tf!8 z#QVc12c^(Gk_<>XCX%%n;d>y#)O8Oex6SMvNQQo}dmtIGZ1+HNyXXHoklaJvl>^D` zV4yv2Og!E9_`w$v%z7*U8C~Jz|{nC^Du; zc*9771fZ%Pf+U%zBtaVYEKHEP7H|?I$t*sh%aC1oY6z0-o4Wf@qzxRbp-4E3K0=ak zR_bJ2k~ZnnB}wAZR!EY>oxf9(B-28WBvOO!f|OzkQp%_xZEL|-knn}Evml`&pB93I zk}D%5Nfz~-o+Sw-wpvIM3hYC0#9G&gZD+M8(}-1fk|a5sGCxFVDEEaZ4f$V_C=Kx= z>qC@=y63A&!nUO)O&WUBvnCDeTuGB8(|6J&DP%1=*Cg?w)GXH|Sx9T4NqTdV;$SOA zmZWVfi~+Kqq)2+3dsZaW&^n<=+7aAw%#WCwlrkzxT7@-42?xq`Q_4*xbf{Pn`&3x_WpzHpco>4n1=4*zC2{PV(7g8}ZD4SUrKnbrPCT8{oMJQX=f(cmT+~&VSrpsGpDteZ&_}+KvY?&&+{=tlUNq`3=B61Rj z+bn07IqoO7IKRdmN#8vFc9ueu5Y_3=gi;6slL@RJDTs|Vts#)^>rt4_^s9wlWo>?E z$b6mn!VK4ALWOQ?h^g0|<&E4lrDDStqB)2Z&F}F1c2WYp2gX=2b8@3%f26;90My2% zP&KiePB(SFYb@=UzSMV@6fX^b%MN|lV$PRKg`(*6OUC?irXrHH%@mKsqGqiIB2=cC zjiZn#7H3=pQ>>l`H1%6CNE@OkzKJl zUXa=J1YVGBt*9PIG+u$bVo~L6Azc?mguHho9x5|JcO-c<94|lj1tf0^eIE;}$%#w| zyTOT`s^mRi6P@>m_=0^a9JvP~ULcWp$GAnMa!rFY8Ho&5wWFTUUXw( zm7R+kw0B7)M;v=h-BXdKfsA(V+EuGsWV}$J%z>f zo*=}-VUTZrfbRQ&fG7*?#l01V_Y3F?50m525f@FaB!i&9E$@>M7scc~<>!L}OREmg z@vFo|;gv~AyC`}7i|}uoMae__JtqF<|FvEF+}|7pdGQCtFrAEKq)d`@q-OH}AoE{4 z9-x`bk0=u@U;1IYgtSPGYO+9%y1!iFJUhr96^fm87htl^l@CRl78!T@BwK(6LBhobBLFLaG18 zum5A~xpBxS?McbITDZx(fZXKa+&ttvD51mekVRUNzr>1S{s)stG?Mw&?+C4D5%8Iu zADh&7t8h_F9hR6v4=w~-Xq(wfx@aUuaL9_BRMgT;nT^))2%iB$eYNEwP zOSjctbjTzY%8cw?nk>zL&TnAxlDvWab(k|OS6KJ`bWJXyP5`soL4Iy=!JI*rvIk5j zHf&+!*auHqr}qDV=y0arpBe)PfC}qlS8ZcvmplKP4b%|$P0$~ zTPqN;7)~nSEv`ByJvjIgpll?>)50|!@>!dgTG9lm4C6MVO|Hr@);=BcuQl0<`{Vqfr3VKAK9$WH6FGEU`Ja18%w_9%Nc~Bx|gSYJn56`U-*-?8t`zn zeDm|BDdiJH@FqA|TH$|o)x6vzOn&=&epPS7rVjXy{gtvkL_4WgA5aG}STKGAxDTbg^cU4)HLI~t?;I&9EJW{!KcMPQxJB49GHG~h|NO`L(28|=_nxiJw0v&011ER74JFZf`{9q| z{vuOu*L)nYZS&*BaXH|P1YpACQ((90W>14Ev~~XmFJzf#il>3ot+TbKh_#W?3zGgP z4|}PoaEu*egZ;X#D#B4X=Xr_sZ@@Z38mEC)P3gFY5nMti8gO*1&0r}yyr^GZW{bBJ z*MzAtHSlSR$ULH1$wGiR5YX;3B{}$mugX65Bfn3Q!am%&9~F6$z4I+8X|3cU}C4)@*Ln zQDD3DT3u7%GN^Gys;ARFFUdv2VL-W|RHvg#-O~|Y2vcs+Vreay(o?yc$n<)^fJL-= zY==ATs^~iJt!bJH7ePi}BQR$$L^7mdttx#QMqTmY$H2dpsho&0(mAgVRi zsqt9%kW(s)u_XZnzf+H`OP-l@AFnM~XV?Vy8#(iD<*lFM{_HfA)pjX_qznGTOF;NZ zGAhCzfzb^HiHX@9bhdm9og8Yu2%+Chz%I%C8DiqR3sT74Aw0Gu=2)jmo&^5y+f)-x#bb%Yb|qoG# zb;U1R0UYRe$RFT4-AYer_eUynFECMjj-hWbm!2{nq9)D1ThO|aF+E5}ET~jOufX>Y zDR299nzQGa;+@bk=5hBZf0DT+?QlV+COUwsw<>nPY)>a`hdNGipyl(K8|*{H|5NJy zDsX)fdS9+1lW_q5_=s*c$A3}0_kl!S!Pb8M3S?ysre7IPZM7mvmX4YVnM zZ7V+6N~?jbK?oa?6jP%K(wVT?`KeAvjGoI=B5~4KIeARK8qG*B&+SnN6ql?LoClv& z6yzPT)ZOQjtkiBE$%TtZ^WkPGaFwc&HUssl*~G^$-rVCb`X}V6V4T(JdR^YN>WR{? z0SKXav$W!$7U8i|-aR)(!#Ye?5a_zVmR6r=nWbFlwS>TYf4 zY@F1UWfh{=?~uA{vYo=m_NN+MfxBqJlwHfWm?1ZCvr`}PuDaAu)J8$CV2n?GT!{FC z^dn)~lfsx(lUSnb@h4A(w)6mGR#0m$3hR;-sgG9NGBXy<3Ned#Z=}M~)MW5f^Qd&Up zG}X&&QKtdnH13I%)W?Do51MwtOJz8}=y)E^T9u7XUoPzNTZ zN8uWupV>$@`qy`6WDwYy-8tURUOuDI&Yo9q&P1}~=xPzQlYACYY~F5T6R?3P1?AWR z1r80amH{iWe9+j@%&MrJQdepwQoQnl$>&e0^ue z$6~m|lu`lGA%)lz3b@2SHT|W*$ZLtor9)tP5UriYEQ&;Mw+P!TB@j1DK!5!}=JvYQ~;fqQCmJAeIx9-&J0rEC{PK-{> zZ_u3k*WcPALl6dSJ20SU=HU-#CHc} zhq@{!z`;TyeGp_bwksVl&2s?#EPcejs>VLj!MJYP^4ByXn^ztHgcJry(Z zu)QK^8>6%8`m4*QZvo{&%kOy|dnL0-9FW<5lQ=^nS7sxx^RCX57< z856CAO~Z0$VRr9jWrKZ!CR-VxL=-Hw3X90mPLQ=y=cTIJ7z27H+SU6J>2 za-4GPDFjXShVqDHbmI^AuuvW_wuj|WzQTzS{J^xodpM?Nk@&LCvIg$Z_-;X`lk~dT zgaYZAlQqVIYKva@*+CWi!9F8aI)%9Tqtc*LYK3oQ5}8%0p~h_0Ox!9GtwrWm?6 z6LK)fggDC^1Q&Su1n$6f2NG#kjxq>v7cm7O`9U!KGDd3L{x0=*n`!Wx0ok#j^T4D@ z_hJ;@WIkytUm%{L03OY#?1#B$_C}*X=RKZS^8lowK2)mQ#XDXU9B4#RWLGMzPheGW z8>CiCn+EZ7k-2tUPN<@LWxqI3QvP2hq0@Qf$!A8>z(QGbwXbUQ756_77v`+@rJ4$^mGPMXRqL&yW_lsl0kH_-fXa2-ZK%(ySdc@u_n=iLa`a6>4bD4 z-i>@Z!EBAc4N?3g+fVeda|0>t0I!-@8KNM~`Zz}AV~&vRA$$C|$T!rD;(oZhK@^|$ z3Sa^P6idO+L&r=FY0UMN2Wr;I9VFK(?FcsX1}x;GWW!qDp!r7KPUpPrY?{tqeKml{ zD9)hAFlu@2ke83+6EpP|utUeaNX>=9Tcbxtv+6A*V@Z#vb4x=lb5X3De{-S|>_>mI z$t%f~YWpDis4?6Sh=3H+E!Ey}I1Y=dXSrrTGa7DDabY+7y=s+RtH2Mfn6Xz0sawha z>P3x%(n%hiBD<6X0_jT!FmU8ywB>tP8&Eu|F+C_s4&bx*K=6Gds951RC382^;)O4| zuY?47IS7(;4y58{8$`N=J0y>ZvTtNtzq7PDdL_Ra3_L$25m-8vW7NHrdC4drYqcu_ zhVs(A=|ouP8~ved*}n2M#3{IZQT+a+@Rc*|R9 z+{EI zeZUu;>?mfp)6aAsg_;^#TQeg?gsxuxWjXIF1*aFR1BY}nJY59%8@?F@zn#2BlNo{R z9nZpZ84;(oCg)!KV0pytI@WhDbT9m8Z9?hx&CW%GR8DEl6vKEP5G9LwsDa1&x~A-c z2m!Qh=Q|eA%u({=8@Q1ggV>(<~IsHjWu+WCEFmkqe}#l^$*n z-u`}QEf&nTl*_C0c36&+r#a$31Dh=1Rk(_HWp^JUOIw0>6^`A~g!T#Tii=5fQ=DF7 zd9R+71MW)J@J7htfO3|C0zf!UQo;wue2Y%P$@_gym5+HKM9b<6u&#z!h^J2;$#t%V zNNLO5MPhPl05^-MjVvp>py*F20=-HIa}J>meBJtnj9K(D3IAwXK6Y z<|w_q?K#IK3Gfe%trXVh;dpX+cavLi$o?KL$P>Xrqt``(Q)5HWc(wI?qJ#ZxR1cY4 zwh)0H$Yn4O_%Y>(N>ZY@;FvQT%BTQ*ub| zR?Fc=BS(3A(O8rTgHPkNGAb+JBN&e?>}TbZv9&E>yRDp7+2BG?-SzWEf|E(jMw5~| z=%2#Gp)`KJNK7IQ+71_O4dY5PaD-Nn`Q>-zx%>^CH9&-$u%i3**=hi$&f7kWW)7(OVz*iftsw$`Va%p!%iAO1j&Odw<&Ua! zMdWz@wAqA8F0uGaSg{VKqS6diQewfBn4Bd*Ou^wJENk-wO7rL2k^JqTwj_%Ec3@M~ zdNZFlg(;7b{b%@}{{^KiU4qb5{&xZ_X=Mj}>{bFF#=fEe>vgz-5_6`&^5gw(&+gebO`iIH4PQbip*BP1ky{|~%S;*ElC${i%KZNhK31sQ zokA0yfTc^RY5%25Ln4m%cQT7FC6lLTsY(BqOQH(%fPj+k?KJO6O#z`PA0Nt1L=^91 z)awBiJoEYMUY(xYVRm&uawNo~DV^ICtNh(z1Gxs(Y*h8n)64Bat3XRJ_Kxy6jHw=^ zx*vbbytT(D+Z_=ZW??J92$7R3lj6GtfG|dg2|2$eINtR}OJ$iKaf89065W7B3*Dju zFM1+--P$aHo1!EVWuBcGs1|fVa{kc9nxo{k&XwUxq?M==bBQ=}&H1^S za7qW&Qv1R2OeBg{9P4WA1ZXchL7Sr>U*^BJ-08dBiL+)kxjmc;+beEx`cDg}?!Uk^ zM`#5+641bY0UC>+ zTxaA>A>{{8Wc!!?#QKWkrvxBT6`w3YzW%a=7TTjFe z5WTT=3lOhKSwMa&H~7^r)^*ivJc?q!=wwy+B^rnVK|d9hm+8iXr~Um%~f65`x%kv6^d2G=FU?w1tlJPvKe zPM_Iy&4%cG`v&N=;1bCF-4b~7_w#MBTNtKhjI}weiWAEMlNUqXM3_J??^3!rf!d@w zzL|gi6|Fi!g~CJ^&yfF)XEyx`aFAa-HHid)UusuvAK{_(5pJJ2LMg~yB}fOUn3k(Skrz7 z0QK|KJYohJVP(+P5Ss4bfRY(OZxBl1ToDw!A6LR5=W3aMH0qt$vNyD)-wLp24I$N6 z;xJFL^`MA?GZIN!%*~PbT(DWgsAR(heS6a#DOG z11fdmY4e7J_&26kOCzc{0aXhJx;XeCQTr~9Y`v^LsBVr&Sf#4MI(vI~w6{%TZ-MbR zzxx-T1|ltgNvikQGRhTulsPS8q|0~XsXHv7nekl2Xsz>3lTBQDbkmh&eJ4y+Z@~aq ztmci>6@b~J69{0;-;4V8bWas=KwNg!6+M=gokrCBtTho^_2IihjbkKbuuY=XT@bj* zrYS9fYS&WIph8nJx>}Z15fKey!7E4Oem+;ALaQ5*Hu>Wkj8j#9t# zbZ~z;n4g0w>I;}Fe5u(wekH=l`B#hvG>ir zj0KG*q~in-u=)3lzmOi>gvSywv3%N^{Cl|pJNTQ8MW%Bi-#-*{YZUeU6T0%4ix-!oPuk)g3# zC=!Eqd2DQdKzgY)HacQXq}L<$1IsTQpX*yVdS@{#=5|SolW{P9reRnjr)V8iezz!} zEe;NAkE940+YeC)Cun|lx{uNbsGigbD%oxi6K+am#7_nt+n_HEjqMlLum<0M+Kqfe zV(gc0Pj3V?T?z~MAqh~4!b5gG0Mt5k>+}Vp}~U z*88EmPrL(B5CitDCv78J*nYyn|UrS+p%ah5A zy4ls1-`&Qx8 z-Do)#U>l3U++O6Q?>fT`ZRohg>NRkAwYUh}Etj^tOno%mSWN5h;s?V8V*^%~A9O*(p9GEz1R9Ax*)qu`72q#)>xOKMnpW>u9ek=p`yv{JI&vcJF7+G#D$ zgCB$$#=PQ7OdJv>G5>BgY&uK+Z2cEv*$)0EV^U;(kkIP8HaOXl*Ga=meX82T0OO%5 zBO>}0ATh;+!a`IZ?!_^6Q)(<|0P`T5p*i>u6ZQDucb(CvM;nMjdElxaOh(q}StShd zZQ4MHUfJ*~Sv*eVMx|xLhjnoZjQppTLV}QiaMc7#3R&}`sNOi`NMUmhO6oKY8@h@9 zkKpgG(c|i~nsJ?%HwRjz&~uCC!GX;!ha)+QNE(0Ac6*s!>4BSea&aBC;bHaGZT?1F zVV5@;W2>3Lw<(fq9EONpV@ipnLfH1XI5|0>W=KbHtjHcV=u{9LG}))T$Z-Oo#JD_S zg}!IPwVmNn{nL#^d1+OK`iL#%KU}*HugA`d&#!r};i0DG`q&k5LC>(nPgUI}G^vDh zy6GX%iBTGiS_#tY807n)psr9Uz{-kU}jlUDRih$>JIUXrG+Hqzi>s)|cO6~f4TEj#Qm;!+@l_6d4lhrRHC z!81fvCpqC%5Vp6r*L43af?+>^iJkP|kmd8-7)Y^8bz>8#A_GUajc<`H=&~2#Fp)4h zxjbJyh}_wpAdup{u9%L#dEFDqZohK2vR4-nNaP8yYM zY%GyeZ*(tCvC=eT?V~JOU(J_w5zpMB&vCZk;kj5tR(? z9+9U>c`NdH*aRgWw83l;tkKM#Jn6kYL4T<*UR3W?>K#w%;1C)F4)yZ)VI4sKa>G~1 zB_pI5PY>$OE&s#s4|8SQ?gug%l05`I3Wyl^5rN^*D61N8nXb&}hk8ZYeRfu9E|Xq0 zR?cdF3*BYSFEIz+a1*)ky==B*EXCV+P;m7B2GU)-yjasugtTuaWJl~WoKHKKvUt`< z*Tzgo6Y8?t_GeR4GkQ>xgL6Fe7%S!_X-gmU%=(~E3Os%niFqjKPyK-$dBz?8BZsX~ zY6ZlO2wV5?68sG*1@a$(8<+Pg09{R2gyoXAcVH0y!Wqco4CgiB4@`8L#1|@sR1fd| z(6NG{DkyoS7B}x7x2zjyAS-%smzc~~S@$*|4cO$-ts+U4winKKI?xj z&gX8ZeflT*PJA_~f2KnnAw5+2Po*#De?Jn{|5!^#Z5>xM-C9l%)7o542wea>UA}3o z@qDcBzuXUyjIR7A8>UIyqq3I@n*vKzukwN+yLW&ATmSQAI&^TX8tpSx@daQ$NQdSR zmwEf#Ze?};Kr%zPLbRA<(Kh?zghzj*;Gpu$^7lrP`J}y;VD&9*8F>0{6EK<@Z^ zJu8fGxzcZ$T(2U=26x~d6!^SGfB{jd5%9rWW^|&9c2ZO@y)@TRZp8rd<3qjAE0zha zPId1}+Gi-Ns}8_0Rrf|O1y0nn&)?L7o?>3vmPwHwoYVo)NZ>!O)7eg+G*IuVXu;u8 zAwuDN)-`CZh;5YimnpomnyeX94~^4F7pl?_?#a1!T&Kcy_PW13!lB8#Ryt}HA}#i( z6a2m&{8VKPshiVs+(t#9*A+k*+0hQ0a3`%uX&1K<^+vvrw+Q(2dH{jQ57zA8Us7fPgnB5C-Exh$2+gET2TB5evd%&lUqq_ExQp#FOL(aY&-{ z`FK=7_Vvv$PgVDX*?22%v-UZmVEyjE^xGwoqAwGmAr^QvGsmkDs82#n*JIKQfI7h9 z*(Fl^$ag;H+xse93ZQ-@lKuEyy!7=C1P9Tw6fW8NGkBz5Ak%3ibZMI%P)yHhn)6;_ zW3c8yAtkJ|3a`~_2M1+RSG7jrm-_)h@aMD9jDQ0nN%1nqL%*dUGc_l`L^kWcQ4pr0X9pAWN+sR{d_m-MU{?b*rbjc*pZL}Es9(ksv$rfl5%H_HL6eRF)a7!wh7%4PamakK8si~yDp_S7)1>jP%3Y{Ne% z?7OvhI8C`@tks1MvTBO=^s~@{e11J5JN$Ei({`gLl!Gaome_~SmQy$zcQ+L1PL(8G zSQ;A$q1pwTNX_q0qJa3(M?7tTCK$UsX2)pzQhT_W2>WK5gbp^^uY62dQ%7ITS6uA8 zyqEhpgx)Lrs9h|`*%TLJ0($SAJUIeOH;o1FJ@x4tRX(RzO-V~&z2 zLjjR@ZZ45V@~As`B12x>J_DZo?1%JY=CzK+1gz;z(4LwJzbax7>TdrKC|Z!-QQlNp z38nEI4h(Se=1Or_eh@!6MYYanbt`!#_Vy6Q%Hip@pW#plBhsfH`M!YXNg^qI7-krD zik;lWl<6jB&t!F(Ms`zU#n*Z^r3LnX?b%78S>bHzHdijYHnhq+ zL=28IbHfdUldr?q-bCb@KaVUVRq1jn+xf`y&@XT3OhNEfjamJSz>kg|NUXZQxT7?>TpH7h$nnH8lC)UMNYq13K@x_z4faQkAxAvyQ z9V9;_k9gGzWXOduSgMnS-uvPX8uJ#Qp084&trxT>!9eu6Q z0(a9a8I(Z|{+bGE-6YfZ&{-@Z$}=Zxcmtjzra7nrSME7tWO{P^t}4X4)MQ30lW?la z(Fye>mNOq=%iR~Du4@LCwJ5ROQ8lXyjt|egbE`1*k{-9#NTXkZ#2AY4sp%Qdo^+Dz zn$hpb8kYeP2mYxE4{9*^KWC;#@|rPjP||nfTh2iWQ`bm&YB+v7nP2F}o6~+5krz73 zk?q2N{5>(>P6XD_prxZ@&MKugdpkdcE8Ows^qNG8yqVSeJri+I95fuV{rVwrhTD{X z45tSF;VDd`BYi~FoEk+9Ym&G7SRwbWqZcY+%Q49? z`T2|Av{CeAfnc=n9O}Wsz$Ic0-f8(g+H2w~%2a3z98T}Wuvd-BJX7IcViO{_ z)^)LsvR08>Y&`}xFKjUxMhVYr^BSx^@0o+g@) z5e6&=_d}UIV%Wa`Zhf*%Ms4mSzX*Y^uV3_oTAE#Vpd9T@Rm>gBNjfZ0njIw*tO9q+ z5mx^mBW3PHwfjF|dtT20r{MPugM3<>@>%d-z9NXUzdzH|g#!L%_eq+V5zb4j1upa9+@D8vWMZP@VHik-RX~sS$uzyJ)gswg4IXqi+0;W-yS)3; zPl?$V@Qihe_S-~?<&#VYP2_F2jlBrry7nVtF{vfTS;^WPJX zXC90e*&bK};&3()tBq(b-gB$eI6htn-=3q-dDlc-B^OI)`f~lDid(oK?9UkVviTj(OKU%}7#h=n|3y=OJ>i;=-%?0#kyDV7g#^lM+`bi85O z;Hz+tahzeBHkFO+C2ZE73YH5tJZj-K2)RsZe z{TVVDhEB9!PU|vD71fsQ_apzBiq$skz<1^lVo3gH$*X5?xfiP3+Zeo;kYNGbI0m&k zAEvwuY^p!4bH-aY1A6d^XPL7N&Iz|dg%^jdgj+s@IM;POP3yKuC^im7j_nw}42iBT zqyc>*3}s;s{*%}lXYIceJ9E@R(B>RJ7xp!q1NRV4j?FMJgY>6-;Rr4esuyzQDeqe?J_|-D0xbsrCopFk&q~!ZL`UIS{g}KWdU^_eC zEIRY@VGMiH9vDJrVXvT<7yixG&*b#n-a$bZTWrnQW@XGvwX@x!gszE&d1gE_(&I+7 zDT?-M&99}lQr?c~(o*2MkOETkaK<6#y#;I_1Z}?R7~5M#{6V%ul=rAZw(Wwb zJfoiuA}nH5j2~O!(_9qY1C3Kx{OJf?V4+&g^R5YpeFg0T8IYUC2_AXXp!Qzr~%~^d;`lnt@BpnKW`HigELjU2<$oxxYL|kk> z{RK8@B(9+@cfhD2sT*gcX~0h^&D&-k5@}Zxk*%LLV_Q3+S7r0nbRa&?D6l3UfevbP zz$c~axW?$92&cE4J)W6&N$%;WYwmj*z=-j-bbH81YxK`P9_~Wlw9k(v+Jn0pJ;ZX7 zk<~JpQ}yCX-sDELmsf81$-1*95r>$DM?W(@0;tlQnhIjVIGYk;#Z((zFz%yVs(eOQ1rs*RV-z=xZ{l4i%9FnF^& zi9UI5&Nd03AF2+IOgDdfZN@pAZ?4@6~OPjkBL`K>o0@TH1 zEqt%iALrSt+1>n%U@%IG;bZA#%s}J+FXtY(t1+!zxZ#y+R+q+{P37| z{mxWoZ;blEa!dqha%G)M1_ z+9UEIEtj@+|6UtHdHK}OwLDbhOvvgM#aD41l?X&p9xUE|=z(AAx>rEYxF-+4u9ZS$ zWZd7C)#&&eW7O474)QNS;=1s1WxTu5ENa0omejgeac7K+C3J+kvUj>VKC;}4)+6Dw z^B`f&i5m9#KU`N{rP&W%-I*Gf7<_BoT56tLsCp_l3uv9$6QDY#wi9H|i){Y7ac(_X zK1}=tztYYxxxy48bq()w2r!*b_5P!89G*5!>tx=Wnk-l+p z`Y$VXLC4N=-u|eya-*5H9#Or6`Z}eH%iqyd$SKi`?~0khGjRv({_X9A&g=v)LLv0V z(qxi{{+tsPn2I^m6Q^Kjv>F)2LbL1k*~`i^*uatK5SwZWd9;F0=&Wjl=0JzWOs|f~ z9&P_+qhw3^NuIm%(IJ#?d6N@w4Ln}&-Ps)r=P;#k-F(@@Coq3}&u=xzb-4=u| z@nsp5iNP7|Iy-OETk;>9r%tjJ=%Ol0TuO2wC0CLAwOW&-WCuzt^q>VdR0aL*6b+OZ z6VtNlU!wtf*c<^`X25qpDm*w-iABCytj6cVOrB_XHGwZIsjv~;dLB+a)%7ys3ze{9 zGbkd1!_BPdbn9ciJs0sOI;{9-oz-Yl8I)S|#XxF_oFB)Mb`uNFKJzLMig7T60BmPf z!JqWTcN0t+W%gTybYM5+DS4*CCc5|b_Ik>eZT5{8YzcI;PHH5q6%)Tk!Ph5bUlxGy zZ*9E!%gSX)dTO*|3o^uOg>2?JC$;Z_5LYMq`V>N)C#2OiC6Umw`dBN?Q#*ZDbqKtw zWlE6sQ~t?z2`x)CanfRBgrRb!Dl6IzztkZ8;(MNIUmB6+?`lR;U)Mdz{OKupJxJA+ ztzCe)<(NpOcH@*21Xl*x{M25q1{vTNJ zDdBv6#Bmb{h&g$!g2zPAn3m(((*mqcm-kq+SSa{qs^#t10@7=qO>QR9uYch-+dZWw zn^TVt4b1eC2KaG*(-k2)DNf&4|94pF3-9pkU2AmlH%cQ zPJ%LS{Jd340Ngx=+xK-!@kAlK$=Xe#Cub&iZSVvGOBX_Bz6DOBIQl$zojX-3SyVL~ zU{)-3*}0sVJ_hL{=yM(*sJTue{;Y$uD=T?Aajy=vb_`P@wTv<~g@9%sCE||oArf8t zYN6Y*j_r+6k31Qv0c_TPiR?|bj!#r5zHE#uV&tms3L;W?gjGk*{8T}i0lk7$V(y4Q z5Zr-nA5IpPZTb)})wq!}Cy+gM!$}!daZ;GY;2fH~N?H-zDe_*4@q^#vh*D zyu&E>VW_P|uk)>%^`^*nTy}GJf&-gzY=3UPJChex7o_m=OPg^s8KgpND!A`Y>3Xcw|(<}h75&2+$RQe z1KM+PCFJwNUl*AJaF3OS{#j=TAv_SxGk?7RCjtn=DrR7Y2=Z%ix0j&a|cszuHBCtCe zPfgFJg_agCK1u#;hT#ejel(<-QT_(Q0=rU^K;pW5qJk7yKhe-r2P z=Y`bTq-=rWJ>!fWU+eUSRpV&;j=-|Qhl%X^OzcQ8; z%1Z!H*Y3BNVcORn$Kz3w5yu>o=;-+dw9a($1!*83Oz+F_wqx;N587b|QRGQ)P6CjS zObx~BLd^eCviP6;Dxm3G$7zcWkP9g9gV0UhzXR>4g+3=fKR9^VJlthw_T zYCHE-#d+g_fJcmA1D+41d<|^b3n6Wiag`a}FsK8wA6!%xk7vwIv9n^14ztnU=-rA5 z_d^d{DGWxo&NeYP{?5Fle|St5S`pf2PB|Ogt3K}6!4Yr}7R37tMz(qVU{Tp3GWI(b zn2ul9_ScRq?FZ9!wrRIw09wK7=VCY2a->=8~ zfOm_}Q{SztI|-T|zL$tDGL--2`yEHVFvaFP3LV?Dp!++7{3Q&>^cx?^`> zUK1G4Hp)#_rgt)65eeg3qm}v>-Gvpec!57JJ&DftmYr}2)Sr1N%-VW?_ME+5Jo49{ zcnQqr1NpxcCdKrB305D?k1wr{+*^e%+)|&LmhCBTy_sWi@h`dk&I^~i%RFJ>4;;Bo z0dWA>1vL^4nex@>BP2+QRvBAfhUyC5@~59Vn&l^jOEof=?~zO&_r;!sM}*t*=c+)t#kn_{EQT$>I) z<6Fd~v&!@%Pl+yz-ju|mre#+oF2Mjhq%cVIO)*K$Gw<=7KhmpUdU{GYdv#-&8Oe2H z?OMx^FC^Ywgd;=Ncy&_FVle%WFC*UW0&S4_ZUSw#S%k1kI`T-F1R2)ek=vL8Wl$X% zd8~s9347V^K^U(VgZfAVM*To76Ric{8jA6hvG*maZFynC>Un<0EZ>17u3o%Q`O46> zwRerlcUPWl!fG0T^FX9mFP@b8eGlQNeuBh??5fxhTb>lNy20|J7FgT9ON+?tOW;GJ z0~c#a`58+ZvFxg839Ext_r?6UO>&*oon1~HR7XdiCAeOKapsvf`i-C5uzrFv7OW@u zw3~c|TgEcHy`tG)JlY`B+x$GWQnSn;^X>Pzn)!ofX`f2v zJwvId!9=`734PImn)t*-u;oNbyOdI9Qxm{@(R{+j-JmWGr*@u9HBh2#;zv5oP&Q#^ z69J!kX466rnYm0rIhyspLhnS1pR(wyUfCraQ2Lt}Kk1vxl7W-BW;WGtc&L;G#>l6l z5M-E@$m1BOm$Ellt7qOrm&)^8)2Q};Pa7F!dc#1Y8a;bVtBRXMQ7NZGtiwr^!K<0O z0DY4}6IT70LQ}nSBBEc$?xHhKGqhR(*uL6V(<`5VUZpWKE>nCZ>^)DBEW2Ey;Ukwh zPgxfDK0KdRb@Pezf)tv~B{me^(x05_xlIOT-?J5$%R@keI+VT@hnc(n6sru9c~jU8%G6-W4VX$Indn^8D!WNV1n^EkKUsFWhiIjkr11MQH~P* znCfYP4|orYC)!F_6}sE~zPq~Q+H)_3^-Lr~j0m99B)v~hlL1g?!8TFpTTo{6E2<32 zN?=T$e~Nf=?Jjw?*LNxQl#h3ksFs*~!p?U>k8@&iT=g}ZmGAoF0Sk-ee*-SIh~{VN zbG@&YY|9P!98qOCop>$G{JsU$T{XCImECaJ6@d$&`lPr;zAn^7|pwTJL5wt3Vx=KWc(#se;^p`auG5Gca3#I zXk&Ydel$Prn~DQ>ohir0Oz{{|o!uHV9Q9>9?31d3l;i)5g7E1cl`h%^e>CGXl;0XM z91ZWfJBWFU#wBXNN5I&U0tUiI5gLh@3zl6LYUbED z-GZZBvmA#EOqItoE8*Cx!0qT)^bR1g>27b-ow(fjM$WEO{3u%Q|)% zcYxkrMJeO6y)p##HK9s-zlZZ-iuVKuo^TlNLy;Oz5rJ`XvjMf|Bz-x`;2aq1O2O<& z6c3`PHpt25DaU?O_R^C3rZv`UPJ8e83Mb6eR#&j?e<(){zo&1+q0n)}4+7zhRD(0- z=c4g|#Q4*jlE|`GjW_Lg1}uIfK#awJ$c)cNo3rZD`m|4H28O?-mR5C|sVZ^owWFk^ zzs0+xc)52<)$X|YO4V3$BL-_9*jZ&%|SK&&eFhpKHVCB;2#&gOe-F6z?=i~Xx~ zs*>Da<`=*wx^%o#_TR+f%Sv%^7~Ou#j@V7BIJx|bv&*b39Xs9S*YS2+D~_ux#XZ|q zT~!$Yi-_h2SLE=66joHqh?P>bhwEb;Ia-=wR#CW$q^Bv5rox7E`Bo*b&e=eXQ&qHI zl=75|x;$0&}eT4p!w0Qq}vT|05qZOf`@24uCXu8_0Y12K3reQ+W?%k6t zi@H2{W!ckOTy>UB$rZY4eflpG@5`!ZYjRqL`Qp-OK#gPTo4iO&nhwNliVB@G`TB** z9pP$m&L(LG}UnOXOb-a_;--&Z+i!yVgLSR@)i0` zJzDLP@6Pn-qn9!(FiH5wla^s}r(F6GdJKV=3gZUPeVq;erXo>5*K#3MV5HVyS#_<_ za(QvQ=AbIiB$>_|Hr;Ic;H{TnM3os*e?(Q@-h5xBzW94AL9z1;(F!I(Gk1zn@gR|44rYUvv0SS zwaymrbj8g!7c(}ly=Aepdvp7orwm*{w%94=RX|q8V zNFR#>5xrH&LKkeedy4fL$s9{p$5TcSb)1K1cQQ*nJbklH?t!POdf~=++_uJ#5nX`A z4TIHza;&w^u6 zJs1aC5NL^$oa=ki5q(|mfVB~r+9gIzu#|XSsTL(J@9t>8|hTzHlSQXfJejcQ}*q6;TkOk->hqdP$Ai+(!r67nFd%1 z?GH@HRB9~IV!~L^g0WC!qe6x{GS_3zb@N_AJF1ozl3ikr;cBV=hf{Guo%aye6%M17 zUP8#?Hn`U#Rt%9kLdL$({k3z$+@19fVG>@uq6OPok+W4JXA2d*B-~-_EX#I~VrO2u zFJ!gPC$7P>8z}=N4Z~0|bh%`7u~sc>udzZNDfZ1VViI^a!xqQd=im_7F>rDTqwLPq zy$2;)T&1=-_@wpa4nxbJ$QM(z;WyH)@a&T-)GvyDQFIe?bJ>#S9m$wMi{^N4Md}-F z3FG@a;aOwTJ8r(k^i_9l6iA#1JVBz{XdT@_7TO<=7v$SYy{**SO8v#H)X%q*dONAN zlX^R;x0CwccT&HvAWz+`F7oRU8ROANeTr0%Og~99Qj_Ck(MT!gF1eAib%bvtH8pE9 zbyA93d2~`5>2uyrO7ZOVwo;0@Z_{>5o1(we(@iOC(a3l=rFhV8zPy{#2+i9(DKulx zSk|^LkC0k`7k4yMikr}BrpWZaAa@(;}0OxY5p=5EUN z!0UEXw#Tx&tASIk)6`n)W9RBUcT@HaO5K#LTI+46=r#+{PLc6r-gb(ty+{pJ;L}p2 z-@U1_`L4CmRM`r&bL~x)os?4(WqXVnj_nkDn~S(V_sV(dfrM{UrPyR6HC0v;ZQZ8I zOC~i{ifiB3BPcpq>oiqXgR9@B%4)QY+*DZ=mvx&e#nq)NnkwUgu9%uCi+GD4O_ef7 zdb>?kF!sk64u9Z<6bmF`-^ix%g!_JqP$ITsKj0>|N=T6hu{9FZ2eAna$sx8Hnml-| zmevxj&CfW|T1D^4YPGN?iPfr_YbIJ-E3qE5*3G&)1+5t}dT4#I9Djk(Zd%(1DA77P zzr<_JSRIMi)|OGCZM0>H*94-R5d^0_#MV3zKZvb*KYAjzbrUraTQSRn*e3W7UTc68 zt@Um~pFnGMko91-wbhhZt(P$pY4ub=`b}!hLz#~e-tiq;Zxg(bw?Zz{&^;~@>Vsor{iEUkQO~lqzMID3K zdcU^YL~Og^nur~p+#$9mfA$BlpBxTizmEMn_UqXHEXV%&8un}0uVMe=4Ey)x`Y@() z_G^s{HM8W`pCZ*GbFAyviYc)BwPGGy-`%e@BG;{lR*-#pyS3t0IJ>n*`drto6;Hpl zaBIcfw=%j`4y~#&j$11ernWuQnf87G<{{RzY;#T5%IOZmn?C zncaHa7GuVQDc7t4uUGG%!>SFob*u1zbh`vihw6RF3gt@ajOm$uq$r|BZpcdzxj zwBj0Bc9*vLKRwjijHvCpwDG0S>(ar~A77Xo?KiuiY^wh9NRcOWxA1$v4z9RUF;9o6*KVJ>}?=?{SK(U2&e$zy1 zZ~H4n^zZc7oJ?%;4-s}D`tVuI77?v3e>8~oy7iBdJ4<&Ye~#R>_N=cF?D^x%DZCs` z4ByTt(;QZcb5QA@&XH%qCq(bfM5x&V&V3?9#L{k<{mZUJVj?*mPdq<0((K+^yaW_G zFBKb)4bR>^TUzchgB`b6Kq8s;#1tJy{a_oe|INn$moS@eMJj@qiDBrcMx11}V#5fj zxWS^?ZKWt}afanJ=n=u5S<_&+n#bUz{PCvX_mFd<*JW31^@|~kXCeFTwV}pB+%;`1N>s`5b-_3IJQtrBP*L9;s+6-8g7=}BBOYRs_GXs%PsN3`A@cO`>idr5ALGmE|i?+86} zU}Lah?ty8<3^u*c2#rYes=%g0p0yr$#uw|s*k$Q0jcDI%?3xzN)dzlOXq`sJS{_*( zC$}5wsyFQcTiv&_Blc`^Y`3I%7T{6vJKg(K;#!1}>#BwBkdPOXynslm{%-~M4pb(-6Gb8|zAC5@+5GbLtcs#Wh=KhgoGpN*?FkKE91{c90`4=mR7<)!UJoo*(CC=n##R2+qy;yt_Ss_0(k;vZ3@ti$MkPi;Q3RT)8 zMtsxu@Nf33UDu8r2j=?~jyD+SL5kA%7#KLPBmY0JB&*on$KGUv0S2=j-AkU9M3Kc} zDFMM9Vq{`P0wJQvVtLUzNO0te4_-}8>Rpi{C3o#0Tk*zRm*@NKNi=tQ7Rx>o-{M;W zoIOtx@MV-&kYV9DUg0D!@k|Sw9tk`HM6yTKBt3D)JUq2CjNqI&WZ}@{j|f75%>~60 zfe&DDH++%&7ieAx`vf~bI)v$<=lgF7`i`u<6Y8B%?}U0M)H|X6(h2qL9Z>)KfclBr z$7^%zAIn+d$A@S5%M9(jy%xWEdU|FyhT9%z*wPiY6J*%hiz1vU^OyxjXgp*OOt9{~ z8TPSaZ@-j#md_0EyX>C!Y@jh4_&uJBWP~=;vpGX%JW97+eVMOYx1$OK^3e1M7Od0L z)bnYZ7U`7d47P6OAx876SsG^Fv*uhpbKNt};halzs$FBQ>|*Ql_@XCiVFRQ=Gm#+< zir=LhVn{eTKPxV^&1g&7(XA{-hsT-}VT)joiR3oLo@2uvir+=6Jc6(mt79D>Sj}(# zZoNos+WGim@yONpMKgKCoa~x5@dY$O0F%k?Pus6?6B#|Lp%Z}!uP->8QJE7~)#qe= z%qKgeIFp#6_E|vPY5T&=Nskq(8f;a}q25N?fnSzXR(hHp4q!A-j?J*!Mla~%3Z3W% z}uMtzqK*7rxTST9T5@;u^B4gnUEv6?!c(nS$5CQ?%damEfF##?16kO z(GPp~sv11e5;$Ua)P3Y#JcKp~r9o=zn@9+i=(ddYK#E*D7M3(&dVk@>WX2t4+Op7Q zLeAFIw1^@I(E^40X(nSQMnMZ&+I^YyxV|@ z#q90N#){MCczvQj`#D#4 zVFERTy$@$p-C~xBOK?>1XQ_$SjA`N|VL+A02mpz!L?4@9el10nrwxLlS9!|Q&Y}o2 z3W)sy#J#FKF)@=wl_;og7}Ree(yN+Np^rDSUV%Z4#OpK?~jpVv6oAn&}@dad5 zYyhn~xWfA8ibkoX8zfM*k-oqDTD`9rPOtF%@(Ryac>dFb=eJjPzPj_(ov-eEb?2)) z|7N=LCj_MTNcW3+ihktCOU#GIQj)kMxU*~G0D_~Z4XF2Qudr2WYOakHGBGus|H7Pk z^>O`=F+N&<{_2^8EvlvDa`#gUVuXapCg!|#oOYT$?3Go=it@}3!4rYIDFy))i4MCE z=P?AB-D6`>EMkS7ODxjFg-@_<{qFZI(*&Aq(w4~u7ILmT^?9<9<-koklqs9EC%5dE zjTm!aU0=A|$(Uo*;g(bI`=FR{fwXsers4}-*i$IE%3h~dlxHh56)AT6>`BQLzdME8 z)jXP>zOiQyan?gR<~3q&!zz(2&!5+>)lkf8%Kb03y95z1)$`z%^?aFi2WpfCaTlJw zOJnCLu?Hk`Z#?w?;A11o(C<8zaVV={T!HtAUu*Zv<`c;JK|&mIZK#P55<29=_3*1qI%M=OM|PCN7DtyxbC+I^Ic?c{@<)7+m93w%JB$7?0& zL$0?Z`Jhi^ZcePIC)GK2+2kvdQhg!Zvk#PeaEI9n5G^}eb2Q;02M)Ac@xiKJ|Mlm; zp`VzH-SG-2k0*cNm9!>+sk$iYQPj3dQ4x1dk*za@*_xVEQIZ9k^P(A6F`Qp*+eNP`~RV{ocXo_RRbYQ<8Nlv~C_B5Q82aZA7ctb6yQI!*bSiQ7UH z$s@I}OQg?_FFMbFXpeFd%bazNfCf(z;{!vT0C-~R(rEJ&XSatKU_U9;@gS7fD!o+$ zU!WRv-Xr^YuNvWg^P!I~{C|p-rSdTND z47D)R0NXCO^r=ZRH*ay96^$PSU_DxL(2a z3a(dhy@Km66kOk4z4hv?S8x5F>#d()GpCYn{9M4Gw|#7c zy$|We=W*B-n-h{x|2#)9;~LKep>?N)utbXjJ>K-JhDjX73)?UfBVA!$tRL}r^Q>Tu zOh;3gHD%E>*kjfREsr#e&^2MJND0F**XpI({Py87j?6LB z+>9wyTiK;P&f|-oGZ-5n37Sa^ZYUkl4IvEZlTZj@PhGSn?HE0*L#M|DAJvDzqYx}j zu_d+0;11^+P7+6W%d;?+8@f#?T0dXX)z0(xCHTA@yT)bi&(@mBMj61s)agvKJs3n15=}7cy8yzr9OX@@y4CTYdVE2s`GVJQrufKKgcf6pyWd=}Up7I|LkJn&k z%^hI2{+q+s9HVEk@VYQ}g=igu0pvu2q^cF|9;8GT=w~CRZE>`BWM~yluR#jP1bOle zAGC={pt3L8DS|s6L?kKSl4y8lUr5n7*pnGs*KC-^sB-WI%c9c4>0%wf?^djO8dB5O z&Wm@#(U6xp_Lc3gzvcfb%FDAa&%QkS&*0f_FU|h#X!fCI)fwQNC(Ej%#F6-5*_xI}cHWL8>z*m&CRq*kTQ|*WG~s#Dtb6uNvThwVf3mE4u(qBw>mJ$b z=2^FmZIC?ccF@d&XVo*F&s?&o+hf^>En`1<);)Um&9iQs^owWpMjm^`C-ci?(z&fH`Th` zW!zL7)o%8rT1UjTc~fm7rr%WSw(jwy+Q8VHH`Tg5INOtI1EuRcsn$KF^QPLsIa8ff z>$c7((?(;c+)NvdV|Ftw`}ON@9Zd10`Cv^LxT^S(p1Dy}@ty>cPWcb}Rjy-44g+(4 zh2sqjU8JP%8DQaH9Qpr&C0WI8wH4 zIon?+wWwBLC+Wnb(um=`A`5tL(BkMku_X-_Bz`rJbKU45ouEO!i!@>B97uC7SYMd- z(orbE{c;j5l4NO*rnQ1;D1$Ay!6S_m@)6?mz)J}mbTw8MHY`?_W5$WKh!p~E7dY!! zCMs?9&K<6!!p(*ii?~aB;KF)O3;5AcLlMZv5OmgyGAg-VJ1VU;oG7fkGO?o%ko=z4 zE23+yh8g-D{ox$NiL`EvK%qGA6*)?_peJ{2mk%6Ci*sO&SRs7Xu6p1eb&MUbcwwNg zb+d{?ir5{4luP#U(Mz@wOx7WKu#uPA&0lzVVI5n%E+<4v&{O03o?Z2j0DKiPrP@QJ}gF}y|Y=+pQGg+ECFp< zm=!x_K|}7Cd9HBr2u;K{tDZj(_J8hfx*q3y+4jSOJ5g=4ufHbleW&IkB<5YL1a}pYAB^2!Q3=gIk z#TmX1vp^SiG>l>IH%BFg==DEFQ!DlS6^U>>^^zujSL+-QElDa>-gp52nP9;lg3K_~grd${Fr;Kd{u? zkrt)YkTL_WGAJbQ`@Zw((|GtmqqL9(tO$+M9$_lS@mSy!4+o&!#Dzp$F;c`iF}f2F ziW|>VD)dg52Zxl�aH5tLzkx3un$$@r8FrAguTnyiAo&IWt_2Qaf_TsK7hY2TWZ* z>#@Sqp*SIfUx3@r!d4vF3JZBLavNpnaxVfQ8S7v<4@9scepS`$f1zXq0=v4%3)mV@ z-Kdf+*)A?!ypHIoOBlzC-vWZNTczfPhQgbI1#1DTq+9-4N!eG?3tccO5hsh6t^->{ zN6#I!Xva8?z*(YKy+yd;t7tX)y-*q1P0rMY7q#r(^TH&osG)nsh$Bn*5cSY;Wo=mx zq4V8|NS1$`fCOcXufOo>^~ow*A7pE(ZT&&EW|{3ETdP3PgKYgPVLZrou>MK513LWT z)4@W$8Fxgl-YabOPdyk{V#c17Yoks2LAiqYd{OR=lbg~)%Jl=*LAes2lQ-kKK(7bm znu~oMeCpq+55o2NzaI;P7=%lGeh{vIKgp`}z-S$PcRCX8HQc@gb+o9JFvUR0p{DA!mbDHrVBUqx|-hy^$H`G)SLLG-rEA| zwKG)??zKBdKe?Bm92fU`OVoo|osMp%P5zpX6*Z1b?)49&`ruxxq`nXC^^ebSaPO06 zNxrYpeTD8TbYG$S3f(^{bicnk_h8&t=e|1k)wvJSeRb|1)44w}LcGa~1mcy1fpyLY_A$yGVG)vo;SOA- zrX+XRG&gipDsJg(P%sA^^vU&d;?#WmSW%-rZ~!D<#L;e$OMcY}7bw5F;89yC`n(B> zPl}PbsI;ui=JxvVGyE*ij^NC$2zC?vE)0Z!>4ae-IkVz-Fqu6of8Lp#KKEmV^2S;E zrBvdEx>(DlV@2-`;wM90`hiCTjADouT_8{tgpVtn0Ix_S-&*(+pReXoIRAR5*F%$WypBTQZX3BFzc3EBhQ1XlO#p zf;rFM*9HkFM&#yhj|ez2f@${+aHy->@TXOZBhb)pDUY0xBAA>WT@c(#lI=;VRYsOUD19Fsw9 za$#nnKUb99NQLN(MLhQ|{CBxc9YWoPa%wp{yhsxJ}(XLjOR zvjAba<;&^_ey@#ppxs^1QUHz0?qmgR^bC?=-W9aK7^yXCMVG`c(G_UI*3osEzjQ#^b!J_jan!3*Vsv*#*-ZI5oVqjkfFcm$HTG~qR|JYS6QhaIgDDq ztt=$1^J}HuNqA^$>IlPxt0Y5m5v-PX%BoiSX%m`j6&%b zpvD?0Dp$1G;n#O#BPZZd@oh)UN^bL5;ZfMf2_7eX+~JWNhZlFGJA2{G#YZywtJGel z_A0f%NU42)h1x6BUZM61wO6S99YXErmpXS${@T=Pa9MubvUS5^D(mx0UBza~3X52D z9Q!nK+~*410NeCq-OxKWR13IcS6P9rB^;~V7&|=B_lg?S8+Zk*iVeNRdY5r<(q@Ch zuDDQb!HFTUZp#d56u6AQ)!IL9m>L)|E?lr~bjxtp+{J|*QWcL_dSN_5jla$nLOk4A z56dFf#T~<{$Spqi5$h2zbqgbhOIP1KvR#;$uKrlD=(e|)54zOt=vWg>Xq zaE@E+4?J4#NQ+FSLssBffQ#VwmFe7`7c=aNidh9$ZWY9C)C)5bQywdP9Gxe$2E0l> zyjGzT2vr#!PI>^MYT;Bz4S20D>=?#ypJ7qnGVOcA@f6UK(!fAkA=7 zfPGxV!@K~AS=p^UR%|agKY`J&0$jIbsa9Gl@-ZS`JLaroX#=6q%#Vw`cm@`ry*ynH zIO?^YmZs=7t-#e!_8TISTs+1`K}{Uzs>ku1o1KG-okjBj6D6p!c^t41<9h3Z^k*s> zqah>+nf2=I2exh==~G%{z~NcJ4{hOuu|N-HW;eI!f1ACEZr*DKp2Eb9bzzcN)YHA9 z#F-Lacx|jW!S3iRFOBk2bc>f8eji+aGVRBgfB&&d{6n=fctO9*Ww9{4{&-ipWPoT4 z!)39b5pEmM4TWBd#)^(&XddMoj=H&?290X5?Gx3saQ=VnSGleoxem|mucA?t7k@LY)JdJBVrX_SSE?EPU1YwUQ6@B>*40_?()TC?$sJg+zkF)QM1 zQhe=9-~*Fyb^8$h2cMo0g- z_}kIfD=-u$^D{vlps|4IJ&lJ=;S&yR1$J~IS>_ohuoX(&X%JPUVMU{!Yo!uh$F})w zO4V4A$fnLy1w8FXR7Q3Pj>v$3PNegIe#sq(>75JSx!|1(-nrnN3;y_A@Z%i|-m%~v z3*NEd^Nt1YSn%H&3x0pgVmMqkS7|;)u{U@6GZ^{(?BhCbIIU|AjVSRlS32LUUKkZF}4qztg}LBQ%NmCv38zM$*h-~Rba zFLlI(ja$FmQ~P4Bx)rE-DhE63FtwwxEZlC#95{i9l_J)zU^u6&%3k%xQad^mou`g2 z@>meV<|@POm^Nn1{Gxh!Ih;^eqpp-3R^ten^dp7D` zE9q_b?`-Ac7?wt}Q%LLS_Q;^r8Ygs?u9vvlLP_IefZeg6lvmoXu%fowWbl{6AoYJc z`kxbmB{Z$aip+NK$Y%Z5_7%`?LE~b#RlD(3(~x%C!wVT-1X|~Ue20kb)*qH{!aq?` z@8Dp*!2fo~df}>NFP#yTb$&0SY}&1WyV#I+I>JbQ^t zqRTTsC{Z_hgpnxJC?JXpSI;gMloc?A?=fikxKa6;ij& zcguRLsBHe&p|r;lRVUVe#C;|1D{)_m`%2tDB5{Ab3inmGufly5 z?&npwe?Z~>{+7E|K$Q)_n$9lYQ-26t^>`dZ;hN3(y24evX23cXu6meskB*vcTnSNo z#P2yiSaPpu`ae?UW+Amf;Hsk%0jwm{6yDiGbJN?l#^w7Ch>SKH^ovWTvLa7^QChwG?&b^P;vvxTq{(ra2{5>dAg``)$W;!zeltOqdTx* z?4jggQs`bD7rOp^{cNFYCqUY8brVXAf&CzSsC2z?x9-N>>YI zja%tjC5=nzTCMd<>3)IPzrX$Smwu#ZMlm)O#eQ8LEe}1{O4PK$`oA74(ne2RDBEsY zMeR&A>!V*cIY-y9tO3s^qtq^oax0@fHCyd? ze$s;wB|lVIh^!)BcAD?VbFMr!XBGy|V4*d>NZKt4*dkV z>Cim6Y&!HCwnK;hhIZ4TdHR@-6>S_k^q{nx4t+(s>Cin@f({Y5Lw4BmrbArgxnh;g zh91X$v!OrK-vu^wd$!Gnw+%MDJ#9oX4jUp+7aRJa`LLngY0k2_&hMP%VnctIjK>Oa z!r7s$n+?4N=V3#)^I=1;*?F^}S9-hI&^#j@HZ*&d!-l>`zSz(YS!=VQU$Y!G^oOOJ z4gKj$t`!#b&4wPzarkoQDkk#{DKk zkEv`jL{Dt00~p<82=r=?6}cZWv^sHqAs2cbwYj;_D@_g;e#KZH4i#)P(S$4w$S?N{ z!l+T{Pxs6+h;kM@wO7C(>_uQMh-OP#svxech--Gq7p|%u#75**PfPi+qG!A=3+%xi zN-F$fX56A!Jk2InSAo444iS8jzo$}|GEJ)417CD2BDHhI7qjt$3{XoYkk;VU`^oYXIK zIH%)-@MM1A0^3=>@(7k$k0e9!XlW)C4ldb|4SxnYd-Wd0O{^&M>W1cQ?}_#!VMoV} zv%+HC0UpzZhGv6Oam~SOw9Fo?C=p@D@q-%}OgVe`l5(@Y@Ylm539(3=CwpQ!b2=Qd zUzSMIi;W($U&j~HW!w0o40)``ceKMY>(;_w#Otr zi~@VBEUo!fCKt}%qYZ{`BQ`CyR`eAc&Tv$GC7G%N`X54e! zJqwSh>z$2vxpIxYx3V8aK!<!0g<{Z6B^46x!8Aq{~yHR(9*)NqR4ER3!=PHe-=( zD*eOT7kH<}o=%g3;@UoyxV9jXhR!{QaVJ+)z7Be<8033cnz~fcUc`MZ<7Ll89T#L; zlVMRd_4?QB9g}vbVs+wDo$Mzbh!IZzakES%H7ympc-N7RrLjx!T;j=!zUrG4rAkGu z8wZ8qTufJnbo(MpAw%)UJy>FZ^V~yH3x?tGQ9a((2aC9oxLux-+JmKTujuUW!1U!E z=akP1S(@H4_nUvWoZS4abtyg8ecmiM{ZIy97Ah;H#^(Em37p+zDc0q_b=vZYV{{Gs z1DSC#{*JKDSkU}M^0D~a`J7^dldb7eFFTLhj6@CWlId>I!v~oCEND?we4D9csxzww zZyF<_S2wri;l5{AeZ2ko7v+wMmvY(etCC>3qD7hjm|khwLjD9EDm6A$<2gNEhns2@ zH^I=zr-)nPqZfEcM>VJ#;}trnAunKQq-xKo#kh`V?1$IQu+??(0cL13$qDn!jggqK zLi7iV^a>AEJYx(O&7wL6p4TlVX$qD#;@Vqq^Z7`(#PIer3l;|BJ|i=X`ap3VwzI{) z3UT@n(=4^(P`&g=CGr|pQLMG?Z{}E`+G}P=)saxUO1&-zXE%?_QH({fUS1AntEYBT zk8p3xlP$zIrD{RN;@36K;FOiep*RGGR8yyCmb~P(_o{Gse-J zJGz=Km^YkYZt;}%kv?gKv~NCR zI<2>k9GCE+%Jd~kKzH0P-Z&Pw#iWKQM;5Q>YI-c@n@(W@_5OH(hz&|;)pg+`xt}Ib zJB;NSvpC692%V)ONhkPXc;7l__qQ}1nigUphucmWG9U`wa@nb& zA`(S(RKm}g*N%A5K4WOdz! zp6W~O<`px7J^G=z)y*$8R_tPiO2NCvOq;XEv6Nz_))yFTr6l)=x;jMNgHB2@FE3Z} z78~If*_FJauY*>pYhfA1&7wE2=+&Hf>p#ETlcLWz?2em#I*r*NWm!=PwouzV>Czu8 ze8iTgRo^YpF6Bva{b2EVKAsNwlFl#b{F2W9Z_@eqOF6%k^Gi9ul=DkDzm)TThI0P> zUm%=u#uNX>8doYIMN5i$En+(1iu1(L9Zw8QB|Jl`2u5GH*}q-Q%NWj`LMOxNu&=DOV-6U|`k|4F$^yo5x$tcR1RJ>Bj&M z3px}Ny+W`Xwm6G!jU0nZc~tfueq1P0r?%y}-~yk7!_!2P`6y{}U|koK16ka+@kBkq z&y{xscXfZOPt6|E#5628v{OrO}iRa(s}e0a--+xO~|4O&x{2Lc>z1 zsZ~mboKMP=l^;;|)JbBPg{h^xC^|i=fnD4F-rajS9y_*fxFz()@*qfqv$s0}F0ycK z!r@|UXfPK9x2t#E3=KJ;)lQtfA!@KF&hW>ZK6uW=IDpM6*UgA=R$<7In5S#ZBU7D(X5-B=LDStgTd* zN})5WbJeb`DFPuaN6#;1I$wr_eLBc&KA__NE?E2a~=5YxjT>dBAQ%S!6;brQ^D z^M_Zf8R72rUu50^WyE(YLyL@nF4_qUrQg=x|Nh6@pKpU%Q2)go$ODDMb3SQk^RejT zVd$cE8ESK-Z-f^xFSiz|$AK#?m(SFj35Mxb#1{Zi--e{IL5lR%TJz+CxAwx~;vgpk zTOW7GQ6AiRMcqQ z1Nn}dA=xFmplxu&--`@*mz{t=!zaP?2g@oZ#WL`EMI}SMdU;*;k(+x zFt-C$m-|MZTHQ+tb|RYH{fJKz?-kj$K-u&)U$&7wyh(n_E?it+i*dNA*$+wzDhMBZ zjPU8sJ#ramXO?+-1~FW)>E-q7?PeH?!M=*B2^L4TR)0GRG!Mo7=!?A_+GV)%IB8(R zs2a~ba%w3AbA|Sqkzz+Wt*jI*A=$K=de8aV)zD~ghiOjV5^4}+Mi0-c)P8-fJ=NpH zLnqBUU*U~8qxmnD-^N$g&A=ryT5?`Co#rt?aRn`v-t=Is11M1>2v=dYl;E)rOZm-!>Zb(wMfV+Ax z=1*f@_X}b=N;=<%+YBgV9gx&KHMz$@dgJK!J&Jsc*c(X$JbveoF@ z!?V}wv9-dh)S>#m08ZVq=LYsnFI;Nl`mjU0Q%vrBjWP?j#%l=*+x4Digu0_|731g1 zvHG}#UymfV$Ci}l&A}~?_b>jIXl~o0{6VV6aFr(hjQz7AZDYy-;>s&;)R`e08sLf} zcQpeT_A&5T3ku0s9d#1z1L73`W~Cf@jQ16k4gvyXd(d9JdwO5avOTGmxxU9?`JN+l zdwufeCG`txecJgl=&VM#I*~su&G%2Xt0h^E*oN(Wig$se^dlvmBnNP1XQwK;{~`bh zb!(oz8&J};#19DaWi@flXGftxSzv}DEK`xFx!W@@ti~UE%flUscR|KAcei@;-DjMF z?~L<=XreN9M!}i&XM>@Ep_-@y4*@Kyk&U*+^DAM>LE|8p)LJt7Bd*)xJ1VtY${7v#WYGjT(E5S}0H=*1lhkta(+@3DE-jPaT~cdw=*;YHdB z8e18z)l@+_5t-(xNFgr!dee(fylFmM@U{UuXy|MY2Te=ZedqSAziWs(-7jL_bcvbO0Hw9s4vh1NK)Q6pU^==n= z$J5rkj^-c5<#Aa$W@H@{xm9h0f-0PxHP9_%b`?#KpiwN{jp#B^IskeV4+PrMCMg}v z!=Xny09w5$3wOSh1h&tp`DYy$5kpZr0PbdAuXHeIiQT@Ab})8-sT%u$sU03eY6rkj zdeRR3vmxJ%++F(Dkq-FwvUD@0gJm0jr2`B@ey4OWI`|@h^U#MN@Wbc5Ud0!d)v#=S zz3Kr5+x_dPhbIOpAHKzi`hkJi<1=h&$cy8HWoFhPUdG<|^~wj{P85q<1NqT1*6+6{ z198mSyH0m1f1w`$tI*?q5d)#Ld2r zLb$Rm6~yzfXFXr7!*a?I5Rc1JKwKWCfcWO{tR1H1+o^uPhNBk@>?q;=hoQ#wX{Xz< zjl(}XNZ}NSmtfbofM8(iU+c3;6Cf)AIm-oX^nhcQRL>t^e84(h(gtizQr&BA?fQh#By>x=d}iDg`>y*-~$H#_8q{iruB}$ACz!yNMhnxT5v^WMHi= zT`tzg*y65vLlSjtPs8!Wx8w@dU{z&D_|d42vND#c zLp_M)VjW^*9gZ_XTN-(SHeeX}bw}8VGZ|duH!NNz%Y*iX$H7%s-u3KH`PHhj&~jc>?+ov z0`x>l-5h_oE~yaH507t=7jI)B63%qPuZ?%uiOa1v@Aawb=lBzEN#e-?h;JQYni@|Z z8i+Un`B7zO0;1>EI|30}wmHh73+4#)7#?E$3|5i>6ibnC1RwpT!Bvo~eFzrSpMetr z+sMDPP$)1I*I$DCo&S=DDOdn~-hu{(er}NKsd>pf;oYsfc#@^5G35vZ=v~!6K4343 z^)U>KSi6*4v^D%$&q|tA+n3m5^~i;lT8Gk^S1TGQYu>zSvM4K-o0>+DKHfF6OJ$Oh zIdi!&r|}@McwqvA!-et2V3Gtz6YB zI9oE-^=)mjJ2Mbm)ic~nb0MS;gWX;j&z$RB#w!oa(E&PHqBGG$uFi(GN&jj5S?~;D zb2a^XBA*xKToZ*jJ-x7Y#D0yYF5Dw?#u*#+xnjA_gya7g7pX+J&LC)^%RQS>l8R@5 z-T{N}-tUYXZtAx0bvipv@2Hun@g|fnOH68Qzy-d-*>N@QX1Qt@+L1fRA^jWQ0@&pA zvf^|zQAV~?7^XalG$9m+`2K*y_3vrGCDBiQ-G;XFb3028#OS1!>>exh2o2H#lQjdV z=8Zf88sk-B@$y|hv>N9lRd|VnT2M&@%b8gzS*RkPe~-g0{@`EMgXURQ4CjCa9aT?`^a zotg4I|E_p`Ol^AVE@Q~^SueJim@szFy&o9c_r1<pqsT)13*kN*Xc8uW z&cjn%6o>~Q1kQQqoh@ggZxyC=&)jiSx20z~O`1r}l09ENaQ_o^NP(H74gIPAT9f-~hKabqoJi7`g z)Jelt9Vj*zHKd-o4*uAAn#zaJbGxDZFF429E(2%7IIYIPx}v@j_t%|wsp2>$Ij>f_ z*tijDzU2dAw>u5*D=7_}pv(L##xtQGHdypBljT)`lO~cnlFFY3(SSrm>I; zJ$4t*R!sg~8Y5?_-B13G$!}s*JQU2q54fp=S>c=q0|iTbpU%P{YJzn2nQIf`bq%~Y z?sY4g6mSE;RBRp-Q*5W`PbD$9-db*VurFX;>8i+YVkr|ogzymjsyFOGyN@BX>@Nmt zj=$raX|DsHhFVB#XCOjP21E*%Dz``(5u(a&0KC&(w)*`tOg?|H&;B z?FMG}`bWhF{`c=6|N8pR4;%j^%hwkA^7n&rBvQ@rhv+MRF$@Hl!t8 zhUWxE3|8l#hUcT_$RCEh_pfj7_yv7y$Y=L3%}!-xl!GG;E6yN(C3hGKmynqa*jK== z)fROO!(d~80S(wlRJpq*oci+`ItqpzX{vRwA{mMhgk&ozg?}EDVk?V|ALnZRRo`)P zOx-dR0Apym?obfM!7iV^a9_e1o+`EF?ilxAXgE$da((oALm#f~#{dTh%t%adK|o75 zbeDK_N7uKwDwPlaJdiS{kCl7t&`r2JBV!3GD4q`N>w)3GzSg}S?S+5WzRYvl;fH7J zCRydD7aVFH>X#{nM7oe=D38HHWbrU(F~C0J@CqMV{AXN+LWG7Dp&lGztK)FwNlaRT z@y@u3mRC$4IJ#Rz$5ae+%7JrRekWD0n+QUN@o=xakivwi*WZhGc zC|wgS=(cV5ZriqP+qP|+yKURHZQI^$8>hej#7xA*+|+%=idYr(WagWFaHQ)Sw7?%4 zSu;cHT0c3Mmp`YS8x2+xE^Eq+0h>{&u+gWVIa5EaB$LYZiyALf2>}~>Vbrr-uO}d5 zL%y3Hw zmU7-&(f)jqnGe)T3^`1JL_Kzc8wnG-NADZSm5w%anBk%t;jSqS1ZiT;wJ7> z9xe9&C2wvs+BbKF=Hzg{fUinevn@Vn2C4U7>I^jO^9VSS4M>hf#c zxxus){ABf;rICGoOGg1d|M;GqVtSkYS-<$gM4Rk9y|Jo0gEu%6a;{Gx@^>lcQDEWx zY)}r$vdO=B=To|xLZJGy8F(Z}Hao#*Wd6|4@$>5+w5vqq@4`1i74P2AQ69KAJwJ>B5oH*`SB*aEVMU;4 zO_kP|e?o(a`9a2jqpIjEKy1}-j5fL?nV4l`6!}TzpjSwBt=T+(dSDaB@fawIC(+ip z^qEBJMZ`i4h&2Y%Wl2yhxGq7h%nQ@`z|FX9Iq5)4pxkwSW^}kNu1^=CUwH&pZawb_Vt8shb z#id2>>d$KFmf?1^o|*>rHm`SQwMpgJ`KF>x_EMgB zk`^aZ$sjS9XC)=9{0zd)&YtWi4W0vE=7}AsD94wicUIm8 zTHv^;%kyw~jEa}b3k-5f=ho9~%f2c;3OS69)9i#Of5I}fAvkjiqc;~Bww>$UQ|Ap{ zIus7C*Mrf_*QtQ9qMZ}U# zyKB}S^S5NJUb?8T>AQ~E*DU&zyM)n)gbCXC8Y{0^x(%JQJC;4h?K!Fntik7V zMQR^oR~F*EO6AwPuu$7x2gp>;aX{_?8`Mvvp8=!j zu2KLKVMI8`5{dL*{IdmN>)@_zMRL|ydQ{U~hJ}vqO{c~SEJ$qemQT=zn zLbU`#vR&LM{O<_AKNp}lWYC#JJeWw*FE;k)9U zCx(}!orrdAv~^YBH6H(cAPl&>-n=x#B$!d{8|OyYb-LD?ye%T`h~rMYfbXpoTG|<2 zE%l@FaPwBpT_v!&2h+^0+RXTogbI9SR-d>~+-LF90c(30MacpT@`BZ61n{3LiHc_U5R^dN zQWnp3+EPK{J_3*JAoQA)T(u+_dnuAXbRC;;z$do4^qh@q)q{_`HC+6zvw+(FC;aYw z#?w;;rN+Z`4pITcgkg}58D6nn(^Q9r|6DSN>)|F4s?w>|y?)IkYs_^>oItFA$yvCf z{IsrbtY4NQ9N-3!QWYPQGyEVWFFD697Ks5<47YYN3A4iC*);@!({Za4>p)iCpMfPR zFJx_(=qdoK%_?PT4nJ^Fh~C{MgmZ6<_=uNjrV_rowb8Aa0ztPRJGC}yU!C$)s4?c4 z7zuOGP|Mc&I+S@d2x^C%7bKc;44=x>_PxfIZotEyM<>)(sA!(qjbLG*kX; zjP)YsQ(*g_0oc+*{AU36^ex{>%+G)%ICw$9!1`rfC~%)^;;S%Mv|krzV4u&79_bzZ zOF??u0E6PKN^BTkUduloXM^ysqrV35msWcCKLc3!p8<&f8o&Y^pJ9^0rk8i9444iM zpL$6m+#Mt9NUa*zt*b;`8%sPsfzg+*6zQK>4X@RIGtzqD;QSX47pU;`;h!XU5N>Fr z?(+%osRV3%F@sMw3Av9#pRPZgP=9QnU5@&bZ4%*U2XkDyIpIr{Vm;lpNuTUJ($4q8 z28qC?CR$~DdP9lOV7Af`R_#~*+5*DMz8gkh!XwC zIREO&_Mq#UB0~;1b`2gFRIY3GZ;DvoRP1s~7n2j$KfdJty(fq97mT) zw*OxO2*JT@E4ceK(66rU(yofD|J!i_E3sIw6M7hhKQ1zUXimHiLAdQNCZk9(MT@aP zfD1$D&vL<85J&5xoLn!DyFkD}yOPhmV!%$+l)NY#4+bODdmYZH5UVq@$5xbN$dStW zk^(#$ZAaBOS3Gw?yXH21C3kX1f||YORwdX0=Z!^KpdNxAD0_J|a1(Gitq1e|KLP;% zB>)w)eN5?J0!TfTwj(P|#k&aPi$3TiyXoYw@osUm{L+<4F9>+*VXp=(X( z6chfI%;J+QUfy;7@P&Q4=<%{H&V zHIsSv2Yr+a3h%1Y?Y5J z?;YBFtSNqv3-Rod`}>$i_+T8qh-)amr)~Ele17?;0<@0&;;fOo`5lwG0H{0_2@BEK zSti)5qw%p&mGKiM1Y#Ym&%9uEpFDRj#EF})NEn6cuK^hT8USsc%ec;?(&N6MqGDAQv6bMtV$~i3BVZ*!S@j6rs^!7Ap~6hu4Yt5 z9y;bLTUjx-7A$)G^@;U0c6w3UlKk{4YHezdcfk9xAa&^ZLwIk>$!V;2QD76ovnlh9UTm#gOMfnLTl?8rvn+6>i9`>$UI;}nQB zOu(Avj%rj$rENfuaOmDIzN4G=O0B;ebJra_#ipllYy_Vgh7>Dc+xpblxoSqXt5$G= zU60@#$$Ljy0a~0rH5@uL7(+8CV3>G*5kMO1?hA0V*IJDu(YzgY@&g5#>$NyJF?OHH z*`pw5sK+lE5=gV-Cr(#kVQ~NyK&I2FkM5uK{S#c$@FAMijKjP?^-(OQAqcP{5HQPO zN!jMZSoKN`OjK&^qfA*on_@_*cv<@JQ%$F@{yf>f1(_cbr;AeZ^8^Tq!wjZHlcR#j zO&cw3E(K##r2{sa#=LlAVa3RWd{Do4jRcP^Y#n9xWG5;uT|_4fUJhAmVXxOzh73eO z0C(Db@+Qfl0pY*=&L+~?M&0)e!2xxi6;4xXy&LWsc_LOI!3yHNhL#KMu$M7)WF*tT z9#~g@m(!2A$@30>kVo=bj?K_g0Qfc6oZ)>gg2Azg6#<5e>VO50P;5`K-0Hf$DSM~G4MSp7 zF?nDpGemi6*@MCfWw%%LPwWox5(kf$bHAziXGhpPy6E0vY;vkHYym&rE-ADjf>DQN zWzZ4O5>GAeKdMsnVBGIiAyZU@y~9Nmw}v>x)IfT)^qoHo-p#r2ONKYzwa=@nvEkDx zff@m;Jlg+asI$8YLY$e-tKs%&Xj`oaSTH7X%e=7IJ3z1cex<%hUA zm6SUcT%qg?pW8+T&q^*s0*5$;sQIQX%m8dBGcyO9r~zm2pz-vt|4_wik|ItWt)CRl zbO8T`aQ;02uM3!FO|^1amFi>(O{zrQS1>;afU1wEr~K7|X$2pRj(pemkZ@ihf&AA* zXAEdd3s$iTg0jjAbJ1W5*m{YfHgO<9+mU-2h%pp4Ema#XX@m+~nXp$6gD(MD{>}#A(d{T6Ig1#SBN+ z5}|S|pIk|86vOxP|7uxKvg^~LDn~!J9(+j+JC{K*=fO`p9-fX?9J`-*TzL7uU+!+= zL<1B6rxq6_T%DAy-EANGh`jvp#}MCYA4cUikx7hgo&4=TUkCf%1_SVLM{a;m=e{Oq z0k=OcM!9-;zrR<-X5og_nF=p|`uY7M!Fp!=^(ERgT-iRVv}XXV;e0}Wpur`pa)o^FuN#1Oql4nKFe)c z54-4`DuuR~;T38u-i+r-@_ufKp zai*|{4}qN+z=kJ>WM(1N`yg8jFrArTt5-}-hKVRM5v)(|Auh0|Pip#2^Bl8qCt!fP z9rf?(#vA8dgE?lEc>OwpXwQ4)1@MM0m45nclYv1M&b|%eiOhM{=nU~JXTcL0M5b2z zr-U$-YyM%*ccxeY>;+yy$!MaE9js7CRSsg~9Ud)=O+%_Gjdiwi#GA8`%#E*c@%4}0 zwd&c(bBtW;^Wc}oyqVT4a0$1ZZ%7?6{5!Y#nC$WjOpn~zHgK$YIPguOBUZrQmTF;_ zj)+;mU3MYDX-s&yc~+zhS;&qi+o9Ul^v_L3tKxlKzd*g+XZRU-~+cssn^gTCu3t)3Hd!DwffhF3tfkFFvQZ{oHM zwu17rr5i{6b^BkW5U!L!ZL|=KyCU_0#N-r4($sh@YBwBW0h5mx8<;0$yV{l=!{E7m zOU=X&wB%@D*#L9sl{(C(C#lSk0#C}miS3d~UpkAaZid1vH=7_Sa-6i$Di`=1z{qaZ zt!;1^DNP+6&=ux7@8KnD#%%!kTI(=bLH43`m~6~%uq|n^5@{;yV*P$6ZlvW`Eq=lq zOpQHO$34*PU+u!7)zP1i+_+voY7dhce5;c?A+*3_JM(j!DLl#_h})-%Yz z&ldDTiQticDpZNRRGVsSMKc)eRe_#mmA7>(b2aQ}k<2Ow^D>umdpkaGq38&aoI6>HN61TyxlJh!9N z3F!5n@ca>>qcY+B?n?y18rnIFNC!jRt3hgQW;*b?`vfjM4;!%dAwwLQRxYx~eW>@; z&^254#5m4SZI?_7s%Ohd#oglc?&a+kC4-+Lwll+SGc`k#>F2~Ox-=M3hM;s5YBP*- zA1Z9Oz{hr)$+|?cwZ$0ncpny2TkMJYn}o(nvX_qM8}!*kN~tOgW8O04I<#yO_@eG6 z_nFn|yp0?FB@plyeI4rHoqieAz!KTbQKD~&R@S^CIekM;&QPB($5-f4U`L>XY0t1B ze$!hohRF~7F)WFg{zk%YaLBU8-HkK`YH?9wY?vFNBr&w6^rHeW0tfWp@n|-%9Q70yakf zX~8T^%N`z>V#)0Wb|!r@)2hpFT4--gwdekRW@Abn`ky_rM?g)nOC16z=Ep~lJE;on z7@X?p;3N2zYg2{){H&5BI6w|9w`^czFU*1Nzc&*EzAEc!EcD%Xb_~P1-gcNk-rBAQlNKq098N76tEZA^eFXD67mOic zz%T)7=QAM!`CY9l_|G}B9+ZQh(r?A^T+&1csJp!4Fv3rY{=qQ8(4~%(ZNxhihwx6D zsZ=5EZP6Z_gSLd#V+1;xdYx3QQmm*w1h&yO97h?4F+7B@y&De>Vjy~Uhs^Y({q!?N zZTcHS;>ts(qjTzqrG=j8cOItRt>f24%LW1}e7I&{wzUtrIA)7H$DKs8UvF1)(3Sg4ybIXk^f#}4eA7YY|l1QG;D@POOM16PGa20wNUz7Nlu!*d=k>jlzVUkU=8iUgyIjeg7kScO$qzjiyCwN?-}B zg}g)ckg){{e)#Yh4E5K6?DD(mFjrqBonsfCLcm5EuWe;eEGk zSyA9Av+_Gy$Ej&-Zne5)-BK;O=}XKrZ22)b>zY(m>c%a(YUi3vf5X!%`%FIVEkNKR zwIQd04VLA<{8bL4x_@fv#^45l@4r z+N@}doLHQt6_y2;YLN{OK8r^oQ7YcCy7)cdDvE}C#&?d9o}5NTmvQ<>cUaKIl-VdW zI;=Ye1<11i^D^mM%xMPE9f7=j3#wX^XAdC|Aj!%8ToT8$;n~=V0*@;fs?KqoUHYAI zKyXb9f6WS2^S2B;B?9$#RKU;I!)SCbZGfnrjia2)CK2_?0Ect}2t3-ZFIcbl5!5guB?14^hUTT`!KV#@18EVAjU zvQ2ESz4+_~fbzi7S~w*E4VcFgMiy((@3s;ox;`0N8wyOP;}xrwkQ*HG;HgH;!SYp> zueFZHFWS!NHPOBCma!K{!aSmna@wTKta$z<6X9s2<)UH7(ffZ7lWJzDhPuZRRzQr$ zJ-bC@0u_43#DrLs`)SjYePVXt#Z_Y3$j*fLKBVN;7`l^^bN5cQ;P>t`J$Pe>A|2hk zPAr6Y(5KWng;o~ecj{&=i128U^w^pU`*w7jAbklclr${hx>VB3TQF>a7F5>~;&IcK z5Lt`|=CYpoZtj0wpX`^)wF8FOgMDc$#g>51S0`|Sdq!+02ic_vdp#$pgUB(Leq+!) zi)pVU1fMgOCK$Apctk?gU?Z1~2dho-85jqdOpNvJ;9P%9?qC#Ys=v$|bS+gG2{3qlhYJaQ=I6*i`osLDDZT7@PsiC<1K}ZY7ISZwh9WXvaH#SnKlfBrCkovY zBV1N4LUFx%8>w#By%Ql+DO)ROCde{$_+cRemYD~Xv+k%!k?I^ais@ELy0vggyuO3F zJA1SU7WQ^Ysr4p~~#wL*$cdQw7)fs-y@W7Ql~uz5LPvLy` z{bmdJrUCd{z4tfw9>d%6?c7*JolImV z8K)8>49PqqgnQ7#2UtHKjJdD}(4VF9A)uDi`RO;dh}7bHLtCGQVZtsP!?Q+SeeGCl z0}1@I=Ex~zGhp|VpQ;_i&j7K1719~w1rdDfS^ho(srQ5gd5G!)3EWO3%;Jx4x<>%1 zIiU82FfQ4ey@3eUBf$hQ$b4pmn6O!y{No?fK^wGZLb@suy4=9z02aV;Y7%cn8e}cT z2w}Eqf*^Ix1!EY7oF#A>o_8+9%TL~1CZz9Ef%Q3fdb@e*BvvMCq^4g!Hyp;$U8uo zajdF#=qFR{9}|kWjV$8X;$=OD0oYMelT|@({;fev4_y2LH!K!w@HPu!@h7`u6+tW0 zj%Q7DebwEa?RKMw&kT}tyTH$d&42%`3Xq@x8O2xU*4|uN(=R%1 zwS0iE*H2<2-DEoVLtPB0@GjS^$sGmRGfG2TZKiFsN8Hp0aP6NuW|@7UhvN0zNspoS z&@ye=xS2O>jgkEM!a_Y2cAvFJFiuY)*u3 zgPU2ZGb3&`R0QUv^v0#(rr_uF_WD`p=D+PRsZ{6wtuSZiZJ{tXK`&#ORu{q7RIQ~v z9FFM^0**u<@#bHypw2pC1WX!s33;8M7a`4_S^LWjw^eu3N;Ea)3LZ+SV);pBD&t`i z--+C2L**h4sYoaOaJRuMn*r7PcLmJ{-k5THit{S5F_^bg6|)e;cH%XEY~9Am*1zO$ z$@AIpacvqQbPiqQ{}c<`4`ai5TF#nlMw^q+)5;%iR6b=P=HN;L*Uf&z#|Dw@O0is| zCacaqeY?svw(09A7?(i0LV6NiSvX)Q|CLN3i{q1H5%oC)owjlc9SPzkN@Q(2DYK13 zL)8Mt+mFzvRXbb`XK=VKUNx3xf?hRZ5+k-&>BU3C>BkZxY2|+{z!Qr;qq5vM^dF1; zQ?j8ZOTR$MjZ)dAl5GGVhe9IbqQz+AU%JP5Cj}7w8N*M{9x0c_OM0e>xsf2H37b{R z1XrKfki-v2UcKWTYSvL|u~aCZ12$W(Zdq z$bkd`o2u>Hf1BEtBwf?OfePCzX58K;dw|E1yUw=0)cgzslgCaaG0AjZdgo`oCdHV; z7Ng~b!aFd@x2Wi$EphpQ^hGZipv-nUN=<1`oF;-;d@f|QK+n<+KIK$>IT!VV;7;1Z zpdzh=G3IE7mtFSn%KJ%$XZG^!nw%jp)!~d=Imp|Tn_O}h#V8vhVkn6k`h)eoW3}B! zA3>&L81;glUMdNkT=tw6+7R)c;`s)9>sEU~I)qxs(P9pvb~gS2L~NZJug}-qYU82F zTlU+gBH*?QEb^dKivBHUbB*nM`I59rw@v#i`?@{lWMbe>M$K7zr`12m7VvtyFS}!j zlcwkr;d7nlu46bP*tirzv-9znO=ZQQ5c_cZQk@rby!IEcCC<4~kP3O;eoi6uIk!K>^+)OJ#d2j^JHzlT9OG@QblJ zXgs4h!XXu0J{MZJ2-3hS5LmRMFWCPeT4V%)vo9hh>Vx8ZM zFJ-wi5aoC!)hSp)b*Mxu5WNK;5376$Zpa@oW)j@!&D>*R%)f`{Fs3u=(b$A(4iFU_ zIb(09=uaOdwy3pqxx|#8_7Oin3yNXdYp9E-EC*6Ns)NH7xn&cRncCM4C)5|KR;`k$ zR($DQgB!)y8i5Mz|3NFYov^pNPTxw`gr_=yM+(jYyg*~0%3iUfT?kpIClkl)MEREh z39u=PYbj*V=orF6QW=2-lRC4!*s>6HC{`_Zpa>MRFcCJmm>k3%T3?)B2h}u_BWh_k zOy4$9vL4h!o#o|0e9!cw`hxxS98B<*6Y7fjiCvFc&uBT7s1u`N)-gX~2Yc%$ zE0QPpuZU@GK)(^BJ)_p*?&z+q@X#|*5Kv@yCuI+$n0CByNhsN)~p2$*SlsL;Bd7x-Jv+pkuJb;qO;E|zbEVu zAYgfo)Nl&p@zkQSw%WUbcn$f>9e4cN5XkcYA1dbNH@QS-VnIzj*wp><+ZtFQ>B=F-} zgAw_W;UPGwA=gcL-DD;?ny=-hQ0>2A5z?%O^vS*18M#I6>Dp}xievIo=nV4jSx0;B zy3x@(q~PL4MV5Sn0yh?!Fzq4fN`f0Xj(Dz~+@$9_RalRqjzLQM3!L%(#RRf7k6gtM zOee@MopoT@bZ43yTv$BTU3;9+M8(2L!sM?n%umuzE$WB)!#Zecgqce=wS|nf^JBB> z+IOt1uLDT;DHtZ_WH@i0EDr&jJ%}DAkg@=L6zY>NeuwZ~5?D^Hy-|;kR=w~Mc9bUeis;g2yz?>Ij57!vWlSSPk`!VgMX z>Q_|Ejmggn#!KDLaM;(V;~IX}hU+}v37hZzCVINmc6CZ?RuD z5j&RBcM-p)%C(w4d3w+fWbpEYZ-C`}(l6Ml_Yq$GW%~Pf$Knr7l(?_4gyb~wkCDVZ z+mzV{315xEi;S1@=O0?lUI zn&0ioK(%7BlfKNld0e0t8*>+xN8G`O@l)t{!A9# z8@?XdTd#=F(M_3AEq574$|YIRZ=l_7uiq!KlqY=~WyAjJZj(H->`bB_v;7(rG!pY4 zXC?$B?mf+?euqUoH@qj!fH$&MJ3%8sxfz&^zJcbFCZ)Y{{Fxcqk{(y5p?+f7f`N=V;=0Q{9_3;SeQ}PY3`F?#YT} zDytb3B{4VQ)Mw{DWfbfn&XR%pL6tJ2;PQR};Nh_cjS^FM@0!*D;UBET_ue8{&59QCIqK-HXXYxRw~-uKR3((dyow*hH))`Y3<;8uUJ+ zcC=ptYX$(UuTEczj2Tx^b^Swg>)94w+|(mG6g7KvrK*nA!Gr+g2ITW@%*<&li!lKD z{`J8&L25M4Cp@hgusI3G2+xoDr{y|$PcK{t(rqev)ik99IoR4LC z6q)r?^CX@z?;s9q^Vh}?skHo|tflhxd5QTH(NqQVt`!LrDZUJ1sJvdM3lUR^DmfRB zj>#2)S93*O#@8ZizXZOyZWl-yi>;}*Vel(NSBW^tSxe1ob`s%UF17$S10(_N)YNk8 z$Rnb!or$!^e%oCSnHPAM7k#(hLbTm)!sbd9wx*ddSjzuxn}~GnN+IxRe#j4jqRv2b z#EQkr5mUFSrJA=g^U>)>Jbwcb;@Yrmn#$JfvN^~f(U5;3HFlZhVsJPA>3HP-2cx~& zQ}>v{Iu>ZHXr~IwZ?_ULgFLEts9m0RZ;cOH51Fl7hB6BNV;Bj0G^&Bv|ES#$&yPC8 zooC_;GV)QG*jYB!1n9=1Gupj~`23b9}!12mSa9VRa1&fW#SD)3u zl;5W=r~A206$MWEb3m5wOU$jAcs=>NuU%~(+Z-GsSf2+K_YUk>;>K@IviMbb-OgF4 zqYu!BQHg0vk@+J#;jz#uR=?=W{KC&?c6de(p7XZiR{rz-08thn_se3!gZx~6P_+k! z{%;6rdd^(wJoI1SILV}QoD@22L_c(=sAW9_mNk+93++rySSSvvEQUXaTP+fs1zb2) zF2Kkr9$Sry36lrisXQgUTC7uZhZ|tq{=kHAv?R$S{_RBM+SE!LNqt0b(H!e^D3^V! zp4Plumm2;Gb*F&RYYR)THlt`th}ZP&7mr;^F>qfQJdwG_5t$eCDS8F_-}+&)BzmcS zzN?!Bze4;ovK6YWoHoQwBETPf<`WuFTLXJC>3e%XzNtLhw^@wL zJq2T1NvjN~m3t78yC0+u#V|iI-_bPiT?+KMt3d7L)TWSP0~Yof^FTnrgH^@&*LhX> zkF?g6>vh3XL6Z)Hhz=t*Kcu+!l$STqckCw;n3v@rXms;X zQsMD#G!D!_r4s>5psI?_%GXY$&QuvmFwT!~-u%^;7qaU!N~UWqrxxhR6ky(C@ znZaiB%Bqv~E|2VwODZbLOW;-7OJ`l%Cu}Mm+baV9yiclJ zTXfK>wcC^%21Zn*>NlrWQd&CmQg!iU*w#2&Df_fn%tX5-s)+19N~y+W8@q5zsG=@+ z(`xKv6NtlUw1<7g8y~x6QD_84ZrQ5nHB`j&-B)4onKetPx*%B6YP5r+KE|VRPr_-4 zoUIfS;$T)B$D`IL;Bg9(w-&MSR$b@E8>=t`o{><$rq=QYoWLPOXp+@X@Vs)KZ~U$u|t^Q9d@I6(|d< z*jmg7VpVCkDb%UCXF4jT^hSP|EulPW(8U`l9C1&Fs?9mnV3>{6uLw8vONSy^KW0qc zoWA^h6t1L}Z!vXhmpFRGNGWe}bGzUQDAoJuWDI~CcQLCFB!1*mY|)4H=TUU!zoeFU zha|aJK#4s&SWw#8Ye;&dGHXIoafj`vr?k3*laisi=z(9FMfJzcvwEQ`m z<50NKUAR$lY8X%a`J~7OZ}dMa#X7oLl1Zhs||k8D+ZD{w*-&gZwVQ zNlLajV{c_x9e@jf;WdcvA_T6@hc5@G9-_)fW7WrgX6_lVgSQDdg0)Nm8<{mGbWjkp z@cT@;p2h!h%GDclXP`^dn{vC_?01&haZ!B3fWkO2gh%^)UreAa=`38|Qrh&D1S4~! zMgR^}v*OiVV1mvBW(40)nJ|YHc1X}{GYYKbHX#&FK@}mQXluRVz;6$LJJVVrpgYz{ z1%D*>e!S{YevDtmEhDTWqXoS`WfG)o@0Jzh7bV{!w;>iDsA1UXP#30L{BHZd*d`p> z=OeIHDiJq|*^fEU8emCkW#Hl{qp%Wq{+o_p@1SvM<0b-;OfR;%)qmfJdhrnV1zJ{& z!Q|HM`O=s(`%YMOs-V=5xCdu^SI9%1|#V9+lL0f*)A#);i0%u)JX6af$=jqC; zG#`q$=@$G4YZgjQca@s}HOEvelr_ic)dEV<<< zaJECQkIA?_sYho}ZR(4N;3QSRuq>(Aq~lAxG|j!pC=0iV6u&3TF;@%HS-{B{(?^JK zA`3*kwP9gi+AACe)v1Jj+YU7%?(?I!bS2b*+4uQ1jZ6zi2Z(}9INI`J?lQWkx^rSV zAOWz(uF~kXXrrvRBd*GV+?xx112tigpaIQV)|;B@2Zx1<4r==3fw1TK<&oZpU0pDG z=LE&u|D+j5g}4*W{DG_+Er;zfAO}>FSoIY&hif&tSIH<>*{?L+HNzNaR)OkKSl6?; z&*UsKY-;sZk&tX)Wb_DPE!qk;O)+9}1Uxqz=H#)apiS~G7q&ogYtY|CnSNq~@TBRJ z3zGE~0yvy-LktK#?u-dHg6XP5+)smXnNL8Oor^8jyToGJevv#;Mz^ZM5A~(5azuY5E1R_}L{{Gp&`1cmchsKZOX*S9VKf=Wt?PjmNzJ|6% zsa#{idlTnU47#TrP)fQ3qL#hE_4EkY`KfO7=tonQp|lhlx|~I@{V3L)nLERiTQaU* z@0V$$V>G;EaH&>Hi3%dFsB4JNW)7q=>%A_t`Q>iD8$NT#ADF z425dEp6L#${n@1$Rn{`e`tfcoy^? z*yKfySqI?48B`h$fH9~Yf*sO{EX;30`ORH+S3j%Qo<}1Jitcr#>ToMELphE#TsLlt z_`4HCMThDFuUXAQ%R+6j)XAJSVm(SIRe){a zB>sdj5&3xxR+;hdufMFc*em#4SAJ8dO;MXd_+JD{Vbvb6jm$GV$GiF%;EB8FPn0tC zftH`OUyzkBL~%{->2t>Tf(1Oo}2mV`NBU(nR8aE@Wl zu8F265giBJvCf*0ykE|if&F`ur#DeEG|hE)R2ZDBSp8%rA~hU{oJ1dl%1HcY_|M?_ zJ)94HSN62!x2ZYjbjHB1v2`Di6;P{q8ybhg&Xf-AG}64k1(&O}?wz936py=@P?)4Z zzf4(?2q#^*CRSyw*U*(nyU7GCOV_A>^sgb#5E{%vL5vn7m((5iwYQkKld26(wgHRe zoBCgbaFHopv8U)}F3cqn%if6aD;4J%Q5NYYnM$Rq%N$fP0SmIhLHcjd{2JR_I*o|D z#dLJIwNCo$6?>-|g}>0pZ9X&}{8O0YBHr)7yP z4eGfMsUr(|Ib!!juAbwHbS%+BlO?KW&2@_s9a!Id5VNhGxZFV6AC$Y&DWSWUG zHDx5DEd|J3o|Hk2gDYbxgP_mkL9Y8}bk(`ALKSI2bhSDca$rW~Urq7AfL^W_^$}Xn z=Q$kpOr;KT?Uq?-X!|V5Nm3dDrxT0?1^}%Mcmhz|qs4NFbWELSe|+0}k!MqYOljuA~Vt-e6&h`_-f;alaCdH0L#-2h#sDHm+Y|Tj0-m3))XO*=2l+8pp1j zoUc(<9=*>&R?+|VHxjMN3C&)F*OZZG7B8w)F^-fuX0tTY<<*prl`|4kw<%s)`2^)Q z{dtl#w-uOTs6j0~c4ZhSj(U|@-mQzyZjFpRjMWeo%nMrqnh|I$Gvk_wD9;(8yUoLi zl#F@zG+1TAgYJ0Qi!7al{(@Ii~MmRJ7jo`4L=onW{PfjHq7@j{v%qIq+omQ1hpOB9bl)nHum z`9t`ln_>@bnV5fURvq#mv8|ZxRL9{E5U?LOkXR|R70bwxx|NUK%9TH9*2gjCs@S{C zPpyn2xhXj0ReBgaa8bSuBR*ze8<$lPa`O9l3R>?Wdz*~Of$~symp#OlNF^l<=pRvy zxc^^cqt`Zzt;q9CcCFh&>?v}M(b5m~@QzU!^7V-W`$!q}Yq34YpA>R|cdYvUyHDV! zZi!3x1{_BXM(nqjtt@xXMXcmCev{q)Ccim)b1!HeK1ug`stTV6-^K*J?fBGVP{h|_ zjaG*T-{o|4Z|IvlQs32>*91RN@NaR)@qFaXAH(0Tq91?!oYz=r?`t3Z67iR+*xm*e zH$2Djec6vlTKCHsZJ!5|S87ppR37H=`_H~g_y(5GrT#OvOL+4S(jNxyt)CQ;Bi;sL z7gNWddg2${!FAvEIIFdu_PENKFGs$d1@ef*^?=se%#Z!pm6c{g@;_mp;+YJ*WB3tD zry}3vDLb_H_@D7V7;tZg{wH*AcM?(dWeL625H} zt(l+pC*JKpubk~aG+0NzBB)-?0>WjYShG*+-$rTK?{wtH`iDQ?AA@*VN1`kF8M?=rA%EM2Sn{F64>49phf;7~XEHd;!SRQAg0 zmPp!KW-d;Fz0QIG6PIS@QQSw%pe`jkO{b96OO9NDn}Jt_xMA@6c)$~;87S|3&MDsjvK?9?N%)c!BFZT(`~ z@_;-&z?8g^vJwM<|8oHD6!aFUt*KM-37m>Q2Z{YvP+OkK^jLlMU-}3`F-Y&>N%^yBmTTq#kyT= zr(y(Un*Qas{MzCMS1~Z^t_c=;5LaXKJ%bKhK~bUU@EHQ>bwqXWAq->ZT|q_;HT|rw z0+E_Q@nIU}r`J$foVOdvupu_>)T4Ox_=`g8^yk|9j)PgZ-8r43B8Dw5ns+KFGn?qN zm;_jrwscQ+6GXxd56X-#$I7zTM;FCPzdao>+jtVkLdy!RsU34w(s^yr(l2LH~VYFm~W zF#QrjGS(!3M*KIU^)>FKnhwsl{>%1MvY2Z6IRPWnehymn$@><+L|w=?3l(uHfyy1g z_;3o|{X|S?vL7`g1AUZAyM6|Gl&ItwPU9e5i;J=V-#z2@PqrEqq-p)@-0%I6EgetOsXo&eiIRde$p5YtUGv{qQb)lohwd-svS)T3T3k+l2`^Q@VN1 ze!f2mdJ1X5we&KJX^-{XPySI$LvNo|9|`oLdp+R<+RFR&FqRiM~UnvNYNmuaF+La`wdeVd$Xjevrr1QDOBVSE!?_WqB z;igm)9c(wzo?Uko%EQsX{V|UsB;$r;;Fn7-%lobY#R+z1A8iL!6LD-&>rMPBvzz)_ z)Vs@UE@|5`q3N1;2j$HQ-)1LcXeqgR#m?BW_Pk;;HNQG;vvRp+L-D_Pd$K%L#5vUC zkEWXOuzf_8z90OZ9yRzHljlhnnkx+&s1;Q)!}p?Tu*x{uZ0AYmlsVDgX=)zFm5N%e z-py)!$H|ZbD>BPH?5XzA*0dg;nM_Uu%> zD$m|DW!hw zrP*K48m05}8g&<2vhU18xLmSXnb#rN%~-fhDmCc%u*c<u79{CwvTSBpniyz{uv@_jPVYqlu) z&?Al&_CwE4mT$#)=+Pqi^uxUfdyluG#fq9=QncH5>Ius9@={9i;*uRgO|s%0s`E3YMnpC>8L?2FZ1(A_42Tm8vpB=QRSw z(R3>nkX5~vs?KzGN>ydm^dnUlPN{k-(_5&J=Hhbt2o)@yJ-`+!;9>1371oejsJJJeR$HhT z%X(CZmomF`inpG2jhN2}*D3d6zjcZ!+2!aIa2w<36tLBL>l7@r%h4&|Wk;qqd{pWf zT%>&ZIwHjl#BPzQ?7#aXQaoY4GhL*3hu&|I!U!qrh!mIXc0?*qa5PHr${Y33D8Me` zXw-wZMm>hqDB;=rqfuC2tKJ$#swnel6ywm2200g962;^jaz3Mp8skV5aCOmrWD1F+ zmr60yv>%mvC0JJ}>F(Z3r3B18Be|M$uAmf1-p7D$%}xjq-EgV zf`q4fFk#UdZ^(vJCJt^Nzb^6~c1xBtBLMxqerjbdj!fpopzR~&4Dqi)OpXi#89%Ie@eWU9;qZg9B>_ON14K*rqyC*Gw#Md2+QrgR6=JF7} zu8Jw^eeEr)R_|VE7z~IT6b2L{s}&Hv4Bg9iQC&zDWr-z1jGS6*H><~)N)1SE0%9Z< z3B<>uQp|B-E&~ow86l@O@38`LaC5RbU-?cXqM88O@C;e8{=#DE{BKWGYqhb zZ`)g(19dO{UD+8D#+|4@7o1Lej{$@#IUKA;e=!faIyYM`6)~LBu#%2>GIzHovJr6h zJh;Ya=?!K2lYUrXd31_S6LcZBxSbRvq`z*e13Ni2);X5{x znJpvM@R7VHAEr2F(ewnn+QeCN^w~9{F3A;ER;9GPm3Ab zQftm&2Zt0|7TB4DDb+Pk<)?vVutQ*4M^ah|xLSPfPnq;g+h#Q*7+ESBMO)ncNPMg5 z?$%>QkqD5Qm+j06qj+Um9tqmp-Qc|@ARm9M@^wLS;vSv`(^!3WXRGdX)xDu~=G*C_ zNP{(ez8?fGQD&ik``?xI?0*3DDiOch>fb7@Elql|m;Y}sS?C&TSG~dr`#FNxH z@&V_RFfBHZ=&%Xl(Xw2t1(VjuTSRfi)jVM+AAa${s((?FZ*RZ;4HM9tzclDegT6HAOM|{N=u3nC1P%KBS%N4q25xx_fB(HG{cg%{Z#aV26KR!? zQoLYMSC08QB$TP4L-03XX`u%sHB2^hhcPJMe6?2G!;~%Xw%7l57+{liIn>lI_k7o1 z$ncmf4mql5* zoUc)z{>u$CSfU5}!P2#7O~(;SPxqiB0=YzE?lG##Wu^{m zPMD!(IOXa=%8OD1Y!=c|NZua!gToQ4jcbJB9w}dAMk=K9$C8|}N!&_=f0fv?Y2c7# zTw_Pa6~nnNqGLVc+l##5Vsp=kj0sPXx}xK-7(b)ES$qAZUK;)tvF`rWWuJkLE=%_5 zEm|;(+b(lvSY&>lAo2uZI6p zFXl*tXBD#O!!2|D%;JX-8V0gDTGCI3SoY)dJ|DjKXy{tx+B*%`POwb*xurNG(}Ij@ z_A+L8M|WEgl9;4r+Shfj9g*2ActUE?sSKRIqf^(?Q4Q0a6%bV>K*{2)~KUpb8H?&V#QP>I**7omE9 z>Us#3Sam&w>hWB95vs?~({nSbuUhBBsKleM&8UPbdNC?36w=M8;%`AWdMor6R)a1X-sN7)M zVN|APmCdLukYW#`^8BM7M#UnfjfYYJo3w{AeMdQz>JBUq<+^{RC8fImgUf zb|g+jJ%^X}D7oLd`DUZ0i_ABht)>fS@$aj*@b|D3oEh=))}w%1M^4}uT4ZpB;9est z!C72T-|^kDpusmSYG)Sn5jrF};uVYLgl&jBCZ(}{du%zfA6m9&tQHJVXB@j`@7OdF zr%O;G}a@%Hq*^Acf#22KmW&m zl`Bb>+d#j*qU<#$c!7tjU)VoThc?>imZ359`^p5sQU*%xJ0BpyM6AdW<` zh^f{Vg19I8f}V9vjs+P7Ir;{}b9T-KGstrAny)2@SzrBu^983d&zKSx9E(HaG^F@? zhF&~qVKNPEgIb}RuISAow+2gulI(lw^FfD4Zm!WE(Bd?SxlYvmi2WMfRXux^Pxe4T zESppT&o>lSw~1t}Y3k;x-%GGe9|vS*oXcHM#dgoex{H=9>~54K))_6qNaj#uP)Fv_ zXvRnVSVME$lhd~*>W)6ve5%DVA7o1Nq4oV8Z#?qW#)EdT-N&p7&FNLLqToHq6SmsC zkYe(DEmlD_U`U^}Znti$kB;J9<|dXmvAl`ppM_Yy-@NkXl{c@vdF9P3Z(jM|;+5YY zsgNs}wMdN5CD7zU5+d*mqB6vbWT!AC#70&~camZ!4JGOG7SX&kiUp4YXR$5ORtU@R zL@B<$W)n0z3D{~1a*q#q;Ml5bm;_<#TS~VOlqi0pgd~CtK=_MpTNQHb&bb|G%XSTW}=8w?Q zl4y`d$6_vFdD1c!=ZjjXvv7F?bRR6b?a*8I!k1lR7PemP9j&)T{Xg-J%PA>r$=o4% zC-N|AV&8cmJ42fHV2{fjicbn%Jvvff`0enHNj`q?*N0A!h_P1dnd&Au5?kO2pLD)T z$Ov?6sOOgg`qfp^D*-bro+>{INSu?ah>f#f1UpJDx3L=vx?DL3GjMMwV}^jGY*J=I zWB*E-1#PzhsC1bj722lDz^Thgml;Uj z8kH_HkXqhkSjgAPNte}+mjxqjvdlN}6P+yc6}=rRSq5&tqmpIdUi(3oMU?(5whSEo z*2{WhhM}BnnUXvyxFp_L6ws&>pjY5%S@6SHyNfQN;>H>U6tFhB593S zbXm;l2VG_iwYcdrpT{}9(&Ym?T|OjAm$`#eSEb8*&?YBchNOhD>9TNa+iaQFm~CjM ziHEJSWqrZ4*)m-jbip>ZhHuVSu6W(Ia^Q(CB}*rL zafu7IZC5*-zucqBJ*wQJ%FjNky#MTz%KJU2+=I$JsN93fJ*eD+%71=PdH>nxl=uJL zG3EOsc0ArT{}TdK!Pt+3VrQQm7fA&HjC-HhF%y(_3XnU}X8)rAwv;Po!9FxDhR|&^ zC1mS^`0}Z{HksHhOV)`-t1byOdU=EcvBfBcl?(#+YBf6~wq8m&hS)$9bwvw?Gs$B2 zt`L=gynVGwXFWQ^Tksf3Ej57>-oag~X1#91E(F}X{FU5v+v>9*3pXuoI&Y|@tZTqV zJn6Wk8tt2plgKWj-kf2o-AKoh7v}n|1KYWxiLYRT03Kp5Ut&>>v4>0ab>f^YETf)@ z(pNp=N^}hw<}S`G#LX$TjtInF^?P>(3)-NZM)B}6KFY%GSywPYW{Duo%DhG#wttM9 zYpOxcC%ao5G$n>#h!L2mos=vrbN*t~yGmH!qy}z0I=BDMz1hsP@R& z-?&w^E=zeHQ4>mhehH#C&47l~OJ`gy0b7+#iAzB;N6p&dFvn-!*zgrS-=*c1@N;~q*wpR+_PiN=bxYnL# zp3{mpO(Q#<%EMNq>`XRoysn|iewWODvt+*C74xo` zcg6fuRLt*>K)(Oa=OgNT`;0kg`S@IdfIkkmv0*85eXp33xg_yeMCV?zBmtGK8)-+m zN#_z5tQ9Q2S~~VYQ?l0l`e4G~8B;(e2#YCG28??OK9(Tj?%i-tU2)^=)^$!ItX-o_ zP(TT#vyf_3+w#(NK*H7rqm3Ax#v;d8vE@_1N~p(WEY#y|lw>fch>Dps%38<@N%~d4 z_o#wgtkH1z1C*c-#tD+pqG?{=1s`AiVa%7smGkLx(kYRoeM&orDJH9I%xS{lZKTj{ z$A~dV5}MPwB4)!eS@jI4K8m|WKBKQ4M+BQS13hHHi7KPePr#Fi?NGYqSdFHjt&2}& z+qEdJAkBa(MU~Mv`s~O8ENvT-tN{cqM^WYU7I}c=C^7mW<%-Fq(IJYVNF1 zvfFCzH9M=j3T4tRR?~8>xw{N6C*5u`Ta&~EO`+y~S3f8ZY>fxIa)7S8I3Bx|ylL!*& z_aq6A@S$2g)|Zli&Ofz^yb$1CEnjqANcJ_Z7V9;FvQ3oOyJY=A$$Gyl)?KmwZ!6aO zPf@VGKj0z!zQ6tb0R|re{Vq_;Pk5gXjR6Pl{>R@QzoE263<&bXer5bSU^jupTe4{mtpY>gk{j#+;^v$*XK zVwkg_6h*9B3udj}IByFI$DXO25g_thdmDV?<{W9)cNT)NwKufgm%vV6+G^U>aJ|c# zD-r|L+@fT@p$MafP64?*iY@lSVtjK4ueHnJ?b(_|L&nexi(5q`Zus_qAi|aFyC7v{ zYj0S!XfFY0rRP)z8i>xhxkIe>!{>Lm%ychizv+#xw}%_MKIy!@3trv+T8XN*V2!*# zJrvkXDM?BTcBHa;e=Y&rtu*(Ub1GFw_m3l^s&H{dqbd`BEL;jy7;e2`+hhX#UtU1J zVY8BS(@zti;*^T4$iH)RM{1zU@3UR-v6o0i6O6|a7aU6}yIDV$xZqd6*L4XdDgR-F3rGK$s z?YfmEH!z>CP`tp({b0XRZ)_*~2Nt}TKW5(Tn@*4XLkMUJ%;!?>UFr5Jx!VquR3AnNaQ~zr2&ObpWCYQ@+tld<-3|gea0`yL@<#+{(3dJ_6$L6!;W2~32f|3xQBMzW z))fOG$#)q!56Gc^xiS^Gy>I^)26g%3D*A`f~3 z9iy}S;CPv52;z)Lc#0d7k&`!NNAw_J7x(fNn}d4lGj8@cOFCaufHov*jwNmpur?Lb zWikK+Ok^rkreMmt%^c>qY)x@jv}(fC9kw`J1xHm5$W&~6rVJ_))|EkJ3R+D4kX3Bz zboGS9U0OL>a8KtRP2639K(@9a?oo6Z7kHO9$=`bkL;Sdzjwg%b-h}8?SIsqp*R-8T zwE5<%+-{12`3RwIWhr7|$`BlEc-u0FQ*E=Ij$j||h*CqGv!4l~q(M@8x4@%g_!Qd& zv~`PWWjlQ!$bDF}&5f|R9CB1p?<>1{+%V|3)b@zqj`S8iSP*n{$|tNPT(b$h#7|d+X$W$% z0QTT+7C}v#1}&h*0pE9oYYQ($!k7G>Lf5tYr82Ew@NlIFhbuXC#*vC25tMdkZer?; zrmo*Om4fhk6VOZd$X>3>I|3$K?FypL(f0)Oypct)5c-C29k~^IN04IF{&VH~T=_m% zz8_lozJ6|epBvwQx$%AdT=@Ru!uR!a-}~J6{x|o%um8{M-nTFC4*tHq{NoEI8Ac#{ zKc~kT8E$Jnp@auq`Om+9`RxnJzH-~aZ^=kX{x{1?W}<|T0$7nDci z>Vt9Dq0_iSQW*Cj$sQ%WJ@m10t@xJtqsC#4so75Bg7Uq{U58HN4oP9$gQSlm_aN!L zaa^Eq;g6;za=zhda2OYq??vu9bQ*U^3gaFmeH^(5N$-uT%ZJvFMh?f(LuJS9+23vk zo4(l{)(7Q#p}h`$yX`mxh4Bx9K91~l2*$6DW&~v?Iy@Tw?b#B*v0dH&e_t18qzF|ne330nlZ`BUPA8aw~$(9deg0Qs(>}{N|rg>On zc)0b;7@$7dbzi{SnEgGJjl&yVvTs7%t;3gQr{)jVV2iBS6mM&Su(btD{SFm(>}-cM z+;aPE4wvS6-x%;VXMYcU_vssk^i8;K*Xc{QQ+Kx~ab1RM_}lPiIyr{_QQ)pke_sJev$TmR#m0wBilm6%=e@SV%vjz~OT`#n_-fqM+09 zd7)FieoR5Zt^9E6J;e|OZlspr|Cv9exDl^!t$O|P+fU9kkr9`rhNfPy8{BpoCP8cC zfwB;WaSOuhb!9*C zsF}Q(@%roi@a87mzRTVB&PNLJZWAn{;Ab%4%}Csv>$);WkD(y3`J6Gdk9@q&}DGR@3!G6m%C4OfaPBkT2DMFK?sm`I2oW%5NotsP?qYGOR=G%>Ur5fH% z30XVqnu7m|X3AglnSWE@8Hy}Xju4a`%|OeP@5nP# zl?|3_*}c9XOQ2$D&bcv9uv5%~1J>r~4T(2Sf0a?30NlOC z*rmKiDk|BnKn%!PIWV*87IxkkcefHJO7yXAI+3a}W76ZiV-&|ZdlacfYRXUME3_O_ zZF}-g+QIViy3+}G*=rwl<=vzEa$|-9^4_4g#>PB>yj=$auB!biO!|JPFq=g%b}Lvk z6X9y9szBV;c<_3`pw~#F{5*I5yTl!Rc%eVNO^+9rHdA28bu6LZ4@&pti(2ek_&OsV zrp%kZ$+{gyg^XRv4Nt9v-c^n$>%vMJJ`rz@p<(P2B5A55PPM%feGpU8eXSmBbcg>> z0rK(E)-ff75%Hl{xxo9=A*)(HDZ4vb}G-Z=>bUba9-+Ar| znHN^nGsAdsz+F@2KDjB-p+j@L<`IF<+_`*mMV$`iyAP8$L3{Dyue?}pY0Jm-MHwD< zbd5@ZT@*y>q%ejnC_adp_-w9~jZm>_EY~^|GY**HZ5fmr(M)U}73oIz?>w*UXAgw{ z&2l?RrE-Cf5yj?FQ3_Q$*m5UUaOTj86<^TLbIgwJII$#H&v6Bk-HQtq&yEFbO&eBc zye^CgmpCB8R0FsKpy{L$SH$|&Yv|;Q29u*W!`{CNa|%W@-@aIOaum`#TBCc?-z$Wf zh2`O@7bZVLc`#t4Ml((vLBJ#F!a1c862GW@cTN;ww*lDGyiTn#Ox~o{3hU|~R&10g zjTQsK-M0KqLaUkPx}(J!8jC3?mSWLLx}{Vlv=4OPWV)l45*agt(p)c=Z+Ma}q@^P)frI>>$7?&)BU;q>CG8oPXx|(tS748|lVy#@Y$iV|(#Ui5cca(2?aTlQh-u z+Z{s;ufZ$&^wONq}ITYI~bvhLN=z+Xh=lMHJ2a&2z^_R>`ctI07?)J03LFa|v#+ z-+qDuN-Eolw)yb9mONvquy(|zKEm38mgh}u^UAx~QHJKq;M!iT!$BIX&rZ!Gn}P=s zfhToQMhaZ9(glqzGsY`6JW2oS!4asP@9^xVx5Y3QhX{~u`V#(bcI-`pfdtLO!Jbw8 zId%^_WCF9|9g2h<-yflIw2<7cI>CJ-UR!L&%5s3fqD#2j9Bp8)Expru_W@cFVp<>u zc27p(jL&Pj`H{W{=sf3Za|2p{C6-n|jSn3Vvk2^AiKZfkV^eexgWNbsU&}?@-s~x% ze?1%+cl>g1H&jY$9x-I^-hD>pWk6`r5OK+!%hjK>BOh0f(vxGjIxCVTG;GEL_9&uA zDo#aeHcP&cFN{^poy&(Z{bJoRmws91wRLEXL(dATXz_AOie29N<7nq${g!Jim|f)` zczoEDYuYf~-?A2rEL9n^5(DK@osv}WT$RZ$xYNv5mA+#rT-yr7>dzG{q4NjDq4>-x z*^VOIZv9bgolJ9P1Q&lACUFnG2Fg^lax$Em*9+ z;r42P#k0m60{QS54$lgXnfm3Pt~%?2DM^nyP1Zm$Qw%n0fu6Jgvv=Kjr?X*BNuEv# z0DCRRfo<#9@$TXs$5R;*{$WWH#T3CarYdTvlaJGv(fX!#q%MNX#3i56rRcX9l5kt{ ztgKu0E%5a5xYP{1asxW}+!hO8G%LfvF^=u*+C2cT4f24L*aBcD4|Zkg6>W%9W?inz zwdKiM$p}FjGTywD+ObCRilWaBlOtOZ! zEZ3M}ujjO^(UJZ7;TU4r8cByPNa}+lEYodMY{BH+p&{E0Y65AV5uu|Xv+Q!Hppv?z zS&XExca^<@mY7DTM=EJhS1X}xMq~d+*f_k z!?xXZz&hZKo=u$|{I20qhj(KhFz;)|*tN?EtodU*0QpJM@7~_IZ zz(6<2;?7|Hu(O~xPcE8-05!$8lOw!VB7Jb;Gs1%+5aKQdXvJrmJJ)b3+Jj*@|CKim zLL7Q|tk`#oT1~Nr(AJbSrem#XgUtt5VLh5s%6`}+SHFiZ4e`&yFI;TA15d5oKs8>bqsEk>g zcO=V-UKRU&bVn5oR>~Kue|~^hnredOyCn2P9RZ^Y-#Gf=V*mX2ufMSbw{GhKjG&(( zRYG4WhaWBOvThDAZjoR%nN1)!ah!OL)Pv`$Kpw)Pkpn zWP46AMXQm9g=d&%wIhd?(PZ+pN1#qSj)#;HKiFalQWuZdo*UKCc!?%qwFpCA(zTM< zZuyLSO}!#G;VuBFxOjH_fVAsglpUx%#8$i#BYR|94s%X7rxkU9Y|W+>H81DpG;WG+ zcosEJ`PGx+9p78CG|ZKqRaqfy*-F== z7G*!H_pD%6=BwGlW(`J<%hhSwS-0R(lTu!#Imjg+7>jOOH)O%iUw1sbjyufOje48) zS5FE+(Ze(5ZyrXuRqq=0cMrQ{e%tlaa~P$iWG(g6V?FTks_(!47i(7SuZOk-o*v?&=-(^08Mw)kavjY@ZJXvk+sV2>r2mjmSx#{gLx*l#E$pW;%ZvT%zH&0;n z_jd*SUZKlFkYnimKCRSNx3~W;y zEHz%u&=+|~+DwcWkj!9~biwoIGutWv-|Z#RneSM1QJLA`ULKyB3yeEeM>jDI%okw_J9kO<&3e5y zqNWyqJvfVkIr5>JDNe}P>OfPFfv2u+fjIRJ%3i{<>g9dPUiamtn^9Q}k&;WN5!^bi z{pXc{OSqpYi8K|oy0+ZPC)0F2D@IfFAfXZe$zd&9Tk5)?%$h(J9jzRqN5^B^Ej?Bq zn>)x8>#+il&87zS#ziE$0$xFP_PF{G8($$k>MvqVzFlH9j=th`nPWi_l zPxcXo@%33Af1+fHR3?2=;@1Pj#Hsb3(nxHu=aIlOnhf9JIU$5UpV=+MnP>*W!h4&C zhvuBSVyYF#A6zt5e_Ud{Vj4tGj980;8^ckyGp`s+PL`$LL}Os}7v*#mkDp`tw{@vy z#Ec7@x}A2buI8o|Gc~yt4oT{&Ac+bG4JuWg zXsuHzV|$rF%J5VtGm$$gs*TY?A9f(2GezIB)E8k9837WKiE?8)w+eOG^+0uGJ~~I zV!;t#g(ZbY^pIXeodSjGHZw*f36n50aTV#R*XDYr^05F7)m)s|fMCz01J}}xQ1?ab zJ=jlR#~WZrmDeQ^!`e+^R=lpBRz;o!GP?Uigl%ik!>d{4I-^^IT9v}nl4VxXDW$5O zqn*P}O=r|nTrta@9`_=89Z80eFI{er?Sx`^;H0vp-Cl?~mHxsUJaMPHqT%JnU|8`6 z{$HquCpX_upLIM%G$z`xztp-)jYg)KXJQVS|$l&9b|*y)ElvN<%%=D*Mz+1Q+(@74ZbJ#{sA)>K}qjtZJ^*B zl2^KF$RLmY%yXWMNRnCq#Nm>0*shY7h;~&Sum2T3VBXpY?}VGLboIKACE0z zne*kI-FP&A$oV3aW1HH9zNJvmDO|X?zS@mf}$C`2|2#LT@5eGLfe@o zwq3uj%~FQE(*0NoT@>BMtH$iL$vPcdA68Q+m*#RYyC+F-`sX#(`B1xu)$*K=jR{u& zg;yM5BtE!+KSRtO!ZW6@IW~X;0>s3D+O?Fz?Zcjg{Nuq}ar-a#RyPGsV~Ig~_pUW6 zHe=PyyP<7z=W^H+wuj@eC_LHURWTw7pUuX7m^}*XBWRO1HJfnH*#&pyPtK)95q*~2 zG8cbY=CQeG^+jU@2%1H?CEi`${NreGuzs@D2h7gwcQrmXP>mC&np@_8kx7*PPQpbg zQzv=KJclsx#r8C_h*EoWx|Pj~Sp6M=6pBCa9*WkSM0QKUt-kNc*1>@@-i1inL4o$+ zIo3ge>hYa*VDXg(#UW2^PEyBBN^>gG0zF%-$pH)5H`H6DFF)4!5Fifj55pLNCnkTn zr?O5^Fp2Y)r%3?h?}>pfP0gb!nAtn`yi-h=lf2W>|IJ=Y_kf-iwx7FbMtCZ21btSPfDW#!uRjXJ}tE~R#C6|(${ zK;hHNB0FPlX1>{@bYSy$L==kMQ(t-pFEiUvzruKs*Io@iL3Tkz{Q$6$f2 z9&>|nf0QnhWoS}WvTH-lm`00iWn;Wq~u6LRUMjF399%wMBs?@D`lRYDXM9TL(2 zQ!}1uMPvVi!Cqclw}5*EP?=|~rUv-qROwtpp=eKm!P~EVlpwo@UY-&Ayr5R&T^nTc zg*B$`nlBCX9@`4?Q5B`^$3|`CJv^R*L%_}quXiu}aj++KzE&ps9194-W@evV8N*GL z=$tJ_#%y{VR?V(OI9(aeUfJ;%AGZkSq=zNM{?!HPl{XiVF>BL|B&z6@cfSKWDrK-z zK2rVhlX#t|rq%dPe4ds@5HzT39narQwaZ51A!u9u&p-cU4*p{&YwL&*|CvrRPG+X7 zFM77X4A*A$cd^>I;O1h)p!`l9Sxt9{0h%=*!5Jd2xvBWeX6D)bVY6lVqUS}DJtwP4 zs~)Ol2U8{HjO@6>r^{;(S0-nK2W7>7uvtw|-mt{hyOK>0n`mUO1*u|_%BO^mjAP_u z@)TY-&HyVsO0exeKxJ0D%DSjLSkbU0+-#6tc5_bkujRR8(VC4TYF>&>^mbsmc^0s! z{HZ7TIlg?|w(B=fN@PT9S@JM5OkT)SI~?V<$qr^SPiX7DBv2pWH%tVa$UC#g;`J1s z-*#4t&W|=~b`vlAg*|pInm~u-h97kH=Yig2OU%VQNqH#hCGoXac4lTd`=Z;fCl_hI z*&8Dem3jNI*~mffgSjd!J98IUYJ&EengjLbo5p_p_TS&?fHd%}J39W`JO&Ebh;6WD zyjhmNcjtX{(`D6?kQLYr3d{Bhd_Y2PigVS5Y4j*S>$d!Nt(n9*6l zpFIpl`D0gpUY+IZr_+7?_OHL06GgRr99f>{SERw$W63nG2X+NdEcA)uc5jSp@dpw- z3yHe$kgyr(>Wv%5djU3b4r)$50nKT~xo5K=)XYSxs?z|n*WNRNNRL%jtHAyTzb~t)oiR%2IjF5CBo>dFv41?bPtVs+@t|4_I#!>rTEla-IV<0^A0Fr5 zQ0ruU3bC45)oUeI^LW^fSgoD+{D{?_3++>_-TD5?6|h?$xmvcS^&!`;OANWuvX zn%zgea`ns2uUv8ad0n}Bbn2C>*?o>zuHT~Way4x9f`8?Td8xf}#h;}6azUk?OiE zF_3U8Si-Dsr+!#sP%VcgFyBj$SV9XtEb;6?Eb*}`X9=C<>!;HRpVU{LBZueyN-*(w z1QYTcn1J2dg$Xp1y;eqq8KxkcNCZ8UmOvy&dsza}Ugg4s*{!Y% z6K2=iUYIaXDf`02fT;F`2?UIFIbZ^wmM=^wqz5Ks|M)5BA25NPT9!O8AK`z1)fzBy)fzAnY7LkOxdu#Jxdu#xTmvRTuK^Q}UI`ON zu7ZgpSHi?qt61WwRitp#dhJl_^-!&1iKA9$iL2Fs328N0BD5MTakUyO5n>IN2(boB zT(O?JKVtnB4T%+HVSR+?deoAg(!M(yJ-Op}W)J?^(`&dKJRvi;KO}bxVr@QRs3xyQ zd!>j_(F_$#hR9gFvZA7j!R~`0MAyKC^^dd|g6s@#Sm#%4BWre>tw zkrVx~+KREXXXej@5*#CmE{gYxL^Kf=I4naZjTN4&`k`*x1Hp_CGz0~{#7 z;MB;(iCVK{CWTCcjzWEWlx{NRpS2u3@7>_u4es6GKd~D;@73U54er(8Kd~A-@6F)e z4DQX~ci9YnC3Ut_-O0k-7lZEAOUGhB7mc-Cr^THtp>u5pUg4&xjxApe;uf-MN!iDo zy(4q&1|tu!=5Ek%fzI3w>hVPG242#e85TZ~H^YY0taRt~;b5*qmIuG7S|B)_P6eA$ zZmm}Wg&ekcW%lMSoawwO%^c7pVcg@z^6L5;L1X;!Wl( z=#qZh-;U*DgjH@VRtvYzO zi=G)4r(9l!X|g>h+blQ5Lf%-*sa6EE{2qx^h!MRMf43($PS*q76Sfzghg4+oTUzo@7I>d2)=?ya(Eq!&`NZgO8}4IuY95N@Ah zCV#f=fU_YKNh^e~;)PXJ9(PoMOvD{&VN}Um;vP}`PLS(3+Qwg@(SB-Po2FXg+C@V- znw%^GR;|pW_JDwwJ~-Z($nsp<128ZMAJmey(iX|nnphtofxU0l;!IcPUMvkCu}m=Sw++iZ88FtUxeli zW{m)Rr}3}mMdMom-kGy!{|^!E(#+^1T`vqn2z-`cW+@sXXXsXYw6@p~Dp7<=B<0)} zOFLzxBc}Ft0t3kNnOgt@0p;8mD{OMNPNY#R7^e)kA0Jr6cyjJXm!W)Ud`cz}8?Aq* zIAT04=TTmtn&YF`CO+k3dcIkb)APr1hUZNgZpv^|hM!3po;PE-8N&9UT0Ig1Womuxl9lGhH8>9-mcTs*C~`0}(`JoQ`6`IU83Z++it z)?4RS@0#V*?g6OJ>4VDEE6WN65PV{K8@c$fe|4pfzdID3Hxm8<30qGfwG`AH3Kf%j zgTkgsC{!DWjdw7ZhMi3=FqlkboM5nb=IA>Z{6uXh82pCZLIMr>#)gN@j>N;ovcN<7 z^ZM{G@oz-YCwO)0CCLg|3D3z2VCyiD8|bUE%F`wJ5+hT7v98TxE*}XLs3=~RhE0Qg zfTs-7f&X!Ao7*K+_)f0Hk&T88;38T@%c(|!g;65HOf|F ziF29L53^!$XgX;8aLR4sNSWo77e&4th|R!d?x21hFLbT>LGewX8rDirY?gS_G#NSk zUKFI8A`XyogX3&J5l`6X(R*f)N|&p0exMUqw2DBLl*gMq)5xXa%(o|_hm3W`!XzOY z{_=_7%%d#K9@C9&*OPiTiQF5yW`|_8)0A-#9j=fT^?O#hUR&831XNp>ai- zxiHNk@N2Fns%cgge1Z$RZn)9Ov|Pg zUC(z*lub(rQlfzYay?ci&cd2mik(q-lBEh0tPE&5F&pl1V8n39O6`1#PGTj`r-B&| z2%1M!fZ03H`G!&+C&PqmnlF-36zE6J2?LL$hm2ImW^|k|v~_ee^kn&RlI&0Lf@3Lo zVg?)yZB5XgqS;*g?BhZ{e$dQ$-XrH8Irqr9N6tNR{_i7a+j7c`#dDiDWa+ZqbDKDu zCMQmDQ)r(!rlHc#iDUQ9*3OAz@+iDd96vGYIdS}kO6SDMH@1nh*^v|HVp&X_^yl^A zVQx7dB8E9RXiv@ha9G!-cTL6RwIZP6Ff^I_fUJ<)9IN038Wd|O_+E)n>=P~$X{M09 zb-L3PV{H(E(g$SCz)xPiw|TE7OY#7&Ce@HV$y!tPDV=u@tP@KcCM+4sl_8i-i8*$Y`uE^EWt|RM4iV@&hjgD9iz*az^>t4H@W?7S@rSH)^SV^|Cr|7q}#xsbI*nW6JSt zhnzuZ+X0O-j0-Z(=n>ZSq-C5zV$296W@gy6%!}L)I92@Or;G9qUXRIr0KfMK6AaGMAkAkxz5v)Sa5vP{&3_La_& z8bm6GoL5bzw>LVf_3=@^uM2zrLA~sGx3asH-L34mYGo%YvlY# z$yiZ&XD4$_nf2&oe($XH=wvP@=R_lm1FT0QiwlC+qLHm9wnny@k&WzPm^ZTJ&g;X$ zgOnBPr@Pmd5z@Ma# zC&7GbhE??|D+pJJQa;i`RzX{!f^?CtJ&a=n6muZ=(P+TMb|>s*!~1|ojn~HD;aHm2 zM}RIj z9y~g)EZpIY?W9gx-#e~^;$1c}#K?oiNifX>{UIIBqv6E_t=;@WVU!CRv|BTPbyj>Tp)$s?k6;G}_OLuFCW z83fHyaFXE9| znXNVcuE=G0vfE0XoMfP<5e1` zV1h1&nNyLOlIh9Kgek-mG-uG|h8#Bjn%o%{+C1dQ%_x<-k_HN=kewp$*r7!j2H=tS zsq|$mhL%Xn^zh8)l4=kTL=s5)>uhLIdts3fb3Ygw{55 z<{vdnMC#|#+Z2lKofkRt2$G^>fXpqEr@;1#M74E=JG{5W2|Ajahq+g(xW8%ODte-U z)+>M}8aU9=_JZk&@(X;G>G*SyjLv#BiOz~YdAAAIdJBSg$hZ+8Te}<&#Y&6LNz}B; z487Ktft}{6W4p3+>pPLNOo6WP-w0?D;BauV0yY=i+6dqnu(Yt*AG2N=C$e@|g05R- zk84rl@^~(JU@`jd)`u_u(LDmDO>hg~8dXA-1SR0{xlpDe?KC&mI;u^U%XYVRzy&;I z{`q8re1EaI>z$zmQBV!2tPiyA=?PQyR*1jzDJRvH_3dg zOS$#gm4>2uxO2}n^>6x74qNSNPrS_es7%XS`auY+prhtysa92+k_L zW<%nQmJcx&-=H4F*eTa5gF4@3w?|#+3MuZ@!v4dbNmYBQ!DFlTcW@3X;i^3C47y7O zE=?@!@;F|Hq>%aQ(esouGp*@@b6Z|1JdX5kvuEM~${Z^Ri~Zyfk+N1=SVX?_@FTlz{jIp7V%VM#5~r*bH(_3O`w$VNT={Fpt1sjbl8#(m7)d1{`b%qx z1g4?kxI3}ER6@zf&tS}jIjpRZBrX_A?WH&d!K@-lD|+z+)+SXPNb9R}i8bKa3yHO2 z^IR?@z)CZ>PT_u7sw!#fA7IssY2Lcn4Jvun^+?hFJ;m0<+mx2a@@ZHhJ-a6ZZWW z5<=GzIdQ5D-14R}VnZ;jbBVW+q6-O)VC@JlB%wKd{YCRRb^BlSigPsqL7!X}!mC?W zGPWTZZxESD26A@hg^9F%PHZ@-k5NZve5x!X;h%748j{zHkyJysdAAH@PK~7$N7I4i zLuZ)>5?*$pSAv~MF*%`gSk37k$w)JS{4nd|A4tBFOUaChZVx0Niy~4>Mhi`GoB`nj98b z+geIlTHocQqY5JRPTg!@LK#ZeG^Lg_%5fNPROJMF7q9dL5V%?+DW?%TAu}n6%r7QV zPUY(R8!C=aYauPs*=B;yi=MK7`eGBb2&()-Gm4BPCg=GqBqsH05!Mnx*~R$%)^5Fi zPm#}Q_+PDIcc7Iy0vC*BtVh9&p0oKMo7Vf3QEg&1R{LmM1{OxmJJWmP!$!T`Dls=TGJ2}+V&6>irJ~@ zS>#9scZ~V=P$w|q&^Z>gjAM|-3*uw;RCtOAtvSeJxk_Py(6m%|YG2J`8Dk)p!!k*G zkirUTVTQsxstI!aO{YQa8K>B+eJm(;e|W@?r?pUF=eN3Uw7$nf;e{)QXQDAt!9&q6 z%aRB#%03ErEI9u)95@ChM{rcWg)VT`0AP5YCs20i4n)Z3a4+YNAgwVTNR+#n2#)Fb zbs%`<)N&-46|md$kZ0jjaYrDhG-0bt0HW+I%EvK__t5fv|tQ zFHy#vWg_HbJPHvkRAod0eDU0pYUiy=Hl#+4ECqp^E0~Tz$Xdt)6Umr$VJak&Ul7Jj zIH;g*Dl}w^M7T+P#YD(5tVxYbr0k(XCPLMmBU6?>nhY6V)lCXa)S3IdFl&+bBpduMLQ9~FxQS^+RC}>80>x~}Izr#utHe@XE z=qX{uMA6g#ZB);ZLRt@s0>*z*gRJtaYj}8bpoh?dVwBKxVs_Aiz68^vUbm=dl?52C zDg8Cv_?5T+l@F!A`JV~K`_H7{g9p-ZA*-o4Ftv=F7-+^$3|%8fYwppMt{BQqLBFQo zmZ1Nd>3+>R7$Zj-8d2l*3^v2{3_9d(48D|o4Bkooav{IH9`f6>A-_ExVkrd|vM&kv zE4^#6o(q3qVE_1y)ur8q!tk5C5e~v*`iK`|3BRLH8ng#QKuXG%!!s z*1W#HaMO4kdc>Q@jjgHGcW~C|;`)M$?EL*^yhRE=^B8^QAm9lsbS5uBWoD(qW;Xn$ zh;Sfwcx|YeNVDX*bu!@tLvTD6lyubhQLQ^8*!2=^gaK~z(ExiMafTz%a=cgx&Qiy+ zbYr&SXIEzlRg%F)K9KW^Dx4Pa$-<#|Oj7f)k;YpZ@a_J(mcJRy<>6n_`f>J$#5g9N z$-=d?_8m|;tp{5S_k@-JP;>FQGP1t)1BGZL&-e3K0jNH|BDBj=?dAJ`w${k-x_z}y z8nzuWZO_Ok5Vm(S8Y~LP7!Y_|&p}?R5MfSf3XjG+JT4=ibV{`W!E#CrdBDUAPAy~z z-fgh&zQ`#tVR3vKITo#_-@~-0pXIst4%(S0pV+-ZKybH*1t5?s&yKL24rP(&f~%)0 zMY2W+tGP}&S&nl8L!7nP0^MNVIVL*(o*`#jh9NwDrN`lZY6)(h39az>^4Nrvg9OAS z)DuXknlko0(e=uM?MFDapJW-?WR7qo--RdEji0!PyuKxUiMy^nC5~suU>5`U+z=p4YS9B zp{!mlraUglM136}vDlPxxkF1ZT$n7YJil^BM^1UO&(~oSPlG10>}B{8Do%bEL5MEc zyJ?p72zC`|NAtC+RppHynIR&-%dgjG(R6J#BY5gWmyCp~ZSIu7oA#B47U`6=jqhe}2jutEkOT5bU@=Z*-IRqe|Xs znV*)`=4<`}@aGO6F#WQ$yi${XRnyf^qV9ED!Vv>auCh&6|G>lUDW*Z8-~0}~hGLO! zO-`9$^L2u$@7VZ1YwitUecO1suxzy@Uo*Zmzmib|J2fuAe1!U{e-uXm>;iF2rP5Ux zs?losYi(J$n@I98$XuT%6toVL6KC~{ZcOIsO?mo)dcGC;TMJ1(^YHB6$mUqNSP%8%GOk^OSve8-Olnz$XZT$CA0 z9W~2vDn_NxG-0cAd#s=dr^(Iw&T=_pOYFJSS%7L@2A8!bdnq&zYzH#XURaK0hryFO zb+8nUczt-SP{|?DB{{uK#I9Oi1)I{G>YWdQPcwzSeo9oW7=5B zNbe0${OJ@2TMO8fS!f0=;<-Yr?-#9tYXqR{0`T1&DZ*p~a;K^JHIcdkyAe1boeo_j zdP8(oqF}gghGU`s>Yztmx330Zb=#rb_8r1zK{^>w3bvmHXnkDfp^fkHy&MhaBaIQe z`_dl^&>ix(u7KoX|J6mdop`JTAL{5`4Ma#R8>0U@c^Y1Y2I=IegzM~Ef6mFPdvdFX z_E5%m@(;`WEk4irIv>4FuJ>%XKU7aAB@eyNZ5}#{g2oF`-xj|6ukvr%F{K=LHw1XT zooB)QyYF+65LP|s!;mxi==%E~3K3mLw!N?mBrZ&Fw&G64cQ+}l|#0d%VJSG z6OpMT;fUsL&z3*1^x2gqZVaeanJ-%PLD&~Cv-!B3A8tGvD@C)MYExuA+~KPx4-O$C zmFNheB3M}e4;gw;c+J7A4!BqJju z3f$NcuT(Y;ipS8vDW;0aY@KS4et9KEGyn9b^N)Q7vsU!z@{`hxX(doGt#Ih~O}Ht| zjg)4-c8GR(nX8=*u~rpbPr2F)39=CbM-HIQ1S`OqDvmTxf0QHl~;F`G(CSrDHg zU~^g$R7+H@r8uYwS{^w4@=jiycUd+Ipo zWv?6dF0ESw)}F87lTEqu@#otd-1IIrj*OA4Z^)oa{ZGZgUEKqe(&&wA`kJl(DjD@9 zXi)F1_K8-vuly~-wtyrdu^&CFB3HSs{cAUg%=crWt)Id~_x+1j{o03*8J%MP^Ea+J z^7kvg;wXTh0}T-IkAv_pQ9D1!Ri;iLKL_4*3A|m}a{+u`CEwHjKmq(H(Z^rnPf`3m zL6EyUaE|WC8h71CL-0PFoKSubhEp$^+{p)%olnS@Mu+8ncn<9V#eam1YoYucCl+Y_ z4qYqL_*=fP>v`~PvfXEc{h@rn;}f34K=+C8+R*b%*r$>236SqOl8-=fMf&d^+GPQ} zL^Uhk2LfYrCSTSX&c_`pt=OGx%AbJP(ur1v?qRM(51NRctWd3`JS&yhAs>WN_?%1B z?RI087YPcLiobq!EL+V04T8L}MYGmUCe7RR!C>-df>yfFtax=o6E-?R$da_<0V}h> zYhsMY2gr=@(kj0Y%EBJ0^az?tBp9h-#|ik8?o?fBhueH)vSMp?r)!rcJN(4+Rkxj%$oZ*~5cV*%z-%PniPQcDESF3dC7g0s-AdfDA-PLUgxdUiYbcUg zYU|#UES~ssdpT0iKP6`IOFcutNqp;B!;K8dXCORkR4XVQv+duR$pNOT8ib5+L&XbSD5{96N# zy6mkE!s-A@5t`_X=4$!+gM*|w4p*lJmM+X`rbPgw7W1u<|?5%$niTSsP zYB=%i_Bm3~TkD9VT-%20aqRA&ffzAoD+XyD+`3Q6X}Wc{fOeKbwFnN(*jpJabiBtv zYZmF99PbFxQ%T1_>vWHR$e|*2hSWEO>Gqbo#)1zifx586#CPeO4Da0ej)(#>?429~ zoX3XM+xU9FKV6d~Ul2DDgdl!b&-*{5(&#fY=}2fw=!`B~B};OLKW*&K3PP|Qq+t=% zC1?BV-Jwq@4CgTQaBC(4oPpCSbL1lkLFa8sqa zupFs{U+K=~?Jyn9%wyen2Bk~O5yS?PN?_MLt2G9I15xbraS`-=B4Zl26XKO)%nNs& z5k@Od5VW@x6z1*57D5)&@oBSnB_bUzI8TqdmF93Y1lA5REk~f{X8PLnNd)-P;xP!S z%!5C3+Xv8yjRSwkMF$GQOGD8u{Gkh77bHn#SDn}W@VfOCgajf_aa-&(ly@gBCBiXR z1WFYsk}uy?hVk7k2BYST?atcC8#yXQ?A6kSe6Ol%6D7Bp7WvX(6R=1i6)WJ)bOQBt zspUvRlyhK3UFRC`77uKh%31Ny=bgx%vH$q4{@m*SeB;vQrCm4&@7*!#CY$cW@)?G6 z`fBz;UhIen2PDS!ck5Mg+B!;AgarfQ>vh7@VA9D`gf2k-bDFtBK0RrU5#1p@aj{cQ z!2tesJRB@11J!5>n&@h@pvj)@gOxJG1vzruMxf{*gy|n>PS6jve!tlWZv-itN(?Vg z)4Cs?b?Wlp3cFDsw8nBhj*@HKz_sQr)Mq7XPYq(LHr$Mmo5M+yEA?<2QW8L&7aHTO zJgx;v1D^nEn|7bIhjjlFwIrNaNv|Uz5j%`+UJ6Z2cN56^7=#A+q$u+MUg|#mTwqO= zm(DR#a(4@Hq7!@UtSPtBjrW(bX>}>Cjvvus@>$~zqN6{cxI4ZBt00 zs?b^Zwlf`QdSFVzQwoeE+NVxBLV&Gy9$5K=lK;wPje+ zY`m1Ve#um-*U(m5V`7dRK1&oeNO}*L*xJtSc@e2H?dP_i7kGG!=qP#~=jEp@k5*P@ zVygg%Y|<@I7Ix}qo!%X1(g`Q*qdTHy6XQ(WcSTu%IGU#N1s*0)P^v?71>jibAmb?U zCXvEV4uYLTo+A;+%o{XyUw&?YxwN-HMSfz!{PH{3Siu@n8R0SKj}}zyJhnWk97mz@ zZZTKuGzN?OqXuI)8Ha69==?@l`%(q1#csz%5Q@I z%kFeJRdO9(@)q?Yy!cyM#>-U6ToYra&f7?->C5bIe zXF~jGZL8;DpM&w51knz|Bjx@n=!xN1DG3 zWB&kHqBq7IzQARKslataag!HZ2(tnZRi^M-^t7WVN5l}rw9~glHQgHLw_>X)QzCC6 zW{0Bs(H*?|`;KB5r>`i#jWj7({T2PB+Z3KGgIZ)Zs)O~k%3PjZK8w&C7@gNJ=b2i@ z>$I@0L9xs_-JHMJXzG`rq$?q=U5=Q_b;#s7$gX3`q@P7v=xKH;NT6USRBIr+gL*(S zsg@TI+*2^UpyMKbrt-%;#4>?Ov_4zjUz$%xBS5l2xfP3Nruyh(12q~pcxB=(+p8y- zy;@M9gLVpn=9=s;jccTeGqJDrP6k7~9&k_Q^@M4)m5j3?*p~);sE}gUsVa@$oeNn9 z@P?JKbTw>aRa*O_s1A%*$FEg8)_rj@<7HX(sYfV-y|c9;nWCyPyL58G(_K5V8eR=Sg+*Gk8BiK3SMct`M_e*yKJf+8j{Iy*>b`~m0H z6bx5mVHPfl#Vc5-JEp_&Y@$pya?VOzfZ7tX*wP~VMe54U*Lpb7aS9xi)vP-wH@qXl zPh;)<2Glu)5M`FnP!X~82S zHm?)Bb$pT61fu(<$b+dna$dMOJ$N}BQk=FKik(EgZg7M0K+^Z}w!YyFtag@7$XoVj zQZMpH+)&h<=}$Doih>Ux9b=v0j10CA`pd&TH15aY&;W04ujr_-n#3%*$v!7(ZO*qv zgMbL3YIOpBj`#Fihvm~JDG&=iJadYnW$S4@8L!*BfrsL~=rB5}zRD6VK0W0(r_w(U z!F$l*TaXBRdh+kDUdMw`_r4+hP$cJ--ZFO5ic)S04$=y8PMtN6g*=Tv&{8VcroM^= zUU#8@;Z_@fI7r)F1dj9$5<`BWVgmYN#5w3B6~YX#0Z7X^XV-$^UI$8>vf*vZpy3eV z(ND(Kd|Pp8tcuFKab<3m4TOr*=@+o!n%LJA;7H4MN^m3<+uD6Gf(2e?$*|#8HG^SI zbRto?*$DNV)v{sj>)N_uWjPTsXklgKddhG_W;5N;h|K2S!C@EmDM(>q_HBF&5<}Uf zPyT{L->j{`;dSbqac3`};j2)AB5RUxBnSL>QDMSqAdX@~guI$ji1$jx+fijXSAAw6 zWwpGKJT{Ku4m_OEz~L0g;sU|}G6o$4ioEN&n*@yHnT^;;%z4&2Nnun%;xIBIa?-qM zXD`9w-oqTO6iy6?s&(<(42a2*7~o_Ty45f_%Rj_Hheou;%l2|270s^B2gigP^9)E%GAfW88`*Z4LDyh6GpnFcUDvEfT#Iz zO@m=O)J(g(o#=1`y{iH&mB7 zR*e%Q7GFJBX>Bm|V^MZBG*zQd@t$Z`-{UB5RlX;3@m7tXSNTmG=+H-=lX(vPVcHEr z*p$9cF&plQY94$M@R-?z3Yy_wx-;0M5d+WM%IEF$h`HpcUwX>vmhxR&FcSBupsBg* z130*fOeq`Ai7a(e5IIF9mXpV9?6PiRaUZB_MA6Uj-8)cB519Hr!cCiAm=fw1qF8w4%Jk3l)GP>5y@zUTvf~QqnX#GIo1#6X9j8Wz#^Q0meKo2! z^Iz8vw$P*wo;uxG$S)=FYsS;s@zFy>y82X?(FrQ^TWqZQxXgni*YXsYRf>H5(;}7XZX$HCEY{741m(vtQ^4- zqO|SYsqt=~%?`!oatX@p8KPeYoTbg>Z%a|E^)rm+by=;Iu|b8(>$glZ!0cV?0s2vX zgPsiR%`P7TypPJGt&}PFgpKc6{;<(KxM?DLh$pY zixZCy$_L@LqrpelN9pMJXgsN|l?OXnFD9}#W!L4%xTd{uw^R-;<5RnVKA@^SKhfVm zcs2l3lvDVwkDzW-U1`4Xe}BBQ47_$B-5lXLK-fH6YnEsqWrUo@@{ zoJ!Ii2osOS`}g^P*QcvLi%b+^S} zZu*47RpQCG+++g^u-g;&798*-$Stw$I_29SAB4i7;q@nIiB}yUnNcwZULLoBccebu zdx1zjc6=tD<0W6kD0mX7mWzcpk+drRJPSHraJDQ7mB5O+V|@zG&wEsd_UT3cr4mQ7 zK^y#oD>$YPlw|BNYEdk@_*2}6gy}sc<(b$-(pD8f^v+)c2@*@CS_~RBtmN@NVLQej zrF#)H7iMSBeLo3Hx0HG_TX!9q`xEX zz3~J`*))ejKnjC?#Dbu-mh4DDHlN`j`D3tm1b}TNTKvBWj-Mex^Vc5%L0uEn@F+aS zf&xN`@&VLqz)|{wzeRvJuCK)ce2RA^;wmSgAp>5Je&|RzGQ?Wn&z(B|5jL`%r3 zPZ$Yk7Gy3aM0gsYP(N^;lznLo0>%yW%lYx^I+~tf7*%}&=0_tII4`(kPJLqA@-Hg{ z7zVf#WGuvc7htDk65h-5pE@Z^WlskeYRoxIFB!64 zMeosLgEn&?(<4~Vc6L8bO+tGQV9T_JR!`8pU9G#K*>~nPxZEeMbJOY7EPPN&vSa68 zv3pANO=+`WUKCN>9DM-wTV+C(&;-i0dJ#K{m$g zrBD3lA^v<47F3tUNGtX&2$M8;J^`U8sG<2!S|H?wxWUK<$c;IB57ZeqL$s5>P2+&{ zzEue2iH!@la6q;jcV7cI^qOcyt$}PDid>-tqk&1BX|O)SdYU>eC?)dZIcb7yX%&!p zJ$;jrZ04!Ye|eUg3k&3&n~I=d?+NGF^hq~5XHQ_WG|N2XWVTZX>lu2Pwg5R2AXiBB zfp%|QS!kc`H$P4k1BI2|SgW1DW-~c(?sh9(P0o;GBkN^@&!KpXh>`Li)o0Sgl(2%K zSL(>sj>v26Q{>zSDZc;7_F8E#n92a}dO%*+(*$%IufnwcbR9^g zIhmmn?mi(;sif;<+KIeK0Bcc;;Pc3THLinZgfWAT^;qAIFv@S$a*l4>bCTk(av0)_? z>tj!d<@*X!gobw?Fl9KFeFaoojbk{B8PgAJ%`*hB?G__FPp-R$bvTI4-xq&z2oTQg z=;vPILX<(Rwff%A$aBmU8%)Y0793M9{wPBK&qU5P!t(Bo+2~Al1j;vNv290wTDDMh zoO}ky;5-kpbZQuTDn}LSM8R?%TwL`w#ff>?rjw?Ev4TzYeMD20k*1LO#b76e%iPtJ z@AHodp&(x514Wj|3Aj)PO@~*t8&bF)8RPG;Fyofbtwm;Y2}B0SIL|blEI5~mP^vqb zqBDcmHyd5O?p;U*D{_x(KDZw zkrE$+RYl~Z%eujhpU(%`)k+(|{}5DXVBRk}U#U8|OlDeIP<97_8{HNk2!9jT~K9x@RM1N2v-E?rxu+$Cj@L5aS7jyqlH)}_5nD?l1{Uo-|> zfp#UJ`YYj*F^|Z?>hrNB)+Dx?9-(3KSV{TylnfYsSedndE#%n<{C;dg%r-e~lf{w? z@rKir<#)e%2^=isl%)e@57sImi&TCZi#eSwHCbvGLwGMq}f4*bv<_IXPf zh#uT}D&bMQT!$oxIUcKB(M23leZpa8%7#sPUtRqcd=xErAbxQfmw*Y}MQuX&>SM(K z^UDW*_7t@t`|*N(Iz>NYwt9VR=wAt@hSFb$vevlOqO3v#@sHIMW^7%=486BLpccdi zTEZO}M?C{0E`VEP+&jOq%(FT~!f~P^Q$9JE7@e`~YHK;qmcV%DIU|)EjbJ->Vos15 zftu^R@g1j5Co45BR#p*iH9Q}s+n69M{`zSVI709}mWRIqnuO`DvDZ(Y18lcjbSEuu zcg-esazzO^bT{@6Rt@O;zC8`Ai;-P~Jp*R6FfiP<#~d?P%f<%pGh_Di7ov`7{KI4j zzNpKmvGgNckLn5PKYkWgXyd2F=k4>sJeq2UV|xsQ^1<$LJA;hKJ?5%QTlNj?s9jD< zJ7}oQ)>FOJoI87sxw6B zC|5PdqRVO@nxZEv65Qy}Fk&g#rkOH;3<8fcAZQ(p<sp83{9aX;KO;GX z!qxgJ9(G(NS!=qS^vN%EljJdkktZ~b?f52`ika7>){#6B+=90zJ~JOrTjgzh*Em_E z6Bi~B>HZ@qJ*GU0?B?F04t1rFZ-sx*+1s;VFqSEkzYq?^an6;Jig&o_bA@2ndd`b(kfbW+Too*qPzSms$55dDeoJhilL=eu}M=0J|}v z4`iOHL?M`5#@z_E!+WJy+L5?thpx_0uXa&D-@4@YpC!R-JtZIftkrtyPRn7e-74E; z8-cEYJ@QbCQub88d=(qa_U)6nCY`9gZs0rg@iXV}a#YK+k#r&18!d&KU$uK}dKY-| zFm@O@i5r*=lC$RWItiU#-N2MMsMTuB!?bBr`_;}8#DE4X*{k>zs6f22&Jdxh91<|8o_%jG%Hh$=aiC* zJt=YfcPPf$A_{JEzmhO99=KP;SZeIKrAs5(7_Gsbw+$4T)tSuHCok1S6BB|eX^$V7 z0H+5ax^J(6kzVcO1LZGPJH@RtqIz;r^mCGm3>e!7q?B4*X&QDpsVyH z!BvnfcS@v|s$Yeja~l(&D~e3T=u}0NS()#berQ`V3{Oc)UfN{g6cf*i4PT5kwmTg7 zJ1o>AinIx@SuvIuWh1!>$~7AoW`3U4qFV77{*qts(s++h@-XkATY->!s1+*AG-r*s zVhOss{;6Y)nA~~6@iVp!ae~GPYN4)o-+PrD(nxh6Ly5>Y;RO>wS_W&tUK)_J_44`v zz<@vb!+>7AA2k2^rlBF++!{Tz*$BvITwHFCoI~ExH><9_V#))eU+r_+R_zpou-U*4 z7fOMyNP|A|9#t7%NRtH#j*Vy0?eC-|LoCpi>DecS;@po; zJbuPekpoFue)KYQhLfYD(9CSS`G=#l{htj#@fcOZ&_~*XHZT_5jp570r+0&RcnU;@W zUBZO3cb*&tBWis6UwRfFWDBUaM+wf;1RlQzf6~Xfo%A4b&{j`c=vN z0tM&5TfKJ`AEOE}I?>x#sx;Yu551yXC z2Ok@_Cnj2Ok!2*bLsl6!M~9Qna#R(Sw@j>=JWNZwIB`@Z2qH9=f*7aaw%NQ}yU~vJ zQo#XeZNMjAy7C!WhjDSZfe;^KmN<}@ryD|XP>1Xaq^vF(F~Jg3yC3U$Ax=*9_eIAF z8BVB=HUnjR_3%_7VsUsL>5>B(ZdOL|vT+e#pvbU-0w`7Js#=J&L!V~ipj1TXh$diL z+=>Vo{@Rp+Kf z7Gj>!2Uc&ggP6oxM$Zv*%p^bnCQT|eS*H?k2pYiJG5}s!43taVHWtuos5d#m*G2-d zk9DmYBhzuSDypNtTE}(=!3%m&87u}OOWnrFwLz6q8QD=FODaLaD>W3Q3N!Vt9{o=D zpNRj|LQG_qn`?TP#Pk+sP}DObYU;OZD=NihMUQ2up|7{&>@xHX_Yg%<$ZRB_XqK?E zfJ{ML)BP!=P!b$EL-nuSX%~K6j=z?~6Y_;wv0l1n^1g_%;j$ATLo1R=k~SlFf3L46 z2J7<{t>*DR<}}uckyq>LtjZY0{DmW|ll^ibl4=rAA8P&+(@b7aFa}Or;&Suoy+*Cb zdfGD-9bWeY3b=*xc7$?L26s=(2N;^S2qZN@jQ0R{hgq*T^2(0Nm-)FWN&;jKb?IZ4 zVo=#5=k4GH0Z8a7Kk=9~)QDfgXjRE#Ah+8N(;`G2xaa*LC40faz}K$29gb0%C6TeyE58|8 z^hdMBxIMJ_Nmtw_L8`Xe1G0@#+fh*f_tM2Clgf>D8O-B-dVJi>{j;Z5TwJDQ@d7=K zgBWu7=oH1Y_;`h>^T>4^nAkgZ6M5D1f0yq+4WF022OYb+O;uLukNF)gXKiJ4Jd4*3 z*=W12Xe#I>5}m*C3%549E4_aXx@(0^k(Ue1pZgtky2lRNwt<&+@&q;;rZ_c|$fi0v zsjX*jV{}(TW(m4GTE|44KA82?V{q`P!ls7k=jiLAWm})kMxcu$gq?Mr8^dnVA-C8(r`G@j*w|@LA~PLqb*FUWX5;``^ol?3&Q4fg6*W^~Ed^ zlQ>;34t@VCr^%PFV?00RHo7UckzrTQNV3q&0Xi^=_(CW=8fM+u3U}0Y8XlDCFrbcUNh{ZS$K)lUE`g^GD#Js zJ&e;$@lE`_&&bi92L?{g@XN}hcmErAH+CRdH*IYV-2%w+iq|C&i`33Sg?0|XZIF)2 zcqHn)n_7Weub#J%?fm*Jt$I1~YJj*e?VoCRM88`rY>PLKL8m$U8QpB{V*&S;0Q~kb ztTew%sy&0}86CdnMt*0|JQv*dS73nBCaFRVvoB;qkLCCwUJa+_pT9GVLY{NRD<j}z6}i#W25hTLlGZ&Z<)QvDlZ$9ij`jg%=8fjm3xzZ?Y|L)7HqQ$SLlFU9Lo%d zITr)rJfwMMutph#fW0xVYLDIT8_- zArHPnCFxVJ>nIYoC>{VJGG^BM$K5OS&Kg^GxXVY>@=^$!$=TcXzCAQ@)o*+Z#iG=1 zdAn>(7`0|WTYl5<52)uxb3dmqfd<{(_bJ+*XhiD+k@sV0~@Mi|l! zbSY;Ee=ZfIwhC@Bi6^k|VX_dx&^_anH$0-Jt)o1MmJf*GOfQBdAEQ#wHt#V}aO_jh ztCfsjg?f7s|4RH)S?$^7tY8)G4Kv$-_tgAV9!HRjT6-h@8~nvCZb1Lh`WFoqx0~+W zb-Y&EPxs+6K|l3h?_R>691(rholL#k4 zvp>u{zzk0~mj3Qg&`Yd%a$v+&QxYxWea48EQo86hT8%s!-d?6##8f%p8ml&1^)1;Q z{^G9^l~Q!%PwRFZqj+#vS(&UGoERL=opc&D`cNnbfPp{G79LW28lDi^cFnJ4W@n3qlkba}pv+W&m3ZxK~s^lJwCd~N1A zr=fqN152Yv+g&zs?(O6N9|{L=O%}esEfK$JMV*=IPEF8nM|yEbUSaO-f+f4aor3GM z1#(#`a5*-QH6NB&`VO>&LW@ruvmqnX@V-6W#~Wbfj=OWZ-O9h`|2ceH#G85?Oxz_t zz((hI99Wilw#Wc%iIz=G(Bce?4W?(wu4h@!ya7!z8zcH8rM#@b!4^Ko_~JGXYzb9?5}?VGdpag~vK`_!XZ*9;s{ ze#g{}M<|h?@vu#5aPW$wrKy=>Dn?wYV)Tk*rW&u2*e5;PS|km(D(*Manz6Hk2QH40 z-p&Z4$?sd4ZI`hDj~YpD)NyCQc*u19+LcF%RL#)suQ;cf_!}el>d&vf$zcZGcEudL zexCQfc}c)9a?jH7(Hq3*XdGTO^R|3RTJ6 z!ZmRT!%=KoTu`SgYtE}TdY|+PSxfBWnQb1_HPaZ-C1+D#9GrX=y0&BHFsl{2jJemV z8)xY1K>KIj*wrZ5tqSf%=UD@HRJus2l6gyX%C#!yaO<-ExAx`V+Lr-SqG2loQ7>B{ z4PEQb+q&S8kVk~{z(bp+2lid#GE7qAB^1lqTmH2Xi#}YtfgPVXa#O^XiV52*C~)%I zfj_r!FKWnlUqeB+21;O!UUS&Ja}^n5e=6jATJTbjZs)0Pw$-saU$<^T%5j;2KgFFI zyY-R1OVUos;r}VK8oYc$+_!ZDp>KVJiQDe~#}c!BkGqi8x(#T&?0N+UVfF7j+v2&L zGb@72-SOq;F`}c}gFI&ur>edTLhpC97B5W23e=`-hrl zE5`BsQtqsIspw3S#;v8-+?SEJmZSUNDPoaYu9o9#@WAEqtx|Tk`TWC9=<`)O{f=$j z&UE1RN<96*bwZKM<<(Q20$ER*2w@^nDT)Fvd#+vaLls$svl>PBmg| z<&n-tJUNJ7wL>h3YQLhxXbcJKIX``lv`&~$C}<{y5TUAtPWM3|^YAR;Fn(p8bduqX)tDH*?KuLSF>S)SL-4HrO^BCS0_QC4>&;;Q5SAH zI3LeH1D4uEPfYIk!`R*_Y_5x)sU+6fj%!weN5<{f&mj}f)+6#`o0-(yrwD8?mvOyY4j>H@%8Gg;tS@js+)HKTisq}s^r-+;dr|$n0@-=d*gnwnO_g}dZ^b!y&mfI zQ2&&N`uSR@*FwD(>i@z*{Y6UhTbWasU+2#ga3b+Kq26Td@-0$hQZh;qs?uRDWRSf0 zcggJ$vBh0FCm34EaZBWw>ct4$_XOdwIDUA_fL9c)QCb4V&2X9kv)3j;kYqrW= z*O=Q+pk0kk8plMTJ1%qw1yx2UQw0_~|Ier=Ot(k$uzq`FH8V0~9xO4{t*;Mkd7FtH z$&*T52Jes>-9-WS`}42Tx1AU{B4csQpsXku8E_R)d%Wi~u3?iSI&=~>D065wRinFN z(oz*yJtxc^s$5QO4pw!0)O#j&QtjjS?cC5d@|Ub)HtUb?-K%e+MvtlH`IE}nIc$18 zeK8WnTthy{&s7^sH^x-MHgQeWjMB+Py(~3(!0{jsXNT(VAr3)pkX{RyuVrg%%{)Mp zHrB}_k3KK#@06YyiWJj`%=f5S`aQ`Atv#aB`NXqIT>0dKbUef*-^*0xr zsbKb%t%!4ya$3hzLXq>S1KL(r-Js60pQWfZe9^BXY`=*3dB=XtTGzKo8N3?2i^g8^e^-NGtDh7yiMneuf%LvYaclMhy5za+2h8c`<%ij z2sBV4b)J9Yg}w6qf#xGAiS@;3pf>@MuH|EuO4A!yv}f?jmWPgSe&rMyKO;06??k0w zc8QR#_+8Uv61f~Slqq{2dkA?uZe2>KqMqyE;Oz45oTsaCRQdKPtgo%MH$UI}eDm{P zk)Pk+_8h7^UoNaQf{b!*Gu*HEAjyymEFn_)$IC+4Nvaz1i8wSaq{g3q4`arb9!PGz25ZH zRr)zZdSYL5=JdqQisbafHzF-9Ju&O3H$59{X(zCyr&b2{o1Tj2pc|ioQ+}p5EI{#v z$tOUu@wJ(30g6S`6qs0K=?O!6I}Uec)^P(AS8QPy0oo75%ub<%cbVHMUcF|w!z?V(RDY)A|qiXX6Nj772BKF?J72N%}yav_PPqMkn44dx1XBV1x{Xf z-UzR=FO2JTn4+!hby!2~*XwGJQwXoCh!x3Rhsw%Ur$gG3Yjv2kw`+A+)S_&4T9GlX z)hS+mUaJe7tS-G_R);T;9IL|=@k<(3hecdt!xH6*OOtGLxV`wJF{8unPPs-`5x^}w z9S9NDXijH@Y1iu%H|p8zz_60cPC2;h^*T^=a`rl{P(+n^9atU_Ze9l!M4*P(X{Aa( zUI$p~^|}!2*Qa_<^TOms?ChsGL5+#WT;UB>T#(%)J1viGCT7E(B?Qa+AJaytsCn8O zvxUf;V>a$O_7RI)dPCi_2et!aowNCzk@c)mSK~nZx0i;mit2peSyqhL6<$7~uecLa zjLyMqcUIKiIz^wLjii(|0jqm$d|&WuIIlXEU>(ZFjiJx={79_9;Z6S*wSua2GM6virH( z%#62-<1w5ZtA`_$xcbmPuy0^idAvaqo-!PF@e!~@9}!O1{rQ%EtA5Bej1lda6Q3pQ zStQ~*Ug^UfV1;ds>7T5zDXz4qbWcKr*u{liuUG@mr;F*nDf=9*!58hOqo)|oDXngL z#v4q2u%m_1Ih^5pnA!)-*j8s}C&z%+pSZ}r8{U2%jGq!t7@C>I`8e0s%;?VeA-)Q7 zNGrI&fm`3ZnEuJm&L(t|MtPav@)9a-dP(yzF2xrmJFeS$VDKbHM5TA9ICFFiK45qY zf(Q-*Pq&*Hk|@jVX)Fpmst1RGMOU)lXl4ZZ1$J4M8C}fIAYnJ-(yB2ESz_))-WzA1 z{mOriZ#(t2Q*S%2> zZl(aK$!Vs5N9EK^*(?z&Xr_S04$GS<;5Axmrb;pWpJqz28FTbf3W;`bSudp(?(6hY z3fEk>mn!Pqxs_7f>+PHr5{Du7y3Aj6lRFFI2tMJRQR?|SR>a5o^hGCLeg(5 zh1(r;Td9KHeK#T2N`Vih-d4)^BDa-NENvaakX5Fv+e(3gW~I?ffuZBH#w$2HPAg>` zkG>bJlxcwWF9%vFRCuo4N||UDpH^y1_3Kl+Km6y2VFp>xr?AyKbO-X6%1C-vpGb06 z)Sq%jlw~=?ce0!{$<}(vS+lGqIU~sCm9qv}qlcWepOBiD)vwACEHLLsg ztmcd7&4-@V%obi(&C%#3HR}p!%h7kRR#J2HU{}r4=1R|LW;JU&G5SNzdL%uod0Jn4 z)eQVpGu-{DX05EOX1$k{)jWgcN6pbhA2m-4hO1TGR;YcPhPU>tW<5EPq-Kx3q-Kr9 zK}pR@N6Koxc%IAL6<4$3x{{jN+nl6kt<tk=DsYQ}|UHKTu&q-NbXuZNoT zLVHp(`a?=;R$QNI)+>(KbTwP^cGWz+IZtYSC^yvnrt_Q5Z#w@g()s%v&Tlxs;r#Ci z=U+$PB7b(J5xHr+(78FFkCM6UV@O4`<-j*l@rf^5af>Mjc+{XH^g|WAK<>@*|xn_if3Cx z8z+u!jp6}YY`?mZ<&l(EffS4f5GlQwqd4H_rG z?3Ote5$u(=9a0K8Q$RUHq_Mf4^jWJvs27J3l^=gOp2r``>w>QfzApHWcfs$k3I4Ap z`0Eeq+8%>|Q}24f1W$#F{j~kiitZFV`E7*@Sw%-dcHC^msAyx38~aV=j%xN}_WR17 z>yG-U#F~HnWfver-Uq&&cc#BBEU^y|{ke@a$Z`VnnH{j6wf-Rdd{i)>VVIF(!LO5I zoG@l8CTr?l+29rI0=VKH6_3h>JLs%<(uffxVio3YZ%m2v>^{O65EvuKX1i~Y{bU%{ z-xuH>U*H}CM)fI_$$&j3(1R zU#HUse`n`N1N$Y+B9AwqOMJ2{zeRtFK>RXd_;ytwg}+^*DA7j!_DQ8LBdVs*Tn~Ef z3UWz;9L`GEhEXGUTUL*C4)ML?0)QDU0an)LV`spA7BzO}Zzx&RNADLvmOTd@wot61 z`}wl(ZDyD+b5|gy`vFzOY-tK*(_xD(0*s4A;24dO_66NWT?hNdxzpo~A$&M%M-{$= zBlQv1EnyXLnEUv-v~Qo+@#Plq+T?$=$?vaAzApK?&6n6ne16&Wri27%LJSYDk z(SO*la-BVL9GLG@INnI&q2qZB3>?^z-yax}RqU_(ce2r7vD;y>bv0EimUhBp<8%GR z!4s>^vr58K{DI@)`oZT9@+owWgqg+yz}7HhG+_qrR+$T~meIMDzc%v(^Am|3vHraVSiw64JJOeL_)T`c7YX-MvJo@%eeTbYT0Iy;5VKU*5Plf3rK z#Fhtk)0)AEHIC>Yh)m-iAV~MBoi#{0W9=AtzFC|j(OSL!VuSb5s&hpHo@Tc*jkF^; zQ;((`)j04M(lJ7^az41t!5KpRm^%k_ikKS`wKfEUdUVdXF}_z>2qrWavT|RT3MO(J z(XtEW3JQ|x2G&;9EDaHxU`V4%V*E{J)1SJW7Jx0zzl8E0!SA~QKW~9^ontXF1QhTY z^?rH)>)lc~(E+laH-04gIId6gdxM||61}_QvR4tRB0hyXc4KWVZh#F}!vVZu!uG)p z2YKI9#58?OvN|)V)WJ`sFb@d){F0cT$kp4X-Zu5NskcqNZR($FQ$OA=^`CaB-(bku z{=Cl4J)%o}BHF5^Tj<7@h-Sp7$W1UOvX*$@yDz_l0wbnkhBU0XCT~4>Bhec>XGDvN zNT+&@qacA*piL_}-@)EXvL)2(L{>w6V1-j(3i5jNjf17_i@hr&Ix%8KMM_ll0|v=i zf{ZLE&1_h46NmW8O37lgnZIuH1;1LET zI}qzayc@xxJNv73Y^qj=^o?U@(Vwd@1>6vU9^I9gc^K<4 zVs>od%uDJ@Y$3bkbO{cTq8_y5f7%0%C8c$YcpmCtn~9Az*|y^LcWE6n)2XK3$rd#H zm037!+Lza9VBB=_Yh#2DHsm(R(BkkOi1g}hndu`|3)dOSowz29=_m`uZH_9Nfx-fX zQLf+rC}3Jogg%#MiH55A@6wV z;Eam+!ibPCn$~|gC+v~Q% zxp3}q@uLaP0L~5Wu*bOJk^KxaDq;f^8jf-rhLWDck*QUC#6u->$*wHWfF@|Nx4M8= zP%7|DW&ibv40htaG0C{bCIMDCfpjdvfQ4P16cRP2PA`lZQQr3vd-!dIlYEN07H3c@ z;k?0sv}wW8Js)^pGb*wc?z0be4zjGVmO7hZR2Mr9u5&3H(O@;Mf*9`N_wTy-y?3UG zmDjb(eM9r{e);5i?fLENP1NncdITp*$QbB4=)07@!AZhNMP0S6gSe{>rbR<+t5noc zc`(Bg1LM6)WmO^gg?XEE-bS3%Dn{UiTd9YL^K!Vx!d*mT&7ZLOz{1-3L|E{$;DsFX zyR!~@jc?VwOz91s8dJ9^7v^6teT*nWwhR=NYJR=))aK$LSZur+)A{Yo-F-)6dhOkF%H^k#inc5$_n&%+c_I zHGckJ!D^%y9z4s6teg<{@(uP$0O2colmQ@mxZb}S)*Acc-eM~$Lpib5X1||tZRc$0 z<{zxRRR->l}D>H80ct7%u`Vv8f%x9&&ySwGlraabiMqkcv}$i)idS(J<2a( z>&zmsix){b!bq{@IFTAw*op;1%4w84Mzjg@*PL0<^}uso?wyfg9MM4!vS%yF(W-LR zAmbJ*C*E!cch)A@*5&fz8;orWblS!$xS#NpmDP!Q*p(=~h7s8yJuIR=uu9pFir_(T zR%lR~x$X02IXE6e^_+y-=*APm1T$o_nA^TE)l1|yqNVN36&4CJy+!QdRm{>5nF4w= z3gk90@}{xh|Ml0uX{7M)@kac0i=1-h>uMM-WQ+-GCBVB?HdX^`I`4c7XsH6VUviNO z{XrDO_lb^TIzBw5z5np|$nK5i^q)y%;Lh1`UFBG@z6~@*ov0h7=e2r94@v>MjT=S1`* z!C&AnPxf|s``$qrWg>ScWo~H=R4#qrzE(T54$^O}_E=?tUyfD#w>xz%qTHx-xiopO z>k8P#4b*0vCZn6y{K~gZWNcA9bBpPFE>1#Cx9DPSv;XW z@aHBGIalB4uyX}ZC-vDQJX?_krx;mzIOJrnnf!|jmgVxt09AG~*?g_A_sn`}G-%>t z9-Hup{_V>(u<~EW^*XNCalMY~bzJ|L&aQ%knMNM=~o&NQRxR>E- zapPYinh}_mg9US@!5p-K?@RmD^tjK&3<;CgPnLu#7IM-fi`C>TuJ}ZZjd+M9_K7~b z!p8M5N@YMKnAeZsJH1=35Df!r95Vx(+oi^s5o>45h!)eMON|GPX2hnFk&&93IJEX) z(aRt9=#%B$=E#82-6d%b_GTaNMoj0-D&NJ;gWi+&iOvkBKh}6MLk(TauVP;~i$B2}XSb>?z zRvCLtFN3gfDr*p$KCxzBe#7T-DBqvR+~`p~dRAQTpvBml*sXdNQ)3;6Lh^$#Fd-`w zaZH6>_Prr>t&w9JJYJptvVq5b@punJw9HxuZ=-ngf(^Xx?q%<$XQVA$Xttri9sUqJ zM$LZzql$mxDRqQck*_cazaEjf^4?GFkZgYh;2{&aAtBMwpg~(u zRMJfykU#F-OG$fLm&f|?2U8}l3&>|zKRJzwaz{rL19Q-w< zM12hP#(UioT5aI_>Hy|iuwc_;U;xKg@+UDTFCuw_eJaYB4dMl$%3-Zbsd`xJTKVt=Yh#vnQ0vm~Y@*in(0QTOBXb_o>e@NZ0i3k1 zMfD=BM;f__0rtgu( zj#^z~pK8BQ>k*N0Q0rRDJg80mp!RMtBBgwUL)w_a#zorr&>@GcvBoxDTGzTr8^=eo z`h{~HB?zF6)2{k}))QjRaX^cNu>)Gyz1js@kJzvS+DJm8*+Cmgs_O!6WU=-GTGxH8 z1KQ9sF3`r!XzhSDF3!{gT3**5zusRuC?B4gyTAFbhzQTzx{#vMaLTjK#Y+)F|N}e%02(QZ^W-E0!g)Yjk=!snfWW^aT zeq~Xlz?x)0&E^v)HXBwX%z@RR)r9o0u}fU9PiAOuM1lhHg~5m+grzB>BRBwrDh5* z;pWpx6jWzf+=;r5rn8vqYT-8@i_qS|hQ{(;GRcG#>PAb(>Mi}!`?GuQT}EV%>zZt~ z_Qok#&eww1^|FC=d8>Muo;q)x-ah$tWZq|6D@9#rxZ53^dUa5H= zZ7l|8GYWLIv_6wPfDHEpd*Zoo*FvGH&Q)p@CVl;G7_juml=%27ozjp9D2>wC#vv9s z`%Cw%-5Hpj9mI7DTNpm7Kvzv?Wsb!i5qd9AJ=e+};d`jjqx5yP*xx)b0*c=3g7HSW zo*>GObW!f}Qe}jjh5=CDe874%rmQAci(S3rV7BIG8V4LLH?CpMY1r8A8M*bEm)wl% zEe$3k8n$@%pU|e*7Nc&Oeo-0ef-#-6E(iC`8AZ)~u)Brl4mF+GsKP1IUzA)!MLPOD z*jmzGROm9(kuXqOW^|2=+CvC3SO!{j#hm8o-P-eplU7XXc#c)7pZ{iQLB^J4N7Kzm zl0Gd=2S%XtZWDSg$t-1yS+TjZVO676*gNG;jG6wAUuK4)QrJVwTRZO9gAruD;2AV8 z3#rJEk)JU;vyPr}7}C7)ZX)As9f!G)wT5q4##=zsi~09GsJWuxq~FDX6-fnu)=Tx7 zCOIQkPH9dG&?IF$6= za;%5GDIfYi5oFQUvM&1iHmw(ZJtNme-@T>_ts1Y88@?K6cDK-W!*?fG(;L1TnfAb! zX79k47UP2NPV@GHuf)sofv;@b-SG7{PkX^v53T{&iDJuBb% zb*C$@7F90%?gY^{e)nU_+J#?(V!D-+tq+rNZ zSx9ysnn{2j9c=H0N2FkizQ5hIcDkc|!Cbdv>7>kvOjcZspgd8xd=^?PovNR?M~q%} z?EP`=xqa&)X*4r3CtDOB(+PA8y349*Wo-0_h@mKJ?39H=P5YdhHn7#J#kPHGKr1X- zpZL9=n%g=TQCOs7bCnz68Xw+`9XvfEu*ppyh`@ru7U7}=16akBI&+b2VcCuEnrRw3 z&2DXWG&iEpSBp_QM|j*y%+V8=WePrY(ckd=))+56Bn=b;ZNf5D8#rD%yrm%>4V-}q zL1T)Y_k@8xnz0Rj&+-*83X*Otrr1J@UhpdLks?Xk%G2zKIQ(GhF+zbo8fLf$mKME_ z7LiGd)p>Ddp{XC1_w~oG7x@qpM>E^j2wBFhXPAI!w5# zg|a>rEv(@z8b`F_KD4w~i^3Ky=8!Yuu)Iv8ROGT5DnXgdeR=67nO7N^4b^6pM(&`; zDujY$xhf=8qiIr;>&k2F@(l$i)Vf-@TL(s1r3L-%7zbS#LFpVDn%9BuU2uU{PvbBu z?)+$tb)3ZK@ue=7Qw$z(7gqilL5O3Ek`_s4$NwnumG4=?Y9 zOkN~jP1kw#>Z?T+7UQ(*TD3f4|s%G+>to{ogaU_B4gz3;^wxr zjySt=tYcw@NSf|;*E+mb?pn8JE92Edn{Wi&1gV^3q@e}3_A1hMbCD*uYzZxDE&O?c z_*F@{LW@w&%~IT~Ir8~KATWi89nBQ(SA#@Y_J`}+^8ZLgyJsiTBX`70uGr&&b$+C=xr+Gpz# z@mp7WI1<;z%fr^b674Ih=Z`-;BHm+1=8m}5-7>V7y{(&$qtRpR|J^O-q;@}1M;OJJ zGbrMbYKVj%*jl!eaIIebFWt&wkBsz%9<@PFLyw}+tLLhg2kJiD7K<8fgbrurpE2su z=z#5fS{Rgukjd_BtiEqsJ8S=m$i8-nVB02?VV`x4qcKA3|6;%Daqh@*VE&!r#S<)A zqC`>WF<>~bqq#q@BCFU^dp7n?(9uKj>4!~`tm1RUsBoxF+~{@UxJQeKFPa(_9gM5O zMe}?;@SL4dgT*CJz65YpI5~+$^Sg|e*75r4Z@F-YNB6qgjTwniA1gf5&J&7Y2gFb0 zprjY8cX*EKfR_>Lg$1#i1v%MQ&f&}FYIVr#Zal-g$-+8uMpF%JfLdB!+OT0L3c)XT zY%=&^H^c^?0?q}dSP@+`Vo6+UW;Iu6q`=DA0VkN`(&^%5+|UG;I41E;O82$K;8?#q{A5xX~@$&|>BVpH$F< zhCE;|S<7juf)!oRapo+zayjF8%sa9LJ*VHbfgN75=t=#?*~RR!;#xHlCm>x6U2pWl z3{$$`;=w?ruqeBP6U4&R3Tql!W--Uc z1IGVFfh-bW<2i-v3)8kb`TPEc`fS9lFz4K92q}-ZUXB>9`?&%!UN6c7 zm6|&SEkQ?k)5u^CfL~de!5|kOa|N$$3a3gmmqj)%m=E0WY=jqz^7+OcB2BdY=@SbQ(8xO1Z#oj|r-euq0*>I1iF+>w@1 zkUbYmIVJ@^F-cVNjyl0g#b*o8H`YMqoo7wBiVV*Oa_m~)`0KE&BnS&S&kJRgOi#qygi9E!9h8_npj%4J+>ptCMY*guWuldiD=vyY z$9z+!YGLA!JYlj9j8|l&`qk(JR^;QT$>;ayBQd>$!8;hdgTXr(eBQy}PY(t^-nrnN z3*NcloeSQ%;GGNpMRUR5Ut%s?^ppa7$_Um=J50tdFt4aWZmD1#W1q z+*5($KDOp}JjlR!GPg`NEUfV6((b9iaV>BPQ-NEAvx-jzj@t#Nd@49Grh?PrQvpsu z0DUTmJMMrgcSNJ*SP))z%KQdAsLC-GxJ74utmxSSC17%X;quPj6LUcry3)eHZP}0G z`i*fy)XTAAQjz&!5P{@@7!2a0CRN{HXsJ7VFgP&=gKfcJfXB$z(E}HXTMPzqYuU2i zy)rg5Z%^TM-Gc%nq4Ti)xeTaPaU=S9opBxMv z+hp5RcyTFYJtl*=l>>`U2H|Jl!au%@nhF{zzJ2y%#fl9+#3us;^RowoNK5>$4Z&># z+nMIQ17a?4i{^kdut5joASceb;ItU8P!3FkrNflT<;~hB$AWN>j4N52m19C}xuc9v zjs-Z7z0LID62=0zjO>>eTrxqu4|zb01*gTwf;d3RJr=~3wH{+ZxLw*k7N9c9E&9=v z>M<6ejrGThO5N2_Y8U4W%mtA?DXRQ(Pp>@}{N8K7eSQDyYl)5J79R9kdsYLUD+Y!0 z>-v4=^pGazz`2w_P`LKCS$9+ zv~ZW(0lbBf^P|@s)utSD;*cuv#~n)r%xy{k)Mi8>4?QA`Cl3JY3FUJ<$}R~XxQ9^iqb z+~E}-Y_aXc@i^wA$8XPvru(YiSM|QC_f@^G>is{c-XE{%eMRppdSB7|irzmadjI}v z*F}+)n%6DURmQD(+qH7%;v=p|2R1(8Y6OnUtcU1zi||oh^g3>0_lr30a~yBxmSOr> zF`M3^w_Pg@2mFNSbxXZqanT!i;0;~$o*1I{w7BRET!3Bl#vPNytLfF;n%AL`%l&B_ ztCSmK10=~_(a_kJ42!TdD&P#$JT-5uPsz&d4Hvkg4cy0#afDWTtbmGx=8Z4lfzZ71 zl__ZkB3md~T=Sk7bhRzeym$+$EQObo7@9W%MWN>YhF5KC+z1nC9IT5BsgD(M)yD~C zVh1#DWZ_IN3bL}ICzc4dR{>%L%^SHsNyMRf-4eo*n%D8@mzmSL=rw5cL^%8*;pq9^r3^CAcBv0_VJ&keIf@kTPuTkuA< z*;c&2ciL}X-~U>BWR+H-nrzX2w`Cwl`}#ur--f1Xxu}Ah=U79(_XqDauohzvz?Ze< z18Te$ixri=nasf8p5<$=+;J%$s0{457(ELtU?&d_cgT{Bc3XbJV%$?=iwbC^)PSiB z>7;kbe6JJhzIq~Jh zKh23hUP}DeQR12shb<4r$%xBhW+x*q%apzuaZH3G8FAt0n41xoB}yh4abej6=&;v$ z65_IqVviNZcm^Tby6Uo%5SOLM*o3%nC2a>G9+-r9Sb`7@sd^Kl-%;yHh|7z4mCcCm zWina=?pjYqT$aY(kSn}rBqe%W#@v+Xf0KPkiEimPBSzfn<~zqWPeybX`h2VyMK&XP zAp1#({!KPEA^KO7AcGJOOhUXZB*b_N-GmrX(vuMVQd)w}7S=H~-NpN#eCXe$@>ozs z9tRXT>CjWK_DzSLq9mT6L&tTVbhs?NCNCJ%x)0rSxUgEb8Vzh(Pd@ZpMK>S1oBWWV zJO8e-`4KyGJ{DMSn-3$D?c_s4I^TTgNy`(M<B%*-KUNaE|R{b>-f8koa z6PLJ!ycOsBNsAw8@1SW|ddZDI?Z87Dl~##I&tdF}PvxP=pWz9-#*1-%g@&nqXW(Vx z)0>B7d{*zoEgvZ|t$?(Mz5R*9Fc~*0yrRup?uP9wVPQO`n`KbLt9iz*8K|br!UIiOr%z)h>@Q zl#HDl4$&c!VeR4JTX^T-TaXr)RQn1VJT@GET~lB|qkv-fPCH>=v9mUdyCbDQ5h;^X-ZKO~g-H)-07wm>bab8ngyyFcKp zhqaC+Z{AaWwJiIQBi!oMS=~~gW$%?k2uX9hUO1~br`hqy;a8GjdVhQY6m>ho73XgD z{g*t5(BF#st*GCM`mLzHzZLbrUr~R&1@&7{zXkPMP`?HB|Ae6adn_0sGVy^CN4fZ% zo67Qevp`4pUo3t?wHNVB$`C6-yq&8v#T2zFn^lETU%Wa>8H4fMgjxlY({*-7Y!-M- zl|1u*V0Bm7H-{EGH|@AL@j!a<#g+aG>WBit=&)Dgp_idzoa4Sx>}iDuP07;9LLQgV zH3xSHoI6ZED;$Fjfne2ncOZPO((A84a!$IqJu4W;P!NcYxfr+hqLJX$>=(3Ha7($dZ5LfZU*-%b31dD`sV0YqKwL>++Aq5LV(Sq)} zYhjUutg!vSruWNbnGxT|<-f3;^88>XdvBN5&zl90Lp};G%O(dCn{wwx+4mvbN6Y%~ z%8u2hw(8s$raFOvPJ*`jv=8rasuqq$DGvn^^i){>^@q?5-; z{zZ#1)!QGq_-Q>^UNL;e>+{>6zcKhwEsc6^Gl*fqqXeIfrrQ zE<3Sh!}Mq!`3LLJ!?j^=L}UqsC3SU=ik8D3@}JNNabPR`fjwvN%YusM4x4Z@X8}nw zQHwSGJL($s&2YtuTn-uwaboTl9b;#O5s!0a{jC{~{#_SV4Rg-iJVr}g)tY?Q@wZ>C zIPwVUi-uXpBaUoEeFNQ*orS8vE$7vFAh?x%RWoSGrQuf@)x{V!y#%O$Rmig{>a882 zGL+Y3QfJvlA+<%!;R_B=H6E=%tQ?^18*xta!88-*Fo#He{cqZRT26v0yv7;ji~FhE zhpWcw*5v6;XZ52+J&SglrGQa1N^K9^E-`v6W1T@?72O>C-Ia42M=6Z1jP$0STUj(S z$8O0LYh81kjS%&dZw;or^N~klfCvY!hZq<$r{kxXLWYR8h@z@4+e`t}v(VQOz?6C` zNx{?>)1J8Xqh)r6O~iv|L58tt{Nh!_nz2h@Pgz=T`Scjs{i*^C?t^av`{uE``oCE! z?4ket64+A?eTt)PiB48Za&R}Vv3IWMH9Mnf=4boc5T1$&$MO*YimR$mNpGB}nw;FA z^P!^NYb#Ti-8q0Nx;w7l30%mC%K5vFNz<8+9Uo1Ebgql58{j+6874Az~ z?0;e5$FCE<74us$zZLWUv10ys3+A_AehcQeV15hcw_yHH3FeRgcD;-PhHj&(kh~MVk`_i!HJ2n zB3cQDygV@9a)(K{!8rJYWMkoat91^1hQ9s1Cu839G2g}TL6{~X5k@$qq<|(@)~H?z_#<+vo0FQs0BPL z+!d!bv>r5~$adEbyiKHL51cVLts3!Y!nRf>k0Pgm!*J@t=>a^q@R;}?<6gNy;s-70 z0N{paNMH=+7miL;Pu?tJ)4`dHXm?arDO6&>y^K5*E2u$jXANRLwE07qSkQHWJhL6~ z;gMzpSQWRKni=)587K_2gB96|$$oiwCJQ?#H7v=%ZJh#{OM7BGApLdfj0+k%u%l2K zemx6B*^5E}%LiWkMigULNpYYwjOTj3p;&Jxr=VieMcE1AxCplkR?`>kRWaNmVp(-B z>%w>eG7@84IGfSe%>#2Wv(Xg$y@gX>qliY{3#CIwaHSX3dBaV*;U#+#E>$n?aBWu3>YSv@b#)Q4qY%p-wg5<3!!NSgj{wPkwPbq&*~ zG9A5jbrV8_^fBQP5Gmj?nJ8Eao|1tpgB#Z&E4}dPm18Lskldk)hd9Ua4HwhRd5Q+a z3=0JgSSIEaFnEVdhTz+Z)9oypf^rH=XFob?Bp`)-!8o=HV?m=Fyd3cO+qd-)zFIJM zI~>tloo+e*fEFzgY9}vf(;GV7GnJa`uTphx)y5b1lJnY8JmMFr4~#v5JJNx#8V5^Q7E2YcK-hy^76wvx!y}LX_w1EDw0X3t-9U zee#Tb+gBE>a{I-yF(G(UwRuAX0z-3fSozMIH}x>+fsx9KxNs58l({psoNBl@=3#Z} zM`CnYML_JrSb?4G=7E{Ja~=wp{N&Qhu3B<-(>tviUkAL@e8AdfE}-QWnP=^NsvuVk z9QA4Glx}tZKvZmoVy6a#0r?0^hcF22Xtco1gvq;x8ODhdmc=~9q{X!?1QCBRt0pn> zo-h^|xWH}>!F}$x4e+G1`zOqP2sdgxNEsb^pqK+YrQ2d0<=>OA#5$(x1Rt3t7W-(< z8is}Xdtvrjy|$#h#2v<~7W3BgRg1uNi2ydsA_!rNguCQnSgeS`@IS=5;aG0tr_YhJe;IC-oVa(`;#=P#z=4#};xb zBn(?6@ZArJ4RN&46*AxW3r{qM8M_{=NTuDrJAnJp8)*_5>XnGol5)|HDNboqcwq{& z8vQ2393k<}%mS_b92VzYNz0OA_1v@*PWMPTQl8QyP3E=HG`|y1D>~&f61D0$-VGQt z&iOpgp`z*oYRgw@Jb|Scwksti`QlUeCBU>LA}jd@-rOcCzhP6g>h!7B8s@Qb)6-;u zR83mkvp$$l@SgqM!8nZd3>?YxqLF2_o6qayGCeoYI3y$XTPox(ko*1$o+&|2pmtsl z7T`aBWEWbBSL`gW{Gun_2}b_PmDv>Iy(H&)l$GwmZB>2doIEQ>IUW`((YCFk@E9_N zd-ex29=LybSBR_a+3L3^NN`sV;GwB<^4(C{6t!0S;+lq*Pu!IzPr^6YPBX@N+G6|d zqKs2`=*uCO5jY9Zf7n}Ug2~G8mTKww|8Fd|Ds>N$4Y^qKV)~uih z?#kNtiJ=g?|1Lq-aQ252&&sb{IobY;YYuMN6}fh<_|ylkBIt3gI`8aNJJUpGKF@;{ zhZgO#<1*mbgW*E%HKF;6&LHa=S*9$F+k2{F_ho9`K5rUS8yF%lz^rBX`~%c?Z{nsXL{DXqVb0ma{kZm5vYD zMEmc>q~?waUETqLw?#CqFQt|X!ZjyNRuRQ;rFX_ma9oWeb%&Lc3`QTNwg+z_P8`w< zSoc}V(qIvBMW%-UR=;vR9&ja}`phQ~|F(bZIF1o-I67LqguO}@53HCun_L4FA5hU* z83%0b&>fl>K86{BTOIA_#pnuPW!wZ%m6Unhz!AS9R4qK!E+uqLIFllC0w(D|V zO1qzx{^==yC4<&fJ(CJi@urx)v$gT}l6s7i2QR(l{!@z_Wx8l?1}dDW+h` zYtcgDQZ-w~N^$Gjkw;o85C}}m)Sy_C?7+LkgGH#37Vqvrq*E(P2jwt%zA01uh#F`Ye_Es__wPSy39teuhz9bvEc8&dokX%TUZ<1Lw;p`8-co zt>4$_&fav3&uge4_YD*I#^B~HW@b_osB!eM_ ziIduLapD{x(LS%+1I~W+`oTfp_uYXnD|VBr8Cy+&mMs)~RD=5Y!ur#ZJ(;YfgX2VO z7202i4avw2rR&J)We3VvsLK>NJFc|pzM~CAjdNzxxfo5xE#s~{QzK9P|E$y;QobvY zP4P|r*-1x898Qr&39QuNlh)jjqGwXGpdw_xdYDCw?Hyi6M!!_^mh>6)Y%k^$cy|5V zad!0^@C~H5E#Xl9urkArnWqCzW%86mPwYL-wB#O0Q;K%v%s?FK!JIe0ILf?F*latT zv)QlzW5wkB^$)uK5{KE|d0h0hl1B2bd|rhjlb!9KE3gkkFGpW!GJ;v-e|Ch2C#5mzDyysO${ljAXh`LY(UA6i;;uA$6u!Y$o#kWFm?-z+6VK4aCw4TzY0B12 zH5lDh4t5;h`qq!hDF?Wo{gwyBDNcL~2qW3fj~%7xov9-PKHUQ=$O@-oRMm_$V$9__ zuAThmP^wr%)PJ89vF6u5c=U@y3R#kq8J&#k<6U8EjQo=9X3?;etQj`p+hYTLZ!ot=7toci>`HQ!j%dQlaEsL+tSmO$s%|~4^eMwkLC%_|-Z~s96$Q=}^IvbQ8_Hk& z>-TJ^g$3u=`IY}&dBq;IU+aIaJn|2?3m1fxKX8B54*+~XgTEB>eASPYc>j-{;D7N%>JrBaO2ot^<$-bG)?sb=Tvpo|KLac zpOsWU->}9je}1Enul{k0bbQu-&{e6#{TTUr*8e2%3?qDtA56^zv6#FZSnt>42yqh z!m%NMJ)i+YhYE!1|Ni~QfBqP&{OP>nz<(zOz{1LD;a9F?m&Hn<#upv)5@!5X)sSk8 zqhh6JLwa|N;~kurfFlXWBDwvOu7o?_rcVdn<90yd zvqjLI6=Q)4wc7S#BXA4h&4B=Tbd~ia+qx6sdx&ZO{;uGe>C0^|ROK+e>T?LhdkOCx zLRLCeg)4^IeK-Y!r)jK!TLBLdr#ZkkX`s?jl8IQA1smC8to`YipB=ofpC@~MFjIUk zD6{n)(m>aOjC6??9Q>t)<6##s0qhTx~RjqDtHL*53dIiZ@Dh7UOG!rID$8fUv2Bjt`3EcN3$l7{mrGY{E z>(UuFWeGe;l+ORd1X1=t6b`Mx+XLvFqLkbwicYWh8;bQAS%t_LZ&7w8s}bQDg0<-j z?PW~9B4p_(oGBb3%FKudTa06Wb~F`HzM(7j=LomBl!@@YNS&+*GMYhlesHhHPfR=Y zbM!>y5Q)>Oq-?kD+3umr)=H=OEwp5|2brF(+6tC#xn)*_BHjk8Diav87=wvqB%6Nk z|E0Uik>%KNpg*V31-^p&avsI^o}9VAF}Z+M>?e)Y0W`@X0c0YPYotf0la|d&N3Y#z zVu+Z&Wo=uxA3l{RdJ?B0*jd5FbHqxoeXw#oKoO-TPsrw>yyF|qOgHB#8WOYASq~n1 z22H=K4-dC{@in& z6N2qJCp_mI&L!>Rm}d))$+%jc_c6!wN`#EQmj3SX3}Vk(hV)_?X5jA{+ge7lKF2tC z4oi3ienXmQuE}JN>aP~H6?oS2*hcte)OGPC1~nt>VkHeVtM$XgtA8z}+qz&1bcP}XOvCM#!$L0>6T&@-DxI4O`?G&F})yX*G z6_;cbGv%#YwvzFhxz5-i&lQ=^+S8<%7rKo>n!0pb#;j>UzmSA4JGK>Rrz(KmLb29j zTcpdG!6OtrYg-_0%_mSp`embwB=3DW|wNNk*lFZR&B8E$kFV_hRG270!{;mVCo_OEF$O-%_NVm*Kxv z6`+G{2JY5|@RVf!;{OwB+uCvSi;tak1Fk=wB97tyLsK=Shd%dK=SI_d}q7}rY*LwvD&?K=Vu4CA)sYGDydDyLh5LWw} zhuN6r!xJ+h?Cz<^91Q%-9yRIDK$#9tMO)WcS~o0HSTO99oS9-hO02m?6D1+@{Z2q7 z)cQLk!CsH9Y)KgaT$O=c@QQ|~4fj6RrNk-p*sNRJ$l?Cd0eB<|rM|wqI zjiG7D?9m`CM|sPbXO!1M#r%#tCHa=mJXCVT@hrfMvG=u~6Giq1+>;N~c!PlA2(6L? z$=BX_odW2ghgg+7fiDjQrEi3ynz_fV=4?^N&P|oVLQxfCarOMz+`)I&cLn3n<}+=m z&XebrSx!EWj8l3}pm9k?>{}|79;Cj$ct>`a?eaE!DFS2(_~(Nu3BGT^DeP0zAstH$ z%u6LFwWDaihV4BjIu&X+HTFlarOGx3>iX{UJvaY z@@>}BHH^sv8I)>N)7IGlV|Vq#zS<$omT2BEUmqF@Zg{X9;gOp1IDB<`74Ro;HK# z*+TYsJYVu`34|21gjZHauIz(Pw~7NAYTy87tgP89C9;*z{n(B}8Rc4WDqx37!-Jw7 z4bNZH4x&C*nX}YRd&-X8U8%+ZUef(v1K@tUo@2bB=gXcNx-P94d~8cd@VmouYq*v2 zZqY^5xM0`u)`ec1`dufA+*ZxVtisLCm<$X;6qI&sP3vcDgu$5wE7dglv1 z7Qqa7IkR-w&Kg9?GY;XDxW>$|gRh5R2hUakqtg=FjSq22Y*ra;KW92gjieHsO_c%L z9W5BULAP8C&K(GUSsyEQgoqDp6(Yd6o=MyRPZZ8#sljwPfb+?n4Z64a4aEiCS`-Lg zwY{RI04K5a*jcltcN|YmUQraUY-!GK^uX9CaVY~oyYK3f&Bepp@EP4ySaxi9s*Me* z6}4hlqvAHY_s`ENSu1kaqGoz_w)2e6rFlTi|8$*Ss-W3T zX9gh%ue-39+Rw4cUORRij|K!Bpk$0d#x@7T?rFrOR6y|T6eTEhO^QrBDyw5mVcX9h zy3#a1ATUL#0avrwVXrhE+XdAm0?!IWT2Ps0Z`dkH*ma!(Nz-R$)>>-<74In4f~_6{ zyIFp>9@&E&WAVby-L&3SwcT)`W~}*q!+_sSA`;iwu|(Nvp5|cgX0v5~p}ZBNbPda6 z0;ky?!zHu&ctDAem2(nuxsA2!=4rd#hzfX zGyTaNvt@UU!Bbm9o3<4HBZay z!9ylG(vB!Zy#N?mC*!q+wjmr5h-tG`7eEfV){I8P?s6#Kbzl3byZ!&%HL};E!|U0k zh-_P|1UyH_9f9_?qP11!K?eEy!u%P5-OAPUy>TOU3a`&CcIrXeBQoS! z1D@RCrdbPwdFE7nijc+AM-&lbd#2l_=%*ZI%j#)VY_H87*gHR0?3LpLd;{q%)An%w z;OQguGH(Z4z~n8PcdXT;&{TRTnv}dk69etx7R-V4wnyq`M@a1mXD^}F|L{a{e*ICd zUn*fXcit9VElEWl&vg~Zr4BU$#xij;h&V1&R+JCH!;h*Y#}%8(3WF6R#B2mJ%mBKSb)hh1Or!y0|x;D=|&R|x(=b@PIq_G~xy2fnoXwE%KswHN#Y{xom! z!!v4q!4G@)`htJp#be#zho{C^D)7VBNOi#f*bn$GkHF6pW_!`kZ`5|fAGeU)3-}L8 z>KFYm6JPnFAMa$j9Pkg8PkEs|s2V@S13aE#&x&H89q=<1v)KyG*9r3hKR*!)KEOX%g7XDGvzYb^ZDz5q z8~l9eSl0@C>d;4b7VFM8_!-OkV*d$sVEM5k6cb6ls$)vc=%N5D3_Fi7-J#q+++_*2G~|K`Mn_f zl8omPH5mT!U{8(5jc_F8(An(11mfLg50@$3xvav~OpKsK3XHeOoRUKUZz`M|0bWT% zDVdT?i<4VsX7z-v_0FjG3cfe?vwB`C^Xuc^4}2fD#$6Kd1by-6^5A*hABw-6le~H; zx$tYIwT?RYZBzafXH4^q;4c@E_>QLxc4d@c_c*~NPQvVv2H=gTJm9H0R%Y3gTnxX( z?;y=rsa!8IjQyT$&bbk_Y==E z1(`nJ(&vdfOzdxF7)8!n!HpWH4(!}y6Yay~27q+F{?AF;V!wxAuuyP*SI@#zt1e_+ zX)IGKT*A6-{shw`O~p}*9ujRO(-k0&Ag^Q&i|+7na^i@@UV+V_t&eKfpgNlp;e?a( zBI!}w7ERJuH=s+gQqGo@LnEWWccD3Qu0hjGv*GBs7W zf0;yIDJ?6NgSlsPi~iGz?fCUs#Z(X5o%;3GyWbyw{pZ7Lqn`Htb9u}YjErZ_{_(44 zxA^t|X_L}Fy$y*1%3b$5!n)=UV1an^<3BEzFkHQTl2f~#%j8nw%P-cnesmwPT;egs zx4PQq8Tv-b(MD5t$rj%%oQ&`|o1@JU3VS@NsM7m>*VkKoB52)J=@qkZRR0jKhPD~$ zS5NcF_U)TWk47-Zd0<8MI)3w9yU?2c$al~A*4p*%ISG4tgEP+M(#hvp?4yV{<^vhn z%OkEm3Xjuy+h-GYfHMB$Ea_^xo=YSC(`tY=XhqYr)U1YK^?Tj_r*Z~ho%3gpH8EOV6p|HED|G@uz1G1-1 zCH;q$)yAHHV~!`s>MU3)kCrFSI@p~tsAlGY(1c) z`m(~=B5miqz$??JLght}M%P&5Tff~i$@|@-BOXo8LRhA4W83;@P3>jHELveR!k9|T z+7j<-LsQoq-;zi!r!;%?vPZYrAsYN3w%XAHZX3^Lq?$&_h+ATl)+{p?nBfhbM$4vPxJiHR4P69aZVD}MlRK3DV7hmPes$(oXBa0bS z79aNPiRM*HJTigo`RdTUW>;Oe3st=coNjKE<=gv(!^}w53I}qJrY84jZ56g@N>b0z zcHZt&bh_P!?4YpljNlhe0jvlmm@)E@W%K0{pqIyp7dT-)VkD$A{KOO|3rfRF1m=U6 ztASK!IqOxutIpUa+EAXLc}X2f4d{qXOy4&kF;8(P7gOlT;gv}mtD)ymz3u8PuN}+t zux*TbH2Br_H+7$(=qzj`*-FLt?J3vL-$^HSZnu7kW70Ih@ zBUjBDh6lOesn!p6!S1;o@Ve|kuk8u=;t4Gs_=25Fy5Wnr@X8i^!3^wiY{DyMzrhQR z-qOp08r|!^l~dJj@T!EneuEc1b7PkW?qHSM({AkICEA5<4CJtbU6ow+hAu|3)B{~L zhq4Uly6k|ixY%np4{-6!c5rK7VB;1%J#fl#3-*}h;MTS` zZe1S7E!gdu8@FISrQf(!Ndfx7t-9E7pi)(BUAV<69M=lgTo7)@E!2xd-Nr3=O3W$W zfPl<)fa}M!6>wF=Xv`b9s)3N!TU%95vGn3$HA}U%lEPDx__}5$vvtjB*ny}`IpR#v zzsUh%&PN9lyy}d%>wK(lXag6X`wlH2^12ne?$S!%Z?6;T`0x=xQsrG3+&h!al+TNMvp&4{gL@Muy?eyH zcPcmcCQ533P;V~rkOuW;1rB{<>)0tfs5igiIxncVLv#Jy)SGrcMEmP4K8hJq`65@A zqxyGvGc?Uezj~U_wQt{4dbvk%9wNZ+o@*BxbCh2_=UZ#nyXWNV-(#hvp?4k%b zrbDwIkGS^uF$J@Tr2K@Z0KWSHlK)~sg+%n9`uWfQe%ZrwSkLX{9JWgOaZY_X=jWck zKYmJ1o`Yr%Pv|6ghSx<1CCFZ<4GVx_mz+N@p#)D0lvz9GEraY##}G>J%z7m+`4kV*(AeAv+QOW@QCsS%Ybb@ z_JU==u5H{b1D^l0U+qYe2eJHL;Qm z8KWqxBC`mR4+JwowtmrNU{OzTbQ!?9eeC@yLGGiUUYtmp)ow81TgDXKwuM)2JKl|k zdmE>1sR?ZK{T^XhWZM+5zZkY{X^tLxjmTbWmv3pI6mH)#Mx}4(GTcks)^*_Uu8Rrt z!VE2M^DOVJUZ#)V8)-NvOQo~L142M*&}%rY*_0Fz(FWlOj;ZR0Yg z)v=Asuow5TC7i$3)3`Jg;~0_J*v5q#i#5ZzP=#}D<1*}h!!j-uZLOzqS*hn>!nlkn zt#9KptX;No$@JII_AMhcoXvd;C+f}@u7nS(`SdNE2lVS20g2eQr9p4oLRE(8yKN~f zWo+Bh%1gX$%a{t;UbbaeRJUy{ylv}X+_o@-Uao}|B%iK@J=D5g%P`y0ur1u2JWt!g zU6Z+O3v;&ZTGs|H*D|JuOSx+q9(UvY&iQS8vs>V=*J}?g z4D#5OUAp6C^mzKZ$J>uLMZeJ^ImJF0#5kD8!I-nwAiSVJ*!csu@YZQ-1FK(I^_%(5 zRs0N^6+-b5?3&HK2{X>pV3F(rgW`ZCO|7DUTV+4c{jP#xM_}*MQhY>4 z@(go^%y)=k8N(xR{mC@nMfP9EwmJ1jK)x^|YPyfeP)46&I8I8e-drdiFP^FnD*+sn zOBN|NoLm?;V|TBqkC@8TbQ1($qA@X$Np30+Mo6DNVzoxXuhKwy#(0H@;Ve?~^E9E4 zvk|V?;%p>ykBRfwqOr#&jL^id(iVHve&FuL!HC76o#PBli=T}xfvae6ijjl*s} zKnJ(zX)3fEufH5c4+Zw49GO)fC0iQrfbehVFav6iA|KO7)X}5pd9VB)wyT0~m-q4Z zx34URPXC1Dd}m4N2uJOH;Hb*t%*eu`@`cfdu&()#GvS%*JlMz@6J^=_kz`n+1WLf` z#7Wd%V$BvlUK@*KC}*f^$q0z_B|mx>`W|)Z5-`1X_GiIL*OBJfHZEOMc3o{T%b*Zy zXH`YFGG*QG9^Mjp(C`{`S6)AxAVm7{ohedlX=pXZQ!>h$Fvg!X^v=Qx8-SUQ zn^m9%87tk<$6kc{Kdy?zt>t?_l+r#Ev{0BoJA@gPsw@QGp24d%$T6}2qwI= zam>2&(^0J_O8!P!*q&K~wmBejhVi;|ocVvNF`Sc22(=ltFE6x)8r_I>X>CcCRtD6> z5dxbv9Fm3F1**%}i$5yFn@^Xx%=nUN!fv{Od0^7}#XN3I%gjmfX7^+)t!Xp9j&LWf zL_dKp&A8QCUAPq)&$m{OwefsOg;wOFG# z7Fqe_copu!pGk)IR}eOh;W=@_o+F!4J(bz*tG?eI^0)>`|5E2 zA3EHRSA+X%aQ_Dl?#HXY{qOqQGhwgx_SN40O6~2(ZPc&Z-Tv5IMn?1$t&CHWQ?+gk zWXlK?`XyV2b97)XTUoquID{*soZ1#H!%cMymtn1^Zjm@-3o}H7%a*T^w`^e#7;tFiW_q09Jv;Y@O*ZW}uRLTcm_xRVDIq1;3R<-jt)_WljcehuWmuAK z*)m*)oVsO9ZguOH;neFEF5oPuaOGy%(k)!TAsA3DgJYh;1)|FqF5uR-a0NcDRxroa z!oFKSh3jBKxPrNa3%KhRF5sC@;WDN!p-RkF?Rg6qvZv(~F3+!Qp+f#6-wStbr*IiF zdfUQ<^9vJl;mYVLbqg0xJLN50z}Hteta+Zo1t#8Zu3W%Fw{itO(@W-YWBO>fYW*3* z!o1b*^d|X`u3!%7Dt2$Dp3?P+$sRRJIfd$(u_%+BQ>dPqBWmyPnc)s^AM(m@EKu`-cKReYP$sm^QQ};B25gxKQVv4n2c{(Z(+gw zn=sQbtKRQV%+JHDW^719=DkX)J!NaYn8#);r-ctq#uTx}T+Wzaju@PzUDqon7ZV&k zV}iLxSiRxW9V3D{X0;DWwOuiFVh#_n@<_6X$J<}5e!7Y2KffIRiTlJk+J0w^;idTS z3a6^53e+}+*D_sd7IT~Z`cteP>TR^;_XUSl)d#hPL5^8Ov~Z-4!YY;~K` z6sI)E`}&3Q)M1BX6Zx@CRBIjcn|Uz^^(z3PBr-L%FOJQ%fzdnxR}$O-ql6p2^J4IeQa+cp5icR98eWk~n8KC-r33Sfld)CM!aP`R^vU z+$Bd0G^g^rC$QxWalrkC zO(-K`F_o$!Q2_;)4hO2tL{2jT{DjrtOyd6r0|W* z1|TbCO=pRKlqh7iv8IUftF0wOO7SE4czxBYHh#`{U}oB8NjGT)oSh! zm}G=9^{T7a9;0*hKt4%H@e>gW;nq{3BP&Z<+GZ&AOk{%5ACYKf_HP3<_@ zQuO`jVXue19`<_J>tU~lz5Y?`_5KTaeWqtkxjg^=h0lK7^Y;%&)1RXOLZ^4$r+We_ z;Te9Tk6Z(c3<~oT-JS+YliAYl1OK<^#c-5@6cq2)QG&_tG>2VGk2E{=IGB!OMN5Fr zP|e?;C0b9J)R{ZHwiQ8m$ho2^lBiznuxnuwOUoTz>~2hBoau8eo$S#M+uK`}JGRHP z<%%_kKc(Xvo%p1UQS}C7C?gjL!KSw~*AOCNyC6LR6KE!jzEL zI^&!Zaa3!$0s_M^bFu~cHjxRfcK_cp7+@+AQ%Q-7iST4L0((Pp6%oa-ggFI}+t#j) zNFzj|r=-B1tH0QNe*q4NiL@v5%52y$DK>hm+@TAzQ&?{*z2MHQHt`4oC$Fhn+DWd^ z!LIfrGfn-rkyi(Vmle|>?IeTpegN7i6B{Uk1*^LiEJ29)+Z|l2pZM#ZCiTW&x3{QI{Pi=Y)&qat(f89M@V9#d*Be~j#B5#g z+x_HnuJ9Bj!V`You2W9V?Vgs&TW zqYzwA>~#+qgV^h(tHj#G-Y9mdC-%k})=unok7&EG*KO~}8+#)PA}g^sq9m&%_PVWY zUD%rSuLt(Vy~n)&_Zp6RV{ZpI9oQS0lpA_`yg&8E-pG66-i5ux}J2H!8^5B=*+u?7*q(-)ZF9ovD?G7?L|GjZFvX4sSYs@1`rVgiWrHZCv1g1Cq{e>OMCU(jvdPz=3KFU_JuQt z^|Yb#q85WYRLF?gdh4exVFpLqi|l42L17fH66q|Oa|Fy1XY4ea2-njw0upjN3Lu>y zK-zX!xT7HXDw+!vnK!`=CM7}J>%A|IM)e#}GnSliC%n|Dl8P32O>p*RnH_ad%FfBk zE&M;2B(Jps2Su@CkbJaZ2WQ8YazlkyDqN4r@Nv`^bFk94N1I{A0>Ui80pJt&&&nNu zUJd@s?wbG{;Q@<4IJ@%F)kwSp%`{G-8)rig4$!gRGAPS!C~L=Ap>6oT>-7l(TKC>_4Ba#M0_f;)>qcy@X+woNHrL(p zJ9BlSzp7F9GBMWrWpfTkds$Jpvev;t<~RCo7^{fNmJkeBUOi3eyP9JxRx3I z$0wrxmEv{)-+IBj7DCH0G%@v-V&+v@FDt6rae|Tv;}dPe!%_x;@^<2MH&nkBTx$GR3D-G2-QcZ{!@hN-#>=> z+9VW@!&C5ic)VY{+k6^S$OyM*l0xALdm5&2#+Ni^4>nmxV?OB#z;+t~$$z6rs>EAg zhudgS;lvyV9I7Uj=1c~$9rkG4upPy4q%^dv@q@4gt>~U&ldXtL=m0{;d?-Xtnhg>8 zmjsAh1~!O6t3W zJcm2f{r+eu;HDp7+D;4{A73-|k*`$mw|R8e=i#|#-I^D0{>Bq98E3ET;O{(<)SA1h zqmur{Q%C=@>#HZExbW*$=eM4_Q(o_d%lb0w_3hB#7J46pLj4^cX>XVQc3UdwDD}7T zVR^}K3q?zE3Hfb-y+q|eDI09QOMknk*Zn*hxk7luRM&1>yY1K!BXq8CiMjQ7bc@BP z@wozNY0=u?j?~|7D+w(1x7$X=PW^4sKsY1yxB1W%K0HEzA2t>E;YkUeCoq|Pe}gYO zXz++)wYN)yn{dn^!s8mGD}s)DdL!s4R+P~$l!;W$0@R@hcTb&%3XgJ3%8kkTvE``3 zqv9Bg6ya{R1yP62afb}8}rLP4Ua z#ND$%7T#!0D&{S?^j(U%5p?d|`Y@guORed{@ctAv^hDtmpRKmg>NS5_)cLLFqF&#nLw@5S^&Y#vdM@f+ zHHYFm&qc;x?gcVlp3D03?zlWx*nX6Z`5Vu^zK~77^Xy$-(NVwkTtR+`hw|uo96gVt z=W+B0K91i1ptsTc^E7&%M$gmec^W-WqvvV#&wCoZ|3NRK_y2>3(ceG3`IFa<7^_Q> zetygyfozATxuTKtpzzc#FP`F3pkBlo=a~0@|Kch7a?Y1CQKpvwVFWTp0NnyPCIFnzh+3H$jZV20J|?y;g#83qE!%c z_uZc_oRhU*_|Cjx0$&F;WfqR`oYXfhP z|Iaym0}Fo8qQ?u*!q;~MgISf;Y6*S=+rZcjEn2}!{+T4RXcu< zD{yP$z~Y!I#dZSswMZogC~@{7SBLe6#BE11IRycmzGns(aICwSr=OoiLvV8KPh4p< zI?(zFxo6t;plFifQ#7)Z11Ap~+w=yrUUmnCLqZapH|6O1bccO|rA67hSCo&wU1vT~ z4+n8YT5*QFDzPg_A#N@A2uK}lh5C(<|Xr=fIDr1sei)k<~hI>7;V)(S2NRNYsT z^-s|HhYIV?Ws4Kl>fQy5HSC}AOfRg&T~Ausm5GawqP)2!?A4OncB0q@Cw}4V!>iY$ zT9UF6qJrC&g?WQ#GRv_zaKxMf{PGuaKP3FxeH1(p_X95@{cykIy~| zJPr@E?ed9!8X5Yo-zm4_OrFE4@;tLB=a~}VBm%n0ampqKR`)S>IZmNzIrGjU=K)1i zB?*+0j8c2Ch~U4XGc6$1z&+?h126Fi%`nsaW*n1OH1Jm(6Ge^rhmMgiIEB18oRT?= zD3i4aj3<<-G^5y`i!)TtJ5WZF5l|;Qr82g3U~-^e}MQMmconIYpsQ^?sTcFchy>lVc(0wuKSAvS1@ z7G{KW`X7ywl1^~o66X|^#dKojy`+3O(X4E((kj@9cnSgQH`IA!B@5oV`D0#ffDnW}lRVKq6 zvr?IdRJI}`bCi)*H_Iz5ezuaHo^!~#N-nYFm{}~X$*9f+j(E6{t-6TrCz=OhxxvLpdnDS3tH6hQ=JzwWr?xRe=1AhuVq+(=N3)3|PT|;8@JmM+i$iu`O-{?# zF?BuXRJ6L)XVX%&`5KS-HtSUKvn_dkdM_fcEEFtnZp7%Rnjuc%e5k8SG_HRaib9G9 zMwMa1E+=0YQZ=h)OzEo|g(9gruApl!Memq)nQ1k>E1hN9bkFAlZQ$Bp?q7$XqTQE8?TIgL1o2x(^Fm_mLv|%#G(#`dmt%OX+`oDSdzL zq|cr7Ke3a(KUdN}zLM@idv2u9jr5n>NcRH%br;efpjAv6M67mP+HPJ{tpHBBos<@E z@2XQmnno!}7md**&RJKp7jdLK{i1P0Atl;`fmIw*z@h8r3#hS&O~a`PrxKmP!r_$LhXDB&KRDg@pgCcnVichP zKdMneroY{8rm52tI_q2GUz^JXe3XK0I977Ri_aYwEIXK=) zNlhG`4+)xWn;A!SHe3!JHZMS_E$IY@CvY46f=3;TqblY(=@P*5_JB|^m3$p>0)&Jl zSDPzoQJ8xJjTpmY#i49=iXk?)+fXWsL-yihXx(qy4iWq$1*r&E{4OjGTZpz3eJH^; zo4ZC8Re0ebEz?b~?2W^RVhwd@FQE@UtcI-p%tUe!6w!3?& zRIgqP{}C_c>lBuKGQJYc?ne~dF25SsTw-}dfz=~T=SmjZN0d_19oIeO^y6x?JMwH^ zf3SJIKYQ1+cm1lp>-~SEb^UmWul)P*_BY6^_R>O5?UG>`?%4DYFNE{;?|*&y{RK@Y zceD)rrU(K2Wy%-3<%VTqOK55MXDq^Ruox`AO}=X;<$d7z3mR!bQT4G)fi-LapG&#p zfRx=SJR7J3rzS4#?$rEOoZ{1mF>GjG$?SoM5)aBFgYJ3EFT5aXya+;5Wmo+|&^^zc zYo4zN$C2@$%~!(W9xn-V$`=_sc=nWSf;?wm2)gHa=o(&Y-_qIr+nes z!Lz%z33AuI5OmM;IBTJP>zEcl>l$88x$K^a@P%WGWB2Qn;%8DFE zPw1U{DK5(AZk;@vU%MbV_LZP}9tz^*!v0he+6VgecTK-g4~mV`JPh{~FBoO(!)#GP z)AAw-==86r1|lDQXi4iU2Tt2~PrRg`l%-$%r+vEzZ@XK04s^q^xwRfEH37Q%Pz86a68xs^hPVGb-h6ftB z;i~Vmv06##Nhy!cF|tygQJuuI%Q#jqIkNl6=NT4WU_Nz-hvODihQT=sJXTan;R#Gb z-YMXois9mAICFFkTNe?13_v^4P3Ew=+HsA|ITod3Ka_;7{Pk#%4d-5#1i2$ zdAF@HJ@&(_I&pO!Ck0E2orpu8T9I)pQRo8FErw8wuGUbA%+kDMvI<~U!?l*9WJJl% zk^MxhDw$AJ=)4M^P}6}zj!_ByC*9?eWa(}K;kj2)8*qo-66@)3ga_Rd?|)z*QxZB= zcXR@dq#r%tBL^Nfo~d5w!vD1|&S(iqS!U+bN3!Hq-7ePdF=>B)OJnBt@JG1w_HXdm zLd9=hQP|gyo}2C0)BI4Nc+4(c-1ZaE)2%oo-H8`t6HypYhQ+U^n~U2yO}AI8j9(^- zPU<_1G!c9eObRTJ<9;b5@rB_yD z?7dc2?2#Y4pBdXT2TyBu@Gs-Ia|el1S)7q7NaB62Mz!W>uG|4{0$~@xkbMkTb*0@IVIb-sxiVm~UHfxGQd+Aql z0z-RdoTDD5`p)JE)b+hf2_vkWa)rKlnpmNYecr93P}RxjEZBQ#c2rPZ)h91q-gR_|Q~A(%e#Iv;|mM`|FLw&iODf+=Ik zyiG7oqdqRd1U%Y!vKIW>HeOS?U_P{UQM#>MrtRtI&U%K-i@O8|7#?t|K2}}Bhmz|S5@X|uvR)CkuqY}`h zA*cKm;bkH%jJ_@Bodw8PAph=1oikvOqD(n@}8M1}|yB0Q& zgFzvgF}J2*w#$k!-|=)$O*?Tl0;Xbd8Z|GM?vTY}U@A#O3pU?08jZfr&|GZ*(r;0Y zPTyYd;Ns*Chm@;@pqvVoy0^jBXny6nbO(D9olrDr6V@QkmLH!AaheE(2vfv$HR90e zj%wiXx#u<8Cwj6|FHMNYv?>nCx0?AhC-I8L^Zir({&KmgnZWFeKoo}P=?I*$F1)1+ z%~(M_T+wq(I?-%*Hg&X47k9Cdv)i7#K~GpWstRN}I$y%cLEutoxE}$bRanu}qSpoh z+SB%EyjPK}z(MH7ow0N`rH&r){I2+#{1h7XTM2`*dli{|>!aj`_8WUAj)UQpgTHmsiS; z=g?qUL?&?0et|5Ao#)Ugvogx!AKR&SPR}7eK^_B%TUK=QZ23}eot}FSUUnua0FN1K zuh2F!w2ffRx05+RWrS`PPAdMga8lWGKF=HKOD{jiE%@JTF`n1f9h~m2!377kO9Yd~ z;xV0JjaEgOjTP=1{>f>2_wO8Px#qds-Jh@14`MB`e=I9a@hSNa9r4}JJ~NFem24IY z_?G7dTbn=)oh$7?3Qo=|o1W!53!jld3Qe61dH=Bs(^DQA;D78wK@@%Vk-(3C!Ibj} z1XAd!xvjzHEj$}O0cu!Jfe;4{G>>K;Zxt``4vAJ+gTN9B_ANkQ8GT48*ksldiP(jq zh3F_;_q$F(uxr>vQpoT;!XYkHVD;09SSzP*1yIi7bdwT}D&iq3wg*iM-%b%u4o`i4 zzLXS}@?81)Us?f9F-HeV08A-26A@`sDfDd#6qAq8tT`cN`TuFfnOcj4)pb{m z*kkZtq2D%TLnEzjih2E-rNw`Y(pxL3j3np#TZ~PmS)opW#1dN22Rg;vxHfFMw}}I& z%jZ^c5Ta^QyMQj2R5f3+d39akPMc)ou*B6RrTk?N>Q(l1aOd8(Ic4noqM+EN$d}A@KOrj3P;VWAHQe*|{~;0?kN+hS+Y0qK%^>Ba zFtHA>ino>RUEQcKJgw@B&8Cm2%w}#Ig1dc=Kx+5uL;9=%)Vdl=MVtIXdO1^gL!7Yn ziAz-@S1YEI^-uv3(;=j^FEvr|0ZjxQDLT-w9iCWBb3;uxKH-;P8zb4?!hL za~8huDPZ;AuX9%f?rUz*qq>ulkU6mNwLp4y_(zgIADYy1zuJ1AIZnezWO^N-Hwa|^ zma?djHbd9qq>-jKK-w1z{`vc|7~(TgFA>k%0%jQXuH-i!m}*y~k9{bb@qvlZF8d$s zL|rnTXN8gVgTL-Y0^*qonHo^ma2)%B)DSy}ae525=Q*pQ@0p3uHq6sP&MQEj(F;>9 zT)ve099!pA_K`qZ>Uk?rr?g=T04XfTaa}kqvZq6EPafJ_i#i@{Cv&DUaOaauD)wB+ z9Z}~KfH1D}IiNG-*}|ZVIDii6)kYAl4$rGUZH@#vuryYm@cQ{`!VV%+j6W^cU(|UM z_@vYGGsQ0Aj^7Vk4NqOyL)4EVhAurvous`^xYJZQyELsAz`p1q8t4LTYmMM4P;1Bl zhkBEa(VguE6RA|G8l3)sRL=KgXqpx=D|F2<-Z`k;QSVy~+Gc9wR4Ku!!WkNVDO|~Y zl}RBQo>_&#@MD$-MJf3Dk)iT3-SMV({G)T7@h z-#T+IBGm(*_O8~WX)$$X_JP%MEJxRZ2JRCA)fGDjJwIF*kzed8?Uk2%XbhrY#(<$V9U-FI`mC0zRmMA zaNpi9ccR?)55L`AOEaEy6{^<#XV5i^=LhdzpP>iHZU>HUjlk`jV-n2~YY-s#Co0LC zMy1`fziRk49DJ$(qgC9p?5%wRIAcLi4D_b}%1i2Ta%(4{P7&%3It$?59wTA7?u3f| zG4amO+;?9uFxvIxtI=3y3?9FS)}oA*A9}KPp?g~zc-4jTEsMK%yO%}t4(Xl5^p#iP z;r;kpMZ5#Khn;!R0mu8zMv;A=>e>1Ae0|O0x$nij7Pp`tzwd~Ndgz}oDJpwclYmQS zkMv6M9@~jky}z9D?K0YCDoNh{xW7^~6?`7V@7^l)-bV%LO}`(iISj1Ji&WdgDV(OA zGD4x60e^ha`5-|yUJfF?Yx^Z9=C>FvYPuN2lkex$DjDE{;H zQeC0{_b=2zR_8}8w-^yJS9C<)_n2U{6{!n~bGwc^K6=h%R)^0kzuL<>(;TnQ{tY&d zujk_Nx^A~VTGNj=Z(}`L50^E51HFsThfWM$AHn4N$#@*L_FPe@8^KX;Dhj;+_POKo z_sy_Dhn-wl5Y1E9jO_W#Tc~wq5KW^=PVfluxp-71;F;CZTvvX1VWR?sP>hG=*yUgBIRT!3(Pr#7cQQ|mxKDS+XvYq7**gOStcTeY9lX-2^I5sJsxx;O>SjaClniMWLIr>0`|~3RGnxgyP`rTvd%}Z##V!& z8;h3{5E==&hfv!(_HC0{Y{Ms_5grjNyD_Iq5a+Q4^&t;eCRgA_`ReV|`-cE)lDIDj{{ z79}Eo(Cx&3c1~8)?iJMFQ``aoxx!OEMKXNSHjdjA(Y#`DU13^ga~jB~QJ#nIl52=- zlF+*Jr$VafCTdfHpz<8%^K^+m>AOX%gyQC!rSW76sl4`DgSkm1>rsshS#V!Ca;ycm zxYfhy2osBu+SBP}73Q?QRg4Vti_MF9>0jalIAC0VQHhqHgaFMGdaKlPz$wen1wx+3 zg{3aJ$oc9Nw`%r>`YSeEGHDgX+-?)1JvRcgR`IGP zq1a{2m)T|EuQeRHD|gjfG5k=Kurvc_71D(WE2Fcu(A$Vx6ELA~QgrOMG9F@Xf2A}s z&<(l&y-}PvZY26?+M!DSxjB5#jI}}#T6iiP7o%WC+?s$n>Xvnv*bXz-Ct6vQsVa2v z_H%F7d}`2=XW{PYbLXF3w(|e9;Jp1@5#`FL4Ik9+uLZkhMZT&w5Y`ET#c+iKBxygy zs_8=+K7dfgB>~2uVIyp0nMPqj|Lb_)+lwR!OZcs&ETjr5*u;F8XmR8T*D_@?yV6eo za)a9}kH`93-KpeopiRW=6hvOG@;;_0-_+ZP#H{7G(m{}%sOPVHNQr3+OhC|s%!0U> z?K2w#xn|@-Nvy$U!f)W1DuB!8g+*NVh1@Pm~Zm)Z$N<+StI8(r7HNX-TkQP zX4QO4r7HD#dW}31ZiFC`YXwbjJ!raAt}Rl*WkjHw8-#;|Wy$tCjQcBlk+W1QVR+6? zfIJdF*2P>aw6mE^f!IEyauqk_1^!oU#|Sw0Z&{baZ2j?TOd5Jgtfa%}P@e2Qq`z&= zi2tH}?KB4G?Pl_0Xh0xN$E{wx*NYYL8-pex*n%qCs!=(19~TyB=dEMG?~JTH1lyK0 zmdT#v3twYhep6jI?G`Pb|CBC7G~eJjQ2t%+xlqkk9iNeKH8FlQ@eNllzq|pJhnWcO z9fbRqt<`xL(I)U|+S@azjUWRpzy68ybX_wd{rHU7toC9xq__R|{`Io8IG!7DyV+#4 zciH}We}$U~@J0>EzMTs4Nq$Y;YI*So&+aMNWYEH$*d_^BR(+=+BlL-ASZD&e{gp>@ z@Z9Qq(y8J$(USO-ERND_a>y=a46C=S?)xAEm$BqPmphK7ZeBTDigD!@AuV{PWpXMd zHcaUPYBpT*JY`>inH+S*ArZ%&d4aeO_Z8!szOlZ}p!E2Ie5NUa+C;Nw3ff9w|RVFoNY zPP5WP1?(yR@~u=J7|6#pSuMj%mcYi+Z5^ef4R{;%WfeAz{H?n4`l9D~To-LtH-6mb z(@4a@%19vdTl36-3vL{OLacms(Gx^p|YYq6`<+Br} z@37Wz9%qVO20dp=o`U01Rhj8OR?62VhB`PK1QWb=<; z3REvu{A94Q-KC*Qwc?yD5{@zQVoaqeb9gVSR>!SaGZ`_1)w3{*Dct$$jp=(>NQ+jw z;V4&20>&}NZ>L^sKEk?h^??YpG00^EtFrCC{po^Jk?T7NrB=~vq~S7CB$`DdHZ*S^ zq$*_;hHXiB1>>#{wd)qVz~W2sn-o3BQdz>TUwn<&+Kk2?N^mBSVIVSn0Grlz%5B3a zoGfTL5BXnlws16Aw+X9kuoAB;nBN*_5gzvr%xQU-wZ=J?YcecNgfSo6xW!=X7|130 z7U^_xm|TL4=a_Uo3t_Ae`jV|i&}lf^BrTYLMYY_k0|54ISFF%$k2c@cEt8+zp&tYy z91SkIzkaBdF5@dh>7u-98}3dyJXcSnY4mxPibXGZFgp8scbrgaVFG4>5dp&@Vs{q< z+)iKx2BBW7+Q=Cd*Mnr%O}3#I&a2((!*5u@9E)L4WQUY8K^?0>752&~^-!-pdLaCe^kOU zv7nx*D4ms9oR|CvWjcgj_G0Vx5-kE$sq&u&9h&vTZG7vUsx#E1wYQ+2T(`s|fmh-W zJP?UD-^yKt$yzIZ2*lh>BO4kOzrP9Y7R?38%;K8^Q%HmSGlf*k?1JAjQeS3>E14Y!cCe{UR4r>^1Mt>s^UTXfV5qZXi;kLY~RE zY(+!gA0K0UeAS@s7u(wNk2I>26d87jtA7izSXk7KxUDZMI^zaLp!RnN8$-<9B{Y$+$} zd|}q$u8~eF(uoa^EdB^lgvyI8l&#qt!@p9b1^J+}a#Gs7Ikz+%uGEUY_e@Cq_L(ls^1wH4tR~4M)FE(bXOEA|n#a46@5f zc&r*BotJqJ#gxb47h|t$XtB<$bLrtsu9#fh{loBQI}W`Lo9TEemY<3f?JSV+P|!%y z6#tyH$m5kZX!qic(FtY$TK~5z25BiwsZeA>gGFrSMxTvt{9w^!P;u&1lzoX8cp>sP0)cy2f@d)hP?s4YOIEzu3`=e zA3UDMJ!h?HNJdY06fb2l0Wi;DJ$CuB=O{spVJ$niowHs>)q?Y27!})LDaVN{vb!lq ze!$3$2@7tz4rsO!?-jX6Q#XNJdCR#5Tt&yDcVK%X2(YDpRhVG)I3Nqd$n#^od* zy=9EVizeHYqU$KRjJ2>Ym(y?4EjJc*d~2ds$5=bnGxvHL!gqPIQd6~UB1tX z(ZDa2Xa@b6=u@P?bz71wLkX3l8TO&vi5#Om#|hREIg6=M6Qq?cz&7Q$Kx~EnUgzto zTs8|z@iHnw!-D;BvB4_>{6_{ad3hNB2yMC9rtVk)s+Mua|ZksD-W0#Rx zdq?=Q@*>19XWU+=MY^tn|60Z&r*^N6-%P(EZg!c3w7>78LfEiS{uZ&ATCxEK;j+Vq z*K!l!iTKu7NF4+uQivPGD%m;NKyq~>Xvw@%WVYo>(LKhh@QBjY3%q|QP_1xX*YLA@ zgmRU?$Jqi)5nVdGGa(>vXkS^Ey9;$M#K8aQC5><_Axc}H6Fi0G`kyu&-7@bl*joQ{oDZs3L&rfarqk(h{x@TJ8*uHu}8Dl(H@4$(Rj)051k`7Aa^?X$gp%nKYZUZa1H@cRiY4on zVdeTkm{!vCJsc;SwW}^He2GM+jStx7E{dPAMk3hvcP*kn(6g0gLo$a!NsW3eJb4e1 zPW}C#06S@}kEYZkg*Jv%po!@AeoucXH%W8_tO#2dzYzGyYHnb7(wwOtMUJ90WlHfE z+n;Rjh`oUJnl5AA-VVlVZj{AiFgJdllo->bF0Rq6Eq~rg$!$HZ=D@Aaok2E+qOOqnp;rvsVOyz5I+%a1 zu8thgm?6L1$soJDxLIa>Y*uvU97oOAPWvaK7*N>-AFNM)@R;Jd;HGdJNatO0R}&nB z)*+iooZsr3cfA!aCjD{=Z(DS+o)G9^wYuAOsw>h;)@?UCV`4Yl6lWHv3<4-e1-M8f z;BPlrOI$TmasLdAt96it%P@$>Hq1x8y(b9#La-+^irn_fRXyBC_cP-pVMj${^%xcw zbNIBU^}-(HK8i&bXEjh9>g9oJwzDoAS_5(J7FsjBr}^SJf3Gi~bDptIRz$!xFP4ik zcm=}mMVq^nd-qgnGfgy9|F8Wl5tgYLj-aJI?O^bJn9Ta2NLD|-O8g*_S8$pk6+CKpeT;aD60;On2^WkJ1Ug(*X1Mwk~Y)k;;i zL@2_jQD$RIUc!1h)F|x6nzS|6Xu=HEo6Te^Z&>;S5M$O+{{`xJLQQul|7g-_2`sVT zlGR^deTI>SKQmo&GmI`38noJ?s6_#805q_3Y#5%2);qUq;{myr$7C0L8(=v z^Z^uqk6Si(U!2s9vW&TJ^m^@f;12l-_d_7;z5phyYn9uTW;`*Z_BIf7&DnX9)Ow81 zX$6&-MqcY04;AdtqsNTeS$a)?jUgi)dn?ZGz8-iMWIH-Xv8&%iD6{?+GS4CDiW9<6 zsq{sEmf+K(GtVn_&X_Rt;e`wK+|5+7f}&k4dDlXYYpr-XhoKZV52IOHTu{#fd@9rg7Wsdp{X&5rHW_q4X01 zaa{7r6B)+PlK~-d?(`5KwC&A=d0lx;y@UA~D1cL;y&EZ^wng90YrWNVC!Wkyd=c;f z8hWzSM(BNlU6v?DU(yq40zar4{cK#CKNc0jBe+p%m{<-T636Db zaLyqY8{f_@t1zDSR*FIo1mc&bp%rjSz^?>fL!u4nqu-8XLJY? z%v>VsKy~djN?((A7-tl&g;G0N&&1qIN1m2xFppA5NB6fleLDqew|`)GQIld(&MslL zfEe_%K{)|C2DMpMb>t? zJpOBnjXAHcJ=6q5UnTDyR{GbDIoo!!c7`AV6YVnTxr-0T)=EIVx>ipu%cis4@&+8B zq#Niv(z9f4Si#ul$-I|XXHDF@;6}qQ{(e4oa?6_0i z7RLwDIJoixA`pqFh@XD#gwv!X$}g;5Vhe57Wb34hY2g1JNTBsQK}<&*785n9ugIE! zH)naTQ*o)NZ~DofdfXbvrqt-elwtw>I%xhcSW`3YEmVS>$ zo4#%EOgxyrv~qYF-b~jEg#Fw->%5yDEx*ZSI5ZvYF`0p=;<3l6I&INVjX^~SCq;CX zBeA5ik`qjI0u>&QGgtq8fv@|rzchg_?YE4LxDRC$9bUT>i$Ht3_;62xE3kZ0d0l$Z zh;3RZ*DQy|966*?C3_DrJp4xdut%AkqpJ-{HZ`KsRQA1BnWMb9UzwsxacsYAZ+m!B zso{LFU%7vP%GBO@e{x|m(ZK&?j|zU`;Dm}tb8L^Aw&2=s>5jTTqT(bl8&<_+xpY8z zQv11g5v{DQ%`$uJ>wxOg%hPU&i}BmJprf4gU^1+7Haw}4RPdr;-)+=er@J~mO@XeG zF$Z3SCS$R?g3~RBP^D~YS4O4S(MNuHhj&s$WhyqRJXF*dVYz$#Vno@g)okAIVj!ww zsxdv%y*a9Kk!3+frE5_{*-LN|UE%M}Nl^J{FfqCU4fcGB_|pF2n#|JihtQH4v-r}k zj97P{@vxL=xAAbBo}OrTpYpJjNVoFu7aft$HJw(OD(uk_BEo9VZ;k3*JVXaAa>9*Z zpz*Xw{}PQPbxNT2{;&cOnJn9o^wUSOJp%o?Nta&2fb)UL!Q&aDPW^f z1GNs_9-348RYW;p=ix?b9KdQKJCc2MHHVNye-be;|300&OQEu4SM1Rm8R|?2I zN*^jneM~FxWZ|rBAo?~(0NNsMdrL=(2-YkP&DLaql>#kE0BBG>amZoABNr+MZL>|q zK_QCcM5KD-zgkiNWelyU`~arO@RpG3qX|fGZSeqt$+7Ww5HrhdvU_QX>c7)+`qzln z<~baa25K=0>M2E}SgUnOAaxQw#X%aK)@1wFrS6vCuDVz$Df-vV6{OtH3Oky8f^{zM zAk+rtHrKoKNZqx<9kr#jlGfg4kUeT2D@bD1RGOPlxboRw>smQmlkcQy9)C@Oq0o|K zr?rua2-&55{cj@T52(7PX4OnNj!V$oH}gwjcPjqZWVW13GTHyHwVz9}G@N5u8ZNRd z4U4SGBWKkXpt9=FVLNZ9=39+N$gIXAqw5ICT6czKuQ|hVI4q_(9?ZFj7HB$`4Hq9C*BP>{mu=*VEV#Px^ zgZV5(JoYZ21_F-)1_qDUI}OyD9f8Vi=J?ZZqQNxjHLWa?)=GWMO&;!EptcHr{{NuL z`@BNTgXb^f(#=f?t!HD0mnwOC)HjpOVT`~^@lKXdqt0L|EQ6g)@+`(W0d{%M z$t%GCQjG9N=?F^=s{;BEG(#n)JOsl~a3JIrz5t1cz(5eldEt-(Q$DcL5Wd45>Bku& z&j0fmbD*z}LJ4p%md4+v4M+x{8x#qW*y=l@pGH8MVbVsl!++;JWTIW@r)x%70CG;&zXu!MmPa$4^u%YDb3*WI zIqvS?rCR4oIvG{^*?88}ONOIG0l(XC9V9VI4HE|a5vR2q6oqw*DM{rcD)%>g!hH;E zgW+zr2S1GSRO$7RjBmprGlr5uA)nGW8|lHIpIYPgn4JtZ%^MUV94To3ED$-|;L)CE zjV(U^(i~`=L{8qhxsO22-8zKBA|h$d+K+wja&XNZenU^iC0HdL0Fm{Rgdo9EvMwxv z9LtbkcOFH}C~<;if{0WqYsIJld(=c%*%(a#(5Xc|VZ)6F>sU*F?V+Q5ALd-6yb=HV zGMqcC3rL+Yx>j=7MRgf^;}$v5s?LcCoR_*#(Q0J`MQkSk`Q@K1f9^OqS2SMimOj9QBmY3mcI#ByQp$JW?_3<$eBPJ24FuMG+FS^!%2Z%11_V^?@z5Wr`db{mqyI=V{T$ zePUOR?U$Q|q*%L+hi<9@Bf#>>O4&@vc9RHE?O4!G*lP$fGIv#) zoRDB*O*Udr%<jzEz(=g#WZ*FE|GCe=`G^F*iL8}DyRlCdCec~cXyZOSFhN9>FV&ys7(POW0p zK{op_9rn0b7ynKzetVpW_{l^DmxGB5PkKv0K4ANILqryvG70IhQ^G{zO^|s-Me@19 zaV!ft#(5+Ssi$$BPIC~Ne~^RpHk^R?O64kweOnn#Zl%k2MOA1I80EWqYq}X@7}Y<# zIwvkH*7&=P#t%%@CK4N_hq2}wMuq&xIA%+K|EE8gw)6Q7a82`f`6k9kw#T*3cJN{dA9h1F*vv4hG z_>d|y+{-lqjA|ew*17jA7q%d@#eh2LtYhn_T57e{+n3nR#=p>qDK)tqRSIN7u_NY7 zML$aS{TDAenMaa(^wWj#ZqvK3s%kHEP^v-%DmK4LCLT=%rcvOv+N#^phJy z77nh&w=Dz&Vh@ddnsIGOS@uv#l!y9Cb=woY?iz?TQVUT7nOKnL?XI}^s}A985|zZO zkcqahY2Y&N*y?I zTlZCjSKNx9NpHm$)#%iRSBsZ2CJKHWv=eHi?%$5P5U0~_<^K!J-F9nS`h1&d)r(U- zT0NV|YrE<;Ii=yUTlbin`H^sqwFvurepbNwyS03%oMTj?9HxdgM8I|RuyCA;Ge+q- z6?-_3*NDt@6`#`{0KZ;ghu!JaXLLDp-?)&|c=|jvnCZs7;_+`f`P-{n8~p1*;N1vR zlvNaD)E`o3|F*%uNWAKZNVJzQM}99N+P`!kHA*$48*;#WC7OecJ;1G_iFCyvMQOb8 z;XESp|B@*IJ;Z@pOE$ukR0S`}p++MPtzu9_Bn_(TflZwhRu{maJ)P_{OAZL$T(; ztm%W$0k(`~HaNzv-G+%PcZ~#%^~ZMugJ)BP!%Jo^Af%jopF2&5ld~ey)e?2gCNMRL zb}|aYPZ=LGKTZPansb_k&@D{WW27RrJTKjOkO(@tsUrd3ZOHQm1Mrxad&Y zu_psEhCfTYW0TBNYZ31HpUi2-mcHb+Rq`9npN>_rW+5wMRj|T4LI61M+Z%kGJC(p( z&ila(gzI2>7zI)`?5+@kg~&KDF8Ud2(2INd446=55SUH;A(!*pL0VWDZtnJsIf5z3 znqkJV8TMO*)N8v0mx<__o`=KxYn<^^7f|Y*GmssRW6PlKNY$1JpCU1iB9L^EzBKmX zH#SA?XQwmvOv3JM2IKx6vVA~ANbZX}`y%2@b=H~<%6m}4vnRYtE~-M=1;Cp=vt@Nh z`Q}h|YM(r+%$uUc;w0P!Ek;9P&X3U9*Qkr}45sd2SvaYHL#SIHMq)349z(Jjj7NoG z9WO+4VreFf@36P-D}&NnH;20DI&tvAz`|-t)Z-k2?CmNA;+O-j``g5Lf665p64A29 zp-Vd6dFQM^DVlI_;*#TT3*J1*5Bc)$zq=uCW!&usKmG>4-uflH>BinP9}E4wM;f|6 z^UC)S>-HMve$A$oN2%Y_T~wxQEo{SoCfGX|x|Bj?H}9pZfusyW23dtMndq054@1Wn z8r9If0Y0m;)^+O^$a;RNM<}(@{>L6uqI7Vv5*{2~MqB13SW?;9-Bo_al6Lg-NsI-S zgC_y7zdJTF(+lbbLC}M20U`R0?w;VS3`lV#E{0qt&FuT!=p=Zuj)U;r7o+_SgbNo9 z2Fu^a3&%kyJuSK$jr8$DmNIu}}*Sm(lxVrW}0f z3Fd2iS;$O>Ki6uN6?ELVT!w^F<(Qwjc97On5BwmQR8!<`<)KtOV4QgAr@Ren+y+iz zfHMtukR#n2!xu6&7xBvR4iSW*0bs3Cvi=#m$|93YJ&jfFziEsv2JI}!Z#(stpHYDm z?GWGSg;3cDy&Rsl^rKPc`1XsFKxT972z~m7QS|IEvC`Mc!#o&s3>nvS%vbnr7a_(B z1DM`Hi&Eb<0Z=>oz=^1EJQK>ffzw&z&-&HV(p}QF<0|efyrA61 z`Da4dMC&f-_}NGZs%j?W7dZhg}||ty88FC*Wb|Sc;6m3(UH0?TyTr z*j&#%d78{#IyqpCC81IAw!ITC_bTln2jGOzWnmDsnB)g3IQ8TS9TvxgBD&Kk&Fn}X zaEljfgf;WlC5!WRgU7X|AMk?3bEe-3ginrY;0YR~I`M^10w=>}-k9m_3?h+tUKc1- zc=7HCL>BX?%TPLC>O8~~UcsruP(1wj4+%sVFBepHtfwG|tnwN`uRIU35H)7p!fA@yHJ4H5PZO?@%5O z13nLfIVXz=gE}`m6$j6Sspe(Kt%vAQSj5pNmQXX%rc@+v{{-{(6 zzq*;kIA;xqE4atB;0`9=a<@&-2x&t)uJ(XR08hL|BV-5Z~rJ%0MbwSRt52$qIw>sIB&eQdq+eL-;%UV0aPTl`l<+(-=K>?|17= zm2e6>8-`>tL(_)jp?tw3U--w4q*E z5_cp6X&^tkNS~KrCC(W^a%2#tFH<+id;MPg80q{zhyDG@YfY?Rn%Y-{K)^Jz-hcl9 zGZ^ilgyYFyVU*KhV_;;hp}5~lB9te2|D0Ouk?qoqQ+$A8ql6=NeFXtsKUU4fae$Q` z(W7ISJ1jm!0oxRJqCo*W^a^X8|6ZB-gMFJ_EvKJ9vRWKb^DZ*X28N4_cKS#Y1&la* z{e}&4FQe&o%5N<}yTO5=m3w}~zco05D(ewZdK!JYl^RWyD!3oyq;EJF2u#!ax7W?& z4@FX!Jwh`^7X(6cdy8*ievG{ukihU{P*DDekuBFXu{ja>5WYavs$?GifHGkV;lQxe z8gV-QLLPtsw3aOy#K!m=$Wh6`vgeq2lh-#cMGUC}y)|P*YyetZ$NUn*oal<;h(}G? zC~$rN$@rs0fIGC0H!CRVxXU{TC}l6jUHV?k(5hYL-jCB}$-a6p4vKD;5&jF?*$NJ@ zLtrXA%rN5i2P81^O_w(iFmiEO4o15DE*YqygjQZZf7GS11Iag`=jcE{1sDSRgF5#% z79*xN8R-hA>gaAu@fqsuF0HiQABGKfdSY2oD#G=IM@@ILuhfX;)ZF0;|XJ zOkg-Xim`kA-gh5AeJV7_7jPFNHi!@SF*1HQ4lZ^$a~5@aY!1PD9@7J+UuVS+w+jQCyrFHR#shGIa1 z!ZRR2+cF?Q{h$0FJ_$zOxJUi>)DWY<{NBR-A9O_f9SZt)$p67mlmt+C5+s;VBt$Tw zaFC!dgkKB;3DOE54-A7#03{JR=#DSI*=2N&L&=csEvykD91sc<4lMXZdBTJ}8j-_R zlbq!fd<;b)1ny#Sd<^9O>;@SSiUbKH5Fs4+HF57hoclG^98u!eHEwWn#9=L&Eo9sE#lSan$BZdQ7_{wg!B653FdbQMDzOL z3Fh>};>_;5PwEJ*OUmc+O)97yoC z9KT&0f4lGyz}dDS!tPiSV|4%IJ#=VNyO$@Z(=Ulrw=av6|H|^eGC79hlQQn)JYOWJ zUmy8f;a*fA9aQgs!fsSxpB@r-^VxsiK@Z7$+WkLA@$(1r+oV1iWm7D5J7t@IA7N%g zl_npG0scpd7f3FE1^IT}kjgC>w$4u@2{-p6R7-*v$jQuZ?R$}%wiUr4m%^~RUMbFK z(ByfGrmr>d#1dp~;;(7!m~CPcR~SqSJ7b z6)*TxYkjW=JLynhSo0c6NB;3X5iCn2jUYMo%c^zQU2coLj8a*smbzizs{<9mam8Gf{~RmdvgXh2C??q3y4P21pMG(T;Q zBwCNU#bw~I+^X-9gh_9X!2^aw@vQc^Ie|vDX)2wiw8j2@(yQ$m4R>8bm+DU(NXU3H zMP4vFc5Oh|X3^SGId`R%%Ugb$bJEaOD4UFij#L8x**xWYeKz4F<2V#Q#f><6zEqRt z1KVH#y+4cGZq+C_J6@$giO`M5=83#5p28sObZQReZq6SXr7<>1v`y6v$*LFbxDzV_ zefPsX+JU|S>9FVOGnxv(IK-_8E*c^8fc33o+gXcGsOJ$nM(?&=z~m^B9_?rgPq1S? z$9UTTc6;Vdn!Sini2`t~DEbGGw0j!s^M~Gc&E1ev$sqfEM!JJtF?q6aO-s`w_>s~< z@#GsxBXyD;c2vVb!b_ym+I`uky8|G!25y(=GkZ*M@G_0E&Fsf-@kil6+9dYvw{TJ~ zU*oXkjXr)QwKRevc$}C<2(_;dFHpLYaYGLIJs=Bb5GjDzsZuiCEew~q{6fygi% zdqKP{{%2aNl5Oa?IijP_s$6913H#x29OvsgUppBRi%H-762@8N?^!X|jmm@Ryl&Is zPjk!bbcG@>lQC9=f=c)6=J|G&J|@1mc#bzjx{WZ522uxDoe*bFN0BrZbB{Xnit=ma z8%j41z}oheX!Q>H!vh$UcuAcJYsp*6nW z$H_et>Y;hW+=Bi83C#g#Em?XyHvpGK;bAVwhd1F#Ls2%2&Rh9R1N@oWVaT|eTUlIA z%xWXPy~vF`89%NF$f;+i^5~*b|B>(f_fx4{$`Xrlx^t@^7hQnq7=0()GhtSA@Cv?g zAa45!f>Wk~7Zr?(=SbK2sW!>Ct0x+m7i-$VZ^N<6c%%D|WWug&h)akGu3E0+mOO^1T9%(FG3lihn#T{}}fCiqf zAy{xzYK_5(qkEXy&)EyQ8lx2Lk{Ou+$@X9jwLOb{WkPmMZYpvVH5!-6n~G5C9dgR; z2A;2>8+g|jC`_U)uYKs6>}C7~87USUZfUg(z@%Z504zj5v4Kzq=-iSbg~50A~aE^8cGS`32!gMof8RNEmeoE z6#Y?^SBybYIVs`724?L$(^kkfjP+jKzO)rNezzkbgObR_bh0x(_aMHyHbfy-;`6rm zpX2xG8!C3xx#yd9_W?~Yq_{M*PT%&HUFSw`uh28HwJfg8#kMq}*XPVfURc!P5-UB* zohveIzN}1MZq9vt(ZAZq1h3~iv--2c+}18#JjrK4AY0rz&YiY1=29A?kOO3tmUEW^u3=IB=S%oTJC@p-cG6y_hD;=t+3ehFY!C55@fuo_3K z;zGP2T_eFh4q!#%6}4eZ*dO+xQ-|3DN-qU4t$h&u@?cz#x#%YSICznJ0#KOZlXLTh zcB2TfWF9*Mr$N~o2hb8YW$-8bN~_x2y>Q9mfZ$B#AZc z6hGS@Z@R-P`iJRW<0rMc&X)q`eIvo^IuoBmUCKWQ8;iW!+m(Zaeq3ww@U$pxo>#*Y zS_JXFMY7%rX@^<6E;l4?GlKP9-DIQdlM`7T9*{hs;TESSjxZc_ZT zgk8X-)CH~{-L%#;Mf73W(}Pk>auX0b$*v^Qk8EJo2%RQKkKT=9VI$uw0ht zF19wlk=d7_=N*aZ-Ne>0bgv#Kt|Uhguba&<3_Z8a9u$nL6DC=gNFX3H3o&u`k7ey1cJQ`Po@HJ@gzZ$@8MY@#T3vy;$W;YEHW*m(w$M&Cv5 zK95@ML%#L7i!#}LYI3-v0KT80L?nzZ5H5;7Av!t+rZHLJY%9=du|8Hz1hjcQRnbf% zv(O==(o(Hh%e2mPT7oI`yvk_DgIq-xH`PpbA%i@)h&9#Ywh@u0Kh@~#C~49(@HCx$ z6~tWnfa9oXP#F@DuY4kCqfBbk#GHh&V=eNJg-vbE%8M12qV{6%%eHxDqL*T~k8V~7 zE4AnK`vh|p13jTfmw7PLdxs9!ptCtGOf0?nVG1N}&9(XE08t<^=r<`s_woQyG$JK= zdc#7~R#(_CaAG!09Qy+yV(L&Bh}cW-{{fglXTMCsPSEO$No>iWU=nuCQZFX4j2%79 z0yCz8#lES;@f= z>&HJoj`jej z7g)xvRz5Z*7=Z5#Fs+Ff!o)=lht!7+Z6{rrr*B&931GL$L-a%?>1`2bWkQ+<@)p-Z z5;P@2ufEV@LyBsAI2_b4A9p~rPBrp)7s1dUZIR&KX zb_Wy<%r!ZnN0>Z}v?)F3=+}rs#UbSd+lvlc5Dakt#A5epB$G6r4M-g6?_uoLaJ`peF<#*D;DK>KhTZ5FkX z`M?YG&Fdp_X8TPo>X~_)C$kh)>m&Z|l>WJ0DQ7B-ehnl@7&km9>fMLQw1(jVn=?%_4?VDsMF(TX87!H6maJ?cpdz z?9sYn-Lq)drdk~>TGh)eX@+=}CuJ_F$7SQOd6o4$TBzODSq;vz%&sN!g)?%ao#x3G z^|CRTUW3TcJ=R`Uo}aZVPTQG=<+e9)dreQl;<5^Mhh76(*QFVa1A3*vt(&&SS5b1* z>aTxQuS!~K>H(+Yd9X-=_T?GZm?LNpI!Vx8@FYPS@FYQd;7Nk^f~R0w0Z$UN2c9Ho z4?KmS4SWi@8u)bC!l&aAK1t9XfRdoS07`=P0F(sn0VoOD2cSZ3JqUF?Pk($r!AsCS z7$rgbV3Y)HfYc*Z2vQQX7f4Ca9*~k=4Uh`+0jbABkdmN1ASFS2ffNPp!6*sZ15y&S z7f4Ca21>2dZirG6v=2(1xEz>zM@o$Aqi8>h_K%OE{U}-~+TR~R`+pL&zdw5Rqi6pM zdiM86&VJG4n$6s{np=@@7BDj{Yos%SyxN@{{Q zn_W@h&EnVie-=NLc_x~%-7BM0nmw?G*}ct|y@`{@V@+an2BZ}1trbvSV^tTOJeAYR z1$3d@@ibV#P2OXo?pE_c4N*4TQ!@o^PaM&*#Et&LW^^0y{frP3HJfq>f~L3)Or@Y{ zjpuYd3siEeO_~$Az9@4!J}aRwj{JMPR|wWSlF8{^glTbvPW*gQBJH5q3h zw~ly~%{cV_8c^EQ0#vgpw#egXQ(dD`&Gx0oNDOsblaG}{&7xPqGcvVlt+Z?IFFU1B zv-D)*H*5elily;+yI%teG}P<>7@B7^o?>Z4D^#;-@PC<+`c=hLSQ~w^){OAL1R~00 zWJ|vOXZAx(2XVqvbpA$p@G(>32E7lJYj@il&9?^d>&IVzx`fQC;JzusnqSfLw1zkw z%CqpypJ=O^MD6N4`{gO1uV^k+^0j(^jsq{=UUS}u2|ddML-9(^<}h_Q5Ju#1kK?w^ zG%uaXv^JJ%_lz^X!*p6Tq-`#lNOu0Po+Y=J! z2tV^?Z_}!n_9DxBnK>B$ny(cmZc{ZgcZPQi+BJ#CpOZ$rtW9pcO7S)$>#(uTFI)Hk zx$&}V&?Y=2pTHV!7O{Pm9$dvv)tJmODQJNi)-LN7v~_TWWr1eZUI594yj%0KV?gP7 ze~lG0+GJLbDGgoKj(Xi5TqhOxjO6(u)K1-ND^&{4vOIs%R%=Q4Z7N8uV<{d~+A{fU zk}mZ%vwx%dSCfw|yePlo6`iQ)y664KTk?%D?r{y7(OF83GQ?CWYO!ziW#Mqo`&)oK zY7k%bh?;vs-HXCSKRil^!)Hk@hbOL?qyCd8ya!1$IP_Edf%1%=V@*7=_p=8UA6R@~ z@qxt)7GDo5{smTiJ*fEcpyCfg#n(fM|DF_IKLZs1+??35b)dkBEgQQ9PHdXN>*ho? z`;Ccem2zNWUF<{~6DuGuV4~Xr6ZeXZiTH;SFj2pe>w$^tLA$>&v7v+lCN^}S0~2wr z8Zc39o0pf37I0vqdS(ik*c_KOCbqiMbz`D>mIO>}&8X+XM73KzFi|~H0w$_GO29<7 z114T8924;mDPW@7RSrySfYS>T^^9W%OjNtJh>4A3;KoFC^cFC&aim|Eh+Fpq6Y<3q zFcJ0)nCSM6iD7|ZqWwX@M0}zgn21C4fQdL{4w#6?DhDPuP=3HfwWajKuKB=3O_7xX zCZbk)z{Cc`IxtbwaP@$RYNLZ+nAoVeZcJ2%W#z_1e^NX;nxX#E!HLMSc5q_wkQ04V;KajYoTy)`Epp=Ra83++&chs> zXvKSTBF(^w?V1~#6OkVhI8p66*TsoQxE47Pzqi1NRs=UEBE4GV#C-${BS|Ghk&X~D z2gTdtpy-YZ6sZ&nvw)i#MN^EMXR;Qi72%aI1!;p z^RQj}Tpo;)n-kUS<>o{+wLl^#qR__8iHKzloalDI8utXO33+ow|KLQF_==oJN8m&{ zE>2XlN#I2EjC^on+f3sWh%Pe%Cn7lg;KT+FEH@`&jFiBM2)7)Zh!;tL6Jf8wiTZ-6 z9h?ZyxH%E#44mk83@APCud!l6i`K*{-fpTfk9+R03mVKfPoK6cVK$4;7d@0kNYkKj zbR#cGebt9~w}@!;>$tlps4#n%b)lirpX}7Rkv$O!Yb%p>9ZWFk;#4?&8YDY~Rzu}= zDTcIutkHr^oeKM+V_8hKBBzhZc9?aq-;TaA7kEn=b|n2k^D^Vy#S#3`aw;TKHz&!K zgPJz)(DS*1&!Za`NjI0~mAoUnybBH!WGGM`f|Us(UyeANz>BZIfAcwO<}4nS@7HAK z8vkQhF*jRh=VW_o-6CTXmw=iZSP8--Dp9%4!Hbm8lc$u>|(kM`d5q{L&_!~ro1 z<9~>nIjh6NoD5a5nZtI#9XS&Z&~Gj6D|d9^(=2E6=D|HH*vr~=!9A$9 zC(I?6GQs1*1yxuMDRZnb;qu)R+5@FbaJX=>hImMsV@*7=&)Y}KJX+?_GLM$oTITgg znZF=qUXPM_l*|uOGOtI-JVNFXGCxenyncp``D2(P2#){@t+!(`7jvKj9VF20)sqIv zXJSkVS{=c;BX!kod9zc-yQ?QV_znQS+9^@kl$kG^GzWUS7HC&P#o-UK<6os+^V%4j zxx;D@*gANKxgiyO0D&qSN|6`pkjxDUpBTxSUbF!u+^{`sl1UM%0aZ2~dzaZ`Zf(^u z@dsKr|8lHuc5{fe_>W?>>H2M%1GH<@I=Eo%I3bR16Jv+;u%b{n4YqQVET|8)JF6D2 zmH3UCAUi$V_1Md}wi-F9*qxqt3XhV34E1m9< zsAw*@*cg}1NSLy@R%mf%UL8%xGGc!t=Su-*o}yBQtmf~LC~Riu?=5YSG4x&F$(UBK zA&&HcXFklft_|)GjQ;9p)VmakT{gpkjSEep$g_QvA;Wfk)*YXm9 zXsiu910~>PGPZr53#NYpctah_-)Gw|T7`#7oyxU?9n`Mfh3(w~K7al9KUVY&Ee{nr z34Kcb{vwkdMm@`uZF`2cwRY{I2dzDNL}_BM!IUj#WPJ4){DfWSdA6FFScT`QTh26T zm&ea2%nFP#dS>=K0rjXo5_2()YPM9*#OjKs4?0p2ThONeL7}Fp$O0tVC2Hcq-i+w9 zpJPPbbH`7Kv*a(19+nu>irbk&XYKOen0z$^!$!Tg9Yx>RHAQA2Le6c`QP`w9Wr_(S zD_xTKT>N5A&37zb-&&B4%T#%NS>>=>A5KHtlRBl6bv3<9c45@{Xszx`R8XUOa_@di zf7VR9SQn)IX^JG>j`!sV33P^^33To19DexYaeMdxGB1anM0hgcO>(6<(++1%^emGp zxQh22X@}kFye> z8L(q$Nv_xmwkKre`3U6sd*5yb`rkfGb~C`#zSr1koDhX3GxP2S%aZu+2DnZR-Qc!& zH@H3Q25Q$Fx&f#&AKidb;A#eXCQlxAgK2may8#jTZU>rN^{#ftG{<&u#pdb= zKd`@;2`uVncejE2pWn`9k_^s{D{5RsU+uRYWVPD^8ydxIJ+d7XEkW(+=a?EC*eiEC zXxFx+K&^Q)%&x!$wX0Q*JDTpxoyoX1NP`?h5u>8P;KESF>f;(;$C0T zR5SGlY{MwE^Wqm}7PD*K(VQ5^>S=Z+y=CH#&P!Lkj+$bM03NYx;ji#M-S`Xk$n)b4 zEbULrrX)G6&?Lgd!asNmCU4&SWGnSx$D3_WYajdrnj+0V(LH0tA7_9A5zNBJDSVq; zj>pW!Uw;(2fA`|Mc2{e|@&EOaR}Ux9XBN-sIuAR`&PaN)Ap>(Zdk~oPR{yA?(*00* zF-+B4z$h~W`h1E{Hh`y@{*`7LQ~l|s|6{)j(k@9+;C@aqM^He4^YmsGf8BrELWEhF z)km0Ky$_zIh!8~li>3c!>Him&9$uPKe1k?DA%BN_N^7SnCB8~m87 zSobJ_(w9wXj$a--a;WU4*#&>xacxWG4tP#Qp4#XysI*;4D0^3n7j&UJj>qP1Bu>GK zS(@|M-H#_|mkFevh^o@!?a{i$!KpG1i zff6zJfv2)p$9T%E>h#!^z_Lr2VaHV5%MZAhJunqUthc#_ay=dCIH13ObdT>gjd|aB zBie??9D?s>7t!cE)*Wmnp4N?*UK?Z4SIL?3NUh~Cz?7SVQ0oGSjDS|wD2lxc80 zcZ~ShPu{L$3huEtUOyOhDDt@68ReK*p@oeVqhZD5OChUc3)hF@v2#m5_Ec8SR6KqS zE!tHrXS^+WtvF3HX!~q?b@`k#B3NHRj}-cziYzeb7%NXzp^kyP_13ToqK-ggX>#N* z{n&=V_VRdQg=CPj;K*b_U?fyfiKkc|2)i)9n^pe%Xa9p=Ri`MCe;PsIV)h;v6xIZ4 zZ)Lwt?5WxVXaH;q3lRI5PSge}OwES8v3VB-NQ=jlAA%%rtBw_}`($E>_l%i9@~*W`AqIagBrKa4*y+6IoeLGKRp42RgT+x$yDxQsw&1L6yNR+c(ke>3AU#lH1BWL2 zAZdqO?zt{T@8CrU%4D0e^ktt+#Dmfgog_$4B$BBI^&IOiPsLM2@K)q2MfdvohdefK z<@#B%2`SN;JoO~lk)aQ)lhO{96ts)HI9=l51(QA0WyMoZLv3NF!+&mrh9R|Mt5Z-4b1IShkj zaqPaw6l|E?FHZLU_Ea{0{*j-bZ_={4!Y^!;$MN$x*&{Oo|$dC!0wtpcG?Bv zdTxPy>AO@73+69C54P6^61*69kB#F3YkZn~c*JiH| z`>Z%0ZW9D&4wyx7&{xeB`b1@4;k-rJvly`grW3LC9SWzbR|PQ)Cp7$D1$&Vd54v9HOdwS52205j2W$pCZSA`gcr47#L-Mh8f?;fB<% zy!y@uFS(2i20LWF?a=_sxm+G5^5@UYBH2|H`;%>Y_((q=k5U^*1Vcex6cTr^s<+k* zEAE_-ffwZoYqeXvNbLTPgZ`&%dV+C_vCROKQ$=@e^j;PZW)+^S<*&RG8dvCHQ{EY`gLZo{@N3aGsVxhSywixk;_5uNn@`4ZBoBL(ash;?Jp7 zLdP?h2FG*9h>!i`?K-Ax@^-v_FzQg`artFGV`4>(V8A3+OuiJdIylOUh2ybvqu-{o zdM5hE3Rh^q*bmP~{fc1}3Zt z>9m#YMj=$C2h0FhE+`-nPl&4|C`^U$8Jy{&B=966f`%=r#LFrveo>F#wsw1Q2R&jq zqqtp5sdbUdAv5%zF&h*WrPditNRvhK?bx%O4XPOy*}n^QHa( zQbn;f*4;2RV<0l6-x!U^1p&Bazl*i*nII}ofd##Fh5-`kai_!DP)};0nZ^~v&>!l2 zKIn}irVG!v4Lq|nQL85xG9PHAQm3Rk3kpoYp4UbV&4jIHkBtpQ41TW&jOO|P^~h#W znwRa^hJ;&c6eI}hvK{G6fiDq2(@1sP;BvzX7)s$>l7UCB_5rRQ?>Gg4Z1< z4;^CQd4;m0m}1#BADJQWti`$FD5y;(SH!GsyNR+c(ke>37(Gy91BWL2AZdr7Vn`?& zVuKeUCMPOLmcHzhiFiPoo;8Wl6NzN%1NF1+@>D!U1aCD^Kb|1II^?l=E7#A8O-PB( zWQi&4$j}GX5z-tesWen|O*Qa!KupTz>ywSqseRR z3!N2)$HBd;c@^u6e{{EREbsuETx|EU$v6GApeP~jX~abw@DQH`hmnnKK}6{F)8x2O zK1?ZC43{%E*E+VkeC(kYM&Xf$``=%Xp0a&}p|kS|Y760iZ)!j^Ch}ZN7|kwz2h+ui zIe>zk7fn?Dm_dJ0Qb5xk8gL5a*T++{1&uHpo!=hmqxZSQr99F0PPEtR9lIeAWwlE( z9FHheoYNj`NKAlrejk;VkAq>T_m=62hI|g83{wQ#1z~{3AasgHz@3i6LhN!McTl~ zQ-!3;xFXB2K^!x1ZGJK_@q90aaK~;Ih#pUnA>x|hU!53!se=#utT-S3oBe7>w&d1f z`CY}tj`X2^>v5H9f-}+Vzv>YL)UBRzYCi`Z-3uP6B~c^*1*E;ni4gWiuidrNP}Nmj zuj$RxwBt&WUff%p0W6J9Emf~wk;CYEX>M{TyxcAq7WIP5PxFEE<+09+VwE|q=5PCD z`Qr+d6lMRp1D>No+DwbsHr6tFOCbWCMAfA!f5D9x!kM}6m9~70q{yUvw83;H7Ko+3 zp?cQV`MWl_7W-{!gDdYMpM#NUe3U>kVuSJ;!0FgIrS`M3jEDn~O7?XU(OkQbbROzx46%JayG)H_D? zBMX(dp_Lt{v{$IQoCeWzToyB3;8ua-3x0W|TH}9l-7%umAtxA-5_qU$+T?ZPKaRj7 z&0z%x0^%`ZFc)@VOlbbeevD{w?SZ2}njeD|*U4%gDT|vL;3&sgn_5wm&Dk;YSUHHo z%&%8PHZa4;izu;v;u-qO89QRNed8AflWklx@xGImXzX&_=gcvnX5OdG!DB!N9rs!^ zV>FH!gQ-cn@fpYRE(BKr_om!dEz!fWVMWu#T1LV}zNh3YGJ03LEv8bfyqN=3Ny+$+S!}9u{E;VJ0;=8=FB1?e44ix1>>hx_xGV*8@ijc zmzcb~B|=FHjw8j&L7Px+VG#=2^B6HzAh;|NBbOXBN}##P`|n0tv&K`f;a4^Z+jG%3FPs@|)5 zuj;+3_p08jdjBES`~FXfp1<^Z@t%5Uo=2cehvs>t<#mgm<4sW^dX8srr|9+ShaR`+ zIVMF(^&A&9fmF{UwTxT!fJePm@4}br9g$Ey;5u*B!y0{@s)t>yL-nxBb*P@7W2vg8 zdN^4-R4-26Z&gEPZV}`JEBP^?tV8x3=Xt802U0(DuV>%G-eVnY`xr~=D6BJ z_B=ug(un3vqN)qoYfB7s$(~27_gnTH*L28UgA01dUW4yBWDnSG)!XnAy*m(^7t{N# zc~~Hynui_8(}d<>hx5?92J(1lo<~-hx8@-Wy6w0Yn+mYJ*d4y^Wt(3&BOJla%vuqHR@wT z&-Kzglv63E=6Ph+a%&z+&<@S>5>4$PdMH};MTj1XTHPI@hq9NzNc7Mc`~FZb=%jXw z9vaW=6g@O=UAO9?+rivh(}FnXsd^xgaSW)J=LyjZUOY9=1J(}BbKJ|Jd5(1^NuCGh zI3&;Us_mA%155I*h$Qd&f+P=1><0`bFE&wc$-^dPVMFr3v-2T&U{(=ENSUJOz#25;^!^tCi31;_w*oQl^nAm0FHYX6~c7jYByzsjNubrpJGA{NnKP3E%il_ zzo4mH0{3+-+PF(Yg2#$AjceA}Qx3naMbQWzDN%HItK`5n>s)Vf7~#3%gffOwNtkx#dg2!{Tnj8yG46qv1Hy|m%&7@@V8kIB7g$PU&rfW9&)5;@ zGrz#g$!lp1rXkI$8KbHSJ7;v~Bj7ojI)}f{v`eP<9+A;mGBc|c#=R+2R;+-vih9wv z5KHs-f>+dm6Pf>tfRgyZ!EQ$n$Bsu1T=t<`C!Js(`vL<74Nnk~U3gR2e2j>y#}_(D z5O3XBqVD!jn+Bm5m?=t&96Xu!&#%A!h;yLJg0{S}enbQgH->qsd?K<$p2M{(XYQim z;HZ54QFJgsymK$@%$o(;5imM^J5YNnmHgXQklW{`4AmAD_VtUqZ z?8Tq6X6#6f3odN6pcdk!it6NdY+`Z7nB3GTtf1L=3h^ANG)%%gf9LJ2aKuPcpQ^{A z@u`!m2Rw2RPZf6$;$kY%U2@GfeHUHK>}<}`f>Qh(Hvc}7qE|k#a{euXr(5)wmmDKS zeGa<{Z0gApyM^Jg3-dfH&0_>IOq^2-T%}EmJqpuPc?Y_*UWeqbKQJzv6BvQ4aYk#Z z#l;zQEEUea_RI-kwxh6`TB;qTNPHIW9+Bej)pTIFHPv+cR@9Yn;&Dld{Q!#kwZGVO zujhF^&+BFLaFaQ(qHM8v7b@z@?~OFb+PvZ$fMFf^9r% zJHkAVL7B(%01NMXfagJ^Q$HRDcno^rB`bTL68*D1kKz`hX`ZJ*M0ZjxBHXU!DXzQ6 z@|3H?VR_OBvpm3cUCU!zwCs5-3BI03aT)!19vowO2ZrbIgSBjWY>j$NkEOk?=}|0W zKbV;wjf_Uu^b~K#V|oH7({o0+=>e2=Opn5T{DqkwL`uJ=2RUTdgPYjzX{HB<2!FXE z>9Q{NV<*pJH&fQ}JXR319?xSXb8Ip^j};ZK<9UG5Alvf*x4BCOp2s3A$MaZcNP8p& zLA|cW+R@b9O^vnd8V}5FlT)OX>wAnO`msI6DgAIpcUF(sa~ae zmFiWhSE>FbrTX;>)!zzL`l6boG)7sc5LpkM(g=;KQwm$@SEm%$(z8x!q?DvnvuUR! zoeG?E>Wm1T!U`?v6!wsZP9clgt}ZEN<_GTd&?$|~mUK$-8tv-TWbN;VPHCjoq*K7- z>Qum_Q)htd6rd!X0&WkT(ujsP0MXx7?s5ZVrl}GfoSC~hre2y#a=CY|ynj!fB}a~_!j9+YJYkvXqS0WTRxrZ&DZbw`*?8LUU9Y(360WqbB3 zQ+6-?%9Q0uNv7-!S(b1O^2GW(>l7ZmC!JCp4sTZ=c1|*dnkq@AP;xcNlw!zOk|{(= zk}1VhlT0bD;~`V%K1wo$Zbk1T-1#9>pr!DgCR5;uc4Z2b(jGFUkrCF`WJ+;wNu~lP znMxzP@O6cHWlG_kS*1W*l2i&LHIhmN+s5~l%7Uj|`YQSt6Nr|KyZsKQ|etM1cO7W=6 zMofvaD2~19*+}8T)h-xx!2bYuuSAIaf!e{n?gLKrLO8Z)Uk22+o^RaP6DNJyr(qpdV)6L zdC2n;7$Q7I4OXZ-&r*EXIYvCkr5QWdgNSeuk*1H(tBWP4LyDYW3;nKn`Z-`ctSm?H zt(DNlD0ZLW5j|=1_YG`@nfr}9WYdvGub`wTiI<5{)lT-5q48E2N-qNrTM$zP|} zV2Q>1J;SZ=@(O9yXOQ^&R;l45_KeLd4MYOmyfyT(>^WyW{rd5>fj_OOUtjh5s@GS& zzUuW=|BA2r_1db}R=u|BkFr(&b814Lcecv?og$ih~O(Zp?hiI7FcW(&P(;Ayf8 z_GpHe97WRx$3C?ONbW>^%{VJK=oLtMYC6czU669!mVF+?To1t_hHF}0B< zm|HQIa7{2IU6LU|uOTuxoEiBFt5CvS3uo)gjTYyNi(qD6tQU1^nU!kBA+3Q$Mq>K@?`fC)5$pZ(()WPhqFlQ>P!s||dn*~bl@F#nV>d!9}cH$I_=h*F9#4O@27~i6{D6#I{vnbAOm#sy5 zs(7+Tc)Hc=)614Pp4b0wW5fiJh{P9(Q4t!)l2k19H0;N>7WS_t)77!N$J=_Y2=pFQ zzM_N7mDC!$IxANwq_yiNo7Q}O2~{P#O){fkJl-z$8IXWHB2Hd#cS=U3`a$cVCo`Z( zs5%R`7$LZdghv>w8(D*0D%fAFier3~*$d9CMsvj*S5Im*e^F?N9ixtegO}%gj4&$& zY>KB>)%|~3Tfj;b8qo|Qrc+0L@&11etthTP7*R(&&a^eU<@p$8Ysor z1#Nl6TmqWH-x-bvaBf+O)PVgkE0*vPxZ>z4zhPpZAl$adkS|GKcE$Lt1uo&09P2C2 zt;RcIJ%SaY#2bayjwtZD-`yTbwK=ZJaYpd6Oj~+c1 zMoEfyLkowWn(JdX+$*H7kiJ6t2MOt~S4UqReRcF7)6w)jDw?}C)$d27GG!V45+RE; zT31FD6SXU&in-M+qu8gZC6GWPuc2VGOCfa#??_Ft-f}3R59^pUei&HbXr$O z#qa0nsOa_ZVZ~~JR@RkG#WWsJ+7^Qyu|tH2Dx4vzCZdX0+d>Ty6|JG5Srbv*LL=( zeibEzbDoNsS4b6)wob{2MUegxQdHrZS4dH%r;caz!U{iVLW)YS{Rk-klV+<9}$$Nvo+k`PZ9cWTbqj~`BQV@;O{Ey$H<+fsjQzP zcdtF`r${i(ms7Y$*fC!_pA5B8;~az5mvf9qj`=I%rN5W{Ui$k}=@(?-AFIo6jdyJOp4@X_=(jAhtui1^8 zU0I?(JmBh={&-7n(4S)Fmgx^gQTwGoD;m1=r?@a@`a_u&zVxSfgaR7tvz={uh>aqwP-v0;MF7w z#n%2gS}R4{-tSTN);5LFwPZ%CI~IK32KE`F$YaFpu>xvM@mh{oJfmKIqqq|293I1O zthEQ^ki8obd-rDTXm7&4Kq-!AACc6I84E50Ns`1nJC+N50<1zFKweoT4u!`xT=qp# zrJ$S54XZ=JPfyas;p0u{k;)<}Ts6I4-UPcNDJi z7QrPw_6Xc$?$=zwN8+dGU4pNChLm}5T!gg8h}QNB95uFLkNr3>U6zG-<1Y?&s_tr5 z^^1gm@CJ|_nIkG&`iwQ43i|jz`&E)-$!-JpK1HA4LlQ*|=c!FEs#kq~zzha8WEH** zZ)~8QtB2szNHHRqTtgbgW5lU6uR2Yog`_dtc<>563G3{9bLuwCq# zsDN#*JyM8Bo%6@;*?>coqJ!BYHut|)PLr!u*gi@lacVKHf9bCS^Se39CZ z$9Lu1TD`5++gklGt<}%BvwAzLx3l{3c2;j^^*`vWejiCL=m^T)SXm_Xem7QMBH|H$ z@YPruF0Gx$s?6QPL}O(UP31IJhH0zOSQ&=bU!9djrsUIEiJi}Tn&_+ym$6LJJ1dK5 zm(y7ZG9{<8GCcb-d+)3S#v-SaGAyY+aNWJwinOp%@2n(y*Rne+!$Vgu2FbR-YTQhPS5p;y{rJYgRn^+Rg7nOvlXiC4^l(WLO-Nlz270VH)e;_-j^LxM_aZ@?Y%|6|1RkwHIgtMq=we_Lu&6N z>L#_r2dQ1@AEfrDxk!ztk&D!Lh~Vt$E7C=3e~H6bztV%#NI|FoM-(f4yWO{ zqh6dY!KTIOgBPbygq${9FHU2{sW+z)sTZd+``XQEG!o^(X@Bf?IE}|XjP~+-Fxnen zIgCc4^4yF@pBMxUO8fI(lwKX&qBNd!JSqKPZ!r2b>er}WqyCeO`seG@uTQ@|{pa}f z??duzS}YHn&Su5+VbfnC;*nV|o3>SwTsCbumxoPbpMKf2;hHa-&co~BvT2L-blJ4w z(b}=;Y(k?;MBSz{Orai|&JfpnY}#wMELjjM{KcmrW~xB1?uUizB)9rqF^JE@VX%tepFu`YKWLtIseA?h2pTPKKhEI7N?A(AZQXGGahe z(h!>G#_3wP3vi_)BA?$9^!#jj_3+ihR}cRbJ^cB~;eRfN)*X)K6{OSN+g|zCj07h@TnMWdqk?Q^F4CU8sqOGYp*lw8Chrk{B{d3hwC0#`@R{z zE2_^^W9`@d)8mY=jM2UHTgooszaEilWB?W+Hh}@G`1Hh1+20Ql`<6k(HUw-$6(wY_ zo5BN!7g%J1mlkRGRv6>tR~?G#f*5ZUl6?Q)Q0IV|cw}zSXap9SsA6mh;U6#+j&QLt zoGY&&!Xudg6wWC48Udc1fs_G@rw-q`HD<`H9%+qxRLB#u7Aq`_ymAI3i57?z`gAOl zrxHh5`#w|ghex{n)Tui5OYxF`_!i@}`{V?CQF`7cC)Bd@8j$0m_xpc)sLB6TO1xv^ zemd4e!?f*;FoaVn$qnRdEq!>Yb{FGkeKC^!vy}zHyyVGMMy#6hdQV6{PBLV$V0wv6 z%*V}uqh#u1K#NSQ1xB8+McXA);}B6Y5HTE5FL=M^iZlrSUciK?_iKw{@40T#W{s-j z>j+D(=5>j(F(>5KA0tvB52SjY-0rZ$SVZhS&fvs4xo>&?`1%$f6o6MH|Fuf~d`0pV z$yX%*Z$RS{*I@^VjBYvM?40wAIu`yrgWw3 z01L5q&4DpPjRN^GLX|i>YTUp(dEk+_KYD)0o$ISz;l@4dnh}vG@A4icUe6v}kx%T@Ud<}R+B5^%% zi8brS+_gZPuMsk0hUu5zqwxPeRw^2|7@e8!5Wd-s3FU$FT&Y+QshrU|ac!{$WX!O( z95ZS}nhqYyUlZlW8Q|E$oRMT+BP=y(tZ_P3+xyN3ma4(6?YS4`f>EL*?)Yz&AnK}oIF0A57JnP}X$4&t8=a+E~-q|IV0x^O2D@wMRD2|9V7H&q3h~LOvr94pslCbBsG1F4UE* z{Cml^sX!KPGdV(#cf*Z3;#pNx(W(xuoG=TYx1*jEOr$2Dm<=MQp#hGyu{d2-4EHG3 z21z$O+t>w*1?{;c_@)j^ObA%F@k9Wc;M1o#?)8|b!J$iG6vkwcsbOsyC$h)L^;lcr zQ0H2&5k{pM3ovE9^8{n*WK$04k)q}ZZG|Ur@?Tk6p5wwbl_kxYQ#y54{1I42zCuzz zPfmNs!8?@LV@h)@l^Rv*!I1?Wj}h)LSV1ol!JWsFsT^rrG6la!-e-T{x>YIylBjz{ zn5I0q0Dgg!?i~tCX_k=UT`N4J3zIf?#i$iX4XP`%Rum3oSW4V`a)mUOn5SUC7~x@w z1nD(;Ie&dPQ(3OpIHRTfW4oZj%UJQvD~v?__(t96Ga+Ptl3{nE=H(id?-G#;|DS{D zgzq6)vE+zk7H?Awvmzu+ETE>9$_Vtz;k+d(D08>oqJm_JW$jl5!BFL@4=CHSf~+#? zH2@P=35w%dxj>pDCbX#PDaA*44}(KWe&9MKW~_)*&S*^{3!I^YSvq@Ys#hBAbVGNN z?QBK%BXB(R%}UH044eN?_N!divJ(jA{)!hnu%KzW;d`EBO)xK-|CdZt#d5}S>P;uQ zDlUhmm#V^9Vumja6x^u<^Scpe6z!HSyf)>M{d_6|W)S`hMSPB<$3WcsnI4CM9RC}7>NPaJj zUELU8^xUr*4KKC10-}!|_37Ct+{zLxEc;HDtM!4E2JDQOVh>SZH>@!IV91B<&MLTA zH)OO=#%Wg#Y=~=w_T3Yd)Y_*D7>A<> z;ZS&R1|49{mihzteh9;7AaVyK%@Zj4=}l*f$H_=Ust zgj!jPFIOmLzQ=JZuI|yTtxjsXvHEg{Ys7@Xa$o?DKybgfqzF}?xUFm|2RcoAnb`~* zi8JLeG{iJwrC&3`h^-ZXry-3k%6h6yJ1`FcBWBTS1J{md8^0*D1H(siL`O#HtSipp zQ$$8FFf?7U>wKQHc+IT$&a``DM7V38p&8AV5sDn`NX*I(PPk^&;EY&-`7tL>yS4>u zWI=JyV2wjSpn2`q8r3y*gvu-QI5U)%pIB|_@fs-t!zZ ziKD5|J%DMYvo#c@8xLLBJboQXH}^(7*^Z6R19pbY-Z?m>{h;Vz0MGa$ceK3v^<)`X zeD$X{a7t<@^bj;_GG-RCQr>v+SiNQ_3%|_H4y+Al2or)Yz-yZD7zkaMEXBvcO|_rD z{QfKaR7Xpj!t1P&XbN233tG6$ej83H16N<+Yn@e*Vc40_-suAER1`#_2&z&l1h?jX zRVf|*RmVR0<;(6(LPD`M72o%CbeC zX-^8n+xse`JGj8hw##G2D(2Lk`9$FqK0RzI-BiSm8d+78ihcZCRok_t`lz%sl5=69 z;4WUVuXGf2-R?p&#Xi9;R+me-*WHe^JLqdoh$o&9L;_PVjxjlFK{Z+BzgUNiQZvDb{fW-Lzi1Edz6s^jiM%)TBYV*r|! zLxCOxA(dl56{M7=Uto(q`d4)aD8vgevR1M|I z+b(KQ&oXmi#m0aXnz^Wmz`YzZM@&N!HMD1ptMCK*kqF(~K^P*dn2;DE!}%1bb_8HM z+((rdFOUJVy5|U^>cCFxyQ-f8fmt?JU z)Teh=S9mTB$H#lmU`KT>`;sa~@-mlLG6|nAGy5R|E1PcUnbtnG>kF8GZPpjs^z%=R z`yDT7DdH2l{+P-#Gi97+F+5gDoaegsI5ZtBcQFwJ66K}fd%rNj_sFi#8n9RVt2 zHw9kp=fCmv-9b%8N-+ny)#i(H7PmcE$(h&jMWS*PQc4C6t2wu9OGpG1VTvx@w-c?pT&o)P>hvy;Wbn<5S++Ya~V&+*?mc^UR)*q34d^9=j#CD?x(!Cnql;(;YM zzsgAM2;W7p&yk3SM10C&SP6o(!>|&+^=4QJW|hOR1FPDh*ny)3Er_o zu`-g{%Ar_^wCkbRfi3BwSc%uF-V{4Vx|YqUGQz_T2&LAGV#k1HJrpa!Hf>YvfL8tH z*fHW}hhqnBawrz?V%YUR2zGughhSrUwVPq%0F01LR6HMsjq8}*6dN~6?WWjRfjlrN zHulthD0bkUZ-$le!sRBDVF%7t4#N^(413L(3>zz0Jq#O{?s73~oFTWvsBy;m0zFt5 zhcki~CmA;KI<=4#J8-jnQ*6{isfS``t;nHR363r|#YS~WITSl^E^;U~s+09gij@eN zAC8R*ulaCnbb$ApV`C)wA=&7eQV+?pS3m#My|he?%Zx%8m-?C`#gW#M7KfIC#Oo~0 z!I_PP04fp5tmRA!1qZBJ9^~VzmIah58vk4ebc*Loj z!KkQ$9baqTG>x<;Ob`-4%fKpY0pkbNkmg>og=dgV@4U9v zjTxvS*NnznjWv6nxGGk(-uQ(V9y8?+C>~BF+AfS<`~2k|0X2>l8mO`=uyvH$axHDj z&?Mvz$!w^bRB@`)Up0)QqbX!;F%# zf|5*RwO4ga$c>(+RcbU7nir(K@bhMtyr-)a#;N7xlWR*G2tZF6sw_HPV%J(&-n>OAx~tsY0c-AkZ-& z9gZo|lfyVcXxl_dmJ~#kERXJ)|A#fG6E_Ez=tp9jpPnBxLT2U)s@t8!7QWp~!Vx4v zh@0cc#r%P*tq(ZhTrp@fFbr7*?c{ORytbG%mm|aYVw$|bU5^7I#uquH{F;%BGaPa1 zl`HCwROBmzKEFeFLpjEX_Qu#bv2jh^7`sX-j~S)m3lQq~75u;5fMEyEh_wgLYK z;lWgzmwn(!Sg^T(0~c-(ayp=8xSWbp7f;;`4I@+6IFQ61lK<~s zq#bHwB!TC6c-pYTSa_WisYS1czy%5EDoF7h~30q0g|-9XiFJ`CXz z2;9)q3NSS~-Qp4u=Hw=}bDzdfSRkCVMSqIKX{?p!0%iB(1Qp4+J3a75cF#zh7x!`M z?-^+9sd)lBD-mSxWC`d_Uv+8Q;(Ne#ZB2Hom`qzW4LJ zpYQ#A@8^5}X5afKDu|PIRy%Bk^1dU*q6U9Zz1rU+o^CdBTt@Y5GTeFt?)YDrzZNKe z6F+C6iiqc@;UQQNw$kvVxLU9kD_l$9IqdUiGg-!p?cp)p#$%rY_fGTd_0{mCg&3|; zh9Ac`y*N%`332fWcq4u69|!0Tw*;CZk29bC+{ayZCz*|GdOh{WvRjujUfWDe@8^Q^ z%*M6kh!l7| zPxE-!i5*;s92b3#XrR)jTPzP%aL2GbhKC+%O*b)4u0nFT!!<0G-@UQJ+nN=oz?woU zj}-A55YHO~s6k7b>VXxV?d4?J^#&V0f@Db5-~K0g|AR)>vfgX0A8dhym#Tt=tIIJ{ zli4>>9iw^jqm;#t`Rv7oVpMTmnG+sBaEb`ZP`E;379M8!nX#!EG9a0y;PbSd8ecZ{ z)CvE@BYPJLJvMj?Lt7Y`v>9?fbU^rJ@>SY;N&{a0*a+pzORpDp{pN}@!s4NV9XiXE z3Yg$N>l9Foc;Sp&D!D>i2=O%GdTO*=G#@As`c*NZ4qzlYFc!$VXVgI{9KmNV0C9tH za%3(XG5TUDpcvMXu!$d^?;YuJYujO0>JPGNFXVBN&PyTBG^8yk(|XGM8D=kQgMGK8 z2^N?J1!URApY<^&I;lpT#-UJjX;h#aPDEC92%s|TOCWZ2)PybR&;DmXXe{u>#-57v zCJ8;6v33It^hz?#LB`|VH~8x<6%Bej@;T7-oIL&D>rT zxk=S;ICCn4}%NXKdFQ9?X_*@dmrJ`SaK3`0Z%==h8lx_PMlwgiHJV zGijem`%Kzr(ms>+PcdnK{!(Z88Ks1b{^96X1>UCR0bO2fpqd~#?v_PX`+wb5ksJ>J zUfXM#k4$5Yk4(;3mMMYNv9Zfu@nB!!{2JE`S=eD2KWk(Fuv;>$7>a9pJ#;*sGlpFp zG7i6#2L`wF((8Cy{>Kpwc&&4U#tKgktZ4o^jt6jY#t`Gf_wH$i;lde;K)6#3Tu-Q$ zm$~9)uQJ>Vhlr6W;Hty}c&`WJnq{ms!()jRS}S7N@&EH#8%OqqSbL#yJlnYNu9Yh= zVnWpyUjw)TDq)-FO5(C&BVzn^T;ro!l;XH@#JaIGgCdej2CD;0JB)TMix1d{YEM&>J6UEhXS~}&+BKhG9}^!{B0V#9 zn}h{tq;`!NrsN?FmET}W8B$Uosi1iDI+zfcq2#YSjDwGW?KH!lzG6i$po+hNG0R^4rsolmMF3>=dECqv+=iCtD;=J z0$V}j{-BHgs^oNtwzY$T2~~Q+HAD{!&d5}(&^;LftkAj{B;g8?oxO`M zz>3C^I&tl%4otI@5BaR=f7M7i#AQAcEKUxS{BUPl~)FVG0aRe9|fl)LR`f z>WvGc!SyI^Y`L{lDR9S}5N;jg-h&Ee`S!;(GD*lGUdwCkZ*nIs|jQQP1hFSyTJHVAzoh08pZjN6}5_as$IrO>XY zxtRI~^sAWqC$?*b)N$?5YQL)K3FE1zC(K?-_Rn7*0*_-4D4m=bN5MEE1^Tn2<`otw zry)ggoyRHQ<&I3VYxI-{u&9CM#6kvSc5;DU&eF`g8LsRsEm~Wy8T%MZ#-6@XPV(0c z8|bnSV&FZP`^u^p)<0ACOA^Ys8<5AgK> z?9>Km3zLxA02|powE-&Ote-X@VI*rB7~FFA)CTwwaCA9&HD*Uto{-Gtc z0gh*C0}^H%@Qknxh}U@A0FP6<+5kVYr8dB@?j&7)Wx3jbpom;EN>6Qolk8G1XQ8Gx zz*#y|8xXAhNo|1h{CFZIuVtq;K;8WQJbi${v93PAkL;-r@H@`84~UOSrpVu+to-+w zsSk)CUVVTwk}k=U_rL5{%dQwJ65Ho1&N_>zfuex#i4I1Near6P$W0yuI z70sg$z=VAC0kPuI2kaf}K43;x-DM6iJNkeFs}DFL(Fb4wyZZqAu{`G|N5KdT>6j@YS@@La=jR&S zNJ`d)C62FUU#V!k=#jhXDG8r77!DW7*yZfz8Gbz?WiT04&DnL4PV1M-I+p3FFP2oj z^wf#-Jr%J<-^nYF)VX9o>?`g;6xDW}!@A!iYE&34QzJ9*so|42poD~6g#$CP<00*A0v=FYlWor8Xt$fMFNW1!rmf5 zJI!R+TVM&Q{4KIv5gFVW$yfW>26y5jb_|C*v1wOwxcI9tKILB*TPpujIq4WDPkw$k z8Qzq2kspV_MLNo(hr>m>4tbNx`9pn%9Bys%P4Z3Gz}j*++>N)xJtE<7V+H%z4mbXx zZip5ak)`_=x7}99l~glgEy^giU6^uVaj`%bYr*2~Eo_TBBN(|o?!>urM0nf-%i|uA z@VHpP`fYOYPdhy>b}bhi9yjVD-3~VR$WD(NN!X7OHS&IYT%?EP)8itc77N1TBIQi> z03P=bqW%8m$JaQCuScC4`0Ekz!Azy<{d)u=!$@taht#_l%R|`gWLg$mHmBM>#-xBjOY;>+z+stoy&+9Utg{z zN^=pk{wUX*(%PfguC5tf1l?do=D9Ct30{r&kbUgy{{D{#_~fg+n)qtstBJ2BzMA-# zHSy;wiT`_&`1?yPvqJT7RK#4$e#%h92#mB95qRpv5=6k#4?RS{#+E}spP9bI4!HGl z$RQ#_3Ca;RFE4F51kQe_Ap)h3t%kr>ZL8slLk-V>YsE6^R>Sy1tA`rK9z+&UL*Q$v zn_HZsCqLvc&SmK_!mVvR1g?@R>S08d`h_0GoNFmu*WKM3$TjWIMDC+JMhtIT6yqGC zv~{j*TNC3VuD&(##MHz)LQRYXYG0bjds=$sns6zCYKk36M4@j0IEPf_QIW2_hm8!X=1-+t`A5VhZ9J zL5aqit!_b#Eqgl@F>=_qAV!9cTkgn6=@3LxSbdB@(l0>-zI+^l7}?af9!9#gy!G%; znvEVYm+8WaXe*E4-s%1%o7rn*Df}SG_v%=Xu7RI}$YxAx@YJBDUdFGDG;EAeE zkjstr3~IPIVm_o4DAtE-C+=d4nGIs@t8qeZ;`-bl*!6ICWN-lJGHB8&e<40HYnsrU zBU~?iUG*lYd5E$3OovYA=ceWcb}6omqoHDY1a&Iry!50ICCFnsS@G31l4dOH7MIdy zxv7H-O1?2DniCj-b@LA{!1A!nU*;~a(wb%@CiCEmS9RsO&d^vaFb2x)MGjGLzTAvu zUeOI#wb}iB-f-u7Hu#9k{J4au21ByCSkMse*kEHppQHfi9Ld^5(@vteBR$^UL$Bj% zJNMZnp*i_Fm$IQ$W^7%eo0tn_wW?`VZSv=cOCnp$NkyIVmamobO$l>VW}q(_c7Ncd zcz48<!e>N{W|Ht>7;+YM*20(-wtDlh(Z_d-#z^?m?3mykY3_L-a)H;})P#54#rkzOVyRa&<90MBV8%qunakru$@)v#56(fA}KJzyA+(I}f)ETlDO(@P0QGxwi7}_bU zQP5p!Ij_Lh4?K+9kU!`%cSdpnYdj%WC@mEdvQew;YD#!MXz*6swxSmo3LM; z(2?O2kC8Q@HI&+HWWo^)b`KMm#A8(CaY7rjHf6ylCjJ<-7ztekHyP|L%o*2JjuG=R zVRB*x@N4m$M&aRQtAI;zshlJxsjm%Ptmr38E{E%=g<)Z3E$}N%>50;l2xy|)dvtT zZAKQ!B6Eq1Onp_Ca|mqk0k`OvdXfdMnmv`HojbO7^xd+cuN@;xyhTnRCpn>|+>RSR z^!B}iQrEau=TI-+(fcKaquN5IRvNZU>=fKHs-Lm1f2=GHQlAn#OS~qPpGZ&kmmtXa zl~e%T1-q-uD_X`UE=4f)@PVCfpJXs%Jp&wZ0TV=5dEQ@#+%yy_6Qh53+9d}{cW^b@ z0k@JI#C8VU^Mc*1#XdQFMPvctsObY1?*mgQc!MoXjPC;+3CSq^!hyJc&`R=u(`QYq zEY)KkWv;7~+q&98@ z=TkjhyZ&h*@qLh7Ul{!F=M}$I^S5gLR?Yt>s`=-)X#N(>-=g_jG=GccZ_)g363svV z^_rQjljX;jJVar?UY3T{i=|rk^vepB>%^Md(wdz9{^R!_Xqw0ZB=)RGeV$YY?#~7a zORrQhv@8y)pA) z=*Vp1O*szSu(6I*XogyfFncE~T_(TdAfCc3#)T z^_N3s;$C^W)K?J^;D+R+9?TfgY) z=ERa%zyn1{+`93eEq8G5oS-&cn+!69$NY%Taxg9n&Td0z7TpS&6h-Y#xm0_e;GEh%u+}R@ zI@6qY04WhulMr7i#>PraLB$=_(qay2G2$rpLpzCeB764rkEZybDQvQeEZtked01Kq z&oy+*x%~ZPcvutF&Qw6rCD@~ZDZ+^PpbI-^g2Z*Nm}=fSVTN;P_=Hm0Rq!nz7Rd-b zWc-gY zUeWMgRiE>?Xmp@K!hyAcz%v?btHc9^OKeA?_@ZY#$8<@XM9_D139K9s2;y8dH(Zu} zyg|aBOc_hKC65iwce+G1Pu1dhtLHOY*YPW=@ra8fCZk8ztX1zWVpDo3%%-Q^*zq1z zb@vJ<^y>uV!OUOmtVViBm)PC)x=qlvFV{1ZkXNZKD}K!+*d5{ojcNIu&wc2RAAkLZ zw_}i4&b!jsh%579-G=|bH-}C@lEB~p`}2z}KVLRJC+6drG+f6Z<<|Ik%)Ld|NzQqk zbFmO4T61+ysi&_j7^8|UC@)uZWeRK!5n@LYH1OH&#!LYe@am5hCy5IGfDh|}PsJ+m z@z4%fdY(DiSQfpU)y2dF)-XXoXcnYJBQ0-9kFF|JNW97xCb1It;~mvHovtEx5g%8} zCgDDCZ~NLoOZx9!e}(72vaq4;&lWL-UhA8Z!0CEuV?5)Kf>B?DslW6T{;=DR;utmH?wMB@?Wrjgo!;w`y{EIpSxx*jE}xiBZp zYlkc^*L+I9cje@2rh7`JBK@}df2DIUk>J+vHf%4EHd;)?EnHZ<3vHVO!cHoZfOardTa`^~2 z|5&FU=Gx+PXp&oOdpG7dk{fJj(lx{*<=igDlC`X|{6#nYGqu?ER+pSn031HL&xzSo(D4w#X2QUZ{b{C(Hpoin8$Sb3JmN5#K!?;}P7_)_I)3I`uF5O24Vzc!y?B*PbI^ z?+=VO%&Q)4VM;p|XT0Q;eo;)rIf3yKBQlk@gOenhkLcrqe)W$1`p(uB_t+sf9dm5t zjo`ZMA4eDz}2j?terMcI?QoCu@32Irn+eZI=dLR@)pgl8@9osMlIo6SFE7j;V3{%=E3Vk%1gb3H-n}E* zl`Pc@rgLS7uW+W5?U|rro6T{@zG`JDX|XEj7FynQ>{;$Zlr}myiwgsX@GLIoa39(% z-u>!Bc*!nt=Y^u4J>$v~cq8UxMM!?=gp=-6#Ng#SvAR>QkqG$^9e_)&`u6!@uHl1^ zZQOGMUVF&k8P_V|M9WDsWBwh=ws4#og%dhZMO~GDuvo9a&n#+S*V*LF&(J95Ax+B% zG^Llb6o{GVH~k(?7V}BaheN@Gpbxc~Q%M>Pc=~ga=RvQm&YZzq18zBCY4QY)@EePq zL(42q-$qGAe3A=TQxCi>Qg2H&g%j7D$X+jC8|Z|tPn)T{T3m1=XzL&R?Klqy$_gq|_Qx5Qhs)yymd zPccn_$)?ZL<=Wkojzi+N#UBi+LtH8 zgNMi!GXsk2hJD%3uq(#CaaLrs#|k*Jb)Uet#w0pEp_rPPMl&B4$q79J+&^GWpWa|g zcrN7uZgMeA8N+g>&FcqiB}1yZ&>dFtVN^Zh%H!EaKD!-{u2D=9YCz0uYE}BN-iphv z-ez?=kg;ghL+0Rg0c*oJKCJLUMmo!7>^S|1BU;x zFNzqR9@ln-kGyy7OSolgpVi@OC=oY}!qI|_r<-19t8bqhk-AeWLqEI}=C6n4NawxF zQo@(#EZE9x3T;4CKym9q;#&LtmHj&>DsVWl-Tyb+)siGjXan~?#azG+J_5mc9QLZ; z#`j-XsZ6Svd9&(}8YqyIsZ?MtF9A>4<2t=+###`|Fz2F~Itq@V#sorxZR$JFgRnbI zU#1N`$rg>L=7N?*7!_jeo4sn)jwa#HQ4yEyg2qoz&4KOql$5sl)FLJB38LUwDp@ub@}!;D67O# zbhRj+Kd+S5IJURe8*LfsPW1x9X5gM1{cSjlJa?!qYWFtcU{aq!~>Rt=2%n z{(5H&c&4{&MR43WB{kC?tMZ%4eXRy<7BO_*B9h&@6z)uWbZX$9{S_V`CVt(yVu??Y zv)7Kh^z#jRO2CUN+yoBb72hbVkM-QDN%{349^893k{vV+Y?}n^Y~0bL3>N`cF#$dN z5mveA1A%mV{scxfdb$!ZIDAs}XR0);jx{oMr*M2RmEBnHrB3ajhc)y0tBO7`q-2eB z3ddodm32HJM=QT%hgbGi(P;YGTW={&D0MJT*tYgFT*2N7CC4uW!-icef(*Usgq6Vs zcPAKCh)r^)q%4E&lG&aKJT_9kwSyicYOwHFMP)IcO-Z={1!*VoTfuyNI(rPXfaAJ2>P8lrIh=6G-) zj%*&neV9{65cg9P;EQ|DDd5F@%n#izR4+{jFYeEjb5=wl?s?%p3Rbr~xQA3}FYa43 zkom$sOv@`gux}ur7xiKK-Y@DO`$7HV5$bWkdO?pb2r~jbt{@s9=;I$r0D^vVXu3eZ zC?~EIN^rFRgx1AHi?-qS~oXU)0Al*S!BPXL#7mh}V9j z9+4dVMg0?LqW(uJi24SxXpab}kdFH+O3l9h_n&|KHx>k{vbRK1M69mAV!lz(WCwZ5%@D9;m`r%la-DV30nvCVnrUch9$CRJ{X z3Mh)OTb>xDZd*I3sy%)^SFn$QVbYwMAj%xtFpaUOjT`2{RHf~WnCd+v-i)pLCB(S6 z**A*BuB4}kt#^60*kNq&PduTWzUOH-NytH68=kD^RJzNowYbx5DF6-7DS@h4it>uGb8-;)4fbjp17l;&DjJWC9pGBO zRs@4A^@!He9vUP}JECj2DkbWpCVOeL2tRBwYe6(&XM*vl=pnF&3dy1pZyT(~9rQ$L z4W4KjrU-2mSbm`}up@z*cQA?zuj`9 z${pq>wru&B?>2KmRldeZvu;vlX*)LzirVnB(K6d+B`nH~Yh#Mj z1T(+e+nJ2xiL=|tGfUnoD$7>dXg+gB9rbgo0eppLcHU}tuE))R!yb&JS(6#x=vtCEgo3wDUqIJ1m+!?V6VL6DY2be72Sk8YN{%{ z(yfi8-t9*qDdA6Za6aYuaJ5j zb~s{6pY~ew-9=Mr#W&&K?Xj8fvHG=w1^u27bujgbB{U*Jl)RmIT_ot*op?qO;wrTX z@jgYc%aRj@rT{$WXCL}^2;v%0 z=a{HCAK#C8w%`~s&UMV;praG&RFD6~10_pOfQxvpD1e}$_0DXtx28bOaCPn+jNTPn zG!@^72v(1X4hkzDxg$JXXHK*gM~sCnl%-<=6S&IMjy=fv8BmXqNRWG3Y1gi?Z*xbL zLhcE>v^TM`K)09O+d4Zg`TWVRLd5;zK|5PNJ;@XKTHX}&jc9CwxSeRN#s5cDuo(Wi zxO`O2vvp}h+k7f!M%cxepn~8yyujVIu*$EoS1S{ z$mtf2o|7pQ{LTeH1?(B1sUCf&*<)izaDKOIMNoMg*9j23-@h?A4`Lw6f{gw$c}Yku zhd!)n`bvUwjJ2Bs2$&eQ#t(CsP5RkgUl@}Bo_U8M$*I{5udw~tdiU7Zil`VTuTB(N z*i{s#M?~;9Me0VWg>8cj=jeHl9hBd+fsU4<2KJDaTZMLNT7XQS=;VG>7J8od)G`U& z!8`e6Z4Ndhq;m}s(9G=3WiNT)-Q%SjMk+)5tiWVPJD!%Itp^WQm5k#N$$9h*o%+KA zy&BbWdpIG&PRWPfQ)+%^*;Zkm?@Z&sG-od8ny9+UET<4CiFJ~0jYAY#OuRL5sd*^h z4&ryJoIUu=L5wZKf0^yFdVePEZ5+C}_g3pLonB7H14fdQ4S+UPowx z!4w+JMmQGDHOmejt+BSvh{t8~oqXa|ee`=a!n?;c8%0=}@9PBMl%MFXxFz0jhsH?P zu6eKb%Z@k9gGYK$(#*vkua?SB6tb|!FI-}nN_jCk;!r)Jk7M%H%hvlpI+r~&M}}#W zVof)SIG(n!zn&n+{npoy955|g1LV`qS5diKsvwFZ`mv=Z_-jX;vZ7cEvuDwra7vW9 zQQ$$he{#*9(n1*7!k!vDD-=N3mCWQ6R}1wnt^!4eU5v~hPhS`nTozV?x9an6uoh`? z(<;VFD4v>lwfrY9gT#g1@b#Qk$7P2uWkY>DQQN^2JYhbe&u<9(WoGVhhe|;*(GPJ$ zy9CTS1LVU&@xof#wPGfI@&spy`uWDXEEJF@g3B#2s6w*6kI>Ksl;x>K)57=Q_C}#y}UMd1QV5UtvI=l z6ClLG?wvcVonon6Fj*_hc!fP#YYIC!BPrA_gzt#OkJAW3uE!bO0{7>etVQ>9Gg@wQ}~A2h)<>hO6rA|+t}Muo#lyZ&TMDrH&S9{=Z>~5vQ@pp%?29X)BTKach7d0JJ13a zr<*FzvdZT~pm)qnH%LS4a<0<}rSIs?X)djpum?^avE@H352mhC18`sa zt~TD08LjHtU5r)VH9zrLGdI{Wpwpoh+)2#3MjWbdQ@x;fEa_#cJcxwLEA&Zzik@4V z#Qg5UIt~k)LSjo29_;p9lk|;Es?C=6Ua_(vUGfmI`v7(`7e_+Rm`bw6bE{&)(tSh=6R}PoF}S{&W8XLx8SS+K&TQQ$u&R+n$0rnLX3}Wp!yuf=Y$KoDj)&VQP7(yC=J!5n394}ZzEN{3h{dmJXPE>~_lAmaqrrOLM zHH&5~j<#kR_CxD9kqx(HBd!#&A{#Y!-N1FaKdQ$mV)S-nN1G$rvjRbi@j4+igZLZ! zN)f{Y=Gs>H$a~kmgj-hjSslIxiMVJKP9H2h-RX6<`t`FAu{gCd^u4F0d@M&@-nT3z ze0NTZtvqvT17iOZcQ;5}Yrp?x_0E|KDV*5ehvakzTFM!((`!y)o9#5=+=l9+Xc$?S zn9qnK%MQCi;%>Nhi@ z?8BE`;a&mvSS_DE>dL!%#mu>A&J*xjGDq@SASEPuT>nWOhATu``=8WDmw&`t=Q43e@ElD^eSjE5A`pkA2^&W#8A4c6jg8oa)%o5XZD$ zXY-Dhec`s=D#xEYeE^kL_MjtI+<#J{Id`rM#PH$0F3t^QJ6Y2}UV=>Di0U zh!L+9$c3xr30ux(=9U(dh%K9$qNa>^Ws|)WzzZ|vCwJJwKn)YmEGpaaY+15HrAwE{ z8qe~gOYUq%s{>eX=x2`{v#MWRnUJSP9QkRv^^Fl3k*K!tq{q`psQc?@C{(s8lG1K( z;a`?V<`o^`{*&RBJq#)xDSN<~M_BeVr@u$`OC!J|dkhafUZUPP8a%SUMx1K}czEVZ z_TX#Y@{&FKl=jHJp?u6o^?;!(+^TQPJ&))C*Ex^qZ+wgXwxsBJz=J>!^3 zK%xh3YrjQ*TW-<+V30&lf~~$`sO2g3h^*S*qNgWEe?)(K+M@pv3W>h4Q;c`7+BnnRhJROflIIKDi?9Fw z^M?&Q1j1AGLEQ2EU$QJk46`Yo{4LAjutp2S*&4Vqy<(AXz@5WgVk!j9$=)9;*tF|} zwuIeOaf-ESG1e`gc{XK>2dfRnC_&x;H=PJI#K|3QyBB_!R=CnK2?@@eFv=XcVTPYy zn>Wl$cGY+_%dU3?rG<<8lQVJgh>tAFUCLna_UdUlnLx&2ioz4xRT-CX%N}#M@r6Z{ zS1art!zvro8&>t8Qo`P1+WfdiFKYka7sIZegGZlfxjZyL-sd704SX?RDC^I zZ_f3Xp+#sxX(j{vaGhVxzOk+gO^zZY)Yw|lld+{F8<>{BY1XsU8S@-0^LWSZ#*yFj z9gr!<$y){a5-cK9D~3qy6!_qQUT)_OTbR*0?b&RCm_MPl8)(m3twXQKW4umAiD*BTx_ z@D-Bw*UN%LhZiv+Opio=uz1&}WmLv}dVY+SqDH~j^C?|BWO{xKikHkmO<=tS?n*nz z{!6eGdweJ#(ZX);Tb8cKLkwFx#xxX@hGMBJWa44pVoPscvBP+ZNyD9gKI`w_-1BXp zA<dnfWR`HN`kov@Pt3XR-|r-hV;UXcT+$% zMdR!hj2Q4NUQt*OftNBr9&@f8d$~3oss#A(S(LWEd0~6jJ8YREtxo7Js&V{{SFGS^XZZ9HC0%+;t8+Mg>Jr)^Qzo?$%3E9=Tu zB-yDX6U-D)QGx#C5+?y3+M<2%>#~e2XQYQ;d6adpZUQR|C4lR|L410axJ|!dv&_Hi2+2(z ziN1Wah8Oge$ZkE+SG2ORlW$4~-xqN1#m_bAoqI6>-NL<$LVS{YMH@pp)yf`N?<}}i zBG)bdjaX*~_loTMR_>L^po+Z`nEPR@pIh&NwUGLShrL+CNbJQSu7bU6El|N;K7P4| zy&}h@#NMJEVqfH8uiisX?8Paa5A5ZwJ!)XD=&=%W_3lwO5__?0w}CgJ&4RtiuU)AZ z3FwHb)QbeAk<<&_dZAtx`_=pl>SeS&l+-JFua(q`SJ0_aFDB&`)Qd$@pw@@!qawYYO#B&SkCV<#I}uzZBK05ws&mXwr$(o z!H&&|?TKbGNxnSidC&Rt^^fY+tE%p`dNr!AtE$)Cn7lnLRZF-{r((EqxLXn8Bm1*U z^RYzh*Z?%x0$^JG8RpX@CUZ50byK}gm$U`Ov@jy z(GC@Xx0-ovXtVTfRF}W!(7ZDFvbUfAxEoeAv_n9-%h;-sJ z)+o`hA-d5}&(Sv@{UGJm6L z#-HpUyzI190VkbkNq?*n#kvy8K}%~{dSLOAc&y%au6HWX+-T3e47R<-&>C*Hk#0sw z9I=INC7ZxOQ|e(SMwc}3WUmX8oe8O9Q8O?Xxnq$gt3dZ0kEd43G2fAeZijt_^}vel z9yAs2T&is?cykYeJLjE+Z3#B;N3_t0++Hov7FM}6)+j2_Uik)^@(&oPb%i=H(ddSX zz|Tx$R4z#DO1lY)*4~HiQ_jSEyuq+Yll8QqTv7688Llk^b{lI{6=<7}g5Z9c)rDAS z%%^xb)6u7lBX%s-SYa5H!tyNT^agshwV?w3*oWx~BlDhXOceM~Z_CtN_%29_2}1CTc@Zsy#D|k!uh|m!JGSTjxobIzkr&K@wkp+F*w0oo~t6L9) zBR45;Y;ryd0(3uDDYUG2iwFu^vxdCQ&%M8=jOis?Ho4^NK{64c7(IRMQc@zk8)Q)q zQ^&hxq}D*GIpOjp?lD)CA^2DhO9Mu{M;i7mD9bvN7cedL^5y=P))Z0Wx z1QLE|)m;O6=%9WJt@z!MiEt^$*_tvgsfody?}-k1BhHEV6>+Wv5O{S?1Prxme@hxf z-hhq))73_R>|rd4y@;LNZ7tE(z)+dL2Gyc&*bC19Det#6pY~grczk)Szj1aMV>^$6$Aj)I z_BdF{#^)9XT&MkqXATC>_00V5yDCf9R+=)l15T0!q%p?tlMI=fcR-0m?Xs)cZ(2Z{73|Hg1RZQ*H!LakCYHiN z6B!#q5_j%@?_F`O0+?%DqaEt8lSu+y7bDSv#V0u*Kuq<_h&Qi84i&Hs&T|OG_rb zi2G+`w>jM$XtKTy1biHDy~bladR=(nP&9qM@ckM{x@A7I(hRha_PN0Wf1-wxlp{JolVqJTYiebBr9MIHTj4?x$sXVHb!vz*We7R(@NI<-BsrF z6x+#neD3LqThJ7|8?pcw@|{93h7-e$+oF}L@1RAjK4k>IX%@*BYvZNvl|D&0EuWJ4 zrNmgMV>cw8^a(y8zbQQFF;-MBEZ;fuUBmn2FvIqd9-t@V&A6%kDo!MDDezconY;L> z&~iMgpwx1~l#=0cr{o)@fa%%|m7`crSbmaW&oCR>ytL*%F02gsK5q9D_#8cF{U7W* z&{;n9zV@R5-9BzZp=y|=+!Wm&ZcHioT&M3xprzeE6KYvn-b8`4+3i>hnhe-ZLIDg}LIFlTl0U5d5mc7Kn7*wtY4Sk@K~4 zt!d?hR_w+x^UzE|)rXc+cx9%4^g&)04=rcza6$nq+E3sXGy(-2|HO$H5`JX(`4f6+ zX_N0+o*gO#Y9jWzy(JW&W_c%!&&`MBn`3%7k6BuTEd|e^@pwQA#+0VL(kH(#zQ*Ea zFCpJ)TN3cyX}4*t4W2eEfe9vXV$A1j#)WfvMQf4*#t|BVf80v#sh2PmoO)rB*~Z<` zTFGSM&P;1+VUoQpqe?B~Ye4qB&})Rjbf9Z+Y_@Ig8>qKssY`(B9^p6lGIh@h_!sL? za4bW0jgwk6JW(HW|1;?ODdCd7^}lyvmbO*S)uHehz=P^hx(txK33j~POCMre>GVh0 z`1v+_sIO#@0kI~Uk@Wp@^&|Vv1JiKeV(~RrEYTHB8=i!pC=~x8B4yV@b7~=T0h8% zKXXSwyA5Z>Z)hx;{(UZ>xTxrVIQ*|f>xv#L&2LxZla!fn+tlZwTZ)e;}LCY7tnVtZxMPE8L>)JXNaURu<^WQjym zX_-srm8-u|EofIO_@-9p{j7p}b55r<6n3LizY%Tcq*lKvHY}=C?~mw8qs39mvaAAk zV4qWI)8m#&iz?E-)2r?yVOe>gWmN|jb28VqlYrWg z6xXCQVIV@x={fM;+th)}`P4Xca+ClcQa*k)?<{0;_E7oJ3Gp1mY*%v8Xv_sANzid% z&y_cXy$5E9C~F_Iu!&bMX06;-AV+_ZmB6zYPO{Sv$HVB#y{{}jvNUqP*i;>bcRX(4 zvOV98XCYhH$eo$4+ZTT7|Mp={Uh+c%goih5Htd8z6}uQd)wdbAlYW+qV*FTd zPC>Sj6e|7)okv*HCkFOO&J*lU5!XH(2Izt;r@Q8a45xR>{lA{)tFj&b$~%8O7XuAd z+S}b_59a;#a1Q#v{w`cspUzatu=4Vuk!?dbEb6LC7voo05%KAYN*}^wnip+N-VlRV zjbvPS5Z^>&;1+hnX7J?6u)sYk)B36JF*fycyFkQ6-K*C_A|$Pq8Sal`N^MVc#7zp>#2eWrgjogIra<`HXmb#^L&q1lZGQBzV{I25Rwjnd z6pzmq1>JqQ_M~0$v13<^jlJBI25W@_*l9PY%WcOEen`3~#aBrsN468;aIzgXBO)VR zY5yDRHnhWVkJEnArWb4maS{FTaEtrRuzBdLI~=x3A=3_8ui0h2u8|(DYDZuXg{~IulYU6bs zk@X#jUXpH^j%_#-qj7v~7_jaC){NL3lB3yT#Axxf0Kyx>?YsQ```qTUSGtYwfz!rI z^z(Ja{eJiE{-whn-V^D}ZP7^ZS8yLIpW4qFcr;M=q^&^K+vK|LGzR2-n{R8t1MHIh z0xGOCX!{C2k8R71Kl9DRNg>XI&e+H0afdd`9@NOziq;$_ZIOfPD3-J{#lKwKqt4oc z$^K|E*4}N6Qaj3so(Fn=e%bm(-=h@s@!sy&{;L{0#Dt1@yD*bq9ihX_J&qaM8|ZG& zY%36jlj}nK>uO`6>pG-{@?!)frsZCs!!XgT=;shm|IK$pk2T|X4fwbIy}8ZAiHS-MdsfVD_)W% zrJo$9TaAVdTxMzgpc8~@0%l$RZ@PMfvZ_G)=hjAgfCM{zs<+W4DGZ^)EDQpCj}v_c zYTrqubUf9JR!NesYmFs_0dxC8kw!c;pBWOj_+nu>x=qAYr>aA*0dvO)NmMo7cWSaQ zh(}5r-&=!kJJ3;s@e+>vrfvvSzSw1af%-Vmtl-zk+$`_j*ykscaFNP}A4T?aTi(4XATfk||1C?7u zw@qInHkq8BEzr%WR+uA!slx7yE|1ies)k#l>)C!jNu?y((Z%LBY6_W?KAO*2lJucg zuqg!Zyw4G6xp5zqg{vAZF=fRa=9=Iam%Lz?q^4qOm>YEFJ=h!DEvQRCQw)w9Jx#Dw z5X2}D517sNPNdp$U*}e2b;g`|jCps?a!wQ=Q&V&CN9R!F$}>iV$?K#Ojd~<}Uw5dG z@=O`yZYSzAk6p;|9PT*8lK4pvW+V2MEJt``|IF)HLNTNYZ_PbCsC&tMO{Zs1hnoSZ zTuN$W8P7(vNYFDWjDkqv2@PD+2bpDIo@z0b3CWH2Ju!S^uyLn|`*d~v9^`8wG&zX6 z$@0cIJiEzpmkIX7(Mt>D$UXmIO~444&jYn&U@1J&S`XoopCG_9qQbOJCmf${UB6+o z;ThoWATOnu#JKjnpV+J4R`(BFtkyX6{a^9!xLlV|(Hxe{ZchhbH7uapXjz!UZ?mSt ziWwtM%@&Z9m1=zLL5q#8HE>6P>RPSEKPixB;i$%sSm$^}V-a@8q2QXp;Z%j*2(g1` za`=8=(pl1Ox|c{OHf(AkhpYXrB$PvFhe#YghSd2|i6=fnHGM26)le{~-KZ>y-HveU ztwq7+qt%L5JV z=R4hWn{7sqdjZGLqAS~~sN(N+-0)eJBL40k7^!$mU=ZaJ0Ap?Te?Lz2r|~$P_`j7< z-i%!+Y_%Pmg=f6=ut^pLnC;0hmwVF8CCGt%19UElkRq{XcY;E+rzgGG>LI*29l`%j zShyqN-u|`;$strn?4Sv^BE&{s$kK~U-#{wb^Rs@Vv8PI(ag%JC$$0E9eWC1QI+s6x ze`TV(1%8Iz=}gy*?b3H&*0Z!c>U+eUmoV&e&~Kdd+~G0)S(e(*`zz>v%%OLwH_VId z#a*_Rsbh{G^z2L1Fw}opZ=5gdPW9kHzmeyT53KK&i1n4|yuiEZ6?+M+IAI*7#6F>r z1t8k)%LDcU7nAiy+Rl@=qn3zzjyA((Ws+p;jjK#)XhoJ8vtvjewk5O@z36AgSoA2? zBC~a%COOud6*`abi0FHc$Z%EqQ~>sfD_s<8ceULVYfhFslxsM~I?1+~tZ0mt{*SG) zHvwPS$0(%T@y~8){zBmY3#9NwA~eor@vh}?^#hwQOWMi4$0)*XGXD`xAN)#@?Ekxn ziS8jW2GECgG1+MVzsWeou@2ZtwZ%DnIM7p+kOKqMV=La~xFE~T_R$~deTpW{boqxL zrMT?GaB`h`4^w_k8t5j9PIg`7@^GHwIaJvzs28{cn(HG}j^A*u{i%1e>R{JtSG^65vvR3sx?f>q4kQgck3G;@b)QYRa?O*OiuGqy@U*o1 zVi9D+PyIlHeNO&Xr%1;;#l{sZQGg%P@OpnFf&C-Tv;Ue!&^0~taPkopZO@R4C|H=H ze~mb#XnX_9`i8j=RfMjL6|OtF#$;gVWWC*p;5G~G|1H^_FMjaFfXZVzb|OCAR9wPp zC!$lVwG}<%VY5&ry*eU@oSFpzcZ8A)s$J2SsZRW?q{z>NG$X8!ELcvfzNygdh2(P; zJctzl%l{2?+cBI|7?s9qvN4g*RLAbhevYse;g)bjAVi-^fdD*zx;b76vS}qeSQbvf zTHA>VASX%nT8Hi)8yu|q;O0~%US&67b8&1Ql$=-MLeG#E%(JGBZ}ys=*qMKBnl@OX z{CT1-&n8T8Vb^4W1W^yqVsve~0)QlRPw4BB$^~@yUBJCsr zp9C@W&x(M7(Vt)m{Wts=n7_){JO<;O>r+)0HP8VBYGUf5eC&>6QnKbFh^~=scokzX zsz~<7<_D?Xmlp%h$lxkNmcBqLu_w6D#C)IqTe35z?{VsCMXgyZo z1aNB=R&Dw)(Fki2H;F;>VUzz;iP2jw1Rre&q&&D<2`5+=J$tM6{-GdxC^G`5epUJ1 zg?)5*>uUdJH{}I`vSXCD?DD)?L5GOnHr$g&Wrs)~=0%;-=O^6LT!Tt#_UJaV;2&Q4 z`l@OUk*dil^Iq+AM(3ErsP5bJLlriwm25X|hVCNTRrr4L{@uPozvPf;rw}Zd66;xr za=9h|e^F@{=X|n69A*tYkhdwtGDA|tf>A3GD@FIn?vz}C>!k&CeTTU#>Odkc<4birspd>RMJ=6Nlfo>my3kA&Q$QMuib_j!LdUnz7fBn@zx1r#xzG;-}=OK!h5Jm4ibFaO|*m1}rWE%-{s)}}qIC0my z#A7ug@Cm$oXKC^WF?7l1siDgPddkZGH5V(d_8hry^Q4h~g7v(9SZ(5Y)=GXGCGAoSk#+cIB%NGWZBuoVj53ct3r@bYp0)$B&B2p5o9EKe zFXld)lW+j0Zlo;3E!@7K(iwUPWltF%sw?}|J$~*~Z?Qa4NB*iY&9CO8_p5R6uxYRB zCPc12XpR0b83_*-sTR?Y#5hXaS%~N>_yzxqmgh(4le3_?M{0uI1|s8n^V_Jsy;e9a zH4@V`We<7UmnH7j3}Et(@l9@u)BD@IEG$AQv_kp0-WKu*3P?lA=k!ox?Ap&+$lb|$m~@B+9T_r5@YWnmKij@BRcLA%9fUeel@eg#L6l(GrHrCI!Nny&R6jmNOKUo9ef*0~Le~6ug!Z%b`q2L?Id7&fJ$3GptL4u$6iiJW04-@4Ub9!b7AV0Nu zFDj<=Sz0ZjDbm`<8F0ptR^Rc2lztt!bE{i#H(Yg;o_uD`>KH95ebzL12@^cy@ULH)anr}d8Y`mwyd}4B^NEX(e>u$P6JXf+y^sGnAV=9KL^@`&Y?$nmMAWq;~ z*!Y$nzIXjW`)b)2H$Q_^cLqlQz>r}0bO7MJj_f)a1Y%>?e&_po?q*k zOWu@)D}_TE-h&oB2<~$Mbwd@z{l4q0TnKy4-3VLDNVQxM z@HuNFD7Lbt=rVOjsxzwril;*;p$`#!CY;sdY84d8ZWq4W`63&&$fqoXA|Fpf%t1>& zDd<@a)F#twvB%M7NP_q8sHO5%)aX%0=icNHp)Zgtm8Z@Vj-t-;62V$$Z6u2G)&})& zX124n(j5NEbDr>5tmzoiE3MouQ5BKUp|G*D;sk_yB)I(j`eIEd0LBw^uFI<$~(tu5Wq6TBp{xiu!X9k3wl*eV8KCUh|u9M}|X1y>faha^~8*MrH4FnYU8VL3s}0^1`g0!L zcBFGwkq0|U;q+ex{LTSR3L^e$apXC$3XMVRO=*dP7?ytcN^ba(tNFdJh{#Q>xG+A| zHjun!$PTAt3*a)9rv4Dk>Sm<6XjNF6h$t!@jALX}Q=C~gSRT>42Qn*5&UhWfk|&3a zOfis{m_OpsZ}^I$ndcKX$w|k&QC@#Ox%6o!8(7H}WS1qF(+q@@}%5N{xF9!F!bi2Q_?ge-8cYQ|Qx)5&<`}X|0_WXKZ zdS3ir61HvyKV~j>5~dM;u~I=qIN@Dzt)k~T3Bl~e#zO;HoQyb&vbCSgax^5{8&Im9 z<`IY4hkttSGjR;XOH7cpo>uS}s7nX$7(D7Zcrz;KH<*rRbu`L>tqA>@!r*$5-yL=x z43Ip!RvE(0)SvdI+Ai2JhQAwiwfx1a*NVzrN}9HpY!(_%kuNiA0ag`S_40jpf~X5T z|CAJl^Jra+5HX-0kB_$Q>GyLbIE9!CY3vfoTV_*BcNM`@a-?XkJSo1%zJ-N7WlYbb zb!h7K{cyUyK=Ezk=CcG5y(QDX;6h+`5-$<`%@f80kR&k(nzp4^82&Okgp+;QA}KPgyo6#S^WJ^@mG5!^e!%~3+7 z(r?i5tJlK_i;C?XLg;?}dw&2@GIu-SeKaw8yfs9!l~GOq+r;a~paLa;ZE?{lg&HIF1L^j%sIQp$X#z811aY?rT(-{!qP z4|IBVJh9%#KH-P|nKd~V+HM%U%RO$m^FV)Zw1`?5ERW6i;h_39K(0pDy&@W!`(Pf6 z6eS?F#er4E#Po;$ezQ_dX(oW}lC_`1JqAIj8jUL?*N^M8b13$Y%tzLH8)er4UGuxV z>KzSQ5_k@a!XH-th*5_*_c-mxW6Ae1?%|+!}aSSyIfur-y4aI%S8~qO4rXY!?-sM0&x))bGbJGo;_Eov6^%Eh3ftJ ziZ~PjP1g_HOgN|J=tB42M#|}Mm%*xIJCR#hrJ?8iQ&<#Kwbb7LQJY4im4YRyjXrJO zVz7;~UHs=~v5UOV%=G(^3Db(f0{x}>ZO}6wrY1ll6)w}<##G2y93$w_BRp$1s8E$H zW4R+ItvT4-^4B8UZVJUSE~emt-cbDp=r#{onb-8^MN|WC3wk*%W3Kf@174CN6lA_{ zqJjo4t~$-}`YV*aA_M;@)(;u4v#)J(NI@Fgo1&-jzweJSYcf$)IhyBjVE#Bt~WwmC-oNjDt^Y!H##*iF@MmECRVVVr3#8qK~tXEGsAjhhdWH}rGx5}19 z2=drmo8c7~k>NoSD{Rhh%108R<2|LKA?YD⋙a(f>pnY8SLe>7Pk~LZJ1U~*Y+jg zoo{o;>mkGRVQrh{FWlg^`8DU`{A|PN(uf}`dR0d`*GiVquC4JkDb{Q9uxt;_dak|c zC0F2uHYb-^uCOCLR)9C8r_=ZEHO=d%gln`PD!}ofi)7&HEak^~bf>Cv|FaF_@gpfg zlkzQ#qE)m<%(Jrr!vghADwlqS<%xt7Y-U{gs(gwK91~gnf1AgGUF@m{j^eCjqkP9hg1fS-n*X&F=Zp;P)Nl0D`yvG;+6Zj z%rwiwj6>NY&~}urRP#y+mFz37fmJnhWyX^jy>6*2X#(ULPk2YLK6^@Y*^m3Cvb|06 zA{!f&NpJ&QoWnm^x0$r4Y%dyMF}z4ZRT|oJ7r`KBWufX2F>31ZH(bsotgK=KC;yr@ zDe4Rw1<_Z>zP>|Ard7;t@2(-h5(#MM#O4Pl(HZ(u)4#aCD{xNa2)Q^V$yx@Qs{E z9YY5{Fe~^!*+FQ0FS6v3L8Y$YI2r{&F^WEI&9i;eeOOIGi06(E2Iq56Erg4c!ulyt z_7|d-$NmXExIOmJw>o>Hk1qYJ>Vh!6One-iJocwokwXMpM>*C^wV9hh^Cv+p12ok!kC7uSo-i_ij6p z4@t}C3+Z0Ek?HF62{FAJboQ6A?mTil^_5gq%yAn;=dBCeEym$kEPlv{8kau7 z;h;7OqN6Hu|SzCZu(oZ!Q0nfDSSC1fWozj-~TySyh8gW2QRmHb_x^Wh3Rogl;4()V$&IDv?W-)Dy{ zbTFp~$_U{xHU8-#l2vO|0W|}8HKekd}DIKbJ8WGa&3TY<=ue% zU5M#=z&Ar0VD|NBzwnCd6`B>WdByXJ=-igduM{`@x(N&^C0p{wgi0*<4nFE)<=w)U zQM^!Un|==C!83_0V&EOQyju8e-9%DgbIqXm*;7h;N;;qKO($A;Q6n{_w`{H~8-Z^0 zsHuvQVYeYMS#NE+lt%ihyL-!w5IKpgqX&|jc&U7C@#dcYgf5jrwcIPqjdC(|QCg`z z(^362IJxyP#fhDABOZl=Qd(CfMFq`bO`MuCYc|WkBIM;TT99a;awEeI1P*djtQLW zNdRuqTATOr>Zo3Zw$?aJ_Gg$$*1gvQBT?7_Ow_V+98-fng=10e#|H@{I zqgk$q?5n9U^1>`(2q!ZuN-*lekL;^=^$ua)li~8x3T-oZaYuu`vXOmORQwaA!gEzmLHJ9-omVP zF(gi{%bdbS&#hpKRZmlpDBR^oFgA(}9|nY|KOgImjMmPC?OJ7@aeg|DSnhn=M|=Z= z`Hc1c29N_g;zl4*XO;Libm6rPF?6H)5L}`zPXs&UlHiL95zl_RFUe8x?L4_>2zk>a z<#)($Rpdae&@-JPN1|8spj?6h?%F*VV&QI{#nSyz)LMcEcTe&{ zVSc|^ao3w*yh5|DpBhGx<@?a{w0|D5ze^+fA&c1<)ICiEbm}38?M~{J zh0+Zm5rFlZBu<{Gk7&x7sVE{fERU5(FdQ6ZhM3lMv#b$S5dpW&c~2OjSu71-;&`$ZdzgXODjS)H8{-I| z`!iep%)=TQFVpy%b5j!sN5agIkOU@XXtoTj%pQ8+_A$xHtMg{+A&=-i7Gar?i|Qd|N2yowF!q-1eF*3`eW9T$kleQB|+EB!BwHmYU!efZ`2ZncuK^!l}B z1Q)=wPB&Bw#d4cw_2bs9S2MUo&C&f!1sdzYeU@P+ej_f?JP2C8_G}o%UGUv_pcyFE zEG`?L@}aD+AGhCdswYC`lx4p)IA_2ql@dLyAWLf;e7WA!EvzB{iiWE9GN*!o2tDDs z5@UfIEpU>YF6CVX=;f-(k*89anf+-43Yqd3BZiKq#2UBv$BtQTJ;KpU0X<8AR)3j! z6~tj$)hWV-aNJXZaSHBi;#Sf(Jkf^imFq)NQUl+cM1rxD5RQ_wIj@}9f*E&7AlcZe zN`l7bLteOn%8GC)DzNol=P`4f?k4m~F}t=Sr3>rGIGx{&NaA(7^{&i4?(mn@M>^aG z=7(m`UE03^dK-Xdv(Vfp0S4IFX$SwG<0`h%$~<1tYwMc!5d>#0D~7(Sr_p;rgbxr`wy{ zPC)Bi3END%+)Coj1sVEKyl)1=Ad_qj% z2&-mbSAF{DE)6H?p7?W|-R85|MxN}#SH`w! zSIoWWRN_#PCzL-IYPs#}sD;mMYh40FHkr|>r?dU(CaJBMm^6;(E;Ldja01?TQ_3+f zbj#FhPdc_H7(MkjoL-ZnrJf`^;He4LOSaZ8oKM_p!)&1#=Ab3i91YP2PIbq6=TLv?2?g2mqT+oMjChSaZHy8*qK-=OU(uvBZi+U3 z#byRb8To{%m?Z%&ohCg57*Xt$pOxaq<%#j-;`^TAqm*#4oO(0IrXQ)W$x~y zGF#>R`3y;S=k68DwPN-R#}l2@8rexkd;_$ZS4B{F+nFwNrMS;(?w%x*RGHiT_xSY9 z6KGIAry%dKN!J}1Ftu3t_JQ5Aj}!orz+bBf3Sq+ z2@9bFZEOWius|jqGDqHBx{(a80OgZ@Skp$c+b#!_`9hqROQi&(i#O_=N02o=mBZLP zR7a3`j@vs#6Ubul!~==#7><=f-&MM4f|;wiSfZI1rprUkx4Nl^Kb?qbnN2meFoLwz z_;SgdC^$??VSblgfZcT&Iq zwI(Su;l*SUJN*LuX>nv9;P)@C>wD0c9zt1az^t2O$J1pxbV_w#-gdjc-{9;e-mD7k zhrM9;@xEynL0%Ldv7#bT-8Gg4a1~Dwx-B6f|1D!j`lSHY>)^Js*gYVkq`_hCK^FDm z1}qXc`4jQmcPc7$I7B#e2QwE}fVr_f;+N!TVuQ%aO2SO?CGhhzi8?yCnmf3z)Ng_X8v;U;1IuM=M~4+-o4_EZ8mnyQ++ z>M|*bNieCId%Auhd__v+zdOoY}3zLMkovS&3Ny6?6N6g&R(ac;>@c&&!IH@Q-&FN+{ zF4XN8&0I=)UkS>pM=fwpBrFJ&ZDJ?az@`!=2o`w%0ucSV>kEkfZ@Gdrt-5(%|G!rc zKK~Ezx7!&@0n4l%VA9>dA8Yi_zex%;|1Qt^@^%v5POqm61h?+r0~`W+-aihXj}zS2 z@9*bwX4sxLxovJv?@YCL-(Lsgg?tHt?{tFxeV=d7`n)No@3RB7v0lFaZl80A{XKj? zGl)O!C<9Ih-d~?MSDg>+x|2Nz5h!P-SU6kvzPD4Yw6yv z@WhWBm;@%mx&H%f18PpC{#5#(c>98PEXitTR%#S_W0CPU{8h$77P#ryzLsktf-K0n z^rqwoYz-st`KD`k;>LRl$HC0mi3qqf zC<+AQNK6aPTF;iK{K%#9i?!^$t$DEXH5YPi$XCoWh;tcuztjK-UXH#1coqKjV#xrr zmVcWU?IV#W%2Pv6{XIcHP1BnT9{_h@qSt*GhJ=vGw=RGqQ}*nP)sM>&Zs++uyl&@t zOg)?keo=xxa~@pc-rvP;57j>Fad)*Y9|Q+B#ncDp!q@NBhG6X8zG{Ux#~QkV=&2+0 zmC8@D%djYX+a%H=zx*JnhI-NB zxvhi#x4FhUO!JnroeDGOZ>~RpzkUI!PGQl#V9fftNwY(x0N+Nb=hFLBCDc3wo5D6> z-0Ev+5a#CGP=)PHsII>q)w?tn6st{%o%H9MQ6N(5dOmRDzBEeU zZRWmm7ouU0jp4}n6c*gWqzHkM>B`vOm#S=pBQqbAB(BUnhN z4pO3unJNHa6o(s9PVBBIgE;jqF>XcV=J-TK{7QF8CmAYOl^K(^n|j6Qm&Wu*i5qDR z8ob}Dz4-p^^q^kGC*tU2p`EF5P43JQarGP95rw1W8*-^6?p(+Uovvr6&g`nDz)G7Y zaH|AVkkZWROHs$Ln`f=jS~zKNb`>uNE*Y%cwYYhWgPwXNHc9Wv3cb_af+R-q>lG-p zdYOix9%K?i3<=1H5v^D$zZnjTe_sJzVv$~v3BpMb$@`ZeA5;%j%U)r`1w)T=1G_>r zc1KS{idCEG1rk+_ru~=~g%^ZZL^3B!9}uM;)rN6Ztm0J8N?%^6(}!Cb^Nmzk|LDDg zJC+?!$>34!t>;1Z+KMKrA49YviSszuukGhCI;75fGRKJ%JnWU*&1Q?U~k)euPxdPD5+Rd>1Ick_Fs!@9Xqa?;3S#kCAu64}BF zh%?p-ex-fU_++#)Hm`_^D6g&!B5#zAuF%q7Doe+Wgfn{je?ok%9CZSQAx!1Gk4>nS5^CA-%kOSR}o+apVj)D|15PGq{GG&TE{eSHBruy5WP zUyJIUiR~5-&m>!vGWtd1zUjx{+RupvqZ`fG1!Wc-dwBDU;M2&?D7i+zeh>6iRRla~ zyPFrO2>UVJviY%#=}o}6>wb&fNI1LjQtYQxNC9uZomy_hIuR%MJ=CIoKp>x)2}M%n zP=u?*$w*~C#u@&FgvqJVxb7tOpnjLY5bYscvwHRXN@#i zLXz!{x*J>$z1GZ-I=nSAGfEgL>}qHhx-lxqvzI4Z1_K>K$MRuyW1cmm9eKo3l21Ep zRnL-(!cb(35T(XN^V;J3Qc_n_hMd{&Q1yQ6S|}LJP9S%5T^5stM}V(sw-a{tH<%F0 z=g|T@ZZtRn?7@;a2|2rov({G09vTFL1KTJs#-QW%Nu#+W#>3nmUI{1y=NR`2VM+%E zrpCIHV;w1a8DwM2*}Q6FUc^+K3t~1OVbYu?+^j59Fsy%zc)D(B@?})7#Yl$a8pa~y zbALgz)bZh7cywc0@jw2Z)M0~e*Q>@7yJw6s3A(@c?f02I z&*%CSgaTnEMeZk?BkWk7je@gVv9+?^pkC46qn@A95>toOuwFNH4u+NrX;uhg7DS2JUJZ4FQ!=lBc)ebQc2MNHD8&lAU*=ZLr9LKOK^odoG zwx;#rM4v#;piEH293&=k~In7T4d(96^j=8kE-;c|UNHFBx z1aT))i8L!RG@WVviqSTnAS(zQ^QG&+$ZmOGP3YRn9>-Se;LfZa;m3baHKIM7;G{6% z`5ai~3rIC#>+21q&h`?y82O+#r_s zOY)Ql>!V(1!$DsKzeXPw8P-@x%Hp`|J_me0Y;SKH~Z(B*Ds?Z*Ur^lPA zHS0G5(UH%bzTlJ&YKvu-*Aqou;@#P#9;d$C4MYBa09Zh$zrItmlNvIn_ZB^WUv;>| z;L93ZFC$@s=ql-KBR4`E`x-Bz{R&4CRs|F5vY@o)t*}RHNV&G-zCXLKrAk0PuNwFVDX{ayN*jf)S}==oTXIFS>uOG1o!Bcu0f7Tu`jk*aK+^uSuMK&?$uGwyOr`^~GOLEMc{C@v%DnUqi9xJ) z9YV;|&L0wP^tqr^-=00CHgZhaat?9|$6#ESVe zRQt-_38$!;?0GV(jJnIUA3sHf^%MK;W>Qy1q2}M+^|woBl*UlbDKnGRJL`!90mlVo zCys3q#$~E;H}2b5%&$1SJobOfN#PlGIq|Tz|^d zE&w_cN$EL1bEz~Ud)e0`fBg2>@4qEfd=Cn`jO^tPzuoKSe;@x)TqPIy-k=PBlV8VC z!Ot_~S8Lxpl9h0DkApjiJTk8v6-CIciN*rKkh=5uI`rUJi|?K@dPu*yVCxCCP2s8M za*qQMTx~)*IwPUzZ=YPeG3JA-DfeV-81Z^ zYw9AS(HyZ=S}}WSdG3|tMso4AX}8(e-rQKA_z<6T@KEm#U()KEV-#&!aePQ~oewYI zrl~E$@jc`?fzDGJXD>$5Sbq?j9+Oj?9<>m$Fh(vH1Rf>h+VLV?0Pzd8^bFAFb@$@9BOe)ei8Qx?i-pe*q|X;z_d zw&ylOb>q41Kmk0%j_67=Eg{~DB3T0PU`TwdEu}{(dKR@aeP*3=#dNf`h{aSkwZ%x) z*>5vvi|KG&k$6eyPs%=|hldZUmEDOu3fBbrr@`P(h-)tus0CPWsug}T@;-6{Rv+BH z+oFj`g{j$z^E5tDUtMrrSqVQ;+TRj zo>r_i^`s*ynQGu?1*h)yQ{OLJHUyo=;fgAk2%LxKIM_+AbczjuKIX;u$c(g}aa0jr zz2|s_F8)975TsX8+1wneO|^u%c$0E=pQ<*w>)9;prVN~$dbPX}VV!Was-%UiN8D`Q zW>dcv4q(5sXg`j+1Sy*|bJF3Y{Ja?K!>vpQr@>U-GOn&l^Vk#NJQx}t){BFoYa)A|1&${hYL18v? zyBX=4Fb`UG3P#4&qgtR$U+XdI!qF`f?`4JrIgS!MgCc`S2PTt93E3AsG8Bs$=~cvs zBI84k``|--yT`3Atq$%sZEpSKx;{RH8j_VCZ3a`IIO6Dsb~?vk&en=dsfyB0FnCwm_R{;MM~OW^R1p{z@8x`Vv@II8j#Iw&Tr=c97eamxi?(L^d~ z&FibI73Fq3OYV#4YMq^|AAMI*6#B6pf8eQHse+_YoB#du>yl@eD?-{O9DA3-Hs;#n zEWjSFR{Fg6kmCxG%V1mII+DNqCwsaqU0z8blY;tC-DJ(YsL)u}&$x?6ahnQMC{Ivf z%Z|->_t?E^yP0(u^c5^;dwUO-ifvb1%C5Dryweh;?;xuCfa3NTuWUy}x*2*q z3hAU6zJ{A>k>r&N=pI_W#`K(3H$j_jraC1V>^5_xt{hhwUN9xVI}Px?$kxB;SmX^y zq;eJPOl%g2fdwrEi!H-K-^t~v@|!Ef5gOLX@kXKLp(`{8)ig~_XEPAPP#EP|3^`Ko z%^gi-L=RWvcw|jMHC-Et9>f{bn<`ePo^-RQUgfYvqowT4rK#uCJy$=N;>jOK#YNk3 zFiK6ubIF_{Ve}dwiZi*0+-qRtBGO&Q1a*ayJdVmUxG8}4rRiO`uz(R1_f%ik^>GQP zQnh*sWRNmCdY(bHi&v|U0K!Gv%?b#_?9aIG8cdz^Mr{b-^#omxV5J3>QNB75n>ucX zzZ1(lR18sCOs@>Bk4KOFsfK10U>u7G0?88tw8q$o$(;^FjCaX0i3mLEw&G1!xrb9G zmb>XqjZmI-Kj}!EZKkOAM8M=+f4n8uN95X4lbHPwzm5YHs`Yp%R%+@P2wRr@DrUjy zh)fT)#sLb1vFU0o;4-iO(A;5N76Xcr8x{lxyxdDV-53i zsPWp!QP2W3MX&4ZUPeFS4<=*c9A9st8dis*Na{n@kR)q#^sBR-Bwsf?(SBnp$jO>(^7dk1|e7eJ6O8IPY?F}149SR-be z9(*c>OJ8D@g}M4RKX`a$OpH4ia+vnx4LuuBW1dp*>o^_(yoR6iI|t}#JF4kjc!l8% zHXhRTN}lW{WtRqd-s22z=ilD-o_WU9DN#}D;J6jFs3^=ZZEnq|>8q zW@~j%w_9W2`)Pc2#F7`susC}U?Wglq3BzD;ziAsfU{fSi+mM7Frj?@laPlB5p3zZ@ zw3uQVf;9z*5OXreViFB!f2pf&N+ixRJzG~5Za>Zw`n?#LW9CS7Ij%swz=Y~+ISZ7l z+gJ|wl;66I`qFN^EjgQ9=+h>z%|^Ytjkg7VbIGc2d#rjD?V4O9jP|WV%?2EO*4=Et zwAnU7y#;k1n-7BFXw~lVzWQ!9U`~y)B)~>3iDm=lGTY5YO@*c5G#hYa9iN-I74OV0 zwEQgj={0DVxqA(ob?jc_GV9(N_IX7fwfC=%(`{Ui&JW;jbGI8X$68OjLB}Y&-B4u4 z?l)+reEJQ>G4;rUB+$r^RsT z_${|vo`w8X$Y*)*2Wk(U*->*1JLxeT`uI?q42f~DH4AO=mSWMi}jO5T`kOUL_ zJyn_2eU1R#jjCU#yB=AY{>+s3d391sQ*W3VL*MlV+{~_Kg2PN9yEDNt%_TSv1131I z+m+?u@{!9fIP7yF=P5Ywbuu^;9JpJHyWl{~icGNmFr{8KKfH=$lF+K*eF7qed{n!HhU44umyN!GUZW zaViRCW8D=8qS|DiSI=2*q2hpFd)p-k0wFRb2g2miC^E>0(mJEsvOX#8l4B0I+0;M=uK$$^WxOf4P_pU4m}^{?b&Tg4!Wi7nuBg@Q*#`=Tx#3? z%jt|m1?#e>YQk9@jBJ3wR;FlUJrAcOjj*Jx9DuCUB`)!H#&UWNWvEuCrWp9Ha-=*h zTdWkSzL_qOgcm(PlZ{u4vH9}GC=!3gd72XQbTmtzr~5!Hk@FCkdPwEzw0if|RJRw# z71Sb8M;EetljL_#Cw(QoFcA8t{zc~wvCv1c5yX6TWWE&62H>iC&`pLg``J|wB`t-L zRTFpzcyvP??cD5@;4l)*A+!iJLBuZ+T!D24E>ia-;Vlx)?0IKtKq3R6ZRGI(!k`%kFBtiS!d6Zo&fP_FbhVY zknG~i2L+40JO}HTozx-GY$VU_Zt+&4iBOU7I)IHAorzE*nMWy}qC7lR-<~52HZW(S z^ZdfZ7&4|wPe~oe`9{tZBa5q2orXN~tVA*{5HwA!R$1=xXj+zX`5Z_-PhHS_cL7&W zv}^P9M%L7dhZXZRCBGYSt@jmWCZ>3hIi0<-aa3+IXc>j1`Ne8$D3BBwLV-8c3)xq9 zG+?b5k16U-OJl|i)z}9UNc)Nda_4*$Kvn|+FSGQuoC6u@X`BhWqhwDIqE3!8f!K6A z)3FD%GU*dV09oRV$W$U(wu4rpS$((p#Z7l2nHlFTL?lnms}jts$36>u;NxD?oe&kB z92}q)jSebc!4JqB8WFOnsenkvGGzygz0uP1yzCUhxW&$3npLYH;< zXdnP-yYj>K6q1bzp*DIS=qwQ+A-S-GYyU8_zu3z zcsS{#W`aGf0Ozf6>}JXc1N9a9o+&j%p;6Q5K;de%Jrxxp?L||-nGZ#!4DdLwl&?8r z6qtEbLPqoDs45LA+>=2ZEsFTqGP4)q8mI_kUQp`GQ%1Up%;mVOL2=~QJ9e|>Wsu5N|@7F7NcpC=93+vc5pGp*JXQ$RYkVpg?oa0n!;^L^BXk%Yo@rTwc_e zRXN7ITq7;$z#laSkXLwBsjym|t5Yp=fL}|2owR!TvXl14w;kYUAr$l9+K?lZwdUD) z3cv^ghGx!~?G8F+f_rbQ+%ae-V$k4gWt5qt8L%MLbChjKKxfrV)LOawa!yyywko7C z<&7ERT+D&w7$c#Py?IIo+}vune4A zy|H$O;Oof)$($%F$-`CJnuPIk?iDp$&Z0SNTNfgz~+>2KzJGay%)F+Uysxk{WHy@9e$9y?V3dWJSy9AiBB091obVcS1_$d(FlaWo5 z`5^2|AywZEZ5XK`@G@_~o#_+HG(CMr+2`Br$uY9XdSSoMGa-b8alj*LQklfvusrpp z%nhHz3)Ko=g~ZKuiAFCLRt$rQ*s1Bu^Jqk}S=xoTD4q&NyR4W?JDR1>`9^(aWSiAklauY~@|>rn zMP(DB;$S=v*v+`ds{2Zr~?lIFm04x!&qnt5zB)@sd+38sUK4G2O}tlrg3g}d!7 z$wWgWn~T1DAUo#wQ{={dAb@q+6GHKUMBBLTrQmv%acR>(gOTZ`fXpF+L}+xq z=?t^H@I5q|Cn(+lxHi)_D+hIpt5FX7bmXUMqPeHs`Sj_xr+=L4Fts$gmT=IY9zX1F zvvS8jM(}E|+CD#=-u>{9w(p-#e?Gmtd>Py2)fTs_%b!nS`=f6DbxYgVEr#uzX2pN~_4LjAAKzbI|K(3V|8Tke?&|9OPv8Cc*X{Y{<>vWcH!r`r{#CE_ zEqaV@{N9ep>S8^@WF+>#-rj7_cb{)xx7VlbeEZ??^Ue11<>q|%>F)gD_3r6$KP9a* zRC?+e-+aA$y?y%U>-OdO?&*1Ze0se*-&}0JJl{RO-aUTaK0JQ>e>afiH)w`XxWm7{ zJbixt@a0xNdB5E}KR-Rc%tw5@uruDPtNw76w*N3*WxH);NA%ra(T`FvmhQeJFHvU8 z1Y3o^284z%gu)lk#o1*-~IFD!{hb{aaN~s*nb!pQSZL}nX37VVY}Il zlzEcsCBwKiGrTL4si4o}17k2CcmDY6@cVcb|9a^YIOF1*VLd*KyETJqzmo@!j}H&9 z&A<2C)9NFF?$SHdmy5q&Rxr@T{t;qtx5J0cZav)Z4O_u(ae%&mGl7*9+|_4?*S}gDvQ&OpT_Yt8{rmnY<{bb*>AVw;j(%t z^MpA6G<<$0B&B&g3{T_x;|6A2sTUdc-yYEmEG%1Pp8wvZ?CVPo}MiCLhI-6boZ5arjPQ@k`@v8w-`eFUy`q#(zwDy%J=da6~Uf;wE6Mf8_&>i~` z{$|7>f6-Oa3W>(8kwabPD2uBT!C`y>su{c)D`GAfD%*M4mSmgPUGfT?^}m>hF7#3$ z97}}Wg&vdKs+YZ-Z+nN*lsfnUjfOK_o$z*wsIr~iZDRq(zhadMbwf+j|3Q|uV02M~ zeVrzq@wM~lX&4{3H-Gr~`{B(G!=K;2`SI<|t5-k0{&D#B&8t^8ufKa|_Wp{Ur{IOX z*(!u9Hj@>m9uk1r8@i*4M)u6ZON>HS5nnMV@N1N*K|bBT_}j3o0sQO2CzZCXJOkqXJBDJHcGDK-)Y)ionSsWe+_=;S4eTN?=8_ z@L-aX(OY=jJ}(p=^8+z`Ow87h7@L7P+OoIiO7mG(8XBz>(a0q30Lx-(B953ZiBm{& z0&NqRj4K7*RZ8r_vfzfdh_lF`7$>mO>e&V_69;ic`5;c{Hi>iB10J^3D^pIg16~#! z&( zxByKyu}^wIgzP1wyR5TJm}a@cnNDOz*({k!QifSa;Nm8&V=J-};R2eicf}#Z#ju9e z6`k&#c0@MndS{|kbY}7TX7}dqVp1iP$R&ar6XAJ0ahBp0s>U2*(*pKp3lMn&10bbl zc+fe(u~(Cl8gs>oabaXttJUyKEnC$c8If&Y_(3L&oWNJk0*2g@GRC=Vc)MW9c3Y^HC>}{ooT@(3kq*SZXj;_H zIVPv1zGI>wiixwNl9Q2*I7TI$*qWWt6)z^($_i&%5d-9-uc$E!x&@Jm1|^y4iP(~aic1oXG?m4;vpZ64hO^!2XRLR$RL^$Mm`dA7RWYXK zb?DvnDpRzov76C+MOrQx*RXoOIA2MK5iu1bgt40Rg{;Bd;&ed69rTVSf!Jxe^j=k} zrO#MZND}?aj^MIg-~}@}<%yudjTr1EgT-Je$infVf1ZZqm;=c0Jh%T|wk{y)&0+yc+clZv+-6sezr zjr1OpWsktx`jl=_lc&0%SB7d--4~&NL-KZliO7WPMTP2Ft8lRs6Ao??N>{*3NlnFS zD?DU|h*4Rn);Q5dP@aiRBROd+ptdD1#Tgrs`MT_2*d@I(W{r_(OjY_6BND2t%Yo$t z1Z#yjQK&BnSBn-U^l2$t8AZy zdS)7_S*ft!WcXrytQ<>HVTB5^k_$!UN-_#!lR@mg=5}N|j5|tF*?X4Ww6IjD^ck*9 z1ySWE*5e5jqqH>8JOS}jKiiSfxabLvbi_uRP51-;Hww~PM4XysKeC88Z9Ra*J^J7mTEK=Un&*mC4`fM~k&(AbJfP<tJqyS5V2*+sz|D`L(2_r3SDh)C&(NYFT%Q63KVe_Z)9Pm z1@m|E=d=>c-%WS`sZxr(>13>o$lz*H`K}q)Eof8Hlr3@tn5J5rnM`qSMKDCoi`1;G zj~W53rWvboV?N)(nMBh-W(#_wX``C6IW&2#k}VWVRxeVAs0YpoKsYp2P<2t&8fLKj zvlSQxNkN>Y7{d`+u{4pQqBynMv}rmLtND=WOos0PLRa8 zS=-Z_DWP)}h>J;DNt(KYeZZo&Vl`Ad`_3WJhL_;Qu+17gr`b}SB%(x-T7L4CD{=VJ zcXuy7$rG;DXZ~DPLbhkEuWaNJ;AGEc*G)|8;DT58xvU2qi^8mzVjiGP%h`@7Osh92 zR__Jr!qs-{uuw4a7fUGKV|4z{-c%g`b=joUqv*_N; z{TBmdT4nX`C6ty5WRfsH_(Nbdr`$TDSB3P=4ZSEgn>^E_q=kgT^96zMK#Bcs@TtZ1(kG9O;^_*`d1J7 z|JTzUPalBQZhW~Ty0$u>ess{B*f7sSim#k)D!I5n(ItCGg})IHTg#MfkDcqI9}^O1 zh0XH=*n8>2_CG7*2UH_vP1qEPIenz5M-`fIgKn(Y@w~KWPE!gu!q2W@|+K=Q(;tAUwOeR@Pj~zW-ggfAQ(-?4X z@>h?REdF}T@ZV-=)U63@!Q;C=Pa%mWBZSF&jV)!GQg}nhy46%vC9tqUCrTpODs8d; z*a+{|dqoVDT%k$IVIQ6sw36^hB{goH;vwuVj86O7axw_V8=82)u)lh=#+a{XS8(zI zxJXY`=@}#nD}AbwwKP++M7=s|2~X4NpZDYAioQQ9n&XUAI|Y!9GZgALJjQ>H?Cze! zpD|@+Vz|;OYit-B(O5Z2q&+b{jWsTNl-TEv`K5?29e^WtE46TO#N&AwAv|W|ubz0J z)X=&+rS&S6p7!DxQ}EeAq4R_K@J#(IFpdy8PwqjrA7|o0d$8#81gjOwvaJTPM{#g* zfO5lf5}9Gc!Dn`ww4I6Ub8&|cB(ilZm=xjJfG&Huoefj(Am_b5MErWR=9aH#1>o>j z*onu8#3pu{Gg7wvO}*Sb@i1q2*iH*x3WpvmpHm|(XpZHW~>b-WB}7VcibbB z)d}a|-;H!ePcZhyIckL*oiWQC?dT)phB4TjBS2-dqC9?d+f)4PPz-l!8SK{+;2VZL z3*bvxTQ;YLOd$S&p*0Am>N@NV@F(cfp8J&~qV}qt{ON=jU&~}IpZw;zZR6hoZ zQAbxfPY!81xubhIl3&U^(_!k7hQ=Lf zqjZP+XT_SE;dKOV;}23hiYGWrj)meK4LhciX*hh^DfY=pZcqPlXG^LyUwa-Vy3@&S z7Ob-Ok5^s2o_wrT=>)MfbffI!vqiD9ma-S6EuU^RTX4L{wIc3tgz+p>7Hq@g^9`uR zEqQ`e7pd^{UiL}AbZ!_`-?34x7KpP!t^KiGjXU6pUeKjhj78}*CXVR9dM`zro<#wn z8<*N7NprrU!`|!;qfieSx@(2oJF-=v?g=g(Q$OGBOmrjdQhD{ejyPqT!HIRFxEWSg@Az7;&QEjZ1c_?@MyvdKLDMV zAczB~Tsuz)1-wxvd1l&czsW$BGpF{6i&uyn|;uJ5X3TT=|bT)LNSN z&t&{8Aon2f_U7^T<#~YIlT+*hanj70A#Ngb_4nK0LU4TeE~U@T>k($+8kfxb}ABlJkzb3|+Xf^zg|LK{GhXN+5!7aBOW zVnwPMxuEPElU^vF>N-$9!9Gy_rh`zHQu;TPk7t!W&)h~Fj=uiGlj7Cs(S<7WfPLC) z;4e*JA4T2=Vi;U?G!zPW8)uG#P;c?B(npoH$+%&mAjyY^*t(;135Ii)V23QeJ8ZSh5)|Z@6f!nEo_S5ID+xXoflL0I9DLDmR6T|~>H=}zYCFJ?GBIrDxcqV3{w8usvTDOnAb#lj`WbadJMS`IE zMWrW>7%0r-RqR-7TK4~RS38a^yA90WDke7I2T3ILN$uE9yo3t_4(2+r18?6f7S(E< zi-!pY#UrW3ZeMs~bLNRxH-T_R~|wpb!b_%Yf% zw6z|I$ze^g|pI9qYI&ki81woHQ!7pE#1gc6XQJ+Ye{0u-%^f- zsLq5)-pci9=*{AHjI9`fd8JiW4nWB(ji`$ngEop$s7Qv?97e>@Upah?FHapk0@E8$ z2o3WD>QOm}ti4{hk-LB<928qfjch5rvFJvL zlrfxkZpv`WMHzmri!xByH)Wux-jv~fzA3{4aZ?5)`1N~vQ-*tYQ--^DQHF1OQ^o@~ zWu#$KhQo_8{J5Jk{DT)|c&0DP=#2WIjLvDhDFcarQwCikb1}cv^k^=byj*)PFjq1M?4AaY^7))sa?n8+EIR!jH3c!M>s(PjtPgTz?u;f=H zc6hD_ceK`X$H*0+P+&}lZZ5o6Ks+h|H6FC8>-QQM@d$*u<^QMMSV!IK(^A#A0#4yW zZU-(}1NRth@y%{w5l>89sdEPkG_H&(;_hSka7Ra1At042bP@MSEEoiiEqVf$2DOP0 zFS=cn>4Au3Xfs`dq4l#=X=x084BSX4P^j-fKR(j^{oB9X;suZ7;biChpG^3A49vH@ zmH^x0C8zKsBi+EL;H6g0P{>L*dW5QEAgWV)gswb}V|d_y22&3S3l}hx9mBQAM8)rQXTey&#a3p4 zEm0;{m(d^mx*C&6v9rm8vBUS8UMtLara2P=r>{EphE=#hoNv@H zJqHJX{|By}pDZKN?G|JTUd+W60wDoErv?r=g^9qS1IuE@s+SV5L7E^ysuxCRxr3G& z@7y?Hu*A&e0>8OfwrlTb-Lmw#0+EWQTwjlyD2JJT_r=DLT4R9(uu3SyDeR1<(hv=P9$t;!~~eGMU++tRkzDEu9zb zgBKZt7o9U>(J#+>-!;?w`*-Urx6dpY>Dsm}}(?xMSsr7}Z|*oXN0 z7{VCAburZ?$3TBszQdxBKW>o`X_nRlb|~w5HeP87wSfka^iXo)HXak0$J^@_K7`>rvQa`i_zu}U$OB_c zl$fmX$+>%@1^@Y_EOR{QHQt|ZEV`>?TN>N~HoEB|k67qt$rYHV$*39A-Pv>!t0$rC}DP4j+mFo7}!wUp6dnR3a`ePJ0qhV}0f%d)G7z zeG3%vap!gMQl#pwImcU(UY1a#X?YZ>CjV?j3fwlmhaz>0_H`7gawsH$1vD4KH1qmPePuxn@U~0;eEPU5a?but;6%@hTaP(4~l9dECbgbW6*K zhAwribsSwP^O0MrOOdtF9wmyT>UcvPkB+5bwR)7Pf(H6grjD)b9ELJQ;psZcRH00d zFa^x;rZ`3AT0PA3Ks zMH?ycXvX-YJgpgWl8=UFToLdUI?smZGO9Ggc z!50_KZ5CPC7SNtznNB~dT?S7|k;kG_V#Ec8N_8wVB?*OqTjr`^5wPrZiBP$s72=-H zwbkRcZiyUVBzXj<^V*<%6v%nUnrd+|X>Lh9Xc(6h{7wB>ko5=mP;A^Vl6L#jSYeff zw@~)DfvuD?mUq(VB=Js4KZ6vUurPoFRvMkgePRMve0|J+x@?6?Z zvGQpHpMXR2U_=ILh52g|Q^UPjnbGD#D~if0Gc1gy$c87D0lz=O#9CfH8H5BnqLi1< z0=xt6p)!?o%UdO;d8v(YXv(zG76D1 z!7=P(^fz{Z&SqS*#EPD35s!@d!cY<{)MK$cvsBJ!uv45EO2Ykb`3W%zgSEy>@b>{- z<#0xadj@oSQRC6*(yGnyg1X(a)<@u>{9X2jmEui7ofFcZa0Qw~W*Js?zr>ppdFc7_ zm|%QQ9Nt?Y9T`?wm|nz+kUh|#zFt_WJ{xG6jVnr}9)`A#QW%mCfe6w9EpSDjE2<&p zjd^ftK*=VWEDrZ-Xgw?7b4l6WSS+RTonV0gX<43`Zz@zYYjC-E3Iv*Q#GM9L>I@D-Oml=&ecZLprRK91%+}X3hkU@xq z<@+XOk%ul6*|Wm)nF~r~>=UConH}xiU>Ns~Nku(q0C*{Plo^wA{h#hC*U_Z6f%!hg z#0G5WKGOeH2F_+3*zXURbx7SaYh#1i;wPykvPc$#iB%PAVN%>+qTe0K4eXywIUCO0 z2v-M0oLL}B{f3j&^R<+qE@jKLWHlw=qRec*L)0-9nC>HbwM4I4ASD}p(0JiMmv=j2 zm3IpW3v(5F>}CoS5f;!hq&odn1m{HtU_T~nB~Z2W&CL3b{cxC^~OT$mW8q@ zuryqulwpDom6UNZe&TIm$y40z{zR%Oe9d}e>8(pc1}p<13tT*gHOvk#TvR8n1y|i#MrF==$O2X^l92F@uW}{<;a$<>7$uEk<`ia2wWQ2 zlhPuXOR{ySsc%d~8h9!utH8Z@j^g&E#5Kl__;_!x727iOoDdDMeE){4B{jaJ(By7|vk#M+*}! zMNd!j!HRgMPCF}x6msB3bPk%NiU5-9Z;yCB8e9+%>7~ob?*LJv8~I`37f^1-xw#T>c(-4 zD5?zda3TMOgUy_z-WJF)o#0+N6`;{YoGdwrTO3ug6_GjGOH2hh_k;EFQR{2PQ9hx@ zQkAcU#8%euMQ<+MVt+i&ZWMYy!|{n4+S9UHiWU@A=yzDQl}C7EH_|t%NLNFcAmjEq zC`%|<5%R2Zf-3RJLsNG>*>GUcxQ#mk^fWY@-XWo<&NA?ZO;S#I^TWSa*zVsJX*VB$`DO@o3zN$u8%pLwj9974) ze95vbLqdY_o!KHIXX5P)n|@e4y{W&{R_KZ~lwmnCO5t1=V@K4fo?k0W0F=Zwh))i- zeHfF9DOTjWA@LH5#Id|r3qR=|`-~3LG3@JU zI>2d+OA9`=JXp?I?Y$zL)iotS>C&A;LsaSG5R2E0mN*ty)TY)4H~)N?@-)XW%ZmzM zdo6KQ_%z2Ovgro$1g?zIv_OSrFw$`L;)>13hEN6lveUAmEi#T_*&K8>n`|iKaEovc z(HQ+Xu3Rt1jvzT@@maCer8gBV;N%R6a)>tHOHhKBip)pk19$o_3S=haKoNo4nd^fQ zeAJp)ojKkD*CE_ISW(QvFr0)r_)qMR!|4x}U%i8tD3cHYf%}y7%m@!YSzI4e_l`7G ziUvwiNtO{PRv-6;P*aN=;05ckMW3XtYKdd6Q;o4cUf1($MTFP~v=CFk=_vHp9qL*( zFPRcTd&m;Q3o7ytIx(T*l(ObGiXBT7kGf3|wd?pl@p5b3z5AwAj+_l92{VU>v-~EE zun4nDf34WAt2kj3FTYJC5bpF0+QpZavhIj{yZ8y{v4oe3cIbeqfZi{}T~l*>bO%(S z@}WB_PnzCP^MQKwWCHv5@BzI9&MG>)ZgDmhr&ZJm-C)j-$Io%Yygq(Lf)yZt;NO2f z|Mja?YlT{w&%gh$WDqP4`g=wT`uO)hfBp5hUr??sSOn>UN#*?IkrWkiqY^zVvhVj} zsnJlw&oN5HZ4+kCZ2SQUJS=nq!bhiD$mxT15-fY>W_48Sk}3bW2<_{ z^oqQ-Vj&xA(4kG@4OayoP2bok5P^|0M4SjC7xN1jj5h16x22|{6?jzO6!jE1VO%SV zdP{fOsH|a$j;lLCcLlCQvkB$ey?!7W!?{~mZd-8K2Pk+2EE-;4AgIDJy55|cf`h?_ zUNw}DCaKThExEnrc7q9=`xD&HKf?V5wT=pj;Ng~otU|!|H7MTowFJ0tU371Kc=9Q@ zaP!r6mNPJN>M29cnR;3dNdc@T#m7&bVdpIU-dBeEu-ASzl3*xAFC@o6Ujx@)` zpO5ct`T8RoUnl{f;zTox*Yr5x_haF6MAqr_Xj^1PhYz?k9fe^#i8Jz{qh%=JI+27r z3Ouu;qaEwD1O5tpUK>%0dJ;{t9*FfgsJ(yhT?gWxz<%ebUcOUv0SIpQ68xCNE$qN~ z=yOGLXjsbBj?}fH4tZd;Ox%hB+|P!_Q8wNdWf&N9AYGR7gY8u9IF=L~;0aSC9HaY_ zTlvnm$4j{)fZn`zR)(kXabJmquE$N#c1%FbYJpo7uB$(OHC7;|vr#MJIjgw}6bDi# zxN>f(bfg-Ye#nD5wm*K72@(K@=pC0}*Mhohf+(L`5`B8sp?OT-b4=Z1qr`(S%f86# z+sl?I7L*y|+loG1cj_=_nrntPti64SCil8XtXW_9iKs0RbId;XgurZE=M%_rD&Knv z=CFudhZCjh8`-T7SPxfcIxE5vOPNyyF$I#h)eiRU zzzg1@`p55`Nva)#1dl02ml$wXz+#9TEgGJ*e(C_sTY_77w{N(QayQ5_mXGummI~ou z3U*6X-Vr*!gy`B*291p*#8=jinkRA1=T5t>Yx!b06178A>s@a(#gRkB5@vq1o^o2) z|Ke6kpEC9C;SC4(bVzb6b(s}i&n#03S*o6ihUy)DX&NdNxjHsm-$=D9cB9>QDVojE zMAHBr+8d!^y0D0Gd&qAa@;UHo+PzJ;n;3~+32|CnsS06Rru{={(fsI>kkWD9TaL!8 zHCubj(Qg*YQCqGYEp9$Xj$*=Fr?*p&a!MSJ9>vU8>Z3<7^Ub5>rdQ-uA3YjF1w{VU zqXl~Oblgl_TYKqGbf5a*3_bdmqhTm!ItvY^#MB=-8q$9DBatabGvsJ~Ji6pHt zc6R8@%bwq?vn9-;LWO zT&UKpw>!IfPXO-ILh|dKx(s!Wrg5))h$<*kdam0+^6l}_{dsCislgFGf-xMlHgGMs z;^Iw5Zbig2n&QBLp;W9?E`lZ&MH_3~6nxHN%`}hx73Y&qV6umCy(XeP`DlImd{`2m zOc=0!=i%^+|mF zXucuyXqI9*Hb2i2OzU&ICHRR!a05L|tR?gfKUG37Gx>9WL>8BJ867c$7=p*#1+GHu zC;hIgNr;ukp7IOaO^P-1l+`0?SC|MS=KAvnHR*)j*eN4Jv2Q^&#W@BW^lWat7lXQJ zw5q>8Asr`X!8wAq47g;g?%nP@i zlhQh3Frs%mfiJg^ol@&f>nHY*ZVPswz~WMIzMctVFr@dg37+G*YpSE7V{jP592s=q zY&dQ{UKL+1A;?l>7`_hFf+=DraKt0fW8P2a#<{`RB&z4CQ367Ylad>iLLKpoTd&N9 ze#mmEnX?TFGGFpgO$*FN5Y*m$fasGL3lUs$%W-rZ?FMK^Lizi2S`;-5hYYVc>*a^w z>lkDUbBFWhWW5bn3xiOOf!H4uaR30p(kou&Hhj|v10CYKWV@-u48rv1=MA9>^RQXF z!NhI=K3H?+CA7!Qbp<&-6Qp=*-ZG0n{x`%nUSf{6R|rXIbP6g6@6JApLZmD`1`6r42;6oqH(d&Snw9-LXP|87mI(!qf;5A#b+{AVVS(83v za{1HHTyR0z$Y!w;YjB|7@MHxZl;##bchJhJ)wc)#)|npP!ywX8qcLv%b1+w;okrXG zi(3i3t2WrFs84BO_PV4GKea(}?m$Hu&abG9ow0AG?QK6a)XJ6>gXmqdjvW>v=6Pp` z&o#vHjMgo3(DWr*8^tjX3^nmHMtL@5xdje6|31QxhxB_EWti^UHLqF?*Rn+^@|3lf^CY(~ev zVku_svzWn6q|Hc(&WHDJwzpHMScsCPMnw?1Y#30@b!;w-hxL?tg(O18cLgRtycw`g z&%FjeghjOITveDPhj9}N5hx+dbEr|v;(X;M1TXm=eCLTHepy%JzB@gc3?#~}#W|hvyC$g;MwLhAg(yghP<$#i| z>JEjpN>l7#p!-$$r0Kvsf!Ao z8+GX{K?dPwEDqLOlG(oNEyYpq&Wg8wSDs?}!EAKrFnRTRuiwZIHL;5^psS_kE$&1EHrm0uqv zY8t2*k|^h`_BbscRCS?{bvbpQb2ZX;ZL94C>Q|%4&%SaJuq$0-^^I*=47z_&g^Z@5 z#M`pt4Zu056^l3y#1t^`?n~q(G7&aQB=3QBAM4POpqyjEtw_1x(50f?NFXEEQ##Ky zFhS`i1|53Mtd7G=zftOQn!e14HgxEW#9^}I@_Gc-a(rgw9aXT?(c zGr6E;VCyg zwKmziW0PNknw{yQvGXhJMp~Qo#^m0Wd=ah&f(|8*%MYknc@QZqZyq1|$D2vwcuV2q zQowj_fD$h7hzdQ=+U0wT@g6{}-G2J9BioHkB%{n?4zT=CfFbO~=x2wZ~-qIn9$~Zk9 z7?{~if(h07=$Y-WZ8x*Rtd~U{xixxl_SYqKm=NjW53dcL#O8!|F>$KMSKU|P?bco< zzjiuvt8H?ebb$9IniS6cer;tDsN}6)U)7iiwja=9*=^{p4k=Zb316DaziB+eGwn#c zwjMzaTuv;aB9pIEp$t3&LdO(jn}anMH<5TdGFzzCp4g-{x;Ho%IP6-c#Wxf1@uwU{ zcPyAGZZ5GYQG9+A_fq31b!9yPjD~b@QxPY9t6?I_Qwd;aC+LN|efkqw=LOoqrR;z6 z>w5Qjds#{suJ%3<8NCCEUUdhS1nR-DDxTmm-s zSwez;9+zjam*t}8d_F%zLZEueWIx^u2*vzuF4g|A^zQ7AagP*OC|fO!(=L>EMiD9x zPy(#;N5OFDjf9yJHBj(WvgNn224hphZ61nV_Jjk9NfcPKG8aCqK8N&_jpR%oc(Emw z2C&+WHxZIraq^H^`3mCvzW?DSCe)XIx1zE&mJMed{8uJbfIqLUcQ?G3|I z;NpqJ%ou_At4E~ExP$1B8O-PCm!~SG3N6kUl@@rlyRc;)EGu|nnnYBsScW^c>l(|} zc&Ib=E4g^JA_8@Aac4+GTmD5B)lJr~`C%L(m4skM<{WHU7Nh$kR9weM=rjQ~ciwwb zLwCj>P76BbcP2a>Z}gZn?C*52x&@KW$zm=ZUvEpcPt^qy9T3zNKKZ)fy(GF_GfX69sWlw|7ba z$u^utlg><|fsH!>Vd#=vr1t(N*bu<2_aV%llAk*hu2%L!^cbT^*0Dri0>UDjGWKFM zPC<)4bLG!pa?76H>r+A)RNvtccqw~pTMeZ3HTSNp^@aO1+f|I(Wl)|`q;cd5;E{6A znbXcxp^WeJem}>_V>?$YaGg_os;{=p?kj}>+b;dWSAqHH-1_Eu5rmKJ>Ia7}<~u1& z?7ST+YER7r6%FclaMd_ul;E0SD5Bic2W)OZ4b)=bkby68ETAXAZ%OyIs&3B3q=Ut9 zWiF4#A9_45KsgORerqM*s6A`as`e?ks!B{af#}Ra%N{o#UjRRtFi{5=6PfH)w~u#r9Hswr`|5GsS89g}Z7+>pO!z^Zg!jwF%9&zi(9i^!EvJ2DQQGu2EKH-42oJ2h^bls} zVi7yq-Nmla*<8OjR}w<{dQ*a5nCdN-q78nd1ak$BX?9#Fa9eL3fPLv&!fLX|PJvPa zb45D{d8^jC$#SK*g+{hOQdYDfjq12j7tcl-aIw?Od_gLLlv>>^yX_NDPfsqFlyu1{ zko1dL&!wh065Wd{Dq^&+qK2~qLHj3BJ(t8#!)e`n7@1RKnpIQN#1`F=2*SRs_B743 zfk-6v!)P6xKRg2vP+@JlNR(1ArI*oKR~v@=bX1}HKopWcxmRILxybH*Q#BF%HzTVs z1x=C8hp)0a^MX;?Oj?w;R4y*d9z)9WcoOroi-n>Zqpg~hCs;HAcWkDxe@{Z8S7|@K z&oUbP_h~%_Xw99|sLQ{2@z$tcujr;aW?)5`!5`Ad;Jogun zg9YH$c@{#Me+KMQ(R>FjeEx;6SKiBXSl#5dR)Y_nXnvV1>N_fK>K6`<;9_NmQh=CD zF>|s#_R~_5%cUQ*i-El5*jJla*V{mONB@8p87U6yu?C&2N9&cl}}wr&{23vArRG?X9}s+?Wo`4jKH`EvXC$3yKQ zOXJ{s`I>fLe&#k<=@^-Z`Zl9tN{ll&w?kQNk7SLz-)&?Kitv=wq-|bYG6i?9^IiE0b$n zjml`1;&;a<9|q{?U3K2x4M0#!erA{C%4eARV;Ki&2&ifB2%N&Y!hH6YSO_-$WftJ! zI_xdpVi1^Zr}3;9v{mYY_%m%!0CGyW-y)&?^qP!XgPxENBI#}Zp6;u$1FP7L%2z8t zNohE(%p9$8<`Q4hlPY8>%GVy|<@j@yKZrH;KLf9h@EKLKs=CW18HePO6U)K|m>iCt zDSO2wBKvL2W=tYlA~cc*m5PH0DYqzZ$UDQ={x$QcXWl7HJ3OHWhc=~3rxKDr4?4D_wxDNVXm>k3>~Mc zGr7zKVqGbX>H@&3w_&0q>UXPjSd21TYZlh4vub?r6xhu65(2p}%HtrM;Z7X>kTBjk zjb+o=pHLtrZ09(tz|hH%H1NffvT@961e(UsMi7;P!tE#1)s_5A!*%@CO8NCT=V>EMJt! z+0E8k;rl(LLie7$6Y#UoW=>a!o(#lv^f1s)+YxsS&AOR#`gi(M4~Tx{jH0A!l)7c; zHA!F{ewSOKMjI#O1}=Wj=|8t1FWEXU{mBVs;PW>^PU zWt$rBsy2bxh)gnHLtJt-tFSW!((CZLf77rJM3Aw23XkXMWT*!`&x=ZaYaUs#A8GP= z#f_$uE3v;kvfxKA_*#FIcTktsl~E5(ol5WLEVHAsXGG{j-Z9G)XG;o|h64Y!mFFPo z4eHwsCTdOJvQ;)p0AnmMT9`iKD0ahchA;titKa}mvqnk0*?vC$a99B63vu!0-6cOTJ;qAwYt3 zd0rs#au0Ihx?x96LzQ@OQ*|Di%ASDRhv%u3HKMaQa$ZcjoY(a zNLnZ*#DS7u6gj!@+{Yk{IFg$R`CDPXdYH0*(43r!6wDZ7aweN|L{ExOA;t25nkOcN zgwj0SAI1~4WLtF$c>Z=VY|FAV4d*y-(vW0+X5ZiNb|d0>8o?brYMWPAI^Q;TsWHfw zlle?#)K82S=lx-_B3!>lv48glHM^EdX@WS4MpWz;s}0?R9Qx_mw|txDX>bs0$#{Qb zXyLh;B12*HQ<4gbcfdU;Jq{e*P2yZF5_;U1;apiP8WRzK(~;nxV=xH>N`F~Rxast--Q~ z&n;`cbQ&?Y6D50Bv66Bae1h3)>5Iu1AHWDQh2n~NJ;G)jC)XX!|87HX)GpyfLe1yI zI;jQLRayFJdi4_>>eL$rjEx-Qb>R-ousEy#z{+{l7<#KPb|{ zi0AxLG`7NnVXtpZqz28-H$`LqU0|F*>8y5x@xyytM>>49=>8Gq$gL5mn;v`_?b4_ zjY#0qq>;P;t%H>r zpplRlaARIk6NP4r6!+TNjSnV-!O7is-rf)i9{t%=j98E|{TTW{WdP-9DUllrF`9mAbzD-MaT9zaFn+@DR!sLYI z(`*n5-o9dQ&d?pBm(PGd_fIDX&utQP`*&{C!WJrhG)V}5@h?$2=sA^`9=+QY`x4%a z6}hlAM}(DNB;5t%T$04pP|BkMRa$w?P$I9?yc^F0%im;buNr&Rg8g1dCx1P&W3?Y4 zA$InqH)wC8{Dx?eX2I9RJ=gD1frzcpJu2FuMGv=UC%o zT&eRWL(&{t_C{=BQ0q`;7 zyyXRVWt~~sdE0m5s(v>lV2l4nU$TIA@)%rrsO()vQ z?$d$7mE$P#`9tg8G-gMi${Huz`th_l(a80h1d$}-o$Zb5_!rompT#5sHrsJGL1LIq zHI7i>+&I}OcBKf~jOE5hUz;NOJs!>FHNHhJ(>f#zK<8zF;Kd`1;L2Scj~Z?!gYdr%?|XC~D0<1*NiSjm(XVVWKq{ z(vKMaKws@a?G*HPIinBWP@`I9NZZd_U7@qHCCdI>Amx`Jo@Z*NmR6%oc3RhUrQym$ zP2d>eBDF~X-FWzgx?e8dG(aC0rjK0V3v#R%EugykLRoD#sdn9?kAHM-FphsPM2`=GYQ$VO2Pl7NW<+%QRh6 z3d~Dx9-n7>2C?$ZNn3}flVA6RkL9J;v{xV)m!eACiHJJLv{`zStnOtjjGyBusKgwi zo7p#Jh{v~fZ^s1e)03%WTwQXBWL)X}bD(7HVa7^g*f)*>UcUXpDg-SS>!Ww#S!1@W zxHTL{!yEm&QQ0+rDH5LX)o~+$4rz-aAuScnpiMj`lKzzJzRy^699};E5q;{euMka# z!lm$4OsA!&qLN0#9QG(Q-!?G)Ty!jewHCt+*ZvR(3VZR8R1~ti5KT7`s%Dmcy`ehz zm~Y2cNoSrOoWd+UmQ>u`iEIA*vgA3r*bX`zD5kjW^l<@yt@bJ1=Rt`Sh4kJ(Yk20r zUxAg0#i6U{su6@G3tQ7eNp%t#=b*S^Kh*<*B8pjyETKYX;oZjPk9@VPE)_^tSS9%JKOyvIlTHP%R9@}8D11|Bc5DDwqGK}^xkS|kFU!hw>wv( zZM_!rlOr{}jE);zYLzzzA#IWF7_<&;;^$O+PWvqd(vp03EQP-E28ogkM2jA44ThA< zaek{%>}fBpWGJ+Jjz9DDc_%BAg;*iGQ2O`_s%5CuDPpYbprD@U)tb5|6?Pn)dI|f; zVo8p2uriawA`y8!D>(@_a!{rvoDiXcF+7w&8&O3PT_De0dCk)a*+@35i8)}uG4;{lj+ zJtT)*=|0_)5=evPSs_~=BSYd(GD>Bn=nu&{8tM@d{Vg2qvHE4Ns|k9<6KPl)a*=%+ zYO7KlF~w2w%sA?0{$1hW&6YM_BV?r!-B>55yLTGD+30}Hx*Zg7f&vpP1}8%qQ1sXL zV+F~p<&(3vh~l3yUZtwZM1G*8F#Hh_WrS40OW#QpJ{7c?O25X98z=bU&uW+PWD+{n zt?k7MvKpRYC<*I|$sb0`p~JqB=-g5Bmx|i=qEW{A(o!nHbAd=rYBQ1uAATbGOSLLD zb0f(8^Ved|+wAP+vkmaxUI9n~V~mDUTh^3noxuA5czY_y_6u=`Li|Lw1CHX_@LGX~ zJn5-qtJrVNaZn}2-7F!w8_J-HGZEu7cW!3U3H{MMlEEE0*x$q7e`k+Y%tdo123bpH z<1gE`ua4pj`EL@zNboBL9xDB~#|;TcC!QYfx2C-^70hgKmYNu=VodTI2iIES0adGJE1x9lZdgEXC~zPx)o7s;|z+{ZCVRIt#dTgP0}Z_ zV>?8I$7~bj)b-6@YtXZl>hq-=wsyyjr8V~jzIQIspA>mqeX|dKJKgGH==f_ z$J?{I2C_5NvbV^Ys-eK5x{!@QVelJuW#l(tK#)XdAa@ckR&K);8_ZrVV&6WxrcSTW>T)I4xK1HtD-I z?T-nDpa}nmD&boC;`Kq~?%2me<1f(=x&%KJ#T(L0?6aiG7-TpWt<7X^Db4S8asaUkc)oDiNcdJV=h*bh}wDi zjw<^{KFt_UBhr%cmz172IVSk6ZO<#AP08AE?l7AqD;SS3YLxq*uB6i1TX<-dNJ@}8 zLm?Qy-KFH0v~G1O52RACWRXT~ils`Sj1Owla9}D=EBBS2?ST!}lILq@`E(nQn>N;1 zyBobl58idU%#FVT@kBo3<%y@RF3c>PyMgI-`vvbgkqL?R2fW=ez7`@{s~Sj#E4rvH zzh=EU_nS)k;tSMoQW`vU7YW1?^>)TTeSsCwry#zna%}Nv^x!`?;oklw5wlEM@Y)$1 z*h4QM5U%;*}QTn=%v(YM|f0$}6FguTC#8Pzdva6!B%dh;7BGL+~t+4&jZZvSy7A$G5q> z*%vpge@ae5)5C0P(2?&|yglMJVdftNJN;y+r*zXMD=FE-XBi^$kYRNgypbN2J>d}W z$6(=}&qpJ16}a!9IHuP^mEY!|7AM@<98DyQ$T%Db3WQun*b_`%`Hhi_#7S)VY&f98 z*8Qa&iJDP+7*|CemN*R+3%of?sTuUsV5*t87t0>01yz5j9fQq`_@r=W+T652xJ=@N zCuwgpFyjLD1ZDBwFsNRe@;I`EZeSW;KEOx?GAu>hFr@Mld{WuGjrwj_0()gO$k}{s zMTts=23^CPi-M=O_^_|Pu~-U8O&wzcXKpbl3#83 z$V5{f#~i3=I<2nXRVFEum?1gBdLvV<;NYjzlM#w9kB4n0&<3hcC3cuHU=LUR(`ZeLcQ=!fAY$hy}{)as9y_;l2 z42wmuJfbpI0D_uQN{{~?O-*G(j_&vE(ohbJ;MHNh*Q>X1tDmI*ns7Bg&H0tJuK&Zy z!^zT#=1(v{!mb42f65&Ei^TWuoI6Ex4`WS678Y%~zY*`)*y;Zh-W}b)WA8pdgMV@T z{_Uepr=V|RYW$Cf1k9Zr<%}H^^{wr!jPcp&glw(#&29cV=L5xO^A|bqW1o?QS({G8 z*~ZYx+}7qV$RR%c-}CeUAaHQd`};cx1bTgaeSUd)dU}3*e0q3zyuW|AyT8A^ySur) zy}7x$zP`D-y1u-+y12YNzqmX*zc@QPKRr7;IXwlQoE`&Dj*o#yN5_XpM+b*Te+~}! z{~YY?|M^I}d;2@Pd)qs^TiZLETOVnAV{>bLV{>hNb9HTFWo>Ytg{ci7UZR=?H z-QL{V-qh08)bhKrxwWCGrM|JHuA#ZEp{cgMv8Jw}y0)RJroOVKuClteqN=96vZkz} zy0oIIw7jyUtnycBMR7@aQAt_RuhPQe(t@Ir{K8*(g~fRVMY;J!IeCTIxdqv|`B^!6 znb~<6S-I(1Iq8|%X&Kq6=~*dhnJH-*$*CDhDd~yHX$eWG@rfyM3CVE@NwM)sF>#5} zu?f+!@li2xkl#6VS&M+0YRbufg%0@ z!F~ZjKmCJz{Q`Y|2KfB+_xAPo^6~TX{^{xM>*3|=;pyY<;qB((gMU<>f!9_ z?&RX;#?__J|Xk+JKZEJ6BV`pV;XK7_?VQFJ-X>D#{WoB+^ zYG!F-YGG_@Ze(I+WNd0^WMW`wqHkcVr*EXEZ>Xzhprfm=t)r)G^C?}^ND=RM}BPT5_DAtf#@ zDJCW%CMqr}A|@gtDl9A_BqS^-C?p^t$j>kE;|D(EA7tPSxD#gI~!&|M8!gvAL<46Fwt7 z2i@Q7Q_$Ah&erBHq$v9bb@V@=pMQgP{yTT{Z)i?BMP~!2zc{4-hS&Um&Gdcw{Tu+# z|A8DdDr;5KCo}jdGAJqEU_@CA*p|U z|F)=cVByc5iit~ba&gn(;=w(esH&-JNXoC~p{2uz_f-J&UwPvL?8J)`$BPt@u9D5I zogX@4nBpx#V%;aJzT$xzRp0pOR@HSceeV}^pCG!-jeUFG0c*;>`$(Xoq<6sQcYw9> zJ0Mo_|JLc>-6sa?-E3DG-T`8>i;Wq;o$b?4M>m&A*?--{e`TT#0Nc>XL=F7XdL72 zmaZO27Y}glxN^@)$?9{zos-!+F02P8?Nh#=a4ATP{C&n%)1D_`%MRAXYqLpH@H~C% zytfW1DgLe~{{H0u-TfF56CHNDq0Ujk&RE0DZXbHN73ank;Nk;gw-HZah-^QFZbDov z=n1v+@T&Ekj^Rd*&fU!1p2l_t;)qNeS>f;G#?@B4@SL{hyJTs&Im$$vKSm+flOyYB z^s#V%p1;7{D)(JGC@=gk)xQ6wFeD{WL+-xX*4H!`8D=e9I9kG&9QxGaC$DtHHwro3(e zbx?fQZhiM>-vJpQ$=>Q0pP1Kl-5cLhw-1xHop}c&NWR(L(ZO_`J@Z|g`~J6x|1PNi z)~Nrsi~k>2ApcOq)q}9OyC!WP^8OFk%zxKV{2y!r#OAfN9Xpe?xozpby&0xQ-M8Dm z1gSnP`5p^(E=9cq?8@E&oEISSl+~-Qa}?b}?RP*C(u)oosP}`)-gTR=YdQE1Xi@gf za(zpbJagN6fT_NzdI!vgc~@h-?I^!FZ#~P?HSYPYQ+7?Zy#pjj{y!5?kRk27yheeS z+mS!|OYO73%9~^%WjE3faPetC1Dy*k(c9F$i?*>Itd(QM;@0FR!&QQIuh@U85CrK^ zg?5xg?SFq1RLDo~s*`Fl15g+5xd;*+xAW?Sv+|Cyw!+H3#+>F#$W^UwhL3>zI#jiz z+PK)dD7ncSci#@3%^myp&y=^zpIsZQ%kmZ8v#JJ8uKwkK6w#FnXT5WqpcoKvosDIS zUWuaUVRh6J=>^*CsoZ5>Z^^K{9JP(gw6Te2@!ZS2<;)H9I`5x(3drT7y^gDE_UNl4 zA+6EPp8V%4?no$B;W#m#$38{D#CAI?eZx@-LwQeVb;;gjsR`XUBiCRW&18^G91Xh+ zcMW~G51Jj_KK3DgWg-8B`Y0B^QdyyBMrl*~HmokGw`MfJKX6CE{Bo8pURux#S(Mb` z?j7;~3Rb zxY9X(2rvJ_aX9R7M7}nr^1O1-a`{6V^mKv#^jbA|A94-a`p#;T#YK+(L8!x((ICnX z7p#9)%QbMq65j#!nJx-1VAd)ui{`cm0UhUvGC3^S{N^--(9;3C6+4RB$tfGJ12n9f zn3AG!Ie*Y${#C;wRJ^yEm}kRDTt7&VOg;I!yy1fQ!0s8GgRTzTL}6)J@$Pd*W^>FS zwsoJ0jNA47BKyziz~7rX5${xrcG8ldc}L}zyuSNZy()c3>sCg|qkF}jEmBzk%}7TZ zun@3_^uxRQnPwI~J>l|SmXV77;Ld2dRWAwQ+v6acE_o%wTVu3Y z_PQwVVflHpSXyGS-?a7`0^sn%zWl4zS&^aH@PPCDJVl*9j|g*1hzjUa$GQE?Dqg6P z@~XNtdzX3V$0`Rdqn7rLnsCpyT+qA#uh;MYs+8;lMHjkNvkE2PMjdcf>!7dEaBx>P zl#dQYT*uICXux_&iMHw5o0Yug^|zmEC+2T1gYZ_--vJMw@Gkyp zSBhQ)@!qx#K@YyiN?m4#?|}8MzMU5!%ChH*cYx5;v+ffyiBERa0&T9Y3fG1@(p+JS zTVs1ptTH4TW|m9eF+)$Zuik_Br%S>Ax!u(n0vRHWE580wbjBli7V%nT^EI7d1)#t( zX%BLH{CIP|c*Z*b(Zv^wlgbocD@RIUk1uhwG;$u&O9lvaQ(SYpxUfW)J>w~!(syr* z>K9Z}RXA050! z$2=}YX@ipAh$$%-$z&%cHtsdFU4LQShpn}R$&L1o^}CrU(-rlLAwbq~CsrRnE#EPo z9MzRoJkvRfL8_?6oUnhNSoXl<#C3WHunfJW#9R3;Tv3m5s$0#8j{C%I;k&%PCXv{F zFE%}3m>S4?U@6AdApSH?pc|GD<67V?Q?@}64Ouva7?U*nJDS9&N`?zq<#KN4*K)6v zbmFVtYrMV|fuepW|7N{KSMX+`>{A)|^4LsjQ9fGxy4UF|`uay$Yv5R0l(F81mFuhm zr)UC+%@G=HZ!1c_oUmD0?@tWmuPdo%+RCKmd(^sVX&b^%0a8f3W)}CdC+LlT;H;`B zx^_PwZQYo~oIVxusdQ)4j!(NaIjFOi!0=Ym(TanUHB#C%OJec{aL!9LGL6^{WGMmW^(F5sjoS8O(~f_^%fc~ zzU?AA*gM!;-PTz;s|cOBtr`wMAbpL3R(0%>qMERM^rneksy}`QWEFIpUx0Ms+x3f5 zgqPf*LEm}z+77r;P<*1J791$7Z+BOWWf!W=VhyEH$d-&;vCL)%LC>$y3S%Hh6n!{s zK1ttK%b~`u7292jk1^R9&Wmj%zkqlkwil%ymiV)_fAVbdNrh^qR5d4oj%1=#ukU1+(LDajHC_8Mg z5E)(R}vaTF9W$8_^4ngyYOzVAL7AsttRRE8uw zS=y$o_ft~~|Eg!n-sY?4iX)5T*PiX@?Wb?~v?lb`#H>HHmAiSP_vDo#17 zsR#E_4??g<<2ZI@x^A64LW-NU<=q7@0kI`rz!))QGAF~UXi;@EC)_>^(2tm23W1r5 z%3Idig}L^O{-8zB4=0qoB?XjyzbtY9q&B@++-aP63gW8S9Pg@EewN<%patElmm`cL zKi$(WuTnC}u-`6=!gF`L16EykV9GTP*;(?n_5g12)f+RMjVQmz>}f2q%Cj1dxTOdT zoYr3lTbCPn4{G`%gnmj?Te~P5mem$D(I>b5iWMb5gTE5qnZhcwqi*c#(7d&K2j~E0 zdTLC)qY61D?Y{aRv_>yfKUy!aI*-?#HTp7$q0E6q-dsPFkVU)FF%xr!*8b;b2j!RF zWGea1nl>brhR%*nsh|3Le@U}$>6Im8Q9Jc%5FZiem8-Eawl%H24sJ z8fPO0^txG3yji^iwtOH(5wQ92BmAtD{9j%W2OW5cUqsUchC80nIuAi)X@1=}Kd-^Q zd<4IoRMf*vq`ywwU2;azltwjmG%cK3db6EQM-d&x2PG&kIBn)>pToDNRiLul9R{zF z=>N|VvoPT%MMs+I)f%>{rFnFT=i?*j7OmP#n5ZD z>NB%ukf1{}P{bQNYZZ95nfrY$On$VkBGRXLHE2Oy9HC>8&(k(S(EDtamHj&*ckiKc zRpvu8V^d?>K**dYpLepS7VmDv!p~}|)4Q|+oV-Nbsfw2lX+9rUuLa1mFLsQD0m9w_TTx0JTE;KsY^osmb*TyM2-?BAt)41x! zHf_EEgYpjggw)Y|%TCJ%-=4N(ywi^+Q(G=B75F3k2>iF`E0#}0S0h)aMf`$05%3Pt z@UGnVX2Sx+UcmLaxS3|BsQ4N#2Br1!?vzH)aTxRWkISVV(GxfhGFYgSC_%GYza*X* zOU?FEOF{8zX3;+jjvs!jIQV#Vy_!K}aPsEGy556F`rEz)nw;lGnx3bNhv}TPZ_6mC z(smt1xjbI%$PLl(wiWN0MzeGL9Z>7^QcBC=6ZEnPv2y=o7mR0@jsNlo5UTt)FG=dl znlS5%yzwtbkZN#yYZW5vkl6?8hH>`j)cOg&zhRK)3`AATYW2W!?FFC1qRKi(Gg`aI zW*E?P3hJIRGrj*2q-}AC#3?HkfV=h%xB<4sdjvIZFNpJ5j`mXzGk%JKs~rd)!i8Fl z@n#>qwO5CezQL(*%a;hVsXdDuJ1HV>{E5lw^yO!k*xi=g02qEyLu`C5^ev?Q$>8{ z+jesgR#ti!@TM{L4v6H7GokT`o^iCU8oV%O@YcJuwTY^JYwdTZF2{YrJ;8P5*>4@{ z&yomVI-#rmFaq|*J~V9qS^lhmPz`UfCy5}E_S)}@m6Mb>-is$(1U0>&$^dai9t62VTqE71Zy)Er>vkn<1@a@#B zx%{r9RjMU=tvL+F*=BbVjN7Kl)jSynFGp8<&sMK5$Zxc~8#&9h6+>zDX-Eor>bS8t zVEk8VhwcR(3hp8RL!ND*tY06#7M{yS&dQD2m}u@hV2oY&YW%fqO29j$k|kc6j`izL zAHM?2=JV?y-joDm4mVv(G!N^T8ta(=&*62=;;Xhe&dWN+GG`7|rE6^9=0QvIQT!63 zKBUzFM_=($+&34UMI+o7i|5a8t=|tD4QXV{x2`AI?y}wia{IF77c~bR(ZqY58J)8T z)3-r3j2C{PH$vTQgb6Y8H`b2!S5WI32YB`$-F();n2DUii%}J-jn;D~u$F{RQA#RP z@+2)RT3Dzieb>?H90AMBdw=y)90vdD=9?=oaYiZEHFZR@z%{BppLjR=bsMhF?!cst z4l{SgUt2crE(Mf2N5x{x@@2~X{m{){5jj;mK)H`)e={x*y)Wm3FYCv<)BD-+4z<)n zE=>z;^RmRgLAL8`UNPbMmHKyI+mUV}x3`o4mj_MV+h3z_p=DF%9hp9f2xp{@Q0u`N zV#*S;{Di=|rX6?}&Qtq)8Kf8Y4~TCH9H?7|uz3o0S2X zLU3i+fvT>UxnE@OwG0nXP+Y&49qh~l%{+x|U9OiHlHq2)Uzp1c12J0B7+BkT%%=aN#LstT-A;9JD11sqt6K`ui`U#nQM^8lw&mUw6Lrto1+eg1=w%1jn z7ha3-uBb((D>L-lj?M&eM-AXf9c*jV8M$exDRynDt?R1hKtjp4Z#&=C0#~vG>6jb# z)mxa3n4xN;vh^zg7G>pCAWaCS2nW#Q6*B#c=zS*H{`Ui)?DQMaS=XbBcR-Zh%+{Js z7lx8bFrPXQ{gw^)SMzL@%V$;1`*TLUj>7Bos`~k|&h_Ap-zRHLOFWhJj=rv&&{2r> zOxKQ*(tmy#ovjY4PTgUmD0}SM+f(Hg({$1{L<{=%u)Tq9zSMU&sHSf+g53dL*Vb;| zJ|eU?O36_?wx#ld}o$B2|udGLb z;)a!_-$l-KO&ZfwW`;P&i#m(V{O~jz`Q@T=<3qzP!YXl&hx7Ii7x44v@Uf;7f>d-w zv~wz)*#g`GgaO{7kRgJZ7Cc~#?bfbemLi5-T^_Oyk3@U= zxh5!qXuqtI|1O{$sxf~X787$R{NxT`=7+G>7Q-UaUJhhU=AJmCZpe~MzoDIf?1gb@ zoV2qPY8?b%$E!p=k$opjH^+};ItQ)MxZc$7`^0opuFNxLyaR+QQT>d`-r!ylLbsoS z)GfG95LAeswwFF;X*o9yhd%4Kb)l$!FFDXp$@FA>&XF$s+&I%i<3<2=Qu+TB;+Z%_ zS>^rmYWZIQ-kDwPT-DQYV+`lIY9Cg57Ew!$GaA0Nghw~ZG$-%u2Nn1OGvLyg39OeS`H0NM*5|b9>Gxq&2dtxrHmo5fi5jicD#$uVN|2X7PKQIh-3j z=-?a*AyrxDVflgQoYpgp)}B$EJHAMmuJb@qEoE6ybV7%tk%=X*^OSS#-n*+qd}i`7 zUb2%iSsH$*7e4z^OTUh|^0Z+Ag2($m(0gDFf-CMb(4vw8|DZ#lRRpmMS zf!1I^SwT#k4a>yu6zkfA##LM)R{@d1ycM*%TtoYXq2LCK!w=k)mW{RSgroLZUt?$R z)_S4Yke9{}*8%y?lo`k48o?8iswWcR`@QwCW0y4xJ4c*xcKgvbUrnIuJTS-1k{adj5+Dd0C&{TMVo~YKw$Io3x9|cnnwp z%Hn7Kl$^@F16I#uPpA^}nS=yBXXON9b9Yec@o)t@iTj z-;ZlV9}POtAf?~hIxK}ACiN|WJ4~5Se5p+SBR~37!^G~r$>2`^i^^TBs)A1_1{VG-rqS? zcl!zy3PM%Wb3)ee)2LWA{E5s?#Mja&g}>tOx^T!;OoQUKcEYw4i;(5HS8?0y@)ttVl)&2b!Zy~!OK1i_jLPV;B3yWlCZ5fRuSh#b>K4_E;V2wD0V~APBjQ7_^;U-;px)PV%Cpl zdqmq6m|Z?YJb>|eA@qml*zkd&zBbT_bj}Q&!_~`slgL>i$)C{j{8?>U^EgF+9=L}> za3^+SrvqzoyO)%oL?fTb0$IDbe7<9Y%kKfg?6SPc?hZO&*MDf?!lNVoGxLpDN`3kf zl0{cP93_8nuwLUE#JO~BfS$CRsH?uC;VE}Kmy~1lwJi`Q%X<&2_GG3jcH=E0=&$)p z_MJQGnQ-S^M|hZTa=NIH@+uu7-rUOnG>7;$;W=+%e)u9Z1^)k zIzOl7f-7HG@Y77RWC0+ zHET()dwfFXdh@k`LxccC23Vs5{D_Wfg)slf`hN?DMw&wYersx}Q4{(O@aM`!CDUb` z^8)HCiA7R5EiLyKCF~1k-p75?K)mJb#2XwhnV8J_%R{M_^XcRA0Gmv6Z}m%rolOVI z{?pu!+UsUVxlLmrPmr<%6CKQJUbdI{zB)XNNv7Oir)lFy}q?0U)cfy!Y5IL>CB zA+rS8l^Tlnf}6&m4XMaP@+V$v+~nf5&W%rl-y*D6oq_e;hY}RonK_QXw<1Ija%14O zKy!LO|G=p|Kj1cSiwjtp+;l|WrMNgO?R+6)wFO!Z*#ezQogHR|4o@Ab^Z*X$RX0bY za#1uLRQ$~qAU(Z2zZ7T%Y;jHtFkSSBc!n^(?X>&a7AVDSqxQd^fci~CM_iGn)g&5p z+2BvO;=STnMtBJy0F7+F@VoEMsqg`eYwGT|d;ntou&sLM@5!oUp}|tW|H;lb@}awk zAW(ia2T1gH<%=FfJXfAOwzx-p&k43UUN!+C!tX1kt&F%EF`zNdb@{sP!9UYr8}9qd zUq*Dr_dE#r69w3sLq99eJy;Nl*g5k0uAR;%KSYN&CeY zSpL-XuS-kIjq08c&x9$OJ0hklTM}Kvh8r-e*}2Q@z}j?pD2h~o?*;>(N_4lr-?Rm~ z3T)mbFur;W)9dGNeBVy^@BSwW=al_GE`b_`mVp!D3Apo$rI|!(Abzo&bF&_B-%EH0 z0AU%?hnfU`N7~Tu45? zrtm&_kyW}9`KAev>v&7xzc?DdOqH|3#N?{gTnhKSI#@wg~EIvs00VEsV?kYbwaqv&DEi< zeIC>sXn)JB*W4V<{oRpxX=z%#FH${|d>I#;tx%x3Bgy2XNQ8!Ha_5<nte%CU={sJbg+TD{Ys9yA zHYX?5L;QA5-iTS(nbf)&db+5c#Gu`JM zqTLK$e((H~CBA&KVrC8Jad#C_t`>sQlh$huGP^AW48&voNVBC>=u=+e{_SaDBwD36 z1v5bshmG<2t7YdMktKN9b)dKV{=Q<@6RrdmOs6tb4?5yxL;Tcj+CcTwhqb8ts_II# zi|0U3w)=&Vzdn{O;R7pm!p6AXnl)=6*aE_rFMoOa_V<-q-c{&Q=>CESx4e17vW+wf zEZK$ghf0^4?nX{&e?*kIqOLDXH1O2;WEUM#ih1fN^(7PkyM80V6Z2ssvRq)Drv;u> zG!L~R78PL5?bmC6kk_T_wA`X&+_^}Lsn0qFf%5PnEJpY?#vKu;Cz&O`Px0|llYWA^ zR`j`E^u;NE0GuoLTQTA#HqajUJA&+r0`)OM4|;QHH2E%o*DBX1EEz6@a<=9c}kIobb4Y-kZPAAi`DM_DW09=nbvt$pmz2jy*&8<)b-7#o-gW(rEGIt}@qM=#TZ~ zR7h0mYW@Vh#0m8J+eV7G(C=G!YB*BaQg&^hnF}RnrcdB90+tJV?pxZ%=nV_gd*7P7 zsx7^{kWFyD=%yrs3U6Ku7ftaG*|rz`yTfu~Lj6@v$U{E`leM#=#XBw|G@x0C;(;kQ zwxhr{ay|`g*qCB@O*P59Dxkv|J-GAPd>DuNVJrQ#SaAf!Va`rSTY2#O)1JBMnB`$B zwKpHkAMK4xE@?#DbM4L4*y;O@cYn-3&pna3Hvyo%yY;4i(gw5kP1v-0nsG4w*7&$d z6FKCgX=STJAFx^suKx(h%~gP^%4KItLI);ebKn;IlVyczBkHn?7J+)2nV$SIn-bOx z!$^0%Y+A6yH>IRXupJW{wE$4}IXpd(37 zk0VY?FpM`y;DutLjp@v1dU><}qjSyWC&@fy5ckdcbF9kky<`nyK|5{ONY%YOw?04e z?D2O~P~R_Oq!H*Bi6mX?S)p$9CIq}O5%^3GM|;_Fzp69bF&mZ}I17#MU|`oOYR-OH zla$F`?zA>7U7v?VSRZ~?&$=eFsY zv;wnDsXRDi=ptKYPAQnFEjd?7m2sNvX}22?(_qX7%<`;2k>>+55Z0HUAf0SDoa*U* z$scWQ7?nA1CI@YS1gse*noZ1bx0S&y(5>q?t-@FIKky9hXLCwd-zZqH8ird_>|D$2 zH;j{}#tQiqXL!CqC7$f_xQwu9T#XUDQqV&K&`weS?4^#g!N_JjP1oJImsI8{WK7m7 zfzXVOjMMw6E6e`ywAV-C#vxzFO|Om2>(`dYYQS;PuP<`pfn9bC=Xw@?skwJ&m{nX? z`gAr8Hy|N*S`d5J!mW@D8!5MZB2@DvnPjqdrbbGHOM&+k*2G2Y7*1vXCU9Y1GSI8>%Uds(q6^-*297dQ^RFOnodQ@ymE^!MaY`q2Y z1G1iW*UUWl zH9W=2HLTZ}Ddw;R`t%B*AY%&TfI|f>N+rBGY0dd^_L=#R<;cEIx16vOeyT#P;6Rz{ zxhF&7V(WpsG?PA2@JBK?KiH+3iyrvcu@GhVqSBa5hSu z_n%WsDJ$Rx&dFu`dK`F(5p-Hq1(jgC;y+>Jp1YY51)SE|GE8rEnF$zv{et>p2IwZf zu*+D*U^BxX_zkc?2$qT50ujJKrh&@b0;%dS4+D31)D*7k+Is@ph|I%}#cddQCg~4z zy${0^z!;(ROw>{{_s&Rv?Jdw7I1`KCn4VP=iS#!I@=clb5@w3zas)G+ak70==DU>$ zri%mxGfw2rmMms@Ix|h$wm|4YW_I>+Bu1O2rnv>$(+`+`ZDd$+H)?|4dVL1q@uQ&e zavVNqp7#D(82$x=H68UGnU$1vi_0v@7&TD=Ua#5M+j9O*qtsQ-4dG0b5qgt$*z5`b z0At33M{~ZbA6WcaW0;qlfZmds?HU|JhdpNLy-~ z@{h(EhIiWx{qCbEhQ9c-e=^2Owgdt-wzN>S9HI&MMIG0b*|*dXq@;y`yw8@mn9 zZ=2z*D~=%CgJs*XZyp?9d9|4ttW=o;eR)y>>!*=u)&bf1&ubF1N9{~?8-U9V1+ot3^O4=~wWUAw7d0FP0vs)m* z9-jdsL71-SO(`9wJ0M{<4G#n*8&tj0V)m?hT$R{jdP?pJdb*w|p0v4Gt0DTX6FB4}{y8N4NC5N@ z5^OROX^-lmH|f6j^UmcR(O4O}{V|y?1X$>R9=_$Fbv`YZ@wt4n{&a7b%G=`SqvqQ_ zEeVXi=tWJO$FI+VXPVN&`dg+q`W0;YwGNxL7oKr~>OJ>Y)#oEt$|T2D%qD zCptW-Y(AYJkzw59Vzp^*RySyh2-*!|-6MLJ{EM0B*@7{)OD*B8Gio-on3DJNIUklsp*gKb9Ev2~oUXjM`Ofj&s;PM< z22SdlOzOyc$PNBimMo_|&!!v3lAY=c%1F&@iIcB8X=004KI;Owk22dE<>{7=CIs~o zsuTcTyG%NF{N}I1$oxVqqAIDo@GI^I2{JlV2BBwmGRh~zr12Na%rehfu1d9qv=mgp zt?CD%u_Ij?_Abx%rv4_*uWFWHsP0hWji<|<^1OO3QeK%V#TOK_1M>VIB41%>mr8Ph zlrUEp+ki&j{Gnf2`AyZPS*&OIFjM6xqI!tNCcCIoJ83Q5kk?^7w2BL}j}e$@*6~wf z$t$MJ0Jn`0y;U&a0w1DK(c^gNiZ%WFGvoA6&9&14m&dzknzhHvYn(=<_^*7@wR>b# zI;erQi&81~y)YiGH*$(uKZ9T6nqT6NqIdzO=pqg5AT)aY@-SPKQ{qUCyl8dsmd>IH};Fqrd9Xm!cwT*ROYfHIRFsh=d??#GV zOSlC#>e?^6Q;PeMgf6;J@NKwuN{sXFUsd^&wfy=__}^`YK}{_eFM9jCyaV6 zm#BVb%+zt8TeD)(9}3nq-p`guy+EoNwXF)YyczhdEaaNng}|BomfI(<5u*3L_iW?m ziky0!RQW4~6~vxFl`=}9EV`=tLZ)ANw>^uSayuyD{Gl?O6i@%YL#zssJVa37-oBJPhKy9VaDN@%uMVF}7H93NdBqfAzd*gQc5Bfk21E?pDj-KAqTnfy9w zcO&7;SJzL84a^^0OD!D|oBz>sC;}}K(J}JqenOB|!Z+(wtI^JU%trmR z?1IT*1#Et+y(m^>yLQ(FwR+gjf9hY_WjqW=GnqEdAGZ6bDw`$K(6Ewtu0!*VXxlMR zzLlBPXiafS7@?(_BC7SH&$wSjJ~1VzE0LU=VpGl@BHwr4Rk?KVGI;rFk$jTDU7aqz zCovWzL;io}SY#3QNM_nZ>||S%rIBY^W^fXGSQ0_D&e|O6+joA4I$MHX$U#7}bU-tI z7u8HajPHnyT-lPe0>P?|0xD}}8!Ul)aLPT_PCm$i$4%TN%-YrFK`f zKL~rl@EPGexF)`CvQC6KG?sLxVoY|mqskQX9&bLnF>}ip-6Ln0e!$YG^3~2p5^=u* z#OGhA7OO}fK6&r5lVytT@i#cPO0r(*7wmy=7e1k`=0urBm@hg@v%{oZGJHa_n!Qij z7Fe2dWnU#4-f(TrehgS+v2 z8Jl`dsd^8pi%Lgmb5|QtJP;2lUW88b%41E{z4W(@Nw4QJraFz(9hZS+>CMcc$^5CX zZIBva-#y=r{wGC8^O(4e-W^1yZg6+e#ZwEZeHRASghITZ_LPZT#Yj)$B_qeeOseIP zII1-1yuZlid83ODE{}Zt&5PCmKb}rW`?raLuBdo}W3bD}euE(_Qg60lMp>!nUb!Qp z?=l+xDwtayDB*zZY`>b@Q!d?UrFk3bPngYOEgv8(3HWr^8qNsDG$Q)L5bTLl1749idt1b58guV@AI`S4dagX<(KKUY#xWHA zoFBP8(!B*lpUB}Wv&b^aZ8EF+B|t>q`0P3j2553lRC z^Qv=Q*$ar%Rp+c%5XkHV;3+*HqP)A|4)$_t=Y-)^DsB*(-}RO@{3)f|r4uz3uQ>xV zfi6l;w^Ucf3rBdqG4HPHs5^_wtlk3MDBl;HLr_>WHFaZP#3{k$p0=g*r%Giu+kg;h z?WBKonxoE!p+@v432$;ctil<#+#0|yqMd~qBW&Pkfeat|cuCJ< zR6Fp!u1M~qSF!o-!Ab*{v2i8)#UexNTg|`DPrvDf5rdf6e zQ6F%uBSk94mYG4a@Wy&fR~?643A{C!W58DDQrOeOq69W6q)%}6xiFqaQa|P7kE70i zZ{5H^{qaAmoU(koBSSTgC7c~y)1;$A^);;4t@O>4U?*F~=j1sQQ1GJUEOYe68u)ur z3vvvQ2%VxQeXm_XZ2?lNH=e+eP-E)qBx>M_`qUO^yo-(i&xr~lwm^&ao~21^T#;@5jTsBvIckw(*eDC5#IOX=P#EU9sJMWl4emcti#|rxQ zzecoNf$vj(vfVEGlW9HH`M7U$c|}D7WWKDKio_lDXi5Ckux(^NVB|ko6n%onexXbW z@8=pmGPd}I;hX#MDfgj23Fu)lD5q6Yh0ao>zn5sG3vflztP%y0ct}#rdk6$sw?Oo z1suhJ!9M=wnrrMGkKV`>Ea%eW=jpe9#6%fjqo@?v{KIhq@$`Rw`F~mmz4~uZso=>i zP_cs2pl0**6KIg0p1-P<;)kan-Q}d~i_A4!T@^1pm>M|7+{J@be=F-%CnvP(q;`6> z-v3kMtv{Ku|Lufi{q|Lp`OBpvg*1uwC&W&%_NAvB3B6owy71=*-m$(m{H4*ARhgL{ zRe4~h(9PvRNvjc1w*|m&be_Hc!^!BE*8eGIdRi@RH*$NkkK7}GuU3l^mC!em*ZikY z38OxA)pXPV98WC)(7%Oc{*1bECK&+u{(tm1!BR$fm8B}Gr@cL&iZH1Q)(a5M(`;#Q zB>0$+wKQQ;YSBYeEeQrOkpC9F=w8d6+K&4>=_`kU3p*1x{#eJNTmApG=A+U-*L;*c zqi{x9?)Qp5Dk+`&rRL)~CB^?cYCi68*s=HjzM7Adg@q4KH+e!1E4(7{s;Mlmq7MT* z&qamcC-~Jt)W#va{XMTG6txUUlTUkfwJ?BiK}G^o#Z5+P3?10$#sLQm4XJd!vuklc z2}mNaCl8c>w4GOCl5>Hhn<%Pe`;arE#G0uERF6!m7^XYnHv_Hz0(mtzqB;|gm zh5kRxMiEuOMit%{Kf|B-<9TK0Y|aoSr1=7Ztt#Nl=j~>kpWhRE+>43kR#R3^a1u>O z`d(l7z7faZ^W04?DjrUsr&q5#6v~wiQ4-oXp}D=~ylQzvOHGl|Le~ag1Um{QFOt5& zYUXyOqCGUD&m}oO%3Gj$K^L-@)lRCf-GJ|ir&8fNd{Y*@cu(b5%23`+FkW)aw#jDq zF;d?uklQ^;o9ExmPWPD*?#A_-XXYMXCT#jwVx?@42WJgT!58$zI5D?9E8CK(LbeUC zN5yjpZDMhoDz4`IDQkb9z=sbRLPlxsf|086BZT-!cYhZLF24QT*FM0N#$rjPrgbUB zIdW!--%eHP$cS;YxIdtJ%FD$jwm#2OZoiF4goc;h3OZ`}L_iaKNPP(|L02y}>V~qv zXxn!-edL6xzt5{?g#JlV&}mPTd4#u3BZn9wZl@o$)3W3s=gFo;Np{$|^;;@sIUaEq zeWM+MtHB*$?ttF(Pno2_z|{j#C6lK3SqW&(3EnAh8{418rL-H2fh+;1wBoUUkeBJ@ zFelnpz|+7%vn?}4+#cAN)6SN>@-=6Zo@7#S5Q02ovRIc;K3Licy24k6%x$Kt^Z8wu z;)M5O@&cj^IzyH|Lc7n_&ebmq%*vKG%hQA^&TD5neI2|}l`>Yotcm!b-WTIkHUB1^ z*i{x>6qNO@J-etf=fIqu2oHbaA*bom9+fn*o^LMGj?;B)M6s>vitBP>vfd2r&Fk6t zqZWtDtWb&?9hksC$F~ztdv-%JB_rS}aQ)c?H z4Fzk;IF%7Ts34)LL;-)v;eTc^L0l@Ax?_foE=Iab4W@nX}*rcVg-aMSDxC+D5#o*Zs~bp6V; zE^>IFbO^&x83w=-e~V*q_eaQm77yek-f!OHO>7Q;T2zltmgYPItL)aoP}q-}9J1n@ zC7NsNhmjH-od&*r_A4)17cQ3&j8$)vaYQHYB?V)};7N`?qqu`DJNrtbTbrguSBvBV zt;l!3)}QNtNBfqf1k>5`MO1oNYZ{6k@xILrpqeq=C89XEi?QbD;b4}reC1;Q#E zkF)QAcA;kVnd6_*&mUbr1pt_KwgY!-fPKP$dRK`a?pZmtxl3rZ`zGB3|F>U-d#tX; z+J*mUWUx953P)dkO#4nuvh>-+-2)U*`$pvG{0pe?u*OwJCVtI3W{|Dd=9 z`g#gTePcu0A9r+K0DsfJymBNu)~;KFb`tf_CBcSEc3PNu8b1(6o&Qx*0IYuqMgIQg zYu04#c&~t@fp}Y!$Mpb~h7kMPiy`0p22f9d_pOVpLGJPw#+I)#$H!DY)v1Xwi+YBg zQMA1PYpJViTcEeeOw#w)4t(w8-vY`1P{H42{F&c{s`Q-FR7!Ee33~vjO%|3p| z_?i^ueG7>)Y}vBsTgn-acA3&rDUhvHg!0AKoBP?V*!DH6-C1|xXWh2`9ukfY z(sS|ZXqLYLm2l8`w;8tht_;n=lOMs1zz81172_{d+Suyk9Kb_2>` zUn`-5SB@M0`uzJJqRwesApP8td78g?FJV^F(Sd92H37{<-9AUl)o(=UHPkG#*-iHn z?5nQeb};vQ-5OM7Ua#8% zh1fE#Tf!_g#nO>2RpHU*t_Oo#*`=JnGR}+=6{@ejPJd*D?U8*TC#~d_@qF-2Z*d+y zN9=ZVG;b-w0FgMlb`%jHvhim5?>Mh=50ymAEs!Z?S}Bt318uz*rI5#KD!1?I3yug& z9yOIHVyKl`jIyH9lsevooKHS_W5F3+`_NK5?o?Yt8le~s3*msPdlQ$QMnFgt$fq;z zIKdry4xbAuT=4>}@YpX#f;cB~G*l%+DY@6!s<|P@ z*GXC+o4c#pvW$GZrp%zYQ1q^+EtWDHV;*ZJ$Y>4a>Km6tc5`GUbeC}&oQrh#O3oQl zEGFYBbh>uwWmwa}nY~fLmdO5yV9Q9Z*4FpUI%Rbw`L~#bUg2Uw$KNVPb$pcL-J#~D zq;tMx>1wt@_vp&Ls-zXG@_eCblg$!^Hor3S=@t*4n{5rAPXv@Q41>*=5T{gQ3e21m zg7eO}LDchytYq&ucu37CC=A2%z1b~1vt68?7d^}~*6J#J_`sdTay@sMO4rgK+&0uj zH0as|HpIm(&}?rtr>m3ogB##rtyx8k-PjI%ASXeO51uFK=$MgPYr|dmwaCfU$3~>A zBBU_M$3PDwFxKg%09#SUBCMs4wFLBM;zJ+$4tXt)C{3U*^7F&H68z`zae3m{UAEO(EA)dVqj8 zY8bu49q&|}fR07%VCbAHM+jQX3`oZ8G>Db5HaVO5nJ&R+!BQGQGFO6FCh5L%yPdH- zJiWdJB05iKq!A4f(hf(AMiw}^x+ScIXQeG$i;T6^6{4O=mBp@-Cd;SUKPMVJXe)ZO zcAKT7%k%x{*R?sgtB?BLOxF*^HJ>71>ohtIuV55E(vPCi+FIWJca1G9cWU@^L9CL9 zatrt6^NR_%Omh#<3(|~llj(QIEh9V@WnAfws)_S*&r2j|&Eb~v zg_OSbLIIx$3)&i~qitUp0BNH|D5=aWO2V^I8F$Zl194XTkO~^8m7;9W)F8L^U?ewCQmo6^zFwwdai}o9>GIAd8h(ttx!aaD9qi1Wsqq7~-Aa{-Jsr-c* z0UhCVGvWYm9bqs6V$yHvuwZwKfaA0*Kgdb36?_%w76&SQv9KuoSuA&!1FrINhQra- ztj--N+ZvcV4T&gQb1_Y?RkO*yTG69{55WXl=Hzb+jqHbSEYq~#n*j7;i!nDMvne~dIh;i?zrS}f|tzlhUH z^-?=D?8+{APkYQnJ>7*p7}~S+4=M|2a}?ROs`3b^@bfRNyZd2}9a;-nB0Hh0XTt5I zk6rbdd64;Y&kUe=Bjpi*JLdZ?$xqhD{klG0=^n!`G=#|Fte!qFWzfkuSs_0Bv*iLg zY??6T5fD9JByFz8{bZN{T3DbOj1jkV!AD*~YZ;K3S{TXA0I}DmzP1d*)qWGx57n$o z#Q>^A{X|g3corqox4O#KpD?-Q^k~d1n(td(2QT zw@^4rX%W`MCKa_(j zLYM2z>J}%1UIL)$mkx+Tvc*u6>7hO48^gDw*Sx}OFPX8Q{N`(%!p~z(Ga0(%vpMB$ zm~9Hfrd1`FQ#>?dJETO4W;mDPMIRRm0@vaI7^7P!{Kb1Op_E?Jk{#-iD$VYOJWVw+ zVm+%q?dzAQ(+rIkPUkB&aPJ4;l-`uf;5Nzm;%=aBJ}|ETuY{Yw6f%z(RN_Ajxw(z4 zDUW?yvS`1#bE=O8L&mILOy4GVq2pob&Py*7E{2QaY9yhFtfFs|8seZOj=mor3IiX- zxn}l-Yj?t>wLM`sVa`t}_K)u0=89IRyM2&1n!@!=L-y@>YB9+UIo7Hgy(*Av53kt$ z472+5Ws>f<7OL!?IJAd10`B26;um&k_pzFekc)SJ+Pgcgkn@DpVKG*{{mPx)XC56l zoyK>6+XCebH)SS6%^h9Q`V(81M@)m+O56G=P8&gqy4Km#$ zQf!ZFR#7zZ2=W!uG}$AfP{r8Z1YEM{v>>dgDD77|B7gAhz-na4w^M6SYyZydEb&4} zscT91 z;6#grr4lu5z-^rC{<#fd{xT&8?y9Gwk2krkq8-kaei@HPU9<%2^bQOgOo3~4(&W-Q zqatU>p3yD#IVHg@Yk2!7&Yt+%`y^5>RFGzF@rW!57bX$s)hF8pFPk13Gk-So4W5cl z3x&NQo6k1i>tIWi{4%n%KnaL2I$J1HO&3M>44_Ob2%%6blIGeRqw7(Ky1im%3d~BJ zyF2KWnqKUz8PR0$1dee6TIHj7Pf)mEFc_kd)BG9M^U3wVYnCk#97(R&e*Er=*-Y9h z)TpByZEkA6!`PfJ(V#kdLm261M$WI*`owQ|qmHcV^>V;`s>-(2LG?t-{Uw{WJCh$?!nsw5cGin;hA+%3a5?w;f`Ii6<4MOl-N zgsZNhnS#gj2PNIROyusiCGb|gIu6UI(<5mZ1Oe*fua>J(1b|nl^L2s-In6XmZ zYfvPw_N#|WpJgnY^Bw?hLjFUN9%adBR-o=HNuS`v=Mcd8f34t1VV2_i9C~cA9Yb1D|k7$ zW*S}filyh9m;Sy&;*d>bStU)W{3&XeOaU?MjGB>iPPWusR3Ka^?Xh5TJ3A4VQJ`YA ztst`dV2oBsy6jv5Zv58Rwwwn${TOmAf))3x)yp#vTj!Qy6o-Q$kx55p`oa6To#Gf^q3>6pGIblJMLsm14{y2~UfgESVSg-S zcSp*U^5@deVNb+v#)yQ68JvXb1NesUp|u!~pqCw7wpxe63`D&5bUZjH66V=P#$D@= zrk)PdJs*AI(fQ|%Ki+%|6yK2F8Plm{@oS4fcZ$vD*M;;1iLQ*JiGR!X~&4(Tb( zs9fuHfknpTz4U)-UO)1B#uV_Kqltwf7xqh@xxDid=+R4qh@Qell0-vMrFX+!7Ti;t zx5uI5cSp&y(8}J5-2>-2p`!h$Jbf6fTKp6cOQZhC(yN2`@rR9&DU~PTalIKQq<`M{x~}Rj+4^ z+upm|M|Li5_fUVnFvRST->pc75vs_RWzBmK10Ek{u6X3)*5*rV=eJ?Q>Dhc{>KBfu z{KI19`#D6YtENOt%lmFzb|gy_qL|9=!8vpoX{rd(@4+eFW$|wy_EA3`NO)cMXm!j8 zMgaGISa;+z8UCCU8!2O0$j3iMlOMi;$~bcNsk-8HIwf=_Mn|+%u*0O@*nEd394g3m z8Lm^Sn*criX0I=Nh`<}?RWpk#5Vk{AZ$h%$3Pwm-wSBH*MB{7|wR;|ll~|W8ko7L3 zoZJYj8eB{;DX^|TzM8DnEh8jTHwC)bAWIzK(Qo#h!9{$z8l#y8sGz5UzOup+Z-Z&LtQ1OMV` za88whiwkuHO;nI61Uqd0wjp%bTk69wE(&CK|R zxcbZ3oi>6Wmhan>ec*%qrd!GV# zSFd!{Hcycr;h;UYhaWB7D z?Os$VhDU+AIyb6!q0?kWIjTF=@~R;&c6HRR3Ki>&({!EvW|7(Vm0Eg+e$2AjAy{d6AeIyfI-UBZw;uBn4 zGtXH%kLHxOxH>CaQV5kw>svXU=LE$qJbJeK+wLf&s)NGb*_=Q-RC*J9=UG%xfJivz zVdfw_r*!QcXqMmV!l(tzK<4y@v3om66>h%cCH9@~;>rBwve?)<$9$imZ8{IwhWzqz z_p!|bmc33&olprFWVAv(lV^9f%Drm^x*@vy{=E-fWXfhf8RQUgb*e_pFywkb=k=eo z_=~jyhd=pcey&9_|njA6O zPIt=Pd}jT>i>}#p|AutSZP8HR0F>2a^V4R8@t=t$p#}zv_V>s~YCQhlW}X2t|Fb3{ zDv>6~{LdUnS5L48084fg>wi-QfIj*YWn6QQga(MVh%D-Vhcp@fsiLPc?j00u5&KL8 z@Jvd7fw)ZoVxJvY$69DI?N3Le{btLt?UNTq+>yXS^v|gq(DlxEE6K6$=+4Ej{yFF0 zp)A5qlRC1~#P*Gh|HNR7%3Qtl3MFD!>IyGZaq8zToRaF2MEMIWJ*{;8dl|=K$ z%uod1)MtDp7CaTZdt$V|r+{H_Nnt369UYjZPS`x!6frk*tDsyB4U145o$UF@LkwdX zE4;R!FRDkdUM-jdsviDAA+luD^^{j|>KpaS_#1l4BQjKtM2Q zFP`M?Go1gvj&N;CF>=3f>8f2!sijt{G<-)a%czz@Xh{HVuQOgbx@X)e8@Hy0wacvR zwlJ7{l8zV4kR=OQ5rIjitJ*c>TRtasquh<|+TygF6|j&HbkT2U(`?bHrM})VwH0c8 zU0OZfpd(}G)KMebF^i^>Ub`aJTeR8iVyc%n`u3$p=Nomcxd-Oc%LdB@NwbowEr`PW z4oqdjmBjZdPdu;XmA=b$L{g5E=4kxl8zqxpCQM(g5!PnMF52_z1xMiIXTYvbxLJsfY*sPsTgAV?wd;VLqK4LI~Z%)|c zOkMNhmqtkl5LfuBk7J>3l~aDj*?S?&wkCB&)+WvQiP*QcS3IVqq>Jcup z4Xg-B(KN6Eb+dc1*X;9j&*004xlK+AciJyI!G|oU<@hs)2#<)y2)-G%)1^6{#zy?B z6=#liuy?0b?M<(1kf)7eXvbeCWHp}ye89d+tZQ#QNrMd@ni88ktBPh!Qo(i80PWDL$veN77QoV zl`v^M!}}6p!Di9HQ6N8|z{63Ni5)J+k?g&RYSqQ>y4iacpSN7a6jha3t%9yi7JqiM zfA;uBme7gG(%xHi-WnMx`v-PQ&qd7h3r>+|duSyAPOFh~f;Ta%l6kaQqzpXg*e!k{ z`x3qEKDIN!Rmh00Q0-5!;&^V5PYR$Ea#u*o6a`5Z5IVJvxkpwU0a+$rU=P#1-tyT? zbSONY_>52)dG>21nv1yS=5M<`Stcg7r`x6Ti@NpP%;711&u-fd>WV5l3Fk|~-Eahx zPME8lYh}pD>@FkSBSM0dI4$|2$~lTE$9Q6mf$D+vC$4uYYueLm5MBYYD&o@swCMVy zY#S{_>o`l92HW(efo6^uweKxDbYNx_K073(gtX~d00NegZO_lyA4vap(d(okZ@qd{ zF%CdL>sjoWT6@$hc4z#oO+g8P%t0t^m9js}vPY`~bGJ}#;7ar?CABtZnKb1oxWJ77 zN*^O)H8n`OEIaUSqcJO$&smF#Uhm$i9ny2i#V2M4VxHk4@ML>s>w`gc-utP}*IMpb zMn8+{M_%$*z`C4K{e1NpF*qC=1kad9TE&M?;o{;=@ZakC% z*M)nM@7C|J^a+QQ7!g$JI;Ip>6;cY9@9lXb1|6468=xhUl9nB$j)$xZa=9vMiaWMt zP=Pu&+8?`k=6yft0;WnJJ7iF>0LWp^p53kb&G$Qwf8Um}Tb{fJ-i57$nXb&alpH2J zo3W&~uCZ$RKghkK#1UW7vp1xy?(>q29;S$o~%hc2`Ny<0mRS1f;1YJ2CEI=DS*)Ir=u# zjGEfM{{nBYQR-2peM1rGnFE^n7Ya&ORUZ_%0cnW5RgxW#iF17hfoNzzVtcsjP(D3m z-ZJj$Gu1U-N%bfg`z&`Q-PCH0BKB3`vud}>D#wd_bN3gI`>->d(s{_eL7GE?3tipW zjUB6o)k@~3>CTS&Rb3Bda~z#9h+?ID(z(Y^PIa_=Lw8p-KAQMu} z^jyW0P5P5OzB`xLf;?l!ul?ecy{mV*x5tUe2{7M5E;?MY;1!0IK=6qRwqVr& zT15daz~cLl$BxS9Br{CsdF5vo?!K-7HjSeKzEEZu?vdHodit+8ZUC@%%Rf73d&)H> zDZJuY@;|3s!r)_6k;)%*ZAPQ2NI*pa_XBkk6e08l&J=ik@S2K)k zha1+V;5mGQPg%|h%xHaeDpI4*EHS7hbhT1ZIL?otB!1)>4ItoV7{NLy_$*&U7)z-l zHYv8>0W`$`?JY$%42Ck1XtxrqDou_>VV-=e+m6B;rlgqN$+_ma*SOL2?N zDGb}?BL&I7$_K78P7m!?ImyJ+aQ$M!q$DZ;2*~ADEq4QSbekgd9S(uThE{`;G81Go zz`Z2ea1Q8g^hho4n%AMl=6(vEk-ce(h_{;I*Cdisnjo91*v2QC0gMLH$$=V=KVeZkZF>oQzNW?61vM z4eY1>y8Nxd+EMZ*Be`)hJw=yKzzo9KbYH1K_scW$Uhpwm2HW{|Rg{tg}b(M?S7>nH9N zjPua~P*PMH^jO*nPM}7sLZ-~pdzbEtb<0S|i9*w`Hkf?CZ{q@X_T7>y&Tk_z(+*@B z0e3RwIpvfjrfW%8K0CLdMbzAvGqM3GK0%=BrI6S_hmgQcK^B$di$K9LXbq?R-7|TL zbx$tGtA*FBm}%1^h?idLG4J^q*9d@Ky43kW$yCwmP=BvwP)mtdq&Q06FQk24ST2p? zTt=oRMP6-6b$jV^Lw`Lw2}EXOmDI5OR_KsbfM<}?7vdjf3k2bj8;u`=SFh~*vdb|} zg*`Yxi1;%JMJ5f`Cx!zhkKg5c@=nkXGOng(g*n2PCv%yu)-`_|QYGRWzs^<6TXbmf zMw+3=Y+M?o)q1$~;)!+sFvQ+ZNhE=#bbmZ;4bVbQ5Dqz5KxDSIx!dn^Qlk@6te)6o z2Jr9>(d4RuHS^v8fUBz3-nhowL~P~rMTSke&KDTA!JD8iqb;JTeFMu;CYPK^p@LFq zZQFR+&Z_&WNj&2#vmXV2fFYTrRN^O zP+HyBw59Hp{znWiDJ0DWt zx$f-3rII>1J68zbX(%HQL6)MGTp#|y)bE?$56)0|7+Y`Mn{G+j^en;K=%$G^Sw+Wt zhr_s0{ehLqrxo$Sm1GvVMUoZ0_C>9Vnl+^mX2F32+XGZCoJm&-UQw%Q8PP4-4N>O) zB!lV9Q|!QrDzX*Imo3^}sWnYp0RqVmK|Lu%h2TYMFUR%U#MQzZ`Q`226JyX!Z)a5Pq*GJDntNW8bXbz{0ff~ItDs-tJN1>W9$r`c2Y)E!+3SlW!G z?3|azs`;tlQnmDZa*dZU_(F`#vq;zgJ7xNe(_#msx8X|6a9Akudx$wQ)gN`4&n0^X@#Y3)5ju8qri~^02I#KDPXlly z5GdyC0GHO_9xgj0v~6tvZ=~Y}LlN|{t*?0p&YSf`IRr1WN|aq^3aW{-K~C#=rErc5 z9it8^)&2Y^6tAXloGJ+_V`3yJg^g0o$mcJ7leUWVs4sJfaUbSl>t|nI{&v~`S%%E| zUcY%`K%`zN@egVGf1Di({ch7#$Z+doct9Heg}msO@*6XfKOW;)&dgajs1h31vSBky z_$v(x$yaZ>4#+vA^kFcVMjCYbX*iO{3(-M-Q1RsqA$XvZsFsVG=qRR|aZXC&q020et5$V#ju*0|Qty3yKMlQ#XAh~athrjD zmsN_HAHqJO6uVeh!~Es_BQF2l3+VUIlNzL{p!api{9HSAqAmx*d~wfz%_@I zN;YTZRFClr@2|rzyZ;8|``0&*8TB561%V;0Dlwh_ptN#3HyWRAqVr02>+7FozdI%H z-`!)7S7oz*%H$UKAO3@Bv4-KR@ZM{1y7d>~^}H&ipl{WY*?b)chKf_p?0bC_K zR&v^$J_4(6yZpy{vi>a8esXxgSXZ2m^ltv&s^_ndl=*kl5-YNlyvZaC@riO$wOZiO z^U^=NBJ}NV+Uh!Jz^_cJg}^Deu%v3mqw4ZMU;p=A2m6`K*6-0W?aJnVErhMWayMh9 z7(Z>C~2X{U(S<`urdV!&y?`#%Og?eE_9w}t=XY5l7^e-8I3{?A$cpO#sybQGeh zn=2X-XbF4NrC)i>ls8>G6ojtXlca#55$&X%xpleZr0ICJ>?Z-!-n-5Fd6X*hk}7Ti zGx%d8+PkQs@5*t0gl=${p0P7FeI+E>&dH%B^Ateqet9`v>`+y(E#ppaa+iHU9+z0W0Yo73AA#E#Nb~Qt>z--;Xic$xlCpA-_{p5W~VJKk*N5SGnVR{BHsB zqk<5+wG!+{x3=%x&gmioax=Qjw6q&_mWv-7bNcb8-VBZb1^_3Og7s+TX_WlY+|!36w0Vly1{Dy~}RQS*CL3tGjnmk89Bh2kb>|Dp|()O#H{>NXHvp zrROYd6)Wr}@ltaZi`lYjf1WZ+s>%M8mrLVzLr)(2X%L@eEt`@mH*al4lbN9%yC>8Y z%j2iLvwn9cs+DT6ZREBmC73AlZFfb=cS|s3=Ma59IM`BSVJ=cG=#RS%tw=Xeoy2|F z35G>y_H&Ru|LE2eFglM#Cdi8^NR~&4u7-mkb@>$8&%eIBUV;xY!z;*}o<9?~bY``n z*NW9eDO~U(udq;#y&Y9cZ{o8%iXCQcb_URK^4H~R4|jaGzak)aEnNIm$0T(MaJIG3 zanR6(B-Ox9`cb&EPFi(S%jo){>@=5LXlq+lyRO9Y`_!FG9QcD+{k&_Xq9G84GmbV4 zhd^uV++n_C9zL)4d{N5Zw%L^_4gIG{o9qlU8}#nMt5_+Tn@(Kylc28LnK<%~^gpxN-jam=3S-q8)2bJ?7=Wbz{q50Bf>ndO+@Ol3%$5-v#aoh2Fmk9SJisckV5$8~~v zeco%&P4v0GJaY<{jQN1NRUz!Z%VG$#!t}wcE}c9N>eK*ZH-fP*kdKFQ^MAKw!ii=e zEG!9f{Rgpymv$^RIc>zwPJ+{)Nz{xT8&|8TuLRdgJnUXcB~s}*GY zUI?}I&z6FM*S z@}jI6x45{6-H;mlbn|b<`5zgLzRS+kl~KSsVdlnmBly9x^8Fu0fx;}J?iD>$Y*&(U ziid=HgmRU;<8M7a6NCv-aj($cG;3DC&_(X6EL?r@a#);He#J@EsR~-&>7&OSxrjyF zC}Ih9f!S*78Rvw}N=W>XT!6Phn?eaueH6@Ra}dBk{WARI#nVADg8#HpyTM={4`Ais zX8TB&AM2CU>9$J+FDM%4Cdt#mapt?Q`=KLzqvGtD{7M&iN;vWBx_h4Vh(q-?H~-Cc z&M4rwm1X`^*Ob>l8zL*%V) zh1ww6)LK){)V;(KZ*O_K5jyR~_JKD4yU+>}c@JIWr0qt@bnTnCYdBct|RP<7=W&SkD)o2<4_j7$ann)yL{)?@HPxwP); z2wotb?2K*+zAqUUXV`cobv`Q)ekh4mC4ffAeABgtAgeHo6ET!hZw?i}FY%b51M?Jy zJ8)Jm)iAwEeWW({(u#V`FD@#?!F+S-puD%{%8s!)=xQRcW7ny;h>DBZO2t_#WvSX# z;=2|0?u1TCeDQ@@g1Ho!*VMJ(voB3pBKgEKXWp$WdHX*5PcVgK!KVn9C(tuN;fQSRurdqlpTABI5IZo3T;lpfg`=o6Yqz+ zMW3xFzW$o=g@K7|_SD2%WZsHt$>_8AJPp^|Nf*45WJcr)-#i01QA)dm7H6{2gzf^R zE!@xpY*JGUA8S42#LOZiN;!y?^CLk$6VEXI9b+)z#m5)~AW$$Pm19&e`t`l3O0=?jL=DrsDidu+!Q^~!OfpMDQE)!#z!pFMqeS9r21od(jiGCtlQ<@u$e z!+MqmqSl2i#1&gyWyYJ0n${GVzr_Zx`X%{Ada$@2{-jPJcS) z2>ZR(UHZhYL3lbb>${e!x1ocnOjAESa$AmjcxvcgmPo(Va#p^XPm+lZh~HD5i+r;n z+e4rr+UmO16NE0*@vvEza?0164_g~@PmV2QZ~^jDq(?EvALa*+e8~)nX$1vay8LQ? z{f>3eos+#h0i|9`HBJW{EQ2%6!vP5er`OBX2&{;fG#f__Qm}3`%~9mL|I8{q+kZ2o zgm%SdBu!inG}7mM#TaB2vPBr6h^eS#vVw0}OSa}GxYPi!ARKrNUD=KUX%XDiHJ5tL zEpz_begU%j@H6PTUDGkq$xXwnwB(`9DK`ZrKILf6^~c|wd;3cHD-gQHrK1I<8g8KQ z^wNqER@%Y5rn>2GB%HCKjlN-(^~j)+IdYvnX%nV_I`H@S0AyUv4E$vGF5nbqf;da6 zdIVlm*IZZznVVak`3Wd6Hrs%?)c`(q)zTzAg0AEz`RFhLi>Cws9G@e7ecSZXsrJmN_zT%GOe}Pj6i%lISl=5uzudE_j9*}HT z^}0IUpLxo~e0&DoV=?2!W$9;~^-*gc=Lsz~ez4{w0_X~~URtB4Q9l9zkGW~961fE# zKy{-^Z!#Yr&)^eFTGyx7GPurj+{U0S)D=IsD-I1Ql)BGcvW+|JDjYJeCQGHhd2CCT z_f=;k%dTUmYpqsPgJISN7ezD^2(FHt3`}|Q4@|lA*YqLN@0hY{=ZcwHfvmZ>oTa72 z$vURR<&o3j+DLAtT-YXJBU|&qua^vZQ7#Jvj%k8|`!1(>FftWtI0;I9d?9`zw2zCW z>&#kH3=`}`@knkLoU+F*+6sF8;X!1X@6MzeE3D;f<~WE>jl&mBe;KbC_n)NrrS`vG zHHeEj7wA;l8pxmBELq&$JZq1%;#imc~3miRG zh`AiyF**`$!FzB}fGi#bYY8hC<;D7zAi<@T1SlJSqfrhO-Y7v;NGT?5sn71V%GTI1O! zt(p~X8`o5)EX&j|riEFvs|`yG%~*8YDyFmb{(;w|a@oS~paetgB`)3+Iy?r2-Sa>q zR0~68`xuZ)A-N>DCEbPraqGXCUm<@SpT- zAy$unf-J-TTu}cTV9<@>%uuO`+PeglET@t8*DsEcGEWDwXT15DTG<1;5nWP}UR{!^ z%uUK#$kf>=i8r|Q*jL@}`SbX+C))OTpAnB4e;AtiKaP-$PsYeR$$B#A#rTh&RJ-r3 zyu2)fi0A%0$m(pkk*EuC5c5Drv!@B3>3JxjlUOJM+A z{(YY2SZJd`O^1=C_JWmR_3ehTSB?sICS1xlU;~Y2gc_?$4(g(XM$Xu>w90IqzJjW` z`#~e^-KX@^_H%2B+nb}iP#eeccT8kd=U-H=?eg!|O65_15tm)})^L>dZ)f3+rR0&- z<*6Y)9SCO}>%L7Y>2CITil}6vbL-=R2!?8P_280?lQACT){?Cg`;Mp-K|UkEC5&$^ zc2FP1msuR?n(INOwqN*7kGnO^6ZO|9%B7c0o3z6wVv$Oj-V9DQ5Kc7eMaV_$$@^sH zxvgjIH{?5p$maAyE5~HdicNj-wZBmFS+IuQ(I5C8x+4U>j;4bL=*1nQ0L zBU+cyV$oh-$iInLi~xoI?`Qwc+dASnBLDkEf3yWQ zRy}E-bkSG%_s0BBs#9Dwx9=7gITB@b#GR~Yv-O%j{x2@r-?x;(buteZm-=wXY56)O zox!R4quJIgHm<9R!m*5eG4$Zns_S(MW%+0E&OXBz3i5HVB0<;EddcwFp8UP(+-}iQ z@m1{8^@tU!u3BPHM6dSX3xs;9xkj~EA)IaBc7S{YT@qPVDA_MCetlYD#`EoI*g4~R4aG`0fQ%>SopPQ;>O5i zid3nbYDmPM%TIJod|+!SDw}2<%b@eEpw7}!2bZ^AyEyS`vVod;`eP!%Dv06Cv5m?S zQ$uKZ5IOn@W)s3ms~lU`|9rM=6=!r%Qiw7>l*dN&0>3GrFYWKg%r$fX_MCZc6)`kq z_s!YXH2ZD4ZVW={u{FdSS?c%MBb6$2Gsw5N>eHI!BB;3e^~a17jme%{yOx6myqflm z5-rx%LgqedG^f!wAR{BiI<)CP*&{gG-KfVCD*Iq=Sdujdckidv?OI1xZwp(+q_e%K zvvZF`Ox>3BFVhauPt%G1Op@Nnk&APu%p9HiM0$E12071e7JQBSzX=e^7W^?ckIV8f&(}Lw1G5 zevz_n$FGvi@oasZssRn8oa#l{94BbE+GFnW!M;HSv(DJ--gU!3FI%Og^>>+LyY5klrYrAmJPs({Kxw;o#5c^aIKo(P~S>gCd zx@~%Q-AUN|+OR?4@_3`Ci}!}FNEJLS6X=GYSo11Vis+i3Q4KqBR{>36uC1;i9bg=0P3P}X7<(f>TFbQTZ zH~J+dzQHnoh?mTG>EitTHT|}77O4QanZP$fMyaK;F~iE1pA97EsB0=bNkW@Y7q0$l7Y88C_*;@<5pS&f z4L&U*Y~SQw1J*Q5_x2VsbIleaE#g3qb8G-CD&CDaDCPaD1)n(@CQP7Y-3_ma0ZUl*a$o9xsLS_*f5-3PkD>jse(a#QOs@rsnU?}+jq zvEd_^(a_2pq2n@Xyr|e|D?Hbv9SDQeXh|@*{s4K?mdCBDqEsA&E=C1dQ)1-wmHB9v z7XBIE+qTT8a)a8%Cj09kL|sS=Y3GYzte30E7O>BsT@orrqv*Y{oYo-9kD4QYOJb>w z@Al5v(?Dq4Y(3t1N`Y1>WTxq@{9C_EfaTH^1*TUw>_>rXs~ey%lI+#GmH-aSq{5T3 zv3N!#+8-SJk^y1?EYM$uIbr1-c2y@OmzGol;zIR+l zXp8A#-zVH9P{tzAs%C{^h(lAnJhE>X3)K&aDf(Phcu`qirS~7ZZKiM2~Imc`w&FlLdRUuV!`x`s%cyMG4-o&6atHXvfIm3IW ziA8p{zswv+se^(Ut_ixnv(7v7R&_G+zwJi2axgRAA}!SsE^OwX{RP|}qKZiq%W1Ue zE$cuTn_2QOo(UK+luQ25#g)p4YCI{@`gSQ&4>R|*Rz*cYmfWFn5Vuge(-)$xCr1{> zIUKi~l>nEHIE9OH?-3P{W#=cA$qthWk+!c4Cm{_b(w@~MEmgCeQXU&jKg>ddw6g7; zE#YAOap%r)0mBmi>!l8KODh<4<+Yp`l{L6fAfi;?>?-v}OBLr`ZrOQnbpBMq%to*L z-RY643}Wcn{wSn3Tfe9OEN$s+%3orMp_Rhx>vnbCM%$K~HkU+3C)DBVyIx~3p3)Th z4&8q7nT88IdHgBsF25c#Ar%uo_9nW$uT*N!&^Y@AN}RQ}xp5B)<_Gs(0(g=h z?wi`WccUPz_=(9hD0$m#8Y1`9m#D{**0)Ti#69%^(eUV~$(c_!ACEs_?|o2KH2BG- z?rdrp_iW?Dnev0c^)lOqQM#p=D}-geJkkm>m^ZdHH>U^jm^{73>|;iiB*>SIdtst? z&js#FxC^~3yDK?J8VmNM(%YBVrk2T6|BK~cPbAYLJSrU!cO@y-Y|%xkG10N?LML!W zb_v#6T0k*b`>{1KSlXJtL`*dn0v~U^-}n97TkV_AJ-)AYwT?UZvQ}GvYhEYMJW8Q% zFw~Q|3XOo((uX4|_uzdfwzc#-l#sBxy{ z30zqIF;S1u)8f4O(&PcYBf_gtGPGxsKMac^}=kB|Zi{K5SM(u{qZ z3jgZlwO)4iW=m6`2X@)HIx}AM*CONe7P)F|aibPLZwm+;(O7-%GPW8UPCoK?D z7)xrX^>r}n#V0G_=$7QdyQQ&hrunq$!sop_;x&b`(WhoVG-Ed;;$PU_ns9ApBE|1_ zO?q_Mk9vZ$%!aY)QiEzN_vdQ-G}Qq9TG_kTGq)%?>!ucg@s-F}0-VSV%uY zlba-gnVs$DCC^(S+k&*enP;+h)s#G6YP!Quo1CxJomdQ4zgTIAEPnl{h|IfUxoN}_ z=CZphDTo&cs@EG+3#kryzBj*MxwN0$$O~QSb>p=hniaTMRraNrYPrxPJMMQd$<5wQ z-A06h&p90B`yA@*IhcZ_ZGbDzRVqz>GaD48dzy0C^)hl>!M?s>8D$NXMnLSE{R~pu zxiWO%=UI8~rXQpq(~n9z8y(H&-U`dHQ?B;dXlf;L64vPoCS^a|v!^^F^e$r?LN9?*Km06qG=$!MjL-e8pfMAkbe5V+u_nkpQqf@~ zLJO=_$g~bErJ+_YIkbpfl_f2+auBZW9v|$@2PKbegLuzk=h8^{Gho7cP zcz};dZ6E?gm#cdzF~f3-Z2<;LmvD*axL2oEsXHmU2A`c74%B~AZwg~aUcQu~ydxT0 z>3f3pu+qg6;Q@0h#;?kBze(&=xG4lICcRs1W&kw(LvO{SSj*f(<}LfNO)|BQ7>SBq zCFcCfw*}XFbgo?g7nMicF@|Z- zLOk5rHIEmTj6Q|O_KG!s(j!nKA&nPRKEW2&oS5fxNnH{1Bu_JON)VDP)*F68% zH2?9Ndx5-eGMBQG^4)gSYLJQF|B>hu1Q9dDKEirI5Fhrirw1oG4@cL1I+hEzAcV0w z^D#BV{-Fz_Gg4U#;>VW9)72f?3ahXs{&zE7vG{BNB zcH@-V>6W#vvc4idyb>K#X$vYVu08OUV-6&rVl`0~ngf(Rg|sxLK85iDvw>g{=(Mw- z+BN^Ph$JBNDz-E_R}vd3Em{%lv}D55Z>eS>`MSuS5|{Md?mV7Ls{B|v_(YTpQv=|` z%WQwRxh(Tcbr4h{gAQ~ds5b{acs?YrX{oGUhPxrPSx-XNUgn@DtVt)Hamn;% z+&dZ19E23sxq%r~b=`+*XsSpE0mi;Kp<4PK!6u16-4=~xt|t*eb6b02qcHPje|;gX@6*}+~o&hI$Y ziYfXgVW_5HGiLrMg$~f`hfC(Q^$jnzBTUKbe%0G+;8TNLpR4N7dFRBh!#;(bvfpim zeY-7qPFgC}A&-eVm@L!45+$*b_(c8^=Wa_+Wg#ls!HxT~YQ&&kzU;WQszr2+LqK=jw?x24tK@k#?$xW> z1@qyMClC!2?5*aOyWdZ%x+GbJLR$9CHOOlH@F#Yap4TCAjNQ#_$)fSU&vPw|t=^hy zcN{p%lg-vDW;e?F0o~C}zlLcaD;XO+YS~K4__<=(F^Pk_`itL-J$-{06U*^Eg*^MW z73E*p%UI5JJlm(4Wdj!`#KKEZ?E50Jvt z9s8~S$B+DRPg+YETFzS0Z!eHD0D@|HPr`n`v)Io-*QMKPVXloH#d_QKJ`K%=NtVv}cI zR;~Z)Dybkl*KVlM>IRf*jG&BeqVyFxDn)FY%Q&#)HzNQ-fa1(=qx7hVI|k2-SQ>?? zA8DNXF%S(~q^-Z#DW2&}sN}7|Qt;r@;t%yf)r%op_fFhP64oxc`;xzD;Tg!aa(&m- zAraKu63KsDMCYsMWY70%8*AC|1Y=D)I#KyrVPo>X^u6gRg>3^v@vfd;Ne*O|s5cqC z;#6uv?^6r)Z2X?v+Gz*31iK8>DMZTAU{hUw5Wt6%y4u5P)}lfGwRA}~9waL>&e#fp zhuQn@iu1yC>MW);Jg}&Akyk&pN+0=;tW?T78ruV{604dr`C*TQ;lzgW@G*&8sd|%S z>qSiep2A@B+l$j1f*>j+ZF~z#czGL)!{S4^Xp-!L>tPhN(~q*ETVg9~_kmbI!3RWi&^m`{B(@!Bxvw?0dAZ!AQ276iD4^c6L3ze@Q^+Rmy+ji<_ zToG*lV0zPTWe@ScZh0D0hdFm_|NhX6*EMJVI=uWZtlc6z?xB@owo$KU^o@o+-XP-z z8^iv@3A)PmVjZUIReLDIybOA0Uh*RRdcC2)zQ$)YVjUCHsn5&QdwQ$ZGkaGQLh(iR z@8-Wu#x=~H)1AD3EbpQ%ruE^gc(oc*GbN*EHa#k-7U4$MpUg-?W3WU_v~t?e91qba zAkkNmvliQE-4)NLVQC@H&K`zg&pEeNNMO;P=yIQN<(p9#Web_nLnI#`(E$}|D<`mY zir8E4Qw-OUCGNv^o)Ye#JTggFTCigWfK|$lnWZjp>&!Glua6DAS=~^_E(tSJ*la)h zr*rTvbX7wL=$z*oYMfZc@nPiknVTl+!u2_L)sOL`hT**M6!NQ4LwfA%+(xYpf6_kN zU18-@mmqlxSL#AD2TrCiQuoq)8uk>Y*7%s0>Uv`cdm`QXOAgqE)%wAmUX4{yI0ZAw z6?0i24iyDKnC+pn?^pcZNWg_#HPXG2E~pSnb0Iip=_fz@0UY~oW~nYzYet6%4e~$J zPrPVpJ;z8tleWiw`stibz#(WGR9D|x87d)>Yx&IkTrK&Fj=9^oh7Tgs7BC| zjROYt4OomPUfjG>Ha`#7R{VKcj@pO7lSeExCSS-Kh}N|Vms)`!NJ(kFtvP`uAx;jH z36H7e8q;vKWg>O%L*WW(Wxvs&P}v(ny>zCuoUV!?j4U;UU~-@9%m^(i#xf!_Ws>4% zwBF^z<%Yo}_4!G6I?{YkDSxYUoTH!T=CMRF9Rk%a3&o+)FQv>v|E2fL82q(~k>_pilFAym&9hW;MKQ1_opvnDTiHZ$actupem zeV(8!>gVfA+6(pvuUnCJiP2Q8r%-8j}QYd~gJ&3c29*w>#{ zt$rIeNx4}c?aBS7h9=ZCeEV1-^(Xn4N%<~_knKo)Ks44l?0ceCJscC2ywC*{}C zW7X#cip>w&hph20LK=s@BL zRO`1d$h2t~lry=PV=M4#dc5X3TV-{BYyPgPyn=!pf(42WcGJ@XA{DnaY0J!7#k3 z4lz&1OugG7*>Y~N#6m9L#MGPVb97b&(tEfyArm7cZ*)h!z@}kworzU8Dsfc9BSSR5 zAQ#L-RPBIGOy_xpi~iOu*;F{meL_HkPZG|7kVVuFS*<8rRh-cOR8W!O_NsKfyCNx4 ztbE71hw9I(sND>5b3S&Y9j80Q@qo;e1cH z3Fe0wl#e?;SN%9}dv@N2etikF(~zDJMtwWcCs|yUGiY9Ee}0M525uZkTP|Iigsi>q z`VFO z_x;s(DL;*k{`r{p$AXuXn7z%f{=;$b7rhf)U^jah@O6PlH`}GS{0ltF7Hq)>8oAVH zy^NXl+OO!TJ-gmn-Ul(UUOQz?-;`jp<$yIQUpEgPL4S9*H zf2i>%wvx)#nz>Au6-nId&fxwii1)^LVdx2xi0SA?uFBo>&wptE!Tw7Z@7LYgJb8F6 zJTrPU<0wB`|4!aa&_0bFmZfV=;A(iHUC+~dH8Oatv;r+O&vuaAx`X+#u^yNl`!?id zb@sh4QGuH9=0WoC%BJKU`vi(HtK}=EUTL@d#E}EUm~^(Cvh78J+y}m;GYc#2!X_dH zD@&@=PFDdT8e(~>15C0sSPU)iVj)-xEw!Hye>w_W#iKuVey$;qZLj_s@v`jsbAc88 zYGO2%s^>dyPJgl25Lx8G&M~A|39eOfX|v#*Gm@6BhDmazx#aJV;F?>#wkI6)7HVB8 zKNlN2%E~QJBDz$7L3=GO;zjip;UMu}qkE5!)p>k zjiVwGjb-5C>NT6&5)o5_IS&z=Jv}RWV83xaHyY%PoYx(Z#NtqGz0ynPr&kh^g+J}P zwcwB5)j*(5!-DMO9y9JAUi*6J#JB_)$qc36asUR?weoZ74n}eX&f?4?2u8h`aSm>Z z#tl6iU@J_SL;1dt_pg#H@OtIP;i&zIk-qelIUf0-{_M=}zamgK>OwD+!(1ITv0Rv+ zvPwM=9;PnU)_XC_DHE4z`N<=r4v4SrW&TL?1W~S@C+=p-gQ&JZcwOQhQVQ6=3*r#P z%6$Eel9rl-IA&tk$fd8KAcBH}n4R(<~-8xoP* zsqh};=acxA4-Z^rk*PnToa42!M{xsqN>#>uml%JN~N0R z;4FIsu2E@hK}+5oTL3A z*EIB(_yvlMJWhGd*gNivw~(`~AY5#_1d9B{?Wtc{r54F|xgAKxoP?Kmc#*3xZ)Vj& z=a7xr!jvE4Q^B6aq&%tELz7VsXCFE~V(7ytjv5W9#83BF_e4r z5Bfw;%OJ26#!@F|Fr1oYV*0$3TVZUjIhQ60TSpIXkd(y)PSiFoVq5EB%?A7MRuUsj z;cVJtcuUWeczI{6T~d9)X*FrisEYi|q@ljZOc1chWym0keFZpHvw`O(Ozn+Kgj_mk zGKlicw|PETvNUZq0Kqc-u6w%|sDAosjj|;5D8J%$5dr=EXJ6%V@Yb6U$EQ9%RT~ih zcWRtk)Yg|8cW;k7oN2eS>{g9aRoxlo@ZdtkK{& z6%wc=A;UhGH1?gw=&1GHb3r$e8lRKfc4A6NsFZomz2>pgyl2)GPHsO?1DI%OIVwYa ztDfVf>wgiLl{M4i9~1wi2D2vtL_O-6E)~?@ln3 zetgu=>+U#>zL$lWuIu*DkES?+{2H(h2I#&W`lLqYv+|fykJ+|2_ta00@4Bs0 z!MI4aC3sL5wynuyhL81pfztHTT`SNO!`~WgP2r|+GuZeVjBK_?-yWzMNKHFWZ80=M zOcLziNA$ysG9$_lVuby*gc&;C+UhSHg`D(;B)tmnitR-Ux*D~L=pU&S zl0yHaB=KYet$~&-NY6x8pF2Y}yfq<)_=)b{cOSW7uUzDy)E9X!WW5UL8Mtw(ZCc`i z37oZ*7YvvIzAkVZ0xyTX5L0S_Nnok{zD>a(KvxS+v5CLpn^b`FtQ@Uhg zQp_#Het?JW7y1cWx=kvqT)CS@e*(nU9;FHD3NEZ|DN4~JH z&;Jyy%L)7Y3wm^7`oJv-TIC{Ltl`fb{UPQeH3Y_)n@JCn zOU|ZVlfz$F$k>(qNPk_;JH7nSExZ4aw5U>dAK(3@6F2^Gv61PTc5tm=k7w$8iGSWg z)F|(b>eI2E7i03XV|9xP_Noh?)CvGV9SecR*ikT~yfaCr*HZD6fIzX+ywPe=^Ely9 z_x=VlmLuM1SA74Lj3JU_7&AA7{0VrFiLxm+Ugg>5n>O#HKXl!Dxp{rd_=uQ5_Xhce z4k2;%q0gGUA9k)PPDH_^HsEgldF&#e4Ne(ck{zc!Yiiyx*;8Rw26ary!mQ>$h;F>L zfCt4zI}E_(cu`11=%yeO4*_}a!guq;uM1<;#0iTLI_1RSb+yQr=NV28(P$UC8Pq+o zBHVkX(7r}OmwahX zzhwN-u*iPQwPlY4jF}tpRdc;w35j?SF;B7eA5*Q2FL`lTDsLv z&~KV-IJ~V-lppd$J@t>w-U<8BF4pjtWB`V3pm(l7ecY~`xIklOdbX+8w{*KO$tU#zH9()--0+$?Ism;oT`}GR4k<2E%fbXyjMjrDu zYib;gukF3aPKl+ojc&|P7b$GC*ne>o_PUy6D!M$8?pBK%=Txla;!Ta=_ppV>Fv5_J z+cK3lGQj-d&_UU%^9s7KoDAjJU*aU$+ z`hL1Tb=n02H#L-6X7D2&=j7E_6p}CM+$qkBjE-}Z-CZzx(enl7^sF$VCV5PACqgu* z$yK9STe=w!kGrTF{_~WkP*Mm0a2M^?-v^^;GTb2`_jm z$YZ%=7-tBr^f@+L8OI(i^P9_z7fw8@M;zSoSW-J66g85!d6%d+8G2{F?*LZ7_Yk}HOAX_dg8$=CI^PSX&p1Ud9yip?W#gC#HsUDUc zFdUbb4%jMe>*x{e307rH3HP}0Y?ayV%pnP+g>$X{cR)L6#zg7QZHk!t@!qS$-+eRfn% z`qe^K57|uhxWaB-HLTUR_A?LWhC@^9>js8wogZF=!mqZ5CdvZFh$WL=?md<|mOJ6>OfS=7QUiTkR@XcWug=8* zHywnD2l-z&nb=s4$)d!L)#Hq;C9h%CB2-=1CYKI{c`Sa#(V$90`nw)q+G`RaRY53S>>ti|TDaqP}Y}X@paQebCi}h;plA|>7PIMbBKf$zsj}j)@X+Rms$FfEdD32 zO{)Q?SoTH!AXPR> zktWhR7-@!33;`4a3JNL&6r@+_C4`DZbM1^*4 zxn5f~Y;e!Q7@zXq5k5cXi4;FtUtK^M=Zu6m+%Xvk^Wx`ARX#z&$y69G&W_Y2bV zCwtu(S(6!lB`fc-RIRLyx_5D7wi3;ViPbi0@pVeTLLg&;z9Sl#u3(T%`Ks2Cjw)7wy)zk z^B<&btq2c&`?a~GaKhXPMv`=T5q0*%%vNd*hJUC2h^LC<>iur-7H8A?im?YmG8zZ~ zAI%by7&RdCJvDmA54=P>o5@1$?%Cun!pt#C_j1X0yY(1dOI|g{{ihSyG)wpAwp0|3 znnv*H7f>2o%CS84gGzPO4-{iAam_{av>ogt3|-gwHOdX-g!1T~+O9qRU1;FDz#9po zTCpN-Py=G6*~7n?y^ipsBS-@Dx7zPN9%EyxDhE$flOE%!fjvw}L+|RjWA3ZFm!}hc z{g_uUJ~)lz8>I0=%WmgIFDRV2FCx3tP}B^{ zVy|Y9N@>x_us?^%i{5r8@Yp+dQ&_ZesjKV`2b=Uw|JBL;?@zRUy2<}@CE$Z@ zkJ6PF_C^;mE(QP8(lQ}mEQc9Co%kRIG8RoY`FwFe23WWu0cPu(KN+-Tf7dzeqRx81!JqlV7P>!wX=zcq^cZHiZ z!RvdmSPf5w7}lZ%f?l=IwSi0=Y~JrPY`)rv;8wcOWr&QUK>bV|zG5=vVZ!3DSvr7s z3nmXSQ<5wla9)FLLUy|Z$elp(p!+449OQ`C7o3H^^H255R!xjTR$W*0-}YXuyPd2P z5`QZyrx?gx88uR+kFkFU-JQQ8FN}U%mUNL^-e5K~_Bhny{8mFO%xCz?F`FGLVG7~$ z+T&b$Qy&{OWvt`vCt;U&s);?{zKfG!47h!)5)6UP4-w@w$d~l*OFb3X6&}c`M_jd^ zQ*8?wwLH+te%|-_zLLbAgM~gjx4nj9B3T7NU{&n!aNMrYheha35m7;9LHDHP1<|r+ zOCHuWR3d7;sfl)e5)JV|n+>*>uY1Bvyr~Ya%LX0hw>w3GEBcaO&XC2u)1p*$K;es2MaL1J^Cp4*8B3Jy=)zm4&R7%56~4w|tohTV)h%Gx=|= zO~%WKs#<3*bHL;yPX3<}O%$|>shYuQSzF3ED3wZ9>LMZNgXL--A%&$RMCci`~tTFsDe`DE- zKQ%a*U^?lul^}8YG#E><5vi2XXk_OaIhEhk+}S)^Jsz6J6~Msx4^-*+^|Bm}G(7Z3 z=vtm!yNe;r8Cw}^N-?eb<2@mARsGU*)Wb)u+R7=h>J<3(&YVkb? z#Mx(9*~rofcOT&N@R{N7oxmz9P`(%9AgkNoN?Mwn-VwOkIbo4Jk0VOs!d%IXX|4V) zf27Gv(4NS=RKrt8nG(5e!4Z`8+D2>iyl!ANQ!JJX?; z#&#o*49jV9W)957!6KEv>JK9Bf0ZVe*2UhtGs6ix7EO#oM)fqj%XeB$k+Yb(qk|Z1 zaLYKj1rXClGCiIVsC`GTSJu5q<@QJ|E9|FqAzSxwf({6ITxW(mx88K z(w&m88_(il-l|8Xe#vt}=+!QW>p>Q=Ng0RANU(&?V+pmB3p1)5G05uV(k1I=j zRLxASnk<#~TdaFl;<)|kN%xhx_42riJ~Z7LsaURSh$ecowEOkme16f6=rc8OwT)3R zfZII#vVQ!MPK1c`DUw{W5Yu%A+%-Qe;8| zV7D&|VCA2iFV8h*`k8&xwYOVwpzl9TA48cN`Pvy=#B42JX^OE2AzjN00&vTxD+(BkiL6(0N8qHNj z^G($e?Mf;!uZ)K)Gg*|e8-HDm{r3rN_HjKB=nmR2PuaufL8+HEdopKTlf_@aQ9IvokuyN@p zNSbjW$rK9up{+LtXzPhv@)FLUYa3xfVBo_CPfRGD`->Ou?q9dGgDefb=Zl0)8o<5MT}U zW3%L{Db-rP!Z{yL-KHaCho$@hkrG`?R}Xqeu#*4Gdr!-jnoUkDe&k^S(c;Wg_8V+< zr9S6Wg>QSzCvxzJXufq?ODd_9U8+>L8KWrjTp}B=**{kTMx;)Hi15~F0U0a)?~jfL z$$uAJm`@D`Fyt}9?gv-0BUKNf=HjtC&?albi89-J$9Qmly+D&@=W0#?TZ2$}NKGf^ zqZrM7%iXusD)2BcEK&vieFjQy-u_PR%$iT?^xEoFC9n7K{X#LuZZOk{UJCCP;un7R zbMivXr04l_<~@;A3v!2Vcp;Xvz73iW212QC0SO zp$VNO;Y1+9ZMwFLY!*zfsW)1$#ZnEnCEKd22o>r)EXNLe1>x+)lpfcS`={`Qb$&R= zci+9l_Lu$bdVa4=zpY4o3P>Sii57G~nW!epk$kAf3WuJwu;eBaDZ0yp!)p%vmZ+X55=BVkGOsf^K6sNsr4;f|psFc79gw`RznpbkL%T8kww8`WkxaK&~c0UUploA}=V1ut#$NbOBuq zeq+(~+r@V6UW)twvv5GfO`eqzL37G(s)1-tiF_?g{;O5ne|`L^fv>v^Jr2_Hfm4>a zz?B?9n42OK4Beefyf`E?Vzcayx zANH*bcLMAi5Wf5m_Wc;C3?KywO`8B4^`Djx`!|+fvnB~lga23uU>X=)Zak;4biVcX zl4yTe+mBM~RMVyBY}J;3FP4AH|EfFwiHh8hU&0lEf2u_`e!lqc(~iw&r8z!`_WKz> zfBt`KoNr3<(j=;Pt^i%6ZQe(3ju(0Hdzl>;>{D%F#NV$wl5Y5FO)^SE|Mw32Uv&uo zu_yjzG?IUE4tW2f=3x@+jQ>hk{FfTc-vrzLda(UZ1e9jd((bL*CLhUu@DU9Q;=1U& z&5Z^~2Z7fYfWUoC&b;X9ZyIg(&HMD5c@act;U(v7JGS+kp-+3x-@R=)7(qgK<~G52 zE0BigA(^_`UX(k(=0~T3Rp+fu9e8U$MDwezWLETh)i(#YtsNi~LDq3I+n;6v3f<0+ zPpC;`o1Tdv5Gru;1Qkh)OmMrXAK_~ zUaU4XP`hI`?8>zZJ6Q59FnPDif_k}@mSrc*VDZseG0x*Mviq>>)nNDjyP5;N&E>%}3ilY_;) zMj_FQ>EUo;4+7WwxT?ltH0o>p;JSCAu!!a-Upb``5d9r}v2xT_HCzY8WxeKiBIrPD z$n)XIjA3)NRR;o`hAz*r@!iqzv04?pQku_)MzZ@N_2Ihf3IRaGbL4HY`9heEBEG(&CVt!NVd@FrkAwf|Z=w|Kz^l8V-eTs6k2 zdxI34>0fAvKy*fwyYR^`M$cZw?cAWGP?_wXfI}An2;(;wOpDzTa31poLpAxT(aE_L$kXs<~6%Vbv!y^UZN(!6Tz+EbmwTo*feexb&N7fH<3JDr@<+_u#Pwa z?1{m(1LQUXeq(uLcvN0Z9_XmoSMmuEFHBtT*e)wSxpH~py`_Z$8gz?dn3m`jpNVmZ zk?)*-=t#Qlr}$4QOZ$4>f4BMauc+I!581;i&j2{6d@m3l$2GbBz-7eQnIXz;$TVZO)sd&4!nBVCg4UaZd`I4 z?qDj{J`MQTp(7SlIAj~w4fXQ!P|?5YEJ#*A5`25nS8E@9>Y^?)?noOBgD9YdbC7 zaq4yC#B9}eTl#jxftS&-9)rw=)NGL&&q*cz__br?`{s~qQ26EITv&1nMW|zkUX-RI z)>A(WiQX$_zHSqVs=UiNTL&@Bsc<1R90%0>%paSs_4HpZ@52Ha<~3B|-p`x2E2632 zwjgCH50uf!MhOAOO;(1pbiyV_^upbNUTA@zvB#{OQg1 zJfVBczOpJ4nlFESw*c?sn=slnK{sh}tT1F51g8=Qg-K>io|r!P@BH3_b&tb827g#n=4!%1mnFbylWs*XqHd38YKd!m|g<`so#nuka?Jb4lV zZ8%p38J-uY8L-+uisLw#?p0tsP+kb;sv3D!n%d-7z(h%KE*~)H%-A8CKOZ-^pit58 z2DK5eJa>#ZE%GUM{(6(V>>$OX7zK0pferJ4eS$81Hg#_;Z-3zZQs9yl=zMyw`nB~d zJP4ccDSot6scv>rYqH19XqHh4L=p-N5)F2E6hJGhuQr3F)t zR)LESK-oF{l1y~*RdZ4bcmM@$fF}U1by1lQ zOmG)oH72~P+R$~}X~5KcN-hI=q_|X;H*u9Zu}L!ENi)9*eK^zn9#`HrIvWze&o{ok z06)C{(Rq4za>RqWVu0Ozpqw}fE?#4$3nW&iD!(>em434m5hgQj=2?y(iX;;}NXUyG z=k@)MRNxKd&ejbvYt2nZLR5|mPAkfLtn;z#C-?Lv_JR-|2rTZRlOJ1XRR>jjdU$`} z6`>#QJGMS?SFRzXVNS3Rq?$H0F@Apvj6${}5M;X^h~=Dzq>}A9WTA>3_NZ$O3Kry+ zNk}2G7jyblbt@SR-S|s{CmSg1-@X1!hD95N1P?#(=&V^2%v{p#bA?-wg$N6&_W}+m z;ysR9Ysh#8c(#*ctksKAk`mB#Q4w#U>cdL85aS5SeU*s|ZSL=@O66S<22dSul`6B- zJ<^zt`UpQ;=+(xxHY@s)?{0?K#pTPSfvIDS#;EsE`E*;+t4<14G<}DY*npkm0=?gM z^(vrCxo9()juHo0?{sJ!PrG0Dw`8V)w0|8=!GYgE^Lx)cTh&OS^AL(wZ`(gR%V%Cs zfZ~bcG~2G>sVFnt1kM}!w0q~BmJYFY!ZI96RN2dTRF#Dca`9MCa0|&h#Q4zE_8}9K zW@8&@pNA(`T8#FrgC_lS&(EzuVV^5Rr3p4ZzqE$f(Vwgx(wk>@b;6LK z6>bg~H0l!z83)lR3BGYdt{fA0X}^Gs#G3HFEs1=dN)Z_yBhNeawVIGSw%X8?^}0xq z-1YALq^Cy)Y@u}Pa<{c%s(lnByv^3h*ZTrT@jHR77YBtlHvA{}3EFbeE6~x3J9Oe1 zgZz-fiE8XZgq&^M2bRjZ4k*^O55g9y=2K|z7EoS4-~fw~S`(xkhD3bc?+-e-mPzby z7Z2>DGI$#UiiNX$_7UpC5fjV5;tC+V(t{hYoR386N*(!xg$q<3$x|R-*uM;sDSNS| zNTFM6(5;IQ>DnHiAaU^8?KbzKi>KozhFl7%N&2d(Z*f#>MJ=bJiXjrD`mj6XaCe@8 z*=S<_nA``C^0U7yGKzTuJ4pH^V@q3M;;*N8pwdGy`Cb|6C0czQv7#o_YO31neRABx zMILwVG87C!9y%LadgFxge+a$)H7F-qB@3$XL>@Wv5<0!p{Zmv8`=3uU?`#5dbT462 z(9U0vJ+xJahs!O>SdFR1xRi_8+LcmK8)*E(y}-`hPKbrPCp%oLm;K@`yUxsQXikYc zKG`0guBK0}!Kl4GQD1<&a)Bn!W0YW6tRw1bTdNV85uAcJX7pqimF_ZBYFaarr-a+8 zU0nIr%kx6TDtx{JxrXcWQ1Kbi82K^{#)hnR=1{x7nFP7tYJ#!z1!rjuWs|Zes<$`k z1WUthN3<7=?+e=36lOY5UEQhSTeIjr`F>&zDm_aqjySl`RW?bu!yM_RT#b5q%g@3I zVQR;tJYl=!Mz4ubl#K@8X`546Cj+~DT(P#^$*|$+c^RZ! zde+4+75~Ev{g?vNkJDG@gMcvKU2fUG9q{w6;?HpJf9uS|E-05V{8{4O+&HJ1cBa^_ z3W4kCV^lRWl?2Wz1uw{Mu)OM06ZkQY! z`RIynw&VC@-Nc2aYMCZm5Sz`EnQcwy!u}_w?5I`!WFmuxRPnoMuy~F;B`{^aOeA5x zC^uJuvP2i#_It{z{*{|9% z`Y8ydw8;7;&r=JJPt&i$Zzb0cI~W#OUl*Ol>GZQ^Cd|b14&1?K!8&*r;&m?%&3XFa znx;awrMp^Svi7D7xNb+WsC0x&uhj59_b10!uG&VBEoi5aJlalGo%D{c*$TfLiZ%NC zSOWZ*l77GA6~6wjn1j~;$T$1Ter}e}yF>ZcdF9??OM}1_S5=+nKqlK%eIfJsl=hpzOOJ$f`CDZ!MnGB(_ej*ZZ|vkP83D&di4H#w+cc;a>G5Y%QuqeSZLdzy-Kr8I-1JMOJ` zAD%2V*MX$m7Yv|78I_0Ob^zV*qBPgX#&Z0NV^vuFD0oOwRHndj=YTAq_N3*9b=EE( zZ2}oFQjjFI6Ge=o9?4;(ZTD$m`rA&R31*t6kQ_mMq z%8*^tOL}GogP^l^oi=j5)l^9_M{-tzOOwQW9eKsBQ?VmF#$5Nio z4^@5%xTObwE)pbGe2j1`2LWlCTCUmT&V#^lL*WgbacE{~h{Df=qXlOe0g|Dfv z1>|zg$I(oUo57aTt?vi$Pd~#D^5+)bTT05=u$ZBb|kiM_OM^ z1Bc=(`d8ncR*Q(-(~tsvyrp;kld+9|;Fq8(XI-uA&wf3^sKA+T%nKgQ#`Ll(@^s)#Zh0W% zWtDZJ6Yq$Cwuc;jY`|`*SIHsDKjfcAx1(ew|;CY1;OcQoGcKA4Hay z1G^j6AN;|QnX~=tZ!Gkp--#^G75f1DatR--?K!>_lBPu104d}DeiC|hXFfIc1_*PM z#BHXu2{zk(AGA2|4`R)?w@3~07s!3LOot%tY_EZfw&b-nNS(aU4z<=PkLOVo&wnK> z{E4eyYQIn{-@*fcO%ss&J^CAqZ^;j8&(~#P&UUtuP~;IRF@6X#UyO#ZMI*zSCE8?` zC7`x57L-a=!f9I%$e_>L1wb<3r!%$kM|70LPY#;e1oh`Rdl(P%wa&v+9E(qpbyPJT zs6s1BJx)!^#0^?e;$9a@*XoIQivRs|JH3VcM@N7PwPYH_B))}TDu`8VI|S9rF~7Ji zPPAwx7URHxa^m&o7i;F$A$I#2G9L=|e(Kol$EYcl*hAk6>w;#03g|}x^C4yZ4f&a= zRZPatK!7B={Xwe7j`a(VW7Q_D!w()Ma44mH!|h-q(<0lfL!0@h;L}Mh&K> zKntoFa0|h?B7wHNnQid6>Cc0v%S0^;h)=onVuI%G{`|vDQcHVF%{!CURHx_QtlszI_4D+iPs!mpJ9N)7Y*gXdTu6f`z|RCiX2TV+zwE49I2w>>l&&tiG? zSj0<=_a5{rByN?e9seqO!dpXJ-c>Z}Jyz>^D++Ay41Oec{~iSUWsEpvtr6LDaHii> zuc$)W18mjmcf$*W$pd%zzVA4T56*eHGhAeC>z?mH=R|-Pn3dJ9hQ>|_#YD3^W)=xS zG(xO(51*vXTtZez>LL}t)<$X82sL=~Bwqu`vrv;N2j_%aZfPLnRi~YW zw`1|Mrnn?%I^iDRR6cuVe?ED>8{;#*a>~Htj;lAOl;WiiZrlhkPN^;B*GGgBW~%!v z4vsIFxo^Y#Chv@EE||qzEZwfFALI{EYDEvX=j|Su_xqUCwYw~Zb#fn_rIhkX{!y=? z*lPK{67PPXVrr`=cPp+q1Hi+&kYE3Fq0GhAd0K8g%DY4)A!bD;!M7eyU1HUD*ptxP zY0u?DA}Uwdk{Wj`lK*DZyn77$AN;t0Jh=UKRnQM2-@h5C?!P^nyYDgPo8Z#c`Siu_ z<4!aI#RAWj1)MeL%dmgzgjoDkt&XI^s?R3Yeh!9K}y41pn872j{LdwpxaP8 z7%``M^6@}jNt3_p1(4&Gl(K*8)$5ty4q@MqjL9~jb@q>pj1VqOQiZx%8$6~en+YiaVzp-VyGdLm-4$Op3!7A!A6 zIu!Si3Xx2am53*CL{(eB_0A5az2CmJ%z`vt@SPhm7^h1ZVtH1l9r22Ai&3olFB!We z@>*EJ@}~HNScj0zYhi1Y(~{CYRL8a!&hNuz`+j&JDHUKeS^EmEQs4tujbw)g&RkV6 zV^U=*7+L-0ALnqUkyCvx_`2rBCnp4yNr5JhQO=~b3#IH7^RVN7zf9Kz`}tAu)A&?W zAnaLs2Zj6ERxEqScX7AB#h)#k8VM2&eG-r>C!OLH%&qrz>qfl}H3JRe_&oroZ=bUr zAg?z-Ue>P{|IF{?6(*JUlrC$L3O4eNyTij)2q^oT?h}0>l*|Bcy|6%@{!izO$}~sA)j|`dgLS z+EK7rP?k!>oDXmHRe&l+k;SgJXCwB(4z%>K$~&iPz4(PtMXaK{dvPR(kAFZgQO9~V zt~@OZ1xx>xU@?7iOpqr|Q*6Vc?#3j}p(Q}z<{P9%X#@QHTOslZ9S~FknaTnv))5%q zk}?i=S-#%Sj_!NEUji+H)W=Z=$ELNyswEJA2BXcQ44on0_^6jl^80FN%PB+UVQ!0V(2%EI zi?Zfr;>}r7GI&;cwA&A91+8N1SIN*H(hA?nr*>^Z5)mfY)`#iA%(&!R@q^!HJ-&Y! z4IE9m#A6$z8_u3Ea7|>BsDgDEDm9>7-Q|tRi$K>u-+Ak7>fh;A-VSB8`lt?n&c#K4 zU=-uuHtYX>(#ZJ|4`s7tSR%CvFJ<8WLs(%B`$JeE%3#X$M&Ja2!45a{6dsn4jHz5} zM?PgsuFE*hVi0VS_#*jPyqU9|N^Fm9ha^0ib@ul8EjeiUC_Lr#Yn$m^tX59xHtry6 zfvR9Z1M4-Z?6DLpdoRaYg-Si$PqzyOUb+!U!$Qtr5T=)7Oa#c{KELkw>&VCJ#2r5f zVJDvHdWLJ(b2ajt*3!~u#ursGEmGXEs`CR>7wTVhhV#z6Zq@oD|MPNatbHmoz~0$- znQsh4l`0;|(j;-^Zt(kU7BU5VRcbWh*3Vp9Vx;!G+&R_ia8o6rDwTAN7jcjjdH1R= zsCU}9h1aT^>no8U#5;G-g=vIs6B%*-(B69Ss%`@#qtFM6&P;pnWH97FVpc!AK=i6C z#I{9(o5zQkj)RxVK6xTK${On@Hfi_w-xi5AB3;kk>&Bq-0@|t6Iw`=NGW2d2pwbhD z1+5Qs@>nM<-TkaIEJr>=NYJV$O>*SiBJVT3j*xpZvpVZm#SkkVJM`6R?w3(O`gqZ) zv`c?Lahnx)E5oA@`;>q1+lEAaDe|I=0#YI+N-yZ{8{wr-FRYKATL^p`H)jV8C@#q9 zxXQ>@btqoCTZXbO$fbD&wzEc|iH==)OCuivd4_4czvUoPc6Dm)*YSDB{vD$dLVsYa z(j-Zw##N3e&y#1Yk99oeC5Uvs#^D>VtI3n@yOi=RUtUTq-lq_HcHp(evMzm=JiQon zk@SHnKI;VQY$AZxf6*^?R<%xmL72LN5^71d#PQbm6ye`k%KF=8@q}B?tA^yTG$yQ{ zg`We!3Ei9i@yPc>S3$WpY+_9yrCX2!z}WoZHXqKq=)6q5{@i@>`ahHvB%f_|`AMpQ zjyI)@W@>Mo>UDN8$^K-Jc4*Vm^D|s;+GD85 zYLhB7MCJO(9j8hYf`!3HbW@(#Oo(Y3Ui*0W#;fk{Ct`AIz22n@-yL4O|G7J-W<*Tp z@0)H9#!Nl5^x88+lO;z@(~@u2w0n#>a5%h4E@qFLxI50%hcX6v`ZgFJZ2yJZ?oZ7y zozEAI3-qFIyt(gsS2X70-DsVQ(U0_^fv;oKPJI?liN2>t0wDE(;=>5=c3IgM=!&}m z?)xzQSH~F_5Ul#A{-jpB`)M|D6P)Z#V?>jqr%LOZ;z|{QC%&0PPT`M*v927reC=Av z>$~?Z8t#8~rse9ByD{x^3fd?3vCKTWNy?=yI+?sGo8rF!-pIecqM%`Q?uO>A@CXubR zsd_7|)~UI92HeRj?A2=!EOx;3uD1L3f+8y>Uk=I*-FlfK=eEmsDmG7ribYbV3$MPSC`$P5@kakk6K_R<^k@HO7~Em zj}Fw2G=0gp^n4$tZ(>4^zduX@HxlT^HZAA|i%sqTnNX+ek>wAFMdtvOa|G!9njA@! zXw@Ouv)3zAhUw#LHE+%ZzD}njg;oBQ$aadF`Kkhj$^P);O~- zBo4v8gWvRkvA&@f9`)Nk-|IaY z;EbboEG$3YN?!Qb2LgLB3-BgkW_9IBY?q0W#e}vAKDN`>!1%%5>xHn|_YTR2pcwc(ebc0IEduHGjz%nv!`<=u}{wsIw6A}2WGDG%8T`bCr!2(@B~?obMZGf&^=D0F5ur-o=FY+h!~uo)e7z-)@ zXR*4MJc8NOnN~OUiY`uJ)_Crfqf?v*p!tQx{m=CEbCTGx;W&?L8yn)Iu3mEr)q79z zNi$AqvF^^_S2VE!VKq$&s)rVcnBlF5Qhpc_{myKD0n@40YPuQf(aF3Bi4p7^Iy2nY zdS0PcpcqpNhupkDvm`4!-uwGt|JZIr^-Ejq5Ck8JJ1QYDQo|})367?LpSreVwE#wr zonZTWF1xK+OF?_;Ites+_x1t;k)nYXND2_SC#HvuyB_O8v6k3^K^d-opV%(R3zlJ^ z*B(IKkAD+#;1FzNKFBi$`xCEn>_ILBoZrfL!h5iS3hWi>4v~9^?CA3CP*`1V<;UbWKy zez;UArNWOollU^ei!^iT=aFc(|HC@@sdTuwQ{p?GZhCB#f9PkwMgMmqe_09;uF{m3 zsbD7Ac0R2Se6{zh&_9h=B#TO+b}zj=c4u3DW>*SpIvZ16fk^iAmM)X32#o66cCt%Z z9Kv@HGB3zoF2l);TBfR_2vK)byEi6M%QIcQN3U0j7+Z^x1ID@tLxtYPO-bXa7I1;G zJVf+qV$Z^aNk(CwU$gO4l74MB!`ZiSy;${fUrG?#I^dK=164D7v;879tGcSJKm6#=TZ*qli+x6CH-2NGcz!iC z`Gah6y|&2;EE=o)8bqvHwUMJ)f&w0eaR#5z-l&fMN}IjYZL<&l>TEGoOA?;SvcJ4c z>JzTFE(0Kuexs2zl_p4$Q$KWAtX0kcv{Ax6(q!GH!A$e5)qZ#C-8`nv9cEftw{*7H zbut?5w7P(ntD=Ajg1Q=mQ-k!TxVFRn;;$~UREJjTD6%L69MQ4Kuj~upEba_n3ixZ3 zTGF>(-}Kkn(c04|&sG<=!4JJ(TBWXRcK+gXLr2ViHDw&djBc#(EWp8$hI)H}pXsl`G+2Kw7Wr8}tWcUP)t&l5l<*Ss}B5%~mohdVO(JOnL( z-FbFYr|w{&P&@@Pyj3XA``D=($cT)?enhBUGK@h*4qr*5QU#@#Z4U8=Ss+PM1&$6q zfhwE4!)_&lrHTS|ook1Md(PW({l)?zlj>joxIflK7+@6P5F2Dv4YhNY zf3cBpDVzo;pZV}|C02c~T|urcPF5y<}o;K--f(!C% zhd6W#DHxYzmaR>68lMh?$oJ?#>pF+6t2+7RAcm)wX4fn=S=C{jWpT-Av!Y20@W7YR z25(qyF9vUoS~wAsk-nCiOvSvq{s&V$N=7QRrbo;4Eh_D%p>M0J-sVF%=%d%v9@ahU z;efdh`SV&UU4e=~ZU$us_}u>}DaUiI>@s}*z*GcIVZLZ~>)>QX>DBtdZ7X*eC>Lin zjfX(b`EL}dm9;U7y6dnIE6NZvSnva}Z)b{`sxA`w^4)5#z)X3#Wem!@?AanTnaAwo z7fQMMgi)c1kET_-TPsAW!x3>Rt~#30TsqCZpCk^+?(tP+y;Lq!ZtGBb9TVk9u?>vy z{pvD(uP@>owPfvC>W8ucmFIygHibVe;B~~Heh;N*PGWYvkd9ai`cqt#hV;NC@?lA+;rHQQfsHK1 zys6IoCop-NuIAZ0QhB1?=TS|VLr4f06&`7__+5&3KQi(k=tRtErI|1}rjEJ+Z36Sx*0 z|7Ibj=%G+Yq+}F}zla!Sj}SR+>6x*DSXB(`h_MYwEQh+gea!Q_3rk=c&%UuY<eZ_G}m3Lr9HM)YUq&F>L=>T|_|@xCbJj6Q`gYf{s!2 zGr|>;r|OgDB(l1*8#>tf>h>(5qY#6Eib|q`oH0Q7H48{#WzL$nledD$a z_cgbVDx$KPU-w}jBPG|B_hRITp;43lx+zO5FAqCRj^R%1*fe_3Y)Do8j5km^phz`; zzHdO2dl zCXD-JK*h}aeUTz}pihfCdDJ}iwnR8gpLkT620ksr9+W96DsOFpp%6^orIPl`yLCgp zV|`ECYy&}2{s;^w;@MYByhvRA?Re{!+{KLLNETAAcsA=9=`@k+=HIra!0b)%A>V}? z0yAaf)l2>9cOw0udjn?m1-h4=0t9`{-`dP}8uqA3&f+iU3pT-Z0g{+?a2_<%x^daq z)0CJq(4%kYd-AlCC$xp9_C8WxyIW}glT(Fr)&8BC=m!f51Bz|J^GA{9Lr#GS6E}Vl zQn{P-{V}XL^8A4e3)%QvkwLg6J;l(|MW?Y8NP3jh^H72V!Z)>75X|AWDK_vp^%25Y zmmRxxi$rMw$qV%?#O=Ahc=t23+ttA|Vi>w216^wti*T|I=bs)@tj5{0nmkSe%hHW! z+?=j+%S>HOZ6<8G@2G41gb+N;rX_9|u4TeNHM{sz1xqeSO9<8I>AJ}E+y*lmtnYs8fVcAGW)V^|(AIS>c zJ)LgoJ)|};epoanSpDsL!Be{BGE!$op$yRRqr>*BA8w!c8OWn>YT`>u68lmIJcrh% zBHqMg&v+Oxm;d!1PPnZ|j`MD^t$E%-Z}xPbxD7P#+*~_O-O!2Cjg@y*}p#qQS&tR9Tt&s>*T}#L6=qc4AJ#YtAw4fq` z27%JvninDLs=z14rc3ph&shA_E3#`aS$*|I;w{4hK5=Fg^VKHYQ=grHE3&K3xQpCf zWi@<>oD##`%z%WUbHe;$djhV7&f4ZXoROMM$zRrD>jIQ8p zfGGMzZT#^AC2+p3PSq6Mdj5}?-@`{gd5Qm-V9>vP(V!VqmNt)CziM$>+m*X)3+Ejq zih?X_k!C8@F3h3pFZ1oytBh&b2wRao52Ex$4(K^BKD7ZvkOUs0c?GVvS}}0avG0;2 ze5tHG_w_tt`v$xNcNpf*&!KGM-t-Y4c7Lq?12u(AHzoL0VTIn0cXYq%d;VlcHTLy( z0k>0pzwWK)6@8LIdtry^FM4NkLlBS5RH@0(R3n#MdFF}UGFzNCP059(O!_@G_`5(Y zb&umWmi&kLC1aEKUQ2yylY*Huyvj?3r&MdA+|H>V(8&L49s^f7KCaJ=O~Mhsll^@B zqqF*g@+JUg(}q~nzdbqp)Ioo)maUrGXBV@CYSQv64mY=Rht^=LI!7y`HR*t5PFl5x zSx2vPA+ho9QO=aMS9DcX?JV(I`{@%e=N}_weTGw>IbaJrV#})~0%>Vhy*kXsCCp1%#Nz|iOe;a*Mt@`k z3!WhL$gAwxa9rz?$jpbDViq0^=cRq;tyx8-5yST3kW9TEzhOHG}*Bi9!x#};x% zg@jCBP5JfnzmCHHL=r-2Ox4UQ`1af{bX#`}*@9B7)GMHoV8MCD%ZMZJ?6$tUH@Ez8 z2qc~p5ecQvp7B7b}YGY%^e+q4!w4P^hISF zDzD^tf0iL`nQAR+jxvzcM-?P2Kn5w-i#bE8e{K1_IsYjc@n75e52Mq0@r)t0zg%k2EIjq<08+Xps@fRbFmK6h?ohPE~zIA1Pu_6Zh3#8qMbrH$o1rD{q7eQN!gxb$X zS^K2F6AwEj}z`jsUOHO@FRNc}Qa12f5B&_S{iJUKt-IY>1jVj-= zAu2pmJkjgV!Ln^gN*1)Ku*UUk1w*J_;!jw~@dUfCeLeE?R??&1Y)6b4<9{NPr{fV>1( zS1P#rTz&qp{!Zs#HB0_>z`v)vEcnSC{4{9j`%d_+vibjqy7z!;a@qREQ4mxF6cy=M z5LAkS^eP8w(nJiso=^>;7!nkuD~K9Eklq9-QWAOs30>)(K!AiMoj_>P5&S>ibMMjf z-Fx5ruJ`-?Z7r9^tjRnxd+*uL%$~h}KiD1ijt!E=98=@Ee-~)rr*bZUKwG#$dIkfS zisA^Rg?2XA+dpGdT6zIGtaK;)Wy;Kp#icFt_!^qehT z@DjBe4NZ6wTec<)W}ee;jHNiL#Hjj~rEQu=O>Kt6 zHzPl$cc!oXn20BRjm6u5=pCE!709H`>16}`;Z+Zp_f{RR*DbA9G~vU3KsI7Gd9QS0R6S5P;a-KK(50@4%zK$oUT}LhDx} zc-pnZZKjgR?Xa!zy1a4cPv2=Okl$&r!iO6*gwXFa9$epPTul!ad~;Y1C#4TZO85Kr z_4p1xyB$lHB`fgj%w?F9XtOwP}bzs$?X+fV&c=lfGeWhhNsp* ze~}8~8n>!>$O!=&mJ%&xN%=H2He8Z>u&`~YL`^FlV&;zB7rhE-_;;Gjzl-hD-^7+N zwP$Md%479dEDs`dxNiD@zeG{kT-TKSD4rg#y)>~6q;UNy&E)AXdht-&A}JI9SHB8y zQ{GZdlnF~G*5&l%*cNR$DY%XA7s1Q6Hl*AlF?_QR-N}It6}p3O1t(829ob^l+Rf+i z^o?*Md3)v5&PRh=#9AVkL2ke5NkfoP^OIZJ0$>9n>X&ZaBI$1~9d1GdIfmXq6L_Qv z;0?O!Z(r$o(s%M|e^qI?-nrwST(%Fc{?!J|upApxSb?!5Oq>eK&C;(GX`W$UL4JR= zu9FNDXzE=5U(74Fyk9QI;+9J8bII;;hn_ii+53Od(Y3>n;k8ZSsb7sRB@PH5y=h?f z0Z83^pGWyHf!^Shnz2+xdBXUHR`E3ZwB}>fPqQ_`A=EE*6iA;($t?o6B)DgNjm@%9 zSn-~FaY1j_CNGx~>l_ovN4Pn!+1T~C{hM2}m0L$y8XllKe5AdfsE|3W=6w?-tD^HF zevv)bg&PukVpB) zm73!rYOz|%-hnNj>6y9g{2NRUC|?@Nzz6R)8g9^f$SnToVe z_@+*r(j08m_-VfX))~b6(nmjO;ElFmx1^YIy^K_fi?VgNoevK_foH$tvB{UctStNA z>F3uqv0?No1#?TII=iVeg0)HKt?2r1e=(T9e#pMw(xZHA~KgoK`Jge^AOLkd7mznLo1tva3EyhCdAnn(8~_vo6qBOXxj0e2I)0p+A1QNBFOTQUO#sp8;{#?uVUFWmBudyx_rme)2$Yj^IoX<00&1?Nt3DTSh}7FI{j&q;kj4piHG*;ChB z*{DfhTVXAJV3_Zg*Ez1?p7tCa)N9kds6K)4*6%;yxapX6*a(2d4HgP4db8>3d(AKS zUP98)2tG@ZPdgtOrLB?vou;kKZyWEpxh3y5nN1t2iXEWiD=Q_WjSW%1(=-^F{X81a z=ofglnWm^NHQp>Dz(q-03Ux4x;}_R1!_6coA9TDac$1`y&VFUBjm{tN5h|gP0x1Br zFpc-{*Rz+BJWN>fgSM-1X+VvEC0Bg24(;|u&PR9}a8DbhvdlXogQ5*Z0IV?OW3q2Q zGU&BMs8(-sk0K#qO-1{Od>i0K=i<#_FWrWc#BnS2D{A2|hwjzqP_NnMC=<_(y<+zS z8|Hm;FSGH|q@}XB*w~V~FJ&*OYeIrqlBh*b$ZJ)o68Gw zqqi_GwbZ5%24fr-l~dJe`Q`5AK_P+iAAJ_{E71HDun>iD5%s;=RqB6>`E3J}K$>;d@80KKDr1IWuQ{!@U7rk$oeq5+ zp;l&?N+CfSpr}?CCN$iS;^lAd`7EZ*X<>j6rWT-J|4_IX*(^l{kKZoo@x?!@KpHXV z!I>!MF%vwhttfIRQ|T~%QoyL%uzjl^U}u? zST-%6@IMqw^3Uk(aBbq9(`OAtT3Y^=433GgZqe(t@Ooc@tGsYv3b&!B zxIj!OYhAZZ2;$8Nw`b(uPgv~9Np6+IT6}qH{S~>%*$OTvd9DwbwlriW4O@ypW93ip z`QJ6#ufJ)dPT_fT;y=g!gV!5)<<{0Jz@Yi+3s|inq+nr7EhE zQfx}!mVGN5fVtAh1lZB0136LrQH!;e4ntC={f|Sx#)!SKU6uZ%^_@oQp_sU|rv-(} zoSmICG8eewgZ!`{b9i_3=9Nw1B?PfvW*Jrx(I>~-!uo`^)i{$8r+3ForVzE6cEqK) z^V^XJIfr*!ztcqI9A-C)rfwS$zKT1^KQ@7Yt(2mLitrw8&+AxU2gjvFBiO5w^73y~ zRIbmJcV8K<+7i2ke4P;IZ(Pc@XpK-rm&Y1yUnq5~=-`!F&-b+!aWgzt7kd&t!UZH{ z@4kRA@-g1%&`EqGQ#U?uYHl@!AFh%`D@{CmxM!_jS5oB>V@_L=YQ|$9!4?|_rH?gY z8C#~vJYm0?H6V_$v)_vV=a&o`xDw+XKkSApa}3yh0foa7tXxVWCxvJ4K5432$0*zN zBA~ZH4}Y)3C=v}lFs~JXyPi4i_-Bq&$IE&NdENCjZ&eGVLzG^*l#sU4GIl*rt1MNH zV8wQgD&L$htNL_=U)uU?-pT(ZvCW2T&vRRx!VSUu>TGf%stXX4HsSmMab{Pyejk~_ zk&E+u(F$>tVdaazB(}M0UPK=Ma*ynSYc_|xUV0iQv#xbti|x(pH56OVHVFI#rgN^a zrB;9dU4did<78TY)4D1zQqsp1E-K;#(OM$T9}UtX&56|mfl22`_2;J}Vp0-f%^th@ zk|kWHZsljjalUZ8qAYzK>7ce!9&>PdQuAbqmXOirsl|`3irDIn5~<>@t2mGC8@c@1 zWEZIGN10b+Dli|`F=c?bQV^2d%pd_!^Zdv{Rx(03m^!ysZoBm2-!!0q0(piDAQ0EQ zhM~STl+_0Ca{rKHg@V0D?F3vxU)A9gAx&%2UFWWn$rUy7&WT(94h57G!I%%Q^z~)K0}*G(<%#T{2?N8kKz(ZOrcxPQ)(Vs-{-d4=71&JMbCl~adG$a($$QINY5kdbWY4L zRdQHmxj{y2BDoB>i+}@rADjK4Zp_gwLudLX3g_hbhZ}JCslDP+;3sW7AL37tm*-YM z0b*AITh;v>%-89)sZU$Zu(gg;PfHq><~k1hJQl8At_)&dhdU{q$m{Mzm5b-6_G-V* zqd8!ZkKg%V+%N7@9Xo^TNX+-!mIf$!UxqD?m4J&ywnGMp}cU zpFQBwzmy<&IeX9mhET$YW#mZLcTD7(50osG> zAUoRSHJ>gNwah$yfe8*06JPmMWv#+goLLZZ!2W?tqt(q;4-w<1k`Kd)wwX zs2hJ)1QF)3h>D5T6?jxsj4mnCntDo&F@O9%JbzB zJnaqvAXzvkwsE!y(ip+256fu%GoI@Vo*55C63pKmAX|J=o}6JzGjtf-dC4ujoY8i@ ztu+JlsC|rcmq4Hk7|k0Wz(X8C>F8XHQgb=VCv%CjLptp1QeYS+uVkI4=^eJ4=!HKF z5CUI3$g0etN|FQTaUJ(KRp3612p@)?E5TEdk_Otq{Gwl|BsJ;_(kG zT#$9K?E&2#1c|h*1&Kd+kwtQKeMz0)(QESI9@oDVzg&%mqogm0B~A47Aj)7p6>>p>PHVdbS4#=hf{m&@b3?N)nlS3j@`2FBr^nuH8$rNk zorNwd&$@QyoJnkH8n|L3%gfm-2*u11yBb6QJv zLMi&n5}p*Xo7G#xk@RZhR!<4w&a+<0YHCe4;G2=BMVuXRF=6Xf1_p1J5;4=`dABsD zuj5&*8qphZ%KV01J7yZlqu`VYZ%ObKJW}zL+2*`uSW$e1H8^oDgwFtZx=*lpU4@b& z&sJcF;8AZgxoa;zOX42%&qN=weOQzz)X?6>ryCe!^o;5Avhr+ML}LF3;aA1;IYL{$ z1z^=;sO>omeY{WdaLU)+dezJs$0>Mlh!usM&-EMP>_T~c_{6gq9qwgRT#BV`M|ojc zNKI#x8nizxG74=>%=bfB*%QrZA8mLby@xm|ShAn+Ge7Iivw^QrSXz7nKT8`x$1^b> zOZ()!u2|Ocw$WaF)6qz|V6{Jgp1d#uIdJqja(YL=2CsUp!cA6DKgR*HkIE(16pe&3 z44cP~HXRS6f9TSpTw3B*s(5fOieQjg{D}b6ru^3ICa3Yc{Cx4U6E>6Z^zd<#rHYcU z$k;d@l=_EN1Ld(MqpGx`9eCch88k^H_Hh0y$lt-yKSSBazZxK;7@v=!w5;}Rjzx!@pZ7Hm_m#?~Z44~au+0a;V z+s@j*Eq`!kpL{^+)?XM#cBVIF&^$>o2O?Ls4s-u*8ieqKWSx^?f`KB38vujFzw2_r zuM@bEzU#O3oyHs1_q9G)i|+9Wx9#kIC8^3k#Hr_P_2icG#obuoCvN|RjOVf)Unagx zQAhpP1Nctk;23b?WBruTf9UT!P3i3kKF#`xcK>SWf0E6DU&o&l@gGiDQwj?gFh5xM zXGI%5cA{{c2NRu0V)+~O%)DPJyQ2%&WB#0p5zb4$!`0puZg)hHyr0v_b>_g3Gn*)u zUuHD9XXykPH5_eq#JA%k3cb%bvc7Gmxp_h{$Ll1(+Ngb2peiwszAdFPy)jdB zZ9uG%q8_2z7vd(x%4k>da%~A^b(rrfS}-+eUO;0BwMCWmjQY-b#W}rG5P&O)K_Kac zQ0l2-3>2oV8vJ45>Gh%If_L=6R@$WT#uvo;E%sJ@bm>iheNeMzeii<0K9#J$)e ztYgLU>gsbT@<5VCwpcz}h_~uR`n|(sC>ihRk@>kH|7~!zW7fiyw~r9S`dH0&xaCIF z2fkbb(13V4_EKO()NsHARdW5lA3acMrPRe~Dn6k;*P7;qFG*FBe$Xt%22%RKW0;AZ zuTok5;2>yFl9wl`dYw*+(O+hnpOO`W>@PuYqVh+}Q8a7;oJ}F&he!C%Zl#K_G_wzo zb9hD#>wlIUL@1x>!s+L0w(4Jho&jh(|;W$kLME z?gtzbHcuQQw)1X`atCTeBGxn=sY@X>JI7ch?v@-JYu90!IB(=%d0FH%|wlxu% zPaTG*4i-iojW>rO*X(srXt0x$+lP|w?7qG!d3+Arro%MB_sM3zSgYiNx?^|n(Oj9` zjmC{Rxs$ktHq|5;6GH4WTP1pZlpL9Z5V&8T!-kvXUPOs2CwrAir*`ta%K68l|JASo z;+=oLkbm0$ziKA^hYMNE*(f*|+n4uMKGv}*CQA(b8`gxT^5Z*an{rj=nx9j_qZ?+H zyhjaX(axbqSps{11f%}=b8lStFv+@pe3Kb;Ho(I6ee}dxAxr5!U%ns7q7O8KB;?LT zXw)i7fG+jSX6JvjKy7p{ z3_SZzL%EkvFNl2wgu(cy&#=mV`T68Ohw$UW542?niNo!FJD*;xSj?{6j>Af{Jqzos ze8$`ZQep#=u9xz(M5SHqNz z{7=obtWC1dx1gEjo%?k+R@BP|2#-g%a%}yg(kVr<&7u3YMWEyk|MKYx&;1gfsKpbd z)GjWs2WyuKM8(0*b3uV`3Ap>`6^5DC%&N9Tp!|_mqmj~wi+lOR8uu+_$|^h zHudVPnO^Fx{GU0!pk%HDJ#DMKBo8_$WX{I(r3Y>Ns*&9G&ze&8#`1R~!oIpV8StFz z^5?+rddtPV%{L!DS9mn{GKyW5vuZLM9IA(K+7(6htXx*l*Fe|yw`F&t9G1NGh)gKf zE*ev3p#zRL{)X=r(S*=dZhU&J(TUY%!$A?4&WOC8kNHvh)j;kpsv; z23b~XaONu~`4&I|S4CON3fo&cK2tJ0F}`p1@RCWbz_+pR{gMJHvYx8B`Di|7sQH4_GsSdpe#-4V zxV7?LRN0=NqO8fNJnyQmksXQmNH7;i!?Q=;u*2>+U1q0zQ5>K7IKH1HYLL$<=t}q* z^S~Fr2~Q(XfjO5p#glHHlYkC%jeX(Yy=WRt5X5t=1pVCDL|c2~Xnz`Ublq34BVS z4P?k~jZZSg2oz%gXF?_%N&nhiOn!bHJZCpm5vz~@&JWU|uY!u@#kbh|%J$#ttYl*_ z{}v09*XT%4anOC!RLZWA14-QW+ZYt%^Op40zJYVjeNKzCElIjE*05~GvYbX|E?1+P z+zhfb+2<9$oJ}7(q%2Fgy*kw@B-dmhnP_TtE%)#vRD?vZQ=ikioztp*m=DL(3gvT) zO%7!xNt=vuFZ<(LjoVuhMyl)FO~phGcN@~^Xa}V2=pR1l-nh;`eBk*4g+(#xkmA@u zr=x8s*DZPHw_h4Uj6juMT&S>s9wHJ2F}o=Fq3ERVKLYg+sUDEv(2Fg*muIGjceO9I&>ASmkU1#$%J z=0|Et2{&Q(d~(ePR}eIxfPR%Y34jg6EknvFDjcD!Gx(%1aT zjT|FW&~MEQBaa+DL7JKU$5$V+YB^2A-y|*gy4pkG?-|ixDYBCr*XTO68=f4c+0j}Y zPn>)WI>BF6upg(*K?BOp7ph~G=u`^W%A_QYo`m(UGi#78;SX+VAf;S3 zzCC^JEjMdSx#>XDL~6L*mevsp*SwCY*%o}!&)LJTR{FAEPb=FL zse!{mNcDz0Pofs_=?vQ#%BRx-M`_*|6MkjF$z$_vPUm3csUWt%4)2)Jw&pAS`uEtS zQOFZmW*T1*^1x_cRaGbtS;)qx6_~W-#_Xl+#e1*DLAzv~FLFy9J7nKbT#m=b55A-O zbtU}q`H$=QQ!M4f6ghyL%$16=b)j-=$CxanRr~K2e5Yx>%5!_W@H>rU+AjZxY(D@3 zWfp)1H%Vff)+<>2%mCYl@`CnvWmuWjPL()u4n`dH3lezETB4?+INmR6CA-wq1qzD@W3 z*LslU5v7ycU7-Rhk@&B*sLvzHfcx*ZREqgJRi5mhocP~V{Z&W~bIMlR7LH2#T>f@L ze?0~(Oi5Y&2@(6P`dZ{fyJR~+!2W`N(6kZ9Yq`izlX z`CqFfnz~T?_w^v~A57wZVDRsdzA`$=f!zBX-r7l*xC%738?gK$@_ z$eR&&ashzF&?LWWh&AnY=`&}sRX1|4fWi37z2+8w1Nb*1hHG6t`*HG=0@=e1V6%E? zqjUuk&~YPI$b>!k<`n|$K#nwM3Z6oc7P*qaI007y0FN;`3|!D$R+*OR1RmO(R0&dl z-}3N*#-~Pv73c}|QuZgV2qy06^^;vzY!@3jo*31T0Hpk5Z%)B1)F(UzRgXDlhN<1G z*_(GHl-DD7DjIs)7Iu&si()t7=tg(@FH5HwJVeG?1hsgGW?Dkqo?C+iN(Wmg*C}sz zJAJ;$?=;6$_+!2jhWDkZHASO1#cQ{@{j9#-IKiLt{sN88QMl5{?FS3g2Dl35wXgc} zE#}bLsjq7f|I7$9W0%#Jg z1ZASLlc^=V8=oh;BED~G;Bjb}|ESoNMQ`)5w=W!k*eQ7`e)wd@%QTAb%n8N% z1|`TGg6pM<6Utq_Y5fU@CFO8m<;!i=_n6>>0MSbkSGz=Lod)Pr(*oZ)wV<&e-K7@h z%ql#zVqk?%K3<%4z6>lm#BsB*-#6iAL6GKYq6BvNsy$H*N?~H_WG^for>iJ_zL;ya zwc#z&)`Z4YbBa85uE`J4b%U7W^}h6+m&;#BsFWCph=6K%u-#ISk;@JntzIizD0CWd z@@rkdke|+d^&TxBR#hG4)VK^EoCu2x3)M!ow?Ni8%*Eo{Ubl?w=dxVw?yo~0wGAXd z(BH7c{p%YyY7((jm?bock$Xat*N;&-4{V@4U&@n>TX%*wlz1(PiaNV4192h8%)j}B zABST5H>YZqZ^qqklB!(VPmf+_O%JmdogW^+M3Om4?aP9&5#0|e6X!=xwV8*81*(I} z?9B0qCW%3c*^cWvRrBQ+2XD0l=}Q=Y`4gXQ#&v!0?$JA(Uh2(t3zgZ}DaJ=&H*`|` zHbb2FEp_6-!mbxAj4Jon($^YN+tZ6UYS{bLVMl3FXke9%WzJ2DoXPe>1@;Sy~YB?V87&~0b$kDpay5?6sZH@Jx z8z>K@u(AWA+K{3O&9P<~Key(ooS->Yqdmusf28IAiu9_NaqNxY9d5xDW4X@q(SgUP z-1<$R=B>hAMIrBmAomL&lsRbB@G>cx&pesYLPn|QBY)vWQeNTJrOHX%`i+uk+b9l- z)Df}Su$1}UV7;@V_FIg6(D0ATD15LPG4xJ!MhB3x<24YGrikb(x_2v4_E2|LP0o}N;de1_xC4<%>MH#pu$3Q^`&DPVHx?EybsdT8 zJn^%8x@fKQKPU<=LKU2fAN+A(0{;HUE)Yv zwT3joPrZJz@-3Hk4WC3UWQN=SE~exlH4bgUbofrabDT-xS&#Tvm-dZLl#Q>>`4uP9 zTzL=|{&N6Q1BA;sVB08;!ZJrygo%x@Y`wc8O#; zeWu8}(k>~Olj32mrZ+6mibVNe%xlh{ImpOgJoWMZadfuC$zbb^kg@$7Q@!QVce+>K zptGljpt)}xh>}hGch@rVxAM7Sw(>qbDw6jxt6y?nr}s1}Sme-?OiZ$p2JQqOo-{Aw zx`S*^TYjMEc1AdTY_aLo&Pxtt8|2<>qja4qo>Tn5U=vWqqOANFh`U2lDQFT(ozXNg( zi5Q&UlfR6)#nVY*I1Sg6>oU&Ut}Y*HXKymqCgXBWnsGMVUB42;=$#G9SUtc$=4_HV za^%g&cC$K}BeYj;jD+-&FJCKo*m8zShqtai^2d$|K0Z={sz$bVHkFehtzh-3Pc8+L zOKI^DiCsC@Hw}E5Xs{P0WKz|eU3*P)@=ZaYG6KNmafNp~nDS7-GnoEFpUR6PK0*uK zALZf0MhvDvP(nYr{^CL6Lz1`KQX~kYCz_l1l*vLt>HU8XQ%DQ3@AamMeOGcZw*~x4I zm0AjO&DsE2o(IQq&N~Uz_8s@5evYniZgYgcR{rgJHqI33hB9R5Inu<>^EtYq;;=A$ zgcH%LJ-%q^m@zSVU6foF;o1B)=3WiT9okugTla-1y7dK9@!L&(O3POZ`U9wz5gK^mY zAr4V`I_7qHrNnEkE$K7=unJjr1064s#)FPy$`{|Y=FID9n!~|Ox!ihsmj~4SNuW8jH>}P3pT8^=y-x3NW z9zx5pc2mhA@y=jc)nrwC0@G69{%zK&kZ+Eb^E0wbFpHjj)rBBLh;zX=e16BmIk3I0 zRnG)j1g@V=una$j9KCIovLkes;k5nCD{ai}kvW_oM9A6a{j;N_rVmF)EDT;+^?d6Y z1ud zX>o2{yZL$H-1I9&ZEHANd2A3@$qHg{>0s#4_{NyEe*Wc=SH)VW!fq%NIM_C;^I5`C z8};CNLq+ABv1UATyH>Lgm)A#5>5}F>pJ6Q0=iF|JbWTZC{cC$P_E??55LYo3w&eph zU|2C}{a@N>xx1iH$9qQ$z)3iV%Rvl+n|Y4^CI?zZMFPaAr{^Oh6}iF8J$~2 z;!S}jw-9lf%Yps4>y3^3pxD;_AR@yiAwU>O2rSL4*l_;9s68pN*@%eQ8ZX3E2zDn|hnyVY^M zUfCy_n`I`nwizU;qX2WOuvMXh;*-r+q}d+m$O+|4fC|=v!0mL#oS0;i^=?W$ub3(= zmfpo5C+*DaG2=KnWBNL3Vurm=!Md={ z+~4F1Y=ncsYy8EZOs~$ielrmFMoLK}ib0ynyI6dYqCQxO?ozMbvlBUQ2TYw{qkxhiowuDauH_ZluEFUP0l|NsNob#MDUI z)dWnTHe!mhjC`BjGUvIIU$Jv;IOtn@p`;|nTi3>6TXrTXX+$X2*Rt}R!t&FWyjswb zqyngn>9f?>3EG+2JbV@u(@W8n>=Ea3@s$F+Td_i%-1(%#LQ4=EPq%bm_X8qlDhUxN z%2YP534nPyc-~8cN=WnL_JuCUA`9?P`=l>5E3m3a(>`;A*D&B5hlpIlqPa`ufyu#E znQ6!GQ!GVxbn|+wD1a5Yp!$cnyHvnAja)e!BVOF*;)UnAlNdf2IJ^6ptrDuo6HgFu zLOAos;z9r_nFwYnwk(9wtARI^i)cxY=zUZjQGA6+iix@JQ(-ioy^k_BDDk!++-K*m zKyB94Ae1;WeagGqkzmx;j+Lv;L@aNW>A!Lt8hFs2mJ~gYNm5g4;?my6K7qk`Vooh- z*v^=~nPZ4O!@@0#K2mqk__yr9UtR?N+gu0lMxo)T^2*P}QD$SEqO<2%|6vM$t8%8) z_-|enK82YigGc%%_6pGSi9>zEAmF&X;J#w+B`)O>!R!DEjPE7r@e-=WM8`?AjJDRT zixSgD+m&&?c|@xFrv7l?%Q1GzwCm3qHZ4c5$E&;rC;%;$?`5;jiYp{fBIAh8t?1^; z*n{j@>JzE7(%{opL?*{JTVuuFLT8PAV`K*~*$?j^nuD6?SHPikcVBoM_|`goERR)6 zr1;*1Mfws@1nyw_Sfq?7!W*gF6Gs?+ zJANyrTA!^KF8i=*%G{;KfR(EnDyHwN{(TM^(R!Y{pc_`}6^zzkf6T93Jg!r|m=JIr9KvWFKV3{A(fp^TT8* zrguAv^ld=~0J})iR`@@+xJmjc<{U-sYYDL|mq37=$N#FIfBqrRwYsDYQX&sfrrR%_ z{A*n$ZwhD7#5#}n{ZQP$9EcOKqtu+w&q(Pu^~iuRgrl-k;Vh=Kj8D>v?2R&(=NZ5b zpvam~5Kg8=Ch;+r1^NUsWnZ>CY!UI@xVWtbb-0yt@IVECw5rqY+w5n>)m(h8+_Gz zX#N~Xm=}qNluA%IKEw7#+wB6|oqa`z!DRxej}zSe@HFEa2`$AS6||reT^jRz|L^1e z`3o3dHZI|Hh>ricW54XXUJ-ejD(z>`_VE5AC1lGIOjnS8A^=HWf#rlE>y9#N!Yl?) zFgtSxo%{3Ho!7<7Q|SgXF>4}@5>0J~&AC2Td^5D4G!Jt^Hw?Nu`S3PyHy4k{X5Ls3 zVvk4644IljR5`8oc@f2Lct#$yf5NiXwKH1q_G~GJ`tW`l&6&>6OhLhtf%?X&_p}f0 zDLJsIpU+4RSt=?o6j`SI9Pn(w^X>H?NX(Mb@YvHt?B^Kp%^b_u=1?hPxSof@o;5{6 z;e?3NqeLwsS#}PYena1mp%G+Lk*5a}5n_ z?!SB)5!4GQ5pC)$%o(mBC57pKY=%m4l4kMXH~wYU4Wa&zD#H(M8tjVzw8b`g&7?uU zNU2eEYO&I-`_z^ae4)GZhs#wLB%<9=o_j&kRY#U-XJ7a6Ipv(}?5d0?Nzpra)l9oq)%SP^QCU$T!J+~Qri zrpNV8)s(<1bZ*A%aj*pk?USK7%2m9dHXq8rZ8&YM`#VkE&l@d$Bmr8JW=RC8-Hk2Z zR<`j8y;Rk4(eC$gkZsAaJWd*t+Em3dUFCXG>cK2qfa*3qo-81ZP`^k`BS-e1`bMU@hidhuEL&=_}P0; zmDg#}(Kfe=paX0^RS@32KWP2LtwW;~_Hrp+vN=G%{vzX>BmApJ@52e<&!PZ|jHz|a z^14Wsw=JvcAK1uClH#<9g9@R}RInFcJp&~BVt3FTR+~rn%q{tUw9yPYb%voXN5oxT z^Wu(rF11VYQwa#Y0v_%Wh@bB=e zaL>mM-mQ;dU;Cq*OtaZ|_lrB{9DlbMF?ctBK8fkRU+hHBveGfS^3J_G;d^N8ek}Yw zl!A66Fl9bn5_Mgf$p1nH?-}`6Oafpi!JIlF!A^1__ZUddb*CE9OV*m8IN0+&LK0# zqL>~iE0IIX$A_PKjTD+t$jDRK`?vIDf@?5evvI#8kL%)x%sOcOGKj_q+foyoS7cEWfeoq?FzvwRg8{_(I z*EUKpPZt7ubNChUK+2GU??2BxS}~xA$BYB1EV>8DE9HJbV!A2bt9cF@_RYxajxWkj zBg-;Yrsybzo9kUYegSl`J1uH3JKadnc^tlrM#tSIoXQs~@LX|Gt^TCjTR53cnfAqs z#8WZJp%W<`qL9Lprnk%f*$jBM&d0wbbFrb1NlkBzR6Ro^-5du6VJO>T_`txis~SHr z>hDuUK5*iwe0ZB^0HgkwY)YdXoxF}WI!ljKd{>~Z_TU8tqg{8}+Ut9tbU|Oh3ecKY zmxN zU%leY%5dd@%j(G-CsQyri`D=wz|z$&B~g#4_dkmSd+Qwx_k3u@68b-mQTsntTX@Cy zv+>l)Jd62u&tty5!~XK1-K$5EAVbp9t87KHMW4W^aS>ZaF>+dzr6C2oWSzBXF=+9X zg5hzfyVN^X_^L9ILXPk;)m^FEP`<0Z$gWC~s!ScvQu4_%Xg|oBe;aaS!P>!@t~q^! z{wq2)dxd&0Ycr@LNwleCPId{QsE(Cs*DuCzr7gl{%S2l6Tr8JKmL>Jb=d{gzF53*n z5A1hcaf1)$d_M^0NUjWjSSr%M>*)wd$YG|ydk@E5`Q9tuA0&4CM$PHp^pe)l4@*0y ze?ee&ytkM%c^zi9eYKB(nzh&C31IpSbbhDF|8{0| z9mtKZWbbH!celtlT?e~BFQLv$k`t#&l&o%KI~dM??nGSMm(GnPRu;f4Y5J+1%npgE zwh!l7%CVLUM*G~5u&nw7VXjbIZi151y+d`8EoHe_#a-D82B5$QCs*|d*1__s3TB@V zgGRdg=lgrNVm<3P3G{rFtrTgNwirGa(ZYeV1AeVXy05&^YfKrh z#k-G|?wUKu?}#MZ#=8^}X*N|u-&QFpYNqviNAHe&Ej-D-AFj?oy`$%~@Lt)e3|X5# zUR$$3uDsyW<-mZ_rB+x>4$f4DSCl%SNSp-BGtX6!oc%6l$~q3WzbqyBqU?0L84;_y zby^#;&UwW#IkvU{gseotoQemCc5D?)%UkP`{aIrqY)Z zSIYJh-0B#%g2`{bE=2Stj#~_w@`@sRN)C&>YauzrK(}Ga=H)Ah(I>sm$sK{d{S1B~ zRcu3~3AR0C?u){RF$Nrx1-|mBrReo-mqvWAZ>07Lctj}b=|vBvd!F9Yle{_KX|Ao{ zdp1AxM`&;OZ2LF(a;P=$8{{x<)ydZocQ$Pl4qp$~daYNawdBIF3ST7b3?s?D*7gbd z-~uuO%!HGeQX3kCJ!oeUx-@j4zD@(P8jZ01(g|bOC%W?=J<_v&HdN+lk0$dpZTe0I z{!;V#iaMx`hfl;x#mlp+$HjjaRBh2o>4JsNu})3uyvtlHRLB{l;`ym_E(^+ZpQBsj zdjugP!z9g=Q|ytEu*Boe7v+CDGW|3=nno}9{@J~VC@&7LzQMFtD$C3xCz$6v7nRSE zQ}|osV1>%(66YkAokgw$NnHOl!c!#^3d6zwXvnQv>kT*fl?*in4bz*A>XW(hTYQvG zD(Gk46QZ*7QYZhJdd_oU{H@~p01HXI$i-kf_uuZuv1&y<1^zF{pQ^K^^8>mu*}h9u zK}#G6%}&>yeLo=p#3_t%G7Nc>NBOx-FMc!1$Hm^SL#QGc^V&A@o`3~#$$gVe3r#PF znebfHSkE@#rL=DVO=9PrePCMoUh0$t&i}G;@rJT^$H}@b-q5@@5-((vL)yiKeS7|y zjL~bb=pqzMPOuV{g*b!xApCxj#o>ghi6ZZn?8i4?5MP8`k;|s4Q1Nm!3yfn!i*KwX z3b>n3BjoJ@&OQcI=yR&LF(-`vEQ1VBU8Dxx@|Q ztX)c}yrb5RfT#xzt0p%K9aiQge^YQ8^I3qqLiOd9JB|Ud+aa;b@_LziVOTkpXpt!^ zfK5b>M^n$`>CEQ^?o@`8o+Fe(dmtn~P@%-~m~h?BYLV(2PVh;d7>*pBwYheoqD&&n zOy=x+U4D1N@@41Pin6tf4bA`?$*pLM*~r*& z4!QjMD(;>UmBP=kJ&P$^oddWG3mxP^5964Hg@ty60NhBVV?4}C1pX@Dc5MBGK>P2~ za?kKLfW066@rf6XXC-&KE7vGodA@fmQWRCVVjN|=YV!A1@$1E zd0h9h$uPA}HezfMn^M9_JR`5cJ7eyqd$71MH`M(tG4jLlFh1vtt7-rgPwTZ<&))Vz zz9Gj$U6B+iLHMd?${XP8tkUey%QXs!+d#felAF%K{&$*=A5E%nZvz|pa(+QkB^v*?(y1hP5#q;WP&mPu=|zcJ599qzx@6GZc`)NlZ9~?hr!WX z%VsTm47>NxV+s2JDMdUmLvwG(zmE;NkXGxz`(sA=iVB`9nBMYj*RjiHEpvNqnE$$c z4%0%^)$PEkVqmU+j+OAUzbtIFAMmP}{UM>)pItp3i#^47^GY+A;ov~(=hy#gL^&c0 zHVgLCPnH0|{^zFNYH?|NV4#3E`(Nwh=NMx*D)=(1qFaVKl^3%AlO7}mQUPFv|BJo% zjB0Az_l7|fEEGjWq*@TRR6*%YL_|bDq!X&42q6>$0l}ci7L*o>6saQ8ArK%5Boq-L zNR^h5LJ<%oB=n*noM*Z3J!gCGKIfkM;e9^5?|8=`V=N|%mD$!>bN>IoqS8(MTynNz zzxdkVSOm_mJgF{c0Fy)@&X?BwxMAz#al^tVcrb*ASNC|6GTaqlMBXLT*zO{Fc_5g% zJL}Iz@3p$LNZ5`Eee?Y+#uXadZx$9i;j5p`GR`LPo4ryn|F^aHPb_frKd``#f6laj zwCw->r24-zRsTP9RkGQMZ-hMd9}`GG6|zogJU{*WIy$cEBe_lWQPyuE>55~gG}4Ai z0@#`zWfiR~L)g33gG~x0bJMP40Aq3;oheN$51=f76pJITN-bJawu#1_u#Ir#xG;x@ zw1;vb0M*UblO=jthMTcnAf3ky^AVqY1@Kbt8V78LISA6d<0-tCd1^*sMG{|Co?Qjl z>e$O?{7M8GXhyx zI;H7G0icpeSHq=@YqDp}P2va#l`GKwbXlEph&&8z8e-V@^_$>^v*|Vku4yE&%?e7h z9Vj=^F2+4R+57fqP7pVwTSQ(C-qlaNo@|Z(UxP);y#8zE0=UwjKk_X*X$BN-4<`@p zVyK-gkNg~DRA^JY(XiLkD#hJ$%oyx(_5PzZCj9duL|K0gBE`&jc;iv`ChN!ZH`@#I zefd#7ogqOjAKfi5ElTc3jst=>QO*vhln^L>^1d_CeEW`h_h!d3J0;@M8nEiGPw~uX zT-9;qQ`(&Hhv{*HK_ICdOx=~^Tjw1|VDdW`6{t*=sKMEs!Q)Pix=ELueF z;{*9Q!{{4g#xht!MK@IvA8##MHPJj3I9ALvgzp8|Ff@ydSu4iqSehFrpCeN=dnsM3 zV&-S({JJpv6}$Lr&;5G*=bFak*W&+n{8u?!pM+S@BC(@v^+(ny&0#E;%7Cfn=p`FI zIc&Y_T&4y$JYUKUgjP~F*t)E5x1TH%W%8@PTzA7fLU@cUvsaVGl3 zP{!ZbtjQWTbPTKnjuo^c{dG5_M-b<-vm0NFY$~TWV{Hs>ObrmOvFwE=GM#JC3xHJx z@sy`kVwa4ZUv>ITp_HPfsFV*+1T!CCpB9fCT1zGJB%O2(kTUy?v7I zi)rcOA=r<-Q`1Y4aUmr9M4 zP?24yJFvRcwG~8Pf;?2rDgr7_I*Ig~G_PKT-F_uD{3!SLi*2=%1lh;=b&bshR(_B^ zN@<_cQoXd}y)p*FhHRlPNM<9&vwiw4uzw!&LlmF^d@=WO3AOw@^h~?pA_|qPq5mXz@M!4Y@3eBm;=|M(a|M8*!Dq{zZZ>)3MH_s3$i~dbruVLN zo`D&64v|h7-jY$j04p4@zJaiEkbGmD%b85Ng4gk{bjz&S2G<@qIZZL?t=PVbMgg9w z{1Ob6)tbB3{)!!@P{GAZG#|*JRoLrFkrZTV{Ht0aylB*BW{$0#Bu=Xuv%Nz&ZCfhm z8)*z}{*Eda=@MhKugr5j9hDzrw`Zu){G+K}9p#e5%gj9Yb3R@Yy72cP@pe81#zy_R z{iKh|G!NOgbY$@Q6NDz;;EUDVPHn@tBawH;AY;L}%vi5#P3HlMgoe(oksN*;Eft!k zju~=6Db8=GB!6P{xddZMPEOtf4GGVywJ7ye-KfP1%?llp(wq2Q6Yr#22thwES!zGy zcBR(kDJ!d7$&F)KkC9>fO`W#`H64Vh$4>ZmJfGrzWmsDXR{S=coviQ?3O`nzrkGY6 zd?wjZi%r>@sQ)G|TShLZ#iRMN1qJGqO88y8pnm5T$2LR(CesmS;Mds@vFJfmPE|$) z1nmFH-PSl^;UrV7o|{6QJLL5uF!CVa-uDN2wrZU^4;S3gR9x&;2rlb+ z!@fT0vmO}>n${i^mH6T@!)}t1I*mc$)2b)HPTzzf_h*iGG)nBfROB`G@d|T{U%2Dr zit5-)svL=qJ|Gj0a&+Xo>_?TZpe}p)7u*+)1^GSTdMQm>r`vne;MP5@wspgHOzNb_ z>E`fk@q=q7WX0u`)zO}7@XT^pgzp3_V@NCap|$AXSD0wf)?r9dc9>{QgZu{Z7{TV@ z*4^SF7aNZ|c(R=h1@S11Lz((*xQ=j5?G~~;9c17EJ$>r(aK+(lhDuUHK50|p;aQ78 z#qmp{%%`+97feJlNj1P#9=;@@iTBWbE#L8R!=7d!kX}s^n)}Erga;M3+jf4v7Q<=b z49@7bb9_pT*WA@FcqNg1W$AF5uO^=@PN-VOwUP9RGycH9Yn#)b7`5jZ^Z4%a51Zo* zhQh<@`Z^UX7lbHz9LHGO8{Qt@ilNNHFOuKAeS+Y!A!z zQGzQI>V06+9B+o(!j?K|NMq>+EIKPb)yehkm81iA-?ddKT-XpsXi$k1hCn|So9=zV z={>O7d)8528FpmTrY3odSDUnht$WmUUc*-|q{=;E_d#RFfTpT-nBm~$+BfOBqUkHm z^d6)h65CbEUG*q)j2AE^z`S`h3lD z9Dm-mfGFHKP+5U4Tny9fn=_5ZdyY{*N47ycKEVJJ59q(IqTlZ070Y z=)N2Ha^%<1K|3dN1hSYV$XcJ&cs;oEaEt}d^;Qmyah|{PBj*)`o3AV<#ktW~Xs++s z#D3rvpvY+|*o~F%Jj)`=(%T#oImR?j-N^iHYF*0BQa%9lW~-qtrZlKm*b(ak*azjs zbT+3C1iiE?U<7~*+KUbg{neph$j@39fH%ht;n+1V~@*VGQKT& zRtDMBH+-J;4hXov8LvE`KoeYYkHe?e3r{40>^wT^NA+m;k^aYKGW%*l%<27w*}+7f;K|6g=j(6 zIz901AZQ)gV)T%lz!l!1(HB;2cuH|A;KBXwlu~K>YfQZry7J$i;>Thn%I8N3&!`=Q> zo(WpI?B=(JrXRYh&c0S7d3t?rd#dAV*WKF=SEXDk4Z6~>R~bdzPJq3m4!rh#daECx zdFL67(EE!mB55mLsrl){0pcbjQvg2g<>XHHL?h4JdX=XAhqOudw@6}lh^N_b!Bxg( zLLW{Y^<2JQtfhKr6nmu}Jw^A7th5df{x~is-REgtAW0CDu6A?&F}vWvu=jAV?Nwis z*uS|Es?+YPebUYuB}67I99f~%MVs6{r#D12P}ztBr^AgI7-U#`Tul~2W(EW`;&ClJ_YeRt?h9v+Dgo3^MCJGw!fEc||k4t7CvIY23;*|K(*M z$;2}%|Ipv-GJhqm9MdrR{aWpb!7h=pPt(|z+YKn@qZ1P&E7UGKr186gGj3eAyGxG_ zp6%d@cALO3$~rWK3ef3xzBEw+OyNE_!}#jj)vn%lwc_K4)2%GVWzi%x+b#7sLIdp8 z`}6-HV{+kihG~a~4wSdr(g@X+EmI?23c)GAdtnVCwcqX{#-l0z~vko?B-^-2}-x_i4!VsKHQ0tQCL#ke_X6O@2 zK2JE8!WF~)iljGG_hPAIPkWOzpRE1Po1bnCVqoH6vM14LAL(QbY}CH7E1%9gkDT_^ zu8ZkZu`+;ZA+l1|?s{4lhirHUluX6hMAdV7p(b6nu8ZDFzuRwLE}mp@z$DffNNK2G z`dvs@m(SgiWkip&$;yS?W^Vr8+>?>CU`Vb7FA{$zZWD^P>RS(L@2hb2S0fZRf!HtY*}5ZiIPjx!odN&Ap7$TA&~UAg5{gWTP677 zQD$(K3{QC1xP#uVj7!h8EPS)(L|Be-i%~MYEWeF9n`6&XK5EHzx2*x?0e~*!Fo*I4L^Fnrth{F?&l8m^_^mf9Z@gE|;(K0IL;Ui;(}U8~9(P5_AUuw>m<_M-BoSn4*>& zhbinIxGY)vuj&+ggOd(cFfvSD4}7(Sl#xOD2eRygiP%xGuL+-0Y9Td!1;Z-Lotw%w zc1pnxdH~GOiu+N%$!TSQkDV?qx&#dC@v=2#M3Bb74M|wV1$5>bfnO2!l5-qEr<>FC zSGw2GqcUZ^E9RqT6T;59w+LQb(P#!h!2~H$(#(`TB+MC;;35&<8(EU0Y+`8h7F?5^ z$qat&;H7NuynJ$`%c|H6rqi|V+3$>8pexvH71xqsQVePEwqm= zu*1jDf_z)fR#sc88cV~1(;Gjq@$be$n5Ffkn(f5^7Yrej)Kp0a9)^5ED`lY?P*06A z2)?3dl$^I5$A*N4ZC` zOZ!o@CJY49Q(8Np@JTaY^$o>+ooq5fv%dPUY^7ew+jowOi%suv)(T}aA8A$DfCAi{ z>_vo(8?)JML-IOXT* z|8N=q{`ij(1D)J}TMXatuF!N3tVt(g02zvHUpXC}gAJng(Uhz38%`!cjZOVw&-{E7 zwJ#mPh+Qs|*&dgoqAOq(omaLAt4g(2@-{HxMg8e?6s6(qh}9 zAi{K>OR^2&KN8UxH!E;+tQc8vR><9ZI_S2CbN1B2eRVSh)OMsvrSF_t?OmOho4=)P zILOpE%!R%wSLD2$eXm=e))tq9cjenm6U89XR>1cWCrDe>`!+$yOZrB`kg3uQ5g@d5 zk;r@E9(kVREIcN!0E@dXks6MweBs3Y8o$6^QOiu@q+G2Ao+VphS*U8R-cy@9&I#`q zRv|U`XU?9Lt#$Qi0tfDP#MD{$SvT-Re2k!jd{N7-jzkrG2L}Dq(zcQu%VEOAH z7jUa(r4lbqBY?3`-!G_f;-f_NQ}qELzx7n-5s=DS4&OU2ksP9^4M=I3{imW9=pV@> z^Ir%2za9S!y!15xSh$R;e8pRqY1hW3S@atwpl5hgt5d=j;| zVpQbpwnj{uTQ*6lQbH*Ww9VuRSX6xS70aBI;TykwJgoo=&SdfV4UNzv`;t4qzb>VE9+F?{?5idApla2G%BRI)UO>b!BrAOzHmGw3JKp} z<%L>}k(l|EllZN{{z4bJz0L)@=G+$J`(GWdxj z;l1XGVO`V=&#*9y|9Z9hdwYra6Q(wIPiV9uT6?Rl?e*W>l(Gq#e{XjxsL^F8ae*Ol z$TfU+QS5FMOrr!W2E{>ZWRzUni@47#j)nGH3~fw&?Zg6?RX?CsTc#;gUfzjb#4Nc~ zm@W-mQOj#T+=!}j@j`Vwi7lDD<#+$V61)En;R?(6M1Q)V2ivbnANY7M(2=w%8x*Lq zBkMT`3FaSN(F-5>F4;4&kFXj7uKV0n3)`ySs(M zx{LZi#{6RVAVYlX?BCetPI?w^h|GE3Av>)e`2g5&w0H&a?Eo15H2_eJkKXNk{JmX) z>Zrdrqx)kjr^%wEE}A>KQ%QOGr%tk@ss7C0*nlYFfBrq4B@YPfKM>ywFy2hR16Yvr z{f$knIM|9I9{{TnGn(o0`}b!mF{4w8AM+glM+Hy(lNzZrnhf4?0JXdyQRQp#?@qn` zgjfG_BV@Yl-+aBcO-Wi*c66J^{%-&z`Y%28OGO@2n+$y?>U4d2%mOF=(oO%g8_FFu zOFT7|u=uS+Poq5}tH=LCKmXGU6#kFH_)F#fI&S~}^?-T!XaCulFlal(9Kd^McPXYD zdnB}+Ywg)fLJt}RAn!^)YZnv;aW=eD?$o_+IUhdU z!}<=8FTmp@q4={cy@Whtqhu6L9(k*x3_@HlC!S2Fz}hkm!W`}1YTD@l{HQnDpq1st z_HGYl+u_Vb`ZCe_JABDgenLCqM%g4ibP3wau^CkNJcKR>iNpDHUJCbaRxM45j^6Z1 zyTi0;q6jlj3Z(1$jScb7ZX9B!0Gf$m%Pg-zrC`a){53i7f?$$BzEwSjIFKb#iawR zqOz=JnzN=Y1=*V%bg|aIPi9mV%V7C#SGuvnjLxq;Ie({I0{J552ccc|Y|WAEEkTH2 zYeSzdTkNaIO%EGr@a1!{I8OWCHhL$0P=uY!%0$A!C+vZr`^iH!ZJ65E-^BbKKxsWBqaYdyL0#aW6#1(a*~tbo5^mP(|qM( z#`e{lLy5J?HxFG>w|^)qx;_Gawm}J3?9P0dI)qLS5HD-ZMqJmGwsTsarWjN`lR%)WJbn97|N-(J0>eNy>< zzlP&d+4+s3(p+0Ad{g5o`O?0FcJt@(I{?9C3d=vq6|9*YK663Av~#SEbxe{Q^4=6o zWuXqBmd_nF1&^{&UXaFvPvd@QzKT(DQmLcNLzTqqRfEO%pHgJTv*dEFKOc~^-bxl6 zoKn6dbv={V*e2v~<1M?!Ir0lc#^wyB*6Kq3T<@BA(jOfCf93vny|5jta)>RFFC&++ z$?S@CEif$cM9xdegKKTUby&0UN&wKzXB4htN*Y73!URRgIjQJ(1gdE? zJoYr8wB^vH5vAO}eUeJloFwnF&NaQfjE40tdM=pVCsJoyhVR!t456APeYfVn6;!X( zQNKKR==;e5H_HGP!>%93tK;bBtJ#)0?b_7q|AhOi`m)2Ik$i4Pc>P|5Lu(WhD%#M} zC#0=l=F`XO&M=ag{E3YjK3a#*iuf@9$t{Na2f}8x_8&S&cRS{*@Oe(%WgVp-FbNIq z1p2tXzJ5Y-MAD0J9X%qt*5x_R78lo{18*N3G($d1N`#)QOVJl~f3hkx9`1z>Q0PXF z463SE1VwLS;@fZikeLVa9jYpfU307{X;F47#Cw<%y|^Fq7U0P2C$^tDe)_we@-(^^ z!qT*Na3v7<08cB;2l7F3QR?wwxsILfDi*UsXhp{5k5#e1M|7YkO zEK3u)PuUo}xkQBr;IHJx5O$a`AZOoru8 zJM82{1oiWEBTn-a(QZo6$!b;?#)w|m-_BHnc}pHUZ^-Sa*GGc&$|;QmT8b@5+yuDiET@g_2^@Q%&XZ``Cb`w2sX``2^n+`7Q92QveiI zWUq%VB;9Mgd?7O&W;W=#VuQ|*u95_V;H`}xI5H@);QQ#Fh`KyTVeMt1TjeRxP4f{- z0uOY;f?0%Hx_{IFwfRnC?ak~T+qqwMgSFAm;Gn$uG<52Vnr@NPAofqj$QXe3F^UuN zWz%8{JliYhpQtDD^q9uqvwEffZL{$AU;h+a5X*A{Eele;s!E0$Xe)F|O|)0J@lAhT z(Qh^@(j5f~N{#R)icY*!j4u1w4p z4>{sEgqave53(*xEWWZd0)SJsMJo@Eq5BdWvMRWH5Ooo@&}So2IlVp_H-=^~+YzYj zur=w39ShGQuG!jox^Dk~b>Dm<# zNZt!(C5Q%`r%1t`$(1DAqJU};aj^DxeP87XZ=4brrK0t0S|@c5k$t7^;Zp%m z5ZomGJ(jG#K@e*(>ATP?&oLne>%tjRL+>-*B5j1y?>&|o3C7|cl?UvkViDydVCvGU zIOSbP(pSU6#r@%Xz}}(c(~lSKA&dUlzLy+;^#&}m_~sISr3;osHn@PbLwcxlzF+RS zJ7+zO#;`B?YxUKZaoyetAQX#SGc-F{=fEzOSH8HRiX6|?TsgE2ndUe#H4>B$?7I-c zAgeF{2o}xjm4sCvJSCHC`#?_iKI8}>0S{#tioQcW+t_8acGjJL=1~ z*a$^~Y&C-q#<$sy05W028XW-EfRQQcwg(Y`KMnV;c=;2|X<6Z35#atBL**Ckdt*5H z#z^bcO@1}SWea6$7tKciy(+XM+E}vBkn@7l%`^)rv~tM{0u?ToTFX4-gUrwe2;$9I zyDBY_Eew$|IfuiQo%63=-WhTyFlvYH)8_!B{}c76*L>?#>|%|hXkHzqX*hy&Y2+;X z;VP58JmfBX&&ulWv;Ehn#n0nE5e;|vl{s||M#a9;S2`FoKBtVz>vKE){mx8JU$b=J z2o#IOM+6}+eNw-0HJzh$SmMxePN|n&aP$ZP{QMI8QT^z8Gk4W5Zgv)4Vcq4P1Kvj^ z{O{d8eo6D|3xo}Ld>gt+v7@eBF8OwG)?HWO>{cUZ7Izx*&6VE|X_>w-dY;2|$mlt1 z@@2-0q1KC+mE8P`ga9?NVY*5*G`=k`N!KthbMQSwH9RI$(K{S;qs#ZHm}Jlk9{J-R zk4WE4(pm*Fkbk!9zlY?~zR0)w=@+o#Fw-mn=DGtZ+#RNwIWwB)5w2??_?h{3YK~nHDgI=}Hcpj$m3tzh{N*nav@*&m)n$RFBtdc0K;CvqM)8#0Pp? zn?i}_@F=xPq7=*|73U5oMuwz)mlM(Q2@Ckj;H@mGxWzmzhlEs=+v6TP`-@B1OTTt= zUH=R4T6L^m`k~I%~yEx#X99?|sp1FGa$OLx;rfO8>&}N3#@82?ZHN}sM(B{+Knm$i; zIVWy$>bihMhFFT9QRTVa?m=H19WCZDi`?|HG`&H+#n_?8{|RR@kksC&S5J`j|J-}& z@%|6VMv_J|sh+@^*^IbHcr0B8km%?_?;NJz?K8UhpEiL-Mw<3>YRa!0h)ja`pQfw(~ zMq2xy11j#v@((Bh^TeNg6rd)4Tn4W455ZqtC^&#I{|!}%)u!M|GD~olqxu4xWv6 z4t%7h_x>XzfgzaY2F6z6wxPHosPEQ6a!-`1qjnGUxfLnRrUvX>LB*$_<_+$W5(`<42BbQ@DFKF@}_z6*lO-*AQDGn-t8G*e+kG z&ni|Ote}8l8&p-bE2Kx7x8#Eo+WhWdixjcsa83KE4uad@JoVu3lrJbk*}c#pY>XFl z1XBSqhDz2r;-%J*$vP$>K4m=~WN1cZL6{I#=A>D1y}g3`*m?_I;M5I&cZvWM(i^hb zkpE7d@Z?kLGDy&@;xl;M0Ev?(?iLRcQ7hoc*Ve2L(9KQp6P(clpvRuzXccCbOcM(1 z>kn~Iv0N(Lr#oKZ>}a9UnMi*@Y8QN_2n(pDeAr7n?3@FH?I}RS`vJkC;l$ zG1j36#JevAonv#=j%M4slup}zmce?z;j|qH@fPZjd=zd}+24yUOry^6#FxI?`{H3g zw0v=ap$*stA|3lZG-se(CgF)(tM89?b&ADl0Tzk6on&~PvI6$YzV~we%If{DoJX8? z9SqxLE9e!(=o#vQ!vIe%+bV~FfZKSNED_x8RTl~78VK;@kLk-FzDQK7Y+x3)eGMpR z)ND1c(pAD)ZUY*Q2}iuouh|<(giBioY3+!J?9it;sE3%e@Qm;IIE4$j3ABSd>XLag z{K10X-SRhb=&(9M~7bkQ~caMiL)~b8lh(DWgiUxqFmK?FLK$ zXyUw)v{qf4fSN{;Sl$H`k&`UuV7pa)eD#Rjh2p{S?SQo!=?m#(3j;rKBLWlRpvQU> zUb5vFADIG)UBVV05eZLe(i9=3Ouy*{BK=mw4{Uqli_4r7K07+U28-EF45(h!fA`~P zK=ndz`+RT1L;T>_Qfo~=;L;c4gK4OAHgY6m-RD=*(Q_Bhg;i-Ryq5guXRTp4c_)r&Si@6 zHpg;qHS(?=ah1JQtt19yzu}ix9{jfdRMvsGnqGWAZxZb~Zz0mM`P=S=S2)tNlyzNQ z#J6&FHN@p(6h8##fT`^p{8mGOtCvPnl^X@2$%Y_^#n5T~u_CnW)u|OQwHM_la9)@C}9xf(t;q_j@vWd?EfAxTXQLZ(OE88Be_Xt-1Xc&osQL_eHX~m@D)}- zS5>Ip8?kL5fxZqcH2T8l5DI66Ctsw#K*l=xcj&$$2*h69-%v1&NfvToKR;DQi-@B$ zxApmok@^)SMw|7VdVpg!%6&Z{#{fRvu%W~#r^|25&e;nSyo%28iRnOI{kf2NDO6K) zAChHKRarIgIYEy1+xzHp4-zhbI@ItckXM^XFNB*KEb=y!sk6_$!eXC`-OcT3EO#JM zVB?Iv$n2@9(dFC|KFvFxBk2BQhhY9CScArvw6(h%4dh)zZpQCLicHy0Jdu{%iOpRD z<7`!$2Hks?rEhnAL=C{?!l3&&+MWlpAN$QOEO%-vc~0~0lv{ERX>=3t%!SG1#7iVn zhTk!ZJ*-VC+~M|HH7&2nY7Ld&_N6>n&75n(X`|{45_r!vijS|D2W>T~=@8Ylo=zHR z#$MkS&aU3s)E5??AYToADAAo&6iozZ^xhw@?BPYsN2*PKJI|~{%g;IIZDCPcOf$OX``uh>nE%f@M%YitWITj#zw_ zO0>TA@pXsr%Vl!5>tF5#Hp+OPG+xyjSf1>xJoKJCG_e_lU2~xkuC*v2?@T4(%Wn2%p=EdD>_%REI)&(pvl*xCtm|VK& zi93wYFJ8w(I!hA@v0$?zkUO%OsQ3v4K1h}7erCMDliH$AkcOI@Sk%?`kcPe!aYxPq z%hF#qt-qu&tvvZun1&4_QB768-7@I>ji$!72=nf4g8anY(W4ypq$ecB_|KL1C(lS! z-17jva7DPH_`JZQ%VNapJxGMHf)bs;!K8j7MJdLy$AP(KG5Iz|5^3f5v8pq)*jUIr zi1CDFeH7b(d+N}&-~x5;7j|$MU%di28n|f>HxRtlW-<|qIFGrkE8qBIAKP>_ z**5e6)_b9z0w>CwUqR zQ0w8M8wL%k8VjZiVs04`tL(OfG7ow{Y#wjheW<+e?@*27voHK?yK3D4Cq!8&3hHw* zR?d_6(SyupbXvcqf!~IYDi4!yofWL$wtQz*BhB<`ogHqSw7nFu$A56|B9rk@Mfc(& zP5p_=sA%ToXIz_g=@?0Av2di(risN}v;0mT9l7QJSP)dcNc9ynd3YsJwxrwb=J(%2 z@hB6&rata^x17xyQgn5*5pN{@R@gSy<7!A<-FB)<`q59ih9I2kGu4d>B5795M#W|x zVUXR;mYX|?KB5U#Iee&z3uQfnvz7#VvmnV?@nZ zCugu-v>-W%?PusUVOy%MW~;q>1J?b{&V=KYVb(z0kI>Efz3@+8rKV{Ho*D}XX-Ee) zOWdmMjCON^wh8Py+i8LYJ@r=BcBQN=1U<&90L}%>PIIRvNDfQBW!#Ug+^tA7X|dEO zDs$uFhTsqw-0m;8(VdgQ zjg9z~khboq5=+63`f@lw;c?LmGK&oIpf`Fw?45uu`3}v8XHxs~;2ov1{;u`jj$}rL z46ndlvU=qw-?P+rfE1ReTL>Vy&<0PWGek`M#Q>Py#K$filZgbj zrz~pAs!@1f=SunB7@!3tk^Zx|<&RRLX{V&BrBnkJ7$m=cTt13Thz|!ZxSN!i^|I4&}Oldf#S@tayW43$uf73hvF(CHy zqo;66H75R2u@K$NM?=OS*|HjgP-r|2=`u}V{{EPeG zKZ=cu*K@w~^}p3gpCINP;b+3_UcExR@g}+ii1>y@+O}?`9#*`RGg#xNpwLxuDe8%R zS6d#=f}Yo4M|}4|?maPx@28-yNL2ma^M>|nQatY{>G1)*Z}B^#X{yEn(DlVV3ujHo zRXJpX_*>VZF!_hYtcNFA>np+AVVU!Ema^icmQ{>KIIrPdR5a$B1!xQ^u()a4Q6Gxd}ne~yH#!R2Gj)wP>Q=~eZb)0gWzm9p8yPt4Ad6N!z4s(o6 zB;yHJ!-9o6DxZFuEVmfssep{7SY4YF0Q=Fkp~XH(zdAG6VZM34@{^QP)Gok5t=%-w zoMd?w7AOYM{x!ZRk&O8h?t7!7y+wL7I#mgHuF-mGiCa z7d(&fP|Wuv|=f9i$mHZNE{L2gcr;mM8>*j`t`8o?MZo3k6bXB)EH7`EJ(e_~= zK6pksB8iCJi7Ou!H4{E1)-vd(ydm1x;kA39iqn3|P2l@;rSh8hed)<@*yc|(3(|fxRou%6f+qmVE>8pt+3!Mva6CjjHbPc z0L0T{jUlyqnpuSSM+1tX(WYb3;kG!^`zX%pD>WU;?Y&AjpGwW9YJ-IErKP>F44uL5 zDfabMY^z_!GZBa8)ac|Pz4~}0q{bM;P+Z!UcYk1ro2t;Pm=gAn%|jHNCdEQ)c#!Vz zRe8cfq?z6GNK}+tR?A)alRl8gCg$PGqVk)sbi7;cFnD@P-#(?8knLB#7m(!6@HKe; zWKsS-?D#8-vgDp5o4JPxyOOZcQvQxFm_K1r`44C5SKQgjje`I8* z2DCrxT6q=4$r=(Xk)WnK2jPI1Ot#R?#eLmInt;acABqhp^G7;Wrd#tyN~gDD)h|3Q z8133ll}ES6OVrhW6>chgo!4aFNyKry)fJZD978aF=3Pbq%)3gYrGapP73WOn{2KAM zbBxvPN+mE(t9wsWHDP;XdiU$Q`?`!S3{mWMAlqm;LEnynovig?J@{dP}r-QaIOpnQ>X3ZQWe9>tTgZ@DHD`_}Wkvk^dAqj8$=6j93Le-9#s)*z=O#WZA`ie$iSsu)V`sT+iCSbUSXn^P(4W=raQdx zPQy-pp6~pm`Mp`kYwX!j>6>V~_|&k~to}i{VuFG0n_%mtdbXQ)VO|M< zUOEcUAlZ-vp{2k@!w;PuaGB+r?()ih0WyShwVRC^taAP7vF4FyFPiaat3SV;dpt~S*Gm0MyO9nd-wX`f%Fg>!X z%jG^jm5Wx6F2M;mfI>bUR~cAir!;GGaU2pHpky zs=Tk@EG6)Z8rd4u9$&{Zo;7Mp@mp-mKkp$Rrv1`9mH7AJLdv(bT4RSjO0-=z9SmnhOm6uh3k_ z_id<6P|)VK;5uu3dBVKCf1ncL7IJp(9wK@2-3rtX;)8m3M1OSUOzXeWY5&KaWE2M* zCtWhmzIuPbi5z|+b@m-@iZS6M#5-B&IsA&km?i3I0=uAu_T2`Q6@o{Ytj6(FNa1xIxiBCI$i z%?nuj(lfbKSn&R}dTXC~^()wP2a%2m3zRE2tT`^H@!_Kgs~hr0uV)VD-sj{Yn10;D z9V#mxhN6Sjnmen>)&fDT8w<^3*WK*Q)^e zYvpfjsEMw!xBvXkA7H3h|8UABsj1aG6=P2xVl=keb^Y%Ks%0l#{nvq_&F6Wkh51id z^(p8yiy0QXJo()6toug~*81 z#Dy#nFGFxa){FD1-~AQy_O=$eeyE(hGMc1$4N-BNa*KI{fhq@wL90g6p2AgOuNIfL zf8#DA1H^Zc*;<>Uhj_%iz7}-@!lpbVTYY=37uIWd#|$VeKE6(=--xCk-Qqi?-FrCa zCCz+ahx)VkHzq;nyUa`9cj6=~g0BqkJm*$8P~gPi5NibsF5AjpBG(I^yLTh=M+zcy zYD$o(b!bZ&btY;x#M~XO#ov+6`66(iOGt*f9L&LfQa}34SIfQrkQbGE)0pO=@9 z(ypM}(&`2B>P!CXZSfbEgFMKSWbTl+0Aek2wx}z6L8y(Jt{<#<szf;Vgd^0mO7VCog zjQLXe=%IFg+wyN00pIaI9m@HQ?Ek!B%QMt`IyZdq{f1&u(I6M!t*``A6wXMZWbPif zO|5%wrRpU+t3*%(Dyc;4=(VzBT;%hfblU}=_51k*R*h<+#1&59?3cA@;W);aRW9aK zEyDW7`|D|mpo*i{N|I}zJ%6yYj*A%;nxnY#p9XQx2_Ae^Z#iUTCrA$cwsq=?8g3}e zsWYvi=FuZ7iKG?9ywnsYZGdZd&x|ctYVu@Uqe$pVhv|j6a{w^jXr`Jp+pNkX@ik2P z&^Zf>#fF!p3!7?+1;BQsC4DGhp@^nf6aFb6`*avwXtF+0D{a*X03k-hIG$KAjq z7U$oNSOu#zA}wT&5o#`u>R(MH`#f5x%LzPkQ6jAtZ{Ql$QWxGxZ3+f6HQ~?++@oDN z{K}f$#dj%3j7!$j?|E3oTkN{}3yTXQW>_$=)8R+W@rZ{+zTLpv0L~WnIP*!7f?rC z>dJG}Um;r|0So0iO??}RKKB(0CmJXG1J!~LZ=P4Y*xgeuT1eq7t9&^EC!>c{Z5)d{ zbVmyruTMQ3a0)&~U>NfDiNM*@{?umh>qnqzjLCn5DT3U?Nadhh02h%nnD6J{I>p4;dA^;?Mw)JZ>S%eadZn%#-cfvWz!hxI zWsmB*hs}<_xo$?KFY{(zBjtB2v>3t3Vz1X2YSH3QPrsog>i*>lcb$P32|k|1L3R~q zU)h8%^2ln8K30BPD5G1eY#=GMpW*O5fiABGPd-@dW?8?$KTB?znmZc-(u!)gHCUnX5!Rnz(P z{_nE6fE}=$+sC{iQA3f+xJG84MMZnxzBm2XISTkngk_f%=~8I2T?-RX2L5d#I&N0u z9{F!Z7I2}OL{?sn4_!ptWUqobv6nLRebZWStA@#*&H4@&*sL8VwUEbI z1;fK!HIz8 zRr!^i>m`J3A^BR3i?=t;zmnt&6Y!rNC~n$0H%x36;oxG3mjOp|42@A+S^fXI}MQE*!&9;YYGc{i|^}{;38h!0b<>WJLw^z}kU~jmAi}hSi#W*n< zD$lwYWT=>W&lSVMjE>aX&WiR-fV(%Nd0jo;)@c}EY9+gvA(ag$C}t%~Z^*Wz`+p2v z&g}6y>>nS8{S0Q+4*8?wczGZr%&4f-Hj$`_!>e#tutkd|p03z5l@ec+iR{ z6i!3*Rv*rm6^=OODXMYR2Fhvt1K{o3E2_5L5~-U2GF<=GoWf&`7>8YBew!65_-5Foe? z1ct$V&|m=)NYLPJ!QI_;fFQx$b#MqiK;GnN&b{Y+=l`vD*L~|PSkSYlx_5O~?e5-P zRlmxyrCq7F{Klzw-4Id)Fr3=qbV^m7G#Roz)#H1*rmdll->DdWsT3?3u4*C19WkV9O_v*9~&h|S`2 zI83X^X=Xlvmf=(0L**--q=F>y)_)5boZ!Ku3m;hBhkyIylUTLF(QP7V9O zZASZ)|KJ`#47ya{nfm)zk$+M}{K*mn@su%imXx8c_%A&D#7OLP>35`kUGE=#X0I^( zjx<+|uw^-WJ3vDGaXibih5WUt!ukG*$lzxQZj#{;!$C@IG2RZgKezN3qQ3}p5I`I@ zNosmY_EN?muJdJCaGqTd%2kcdH8c-;dExVpBS^;!mySTQ2Xt{`eP0 z|8{VHs;E)#EK1#9So4&BCmVVTJ68AlS%Lqp_D|aKkK*{}LHz%=b;OYUuJj6j7XR0J z^!HEK|Ep1qRj5jBS>P9wId7S&EB-k&|EIP8Cy(mCSUi3r`O91KALe@?BJCh>v|F{w zQg3f`i?tfC zaIqZV{i3U#pf)(5!d<+<5|^;_*b*We#;CEsReLFLS*`L#k(UtbhRIJv0^}q3l85Jc zj;TyncLm-0^y>l>4b}QMrAU3`qC(dDf^MtiR7mLu7nxX1Br)sh-K)`%s5igch5F z46(M!FTzT@tO<^a!rX9$563pK9|U7Fx!U)Y@T;&QBXUZt7Rsyyc%kF6d)7@%q1EUD zpJ$gFt3KwvuNQ9X1;bE>(#i@_{Rce34_y6Xr|HsJ`qVOY--10)` zHofojU%YX!cU#PCXA(9ir-P|&91mreXq^%Y3i8)ARVA3gp}1yWc~INaA^?2T?_1Xb z1k>{@sfj4tm5_CIoYXbddx~SQb}aoT`20gMq*5Z1MPqXpbzQPVZ^G+^bELcrD=6Z5 zJyTkwbB0y@o+#B-Uwrkcmn_K1dEv0~s>?OF1nr{gN_|>-gwk{*~bq{ur+hJF&(dkI4xQ5oQfce=wGnqogD3luo>x@W}Eg*$v#lI>7Lh+Zl2;G zqSJLbEFrmNML{O9yg85-A(w>B2w6wl0%GHq%$t;^5a=A+?3JyS>h{6n(Fp(hLAr>B zk=)|jbOq;-@m@$`_fmH(bdVq^b`p_-I(BPZp;B+=JCfw$OTh-x6=c-bn&^l>&xb|v z)l2Rd+I3r&Gt!OQK?($SuAVLCQ0*!iXcUg?I+STgf*)=*4MLvbIq#KNI@;aIRUI$P ziz?Na1Z3EprDlM*s0H5P@hicVqYV^lwRWM8YU}R;>ekyaA;~j5@1Hp7Fg7RJ+fB<` z*t;jz4MEQN%x%8q-gCsNW&_!C&muApSlh6H6}hN;kW#J-@V2|FC*}mn0+~zox7fVk zx@pOa3BnJj#}g(9mse{DhTN^H+6LVq1wskv`(%RcmRA*2z@iM2DD4RGo>GCKMZ85^ z%Sto0v3KWA&O)SpX5BUdE#|dVgAlxqhH35L`n;CHN$c1*wdp6ugw1_5wO;YQBGUX8 zPh8atw~=>yilWN1^_h_i<6h_XY(}_8yni2K&+6&Za6Sos_0n6gz4ubCDr{U=Lxfj5 zbT&2c_}Zmz(9GN(PbgtAD_8Nhn1v`{1i#3&*KkfI$9U)H&Lae%{7!{yUzd%9h~~V! zd{T#Q5!c2SH`|Ex$Z!)4sd&O|INmC`2MX3-)jtA}e4-AL{BwrJpQf^ZvIb;At=)k? zw!C4ff1nO|O~<8-6woC(6rSRg#mC#cuxT^Iufb-F`-V6VKo}iN=F&|lh-X1xA@lWKv3V4Y=^C>9boZp+ zSr8V>XngM0zbd<7DXiY>sqxy7^xX^bA^R|?Qm!Iv*-$W|WlemPwB3a2b!jr6lCl?m zQhizYE<&cG*ZGNp8!mEpg^&^+`8}`42vDeQFOIH`v|(lM0+Y>OFrn^rI6kNtAB?{d zEJ?kQmCst$j7(Jkd`AMT$gf6B_^ybdWl0e?DMt&x>es|cfVmbp3MPt+B`MWfuVc{e z#=B}0sfYHP8fmqT>K{015CJG^<7ou6odMZ1y1E0=s2Qu~v{&U-L8V;w4o$)u!_q?H zpD#r#3fYi&H(uD=MN&jtUTNh}OiM5Ax}e`Aw^~AyPZA$y4M%P1axaaZ$*#5bmDE`s}e? z?={nCMA!0P+&tM^b%szWBlduwt$mIRpt52PB`)#=Ao3P1a#P-Bzg1l;7v9b--9m&i zA&jE`MN<$T5j%ThpafNHe7M=Xh7f9y>)g42nco5Tv1+@id=G2qBjS@Jcm?^&J$J7dW@Fy@fj`Ut<9> zOS#3w$e21Gv9~di;)~_c?z(o@R%l=08_m*% zv)J-alMOR;@RZ@I97QZ%AUDC(6hPywdDmZmhxqVNOp@H~{t4*dSRJ;tUlxsF0CW?; zT?`9_&7Gytw_4lmkM`vu4Ep-2_VKuG@eJQ2kl9xC`=GmJCaO=nd%y#BkfaND5x`_Y z(9H5gU+mmt;H9r@*x{s|i_ zmEoh$%~{gllWPDY zaQ;R?eo>h}=X^>+)iSQ_Ub8t%$CzZq`g{4_#--LiX(J z<5M^7DFf5;V5Y)CW07h-D?3N42;v@8S&?yU5qzyH_a>52N88!sVGC0e$Dl2OSN(yB zS~mo$2ALG|gFbYrkA$wGi9ihxM_9WH@ym~zV(>FJDdF(z#z!QkFN?qAme^6VGIrWOzKtYFw@=ZNSr;$g`XC`#IE;uabJeb*B6UcEbuHIsB>=2I>PM;sktsq{0BMIWn*uAy|cfdrTm!7x! z*gw+E{MX+fdkx-w{F+=NFVB9^&NnBH3&i}QCM_ck$F`papAzwqolQXBREId(y9&t| zep?5KDYxQwH9W2+FC^S6Dw>69k*;jm(0wuTSVyk5g1O;)kM@_PXI%0=IBz(hruPf? zi$euu#)!b47@X|UyWxFdG zLbb>5{Ln^ox{%%T%pb4<#he24Lj|LzZa|a?=-jq_+4+>;RP$I3tHOjnw{hUdMN2RU z#I6YDy5#N#EXap`N3xu`Lqs7vj1?TC=@%I)zUWq18H$lD%Y9yyq}cFLX=Lg9jxRUt#QWt!aI8A^j3ANwMGsG&5zKmgm%!Zdb4%`i)GbZ{jqx`^!>Gx zJk?%d)KScW0}|{H+H9m%Id@MfcjohsLCDk|rs^TySvz9GY)&f9L~ki5U&|pLpWppO zq`Wa02rB1;Vkj9~Ed6$nn!<`-cYGF)#>UME-VNj<`yb+_i z+Plur|8L3>A&2m}akuwZ&J28k;T&0cxL3isd9M)cC_KYu(GBv{Wh<%<$*O-5t5}b` zqV7HIDY1vw{fK{V;(+CzXKJIcOdB>cKEs1HeJPWg`!uh8g~cCWg-w^76f_u~?Ae1T za_aUzQhm^{+`5sQ6e&!L)E%%LPXn|SkI24P>D$eRYJ%h`JMhf!iDM1DyiL{|y3Nde zZIsklUshvHNX&LF!C(8Ge!CZO#`qpdeX+~${PCm`Vr)iu?yPe>4H7) z>YclRP-=3hwSVNpBQ@*XaZmw$F)Js_;{h=g!bKI>Bg54PPaCHuDxB7LPyFVPxmxF2 zEs;&8M;-DB>z2?}Cit7BC$YW!M;HDPm+P09&CC}=l_B^wx0y`l43SX7cH^I(w|{V> zx5dDXr_9)^7>1^&PfU~b%sE%`ex{2^6v8o@YW;#XuG0qAK+>%X9ew3W{a&3OL0-pJ zI&GRE#ky!6-stl<4Lwwro@E!XDp&zbsp8}I)DSoR$Vy##D30}6ZjkWtmqztkjjxlU zUvNHkbJ#%AXiS#XrPK|=To7&^Y-aZ6MFZ|MIvc%5Y7sJ>-4)DF8s97lb|9r-d=x_} z1TPKi^Y?c3z!ijU_#!%V6E5a>eld$Mj;iig{bCm3&A3$LAh>Q$lv0~E5q;6azmL<@ zfDMR!fNU-%TTWX(Aj^N}6ME(rVYbYR;{1J_9zw8Dr4Y@hc2r6K288Rkkh$O?BG-ze zhVFZ3WM}nCosF+#>cR8+ugi-3C(dxmF&?l1Yh-IcPT6E@TUzJ;eqx|>5>7}|t zjw<;Z^G=o6xrOTQA@WBv%L?vuI5rW$4Vl1!=IfUTj8rR}A)Ys(u9itml!exo`cqG6 zJa{F+ac)}byw#c?&pZNVwo~-HrA&HGHsmub>Z1B8IJrfH6ShI$<~9V@svFRU7LW?{ zi^4UeA-j29yU4+W6xah+xNMj0VZZ5PHmr`NYcLy*%v%ayO)f^u7M0TJ^`ZozmT{KA zRg0^t1(u6JT75H1!v`UXYdDG96*Ay{th($3$EF3bHE5JJytpPgZ|8JQz0)ICWw4{QOJej&b#`G zDYB1&lhsh8(Q;_%zR5Xa&Za!7L!5ipYlEZLSBR=>)-=#;+@?m(goVuQzsdn$l_3tw z1FE5`8q1(K7jDlm$XNg`&X3G#FRH7Jr-5MvH8hEg_|74S@QcdGBgsLKeZ42Kbhox$ z*;yl^ju!buRR+W{5)n7&k~yy~@;r8CFS^$<8J@n!ni^H0%^S=Xb1gFqj5UB*q2vUi zS{4;QkoRz5d57?KcenpmcHlCc-z~C;Ui+Z3+@b`Z2wP;EPj<=i*9R6@O7BxD1R||J0#f*8eyRREHG4mdK4hr(0MEpH=k(zQ!=w%H z>g@Au4q|jKqLDJpY{ra#muw@!$R8F{Ry_nIY}Ok#)jEAoUAu4VJbRDoec z?9JaQ(f|1hxx8C4dMl<~tDz8Hmn{KiSg4Z2+^9%%>u;R9kRA=0q&N_8z!#l*t&cta|Uy2@x+_?@ba8dMgc1P~Ati0*7wR~I`S z^~Ihm!D}E8zbU5HS4`&eeSPo2CQ~(dOnX!sn;m`k*<{l(V9on+PD_sHBE^3()crZS z2=6?t>2t#x*5!+?eKPPux)of;OufkiAO696ZxWF}`}9z3pAiuh1N@PWd$RRAQf;C(g?h>X{#LjjQ+0N&~N56cuAu-z((WHWJ z+y3KN|1KHD-O!YmH8?)mDFuyU`HBA~C)K3TlxM+Q)RtG87N)76{}cLDBpfcxwX5Pu zgYE1e{r?w9Y|`fJAsK#>>^%jR1Z?~#H4q?xFgR$})bZPPLm2*VJmvhIuKkVnXw*Ah z$Z(a;8I2Yrq?+InRaR;ctyvT{YE@lF>u$wKo6>_;iA|;4>RO%#1Sy2#nc33$ja)Ak z<89qJ>)rP}jr7q%V|GZl0{2rvHefth40h3-vXt~#bjWPEzXnNXp?2hGs_NYt@*Nzd zx%@X5ROkPyBgr|0vFNk!Hu&Ez;f7wZKfoW}e~`N}w=z?jbk3W#hE0*7MGp;aW2XA3 zZRSOmSeHJKs95BJ@e}t103pKerv7%wdl00jY+<5b_Ut11Z#(zPv;VuCRSQ?9ChOu9 zAr@qXG*EZl$K{Skj5@n=`j$1g{jML)%SLmjZ3sz+o?rt&TOGg{;y*1(8AY$sII;lrhA zP?+s^q+ttq0pX-}ubJm+&eUoH47XS`GAw1WjisJ*ue~fTdSoPavtg6VO|X|Ksa7L94N zQf33@DIcj+2G#Dl4L&Qtn+N85ho!&f^}WS%>;Rz~Q{F|)8I)0&`s%p<>@kTZtcbUC zTDRQ5H<-&L$?j@+1;1clcg?q2zT6u|06T$lNADMA`?eDqAM>v1Yu616#z%?v*B@Y74;S_AL^^kkdJaJ-qRXg0sB*z$$v zzUX(PdSL>gqoc$y7X*UQiEUO$R`{-sLI61Y23Rb{k$>_gKj_$o;7X0R0$k)&2uj(a z%CH?_7*P>r3usQ8LAYrhAVv`kpN9xnKX8w*eWUbx%#~uLT zwG(6Q2da;GcI!~Bsf!;_gliA4yv*+C@5?J1=<@(gDrX0Z1|i}gtZ{CJxmK^$1oh=_ zyOM)RCn|RLAxRibo9;BgrF&0N79t}k5C|yK+J~p~p;RKG>!#UQO7dS$%P9gEHBv`7 zvo;K`Bwk2^O3UZf$x>tW(fITXUh~$kh_sjGJosvU9>%Ac28DTM%8hwV@AGMHK-h~=dZ$+uhiF>8{_Z|B*{6?+>Z{ zweSb(T2A-&ybNKgbWjk}omv3tGTSB$#TUXA5~E{nU%nBewPIXXyhb8jk$cK)kA`2h z-+gaN*gV(V#oJd*d51(msl2|>-QJ*@NvzlGevUag)9I;bx6x*;S|w zuVQ{sGSHT5!*8+EmCE=1bi8H&wiP?ROYY_VAz&XKu08f`r|G=>9NP-H#5rZ7@>zKI zC!g?0FNKt%1AsPiLvo(~2doak8f7plMIAl?dW`k0#5=<4M;6=KV>7dsbp!5N%os`= zvmGy%-dmCtfUD{h@yn*;$*`?RVaoKaVVHaEnb&hQ&9hXNN$~Dj*-3~o@%8<}?Hjqi z8zC;r4Z;}0RK#)F(Pd6wf8La%A?V=5;t4divwju{9chYkS}&s%M#*0DRalo z?{jprj9}|Bc+l(Yjm?~K!ny6N*o1ifj!o&bWzd3=p2xd8Jdst!j(c}nBT-)C!G3=Q z`Vqx`&}*$-r>-j+DuGHx?n^q!xyW!wY&QNjl1Vf&Q5Vf>US09AUu8^RmWEVi5Sj^E z5sOz+=_k;k6kMLf=gdSAu1{ru{Mw(#b1fYJ*Ol19IMEO&hIr`phV>-`?L(_-R93!_ z6zJVAulLtijoUHE@*b!vvbM7IByB|riJ#WM_S>a1t`$94ugm8Pa~x{S%e`@l_zc2)m8psjg9`@$fcQEl06>q4t^9e0T$SG zuc`AXvg{DI@^WrL6FTB}1M*fk?iIA zt~{wxGsq=XO30D7qnvSobrU3T#jD2YZ(?g*QaAL=7<PpIjM) zgn5cK#S`gD?BcV|OlT2PeigdKB3NG1XJpA4C0MT@x!n{RFZx!{h?g8G_7IhM@6qar zeQJm$@ho=GjkLCH)&Yj^6GypSn^emRPV=-;6KuVWIeony5e9#LVG7>8yM?V?B*`kJ zLnf?>o-|5TZT(bTR1EmpWXGlXP~PTXZ(z-Vz4MvIEar^k4EP~>Bg@O}BQ(0jGZ!rf z7ZUaw@@BwMHID0fbjV1VMPDCly@L8AxWtTO6Dt8&NyV?RWvcK6QbIhKS9>ZtYi`*K ze*X^LziwoCsNqn9)2`lGH@Ei$S6z#X%o-Q+VO~liPs&YjRV@a`o%QfDlQp z;fbK6d5Naa+pbSniMniEo<>Y%=ls>sU78W;>DzpNeFgI`FiZg1y@in_vWJ!N^T=^minTUqK%t5ugv6*Hk}n z6BOA0|3;4RHba+(5G(c|ASh&hgP{1=4r{#eLR8ANpGIB{vJkmWDexNX`;O%I8~jHW zT!{G={}(ibCkQNqk_)jPP#_8RqYfWYPmJ6Xe;|is|KAPZXi8!fj#n_$-dY)va5C}V z#``z&%b@@CR-4)Dn739#@2kua(;?rVJR?v|T9$sr4>12rA9FKsQWlDIyhjoE z7=PE{pDQC=2iKp8WlYu-sC`Eo|4(}V9jU_Mv$xDLWf9^f``^3#SB?Bts>}?=cUNY@ zHc~N|3tPCUPzi&*C8w3k1{b!e%J%J8A=t_NOjBo0dd_tjUn>Q=TUnh5_bPAQU4)*e zB-S@6;^P$!5qai73bQ(A(aJq<&2S2G%Om9#4E?+3uh+S^Iqu;ceA8$i9ao4E8DACF zlUURZ!7CX<;q#9*TbI6m3E%geBozIEx5+=Nk#l|ORwrUuMAc8G^SBOeYU2<+LA%~N zFmKBVHF(#^lDEFiJkesl5oN*UGEaXnCp=7Aq_}8&6=kMHUd_L@U-3jwSFo7XsQw0n zH^506s%)6I8LOeUhZQYAp`Xx!oVA{ru~7es^Xwra|SA z1KalWqxzgH+OaT=?t1@en*|XW3|Un#O|9~ri35p~@hgo+!MAWy8tfzrx~+G>aXhIy zjFD6J!VyIHrz#ow*8%kJNJv47np)Y_Wz9aUhJ{+2udGgzu$^t^IrFo^LdH0YtxsYA z-FBWjO5t~i3T#>m$SliJ-ak*1umI&+zd}SCvdm++I3~Mj@BxOmI5eP>Kr2tyypT%5 zW>Tt!S6YIRWWf_x${)Ug4Kj0J`~f8AJM9jF@w}ys+zj?K4V>NHgn1wUI*4`3Z0qV++b%-d-JkFCmcL?idz1Tx+o&AQKxtSmAtdo ztP6~Bsa8nIE8(4Ym6M-*zNpVBGq1MEwut8BJ=E0tm(oFe|MoEcRWtvm=gLVXnAn%( zRM{5!gVmw;1E*MvrmxC^%^|_hNRx@Nu@>gZvv`M=K8G3dv{loK9c;NPPm* zk(YE!OIVoTUC-qGR(U4mreaMbm@_x=P~8}#5#Lh2HgY3w{B^FLj+703k%zl{VjfkX z(3P|MQxbe=S!J^4^U>-@Wmg8uy@k4AVc@EWXYM*L!utxq&T8L)AuR4M1&Z$>ayv`? z_O9`hlPAsUsL=HwpF>jfXS_RB1WVhQDyn~=?&kNC)JM~mg-wspFCkS zX6Evo`UX<1vp9(2J1X8g5vspeXsl|-d?iaS#9UsE7Q(`Y+}!*xcpC;p2`G8Z2%7`TlF#64Uax zA@4JJh7yV+l`2!0HLpns)};N!ZiVpcm}ockMyggd{i$$|CVNwQ^ARb+S9>M;I( zNsA>t?HfC&^|dmezzx=@Wl+*w_8a$)g7r1Fo9oPsh)ltOL#kbbo~TalIURPIN$}L5 z@g|2&sbEnUr){o`*AX)TyIH`w3E_3{q$+-E|^sZCgI1S0h__zfuS;%uGDKXa-kw7crD(F6u^{>MRpO_iVP# z?>+HCZKF)EJIGJk;f(75yFETBN4VDE%uQA*Cd6Gbq%F_wrvL+92&|6-k=xP>y;`;S z+<_YzANA?2+VU>O=NwAP6Dcp}VF6Y3;`f}^C3a81V6hp{?zRBS5b`%2h$Q zn+BH|?A)-qFHzZvXk5#Qx4GK7^pn=6F#O{1)nEzUZ`NruN2$&DBBL`b{eAP)AbP`@%MEpz4})V$ZFm1c<^fMWDxDS z-f*l`jW5dUU;Bwx?=YW=NY}sSZKjkL;~g6xJz^>j?AR~yjFo}iB^1UKsl>b}>4w6l zCK?C}_Ug~e1}llYg3-;>DTP0;4F`?tMmjli9dj*)PRwCX1FgvXBY3%oBG?p&U3tNC z{U%yV*AQLS*ubVYbLSj8g>L!U^P)lAlosVl(xf{zqh(#6tzX;Y49}0(A03fJ2aV!! zQ|T+bbU((qnk_OpKC5@cJv<)gW$6UHu@UG5w^*Z9&q&nV->!>j$9spjHD-9yufs^# z9Ges{MQ&X@tG}UXSco<7hNtynJAYb{mtV*%y++$veQLM7RVpP8XZGQ}J@><+_0`v& zVZ23P(Q3SQw3psx3u&MPxW4CiB$qasT&(g6uCC~b_I?k$NgnHvjXakgK~YQr0;6dg zFU&45OB-ljz1>qg^R0-?!~MnEwU_>eg4aoeD-+!n#5%LjL`(8#5-#JHF4)X}o2wAh z+mH8$o?dH#Y4xeQ*=Oj&cwRwzllXqV#5>6^HD;P#X?6Sa5Na6uy@;5WE3O&K&x$G^ zhK&KrvZ5QzHoqmj0!E?Vhguy}52{KSsD1%Hc%NP!H17rhoelt62!PYoKE6Nj+7a%tGPJL9JSW!l z;Kj~x7WyIkeK}HD8UxQd!up-$X6W`N}HRPKvK7&?oil$SJlI~pz1>NMy3sG+8(Cz@a5o(EVn%g^9VoM%f92VzPEjx3m&kmvW~wTEAc~Q;XSo*iymS zoAI3=m9Nm7Ydof^*=U;^ z3LAEi2l-ay1v7z@e!BX^+?NmK-|9ys^vDk$jL^I?h>rxZfOgr5gtd*g_0IYqcv%n` zSRde5%_fnO;vZ`Pe9oD1wv(SX+E#FFV!f`hi6GtAq3FSASC2hS@;3slu1sCMcZ$)5 zjzH#M=4)mi(N;D&sX^K$lq=o*{bq&>te;+A-vdUv=UYpym4yL#Tt$Z2Qrww|oV>E! zDS$O~{qD|9rAT)1=g-DqLr#RKt3f%AxqzJ;IN_2(MvNuvgFN{8{n>B6elA!DcVx z9n~?$HN#5mCFTE~yR3O+QN}xOKV&vzD;{xd``;VRSibFotLq~?6*cXq8=U7C%&7h+dHCPz z>%ZPdtK%l*4DtBfC^+xWlM@*H?~3AI+4~<7`M=)B$~*DoJ5ua5^t|NzG!`xv*v1&* z=m0jf#zmBDjVy4v_^COl5d~pkb_rV>N3e|}gqoWJaZQC?&CL$X{>sqw#~%m87u39b zzg$mm5kz_^AQE-dh49K+X4ik&}ZO@PmC71TiN! zH81zCEwf7_I)Z3Hnv)v9`^(qTT-1EOHYLqN&Cm7gH2^iwFAa%`vTG?BS%5)5>d0Di zQS<-WzN|HZ;@9R8S2?J;f2oI{e&t{bQUyEevcHm)W>*8dIwEQzMoHq=f3iS!DOX1s z)gNPoXi!EK!No6KMidcj{L&zz5mhroV{;o*1cj;@CpGtPtp7PIzsTU%VR;F*F?BSf z<^%w^`Prq-tsKD)?9x_<<|V-(TVt@O=x-9mdhtx-uD{8F9P3ooyhNc z>xx*fD2a`jzi$a$n4R9S_ipjL+;JCbhA-}=)`+#tH(z(*2`$`0D%`sD$z*Iy3!tTr z6RWsn%{PLfa7_>RoA0M*3WBO#SII3bVQ!5#&hUZvd zp3IRoGyrA5-E)M8|6HP=rv)RQv8be70Em`e)JP8l;c+wKfD3 z+CSXrVcb7?;&m*J6v8AjMdiXJY(G+0!b~uNo;ffkJSec%%u^}w&Ec5!95{o_Us1h0=m_08Bq1Y zOfUXu=7@_{Xq!uP=~I2>!-1&YBg*?jADRZDML%Ra4l_>=jcrEik<^rVQAESkO;S9# zoG;>za?{=9{VW}?j32-LdXBPS*+jS|{^T)#B2HTt(By2wWu$pW#X7Xx* zNc+-w59Ru17$qk)$pOY`R-#Cr>+q&N#+PvlCz7sCB$ysz?Ho7iFy zbZU}TknlV_w#}x*qpv69ZDMAh+6yz+^gDnG3XPlztFiM9xrb1_J%sA zHCC7N*x)G>Vf!Ij3g`Y?Nu@;e)JOU5`M&kuVGfKh4_2_A&_a?6KxrlpA|;vPD53MZ z)G16Y!a)}4qRb3~PC_yh_xQN1JGo6rgIr$ls}HFg}i}ulXXnc{RvH?e2|)SpNl-F4t&NFfKMXyrZQAWKMQE3jcjCYS@N`sfR$H7-G-(xJErI2S>E*co!U%{ z0&5^K!!q(vjElUKR(Yo`-qyT^Z^I(Mfi1j&14Bs1X15l!Tgv89uvu*ApnHHnP(H82deH2DWXm z>IKp#qS98Y4pQf_{QV?$KUQXJ20ZLly$=w!jG231csIEO-a4nzkbO64?w#9q5OdSW(@p+0RLUdjG zb&5N2c$9ZW!SL{b0mMT}wIkVMcguMT`c+~&-$H!O$Rv^DqwY6ss{*$=!l|eEZtd^m zAUPIsl|Bz7ZfFG=EuRB+$}R0!TAw!+c56_8Rbh9_o@Nx^FW`K<3cuo~4B3~ZeY71er_h!?8GM7zb)#p$$<>O48P$Uyo} z8zKi3x{qKPvDT|@=5+7ApbaMr>b^Uzk~)?dRYl=cJ3hT}Hl(2Z-fmo=~ylPOv}w=nz#Kq#H6|~9=)(_ ztsGsp(eW69n?S)$W-x!xfetNgb4vEuUx?S)cNG(?jlnyGiN9WF3iygzZ?cB$tlVKjM+j zM*-nKVcl$M?VB6Ieo&b?|I*-HVWVo-vX^e{_97I`4}jEUP3l4S(adkAe8Kyx|6MEL z#axL2VsO@*O)eEWLTtB=@w~{>Pw&EuErKRejC$EedY*+_d^lK>Z`?|E#u4k=ZD_nZ z5j(%T$llj|rYAWwv+ADB8hyIGo?$zEVRkDXq0;rl>V>kpS05?b57i6>Mn+{ksf(Z} z6w{-29+%S>z{wAj85|6Y-*Aashe$kc)yk>}pBBj#`u66v%GD+DtTl3}*#Z(wKRu>K zZG>W<#qt!g8EOxxV&v0gFlE#sz-0@-GSy@Odk2~)f~|MF`p(XI9vBb15OROVm!tzz zX*!kU(}{($%0Ge?(Im^)j+=foYwA`kYLD!ld8hy(2C}xBswaaV%+&CHXlPBaEC}L=L9>_q83noSi0JmpSw(FD?E0L&QOB zNd$*cv|9vy*5e8k{X|UEU48YzwKP2DEUUAiCWfg(BD4DgoP-TRIEgtQTt4fdV4$FD z7(7bCENv9qv-G33JN{Cp?$}G)o?k9|$)D`2K9ObHL*Dtubl_!OUGB%=DN%~yS9{S` zT}2bU>s)d+zOyR#jE~U7L@N`@pg3ztG^J;AS7w}6yfec_9N45@Ssv}2EDuF9G8@;m z<|$@}3^IC6_LCysk<`tUj`}v_O4|mn6LXOnHdUm*{czaYqhl~lUmzS|YxwZK^z5Fl zSKwWxw%{E~EqN8^2$d_V+&t+=IDPR@XAcp)z4OyspOT&q6O8ju0c^R8#F*zAZQa15n004-YXsT)b}iKtp+Y{*mXO+D}a@nZ6mwTJVN4;w1H zI#@H4wBEN@@Gyg?fL!eFr+B;59Y;t>RzcdY8G___b24CJ)9P>rQSJT99*0&V|*m z`P6TuF1bKI)Q@G!xS6>>dtQ~S!2%0fu!y@+-;8FZd#>HMIVB?O&t?>|5m*$#Gy*S= z*$lO1Zqi$3#f*jS7IdEUQ+5ShtW4qrym5KPHNh7^klZRvF;AZTO^~scIa{xI* zE_pZaYtD#BR?Ku5XI(dJ81H^iZx48>DbX#;n(33J-f9P!*e{%Al;<52r)0u{?y7Pu z4A^bVf%GCw8%1NS_PNi3NVCvAvI89U(C{e#pnH45+8dcwR=X_naxmrS_ZcEJoX1f+ z)ry0Alx$q1BMbVbJTB;{v`1ytYLr=9+L`7XJ%TwS*{X{)gc9RCgtY21EdxoWF9TKs zJLSc_%kCn76!u8@^brO8z|;e4d69d9cn;Z1gu4L|L*sPO+Xt!55 z|N9~EdNw3-vd{t;BJgP})NX7rw)I;X8c8ZEzCsC>hb;WFf`D3n_l<7pXYYabroOv0 z6U!vwT&jI28~r>AcNf+2?Q$s{aFq5ZeCT2Y292{m#rqe$eF7877>jv9-3;uL)l4eP z|LVtFYGb^e0$OvQi?BfExu>kv=NXN@!h^=8;FDX=clcI(?|GpkrJAy&!Ochpngy;1 zOpYm=^s)j)^?Wm;*#KaT$sg81P*q-?I(0{0WKUCY!2hGoYCD{frKP)}cmX2pHzO`| za4$`BczRB6TcPS*oonQShK4C_!>97?^y(7|B>a4*7H*N^FD3Ny`N`r$Rsc|IfNZfH z^*M9m*=nwb6CZ}c7JtH;mshtZf4(-mT1-u1{%m^2gcX_5%El%7W9m@*%dNgL<-1ss zFTVN8q#`RTBb$?dkYiaOXp@|Jtc-O|!r;#OVvJkz?}zMAn7*W?q^ zE-^iBxa<6#Q05nk?>4W7!>{+&&7NP$VUp;Re?t~Bb@eU4^^Xj>Aw77`SCzYzg47Ww z5^4UecbQ&U`7WQ$;1iwVTn(>YTfJIT9z9pmK?$WX$N zwes0|VfAaHR+I6QHjXiW6~_l5OqcU2`N++n~;XIU^+H%{7+*1CWw z;3QNkU2dF=fL?o#P{x*a$=QC666;-1H5tLZ55uWPIESk%nS7+#cS;zx9PTi&9tI!J z`%I}dP~0o|Anb}$QJKb27mq$b4ll`j)OXb^NobfN@a&6~>B}9*vOkDAK!rNXdCPfxn(Vg#l>%1BX2ubcyKIh_uKlY-+c%!y+^lEq9m@Do7 zA?zK4G--lI-oWvnsnP zy1IVZ!dw{#T54p9NTs1UyquGv>j27NZq=h@Ipa=h#aG#fl;T>;ki8UGo9eD+%XZF9 z8sm%GZp%{GOd4!e&(`QRoh|4heN?(lUF-M=CR_6xil;xbE9!+}eN&A;hIOY=%A9EM z>yP8b^Bt-akI>5rPJ#U8y?vDVOyZxlFWm~C%hey`MwX%&EfIPHjh&mEkp~RB9288m zc4j#cfosA!=MjB4C*2AMtKTH{z!?&UbgphS#$U61X;I-~b=#&JnFA%x7o8FZgSprM z%$Wo>@WX)^Q9(ZHX~T~z_Z0F1K8|OznFKX(S=y9Wc5-fXl>lJ^o11y*winora#Wx= zdW=}UKh&~hm6S#rlc+j!)YN_gKH)m3$LAZ1m+Q+s+m2}u2Q*%;g4GV1eK!a0OubS=9W`&lHLZRD>bN6!8(m&`vQ^ z7%W}RWAhbvgx5^yK}H2kBaa?V(B6D&Zer9P4y#d(FT1{?3&PMKeP1P0&b=k#Wv%tA z*>@$UybK%^*4R(@%Y?KgX|WYqr98Sr!^JGy$3G91q$8uA?JWn3OxUJZ zVxdJ~*iMTyESC3Z=r$*0lePzAdhD}mH4YHdahNP9g6Aoib&U}qiXf)xPEs&w&9!2d zy>phZ6D9B^n8+mt{7StvsEq0!~a5_9;ih^Bk5vG9WaOS#uvv;r=Tg%O1MfWfUf( z-L~B!JppUOA$XspwmZ#oNw0y&5d`-Bgof=D-D3hKt#EZ2i@ub+ix?Q2-9{YDOcFPY zh+bb+f%y!EowLPjn5JapJpH5#!1my`R+F+N9z*1wTYFk)&A;hswxm#?8UQWf8;3S9 zYrrr;LSaAp6!+ZkcQYAQb&b1r=s#I;?s-VWXt;#Mp&l8bWY=4SnZMl&bjp2Nm_D}z zRwBBy2o_VI&d}^1S%f0$a5I(sJ(<+%;i4$1WRs>L`0wuKWR9uhz$Cw|nWIrg<>o5P zp(L5uN^;dbBR%1}=bgP>V`J^O{H z;c4csKD&iQtW~Z@8M|F;NBjk>wlu57eFy!3A;v(|2ixtOt&;6Lt#wlN(-v0Hk?D!z zmLoS=Gi*%qR34Rws~})Xoh2Oc(P2l`lRPDsf&@ps-TM2KHp*6_6u5h}%6@#cMI?|B zO1|-hhUSzAv;{;7*EwM3RQE>oOgcQ|Y+=1VGyEe9yA*D>7k^E{69U>Nj%2{X`IZ_K z`)2a(c2aFX#e!GjuGMMwGtpi|pk& zD5a`Q9!~EZj6;)1M%KSvgvbEBQ<_B26r00TAQ2P zE_3nBP+&klDd)`lCS<~RpwwDm+35o9u@;Dbg}$^Qd2r)$S)#HTarhJ0B-w6Zv!Uha zv>T@4@tZvpQzVl0#-!-><@WM|xPxY`xEoGyXj`yGd{ZIvjJ$15g&!=X>3lqeR@Oez z{<|Z_!r{IA2y3k`)HJAuW_CaGVLIfY!J<}*S`wa*Dxr*&B5f|n*a^j-3_lutNO9Sn zu6V}geT5-!nXwyD+a$QAz_+Opnx}&&_Vb_(Q z2Mi)>*RpJz9hs5m$9SI;Mjvq z6hZ=Wy*MhI_p%iC z(`J4g{dnW&q~4VWZVHO6UrI3-cEXnf3T>#&DBNnoCZ9|F;Ag2Y(mPpuX>;2`$;i%@ z*8ux+t>;$lt&cou10nWN-xSZ*41Px}&Z+4vkU*ab^v8rLOY(@*5qma;*Y(2cEx~wq zWiKj6*$e0s3?r=?$9CTb3fyG0!~NA^6Ou5m#nM4P14NvFPfoReXI=Yz*>cfs8N1nH z5nJQ31G}I&*g!Ls4!x!n>Pu0DWu=;$K4Q!~3QAmNBr84L21}1Np>NlS8U(XPQNGD% z=E|0SA{Iaq*0t4LD;^OyZt`n!_4$Doa`0<7rjApobm|6or2|bwHRlljHztLc5K{ijRzONawboBRw@0n zv{0?0iv^Zc;(vbC@SVXjJ4meO%q*m-Zj#;67versVl1~n{m zW5phu$1c(_YYkiL35@i0v6VPuG9#sub*qY|b7TNR?Kfktru=qRof0lj29T|t($X6! z6GT&>nP$N(f{S0k2xG2gmKyg?RX=}H8qH$tFNp*!gbh(~PG>^xxlX$|s4>bC?CIpM zG%tF18m$xeok?JmL)P*pQo}*cDyzx|{M#j?L5l5xTSwY23#w}wyOTu+`U$$pF<;;s z(?&U`3{J|6%Z^$tSAv)1~h25HgMkx)SkISO(QSfGJN z#V>NkAOiv&1EXrnkMHMi(m4$IjT30;IHgmOTfdk1dBC zb{M*r7%$U6udtRXm;r|uY)wDQ(5Qx7A{J?qk;7YfdD2BTGD;YU3_&ns4MiLUf@=UU z;Ym>Tykns1ly!I+hHI*sUC2~RfQ7~fRs=PPddonE7;v2E*sALmp|~PF;7HY%0{>-7ZVhcj zawDTX9a@k@E^Y13hH9UU;E9It`x2~x1N<@aP^P|!gFcJ<_Hz=t9@olLLK?jw0tP}* z;n$54RL^tks@^R7D}#t+%+=>9Ul4*LYjgS?=Jdb0Y6C zyZ~X7zzVCT?h7vF6w+eNT{ZLqFRR&DQyb<{oeUbT3eptKQB0_uANS{jyck3ON^?Nq z_xa0!FDew}H}D#y>iWpS-d1dBllP0`>&4#I(}jACT}s=g_KzdPMDF9kPD+!vhM~Hz z?)ROQIxntwq6or8P~zjJDJ_7*+sn)S#b;s0jt_@3<~s6TD$ts$Y$Gu{FZii~sdnTf z@W~<@z=PWjJACsvKo?rO{qy+!{%q&>eKc}$l$n|N!sqv~u#@_*b+Prf<5ug}_9b)k z#L^Mz`Tyr;`WwrY5B)3omyEH}@tLZU*mX2Zs0!CPM)E`Zjll z?&Sn77w>Vj^yu2#m!oG|%)7IJXS=f2w@qT=i)!mxZ+*g+BVsG;;DtL_acBsdOxINO zA)PCbzyXpT_*W^UV)BqKEbTIY_W~4_t$iQHeb2d4YbOJxM$+~&S@hkllH{vnNpaPE zBx20zuPitxu|OHKp!A$G^H? zk1Jx!PkZ4Sc-`TnPFLZB?UChQz6E};^9rJ`1+&sx+1T8yZf;sJ_ip4`*_oVhu^wDL zpYKD2iF=)bMoj_W!EIL8OfTN2pU%G9y|vKBj&+;eRiCw*7b*77lMNVZ98QA|`$bH* z-FMF~na_FZ^%cYVR}tF73%6pBhUqPJ2ZCB z@IjF?eL#L^gTFq5*JL~{gattJtL~!yZRg32TTtpI8>wL%LC-q0t^M7FMWo0I@SXen zAs@(Z)26i*uVm6ud)aAvRBA~yue;)kDO+Ino zuS^et*AoK>SfBTj{1YbwR25Xw0TuNJ7k#Lqyy{%l zFTtmfcdpY_e7Z2!5_g8sh#t+!ue9XdQ+^>%a|2z8`A^T}E4Urv4%Zj($>4GR>4N09 zJv+m;{qvuZ2gt9xSAqn)Qcat5=g)HKyimz%&Bel=PT&j7wEd^@`j*z($I%X0E{SE= z0k1x2++>m3%n$&cxx}3Nb<8lEOY;26)}1>wI?_Qq7w!(MD&OVdTnXSNq1)cAif6ot zZWAXuRVDF%l&a$YM~RNi>-WAbFX*!nDy*|@vX6_chwh0jY|@#07VNdap~az|RsY0i z6eAn5swAwWE@>I1zOv11Z)RYFnn%!(U%meikzvAyR~b^T*Me@!u2%hV%5|4U$U#e0 z;S+u=O>IqkerfF>cuq;E(02zk_Zv-ybKxz_AE<_UW)&qHC=qw23XyVU^?j(izufZu zL87DaaiQVeL=d=dW4Qs zFW$ApKhF#*dEiNm$SCh)ZL_@+Dk7>wa=*Y}BvgVxQq|Xovx36~rBEXh1tt(<4K-%A ztEjnIxYu+iS^_UL)JjYQ7weg+IAk*$q7#VPM*lsls_NeaVx?hd@64cM1rE!&%x(++cxJLF+OUqf zWw7QXd^^Rw9HxK%s06Qb6hXPDbNw`0htI4Py6JY zV?$rk{i!zw;^_+2M#vwjLPG63(btm9g2)Zs?)JDgYBooDThBd_4|he zg9zs)?$C>r8NhxZGuoj}pH~2CKb1VCPnCD@x5_0NDvZ$h5+mvXy+RGpPZ?Z@5&e*! z`daT>BfaWAYCj`8jPUvjmef7FqVjljD@`Me@8JySp?@}|?AcX~6+!*8h;m}bJe7Gs zP{wHdfKE?t!}%3!NAA$>N>~2)W@G=_!9%%qcaTQP$VpTQeVD|U0owt}PgSVY!{N6) zC~d1fePrk--XZcrcapXM+|YH%g_&i9*AYU%x?-%vIxNMhzzwz6#vYItMUKwZ$U+|4 zg3#8`Y4$_EP_1Q6c8N&sd$u5*$397OXjXhnTtv+*!4tSvR^i|?)7P%BD@^Vuu7DD) zs_a}<1I{=5NfbIgw|W8K9(w@5Ibq*yKoLUq6$rg@jxazZGol`{S8vKSiAVj<2YaGy zRr&wpg8?IzVaqDZDHC*D3(KnEjOG8-NCR2soumGVtQctmAI{Up8M&7aH_$CHm2d~% zayCi^ZeI%~Fphx+o@8omr5v0jm5@h7j45o}7nBQ&-Gv}LRhJ$8CxMyijOziK_q8lO z@V}qy*#qzEC~{Ok-}nUl{!}!?eKy0+(q;yGHonTMbREOKo2%_O2D1d&w>0ILN?u^7 z6$!bStG86%S()PShsdg$>)mHRV z9`akEx7C;ajRNny@;)r9j$y_uIMv!YEDO*7N-XK6eI8HJCLDM*Iy+^c;GB~se(%!urpWpt;DdJSz+#J{x_}X zoDNolP;6hw(^90!ZsDM;$G3hnI>Or;gY|#dlh4(E?djwIDsF$Ny+s~|BJpJPq}aVVQelKxkN7(9OIHFCPvj27x> zR;}B-zJisFLtQm>m01*SqFjqwC}~jL);7}QWU^%3PE#CpQlhR?85e=YIP3=-mn#BBiGpLoJ^v0|2Jd>a~&e%=;|IY7Hm&hUM`31532c z2)O4uGm*zb7L*Zr2}7111wWOgK*@mJepZj|cui;+YvFhQm(_L_Rt_2guV#ABA@+Xd z*dSd2mz{jXHMMWtHL;BfM$;da8U2v!d`m8DPU)OE=q(M7BCr4KO}Wk=f!_GfUZIy> zEtrj@3M+b{7x`p|O!+5h(^K3EMv=n8i}ZNze_8Q(MzF)50NW%1-4D`k`{vhO|DV zL;d0Uryw_NEZBEZNG0FudqvS?)YWjQADfEAz2Xn{7a;$ob@uTc!@oI?Pc@=Jgbh}q z#=L@DZPJr__hZ-?>c3DQLw($cW7n2W5?rmaD8QmK*13TMG_ON$Ek|-_WW#e5Km4J6->4@ zJ1k{MkwX6?L+D;)A%i1}T2HvZrPve#O9okq&iz|ZWMp=aI9@&}G2Qa1DqTB3oc!MB z0VO&;I1#_B-8F+%K%OWWx7S$torz;aYx$cNA4x(`M~+S8)FLGKx=8ukzAO;sf}`ut zdc38wNOBiY4ZluDUx#z8ms=D5Qu|>yOBXtBU9Vp{UFLC{0}=Gj1C{%&zjQJ&;wNuM zQCotLt5u^``)X=9%li6wRMGrsjL(=I+}~?b%xF@9i=+kk-di6m{9EUPKY+6r!@DF( z zRmlDrpOp%tx|qs5pHH&#hGFTeCX5rgTs<}Cr*Dv=g;+H}fjyk!F1_XorXoIsK#S;& zt^j!I!tPh}B2o%T#w7JXgK{I*iW@A`WS#X~aC^&88hK znm0v=aa^V`&9R<5RVkZFR1M3n#mpmorMW|N=L;(=tug3s@`j`N%E~BeWlJ&q)1KGv zeaE0(Dq^YDJkc^Vxes^cRMBlIN0l~uo3t-=m5EQ-3O(pd`w{Y?7^fFmK^Y$J? zd^}rtt@nXPDl@jocgzJyVs_?CN#%tTB(Qc9bXpGF8|8&;h$G_n!YmCF#IYhOq;SeZ zTVx3%C5$Dp${4XGm~^d^q;bk<|481B1JaNZ%Hikbl&urQ@yaM;Ic1`*>C6cggmptL zF_R79#A|xLjmjlWk)()P5E8=t_K?Mz6U5=?sN)57qa0w>2G?a|PFqw%}?3=186AetR z#aFb6EnH4sHT;u_nnWoEy$MT=43VuD&xxA-`-U;TW+9gTJmEGK`YLH*d4p%-v4Q4l zrX0E0rCa#w+bnuVs9NX>P8S1x-|HFrxuC7_KMC?ClM}IX8*(gtiXcN#t zXd#-Fs~AOHL7_&FWmA(OOCyTBG)f<+teh+^vcytkOG%_?(mBdTqidTXQW1szr}WHm z0$Nd|Ntj(G8Ot<|QYGFY!qPZRph6v3P_3bq2tch^OqsnVjB-WI{Y%k?2!E-^G2%cn zE@EO^vdEWur|Ts(NsF`5i>?jIbmXr_)^BW`E6)k{jIM3O?l5iI)!fhQ5yO$40_-B{ zTwI)p>w35jUN2Rgx|?KO_k4*Ac3c?5uo4+@<|og)VsWt=48_0Bf)U9<$myoy1j!EV z&F<|MDaeXwa5W_pG)zVC70&i7Dz<3^!OBC0m1UwK7>eR5-0>D`eOC=daTRj}O5%G` zkO6^o6e*&3@rLCTN&A&K3;UHN@Qz`B8!9rfT&n{(ho5KwY?S?*VHN{|!s4f&lFm-M z0@07}#uk1i7uE7MM*#!#Ml>BI8c@HMv%&r4J03=XZ?(DIbK=>^VyXIPUm(k#nB*^y zPvDkR%*NpWMBt1=@egOhWRGaY`VD=J33ZzvJn>q$cklXB`)hSzshFu$V%E{|s6C#e_5iKfWw2_Rx|}sD!iv zKbb;>{|Qr5vV1%qux2kZ*wfdXt+ZJu-@-lhI{ZYUERsVI_ge80dFaK(WoJ+TC zQj_U%+w;Zzrsvg3my7pfY{rA~;Lg*Yp4Pv(^%NCHw6u{1ia27D`jL4%KbgT$9J3Gf|G{s=^S{@usAs&rBH|!5bEc`qY!a!`43v-Y{FO((rbFq`P6wJ>&B4`Wl z{$8^9Ix0~-6e!(WvE)ubpMo}vKA21Ey}*~083r@Um(>LJe@z_0DuXr8y!fSr5Lr|` zpb#Cis4do|zqYL4KFb|U%GbB3IUO?fd(U`Pls%M*=mL(QV z&9937?56q*g<`Rde?!0ibOoLu<(*fw`X=E1QMQUYho>$p5{L%+rbJ2@q^oJ)O_6%jjF7$zk_4LW;?r-hfj$VY9_j^E3y@3GY zW53_0of58IL}YE^WkkAxv(@cJcBa9?TgQyd#`0hKHM*IZ(i@b)r}8RHE#~AOPtRcR z&w8-wS8a`*dg^Y~HtOezq!GxjEk0fqHA&(_ms(5i9IL$%1=Ab69VG*;Kt(^_tG+iqTOk zmpujBkQ5}YD-!WYUDw*QVQ0#;qNVZd0I2#VS&1rgqEZSYq(hGqfHs221?3%Dgj>0N zEc$BZ&=;x}ercR?_h`m2VUSM3&4QE_lK+sXJugO4U+q1~9^|oM7BT?LD}WolJb=M-t$V?&kmz&8Ts7bNMh}1Pd?cKT@Bj~5Fhezyud@FS|U7*+tHqj zwV>xLKDJ#*PmG@2oEe;K+u@!VT!?B)C&OF{bc^9_|4USEtLi3W+==z1h?kyu`912+ zc0S}r5>Rr!>56uq`C3GD&X;ntt)`~hTA#zGys;2aLyt$eJ>?zjr^Y*;gYRr2^)=ns z)EjjtxS!# zszffo8)MG4EU{T@XxSIc&Pr{n@uR%rYHJLd^=U8m!ecP$?GY4LSI04H!i)>~1^IFQ zYmOAOwMy(GSLvuH&g)aVJk{UW^e*YeG|Y9>7~*JSh~RPpemBt=;y)K;6BO|VXfB*A zb20s{n3(wcuOHn^AqS4vj6A_`7Rpf+vKfvFq3$+_WYfQSsD&@XRoIvi{@#s1wo?#V{3hwyT!-1b#Sfk`MUB|CegT)gk!Q2h{fI*1&y4OM=L;52= zFE-nDDta%01hio4+Li2|GHN;)MGo|9X)s1ZZY4#w)hlTbM%|+NMHp8uq`$Kyuu@z4 ztw>*nIAOi_DQ$fPyfsSfvPAKzcm4s2rf+&_gKvvr8;!A@n5ZQcgzP-b4H`yvo0_R_47wTw;M2ks_9d<*v*= zOg_Tz7tbsHXpZNy2H@)I5^uz3tcR!S0X8i_l~ zs)$sl5?%BLi0^_4Ze{A!k&iGb%8;>2W_U+Aw_2+9@=|0~;$(-@CUl1@g3G+dOLXsI zb7WcKYz3=;(h6;XtmMVIfk8370$ceK7MXX%(zNha({grf-}>`ho#7w{2M1V5uLzvTw)-GRxUpH{IrMVz-64UQ^6w zpqyc*-j|UBhYp~->s6w5O6OR}tqC6Zj+G;z9rBTB5Wr`>*z8a7+L64^aARL;$pusE z-o1qr){oTzRuMREW>^|;_gEWgs~q$D>|rfZIh@?W4avt*)~j;ta2Dz-9+^w{&%4$g zYD{W!FNkTeBA$NL1(QM1aL2FrzxhDotjG~fMqsC)#of2R3Vjit7s2`H-@%!&o+9f? zdEEo9@$Re_>H2ftccD7`gq!L&BI_=o3fa(^faE8(dV_QTz+Zi3d|;9I(yZAptw-p3ULrvv3?Jd(nY z+RaJM0rnm%3Wll*tOb@#3O5S^Hp6mBj8|&Xj4%&bIHmEW5$j0+9?2tZlvkKiOaU+)}AzuHv=02Ho zPjT-K^`8lNbdUwJFeu4*q#p}*xCR#Dae0n3aYKhSnZ6A!Qj%V$xcttaS*JQhvxJ=> z6~s|ty(e0S$msvFad;&15M*Ao#&-S{WCpz;5cswlgz(S{_QSWcRm(B4+D|<>rUHoe zHJI`ma}GHRI5K|Q43PKlmdxoe<}UopcCWWo8}vt|64IEr(1u6TUy93=AB^~70ao>? z{oi27h^vaV&AV_ikn>?Km}?=>$%XPdFT@yoH!uKI>93)a4k1RF#TrB{53-1?S`kyi zw2?}9YNt+DRPh^2W4|2BD3QHn2PuA`Cx)&?ZU zf8jg3FYL^o%Peojp;m2l&j_j{oqBn@sO+ARc0Zgj5dCsd5@|nrMWTOi=yY227_nqv zF*3`pm^#W5npc_j2;?9m%e3(7?}4}Aj9^Z(r@!4?YL(;YI5bWYgexg*)?NU=YkpIn z)FwJ7AzT?XEn&D!ZY{?OgE1@Pp-qNQP=BVs+cLxwol$7I;i^B-al$l#o%@3e7^xAGCjj`EEu)Oz1Tl1XoAvj?80 z#ZxJ0q@IOhYnRvWw{7?vLKs=4{X1Ja-sO&Jv_oWC0!agnt+`6;Fbf;O#e!?%KM}kJ zTbfniSo<0Q)d6XZl4QJ-lF+irhkUk|;^$pRJ-jozm4pcn5_-$JPcV(Npo4OryD#VO z?ac5{9_fzkFqnLp-UdFRXEzQ&l5op5=}<~B??JEFvwWvFd8$u$k=dSQzL~WBtdpe& zrs72ThXHhNBOWg|o58xTB>ILOo}yx;2W(9@tA&Ft8z0*MJjM&>)@ADs%K*9%4(v9w z4qm?NK^8}Y%w$$ioP_RDG64U(9Hno=>CykSnAh~9Cod*S`Mj#ywj)nQ zJ*PRFNi4?lYCg&6`1g&KSxnQ?c`;2aC*k*cJ5ge@r^Q5JjHvz5X85LNSJRP#7@$p+ zhq}srd3lIMEX1*z)${M_LlwzL=a_TN(!aNY=Ca}`T>&44(g;U|Q5BM3lEfa9epGg* zVV7uY`zlKH`j6BLlQ!=>@;4UHuUIaI{~O$biQymW;s1aru(5Ld{~#2QV{OEd9Ds&{ zp}OSRtTAfRMeRzEkXf#5FihtvO~vhY`cH-6MIc0eBOr=v2#D0GqMC=8R2ENX1GZd$ zezvatS^-)Bn+(9eWlyqg7krmb{nN*7Z!9>j`|m{KLPr=R&4vHvt?Xb#{H0Q5;{+moQRrcExySfVr zFSVD#R64v!-SR6_v5_Suz3$2t&W#(s4i1Js0Z{MnLl^f+n^et#Lf6Qv@TK{sg%tro zs2j8QooxbjtUn(>j{LxkQ8MYO$SA^z5sBXBxE=_~`u2)ppNfQhKDKL+@g9_@&>>*4 zxxA-$8z!~w2c8?%8!PU?Z9XsDfBx_b%d=kv0WZ=!B+ z6p|BG=Qj{?UPOb((a{~B`_$3fd3te)`F-}@yfG#ikLV#Y3q@GYZx77t1<9bAHlmuE znlY-CL#PJotTAR-j7%)*oH2Xp?vxD<)WNpAC1ZnVhHbV$`AIzn1`2!cdklE;oy5P6 znxo^ynkLUw(}qPs#!uPx-2?LTnVv#Y(5IhenNt?&wA#Cx98WqLhAT3k!WKu_(6zhH}a;WnV3Pdel_gMK3E1L+5KXa8TL=s)nu{}DwDjP&#@|CczTQ?oGs zM=D`tr>7G)u`n}t#%ExrrxUbrc9J)76tc6ix3m3^Bg6g=HS_`nYW!#mq>pkrk_Xv30MatDRNQJ<>PtngO~86H_x&vzNxg zmu)XKYSf7rYE`9HO&T5!@StTk>YcNth0t!0xDX9`B|JgS5SWzJDxS!r&46z|voQ|n zr$CrYdP5I3$C(G3x|nX@3;g@CW;#;uoqJKgpX#5VTJ2LVgXbLIxu~GnF3=b$9(?KA zyBuGS0=N`j>6o(N zvmnz=bv}_ZLHcDkru|h9)mh$hxloz-kGm0HGKqwT8!#WapSz){C3LcKp??C}*gck* z&`?M;6UJ86xdp=`oUhio<<^iS`q(U`B%CwUuAneYdRY1VY;@tt<+3KV(L_Wvpn-o9 zkoQvH=`|qVL({2|Q529txSeVB(vDCO!}!~dq_W;Lk_aQxaA&+pJ$}% zg_r^9y<1auMikt#B{L9(FG#GaI42%+h=V>-4;_yN(F*}778$D!aM?Y;$0l+tEG)zt zNKKtM8k0)K)9LP1Xt7DM!>33Es`%p=cfft*zI+=8BvKG@Q`#Uv{ujDfG3#A`8CU`c zKiGGZR96LfMgd-NcnTYV`4T7&KERN@)!mA!1vUML*m;%x|`en-anv1*#AO7x$0jq{hMD`S@!Peu@qBvUBgAi54u>&SRvK<*}&TNKFe62;3y( z)BGjIgz;ff52H8Hw|g+lglb01#^&qVz{uf(NA|9xrGKd_cjhj)gOtL z_^x2tlnBilRRO~It?k5oblMq)^9)qz5;qTqEW>%h!uTaj#yH9Xeh$@ z=~yr|`QeeJok2Y8ya4rNRR~R$mZ#(=n&GL*iz=Rc?W&UFjr{m^?(iX|LV{sr&9|TX zHJFfR`LoI)Z}RyR;|KQag86aeG;xbN<;ldP{i;WExn9X z8-t2}nR)Sv%VWqa0>n9@lKPh&*s`M+!sEz>7U8ZLQJ+i84|xCcl5xz05_Egv zVAJw435W^si*Gr#APghOMH2X^=&ieIio+b4pXW#~Y7WYq(h4kWj!+}RWa!VlaWf@8>r*aOkh@aYEPfOXA0Hp-eBUa?5u1PYMCPQ`hwYA)$dlL-xc)?p%v4WHwQ1!%@Y%0@ zVVqP59u)t*S;6CA+9r>YYUAZ)xr4VS)+%dk^Bec06I5J5v+vZ6J)PdM_AKiNBl@$Z z1Bds{;UH3_S{aXF=omf|c8cFrp%P^jnJ>=1TDz}PwuAwtOcLl(59 z<%ESDu!w1NfKTg?VChaduX|xWPaOt;o7^RW#HldE5?<$Mf*X zv}Cwy6s6GPB+wxtQ%DHbNlBY2*A5pf05wWh$h=8YCdN2!1vu4?MXMS$!vh^P=t{P7 z;f&`IHFA=md4V-lD9-XYGkqkm93*p!lnq_rX@|#t=+ol?t-`eS!7`Uz7XWRQm_@VC z{B;@=5{PKE>c!?o>n|#SLLWvG*BL^+`j4!asZUkq50sTIC-;5l?>-ZTP~O^tab6aG zQZ37g5B=Q|Kvw++h`oE1E8xvo-@#LBB|EU%hAZ3Ei`pcBEJP9Z5z(8Of#GgsgY_{l zZv~X6tyN65RC8oxWJY%VN`QE_Cc9}$Y3OzRV1$g!1KK8`2Gxoyv~;Fx;M8kOa^QLm ztu&i2{bQYzi~I9_Ca`gX)5pQxl^deuI;)HOJF?tLtCUWTuZMwM+{o*K6w{ z>m;;d-5M6($eHt;Lw-o}s)z-sMu(e|vo>9*+8l!fUv#rt{q4NT~A z@>p3byYZa!8uf*y=l6B=fGW)D`;%$c{q<~8pDgz41x~N?dnK|3GQRBN$M@@<@29xa zQx{vT=GKH!8Wu(ZA`xbik$x)2ahhNy7zd&qrjZ&DguRIl9sCJ*xLECg<(U5D9Ck2R z=7q7EqO6*1oUGj-nII)=;+FWS^d{M`#k0LtM`7Qp%(wG#?zQ8U0V7(Nis$=!7iW(f z$g96N0zxP8dDNQ|lADPb4;^xCeA)r>FU{?PeOPK^<=yFJ6&pHq2$CCP+qF*i8cEk) z!%4^WP7Te2N0c;PZnM4kR04@R73(!9Z(hvkI0`^lJd+xN#gg&7gVof6B zLzYWC4~&Q0eTdA8W7IN##@4v|tY9`@1FVhnamWlT>ZS`Q_hSxzUo#F*%xewRBp%rw zejvD#Gf~IVU&Gh$ZiXk|M>3-06XCM3??j?f>2h;pT>XfS(LGN5k6EV1#{$<=FXa$6-N5v<^9;$-E(@neQp4v}@n_qiKO zma4`CREp6EJ26GN2R^V?|Mr5l(QfU(-mVu3fDK`5#B+CB>+S-nX#YVR2_12Hsck}n zJzi8~n#0k5VOm%=AO%eQiI<6_Pm`2%{F(Kfa}9+-O0x!Txsh1BKN^z38;7zv`*}?z zquZGzq_0~4Am0d9)Z{8p$X<9{1sp7QsE-6^FWcrF-67vszqv*4FzGCf-wZb%&7pR$ zIfxjG5h+UohBcwi872t57*MmB@>Nr1t_&Qyt1g59uA7|!2GErkwQ z+jZg4K9?{lBj3oX0>9TZR6oGL8C8=A;M6(4R2 z^jvCR3CETP(v&O>1oqM|^dY#NVv`TLN-oZI^)fGWA=U|@cT=(bR5qJ$1nNJo(++nx zs6voQ#=lbrpEGh_u8Ih) z4B-kQgM|dTsk}?o^X2H^?s@C@*%kOXq06JiI9g`=O|n{LLV_LGv`9_9R0VMqAsE@Z zT;5T$M!=q-Q->s^g%=M~EQ--z6b)>To@k{*ltI!Mzh-DUt_liWkW?OpZ3f`Uwk9OO zF%5-&`Jsn8FJjb&YaU7%ofpweDA|wfoRe7^OtSiuO`$TDxMYdq<$p3|YPZw+BVKLzQBD6LAS=tlFg|T$D@9Eh3OQ%ecVl zOfF)uhMLUri(DeZ6cCO@lh};+*4o;5=FZ*i`E`DV7Ta_E;`ea=U=gL1_$xlCC zV-5M&Q_up<+2F6&mUWXpRzN~R5$l4+h?S`;gUto;vMH|=EO(2vYD2MVzKYiw7-JW{ z^?X*7JNn!ARgX_W|f!fN)$|mG?z!K}^y=w~=fhe;}Gui-vZ}=Ie1a|CzVrCjvZ- z7-ZVGU%WC-qDJ9F!-^${u~fkPaM&I4mqIOrlO{jTgc`;?VE_!D^y;CtKh}Io(+wp8 zoz>C6AHz`Jv3U#pmc|-Ys%?+EgNN68(_*}zkp0nj+3XA+c6PVxhu727*H_WrW=RW+ z(pZSIoUbXg5TPUm1#7Iv!;GYcvlcKz2u-a&lVgMrf+v)}#p=22=VD01l~mo--Xrcn zC*4rrZZCgy$DarM<@I_t7(cxIAbZo89>PMT`qHB(tq=q{&`#5+aVlaj0;N^2?!O1B zrG-J3R2ibANjH*&GQ)%-*%Ypfotv<#RX|=*zScI@pq#7%D*Q+$6*XptyN<0->&4uK z)zRwt_VCg1$plJD&BXe9T4!1q-Qe-c1|6S81%a?|?akpg|7IfVSJIsYbm7Vjo}ad` zSrJ9I*vyAAcCh|FE4{>**%w;rTX_067Y9z{v8FAy^gI<6St4c~qjrpN{7lB*#mupaU>+7YZ&w9Ia>`c-o0jJglH3(TnLj$OLpu1_ka$$Ytxg0V6bI}|B zN+8Fdx(0!&ur#*&!!`&-PY(C3%Zo<{sQcot({pne{lUS0B^{D?KV1y~6l*0$9fN>| z=AX~K9Yx_;k3m`ifz$6gT`OKIEpx5KBI}q8x0H|`!KH@P#Ide}T7`E6;fp+HLFYoX zLMZgZK#jGLy~g(%1w`VVV#G>*mI!<;k-Z~5Te=+sI#OcA>i&h%rU|bIR*zTCC(dKn zo)?awDx}M|d)K3h*Q#UI<2$e0;TS#|VSGk>O?;Y3gV{yXmMLgnk#q^iUrp5rQpGqc z78{%dDGZdmrbLR0U!vvZ;km`*o#Wx-;n4TUvdOBuJ~zBjrs&3O>5y^1sT7{$JpNGE zwW7leBpY09O*WFSSp$}fEkHT#k_)MhiK!qN52w=WcCnz24f024^sW zSN*w^b>B2fA5356xLBTz)Wg1maopqGg6nDeB}8U970*Q@X2Q&Ymt{N+pky5cOl*-r zo}<1uAvJL!rJA337`@E@ufJ3 zco%@I(gKd|-zx@km~&2Cm}a_VpFm z7g2Unj)(o4RB$oGkR#5k2A|C>ksh3o+~`gi$K02#1=OC+*7q^4pqJ zo!o?RX{3+MO6m~|mm5Mp4@oLC=58UTVt1kSt9n~p;a#c_Y{ADA6z&&lv~8k5c$rlH zRl>1?L_a3lWwKSBCA&FjKFfSY23-DE#-p8kM84(#ZClo94vHdYKH?TeTH@f0Y3<2v z8gPdeqllLT0(CX6aW(?225W-eohuqd#?gv5zP)x^wq|drY)_|?NPX7c$@xPNQeK^$ z*hwJfI|EYhllRy*v_SwlkQnt2aP=M<&DulnKvN?kNbsa#eFAN}#z?AaLs65g0QwaU z>%&B1J$CCMv6ZX&66L&$T!S-wQCaB1JT@EhKC&7oh2JTADm9FfsZ^=RP}1Z~`M5Ie zX&yyEzTYPUzhq?P%t7zJQ7G1TA1%kDr%u#nC%9A!XxCeVWPZMjAO~d*Hb@-nqUszi zz=vv3eN?aq_f`%u8Goas;X*X`VybFJH?zvN9Xt~W@eJ!sWCU6NkvIg2azm{^xC_3m zRq-7&NS+>%AyX6K|Jbl^e9un!(i#K`4f5E%5&?z2T5l>+;_rz&r&w94p(MeBZC{(L z&T>G@U=U*gX1Z0icO3^f67gg;%VI=Jpi-+OQ}WaHlP_4mAhRYD;R2k0R9`>lqt4Fm zieI{|FYGKVtZZ#=}uU*^O8(f@kC zG5~s^^kdV3gWu?-fb@6pRY#%Irt}X`F?xvkvWMBzF(v9D@ip|vipenvuU$%ME?94a8g&2zPFvCY%V7QaEA=K?Bt}bR`;@EJUrsi zG4(sHl8>2!_rrzdVtH|0(?`ePVGIyyO@^zZxyYmb<}9%IkTwX=Nya+{j^m3oSK7l2okin&1_Nz>AsA7lIbqxY5Rg!${t!f?M(*W2?{ zVSiZFw2DawRAxVMXz29#y}tfEIJo}3J~%iybl~1A=XkpN`hCk~#p=9mbt)|G;*d=y z2~}8LIeMaYMuk}&Ww&%3H`;(~2B9-?30sAwDBnIe|TTcwbpL zP;#t}t8^@kqLeBH)i@PdStYR-`eldBH6$$VU;r_?Vk~2Lybd>N@eq-A4mY;Qa~i}@n;8Q2=1{$t@9$=572Q`{h+t!b!K99#Nu_i7z}7JM(clg^X?ZH$7s-u#T8PCE--Gry(FJq81&!lxYR0_h|`9 zu35{wHYZ|oQsXm)w?VN-PTZB#=RJaH9R%WS_+b@hW-AH3_Xx6S&#r1RNU#tD`~30| z&=ml9O35IzGrc+4poTf+M3`owZAJyVs2>(U5B~Z$phK<$hy*&o9cJRAa$II70PGV` z#&Dznlo4%OrLx5+DyF;b_Hjlh75JDydVmCi8+1I_+9JqDpf>;jKIoTZKkH)VJPrl2 zQbtTnbO2!1?rGrK{QJ4T0$z!^Gh#~569CM_`BeHN>+CbXzy*;S zI}&s7&=dIF!&HZI>Gi$;1`x&tD?9axfdjZD{N0By%*0BZ6v$4$cq-wwkY)7Py?l~l zGz)z{_-Z%e3-6IGZVUgZ`A9RLiU2~=waOJUU|1?zE=g7R>k$-#WT(3m_PX_ z%^4=_?GVt@bQ$x{;GN7`GA*t+(xh0}&F)8K{e>?hQ{}pufp;Sb^{S)DI->EJI1|+8 z$Bz0^-{mbYSKdxGEf!i8nMsQ$+1q|jI&ME_Vn5Bp{>E0aXvsiGrKL%0WAwDvDx3dw zqf#3t$yOvwT{o=CuiAzm{~0QzBEQT1sDGAmN8z;K<65&ONRmENpj9i?(re-J#UP=4 z*Ir=tEyRA~l)c|gY3xk>;ct|(5_Yo0En588l4wN!nP+py^V#Dzk5&$kgnV<*qC!hT z^qXgiTCJS7XQFNCL2Zkb0v-tm%{E!)@@My6pNum{3A~5M*uKG_DEnqTp{D0Y;Yiu$ zoJN4?UGjh~jxOA??dUF|*4xGNm8yp5p_ke(MRxK(Xl28Nb%zF@#MSAQ-QI>j^OWIb zkN$c*dqY>TC2x$Yh%QYqa;nvg=Y+N}p&fPkX-3~J-Hlt9JPP0cnO8!Y^lRA=X$cFfR{vV1+yI9s-={Tfn8U#Uw{{j<$b^lsiQC~ zAXyD)tQ3(T(NrHJLdYS$Ox6*ci-CPXG|T$9Xk~P`We*bLS!&+~)x^P*QEnMn(z@?pDV|i*HdzT=LaeH1Tz51ic#J72fEWH3(R z93L+3-tM068E|k@Vwu~=+3>8c70GQRPgUc>4<);}Sf)h(z7?yx6h$~af9@F*vmEly zP=&NlkDFX7APqL^Qd7#_kvWSInnQlz-JMt+9}X>>{E-cPy%Gek%TvgvIH`!7yjU(~VjF=L}bOafn|_ zkF`tM2iS61vYgT8$7o}<%3+Dc9UG`uj!POY9J*WFIz@)679<&*n(VZP?+R7Hi5g^H zDr7p%%#^aOi14Yz94?m8$)=U(=aMgNr#@Fwv0mD*xR)kEoXxj))aUoYEnDQLC0x;4 zPC6oetQ`Efpp^T@f1<~t|8Ravq?9Hk9#^85ucy!=XDW2I_g&AnPlx~ZG}Mj!T1LHe zX^t+-XbCgFUJyCu8LMs067>}E6tQ=OPp)Jg(z*S{`JACWTR5@(Q zw)tCE&8hZ>ThST!lB%dVIN9-Hh^Ms6lsj5y!F5ZfmELO8!+4F>H2=dpY}K=EyK)wE z&ZC2?KmIyaybK!Tt2X$UuI?-IYP8FmcZ(?^fFJkr_6`WDLoAf<#_9dGhyabg!(1$!Rf?I{C3vrTJ(d+ZwOy~v@v{#{>|!!SI>hVU>Y}amseuz=FHj91K@22N zj}GJuh!!H(5(pn;t3HqHCWqxf-6q=jRgZAsUe|R19}gNrV9^<(hFP5t$_0z11~qwhqx(#k6|hkUYB**>uO`IoNS4QrDa7s_(xm& zaNGM^Tl@Re-`V5{p`keR>E}n1_qO4<(UHL}F?%Yc)+8y?cN&qYzo?!;C{P8RIvd5sA4_@(2xQt=uoiy@>SifU;?$MkI)!S*6BLwt5h(J)8t*d1j-XyTEwE z)D%6&5FLiZ#8K2MTc0}!_o~IBJ>R&=M`mSna*8MhNd2lMG)zPe$3WPmMXL&B?xF@{ z`XlkYWX~|>iV1N9%P(ML3N?K?GK2*aAsDC>`aZD4Sv@%%d8V9f0wLfEAO6T(Ko;C! zR4IS?LZ3(o7}lN~A6OAkR|Wi=-F_(04G>mxtR0b-Qt??3Rwis41v0GkG^}*2X_l6$sp4=jVTwyM5t#%f3$*f_ZP9$B3E1Crn6$;tD=|x7x+v zXDa;tAK0q;*{n}@0S59yNT zTy0%QIBNRymA<&%tezacD8()FI8M#bO<8^WPJJidoo%A{2N^l~`_e#FvD8z?P`tLH zdz)6#;;u>@J=2{yeRdF-~O{x?O-FA0ev-vqS3 zi6(wT$M?w}tj3l)?CObk&#Hw~7brJ{V|#a+9r5wrsRa_Gnxx{A;aY-Y3HEcPAZAH^ z0u+e?2nlbyHczQiMN|HGo;UvU#hMx;Xtsc^1joR0r^MpikleO$9QbEFPA|j(f>;yh zg9K}N>X=P}_4OE4L=Z57G8hOb{Foy2A@~76p#Ay*`e4> z-0lrqGa^kfV@)h|>X2VPsO0z}Yu-lRU!U1uh{Epg2$p6L!vwU_f=o2Jr^_{^36fWV7dq8LhZ^d6+Q|JaBh_mlJgDYanIE4E6i)<=9$Qx zadGS>SdW1p+EG?~BeWIgL=o_Uj*Lu4a>XRQPRK@e#C)po;h2JtU5*$W0^<76rb74i zRP`DwV(P8O%p`N!rUG^w1amb~ch&Z>hU_fqBqFm4&HYLwFiVms0>C>Mc&MSzrC%fU znLe64Dq533*OTUXczCjCt4DwFvOn+Lga2y((-;}KwwAU~sPg_({`BY9-KUA6;r>*A zGq2bEb2Dp^N%gnK-P^@pUWbro<>vb(b*h}pRn_vc>YwbXiH)wudbS z1PPPU;y5(2@66dLq%5qT4B}>CV}Ms4IPCG?1A|H7Oz;6sSkP!gX`=K~g0u}4^l`Nd z;);UX^cD3@`h!Xk7A?7WEoJm~n@yy&2xa_Qtey z6$22;7Ig4N^o2B+7RI(|>Q)yPB5r*(?%aah)a}SN6gzfm6V3{meV?!+)3MRlka~kl!^||)zv*7+jv}B6J> ztd8tw)FsjSX(%(-4@)b7&e)f4mK25_j0xeYPgEl6nwe9IAu2nO0*s1&c7yV-kc?n+ zY}bzZI;VgDM;FKkLz5w^^#7h$AUCtm#tJB37*j$IufcIo%7vqz9xtRBMotPa@U}Cq zbhfgwad&?UZr7DeQmK-T{$uUtVqjq4ZEtF7`p4pY=#g^yFt&kvX?8`+^{s^P&-HVo ziC6t3HGRbk&pw^`QuccB`Y!3z) z?^NxKkFVFU;L1*KBJng%_id``g+f-+s*M#l%EZ;UG)vvg%*;gW#A|A<&kB|F_0A_L zu*svNE8Qa=kDA-+VV5P~#f2Fev zK_nF~F3lqGzxmL2r!h7K4629FFPV2rUQl2fxy`7Eof+L3Zjbsv3&3cTlf!B+57NZu zlv=FD0M5{L><{h54=0L_kB?JEpD}piDJ6Al=yWwPc%3(r4o7EKOI=DyTUmWFfthT@V5S3tX*ea#cn6w$y{*Tx#=S2LYO}$xXw6ML{&p#^d%imy=l#6VzFfphYdlQef4lR>1i0Cso}-$h zM=BT^no4^%q3Nua<@tUX+(bXdOS?upGqw~J-#!>a%GI*(Ou&#(o_1S$x^;_! z{RNzWe4$Gmks7AGgB_k>AztAK4uYd1y`V*y2}jU{Z0bEE<`W*LHKhn;4nGPyrvM6; zquv9|;+f15uu@Zlh~$N~^3lVRPI^pC=D8W+S@5ntl(>Unr&tao0AeSE9ZQpsUh7Z< zHO4KS=kQlgq

*RE>Z zbumu$RrvJ~4$u2)Z?Z2IHG!AL>5{d{Ea?si4kd1hx5jS}JwbLxY?tYh7Xu5MPQt=y zrBatm^UC2T#0w$u2{+&crT*>rlffC7??1~QCxl2&O>zg>6EuR0BNj=hB!rZIa!}x2 zkAj`!bHW<#U4KFhlSOvTA^%WS9gQFD+Py6xN)5v9TVn(+j3`V-!LPLloekn`22Y41 zoFD$}pvzn>4=lf7pbAsJP!=IrD)6Z@ZV{~XXC1Yhti>9cmk+wOawk{71M`G|0kUAK zHmRlg@h=jwq{?@I7E<%z${^2XIBk;Or!#}<0hjg1;?XBE?{Oujy$ z>-^B#kcH`^{#N+pl~;0p;k~ML#D#Bh1fxN@nOwklW8kZ=q1)DA*YV|^RHI{!+zDky z7IyiDZWgCo%}g@mVy&XkAk9#$bG!_pC$4MO@0)HY#&J5whLA}d#uJH^Cteo?@{pT) zGgDD0?c-EvX}Bzzwb(G^!=h;MFv{_Ut0JobKy$F4byFhu?JG}}Wabee0DjcgR0&1o zyqU7NDgWN4SBc5}~Bk4k2snwSD~Z zWol5WkX-D52(Ad=NNh%!VQj~^;E7=8CHzYH#ln06t+3>9Y5a`0kU+KdgZ_N&n55RR zoi+u3HiP{`lI_i18-k?0E~hS}zencJHtw`4o48&J6iPe#JKFWu6PG_fgWusXyH8rM zaog#*x$RD6Uau}5yYHooR8XXiH>~BDxL66wX{51&t($|u9>4s}=7Dj3FC+w*# znKM4pJk^J;OmZiRg6=2i$e8Wv-GdvKN3$Djy0fE2rn5MM=XWO)70MSiG|r~YN7-&T zQx#W;cvDIei@%M&XxFaS7OXOUT`!7!8z8_*G;(*DT{74dFbt!gcDv61m1@mC%E|^m z>h9YqZ+>U?hN1&Ns4b}bKNjHsql5mt0RMj$&eIARJDVFCi`whE(TX@(8#)ot>QxpOt|L|9>8_&@pTOhdavjA7lM@5BA@7 z>i-`PmhoRi>wiq(pX2}iVt<&_|63219-sN&jO~vN%Y@JT&t?6nv;SXg*dM?3e>kxW z_>BJr-2OjtV*lg5{PPh0*Dee?HdgxoLl?$>Hcb40uysbPi2s1FBvhUvB&82(KrC=* zAP5_HwvIlv`LrPP(B9b-RHu&56I5?SGU61<#+_XsFD{(kU+(YMlcwCJshh*GH{Gx1 z$lq_VGUXrVC!Lv_(eKBXYKCQ7?TpiySs&Rb2 z^hEJ`;tYRM@_2WCzdvbn#2bE2byq~Vd460!rQ>*pwh|YIdhsW!vJZ*ANVf{d{$-iZN#Zc&t(v8xze#9on zTb$O4mfdl7Cx=E}gi@Lo2sl>emDtZ6{!D7mG+)Z!ad7aWb;2&p(6-IU^6YP)!eu*t zgEQRQUD>>BE8E#aps8`!d;!duW`JhxN2D!5+)b4(F}->$6-DSbTODSf{Mj1_xG#vl zg?aW;&WfzQ2)aLN|%-bKZ<0eDafdB~@}?YY+x zcfNX~s&^G=EUDAt!#B!!smM5%9ehvg%n6vsNb&OiV3dN$;x%)wBW1o)?p>LvwY^^w?_r*3#|$_>3c^ zGzzaa3`0}z^Ns^coJgee%skd{HOpmLBKJJp3U^+4$xYAx;OA55Q#ep7zTcRE+ zy3@ECZHn8C5g2?IsfW9HC;AMRjETt_E8?os5gpipkSBxu!=Vz5j}g}|$fzJVAdG$| z=UN)a0?U4)1aK&xxetXgphpHb>2yg)1MY}GY=-u`MF~?rW}Dz{4_1RsL?l51BM~VYi_-OQ*{v!!oA!*ZI>B5!Och9);1kWgMZRHoXBb=H7NRj}@ z$z-M$k$l-CKn^cje2q_y7|>KdJxd0V=qZKzrY^-N?Pv;z3(%q*8S?`P55gB|>=oA; zS$L8fAP4Hj769#HLWxq|_=dwV;A=ExIj1@*Vz9^zPJ_A;_zBb!>kz}u9`}XVUl^D* z+hu%YU5324SYg zNL3TCY}d-MyqjpIQ(Ci@#W;y|K$NEIXl6oO`xiq&!kB6EL-74ILJ6k zG$0sLmAU{!vn1FAp(^E}G9SKh2NhD529c#CNVCgzcPbmS)W!Wlu-of>T&&3I$})VG za>;&AJ#uu+4@M$k!57tr2;y!iO)5U{x(dj6HG-jBk@Y&p2J{NuSUUGjN2nfYI+ElQrncC+NFllrE3tzss1))22`K(UtB^Tk_NW1Fm6@~ zP$Axu2$;KQLedIAq7OGyL%j>^G>U-XtJm(_VeN=mie(mnzt5Yp+chS4jB{-}q_^J1JphGcsaVXA z-&joFmlW8#kyu>Ct`XY%qu!4m)l-UK61zNsY{pE!LvLT%UM8a-4_eONV)1VimasRC z&Vi814>OuoR58@RPvBf?i{qEZ(cF{%%Z1r*%K5bOi=YEZvvyzur>n9}OFa$-)~C2o z%dDC)*2qPGU8rXa(OTyF!HcVC8!7ZF0aO6}nt`krMPN~Z++!fuhS`u%Sj^0%Co|T- zUP(`C`e~9HY);Gz_BrDW2i4&bA0q47E2ffx%0tkm#SSg#P~lU8i-}f6W~t(TWLQHJ zS~4R>79vIms-B-BJj3#;y3z*_-G$vh0~AstFY4K=n1i!)vWA5i<)i%r%nBJ91_1jI zzLk~^=zdd6Ni}p>MLV~F#Q`2R$=q9?_{%-<6)zh+C#jM2^NX9KMWlxqZzsm%t~^-0 z$&h)RnU;u4%V?w7fs6>+B$~k$H8kE_w@L~1luv}b4hOx$g-^wM3;k;*fJzNiK@Zpq zUOePr!$n0AAk2v-7v)WKrYs=M;ZYLfDTVfER!sHAlT3QBq{+-;gma_Q%w{SRmJu9K z`6Yzp0NleeEzYTmhQEtrN_&GnpdAAO$2J&$o%mr?C<=Qa_w23{C61E6p?hQ z@ZhZ%{rw_bPd=Lp++$qwun-4GKQBMdYFXPL%Cy`7}wI_n_lNpx>BS9M!h;EC3odW~di>>J^0H8pplzuLc&C{jrd+?CJ@uclS8 z*2f!v6(Y1$ZE{SE{Mt0Wwfn=a(OCFz%+RVMHxY^<7KN;KC=a7JKEFY2 z9P`T|QH`iRafDG|2=#e=p{M*%X)+n}fO8$uo?8iKKOW0FjkVub-LIMl>6MkNGO}~- zuHw=y066#8lx9oMh7VH7=I#v?n1H;}so%hUzdXnoiM z?IubT;RBL=K~xyw^!PV|Y^sYn#P9i~v`E$DIp`#9Xoh_nIbMEhVk;CJ49LNiUFvT` zqbav(Mbl=EYNEC-1x;;t2TcFiu=%Np6X+3c#s#k*xc(??;Slo+i$r$Xz&eq28F#ok zEj=z4&I*D<4_kT1*X3}@7@q3>=f=cRKmXWFs{@e`L*0Gh2Hjn;zA`-nZM1}?4%8>J zhq8nt6_hYX?!9kIRmmtLR+#l;lzO-r-|wX9d9fH_S+vnl-;jcfRQFz8wHj%6{3hL!5aSH<^RaALxaH<51v$F z86%J@cE;4!G`EC=UjLS1OV8s3HRP@Yw63RbY?82%$K{rzIt0PWRx%@ zJ5ER)J~KG+#on(NVmBTr6sS!tyxu=I-y zmMlUiP!qSPaH!%vv_J>Q3@v!b$Zl1_itW5OkLC4#KY!jvqUZt#)fRcW3*NqZyzh?w zOI8&J?P((y)ID0gU$S$Ic~{dZs6B$k}HIMUN?z(J(v^7 zp^cg3l3cTy%H`PB9ny2#Za18B0J8K&9Sc*F5Nw2FsQ_K+hZe)_ zI5ac|3@Fmq3<}OJnp5is9oeeHI*1>B8{^IO_k%BvT@By_{_*>m*R%aS(3lLh093)c z2*r!#hSv&M4sX3}H7iumw{S7whLufb&jA}hpuVQb>B$ZqPXpBw-5ubXU58GrVvW4U zSTsI+KEvjn8^xSy=y3I;!r~E7EShD&5ZBu>8{iAmYgnF9m@AN&-K;X;`+AVykX(kF zAd8g`eS)K%q30>JsIv11T=X#lG2l9oBVN}rOj7i)7E)l31!MOQZE~a>FdU~+K}6>6 zQsnJ&9%WicLLqF^9QL68E|++agPQo2%Hfv&id!w-3IH2IMy0P?>dTfYRz!{<{@jG( z)EQno=O!m?C)JBCOSzQhJ{OxH#e7rmr}+FOOQ@uKebix)`5B2RVnhH*Q2n(R8=i>vkVJO zKTZ#z!a~g6eq>Idx?pi^4v*&X%OeDy<3dSH>G%_BingVPSmD`Pc#gAH8a^uR&3Zu4 z+7nh}y6Ie&LzmvV8R00M0#3~dO0<6_Pc2te{fObTdx94F%pmB8Eee^%AIo0=94}rF zZ~={r88#U4K(Qwndz<^C!V+|C?yDrLfV-r#G<(}?;^1ps<4fLSY3Z zL;O2anx3wf^Gg?6HYStPeo)xd^Y~79pzp=l6cSt4VYwv|)=hpLZ){~ZC>#{zrF(hy zvz9}@YBR#bhNDO2rT>A#PRT4qca@VteXd0D-Y8Wm@z0e^et*3>jn--5AVokp`tm z_+3J0;sRM3 zuG6beR(Z|xsO(+qaiP<=Il%$*29;Fb*$FN=*hEQVysV+NWga@`g0SetXXg>2+LTYY z3Db!a=%@gr+b`WHb~gs<2v4nR=ss1Jo+Hhwm>CD-oX)PG8bt|1n}W2H3HG96bgt`2 zbr(dW&D$^t#mn9uIM4=1`6N%+yjl4S`S2+M_KjxTMLL% zsYWLVgBT_b_cZ?cVELjbz;5292`Grclj(*FY>|w6J`2c=yC02c&79vD+0V;J8mGB` zJM<8TB8ZH-NHu6_IDhy{NstkWE=m~0_aGEd!_aczKn9#}sNE=42n5$j^R}pbqn{jk zeDk45glxJk+mU#RMRCD{P|v=KcMIv9$lj_{e8M|Kci0zd(VYv0lGzC+fO^~;wSLD@ zs3=%t9{{v(#gQ4!HucuJlzTrl?Xv=m&EOd;*5GO9oMbBzAZsxyG(TIksy%sjE%(cL zU`wcaRi=_j`UQo2g`#-9XB4#NM8vf|*^Ws~tJBZE!7bWUZ5C5_gJ>ku;ECkOGiWh$ zv{B@~ksmS&TMhsDJNl}K9i>`{E| z`o^~6^-B7iPo^#ogVAt9wT#Z-r}@{i0DZca?FLw!8gisED=`81c?o$hHa7&c>5~#+ z4Jmrq-ZY>e4mQ=0J~XJldts4<1nD?6tFj!b8SfbKQzv4A-hsFcTNPhNPvEgJ19WWB ziYoXAgxwVJGH2aN%8;gcFppn0$=BH&TKDU2HCk-uR_P3LmZm`xd0)yk0gmE1w`S2> zv;392<;M{{3EpelVDap#>&5!xt%|54>E$O0)dM=DUYg_=_08F)!LrpwO;2uwxtO49 zcqe^4U^R?ybb?KCI9J=HI@!R!cMwLey{itNve1YggWEQ@uEVAnRu*sO7$$65lQ9@R z|6)?)`2^cfd9!B2I9_xX1!Rn#*YmtdTyhQiggKjAc_BH;{bItlWkPjk!e&zT;LP=w zV#Z##4pWzVX_4aKA|#n#ir*R>dp*m8=>Jc58zNWZ_wg+ zCsZms3@F;`Jnb5Js&#qDvEJF9d_Qm3lSXnKn-6h zYjcBth(JF5$-BN-r?n~%^88FWHHV-t-Cgbxdgx21|I5FD00Ir!g^7s$0fV>~Ry- zRqSA2Na?jakMC{YLbfHo=Q)M?f>O4L2sv}~2Wb+-oV}W9d7_jZJrQga@V>O-9loYW zHdN61+N&^Nd8@kV^5cqTIlG?f&dWGpUxd^+V10XY6wCub^Gtaw_3Q-a(H|PtzUJj@ z0UH8^S4Jp>d~?oulE1mJlpRiorqSyA z&~Yf&&uLYWd6x=KgoRI!Qn8^nD_Aj3L~Tw5j!)$bDcP0Nkexf2L8)9(5yn%MT_G!p zS_MjZTPj(sFB~^#bf|oA#na6YCA52wOFGnzBaLKw{wJ|z#0^471`w2^bv7?n6no z*68L=^v7bbKkPLvZacDN={<}AS&?hxhlgEd`-g{(5SaTgXk0;DN#~2lP!=f76)qjd)<13fPpd`wb!gdpMQPvMuv; z1z02XA(YUE>Q=;vB9>~MpK`XMYyD%dh%sd z@u%G3;!Yn+)6UFFd*0aiOT)VNXzrtSwNP z5r=8A_5ouZbFMpNbaBY(<+?o2e}GtqA0W141*P%83@o(E6YgczFN^8u2m&1j z%}=MDUWdhY8W60NpB1Z;uY1y;=RA^Tf)OB;31W|;ud%*+t$scCvux9zQ--K*$(wTm zakOU6GqhHz0PYh>oz-?aeZr6-%?$Vlh)oG6uL3+W2oRC$q4vh-B1t?3$8RF_%HStV z@mpWNd>2p%P>O=gv5CH9!|Nb#*CO@wr!F zb7nLS@O^{wnohvVn<+7O%&}!&%L)sNdCDW-nF!8P0CUYy9b3kxhnnD`Ajp5US4sp! zy&A=jLKF71OkEAPg;ffmrzLwlBq!PwI;~kV+gB4qKBzHc1uBq$DMbVzAc1L-5Cxr_m(fV7@nl}7L9B5z zp)n;j#H5Ntas&`)|8#Q{ce30ODFvYTWYT2bl%-;#qKj+LH3OdJ7cdcB2rGefvWvSh z3YZd>A`UFX%LXbVu))xTO)Y0N+F7Kmt^CY^6!5q*UZd0j!f?)dx0kixee= zj@&dygN#=>@Y00B$h4q#JzsmK%5vM*eS4P+4PZCATrGj2p7zFwGHgSgs#r9~o#-^+ z5z3A-CAG?_#nL49p6bFL84Yf)RdJ8~bvWAwgs@i|s}aO8jeAQjP(2VpK}ORFXYfph z9~xHW3WEum-t4B4VQgX+MlI%yeXNN%r{03pqH@EFy_nKe6Ll`-ZYaWz=7`TeB6enE zi;D!<+Pd*r71MtTp_&FMV`xqLM2!>;+4|TM)rCY_+*S3b^@pQ-ORZh-=JCMSNG2iC zNMFvksE!XZ?LKmKSgKBN<_d8*$kI9L*~eGJSR*yq%00NHxFW+>Oza%Y%4~VkvkDUF zcl(i4BIRKZ0La^J4}#L?1M7VkW=#1+N_Nzj7RglP7T+pmZBz%=$pVAq(e9rdpx6P| zT=w6}xKlRFW$?f7?-6x6YONr($O~rAB+A;z@Zm?UWt#caPSD3iieuxQp2NaB)Y!BM z7bS`yM;4a$f>~i%!SJ&ujL!A_b&A-0ssY16Cx)H~xVWf)U|6`3OhBrIaPgMrxrr@F zDx5pGShOz*pQBwI^-3!@8a&|fKX*6Bz&g%IRzHA`l9%ENeGC!gzdc zqXN!lvK(|i z;EkxXCKJmEuBAZmiCe0+$mvPLN%O0e>6WFF8i{;WBvh;o2Kxp&;P(|dm=Z1+ z=9ZPY6UiK_Pb1NpQuEZ{b(=GI%bxi>jCGS16{Dk1j&4(mb9r@;@^*At;1?#EEc#6( zo&LDbe4INZL6XlHA*5{&h&T6o+pK00Og}0t=6Lx-K#pt*|(1lx@(gn zl0p(ax%dy30o&t^`hBuN;AIP`Hfx*O~O; z0=VD9P~1U}EqLbo_as*n)}Ka`A&~jrK`@Y9GL>tKqQ1?iB%?fnxJhAMo*10_cXIoE z9)uo_OUNG=me5Wt|Ki7mRroI#ws*u)k?$WDmZ@#aF-TqKwt14~r^7P{IDrc?V>;7_oJNk^irmg{Lqpew}eT-{TN}>wbi-B^Lg*|e&_l8(80~q zxs_={)1;eqjjMZ&%WH#&%lp;+OYH6!lOWl~?zV0g5AWX>`||9LZR@P;>@3|QA|9O; z%qD_8?cG4|_W;(y|BbbCh|&b;zjJ%qwr$(Sv~AnAZB5%fZQHhO z+t%A==F7<<$@%9bi`1e{ZE8`Qs`^#k`&{OJ9>B@kdQ2<1BYmc%?XY%plPFh}LIJUo z8Z*KN(g)c`q&GGOGHG$bCovM?ny*8LV@E%}^>C&_l@Lw@dxdvmE2l8q+>}@%SD7E| zR&tz6ap4alnhHQNHtU2XhAfpq$AdvP?aT4w9dO;rF_i&{z;vj7yYu<{9*VMO*0(;D zAxQOx_XYmhjcsTNq{ih-B@oR0(D~B1+37yhYxZ*{T;1lPSkgZlQg9)G9g1^awndKx zZl<`D#r!c2T|lB;r>e?vEZ?%FMw7~?BG1)%x~xS%ywzd2oM>7#RB5}Svia9W`5Hin z#QBlsR1Bhi%Z{_QULAb`u1)Or7H`u`1@Jx%Wo=nMkq#l!Ck%@>l{5Pr3Q{Y6yR&b6JEQN@8J$$3{PyOm1lhSJDA*ENSk9zv?}(3vaGoiDE+5X!_*# zub!+~t-$nJB@e}YWOF>n)f1ZZ;ZP1_7hgh>-5+@8hudTd&99_o*%ojKhCfz}Lz%dn zzGV&9K^l{}4IoevMG4so9f&q6h(fZ8Ss*nWa==H+U0SsOPf+5z!n#$S!HOgd3JmFN_Ji`@IXCMZ$_*|gNvijOX5bCQ zq0%|@B^J4iaJAr{q_Mqax#Q79C3{t*$EQT*$cOE=#=!zJJ$){{u})Nj=&EszFSgmN za=BlI#Uj+)F2C1P*}&`jN9YlKr_8qIS+Agn^B+e~g-GBu@k(!14RbwZE-JJVC|EB>QN^9ErJ+_So-% zByz3zo##;QY9Bb#BAO~Zp#{^(lNUDJ<)93;YfRPlu9U$i|30v>upvj&mb)kqmPX9K zjp_P|m5uc$D<;n)D`k@*e5)G!?&K|W7Asfy#OHFRLBV4Oavk2HZaG(Dq(a09k-Kilx2Vwnq$C%Unuz|a~W?a zRfFb-)UTeq@d|q_ge8fQBrLj*+TzxgBJ0M$^uo!y7wPKWp}DML7|7`kP=f?(;K4i+ zAU7?LBj=CU7@?OG+|-r24>^7Y+oU$1=D8|{BbR7dLa0R0%cn<+Zi+3D=jlfez8v?) z9^Gn+V=HT0M7#l`lF^pJJ3@3mKD~Iq{Xf2Zd|J1iJ47F5US0UB{h4+fA$BGQNOh*hNyeQuOmJ4A!13i+2_JRY_tcoZut9r{4 zcWG!U^3&qqNm#+Gnzc%H>M4>h+gLJgO}hhmv7wZKv2iW9tO?H5>fa}0tHw2vTrP#Q zdBxyVOwLCI6~(G3RS`a$=&wT%qQv(4UqfS7j@yyr# zP^FHjG@S2>Ll=*(9=x#S6FTiBR_BLT89ugrPe4Wf*A%g|0-xmUBTwf9Sht>hhPY{Z z(JFo@Sch7)BO|u8&-c7GJ1^dABF1{wlWSeqFR0IRmxc&2j&!2Nhb^nhBgU=aTdO0n zRfe z05@ITMSc)qH6up6*lE5&zq1tlb^lTSYkbjd$LnFXh;w^t0jy)+yRYaSJA zxPN9vZ8ueInG9t^2fSuc7QWMWd8$P-XKp!Zi`QCS>XoPC9j%x|y{JH(^-&()-KVX# z-gJP**|et>8h&}aGcE$--^E!&^ef5}J9;~8-Hb3L+sOK?d0qhj{@IYveEf7^n!8GP z%H2d+;X`^MUN>Al;A*k!yylP(zxvomdejmaeYPDfoeS^(Hx?XSv287_8vP2fuEqh! z({A0)ZODxpT#Jo}t9seWt-I+wN4nI){MEke?8W@z%fLS%b1UP%61%vDZ0Ct!^Gi{_ z<>{`@nQkNXwau|?=oBN{j|k}0^TD=E?cw_6So_*^DT)B&&KZoFFi^|du!5V5qD{fG zsZ_D@;W(pHQ3=0Z_ss69~3%fNN&1=mWtfnh-IRUT+1 z$Q!J_>R}r>1MynnI9$`Z;TX~l4};_U-v_)m%LDQvz&p_DZQC*mQ#9}&q_J|PYJ)9H zcW`QmI4#R%T~tO4`@_bqaEdHR zP$mQ0W)HW#pI|y?&(cAHk<7!x-C)%{9ZI2fx8mUH5<~dsXY^iyEv&LioD|m4+(;2w z9^6VixsEh1=e2xOu9g&Um*I@=8j9w~S^;D@!8#%*eOetOt(8W;E(==Jr>)HgFm4>* z+|dMnv5+c-LIuOSOh24pbo9^>x7G!bG!V~cq~bvwbL@{!~^2Tkp;9EKF^?9QGx2jj=}g(7g>_);)U8YJeiY^BD4XY+IS3 zRIhB>m?FsS;Y+x>kZ(?_UEyA^^8N@s3}SZf?gto1RL11)zmza@{O{^j4i3iui*^+@ z?jMQY5NI?sy6b%ZGI30Ui)tc{hgc=)lrvcKU7xWe)$AIfd_lZ zoT?He6ZYY6NCW3$W5Xg`a@Trr1-iB6j!E^FDH=h7Lln!8NBHiEi3a28(q+OA4CaoN z6~$WKC%l$EzG^V%!@+at^OC*u_*OWZh_?NlooL@Sii-0%f6vV)eGt$0tmS=7o(jHX z@z~1K%gPk(j6PW~<1dSBjP5(IR*5xvFehYV9)_!Mal5>Z(KCD@8vhE>ZN1I4UTY*u zN#NWR+99h;j%WZLCYl-VM0x-MvZ%kU{tX(} zu!w(VN;Smf2SJpE02IPWSx2WXFKF}fdX30k)2x?xbZXUyAIS^?;UuWo3c)7W$*low+6(XD zWwEyG)UBC-sN{jhWBMAU+N~hiYNrpX1r&uzh(yGidgn76A@Bn>i2eG(u(0j7O5o%# zZLFoH2Vi_ReAIjC?v{Yh?OE7*DZ%l&s)qNrRMkChsBVG#NLym3-|iZ0sW<%E7_rrM ztG2e#(ra{HY}rt4;jXOqdkHMz5|fS{l2MlQJnxF~iS~3XZf>(_8P-zL;~UjcHDr?4 zZ^~OTqAstg(NSsX=vuMT?ll>HPFab1S5=Me#+QkP z88-#2!q?Tztj@mcJWnEM**Cef?%JFWcf&+qnrW`2pKL}!Tfc_~jnZfHxmSe}OpyA*FaQd)`#+%g|D!YiUq#?dTrB_JQ2hU0 zB>(@2;@?ucRo@&#lfA<9$A~#{zoQgy`Ab$coQX0kTYlQ-gTkHi!MeP zc$X#58H+NYMVF4C@pY3XH6l)pHZ^+7O&S|&$k5qg`!*_h~g;lEpo2AL@*BRt?4c|{#UnI{u)R}+8@&CteD#mFK+EQlsSLPP}rj!TfK zzp#qZ1fGT;TB4YAIk ze~dZ>UP2Y5iMts!Ygb2m_zDlkg9Q+Jv)?CYXJR$;(_o}DpmD_Dz-3hzno6jInyIO( z-6~bN%Lv64HZ3giG0ZJ5mV{x6fOrQBl8q;@E35W7F%7kdW}t;{qEt%UmcYS(;UeEK ztvA9?J7GBnAQ=cpXw+cFU}qO@i9xExl$k3`M>v(s0@v>~9@p15PV{&`IXpc8w9RLhUY-c_di}O3 zJl6g03-qU=awrPCKhVT9KShUI?Qihne_kWg&IecTlLY@PLX-WamT4Z+1ha;=E}JSD zCzY=FB~)BpkqNU!3FzZ4RUyVNz09u9t3a1YS;d7SkvIdwfj5h&-l#E7tt^>q>6E%AlY*Q> z-pH=2)UUwq8AZu!hZN^s6+$yr`GM^k@$@jl`G8TTFmQ{pwL2RLQpNxUuv=1fs}>od z{+F_3m2?x55Ew!31jeR-8^_K{S82RhXD06zXxL2EkjQG8V#baVd=Jh&YvLRfu`v8{1itT~$;RSa{I97=fVzXVySuxGwY9a$ zkr;ncXBNtq%=giU-_cHW?-L`7-s{8k>tXLM>hs58yMI>N=JT-pnxrBh)i4j7 z{6kWBMJ=kYa_mR3Tta!3P`ikauBxlZ4n% zGo0g^&8m&qFSj`m*(#P^@3|JPUj@AdYwDf+hZ0J2!m`BRin*D7ez$`k2n2EN?5Tb} zZS`;Y;fHVj^aE+2prEIrS}h+K5W}4u3DUGOBi_EOFNO!-Q&Si$qlE+l@T&}RQ&Uqq zygl_?ybj-_xE|QMFeLXLm_we54Cv9-d8B7~UXu*(+i{Dw06U8D;#NK7^pgJ7OW=9e z?kBnBRhv#rtCnr@nA&RgDU(Gt)t!2{IMAv}VSIK&8BTEVyMDbwkZZyI^tIwd{iVaQ zSc?oKrmG~lFxD~D7z^C{0H&iB8eNl=ZuC$kCAgP(DPqe?k2yMUMAm;IfNFHxZW>o# z`+gm*Xg5Ixxhh4?HeO_BB>UFoA1nY9IWX<^X&eyb+`nojS3AH6^M* zO0IS9wQDvFOwYpYYm+9_PVY!l6?sTQlP-XT2$3x6Z!&qnzqf#7?ap$C-Xj$)12ITp zJ?Ga6yyVh{8Mxyx*8UW!AP4mF#CSNb^Tu2M*b>(yx$Td&*CR_E2}#Pmq>ChLpdx4E zs0>SB3><;(N2ao#jz7#^i!1i|?+3%`dRTQ=QdaFwHAX?M|4}_N5;4J4q=P~s zOF5=cl|2Mkn{VUBQ05a-&O#k#-jiRsM^mL-c93A1dX64Nz!&gy7@y)bk6`eBd1b)OrX`3LlE9J(6*a#|oUS1N}oU!pi?-S-@#wK%FL5Me_I)Gy)2 zRV0~TK^MmZVai6_vy&xDj~cQ3hm}wV%Hy&?PW%0PA6)hk3DhL^$je!p{IbY`T3Gka z2RENpv;m)l1$cBG61Lpb@Kh8L}Cm~SbL;!)~~(^aF&oI zMKKk^#?i{z`q+>o#bUf8tM+&5^HcI^YndU{|89_h{p95IwA8En+>_HkMXDG`vU};% zr^0+{c4t~IGmwa{0K9|Z&hgJ^y0NfMp9EqiaS5aJ64-z;Umps&Y7mGD6YNgV997@w zxcgn(Ygx493Y5=~o2gLYg%*+8x-w-Ds)pPhu&gj2L7_%(rO}RKc4CEaPvL7d{pMpQ zW8lFR_#Va5ke`ebF~5m|%pc5PA+RL))C8&WirFP_f=JrEEr7~^^_x(DWSlJ%q|ZTY z^IG!x48=*Q_X|qo4r_!Lal#DHd=W6}(9#sAM#b{+(X-op^Y`6o8iW2$POjuY4-;3C zRFFQ9baxSx^&@&Jy@soljn?L=uKVWlHQuK0lhtOk?xoL4 z%OTA5bI|6@Ma{BZr!mZ=YjqF&NGQ~RQoOV<3=JmBL&E_h{O^a*gwHclDrtKgs&rOi+pbL-NZcF1GJPkZb)u2!rgX;_$2g>7QZZiHEH)!e8Fw``?HdL$8Gc84DahDVR;VkP-Y zU7BcT9#)HxC;xXMVP$_SJiKr^EGK>6tR2;nsI={}A(vv`M|x7*#dV6@Bq1m+6u40H zIcu{9itCG1j?XUv*ia_nR*@j&dy;>*zl#%*T;;DCldF*KL_3)u;vY;;d4^Cezhu6Q_?oEi80EI46C6Qen(4k2^UhLjHl3>siYb;r&pj)n9)N#7~39~NHH-L z&jEoHL?t-^i#fkZCX`IG4w6E0M=9c?bqzJ5hrlM_R&GyUnBK0wlUWZ#}2^0UoJTPM-tw(J@XB?uRdVc%F@X9OrWz-4CLl3-ICvyi7VB z1yy)qi0nEQ8n>(P?9JWZ9Y4HQb~G(SercTDXj)VFwRYG238h%)-V*^wvZPiDk^ ztZc`~NpnQQZ8LxPE?!N>#`^v9Yjnms6=p{-T$t5a0VDNVhTIoVN*L`!No&_8!&S6V&cWY zBYeNtf7l1l8@Mpuc(7o`FxZRFae@H`gZp2ZgQ)VEvEdQmM_LL8d*k5|c5>B?kME zrtaP?STW=HwP3{=?H=Rz_xt-d{d;^l{v}LLj*s`s$;HR-;`Q=0#6bz|qKirxSf%~g0LK8{VWA~>&s3KL$w)PkD2@w-l+5Wcb+i_>K>tzv^3-GGheUC~1G#V@3J-?=5zqE=2_vU#xzVZJ4 z&eCdnEotoQYwT?6YkU2c^b{*@?0X%3YMqs3ZJmXam6e6Y$^NeuM>W;oH(C%2CljTi z=;VIUVeL{I3-wo&1wdj}^7hL^Q5(n(P_MAI8PKAsvsDGDEk;$83B#a6shI|$3Jw1r z1VI*MOe!h>p}zxHR!o5gk6{ecK=f248!iYzLKMXauOKBlv4l=?BDB2;|1uAG`AX94qvoVa_j4MlR{>DD1dV zn@g*dzY8(<&_dhuBs7vMJ#DbYr0qL!5mgqy-M{K&_-}e{PUXGmjv{}_(0+N#t_ z*VZasb?>sd)mLi$+aPyLx(?o+L^bm+*w|ap+`6*;={?+{qU}>s>U4s-=>}h42#DHd zc<4-{8rG9q1|8O6fMWw_X%2&A3~18N+nq zLK^;5^m+><&^_My+Dd)Ypa96>A09OS0!(zIeR}U75VR}vnAUUipnVP3N^ks`RP5x5uTam> z_ucy9yH?js&+O0)o8(K^WmwW{?f3|oU}L{`Bh&hf0j1F%ON^g4Ide4Rj-mcEFUCHI4M?EB2fvHut+P0#O#w?@CKy8A#8UHE*a`p1X!>}%e0@1eAQ zb1H9iGHZ*&t>NnA^zZbgYmQnDfwm_0|ylaJ_|NX4D;+q6EFEa%J=|DQ}o>wKkH?<@p?P!Vm>1=@4Z>GxTI(_26{zLEcteu}%U&}Z<;?lg_h)uC6~CT|%3p$C1fF2VS%+tOqeF|c@&PLIb&l^%#DPSZ`gp0h) z%l`9S^zw~4nM5c>dwxk&$m2ho6Vl|Wc$khH%rUZmvme$(Lf(4D(U7R7;;tL9xpaup zQ_jCa&V(~*W9Vpjy)p^q9&Q-XLk|N?h@!+2Ib|%&Fr!0KW^-RQ1F>=Ek1X-zP^z^9 z=hORQ2i59SrX5bI-9My`wgZiJs@-~v)TjM*?Esnnvm@^PmAg%hwQ6{ z+H}+Nbf!%fyT`g*PJsP1L?~HSoNQ>zpzXBZ(O7CdLe3cZXO$u!SfJjqRyX}7e1uJ4 zsU?;JvnfvI^cS`Z)b~e=@#Gx+WCviZ-dcgQXoRQ54y&O$xHexTKdh#*Vgf*3YtzL7 zbvV2aE<{C5_*)PJ2~3vBW&Q+;2?&uX;7`@0LRvmfL;2-gbX69)D0r-$0&pJpXW|=# z0xR6XS&5uSxO;A99F;X&#>N?Stz|>;CE^t0_7yBhH|LUi$qREfK}HkfWFD1vhk=lw zQ98sUf)!Tgl|)JPW|WO!bqDBEd%jCXX&3}JC_x?xPn>3>jYMl6$JhYlqc5ao#?mxV z$SIsrUwVKK*fwF1q%?+s&zamIb6OOP=xlJZ&;uny)T6lKBd>57Bn1-xomfFjR7BJt zVNp>JLK;YoMMQe)pa@mPA+Q*=a$u#X-$Rg$!AL>{gcX>iN?f&e^SF$ zBJ$Bp#Ym`4BqKyo^?cuPX}HPBVSz;ESq%sSr0S4#h*01f)KEEyU>n2!cH_#DwXp0K z^=j(vfN0K`wYIjVwWPMRwx*`Gq^i5Qow&KFtJ&R7UGMn0pY{j43wYNxI)8-oOmCk| zNTIOaX|5NSCN;o-XnAeevb�PSEpN3`$*gq-}0avN_-JagOzqwZ2HSoj6UC`@B4> zWj>w&&D=R7Ouam3J}!pL^dAAX`uBmyh+O$C#xDuDUh-PQS;4v|@q+gEML0Xdy;r$A zh4dx|gJ(yR1Tx}=l~7iD-37pzfh8`!zeI^yro}bFj@L}WOq1q+heXpBv8>y$4~X*X zL^(_ehZ$q09ygq`a*UHP>zFZT9__sX^GrJ?DMCDspChqj9gp4igC^3ViqMzT7`w3;4a?VK55Ne(n?Ww7uM&Zt8!2pHydY z_(5rRyB>~IdkgHoF59cA@xMJxdjAVx9JjX@@;#m{v9a0G(bWI(arN~@^SgAv;cau? zO?@}r1@ul5pg-#Cnv`W)?4Md5i{y|`>aH1@yZ$3ox;_DB-PC4Rr%SGOk(Fl!Rt209 z2Ox=pD2pSAAzT4j=)5og9sz^CqhU3*P4-XQC&IaXi$KNPz#}}jLZ!SVD^=lET|fJT zj8LE3wHT)`ee5t&wmcbiP;on>cRI|n5F~Fuf7Vpo;If-_GF96K zXNtr%?^bdDcs6`&|72nX>zZZi4xAts8b#!ae@NP)!m3#PB41UmN9SUhzOTqD;dI1XCsQR8vh0hG~XLO`9#gB0=@75 zjspyK0!AbmW_4HTpgE1tMzREYwT6dto+ZNNS4x+o>HUM8IP`#Sp2CTxIJAlq zH~yQ^6>vf$tVe#JT7^CofO)O{UAJ)Y`mtkM$a~A`&niCp+hFb*mw+ZYp*Xd#gW&58b`s4tJuXVRn(Uj5bR1Z5-xaU?fI z9>Sx5m?Z30E3gWV`@?!>cQ=US`XzJQu2px))FB^Wg4pbsVCINS)+M?CN`PZ78V8^> z)l`eodee9i(i-LCmAK2e_taX2_ShaBl&Ntk-enCJ$=-hSZ9{j3zbmj;Q zpN|Z@?2jS~^;zbms!664NBu5Dr9n)OA?zm!8+a|qwkoR$&ahuMCW)R$S3su>njnUD zq-2UirZZI_PyD4&hTR$#+Z^kE+s(IWo88nGzY$CsKjFma005EtV@{mFIa!1dCxD*t zi=P1WEs;@DnKiLYP)!ClIIVByAn1}pxcaMl)H|NQzSg$(>vb)4anb$OO}~d5vmB*GLZvAB4>S%_jV4|FTS8N^SRc~G+?qBty;c>*%FPVU$kjTs$`9mR+f zmXU<}pk|N%7-VRKftV90QPlvwTiqR>XV=C~fNS^b#uP!WcHIj8ftjQnHqwNPZZYOx zvel%=(!bJ3269YD4}$;jlQTpkK#}Zo(tv}aa}W3{XK}H)Spotj;AC5Umw#hJb92M1 zsipg?ki8S3JRvR_#|kL155n{@2zsr6EH6ljhwMHOK`n@iHEc0M<}QGIH65~&au`|{ zny~eOSBUEYYXVw`DG~^2hAXzy^Kx%S9moBr$=$1^)1MI+o+r2q=$`EUH-sbYq$7GU zhMgqzGz{_|VmxoyOXk@0zoJ@2LgVw^IOuEdzQ=p&h5R}iCCwi{ug~+EhpqxWXZpAV zj~@~Y*s-e!*xQPSJ*I@Fx#LqZ;!#*KxIq{y=jD5W(;Usqswhr`&x$zE{V6fe8&%T% z7C1v>VRaIWVcEgnNMkh$`LLFnHpG{EE%KHn}>;N}E zU`|m`dt5PaQJ4hsywx(wh~&aVz)%`^n;|TH;$>v;95;jktMot(RT?v#7u9(Bj-gqr z_L^-3AwEqExn}xy57uaPYx>`S4q3i?(q?0N+_XAps>9#-F7XC-;HaRw5Qf9J8!qQG zy40_KPph-hj7?pC8R?cfKFg23ZF6Lmn$P}tJ2@=`1I^#U%aDus0CQo@XO9Ubi}6Z{ zB#N!Y4{{1YP|VTv@ulyI>n^!?t0NB7QhaI#Y3@btF+ykI$}09w2-O9SlS(ElLtx;7 z(yIQk@l3a_Np>YIci@v`?VRWv$6~`5VJFhng@}>H63-oR089`P0O=yJ%b{ergvV0+ zIH{>+|9zHo$C=IL!|~gl20TL!Tt|r7LGe7+w`+NFljIJNA6Q>QVHlB*~tGH`G4fvCprwFrP(uU21azNr-BU3tO=cLe?+dx}rG3V*n^OY9cIiq{J zC=0n9J5sk8Q^0v2EmOyiZ;dYk5$z~rGjskcP)fAI6lfmsozOoBkXU}qD z(3d)!=Kexd$)*RG?|uYsWNxF#&J1#$@}2tLc<%tZ*7+)w48&fC zJ6%@bE7yPcN*yl1&+t3~8d6e>0{20Ru5Zebi`LC1#%#_XomemExV?LlD$^mzcUjrT z6jdorv{KD7cZprW_snh-nlV&aQ{v*{sBtQ1x6kj{eZ3{Ty+2BGVTUJAutk9_0=_ov zniar-Js}~=qv+FK79C~50r+4~GyJAFiTYHVoL={?`!cPjyS2yIV$yL~wdiHbr;W-t zPwNNK*RuOIe;X}Jvlz2K6+F#-zin2P7sWCUkWoSNn4)TDVgnY%=5!_e#^{+>O*-{{ zVU%~{MUn22b$PXEuZNdLO^}7sDuV@S1kq07S2QI(Ep$Y!K=gUWEszfrE6--9Q8j(Q zQR@-(ay00fu5r_&$#v5#^kmzgesy3vrsRyw4tK{6guY>DC(JAU$&pg|MJiyMH?Xrp zOg8tme+rQ%wy=}GDjkq9ir>2VrMsqI=w49CWbm^L)qQ8WKgHaqs}-(%)m3qnzNjQ5 z=L%YzA=xxTd7~nSq4c>>OwzKXbC) z9Zjp}8I)IArGx$^;0S@CQ+G4z-7QB>K6Glgl~PYlUy(+tw($c^s^K_eA?BG+UYl#I z-+C;yYTYKoOC_Cfoko!cp`1rndasZ+>+uYo8`M@NSG)J#NClByd*fNO5og?TL0T4LC0`tR(jUlrb;guRMg{o;aW z%#BQjYb#1MJ{zv zqPGQtskC_gH-H}{qm!yXn-U-m;AzkZJMHhF01nc?B>)NZP^U%Cp%!%ceUTOGiX4*$ z_$C2L2sWzL*;xn0didweQM6lLi3?96?D(?+ezl89)Pn#Q{%BLDBzm8UpOeqD9YbI(+Dnsy zNZZkXZtZIrXwE!JB<-9vtmYXBLg2FoC*$4I(LaA+6E+?_JtH&_(z$oSwLtuyJZ|7e zZ`=wIUxB=Nm(Vg6i!A zzD!1o$PZO(_=lZNLxwjzV!(oNU)$$Im(^fJG3cdl4?upf;|El@GMn4q*m-80dPlxz zq6FXH0ReO~6}y#6`Pkpy$qdYN&Ce+xm~6rvXGWmB%bo#{y@1cdCf#>)jEUA1KCHMbjq z0i^JaqPy$E_eKOX5mKscu>MWbDJzvJS88h0XS=bG`$*{ARD|GUBpzj1PDX#$;mb@0 z9M-(2&wZFMGng77o;hOz%bYPFWsx#yvT-OW{VONLO~qTjB4bAmx|%T>(>AlNSV>!3 zyEl9jAPgdpG)FuyuyD@J%?-hH)N}s4b)E2`lg?e7%>oaXAwwDOuDKOyn4@7{+EMA* z&~7~u;hI^GReo|K*a$N?W#(L}Npb*Cyx%^zEVQ&3V<&qrvfVOa#g-j0%<{5%$V5EM zG(Vl<6AzIm3|XjRq(QCbGec$LMhYFMshf4+C)N@zbZZ=_L0u1VX8@3!U%7Rbsghpz zcr70s?9GYB_21X;l6#xs!sF_DFqUPsuk+2vR^qy*h;O(*jSRUU#2t8OPo#eC)wYJo zwyE;|X>#qP-Q0d*q=@_GCA`M(b<@pr$g&+IT zmm*En8z1dfS>)?*I*x)xp-$kAYl;ZdsxtZ{imOy1o+2Xm3v41rxQ5p$7ZVMER9wM> z3|d-#8S${yl`9n~t~i8uNf)TTP~ys_URJL*t4v`~x^omXCV<@dmnX%N4OaeRYyp85 z31zB#-1l}?hkZ;WN5(7=zv`_JXQjn<;jn}!r{e4!@k5b-QqxhJj^Nq8~1bR^EO=mn@hIp9p3d5U` zj0JWETc#JRTXYIXK`zV8%#5M091@XQW%x8ApD<{}>c7&=Xsc%55R`f$-#2}JiVb@i zI%Jm?*^N3`3J`(YzPd@9Xz1LyRa3+Nk+#v&&o6V2wmL?~lUWlp9@5%w2H5%ykJNns z9`bYC=;OBlS-@#0th9mu8%W4Zw=4r_QpPmgb(l?aV$j3>U|s})M3Rdz2x@s>!{n8<-?6-cfAwQ`dLHj0zW|CScVa}L|9oHBR>|-Hv2cPHcz>=7B3Tu z2=!S8Ced0D(%fx|3rd}NKUc}$3a`XSxdU0s>J>o61rmD(Wylg>9Tj;{aE#1~P0tXM zgtUj2XkB4bJdFg!zGSGThLmv7f)Gvgdk@Ah^Ns$k3Fa zYHo&u{}r))rdF3gW2ya8#NSR9J+lXoml=-Fq48$W6S6ZBNch*x>pN+O9PT^fW@fOY zMsxk$4`h@ZzRNY>vk#gGG6@CgLO74a!OFP~`D_}|tfxi&hGoVl!{Lq+h17;5sBc64 zMvEt&XY(0F;REBw#RJ%*Vxs1&-To8N9~=|Nn?}#(zjUDd2h0AyNavZESlHSB3l9o| zx}}MW1tBvhJHu~NOLGesLMBdD24PDVX9ZIy5qnz)dplD*7edZ|V0{~VCuIjiV^c!* z{|S+=A#Y@5YV5+G>}urlkHF6$X=`Y1`aeV2uhJ|vR93M@Po1r^Mo6nIQ5H#Wv?DUx zM8VTAUv!NlVC>2QYzim~0wRb(q9~(bq9N%a3j!J-LBoW^P$PmhLNQrs>xJJRMLwGJ zOZ+_!&!2WOc-+IVLXNUef2N#g+J8J}on~))UaV;Bt=ik#XsLO4cs?&Y_Cqly(fs}W z#aC#|v!gFDNRTvp&ks#<{We$IB?M0KzjXap{TFY4*?8IJU0MfkeKU?-KlR`6g?l{W zUfho`&T@o%_B_p>wAyrizt*q*4YNOI^Rcc)(%!{C|2ma#`8rm%_~0q@*}=PB^qz{_ zG4|cXx%^n2b?XtZJN-Cx_htNNzJc=dwfXv31AolHoU>oJ`A!1)kcY=*G@b364Tg{n zeTM%wy8UDM5hjO#&rRa77V||g4O^dqX2kN*H|i`LJ`07%#9=ekb(a7b6wq|IQ?}U8 zSBxeWXNbdWJi1FKOEB(@`LsDtaMZ`cGxvAt@?8q4EwoJ&+oX@L*G6J-6uT-)871e! z&FZ>%O;(m6dqf zK|bp&n{n%A*7K18j&F|Pm8%VA%ip_3<2s(Y#L%i2km^j-$KV@a}qw!zx4lfIvO*o{FiU((=us zYT)?pO`y^Zc+UI{U*)!Yjn{7bNB`;Vt$a09`yC*s#^>~tenq7i+Eq~The(D!Vm7B%0u2QBqfgwHX2s#T+wK(JUu;Dg92wKT{G(7e6SMyF?vR zkV6_nuf!dCdfM3G_#qMTR+{aBf&_xQyMH|I zcW>4G0X^1pPIuKzpT+{J(=}CorIdg4qyVZ*@X32ck76nYrP7FJ801Xj1Ci;i-&K_| zbnIV3NJzMRq;o=LxvHCSPJ!LHaT@Q+C_~!~4ELHRofB;LCipe$d z&&8_bCI7dZTtIAU*+a`LbrU>sM9M~a8c1mZc)FXD46fw!afVJV!@{PV@BlWSUE}Wp zj?+>khqld*48G=qzRGBhxMrv{8I-by{%BP=K|Wabndb@R92_uZ+jCu{al?xk0ceZgxj{!qjoQ1uc@aH1hQu9fL$h zL*yR7n9ko)_QPSr6BkEq6Bf^2n1%4~;o86IAiHJkl$HR){MjSF!l2Z$T!6S_#i@Wi zEe9h-tTD{WYIPMHj_i$Plh3W|`P`=DZQk9pk*Cyh&VZogCa_z|&fm9`$Cn5@@KK$A&K?vEHgvX)T8w)Dt13^z(WcFOhYQ!_ zQJr(&XqV-2{Vdb~pv3ZVkX;6N8D@_cb`KLs6mr90$rp}|4*Y6-tY~aQIdS&A(Rc99 z5CKTrjWTb|qYhHUg(y?_4>2_q^0Y5eh{@(Dn_Vh#$>uu$2VeqBcsEkXbg}Hk(dlAiCkWYd z0O@jf<8+&67Hg8_{Ywb!av8^a#s1EM{4O#Q5VaKDuC4RmG}&F+ck-J7DaCxl8ruS^TRf9ck2X!=8`u!(X^s4cfqN3*Y=T7}(fklbb=7** z`WStFr76JJDHb#o@;O=$yPPH-hDfU3UwQppz zQUJ^p@O*&wTQ@T@aH}fHx?eX}zKU~Ol*go4kr1mKTHD#Mt_|01|Dk)~`! zFY$0SV2qXgmYUNh4#(m!v&BZ1^HF`!wz2iupkLK~5)CgQYB7eqQ$F6a=al`lvP;IU zs%_qe70{0ml%#o*+8OAj0|eF<6OKmys*4ykKwGA#MYSn&b0FKH%@j9 z#P%hcx?1zI)k8uwebx+!hwy{98vfRfO6J-J*cuJ&Wc$ogL-A1%b1NXf@qTen zJaOuB#z+5@Y<3~XC!a(lo$PI`BecZ9Kqqz$jYmdrjTJL~(q?!2NY36bWMJvg@q@h? zWDzsIJ{)9`9H5Vi`}h@vLXEbJ3iYfK3^&(cZMDs9&6&m8mne@v@$!uvC8pNOp}LQA znSXku+M3sE)nT^(n}oQCPzXADE1x{=z!zf?V=B6=Mx39aKmDVSx9a- zx5R)w4O$L9ZP0sbZCytRPG~6sBs0t7g|kkAV19 z@7Xv1qkCq3{Xt2#o7f=#rRU=z_a@i%{Xo!>)tQ_%fq@!V1van0cuGmPiQHa|(U@Lg z7ShSyEy^*tto^BGZSW(b=}_H$FZb!(8>DO>228fd<}(dx<+VdX8JMxc3QME6w>Ak@ zyhZ;aIZ=7tK*A$$SK!M{q~fT97;AH?N%Gt!m%XhUtNR?CfQKn*2PgUq4-U~%@!D)cUKkN=%?$FE>T3!G z){P{KybBk9sr5O75<$ecH-asf>U?F@)=0_=?&;0ab)@a(|KuU`1P<1VNum=Ay(Pqg z*)o@GNU*Fk{y$T z^9?uW)6gIuZzj||0jm?7#Y-*dF!he10$x*i`E3NKZ}0vukjNNLj?wvUB|%OIP2MEh zxUw{*^DPc9!$f`tNXo9cgXl6|@8JJlm zO{_Ww=tU`Q(t|noyg*8W5R)M)J|SB;3KXkL!Qpu>21)}+_;ZiHYZYy~ZkC^>+!L(~ zI-4`puuvqIrkJ%-SO^I`%MiaE3z^3`exk0Dk)PTdqLSQN*G9G z_WzjMw&a`cXj8K_hGWZW7qE6@*~@vF>b&PuqyJwvwk6dpa;)LMjJu;D6_xf-qbb-I9+_)Bok5`lywQTgn zj(r>MU}mhdV(;?xR!uear(_XjnwsM2?(s?BnjeicDom~%QO5dNtU}*U#tXkO8^fN} zB(~M2aKFTcmi?UDsbJ=yb{48&$wiSiz8Ok_eEzz6`8L~ZWQJsreSa`|$;}GnY<9X% zOCQLrt2ydVZs_iJF1s?u!cumWzawZ6;I>TGth*EjHvE4%wcfxYUUlFzYK1vWoWv=6eg!+>bt`rMoFTOE*h!!=qEE!nSLl(IP64yO*e2xTX}@z?AMl|dF^5^C7JNwccZ#NX zbx&-pNW5y-SX=3cE~$=HwZJin>|^^Np?`3D_BthP#gw(ox9i45*d{KN?ERDu8sH`) zE0MISC&%+sXbbJbVS_q$&c*5rh!kg5Xb+PEIwjqaKh-5o9W!|7m~u^vM5lfK(v z_QZ{j?Dl1Kng)~z0E4{EiXya*QSFds^HYg4%@J`4n8H*?jD`fDsA?QXM6wA#qP;7K z8iB2kKce2USo#NlZ*8&AS~D7dtQcv5drpl35?$(PGhCK_Q{@d01QJGw2{~)j)e;UnXM4>{7bWlk|2$!|=>(DAb5m$1Z#z z9^tfHemK^v&Z`Go4d_#T2WQCgq(h1d9)nOjY*IA%@juoRw5p!QO+i8VVjabyU)h%E zhuH>AlmKeR!;z3moKXpbGgYgH#<7w##zHORQ=6wM>+qe!=6J)!(##ENY_eEA0{0SM zdv98?^l4QrG&41XT?8f>Gv%1-d1Xy1C0q^Wq!x9@qZR(vyIjjqz$~B5+sO8Ox%GyW z3ZtoI#~*X~g#b<-Dxx_~l z$7%lvhD#K>@2r?B|2cwI?jAVf`5>)*i+90_F3IR^O4-26wo5cR?1}}F35YsR2i1F zpTXLu$(Ed(5Kk;5sAvBKy1gg_tVdw{p*FGkmKOOU2q$0QW^?vyeq~^IseNe zT}maqTS;&w7tG8uw|w&O@ZgIZcTH_ME#qvrcNr`m%PQ&P&#+??L(xxn8+!NL?Yn=U zB90_)-`6sx%{qc4evy?!_xiC*ckXE^m|{QG`1ItDeZB&Q;{~{a`;J3O1DX=Fymtx5 zN)}81RrH!4;yIb^IZX})&*PGM;|rU1@M#(V`6i8HE1Ay@4@;6%wgaXyC7$OU7sR{v z91W}y7f2Vrq{57PHSOpQp(lPh&nuuSYuB(e`ElBL@g2>I>Z)5|lmbiduA;xs-^ttNb>udq8|Y+$J8w*_N7 z>iG=&yEPF6jDdogRB{T^pq;?#M$X~6Rqe4tx&&Mndxs5tBHg0&yR?OWQxBi5GsoWp z_E4}ODw>65$%fm9%tx)Od+8Vi z)aS%$&mQV|%&m$txxA-&@R!c#HD&`Q&~%kNZ*pXwONRs;6F%~Ep0Mi8gsSWGfgTS^ z=l7ix5wo2F+F24v@?_ANSw|bW1WQY^%jaX&IKnwTR-Wfx4+;49aS`QU5)PX^H&t%C z9B_;`@n%4l0LPnv%@Ncu`OlxTn1aQDgR?7hCKc9kI~=XF78zK1P{z|<;hGocezJ;| z%bjZ#a4bdXGckLniIJKgrae9eZ~WDd;lb>Nq-|(E@~rA(VntSnuti5`eu~yMDyoL` zGEQX9TT)41J5DI!zltH*Gib_XD;6WEiN_YUP=}ywV^t5?#eCIUiVqAc;49!e$j=gZ z$a%TG_IKxhy6^Eln9k$7dCHwR;AL+SODU%1$D~;ETDwYhJx?G%1)&v9h^EK8gla9B)y1%{qZ-9NdN(%nW zAv`bbuvI(1&(h6Ut*f5+(!v(k zB-9QFu{TsR27t%4x|^Rkxca(Z^q~-D@_W??F@HargL&8dq_gRVpjY$A%{cpSz~a<% zWxL?`$?hD$)5Mm*7TH*9n=iSI2E}uZ_Npq?Nys3d-SLZWYkmx>;<)x&eSLmJ4fo{A zP^7>RbIH19-N~fqPydjSpN2SifW0&-+O+N^5cHQ=-*nPV6Qw%PQ!+%-s z??Ytbt-|lWD~17;I8R*W%V#qVhMo7QqwtNPM!^-M$4!~*{w@vi5?37xBy+z-`Jx&_ z98$S{A_Q~G7u-3t{u_^~WlY$cdOeWH_(0O(cti+Gb-Dgmn_Owi8aeF6n20$FUNO?AZBPg=9fbC0S|+rF zDKCE-osY|>9t>7Mrq*DTX#?h(ATI_>;v&~t;_^b4>-9RP?uO`GJ&1g~*^Pq|McUn; z#%Yo;_+z~r0+f&@!8}MPmTJD|i$q%dY19VQ`uCJK${BsLR3;$pC8_&&rUfe2npt(+ zwox{yL)obe9R;{0ST+%{x$RZJFfrq*^`P2}a7=7oh4N_)w!W!(=aA$ItxmcwqNs`& ztI%qbKCw?o84)w3amx2(nY8QI%2vM&m(Eqj@$X7X)=q^)aeP1+7et2Gn52K*-SKR0 zRzLgHzc2?llTD-KHMQK->U*#vDTr3VxFl<0gJ{9`_wPmV{k$xPtpLti_>A|2G%{bzNuTE&%M}J)`j&5Xn}N@09ioK47{J0^Pej_%H4W5!67z!Npw=0z@j4+|vpc^?gOADG<%O$Uq0~R#3$P!QAe-vhF zQn0iC%{&Lqjs`?H*wgeRl)AJDuc&>8fi26AojP8Eqs-#geVIYp0jd(SD z$%@Q&zdbP&|F|}tX$ss~+w`}2@MR4n$1^)ASu0q7e-NqCQ?vN{_>WO41lSNVD~ti! zpn()$`Nj#sRIYRti5GDCfi1`(Kt%Jjm6pbmKR>MZdi_bLXP zma7=V>dftOOJSiX^rObM4c}3cu$1+Fr9f0`+P!WZ;>4KSUcOph_dpEgSr6Ow7{yL- z8O>^rxAD6PLHO4u93bM>_gM&zmW@E~5U$6vba-XJ=Si>5;>-F0aT~yk$Cp+G^>e(E$KK_qFLAcSWc&uo}et?6i>!yz$A z+8w*`k*rC1AVoH>Cci6MqLOvd$hY z;!TDxnvf(AZJts&#C1na+Y{uytD8*9u7RE`)p(%wjUFBsKX@}TAuu@~{q1oYOsA9w z116WMEOLUr4O5)@H5UXl6mlnK*~hmLD%&~(XTxKJ8Ll<^aSk_oP)c=0{gb@!aBIbE zOnVv`Bu$c<-1vSDei`3Wt>ZyK+pwq3V&Z<#PS1##hPG4zL0P zGV(Jra*J|>Go&4@Nj$ZUi&ERyVJ4c*P+LqVDqD@v=yh4cqMmAd&$+meq(hT=HM?l` zIRuDLpows$7_C>&CCka0XQ+i4i4%fcG~$i{kQY*D{y`Jb1q07AbrJr+51xA-m_+`_y4%dzcT|B#O*sX*t8CHJ@gmZeP7x#|5Y6Moj1&)r9`$qHsUix~NkvkYmCmY#aT>a0uV;yhQ;=ZR6v+&SUQd@KivDztGPi6SxjtJTG*cbg z%*vy<^c?$A?TRH^8h$&%E!c@;4#G3dNe6CQ-O|X4;Kcn06VcsbgIRYO?KIExg&HfK zn}94h?J)N5Ky(;+COG+ghD}uwbnS0Nsay(0L2byShpv~g0#6U`C2jz}n0`V39epO@ zaKRzA#FlpM>|OjpLmI|16AEM9Jzg6-b8QpN!=*%+4CZ0E;oj#%Dd42-dPU;454?qh zY$Yf4Qcy9%-_jV_jtC{b0T$swB@3bF*B#|E+LaIrXz=^(Loa6*%uT~5;ml`)7HD?o z>)ei8)YE)5l~agEN&E+{12P(9#!eO&y%UTuLCZwkzMOZ=VJ0vs-_?csmvg6d?Tm&Q zCNjyq&WSH5;0`f~ntQml4_Ny6rtrBV8pj$C{COIUM;#Xl-CQCkA?2*0;J>i*AZ#yZ zFn#uJKxeEms8VyCl6I_F@BFK>3nr&{EskKb83Qw0*y)<2hlK`2d@!)n^MqU2XAd#8 zCnkGgTv5{yhzDfXu?%k%(4G+l@Kv;n>Os}9hB=)kG2@GQ-EE$qO|c^#~UdZUmQ3B8N=`F?UFA()w9gY(n-7ZY@p~zdhl&W-hXi;?^(| z{^GOH_q&5^qB&IP3BwHoOqUVI!KcLryJx-gX=>2=%`ItKyj0ikKGvL0QHVy~85f&O z=yH1R@lC6x9EC)fb?Cfcu~DB6K$qzoXGYijggx#7rnhaP)HyCe{$#9@9)BUk%l0M# zSW&~f+b`L5OsOn;`;P)LL6thj$}50ymd#!lQZdaw0EO?Dj3=i2(Mn6ZY&IW%dS95T_;4tTh+ zQNzhJ(7h=N9cW);DYyBx*?v-m8|a)|pe4+W>zWBTr|mZkP2~duhMd)<@PD;!_6k=3 zKVzx;^)A(A*!>U-@yq;r#fo3N(H;VoQJpnK1+T`Ct*ycwuEBKs_wU*Eh6;w){BlHY zFIXCwkPtApJ)Uo#XPKx!TdT7=6p3s)!c)CgH(-p>rmsT5UMpJH+y`cJJ=;s@zmsZz z*XiXg09cXcVP#Hj?H^c2l-4+liUO*ztMw+S;d2Z(XoVXsrsL18R^u{3*XQR8FE&Ib zR{<3^(ce$* zU}bR<;>-R-mEY?YJ{Ena_eV(U!R}2Pwx@`gZyuhCT@cIGjA#AhY*W#y8M8cZPzuxs z>8~-3Xcj3U`m@{$+{C}fd43ho>+!Xp_(n8IpyD8*;YSn96I@y}MzJ@YUwLQ#DOhrY zr3c^Zd7Sz0MdZ2e<*t!xV6xx%^)cXrN{ zPs#n|mdN|v1?=p4$H&#k!P~NfM2Lw_t35c)kM#}eBO#~X|5)E3 zj@vFE09wT2^N6ey)%(2jkl&yVcg%4C#eJ7~AN|UKuk%$uoGGCpAR)c)5Pur#>IBiQ zr_^`(5cVU|^De&L<LOMTzoojE!IGd+Ur_ogFHi{MpW2dB%kBeL@| zg0tt`6Sq` zGAQ_^zOz+}sJjFVY8C8BzJt1Pg_2gcF^$c3S*Zi-tQ9VsqQUO%KPS*LP>KT_lE5r$zSQp%2^?G6zZ7B+5}3rHynV!G zlWte~acfNeWb`xDpnfy#YXQME!;R3vIIw}tb&hov4K23(pus(JzhBxbTuX7tNvh}_ z8jn5WopG6q24!$NTj!btW#B5y_APr@;}{M_;rigM9(OI_(j)5Fz7Y2V!qS;v(oOJ# zshM3!~PBhFNga81vf$_RO=vqi3cF zo4Vz|rs)WnV)D`)F>ulA~<2m6hC>2x{tPEqnxrGL^dW7HthhN=zB~4z$y-Nwf z=Oq0H3GF5miLAq)d4y7|8v@Qyu3E*T0z@MXSOG6K7+Bn}OHkyT$V*d|L@bwS^>;P* z)p0E)PLu*)f?!DVMVt z|I;oNB17PoU%G1Ycyf&Wime@irHY8&L>N2+b>uF|$QXbYoshLI_vjp=a#lZA?5npq z9Q!uMovB1x6p>X`!8Ewe>i3+HR!^J}!mfEBLr{1o&hk#W(*wdB%dh5%8F+Jb8n=g8 z%QjNPS*+Wvue3mQXFdMhVtv_qby^UsU&JuA!1bD6g+4k8yMnN^8PcG5leREP%2x+A z-6z#6aFDR6v%nYKb=m+GzM+h<&ysO0{+PwTLcg4)6ZJdXG`vQBC0!s~Nam&|2EC+- z7Mvz6Ko9n3;S2(5*h1IHALYN^3f~kU6R?N%J?)LfN8|z@kp{BdUw5gM_-T39oXdMz>LRe-buNe)4@+5~r&09j?%haXy+ou^wFgMRZ z>W3xFSyh##r-u9sMt-W&gf?NE723o)@ydco97m11Vf|(go|}dFjB=r{bldma|Ja9MM^d}0WfyGkBkJusW zT;L~9#Q{6<2*9hRWqaJ2M0{+f8d}Fp1{Uend#X>@f0AEs;Ao54Yw~iB{Q}BJtW$K3 z!vHOtk(_|mb=ZCYv36Uwa9e+SlL_uLfY_b@oN{66lT2GxtTd|n;x98$`~&znQ@z=9 zV6JKIT&K?buLD0CD2lT&UE#_|7k#khqoAQew>zS~c(toIw7oC0*|*jG6pbeGY`LOy z-HkH6{xgKbwo>GmJ!@s_+LN2k-r$X(?9`!UA=b4i5#%l}r`GRD+z%eEeQPd-|gAfocdlQTo!ybddx`>cu8s}3=lO)8MGDUyU{qUmMt zHk~R(8+t!lYgkcVLKppE(D|HS`lF26dFaNF?m%|i#nnvtgLNA4YPq$2Faa`getsMq z+tB-$g`?h- zCZr8`TLUj8S->HTt*K<-EaVs=zlXcC?CW(~p?nbw*D{ehEEUYo^6P*5@O9qg({UQz zOLksa8v44Ag)Xve6Bpe0%{zIonNw!pPq#Yj9e<}C7@Sl$wrh=XlwVjr)(z>FU8D=^ zID{JEPJ?QO2sS@Jaw>U10x+pAor4g+zuI$&ri+XH7={_!=**>lY@6IBlfPFMSDQhj zCbNhIPRx+?h5dJt46pnX+l6H0AId2+PWI)X!B`&p8w-vkYTP`0cMs+bM*sTTt^a%b zYRayq1`b3{EbMVVfFsIE7L)V0Y83_Rn(FqVFXrQIUX6!?m0;T|%_HBk#0-v;e~-I& zPb;5!n_t$atIK9UUQY^Nvm}HKgx&8~7Xx6Hlowk*=Zg3+$hxE(V+0e>t`id+7yS9; zt!a?!g4(CQr!#RhlwIB|9)$dQ6}&Uo1M3wYQ_a#>kg+yC;m+Layw$}5W?W+Ubn-lY zI#9)Qv4Bf}lq+(JFvSYB4WCpC6Q%rhaV#_8L-cyfcBfWuy8_Q4;q-`XIP30RY|G^8 z6NhCsMXFtCZ1RTUm{VK013PlX{b`>`;=+}PjIf`5mxk=??Jr*o99+Z;{lMQ7pwC?N z9ZWu(Lo}6%)5D#wL=wyY6kRa_YTF`+wVCVW?$#)8I@^a2D0HHp!aFeZzH9ygTxtn7 zaVCT$|D?6cmMgdN?%!KJlRiZGjg`3FwhOxEjBCto{p+I$ugXvPKztT#Z187#RLm|f zGNWSYKJ4x%(=#EOSe1>uc}2ZiY(bv?v9(cd%;Vi!BDEzoVUIq^?|(nJxMUmf0o+sF zswV(<^@NL9JglxI+R*5QG8B|7n-O}XS_;@;J9uT83pE&YUY1{EX)qd+j-%MJ%a8aZ znf=VK+<6AdZM$O1=IJY?9605vaGPs63at^ zZU_8rg5P1@&QSb|yghUNuI)sNU9j>_PJKS+PMYbH0!IwDo0*@8c|5Oa*>RuYHU%4~gU01)J zOy`lW-F|x^TTNcF6WMsuC8_9-JxHZ!lHlx)cZnUDo){)K8Oc#}v`r+-=_qd_eBu)D zoq4|Pr%R|16~k2u1-t&1OrjwwGIYmi+_y07GH}Q~4wUq|%8l|$o(lTukI~*i+gl#F z*GNWXs`g_RjOc@*#r)YW)AQyANu}R6!!Gyr@vY_4au831@vfJ$%S%b3Yt*7|jWNBp z#BS)XjgK~I-Z^l{rNvHK)3heq_j69H(tD3_nv*afikfBKk88ZxQJc$m4jw*A&+;zg1AN+)L4ZXGi?`7rT6 zOZopS<^CT_Sf$Y9KdNONMLapELNyDbGrydj`Lo@`Gu9NOpRQWD*EuD-qChqRESNc` zI@=?k(>{3&c0Y32-r<|w!6}7)(`5WHl0JTw-?FegyU1H1>PubnF>0}94+uP`^my!& zv1?+AAqyR6+*`wV*!~S#GEG`)qdEz$YbiM8&xb{!66!@KIRw70CtR1Pit-4&w#WWy zylHBTuBY$uywhN0`q5IK50S2OWBEHVc`VEJn_}IZpHIU@6ktk5Uj~4B3*cdKsIhg+ zH#W-=@x&1DFyQ9CavyrA>(MmdYr0?JC{(q5KQ%Z8Ci4cRdapa30JDdEaO-qD%-Ww^ zbWI~)9yPdlavWR_v{t5T25Fm$U&j$Pn+)$YT#8MCMUZ%&`mB>EYJl`@HXddz&J*() z!Jya!5~a{>0@85lvT@3GoO+Ai^-t5`2bw1C*QV1S{^_}u+W%&LDQ+Ytr;6}zo&aZv zeKf&u_z$4)8Xn>cV3W5nNV=@2DeHk-bBJ&=Rz_0&dWRb?-Fs|#vA%Je&n@R~pAZwK zZnZj(#{`H|60=IGN_gIY;()Ry%JNoJ7WKp@g}9V%FuMk^^m(W zATBpYZW~p@+L7-bbT6{DWV642HsqQt?`Jlq$&o2-KINsW)pU1l(ZA*c&#uLowKc*m zsCd`jwFp+4fdcZP2n#tK0{?b@&4cZ2w5(cm^GfWk8QQ!nF%ezw@xpnXN|&>EY(!C3 z^Z)vWpM{^e!1xSAkZP)QtBt!jD1dacIy$DHv_T2ZUN zp!X%_Mfs}NojktxkWa=-Sz`{O{%>nn=s@Y%L03|<`^6K1anes@CI7*M1LowlJcZNi z&$W0yt;6Q9yLAri* zx>0x1u95#yI#kp8IZ#*m_@`x&B||>!TVB1P_v1&A%BaER9m>Yew;3^!?OfPT#`qZp z5k%3H@HJlSKBV69lJtcw1ZgpRkkTiEs%aJs4=ns~iLWX{;cRVpj7c$Z#Tk_^S<%1J zeiBJ)BSS$#L22f!6iU$~hByXwl^niMSYGCg9jB*6>{Ce*?iO~quFj6B3)w`h9QwE0 z!ILNO=6<_zJsOHV? z;bO)!2tW+alCK<*$5fisll-M}p25lr}4 z21-GNrfldb!te#3JYHmnVLeaOJIf==IoQ-EH6;OeHj+`OY$MblygtQ zZqS-{d@7+9!NN2VSpt zsUjz3wt`Ak4Ns-{)%kMx$s-Ws^5e)pmBVWm}IWgMpu-aa;gb zTs+KJhtXfwmm(j3Mc&7w!IpGe4gtZn1EWLMewe|Lvp!hKukqlDZ`8(qZjiBLlPC4@ zZ(c5t&|H@zbV~p57N`$|uPDQJy`eL;jd<>q%^DL4pAPx&1DT4&`DOjlX&e;M;hljyMZzqzQ>@VZ$`F zPj3zmDpani*~H$!?hC@xX*NU0jG2ADo42%!K3#}FF#g~cXpq;nX_zI0TF&8$-JrEN zpVgM?1x#hGl*a+FDtZR)m;PX0!cZSi(V_E%}N0Bs*kGl&96qMiduV*>42p z`2pR9`IFl8+>GV8G~-fPNLaC()Z}tz@yb0lFRdB^najGvm5}@?d%-aOI7l^aP1&ek zcl-DalrxUet+ZiwDk;S4_-fdEN@KB9OJghR*V;5NP$-pSnKfg3%(48kttGvf*BG%E z1pg^8>tGjvSZ)pq((Y@SFL^aIB5>q3JmV3F1fwG%JeR^yY<>h57pK`d4w%8lgBTiw`hz-aT9CgeOepz+aqTJcuF;_7M88E|QTCMo=zC`0Pa ztPPrrePF5|EXEnjEblBZFq@!4%Sl>wtb9lIGdLO@G1kO#YV4FbR6!(mg6mdbzWEfR zlH>5@a#WbgEEIDX#IrX<;W?AfXnW~|$cJGE`JMO0{X^Pw<#p6uUFFr$3`Q4vJcLFp z1O^ic2>}5cw~$^m=nr%-ECF0 z%kPc_pqXJ^CtFGUTi#;YV&C`^`vna7GIa@4L95&gO}O>19+_tKG+vTBH&qqp6sTcs zt}g?-?_EPFW?7^MSAca12qrLKpl#Dn@Ht=j zo-as&(V~a`b03S(Z@EdWj6K64U5kTx^O#>SPzg{GNw*_#JdsuFPyjVZfb3Mb&{69K z|8?lB=K$yBmvDjU4Bx~bBIES=hXico0TMQY>Dd>WwHsqda^kJ;>II>4oF=def7BDo z*6U5vp_E@Mf~f{|{Ywjm6Xu{QPxyUj6#6bI2*o z*0Su`gOse+k(@3&-J9f+#Xqje+Ud_4`4pai86N+QbS3#>B(0syIO~gg_@clXPu#Icc9Z_(P1jXkx=@nnrbB~ z!YuH5_zw%ljENWxCXWLBaAlWjTx&^8lr3hb4S6NL&0{U=SuujW%wZ+tpfbvEk;ZysX?Po?V{z2_cCP`o-8(XG#r2QO7;(L@t(8}Ljm{4qSPG> zG}C)qoGfd^gIv=mu`n&$*Hzku1sIw(W?YG)_(=U=_3|=&r2tdz^uVm-WvNp4NS(5U3=GjKps#pz*ML(WZ!Y%0-keuSU zvVu$0L8Y{Dz0a$7J$HHam!s-)k)o-HUw4oI@=VWlSn55thEoChcSM%D7yPTpU{yEJ z2o&+YWQu*Q{ql{@_|^Z%);kC15;gz6@s4fVwr$(CtsU*ywr$(C{lvzOlN~#`d4KOY z_nxYI{+XF)Rd=tRRW+z*x-u1pBit zOxK`2+CkWHgn{2m*T&z_5w+-`C6v>WIpvLo8E{e|Jtm&qYaG5aT#(JF2}0>|RWhKO z8@AoyBJZiqMg4!%`TRb|m{!<}( zP0S;$J`q4#SJ}EzHzno}n?&D;>kw9G!lw#t7brxaO^F?SLB!O$5Daw1vUpv@vVXje z?nAa1cs)N5MzG7^@6{?7_Og0)Y2gENX7J}-E?mJ>LsB$`q)m*IG#aeBVB5(87p z&>MyXYVc6WK|z@r2wfv5ig0R_WH7PhS6wozpx3Ev80f{LFIV}a=FBxwNg(YJiV*&R zyb+uGdY10+bPUI$w}jDCN0Kt{04#xDo2uOi>4S?`a)1^qr!$;dZCzrf^K6b@d{&p? zlx+H@7S5hf8-d&d{Q>i)51~3tRv_(N5)`;p5{N3t~ENbQ%azu%u-0fMBCDu<3dST-S)k5G`MCxcBLp z`1qGVH^d@n25YzPXW8x$)2y6Wd=%t{SXR1_&OeRGHPS^w$u>rt#E*^^Q*#kRRy#D+ zkFa`N>O?r2Uj>}w8dNnYh}zU>zYJ(e7h0~q>XCpl%Czv6JP>U&e{;c@pUpSw8m1!} z=VBjC{~e1+`iI1H7H?w%Q4gx}*C|E#(iEtgTAypubwY#5)v; zxIwBPnj8FJB|-owO{hW%^=1ljM-JAAFXc{f)rWtcP!h$eEqWxGo@ZYtzYwgvtpzZ- z4*E=r=tAoX)G>6oMa=tAODY3X`oZ2q>#pdL#x|z%tYNEoCY%ztm8;s7gx)C_bdi)s zaMUX)IQTg9)?jOw9l>@9_1TdI7=C#NZhSq^um&3uAu);XEUe}&op&8AXgg52^ThRo7M|b~5iZd|-9o$1Ce{1VuN1Su(5yC2%oNz|~C zI<>>a7BU=3Q0aP`GAfnC_C<7_MG$B;e{wIJY$1Ir(m3Iq5$L33EkpE|GxLK+=%Q}H z8mc@lbZs~E6p$>hQ}X1ZQ*U@6bTib=ur;zL^4%7dHa!{brZO1Zpo6{XOkse`7X>67 za82*L@IPCVhGaYPrk%k^)C6Ol@-VkZdy7Oc{{i^-yy<*Bgu)SqjI z&m-hZ=kuc4RjZA-HDZfU>rXce*ilzd8|aV2H@z+B<{_ngAAAzszdsP!+I#M8AVI`m zCg%PO%b$UkTPHnz1tB?QRWjD_@t`$AR8~&Aku~PC7ZWZ^RRyC(;%>;dt>6L0V5IpO z+ByHc6HPb_ENf=F3Bu~Kn~>D&hpRmUsE?`T(dATe$I^8(A9NmhgJ|wEXESsdk$tyQ z574!%F}GWuraN?Vy4NWrjapOgfA5EzOtccx@0O-2mDK-yaLRI)nqZgI=x2z7NZzL3 zA^tEI>lXVPB1Muk%%CnYJ*SOmwoR)v&{xlbsHkReAa_xC3P24_w}MdQvPmS^3yU8# z&W}67&FiNen7%dMdZ`h;q;<6Ewk(+SEEg4ZUo=dzMN!6KUmUZe^0Ts0xdtjBOuhGGzZs&Ji$YjhR#wLLuz_=AONM{Zy z*${@)j}`Z8v;Pv-zIcFG&m9NT(#VX7^MiT|)D>G@w<_K{*+?0ePEcEirsRSe2W$v} zReit2tk#L5+kz|X6XCvn$A=u4L9|j`w#7IfI%lRIbw))xCL^1lB*gls zfsXl4vj@MaVyY%;P?vIj%A>F^AP+QN6e-OMjn{-C?Jxtr*BG+UJ0dAzzu5+Q_C^f| zYxQ10!JNwMbs>odV%ozc36Z6w<^F8t%A3O`1>jo*vXJtTvb=P-Rm^cIY)c>J2+|Z) z>EO}>0A>94+xNN8e4=Nx0`~N1|4LZwk=B#6H zAtRD=j76Ozy6F(i1I#OpVANH9n}eqT3_em$$LUm~wW3CFoG^Dlup=?D$Kb}Qdvw&R z(O~X#$L}CmkL`;y+mqz|gGZw>X&jf^rP4)HG7Ym$1MkE>qT0q;k;Q0!?NQ8WLC2Z? zBf}saRy}jJXH@-;jh=Fndhpolv|mPxU|6Hm${L9OGhmrCsWLuJ$_!0R9Gor+rw@(w zfMyvuYe-fPI(9Kt5Zlgp4eJs&&)- zzT#+ui9%nLen0}kR1Wur-Kk`1glZ;8(qw$f4J!LuAbQkg@i#lv|3*T&2(8IWm;sV( zFKJCxYK1iya`J09wvh6mp2qx9Us=50#k`2P&{dmQyVF>+a=np0{dN0H2aL>3z6Sli zT+dmE7X>NBU)dU+YxN4dNi_S!n@ra0!R&*gMJ@}q7(sIwreH9T%tfgY93G-7>McqAeD6(2KLusaEZ9zyWje^z>!~Hfpmy2fvM@3`YAH)&; zaaF$eh8ac73Hn$3i21XdW+W0kZiKx@ZFBuwRtYR45)~b(I^MD0aq& z*fR{8&(UrytpQ`y1Xfqultu32y_Ct zJX86kG4%MKSAayacZXZ~fPz3*DGq;@eYHOY;}MOhXoi3{8)fDTx^4on2~iR35;;!C z1}`}iTZTpdSmt<5^+qM-qyyfW(=)qjx#HQdhOw>`x>3Kx^q@3tJZ6a)*A!%$vKTay zO}UObWg9Ult^Md^s4hQESmwURiFw2*9%p^~cx8&Jc&s$?Tn{B%FPv;cW91z80vI4A zCMGgql}&!)PsYVWj~a#7-DHZC&OTKsaefN{Dj%a;6c zuj7_9s6irANOO?8Q4RybMaYHKUC+m}k%jq~@n5d~s-Rkq==H*13s7I&+Dw>$6(Fto zq;zP46Ae+iktwsbMlxWv0-es4VCWQ3$rW z1-B#Gy29(kT~_+aLcvgKbKzL+33`EM==d~gD6dTg2X!d;Of;i4lAhAi+~ZH59`ja5 z6_lrJv3cYeFp2;PN@92>Zmj;ca2>x!1~piq=zsdUX?PC>2qZw<;UX|#cmfP{^705w zqiJ#Y@Cj~yw?8<&$Lteq9-Z$Q_}IRaAAP^*`+J37#UQXTk?=>JxcJMC;}(5&t*)SF z*%IAV+EO5;Afp6$--hg#_A|r1vzWQvaypStW`s&?VTZ_glCEU0P zHh8C(HVSGkiLX5Ek35$#6#QIC{@8c6lwBbF(i6Q0=Np|6i{aC-X^GB3&u|WFoJHpJ2?LT29?cR9Ne5u z%zlD1{U-=NKb)Dp=?{q%?tgy&7fJg6p+tFk8AM#z|2I%n#D$ZP{l9aIxNs42{O?01 zMnZPZ|3+gF|4IJGOPq<2o$Y_J6lW&n_%DxCoRyICzh@-QPRRN{&iwog{|iw1<0ECq zM9BGHQz<)ULeBqfq3G;jqGIN%!=NZC&Y)`M>H1%YsmOnKQhE$xo~{xq|5=NlAQCD+ zGyjLKs{C{KGxh(@OU2U2)XLuC$3n%DnUIy`e=JliSqNEK|C{;$u@e7D^1mw~Yi4ip zLto|OWaHrazrb1lPmZc>+JwE{7*go-Gu7;()@k{Pk(ErNQGaYsxL|yVqnr#Z=Qt?} zi9_B^-8DZhBFu^!;H~$cfJlHa+}8MFybxkCs!Z~9td_k0{^b|eZ0L6-P88-9vKL9cIm%(eed@-uRa+B zJ}yl>lfIpA>r}Ef;LQM1XpQ_7P+fe>sj^S23V94|^kMr1kohsA0{QctfyZ7z${NwEQ{Q5~shSN2e7;pFE_v6d)^={ne>U&k! z`_Av^t$8P*$=(wtz|LGFW-O93n-uw+#3$rr@f8P)S=^JRa*nv=BO4jO z4-q91`0=`I8Sg_eca;B*lcJ-9=sRh&w4&|eImN8hUR1}6MT)p>0IIW`1?z-`gXrU{ zSUdxgA~q9)?QhU7^rq&%rV-0U51Qf)g>YF_JNTPqrY;D&MU1lzexo8uE`SNh(peon z-ATlw{`)GcmhKWbNn>#AcdBehtFY{}3(OuuiDO*|)8%sBgh|az6gfl<*3^%7w`3*+ zu1BoXY^mfDZ^{(r)(1=8&QyC*DB;a^)xt(HG!B1d(tgrk6d&8VuMb~T59$3-%%a5` z)yX}n(qL&ppW<}r#T4F4GRaSwE|(GfFu|CWm{&^)Lpk<6UhmX`Uy&6nvZ8p!>J;gw zN6w7mU#OhI>YsM(6bFjQD17@?8!NP2g{6)CxP76&*qrEm{ac|3kMaE_a&D$G%4b1C zBhWa}xc+b?b0!*lrnCxkbK#w^$%f`v9Wwt0u)LW}q}M@=ngg8?6Y;0Sw$l#cnZUzu zX8gcQaA_VoYc|XTHBcmm?%;~2JFt%QOtrg3Igi3=rR^(5D3!;>?{=oy3L`w~Pv` zo6$ZI>LG8sD!kewQ}x;{Da{yaFbxIJm^z|N9ZCp$-1%BuCln|tij2tyewihi{3=jM zYdK7+&}y|q@^%L1s5E7SxB=<2|8OQ-HPbgu62GS{)yIqK?^A z%EYr7>eRkV97m0BE*v$O%5gIJ`*y*3C8wmoy{fO!4EUaU&(=K~4Lvd0+94x+)of(L z>Ut1#?N*^PK`mJcyxlc(%7@Xw_{m%flEeyVW1O8^SH>L|BDZ`fKtrmjrnX0<6jftY z-5Gz6_ES^kD1gl*&5VNzz7=ccQMjz<^5+sL zqoT1;%j;gJb463p_hx6@m|smrZ`F8hNM;+QMB)tfGVDv8G^q@OU+X-BTp>+)D#!op zIz((mxPVweo^5yOp@y(n-rUUyTCC?Q2DpGViD{&^VycbV7dJwPcfEQI^RWs2h=7{7 z?;a1$DD_p^O-iwM^7DRj8`yiPZTv0%h8#C#z8cSRe&WO=&WPJ&_Ly>p$7p?qHQ|YS z@aqaZxV9MGj7HP4)R|jbuK_YTW+J`epL%}J-!xc^RVem?7JL1y5no1a+%r&*=sxiL z42FMbI^M(;#5Wdi(2@`@9npu;VmNgmWe_hb7dxlmM99kZw{t zVaCv7PH8d^s6&LkX-oYm5=PPeng&m?H1RGh66*o{Yh*crOlwZ!N?4Br6yF4?mp6~1 zN-Osh0=Q>DIwYx92QNn;<#*3aNt3#)>&#^ezXAd`c*g8dOGWT@@!ICuvh+gBg0Q>D zXj0gg7~)|d1Ia+V7h;k!uc5E+IdssI7~z?Z3K!m&{2~uT{j=l zEpAr2@zaSH4QP0i^oM)SXZK7L%bO_zlneD%Sq&TL*AvSy3Kx3$Sny9zS?9R0nbER* zbLMz5!+ck~rSldVT~W$QnF!~`E>P3Pw)NwbBq>xC8GHB=Y=uZJk``L(V+M1hIdu~{ z*J8)Jr=vkP+OV2ou-3OA7@n0pPIlaSnc29I5DWet z&294C0Rn~b>~qIO>|7^%h*oJMD=djB#`tBm9AwpNuC>ng$GCpU%b=1Tph*NwZ%Mg5 z?e^O>8A%t)hSsL4@!5=dv-xYJUO#$+sCih;oA>|_jEuv5MOk54MSvsGXux-8iDx!<=H_ zw5pEzsj?Lm^WJ$t&s^5KQIhIRa;a7Nndkk3zFvY&Dm)9Y5lXZ473$>OK@Sp|Ri2XJ z*M#rEb9nG@BN>s?AmhQc#hy&8CdQ4n@n%yS@r6D`0_W6eMRLO>I5K)K9y{iH_>qq@;~cl_=xTm@dN7nj~$l2m^-OZX6o$EMso zaj%Omgpg59p#5s+5Q$VS8R>f|pN8f`n48Xm@b>*t&OSz-zmRap^&)vHLSywS%6e;_ehD0Of2ppEzbFjSycQG#%y`4Hq5nZUSIL#M7xqVML@e zyy;m&nuM34br{q~j4U|_fgXWdgQl2^T^na|@mj;frSjdDHi&V($fPGSPZ1Xc?o~rv zdh|Ts!Az4Qtb-vF(*&?D^kf!EO=e%;hKirU-Ok-Ng{4v&cU@x8IbpGDTXA{>d37iv z*@t7-5qsgJlk?_$LVB*PQ{-+tpf6!?o`@W}5F)mg7j;O~p_eunRXzJP0r70$uQ<>pi&-7lBk5|N{e4OSd?G2zN}c+2gCG;E8L3_w7bESoRM zV7gqAAJMia0D)+iWb23aAS^VnkegQRY=y+Eot>=-rkNhIFGplF_){@&p|X+a zNQexgc9`|sIJ6up77P?)>Gr$q;_wHCGhT~uH!>sEZ!&e;KSk1H?-VXy;Lx}=wtT&Q z0-&TG58gi)_;X#AQyVXBwr{KH%VZ%hy;bS14w4{TTJF?2AMM11J@0y1hEY>}Yg?7) zhd~>ln?oO0L|3tjb$4XH9Ihjb!iXE2TvviOv}!jF*Vp^KPgB9A+mHb%HB;d%1Ns5U z7MUl#p?9F&UcNWsCV{=?Pc+t$?)Z4_{D)+<{@vOyW`hk+Rzs}Fi-8gtaE^aTQJwt7 z!D7_jD$TkCvMRnM@#%i|BUAiN@Q28zRgfUufsPQZZ@K^b{gI#>q5@GM9`i4Oh)7=8|jzMm)et`_1b_MdE_JY=J)LyV6WxtNh z2uVug=14)u*#Tp5L=yLFopPryVzmX_SWh#PXFf9gx7BBG1Eb5}nj3IelK_Lmi*A{o zPD6&*n`}KHaeVo8qX1$Vj*cfB{b!zue=o$v<9-~hX2S@e;E+ZnLRsNbnlrmLJG2-4 z4tB=-xsM%ii%C*$_B;U3p3y9-SxU6Snf*myzu}=3cMS&UI5ak81x|~mC^$#*=CE8)$A3;r`&Heb zBHKHO`*5CCPitc9+<$rhr9~1l&$Gl9aQ68C(c>x>(s&#^N zHu7_-UV-~qy-mYF?lbv5IXXvS-hVVYhi|kap_ycWI=rP+rt&4PSFu)IC$~9tofOgn z)tp-v{uyE&^7e4_CEpVY zWv2IJZmTk%J$ecB1T|BY+>Tt9(?Nj>ZRRAK^Bd;2#Ococp6+0K_Atv>s^_sb!8e|D zM8iRs^afDm4Sn}|{q@HDt9^5em)YCQ1Am+z3%JAbTDVrh!Vh!y!&?^dUm7W~;28|* zjUu2kD4})eZCwKJXQ_lNB)yhzD)74{;k5S7K2STeT>0^Z63bCY3SjW18A7J2DO2mG z!LFJSd3A6q4M>(gb+H};E_m=HG!Xj10lk)KD>tq)G()^zMy@5_ZE59c=AZw0y>c=JoBGvr6Pq)5=JqkXKBVdXg!muef?xYx>}) z(!a`&1q`>sq=vekaW_(-X3EhD)D?ywh=Rnp4yS4-fQ(VH7+IJQJVq11I``cVa(A|j zB^!DlZ&EArE!#w-+?)NwSVG*2D7kv{gIWI!P>5~c920|f=%34o;)6yWGDDRi|MS>F zZ5h5O17#E=@8ZXFtp~(E;Jtm zMb%6-*bWH<#7j_Ctg_{ge!ti4u6DO}e7dwY{B#njTwKQK%_3h0qBC!J-6V!?N=3C; ze=I246dTFYW!Oq*y=Ge3D=|H4V4H$!5&+M_k90L*He_LKP{TYFe!<;rv&sYVD$^a+ zYTSV}Wo0&xgNI~!qzjI%L$%93_ZBoJQ&61>#{*(R|L%rwTeuiO>5HG05%{9Ye{rgb;5pS`&`Y*jFBl7 zw>x4Txl2QIm$oEjH-K!O(!5hBAN@NLrs`=h{Lt@^!nGWEu4?z(F8-uN^}6RSVEwaC zJAV`{EMRYq%St&Q+mZ}hemHJr#(CTbDb!tufsY|=D zsR~Y=&)b<3uh5v7)?<}@8Xyi7M;Uut{3RuYjE)R%KKM4=25x<Du73EYKXl`H{)aoA4Ew zJ=eck!35RSHs!^)^Ig@D1qk;A;HD_H8(H59<&d@cyFTdFj)zKi;s5>a=Sw*#Ds*wx z42|6wU;I2091!?@-}=@C&sOOMJ1US^x_Em1inTEL54LxeO!V74JQ#-q?wNjp>Y4y` z>1n71*a3%tx);+$;6jOlO6`PLH?2x%h5?o|e(y8%k&UhsXr-S}CDmq*4p2VmDRna?_i zT}89LKC3QB;((P!6m-bgAie*TK)}l6peYB% zYVnmo%qOd##u*6zNnQrJtV zzla^T|2PuY{5JFRZ)M(>b<6@fV6ceYQc)*(1E{%I+71YQ;@254W_R8qjQ=LVZzk>f z&^Qgde#WP-S_Yk)I*#LklLy0jUunB&blA#?d?wGZ!-F6H`A%Lix+NJq#Mb*txZEA{ z3OK>Gu|M7KUe0uR8>3ylW9;RyX& znjv6qY8SEnC5CxefVM9I*wJe(i`cO7U;)qq_#J-`hv>=6A8FdVcqtbXc3vxL;>;P?<*X{TZI56}8hwJBh1p#Xeu2lzfeWvaKWp}0JD{^0JI5HO7 z^j(~)RB)WGx#{-|NYXO&47_Mh#Pp%Ac0ThJlu;}a_q03=Edxaoe*h2y z+wv7~`m)=G>CBa!i|08fDFi;P&&oJ=lb(Rk?pA3#UjCAem#8VU5E(rrgdU{LaN#&a zyjYkSMwKB(9t)XM20;$5(V%W%6;ya7O^E>;c{qXR*jf1${|z`!p^m_{ZGf~5UvK3S z>@(aN--*Q=J*)^9(}1IY=SSq~pS=bPZ~p7kcHrI73ORy{Fe6ch5`?japPi!$1dWZxJ;Ys=i5`8S5%;Ilc6l z#@RtH%)sJ`u%TtM)#}Tmw3jGiUB}(LDD*C&VlvFN&1c-H*kBo;9Cy2(q zc~BLJ$vi6tEOQ@7HPOTAwhF<;$zPGWzm0$II*occn9)JKWZjrpAZ=WHZC+tl7A6UH zC${e+1t2IW(Wxe_PwA1U5>*DnWz}CyG^^KNTGqg3QqB^NRMwj?Jlaf2c5xkN)j?q0 z&U+&HQvc>vi0*8}Kzz1~G4`x|>c#cRl?g%QLz1aG%-SmrPh=bJ`{D&Ta)zu$-cCM+ZnLK5e9uZU1Sx8x3UomN(;>NB7 zT)pY)l!$2`U-f%J>I%^#}QhNIfMf+GuKHZ?v;t08SeW5lyUS$a0U9^M8yYxP!nl^kzn-x01 zzU5H6lOa1PoFekhqb?pLqgfu4!|m_rB*QshNfo~*|LKGZiDz;sBv*%M9z0|JsnL(x!^KlEHesdrlo%9Z9#L)`eRK4`$U9}B392FF-}^!G~8891!811s|!R7iazH?%8l1;eEkM$XI#zRXDQ(2XyGz#y0gK_F){ugPI{ zCJ5mo=ygsTW*h+rEoEmS0*@ro#aHU7g{W<70N45)XJ5<#8g?9;=~R}=Qg^sKPl@F% z?>liojHIO&R`&@s_w(mn0sQ*cksfvbv!l5Z1USDQ7DVmn4C}sQAjfnT!mhb<(A;4vt)Ue)L#5VoWP=oU6%f1)?nvNwxT~D_$!RIjGC#snZam_gBKryxQ zx?-YvbYESQ{1xVQcf~|R1X{vN6PVyL_4{j$40E&;{?zxfH}9wW7|8@D)xIJsJE7hi z%usSoUN8Bk7ik!eLTzSO+D!G^tMQj&3a+lPZK@{1qd)z-C9Qy!+Z<>?RL>C8zEp;t zrhbf;Ze(Mf{_ubAkik};S_&=YB-#`ms?;wfElMCz(z}yOomX&?Xu^AKr;;Y;rx2m*_ z3$rFCyx^2UZ!^+xditfSzeovdaesFj*h-8!Cke#qBEA%i7~1o|0;>TdCQ-}Q_6u6}FPiO{QE{Skyf!Mye?wZZ_zL>^k(CtTzKE z{LInv)s5AGFU9%q=`9zNs&=>dSy3bKb3`_aWep#A`rIy^qCCF^1qeT849NA*M;KJb zmRYX4`~ZdWqZSt`Xt@EUY77W2f-cS)Ca>IJ9$>6hOKtzDVq<9mXdwDP5NWwx`l?#S$*m{P0m zvvO>D@}0$NPc~@2g;!|W!2z@d_O|675Zv-@eJJOtN))Y!dSqj4p&T|FI=a;Kv z|6WiJkSgb8HBG*(KZN0z=(*s5JnCz9rX(nLSMZt3%C^~7G2|~5)=)#ewC&8*W7ag| z)uv4Q9i7%=IiF+BBq8KpPPq+8O`PQFE4F~@T9akU^J(Q5NRbEmW*I!x3GEo4WbZ@k zZ3Q4U+j&S(NG%|RiysK}LrY2gLyg><7x1`Hp7>UPF_e(2SowlB)~yWKtxx)#ImXiS zsAO8Soewi1O@e0QrKcA&8w;JZrZt<5aD2XV#!IP`p>WOlI$8wdKlo$r$m$M-Mb zwXrdTyszd!gWXSeYFfH~kvi8qx!IbdEgugjJUjFEq2Jv5c!MOH6CV2USOb4xCdj_` zhZA!|UTzr5H2!o1A77O%?JCsm@ID^T@Nk}NXZ7v-_X)qYkbAgPBhCC2l6 zxKgaWI)jM@$)PM!D;;i6{PcQt{^3QV&{B^~+BtCs(`?~`VD7dKF8F-Isij(jMk#|; zNh8@);=OYosvr!Lli==}9cXd*1=oMuA|%)I+a0+Mr1r2iE$EWX#{Fb?xOA2QJWfKq zpqp1j5r2;_c@bEVHkvpKj$bS3GLRJ+^cFmsvNeR*^JG10BNY**Y}2W9;<=2(89jJs z!?iH^$!?11qF`$Xk>#>mWZ8AorDx;o`nimPiz5k9kD_jh=e*Ryi&h0@?(g`tppK%U9Ii z$Fyl0W_Siq;{t9*IN1SDb`}n5WkK>DAAR1HGWj-+>s&x`EdQ~)@GbBqwaU3%|Fk2;VMy?3) zO?mIOWy%`mMu~|SWEZgz>TE7EMWTn&VSOI1B$P9(f#)1a?ZJN-?^{zP3&+i*Kr_$Z zY0G1pVAj5e)ynVAMs#{|`sm*e!aXrNQa}i4Kk=n_RPK!Btju++HuOQ9TcSIo;6z~q z+8HY2e-D59?mw8+F^NuJVIgBfJhdmpP;B(0HBJ9oOQWr7Ka$^z;a6E+JNLUUAC6i2 zKEjY4MvNYP2Qx6FZYnZ4`F`Ss(bPg54h$ovlh{2)MpYcVL|1wMH9CmMyG2NkVVhMh zdEOLD{&7WiGvmdkl^3)$IZ($tHHLYkbqXHpr&7D(Y?QA;cg;(rRx3iSkZNbwnKD_K|BO!)s?#o%i7W9JeyqZ#id8S`qH4M_ zYx?1~lqu|Dx`2_{D9MnF6sCaK_TcCmwBO;rk?9?UOA;hsg#HS1ti3jEMr!rlc9u%( zC|Qb~aSu!B{O4OIbNggm62NRn@I5&TUetv+bs_d3y!F6fNCjg;ik^ zI7$Il9QcgI$~EPNONt6mh;p?k^Gp^NkVT?BPuKkqdN}!%%xU~DcZ!-w4v+f$YpGIC zh=d2MSuh2KoYWJPj!!~^-XV_dnDQ?(pA|H~S}kfI347pxLIpx-h$WUSEGu$V*>iF4 zs&PpzH8@sE@)@MQ42^RxA|lN>49K5)0UB&*F~&N`#YoO-<&r`h43GYScG_b^@d0CL zlnA+^^MA<7vsBlny}z&l0jG!2c=N^sT8_V$S@x|bnPLdh5}Gu!;ssC_ShT&0)mjUq zusdrEtsyr1ndd7YrgD!d-+-A4G+|{lP}VaQoEtbSg#%ZL6xCcsV9!HRLxtJ;FzwcO zD)T;m>VKN7|9TUj@EP+Bgt|@;T`3+}7ih9IR<+fmtBYwbqp9_*N0~=6xTOGI*Kg2S z0q9joGLNL81Gl*Aeh=mU8mj_XxXWQB*aQ-{;#KX$lr!j;Uj_9p)Zr9@ajz!xN|Wh) z|CL2`ldC%0jlCz(%_Stz$yN^ATqRN`6q(6a2F!yiS%~P9cKbJP$jP|-#ZE(jO^ed* zH7E7_6N@+ONVIIfDetPSm6@GOenCW@Qi}?J_iv0mj3qjO67oB4@DS8$kCiRU5W^&x z+fzrB}SMYQ^It3ES2CmrB z<4Ovl={^Tfqf09QcxhTiSVO@X#q3%$S6gK=s`cY-6ljKN8ih7KMo}8Et#V7CN*Jn6 zY9MDDd*{Y1sn|dlm+NzB0|N!;JWR%bl;InhU^-F{D;xc4XJRMhWW>j8r8`Jzgqfmr zi`1p^!t_SEQ5v@Z;E(du#G!^*IZa$N89W^_&8nzds#Y7BHLZ-L{QfQKpi}7Wcd9S5de53Zw!FA&6ZJA|gj>0~ zjvG-&kcaV%}H*}ba+wX~Uen4o*m0#x($k(kO1wg-WR$U<}iBMlZ2F@|F z+IdMFojdJK+8J~7RN%#9R4-Q1vQvg$z|~0C)Ix%vU{4R_!2lc;(Lc!0miVy|RyegFCP=_oPJie5gByQg zjdZA+mEk5@TLu0AYi7QJ^jqla+cu4B#k2w`qP?@<`0^a>9o$}XsK zp(zVWBuc~&CvIKwNH=2BX1G&#>q?gUJP2AW-GH*5ik^_g85J@(~Y4t$6BguxcN9p+&h};iM#T2Q883h&# zBar%@ZkJH(bc5sRZ8r1RzJ7)U1yA`(w2E$F2#%P3k-E!i&cFRxVg~jj^BZ6}#V?d0|`|Y0n ze4qdyQ*L6Q6B$jtB;yL?MY^lK@n8rQVXF5jlcvmP(muX-2Cgx;tdM>^EsL(P5nUX(4bepZ551>N@Qq|BP0;@)R~H=~w;Or0`t?76NZr&_4Nl8!z8c3mj)U-1yGQ ztMegkiOULs=Xuqtx9r}j&X$PmP50fHYoQ#OqLf8}hh{ zIcjP(s?9&E)5N2Ojm(EF4x{O#>Nun~__$1t_m(iNEwVTw+!nP+3w(TK z#p~SzRD+7$P|;K3+>koc3Mr11G6bD|AB~9jrvhA@C^rTN!yHS)uS9<~T0p;)A>6hu zj8t{O7-oV-`t#$ zx~Q2m!$qc2o)@8cjOMEM0i%tk`4azlb%BRl8Bif1M&;L^Y`yho_@)E#B>=T!;}>zI zJUb@aO?XWiSq7R%!(&?pn$sD+w0RB?Xp1hhjU{Mh0^3i&4r*_2kD6<%%He|e%2Z+h zE=I%Mdn7yxjXNW*(wm=4c%o(oC$tm_jq;3>yfIwQmW5=s#ivNM3+AJ2bwLz1Qov)% zU%;55E?kCeXfRdw0AQ{iJJp&WPa%Nnszgb5OP8aL7;M34HXO2k-9SHw@Eu2kLRnob zvOUt%MT)rgtGs0p5F+qy75 z-$rz3Y`!b-$g7t&uU;1NDn2koQRG!D;ksg8#U>u!)E;s^e2N{fls_-7hM|pp|F5=V zTn(L)rq{=-%Ld|V=*bDjRcf8kxQd%`wi#D(GtGxcnp27wWl;)@^Z&+GtlCv{5_lEc zB!oRBCXV$DtZ+jg*NAO+G_Xd@r7g!9Si{n?Rwb|^Dh>Js)}s%wUKRo?bn)$?58;b` z=o(nVQXLTl*3fzN&jHqn>@A-QSQ#;@L|<81qRyYui?ECGLVH z5jR%#05GS9WoF$3IW_e1RRU87>X;uE!ql)h7D%Zf+jyxIde;8AD0Lw0_BA5gP3~s!PLt_OvM+L$}BMzYnaako!G<_{}EF|KaV;>D2}nq z=fc#m2!!4dZ5w2#98r_8RhSCP`r=f~aW<1v={)5wDU{!QsZ`&XYhEJ?YtP}-(1rgW zPQ_6j^Q>B-hk}uq`btykV=$!hHWYis3+mNzFcnL>$N^IaU3wT48_uuR5n9h*BT8|5 zX*%@N9+ZO!!I?h?QW2tkd=8{SKUpkD4NJ-otaXQV^0o-61M%xkgG)m9rT-sK$Evg# zQnAge_~Tq$^yDC}BIj!a0z(ajkV;SLe?uyw)Ok)w9dT*NM8BnTlkZKbLwI>8HFRw* zamdJTaz*WjoG^srcm32x98lz`@i} z2?7UG2kuQsM^3%8LG@Tns-as`Q6WWP(`(+OiiEE(sNybM4T+(wO8Ev=ma%n>NILif zstAx&Z&D45XxpTU>guXQQvFeFf1v`8=!|85E>L|eZ`&FO^ZAFRyr4ST*(*U6n&5Fj zH7s?z!!j;mjA*bR4S(S;L8cS?f7ISJ?W>LO8n`p)@kWt9o54U1`yr@cf z|L<-*2G!8DYkGagXB!Br1K_7(OHifui%_77duS?E236jSVHco^o0*CbVYOm&0T(HNJQ{m~ZtRbi3zGwSfocbewNmXOTjjkI-$JV14*)l~{>+fy{*8j6z z?MRZvHY}f0bnGf`=}+k#4qcAcb~KaNfv<0ZfYf@k6LovC-wbQ9eze7$ zRx&HB!KIE%qQh!y#I|mIVM#^rD^@Jn;-L%or4wSgGoJP94p!77kHP)4NW_+hmK2cv zo16SA^=U{Uxk8+|t7xf-fy$=G7AU@p;hsJWzxr8Sr%SCGx|cpgwd$5CwHa#FaT61U zTy;F=cwKVUEz_tjL$4a@kU0=))SC3o(W?d(J{~JH%!FLEuJQKBRkyUl4Ix(@cWLI3 zs|O#sdRaoQ;tQ4Z8*&wA6#h@~>4x2g4G}k*lUUkDNdWFKb7CC3BG&YuA zy(~=pb;;HEKC?q|6*m~lD1N^wMMJJe;V>m7S5a!p^N_2Kr@BnY)pP!lt2U(bBv&05 zwxLj~Zz!?44brL(lVo8?DZD}CC#~w1DsehC!Ibuvq6nPLTdX1l(O}LBPcZ9mv1*X@ zw^lWjEJ-S~D)LQ~6k7Eg*M?S|_^+Q{_w}}1WbZO>)bEx)-&z%TwzpPwyc&D5w5pl& zjJ=Llb)2W}2CeG2E;*E9)h#1QSy!%Lrc15b432xNRX3d6^T_`Vv9}QA?G7bapRft>#bGY(8jv| zXV;Ndb(|8n*X`9QLuggU78|5h1M`K_s)&q=OFvZaFS~{{V%PGQ#&~) zL9F7Mp};o7__LmB6>jL`TCt=YrB*%X(xT&}R^8GvPYtz-tdz7@+MMM|ti(SEe zb%|An?5+~4NOCO?u?km9hlE%KF8P$}?>`Fo^W(oCDYE8&ufKccWM?J)_?@eVN$4Nx z_0LdkCZ-Fk~>b}EzIv1w-^BzbKMQoxpFLbDg?PdG@-LB=AOHS~n|x?Rs?8CS7#_1wny|DUirOtv{cZ^j>bRmLCfaQY9Ax3xC`sHh$_xvl z35>dQ*bQ(-L){n~z@d)?(O9t`y2>$nRh*Db8w~k5(NJ5gh}MrgHMqm0Rrrcb!f{Kk zIV=TY-Ej$LzyrdQ*c7!DxjrUaMqspxX(av&tKHug6mDQ6o>{mDmH@%25MFNSMfXNw zB?_TzZ`H( zDHHs<9+)ck7`&?ZMQByP8Ll1_5Xjx!b*E5D3tY(*0(vs;?zjeHGb=AS(%uoAH!(2!C%ZQTW1XAl`;8NamSgc~C7uDH89Ai* z&&HgqaxHGrn75PhZe67@_=?7~u64(IRuNbpDh=WkNBU((J$M&iyH>cb2fvvMqq!!( zP*r_?-K27jVjAqUD5lOGH%WwELnUx-9EgQ9UIw+~h^0;Ug*X4k`Eo5yCtc zAubGh9^6rCIhaq2DnBdysn9|ALNKp0Q5B1>oo9(;-nAex=19Z?p%bRo%Mf{Qp`qwJcjWE=5EKVS{}DRUmM@HIUvA=hdU*H>25lJbfaW$8lM=Xe zM!j;J>1gka(&*gLMT3wqZ|o6TRXesN21a~AF&vAyOqS`K`;4Rx5nau1IqSR59riqK zCU8pzkDe7yRas0BM|zNoI?#5M5(_B@*fN=*Vo(gsf?z90B*bQL`KMx|SD?!wHdRML za`-1o=Ot^|M;iI7FGeqld$%yWs+POSbvE_)3U}P1=C5yG_BQ;&>J|)m*zAYuu1PqDcB&)l=!`zSSbf*>9z*diLzR zIC7&oLn{x>U_V;&12+$fUjw+dz^G>66cXPjM+yu-V};Ch!U{h0HWihHS#k#MAqIU& zS=vxLKMAJ&iO(71YDAsvaAPQRE)>sYOeNJkrwfQ7RMP%bSt{lXex!Z zVnH3JVa6*`IhfD3c`YdF7hZBu!Hy*_Ie<70m5ly5a{Qm9{h=MU3 zg=X58$&o?+Dy;s&0uFJG_>6;FncpnAG%2IC*X*e`wYc2OeEq~!=&$FPK`eLKy`pWg zH9BD{B%(^SEJuofC-c;^>Q zez%~Dkh0uyNn;e7*nn=#KUuC_n16mUyieMD{sf#F#A+51i8Fzj-Vl=kff z_byVBbuo}1iVr>TUn?4SeI*pF7y5txbuDnpTK3pN%e~2S(D&e+nMENA!ola+hTQ$h za30`$%AQ;4aJQJ*PnK(!=UDAOTFy~t*Pj*z;c@>6!m*qn9LouUdDAKD+Z*m(3<5e3 zsjEd0(8Mx>;A70oHO8+g_N(Au$766uUs2v}>4kh?m=GPeE)ACF1552(ma)H?>U;jf znTz>eInep7^4c2A2>^X@zBN}t5s!6iFm#x{9xGOF`hrtR$P(h3f^|{1o!>t|E*>+$!hsyi(w=ETGe+fw$c2P;0<9S0T?XZt_h)sAFAZo_h)VotEtqDXzt;&QYO z9L&VNz6k>A*FEcvUQthKi6jVu`<%GWoHqFA(c?p;_!Vb}YLP8rHoz zgOKaI!fS_}S7KOs*weaB*zt7nn_V2#H&4W+F6%llHa9>S!rq|?D)`9$$i#mL!olGK zo$cqfayem%JhC7N}LlH$pm_icM#{h-Ni*Yauv|?jhdkv)G53WH#U_D{Pf2TuR`V* zoRKoq6;me|O9V>$PL(1M>u56U*TY4qo|tv4+j$#C(|` zQ*nlg=Z&<~8tr~DTWiw}(~CH5WS8#5Sl^P!e%Li`n_f@R%u+JWusA!@Yv%^nfy<}f z!17e_UEaQaC63xja`Dr)Kek3W@NBHVS!pj@!(FLdj%qdBp=vHpRT}QV)x|s-?m*R@ zlNxztDH~_F!?TQ|n}$0u$mAz#xWkdjPt-sk`CV4hZy%wvZsk?3 zc;`xXd#g@Nb7kkX-Tr8VOq*4FxiE=|Duiu!bG)Fp)9!<0+DYNLQYxUhk0(Tpm>zC( zr*`PtuLU369$O85(gnQs^jQpoP0_p(oiIZ3F#mR?DA?iyt46V4-OV09_r28E+pq1(B0ElHupM2MS9 zD#0tCPcem5tnt{9fozgvi+0rjFhGfy=rdQ#NR+|un`}PX+ir8}1XnV9(YZtEpM|#k zVlcZwvd)zzKv?;K8IP?JVi2#QbWM`#H(sa#VsV2>o*VsUD}{!#lTC4J&7sIAO*{pG z+|G%r^-yS+X(ntek!gpaSR^CbU8aBjrEpcvNu$Q-^=!aDwQ>nL$)!m9PT@8Qgn(X2 z8&_1n3@dw1VQmu4gYMsG&Pt6(*WQELHNasG&6jqEg=Ios5q_{LzG&2@)h;LyBj`?d6irAHN@ z!4s2i@W$y31BC=_25MM;?3njV6vaf@#5%ucouN(6V!vDE<}C3H+Y2bi&YV%MK32-h zOt=#_=3aJeGXB<^@>9~tqw|y2*#m%|g2SNhFb{b#rJ<2l*rfB);7GQ5ic~t{w$@`u zklyoyjj0=It#|+vVd~n~Xtkp?mcvx)niziC)CsPnG;KW5n=rhY{OUnKsNezVp|Q;f zxE>E8%G}v&?gPjllVHWAll?|x?o4ENy2z}lqd?u5i!n&SXn?$13QIzELN?#Re!#^M*^9{kQ7p>jR1IH}23p42>&S86jGSF+B3zyA5J>+9eD$Qn$v z9PyOd^;CPBU`ssR_O2<6D{~x_Wcx}xTzxv_4Q!i4)zu2AxpIl=R^q_i@(bc2RCwCq zui^1t+0~Z5YXwrL3J8Q;x9}Axxq8KT@^daM_4Xo~bo2@;7T>+>Hv6-nPAIhU{VO=% zCf<+_eQ9{R z5$}u5=d-~l-&vX??a|e0Ib-I^)HsLf6gIVdRAV>KWEs6^B*|Q9oh4$>YiZjlktJIb z=2X(QbPPH;cXWx6-qy<=lz7jT?%KiGsi>uFjcb1H$Q%&yrgs;$^vARuMr_85fFaQkq7dQl*JfEnVkzjokl)g6=^^&h_@>tU*ws0~AJ z+=IDUosS&XIk7hj9Yk*dwZ$@@=y?wt{FNu}mCV+~JN9Pln9BchVy-K+cm1A%FizTYD1+lKfNCLeyf2hzK32wtOvi@jM?0aU+Ahn=na)?6%+EO0uP>e zl0@}UKv`OCB|H8+Rx*65r%MF4_|PLDS34;MUvS)Em4~g*32E>LZg_S!=VhO0mEJ zHC5O`aktlwx&8441ZlsnKp4m84WF<(1o5vlRB95HKI78@qb1@+A`OEIcEn;6L>#|s zkE=nQ6-#Whd!JJ|OG*HAlta#7A~T4RGyM6)Yc2|Q=ti#e$#HRB$NkgNnga9*VKvG?hx*Ka zKd+VZj!;+o*nF4eh*9?D|8BI8EDxeJSEiKVf?x{B9m#Y=7!)8!tiX{g-N-MH?E!_! z4GYRO#x1AXWPmXrF=u1q4QOqWWSEZvQDxGlu>;Lr6Ik#>hyYpl4Gun9aK(4gqj~!8Zus%>%W|B<(=LAZ)0^(r0hTFcI zY}NxCIWi$GL&(3C8+m~qhum~s3Bi${u;3%9esSd_d&Oq8U=xCS$=`wgpVKD8Ae)*||-N{I!S6yIbF+Wz0XOn0rJyCYQhB&Pt zm@A>_6}6h??1u)kutpA7?K3RkKz0QmuN^}pT@gy!D~|Rrw+CWME#I<&VB000wEeO- z)#AO9O0GxkxHjzp9gaH$^J#k2a23MdwV{u@Tb37>-8S8x>#@PqEwbRCfYX+=k%l^zQm#x*C3|wm?&1}Yxecn)OIgM=9qA6s4^n*yRpm=R(Q&thCI*|Gm4?;+f|pY#(rYtPYp(h04w5Fa)Z(Y8=#E!Z<@y`tUiBJ++R9p{?r_3eER?O zdXcVuzDWp%QxOpBKVI-W#ha!l{=_$N&rAMi!_aP@1uYwG{@;H;3<9wBd>xVIc_=Cn zXI4%0$OK&S_2h}N{hQ))KF-)#R~78g%sKz2d7O&!YW`Qv^{MOBpPEd-%YTOL(p(9+ zG*<#ti6Un`;@`ckCzk!ff&Vve@49h5!@N z5y`C(Z_%-?J5jjCWg<&@&X;WCoH&|NHZ4YL8Hq-jd=If&=R^(_1vAFkp<)cSbLl(j z&;fhyXt?rB4VOG}6&z{5dX7Ek-@9eYrMYA+N;+^fc3wTy?8J3^&;*%%pA+S*XG2bp z<~M}mH{l{d7TL=AE{lFy=!R(7Uh^B{R7g2qbXwT)5=+WMp4Re&otG}%*%E%*)(I~; zUwa-HTLz$nkhkaNS^Lv_CE)m$PX zg@qnI=I&HMOYw??#+t2yclL)xXCv!|u1J~XCuW{dEWvo!=QGxxv3_Pl8HyZg63l3t z@2A2|MX(e28_OsPacWrCQ>k@o-0zV^P){A|0J=cK-}1zmq65P+p^4q(woK^$e6U|s zd0j7ykr&Jp{9IKIW@zDx-=ZEi%SX(Y2rx~|u!MONEY&Kx6=iE}$!_V9){Gd?O*Phb zlH-2R)h}7Eo(VH6lW~^B*_2*8U+8(D^qD@WJ+t{rub)2>r(B9B-b4GAbA0(ah5u`Wm?&CsRMvaUBRUeN2ade zQkP)=Sn5cU<<`)F=DCUveY1@O-1EsRG92O?a4CW?!}9y3Q6zSv-9yf@G%RiCvZ|`< zmh$Xbq8z8S)vDnMh1CJ}NZ5NfWc)IEN*!n7g*?ud0HnldTtusfu75 zY`aEd0nZM(4MAxWfQN=0fXJs435?hpE^!BQXlb8{K4k7Y$NQG7qK|j3^8v1qcqtk+ zJlx>q#4x8knq9Kq&|d$SCd@1RVu{EJR@XQ&(&!8yhJxfNH-J0kGha>;IMw1+$vHO{ zSYFX}1mlYzMYVz7ZNLQ9EAWF}Fp3u@${@EbEFbkP*E#cqG?6`TvdHvLR$JWY%B~BlLsPRLjPJm1r$GrS2(Lt31EShh zFJb_`WMIk7P4Ctq1%tAa%|ur2pU9^~xPn0Lu2rfw&(JQ*U9hS}rX7G%lMJs{oBsZz zan;O$p{C%qn7-fClq{S8Qe=GxYn!SA2U!UjU*NEGqdaGp+Eg(Qz5XiZXjFfU>^($0 z?vcHnWqMm+O5_M<%Lg=+nMw9MVd}7DlLo@daB{=R9+=tm46P0u2$wy}l!RQgd^kZP z#>`gAw#X;$7|OEs{6p@;QP~p;;c_9l5ia3a;ZAC9cRZ4dVRyT8GMMnN&tejEEe=)D#E}y<)iwd+OMbBrTF?-pw8=I0gnT>Zi`_7!!7+n zz0FAQoR@;(aIv_$QA!gVSicmvK@3~>r-2k-AOHG5zhUsyph^n?t(}!_MSc5!veLB)a4o#^?x!)4*pfTkbQnDrQ4ACo%xBhIk$&cC= z6_}nO_NxB@wjoY%4~nwpnumB7<_rF(!uhSCbb0JZA@-#|S5MCjl&)%n0pXQ|P3cJJ z;V$Ep$KYL7GeSY-HUMo>?8RigQZbP$6NI7CqAKCH?MviCmbd%==-{bztmqtU0{{nH zM(Q03&-?^VsuJ?+@VrG8O0>CDIF`fc~|ZJ6FpUa+lvfd+_VyKmTB?us763GV%>YWtye~<*hs&B23rX@{;mK4pz9V*I*PAbvAO)+NcmK3`XvP^JEekvO|2;viw^^e zrL9Qq4<*8n1-?EW zuU32qLjj6l#|gtwtcp)VON3%uSA5_BWaf7*NP!rOL!)2{gs5$WOPCbBXo>*xLL6$D zBQ8&eq{!}#)7Wf7w3xfN9oS$%${ayx$B=`PbE%bt{RowZIUC|CS=ion8Z;5_vJ^MX z3xL7`j)p38&PurgmQbi$A|NqPhL=m!yqfL#e??_dG>B!S=sQsgHG!`gVX2Swwed8` zG~Ts6odM{Dq9Nj51`5tu65bT9sYHyDVNDbYfHO`qx~!3_xG^Ci zz)fB(5{lucHXZ{xHp^rx-pb55W~!sE$B~bqfAnv#*9A+NM;{q)&l)vp9OOfzR467` z_4hcO>>;kHPjW2@x4qT_naR!P`#qx5aod1-ah|+`Vu@FzqKdtlFnrKkpV|K71EDbq27kb31-${ z&@V&u5YCs+l3#{J{`z^3{bhKToSXhKoSXjpZu`6@B6+{%z4{*eHk^OJCDD~48yZ}U zQ3|bc5nGHF=!77u7KJicH)D1}aHrH)BW_J>KlB;208T_XCsZ~d6VLZ1`Ha@bD?_-E)_ob-xs((zUp57%FxaJY)uYm43t~m5`!>O8X zy1tt-uY!Pax&&~OMvBYrv6RROt?A+GfugQ5-|wLxFzw(l=!XmeuFfj>2+7Z#xDCSZ znKWStj#LIk$zVLY4V+iQ;DrMNhrb4i$+-usopTtUzd@#97z|7SBLwn77B{G)rs_;* zJ(x$a+l4{htenklN-!D^u4?*dDY}VoMwY`tz_GX5mHxhLpMGV}(|`W<#ixJ5b>tmbCpcImUg3|=!_bASQMS}+vXXgpKjz@6@oWrF!jj($=l2dPevSXgKiNhs%kwlHR zEzsPVL;-7?PZBw#+`N(qk1uvbpWcvv)F*~JA4WDU+*3mFCHmin;JxKJG}?#ZY#PaL zb{ljGY5bT5sq4?Z%bMpH{~sJ|-1~j(V|cgpX`cqs<6(aIWKx^|xeczGNuKicPbIsP zLIXiwkmU9Qvw6P;P$UX2V8_rB6xxY=rs`NjyERM(kq^1J4u;>LG6LF}GC?LV6yp@y zd9x@pFjTUC-u!I``8N7&w4LXFo!$~?Rf!z*3Xj_EDRLaO9R|up7as;}+1C0&-%sz( zujq)TrM8}q87FNGU+AdmrA?Y(8Nl`H>#vX;%~B%eL&e5lAL?I^;pZ`wV5*sh4t9WH zP#U+DaBDn_0tUq6)Sc#_p*iC`(T4-NhEESA060s&7c~aMMks>jdwjQ$yOx7cB^>2w zIyl6l=^OK4qVpO8LJ5GI8s>_Wbf(9at~QK;&(MZNLH0t;Nq&De)HD_kspzVM*UZUh z^6yZeQ@WYRYrPQmND<9vO#OEveFAplpSM1?GxiwNNf^wiWZd+<7?mgmIHCSMupIs_ z$+R}o(52TEuHM)msgeRN&znKG^RUs+e21HDdr&;%%x{Uu;9k|zLyNt(qo@LUsrvUy z!!(wOEx|Q8IgGj=s2c9={oXXb0al47bO>ywLW!w2;}iU+OvuwW`C?DOI&(Ozd6_bp zSl#uMX{4V$dZyLLGBoAkLIVvQD_>+vdPgd3|6y5RDqJJ4aq)VnrWI z@@GE}ye7xOHFI}!rNYd+Wx-HCTW4;7kL%!5%kZzqw}W-`{kOB}|8w1-%y`zT_|oty zKHJp#FHhcv&1=a(#Ye;uBL!dVw>dvj@Hq{5+Yx*L@e~R^BB;64d-^wqo78*ygD=TF z!QO}Do-RLXPr1iRUF3?b4B=s|eqE1OA$~f8{s~vdf*Kh;EGJ#mLl|~-xd#^jW^~sA z3c6VA7zHgLV3ph&>?AOFQ40az1stlE16R-c$dIKws0jgUXkY9OVyK|W>_4c*z#-Of zl_4~PE|GT_F)Nf?rge9%-bFKKO}P2@0+y)IpUTS#;TPB{2Q}wS0uBypm75EySN@>VSlOCq*G8a9SlN`N+FAu7*zJyEHes7_UN>4t!rlFD;RV4@9!--v_szjWq){ zcoy|?z>$p;X5eNd-);)GX(rnl?X32=!hQ{CXidAd%AV4S2!1?MaYZwl8`B1XxuCJMVhilWzyE^Fi}?r)TP+^WfoMJh4;)W%~V!)BRG z#ao#v3J<*2o$Id0nuSdBlV#r~wWQXsWDjvoeUfWQwe7VY z$V_fN-|rEfmWw4a*3i+GC0LdxGqI-RH)uS&;a*3LCfBsWn$v1z)p|D%zs#(vkrli7 zxz&05^!EAp=}K^H{MMedOE#0?M+TheR7XD|g?bxus*ELw`DKU>V*Ot7%dkjaKku=> z49}8t(_e;j(|_M>pVven@3*{H-(%l~YY&VxUojGdgB@F7;vu$}DmV=RMwyKIOiRtk zoDyD*xHWP8&}WbW2Qh!3ashdGzBegntS(Jc-qhxql&HW4Y0ngIx)+;&&X0NRcc5$$ z>!1b(rC0r9I;He3xxh7wKzjvr&q>80r5jGwVAJ*8ly?>Mi_@ijoAgm!ZjYrjPH0UJ zUk?;8l6xD7LyVsFjtoxlL(B8PdF~R->Ws#@M_H#N^*Vdav79BGEi%pVEi7j z8L(*LfY|IjVb}49&46>b#HJB;Z%1wF@2%TWoB9XzDCOoP9HmKay5P+9mfL%k+Dy2< zuGi1?ObYvY{p%r*yuQ*WpjkcXGd>MWACHVxy)bC-+9qQ)eBcw|zP|G5pWf=P<<^-m?SlRX1LSCHTK1G8(t2CyTlEnvsA671QD ze5Tx3L%TJM1d$E7xDE#2ATI*i87@I2FcjnP*?F_5F|bn-f8PA<1o<|)Y_y%{f1S1x zXjQ2jvCtZZ2ys6?YOqYHmrZENSz{(<^Osv5TrP%vVR~VDbMk| zTT)|>ZG9*zJ6b>J=jqz{70s|{YgtdTjFYyeE;Q5h(k4f+2;lnlkH13l^XGqmf``IE z;YSPEv(cPy3p(iMPnlT1UzTtjv|?B+-VMy!ws3oJ6fjHMpe0d`u&}yKz5$-WQoslZq* zfp@iHgY7MfWR4eZZRd_-nNvqBo)|eCNeImL5!h$R9Xw*OD*_s_!_W|Lgi*#E{KHqZ zT3;*5)DBEkWp0g>IAU{0Smw|Qi$(!Fm?)E_aN}+>cN|NdxdMtUutzEKk5$;bo?yDDt^f z)T0eqoTOnz;CKj1HZ7ma5`vH8 z%6Hdo8OW@(0B?*qz{sN&{cY=el22HmAaD*8129W2Fj5w{qlg1{6)AR@cGTuP6=Jp1 zf`O2y2=?7|3D;A`XzA2tdM@J0YkM9#6^7%EIrj{VB*Ps{D1P)N4Z z;=Bzk8NiWP(b}jKz;)s|&;klNeYQRf7J)%qz?gS|M>}cEP8OEc3nLzbMd0vLccnF| zH865Wm9n5WN{GU)CIRXI?n9+gck#+?ErkVyM*>II#nTI08CuvEYlop!o)y6v zTi8s*H%xcPBj$67Q4U}0$lCV{TN@95cRp<9j>aP+soK&JiT&{0g6b{I7+w*=tY|h8 zNtMJ$MAfMkURUz>YH3;G>xKeFc#^({KF>7{eX|B48s=ZRMl^g~7&7)HqTmRzwWZ%& zEyvA?Yu)*(o|ciVa!t>)%%)W8gY~Rl*K5V>n;4Cb4IJEyKTba#KU2Lgb^PW!qj?II zA?&5+j-9tMQx-ZDEMA4+AWM6u;FHE%X*-qYUKOjB7_%aMed08f_dS|bJXspEDYbqk)@;J2f zc@XX@+OmYZT9MLhG5J${It|YC5WHGnlGDUI$m#y#Fx^&^&c+YRZb>bRxGmiQQ!nsP z{^M3qs9{+}O}7u-0&R%zTEc3OC?P8}3<{#?bfxg&X2SBfy7$ko2qe{_#v_&7=j8R=Ysq#s&Qj%X=5 zGlPQX!9R{+;c!$X-c1t{8)Uvi#eFPjfXW?*1HuwQLN405Cy8=_7Xu$fxHLzErI6fB@W+n{bj**K7alr1;|Q{ zCS)roQCZ?*MfDG|)M3SYTF|-T6+1Z}ED5|$;{q83p1zJ=cD;Lasa*rf1nymY+gc%R z>QsMS>@SCIGTf1E>#=-Z7O0@lYu9g!cHKX(kWurFR&@?*iy2p1IO>bb)Pt8;rd!g8@wJSDe>RN2o|`_GuHL4f7YeMj+^Mrk=#}F9eP;a z1ft=+LXa&b93n@Rx3%7Qp*s;v1BNk5#ra*q7(!dx76f-6X^)JaEJwN4yNJu$79Od4ic|yl}Tu?#EJRu0Zh` zYEtrNR$=p}j+iN7*&2t!l50xSy+EVH>jgdQ|7+={K?3v)SB{ZFdFmB*W)&q$ytHaX zFIrK%=(u2PNSvaqjcY~xyJk3s`gKoV+CGD1GreMaPZ?KqD{C*@>N(?BN^(%MlSH0p zWSWgLd(P%c#FebIyV7*#lvcH)9d31H`mE&)8Ew+CO5SoQwas$&toq{-iZQw{&jv@M zZ;8b%XTNaVzU)^Kq6umR%YNk%LlXpDd7foUiP~Kc_n{qAFcW+Ar@LnO_SS;k5kBOB z$O*}JaE=sxyjF~P{-A_diPt!#U{eWzzyo_#3iV9VdS0>C5y-B8@CY=#{N+r=%)Eat zg_b{L@zX+>Q=5I870qZ0ivrx+wIYZ}u*CbxDM<rplHv3xE|j7{?;#$Oh8 z^|SzQbXZ`d5gO{&_vD_iI6PjALkBP`F7WKxa#6#9yJ{3WOgn0db1xIy=?X=hJd~$SIU$ab5GrR=4t+0>QdmHDQjCjFFKlFJU|*~qhC+E(1Y>MrGtu5K-64;d z&n3p0zg$N`*4^0Jc-Xu1VKa9$9vL~+mX1j5hvgO|XJNwdicn?YxZR||AYz1%f~r$1 zysl*L)zY%W)(z!}@FaN;eV%I^@@5G{G|azbjcE9~a6D_g6cilsf3~X~$Ce$3<#!b~ zo?u|h|MfKRqSMjr9~hC8U9RpI9Z;uSCF?_p;_4J}GYuTW=IywYy^FW7^ca+LSd?Xq zq+AY;eZS1t69b#G;}KeEfqC)9PzYWTQR;1K-dEE%s>Pbpg)O%@vBE2;LsX$*eZ{Si z98_tG56A0y zN>@9EPZ+!?EOGjTaKTu4Sq7VW#h}5)ScsdIScio3iD%>Oro;{w!ztV}hg4;gwI8de z(O`T&Q?&x;U^EdAO1ixmOx}vnIrU*#HJD`**C`*y(*IrM53jJEx@Bff+}7mCAObA*^d>l86QipxBu76gt0 zc3j&TikL)h$xCxORXvd?<{>zUzs+7nZDVMoy?$J1uQ)hAPCXN*r-I z#y!;9+k)w*(t#F*#g_<0XvLYJ$q80;ytlzA4dIqdmcu%v(3om(Z70eW2$gtREJ0I| z8!;SuIaraC2m1+=GQ;;kFU(Fmcli31ug|?!pD)--eW4nJGTP>v~y?4Sb){Yb=o~4dxTxVUiH3IUZ+}`oaO{Kgv5+z7 z9R!r9Z$WuEu4AjY*7VLYRn+c@zS)DeivGtUtk{C!VTnm#bkYZ4Y7bY&H7>472Y-|4g*ff$lS#Men5f0Pi}?UgG76gWsXg=gQ|@~>%u*_{;@zS5c8Xu z;zPuZ`R};q8YiYnkoKD86_W)0IyDPIkAjbNkJ=^uGRxj?S_!SH(-O(kBdx91Erp@+ zg3$xB=z-eo?-N^LHCk#lESY88rk*IX5Hrh*lR$m2zmT(L#J(n(a|ZY7j*;Xhc{}Xs z#L0d=@CoZNB-K!YIz*yc%ooqX%tEo`zdy_A3k%?}ImgBzEO`Xx zl}prysKAO`m{t!JWcgUuHRb#@slM>O)MHsCrk)aSd(M^`rLrO|%M?|Vr94y=M=jT_ zgoBi^?wluF_q2Y!p;B|)-|%v)^YX&WaYaG1NgmvzfFFyk5d|B8h-Id-S~}ZHCniIs z5iBpuiS(TZF{U%q1ns!SMCE?df}Vw?HkHIc6F`NQpcOb_J{rc(PX#-=#TlQ$!)gm$ z$0E+%up%R;3MmQB)^iOtzbsBo#bSJ~DN8cF z1M4o&m=cDe=}>Y3%VjMzw5|oVWIa%;Xt5)j^x>>E5NmEZi%=65MIjLN;OOpNt|y$+ zSA25)eX^rVeErJT=NWtOL@o0zvP4(7Q1Ih$@`&|%icy&!iGK*w-}up zXGk{`uwzSy464bpYP7Q6fI@q|G5*^QiPH_|8S5{{yv#g1*Eq%@X{aCf`*CR>ls-bQ zSs#{b))y^`*Qqhp$-*1(O~tLJLbw-PtYxx{ zqz!1v$M*19T;bv~Ueh9zX|?b{nIuO#Zh5n)JQ}r!kK|dmtl+2StCTMeUW+;6kPp^e zB$b~hK=ng!Nb4B}j(goZCODgs^K@vO=tOFp(w=m^_x9wiFgb;e#j7bUJ1}l9%)Q64 zm$FtfIOe#<!<0~2| zC=Ys3${yj~R-ZIC0pQZ%4U;MzC8+mdCb&f5-CO4ra1VDW|( z`=KYfuj>gcz{1m1XKe_#5*z}tLMQrqL`$X^x!|tDFzmX8R2q>FmE|l7|K{GwCt;~1 z>#4zlc3SiA&-Rjti8jnwBg?&Sgyq^da%G!eBkN_U6b7;YcWlqVSu5b=cYI>x7CT>( zp?QS^W@<7YAdS$5&gV#}7uA$oW$zO!>k-YS#Zu>ysrdFKx2^40v>~^P$;m<`f}J@; zKwPVg&HHzFJ}=!TE%>d9E%{i0Gu3U2`mD55T?S+L7pAnL`rYpUIRZsW+AjZRzv^}F z*ll3%r*NH067~B$1_BqKtGquD&J3m1+PAnV5~MiQ!SJjkiljJ0&ORC+dvwrlvdpo2 zY)nzvUU-j-k7bqkf@jW9<=(Z^j9IS6ipj3z9k7afQ_@5^%Zy1)(;vFA?os(HJWfUP zA+O;TR`t#jTc-!Qv@IwY8m||90Kre#$~DUg>zSoi!y-0sQ(s`3A|I?cargUuLe64{ zea$7VU)LQY$BhOX<#~sbul2wutjCa4LaBEpss+2SjQt|@OcPnfv4+T@lCe-M`TOtX z^y5`x7->a=md6=*F^@!Ts1X#C3z#EzBgpd6*2Qsyy7|WYQjcZX9*P)*vRT$@l*)>< ztfHu*Eajo1II3N@5{{M(t#iJB=I+-H-Uyn#&cFyVFE1bsqoA$7aE}6hEM<)-*a$=@ znaXPEd|p~H87dL5ytEVPI}gmvZ+AfFugl=&&|2uuj)M0^E8AA0DBvebXq|zvaA{pSv#Q2o-+o7)sM>!?=q#o9P=vk~ z;(3!syhqbNEN9iVx4HaUcE{6R*?ovO**?(H`kzSs_j*B%51O6Cu`Gd9Rg4h}m?{Y5Ng0;?bkU z4ZEB|TPi+1yRrqo|AOP*WE(V<0!tOr*$ z_*#i4*$&nfzW+Sd7Rne!f7L zAKD?UhX)+@y2T~hH6!Qg5R+&{YP*{~>H6{QU*B?TY#ro8@z1dgzA(RS!-@H2$=s0w z0HVop$>PZj;p^B&h)W4nw=@fpfsxj6Z^GvC3s{mD+0LBG0eK3yr9%)gV!7V=x z7K}=Cn^cxvFU7^Cg`c}VEJ>1NbVB4hheSc@PQ+c3L`A)G5Q*8&)gJJh}W=a*ODQuZ$qze6umLab=p*haEeZTLOi@lP&qrsp-fEoE6HSmj;(L-2B zz#2A7KYI5up5tXM(8>}N%5xR7loZ)V4>R*?ogYF!6JXgo7sdj5< zl{|Z_$k68n)1S(JhMH(bSQ$aWsLQsV|Gk)E!&)+N<74rWEMA+Uh51xvoaIk{poE<+}ZYai|I!Z-WI36HKWDU-2T$y zp0wT0SDaK^4@;j?j$^68Hw;_2TOBV9!ixq!6uXr41v}Z|^abD3)Ze3xeSHMmwID_b zV2K;=lD#l_w(`Ns-dyYY0;*g%XI0opp+NI~Ai1jmYSRP30_2l4b>ObRFzk}`*HTAh zLjZ^1(|U? zaFXNN**x5`Oe;Fd$#=&g4k1_$1R=}UV`!;z!?_yqfP8V>E3j93u5p0j`fe#zD-z=C z*uaUnVx0&7;I)|K^M$8B_AFI+fMjq+QO=xJ7M+-$DM0hqNhkAq;oNg9kR^#!umQ@z z@d(_CBHMZ_=wKZ;*v#^w370Co6gH1gEST1rt-46Ko@EjlvSs~c@kd`&-(F12-=7xu zLiIAr$qcnzQ7o7u6xxL3!czKm)Zpj-BuYdFLRzNOB6mI>^en_6WVVUsu;Jh>pCeR zaTN*dEYXyNTdBK5O%@CfY$G7s>RmFaWEXhM@yNSGE3}GR+OY3WFC28{x!s`nzVF-F zCzRO4tGH!%T8{XOKG_7bqEW$2$1pj`Kxu2X`339aCqNesKqM zX6nHeLr&)L!l|vp9fP+Nzk@p_aSz-A*EVnRa7!6MU=h|Jn-x*l&=AT2nTa9`qCl#w zzAQeYly(!vUsy8B`JoORJjm9gBWnPVHYlJB^PxdUSZt9>4 z4CYWy*IW;C1iqN#d=bon4eCQ24qI(EalnVUi9$a1WiNaRQHE2tqz%NSTF}O1xaW&C zFf^?3um%#8?fY+U>&+S;f%~@v?$3|D{pj0|zWrb8+n*nK`}gJT&yTwOe^j>*b$rC_ zN8EnI?SEO^{_7iFJyJf6{o>bS@IL7U3J6*2&|enTdtUo&l!-sS8CqDTp{|MlZRr2$ z8RbI(^iE;=dVxzEd*elqYU>9!|)uLytZ_&+KV*+-4#*Gw>#aRceO`tA4FVvlj*`>GfeN8M zrlGL20v01Qk3Nq=fHNa2S9ldIgA{5+nb*{<5_=%8S+fko_JlHc^A(%xELG%X1~gHEXi@8UtiJo z7z5qM_Y|-wqs!|52PO_GGplB>>+PU{XT29xN}_lKNxOgOyluGTP#oX0wM%R@7Ms0E zXqTmxj}XaMAWG&#FnM8 zNNvHP-hlcr|0dfu4ytUWhqrG!1RKWo@n9y-6xF(9aV?Ke7F5h?YRnzzv;kqipa1^d z9zSKH<{pYxPYl*e07e-cN!hmqr+gE@ZyIsX>kKkJU4@7Rtj$EQFj=W{5-Muj8v8tF zOb0&$s!?7vm0)Id1vD8qvZP%!VR`jLPEG_1Q7+FXcKD?|{nvG^@&nvOxFaE;6<}j8 zM-n>whZBMgE|BRGs^Z1c%^`;hZr$4Q+t}=}XAD~wFuNMWeVO+CyRBvaSEYGXnpdUy ztt-vPtI+(fLi6#eGyi6t`SmtA0zrhKa)NR`C16Bg0BnuMMI>a-y%2O9IysazK~AB7 zjrP#|$cSKQRSPzp!@x^0r$*HMgmo@|egu7KT^R;Ak+^`J6CmKO&7U{ghtnoflgd=X zQUq1>0BafkJTcxO04v~Wa~XAT2_Sz2mkwWlmg;rPlt1Q#rEGbT`E3jKf<1*wT#Mn=r{sIDL_WW)s^eWbkz} zFES!vuL}+Zn7%%OmGwFP+7h%=Q>~T@+p;dH*h`EMq`ZBAtO6J^0$yKrG;?=KP(Xu# zUC&@QBnOv%Ls@0Z#j%b6A&+QxBiwQkPmfTqyT;{3v7JXKrp(w8O-(K$X%6d}ks%~- zb_fdf@q~30e{KO2sd7C#t@==6Y^4n{eMO{?VgDx(GF2<#AVteF$yu3Tin=4#anPqs zmD(ksDNn8>dpvTXsJ1~+ijybF@Hsh87nA;my~fu^z+K#29i7ZD9=;6c`FsY4bm&CY zBEDcy24^1!$;T@!!&MkJ8L{u3WCCxUIRd};uxeFK52q2U=mU0@iico9vupEIjlB+^ z;&grP%jeO1?tYu}tI0KqG7ptWAgY$_hRFsd1b~J0mHlE;rY$)M9eNwKl+T2}otQ~^ z4BjLM!TrOhuO5jxkaGiVQYK$A^R^&a^#RwFn8YYu(|NoNTh-wVPav8|Uo+MySO^iS z!fZgvXD>;Yvrz{uWm@ybT!ny>30+Rp04}%}#D17+o>2eArBKi;NghWSGXd z*OABD>!YfIrF5=}8gve4>M@VK4E>pCk5@$&$GbtqCrdRvlpBT#ADE%SHfq|PJE>j` zJFo9Z;!^BofRRw!&GHJnsm$i9MtvhL~( z*n4|I$HT##fR>B1pU#PIIwlaRjb9S5deE1Ij z8TZ}6FVd{vFsW?a{KJQ};b4qsZy(Nb#jHp@%3Ze~sDxA-w^6?u-FeJPM}4aF{FHty zTOmMlutX42f94M762LW2sxcJMp{1qIn*z9@ziCf6+}GTKU0hPNyPrh$>6}~qwJ+~x zg`=7&{!-o0uP^s9GLbb=o4W{U`l>qT@!Ry>L!bAZty%s8L-SjpmvQ&H-#wH?54-U8 zcH51rJ*@iG>dJ^)#5cKt`pwtA#=qUq(<^AnD;;_DEsB=uwS z?s0aLWs*CD{~4Fy5!*)>iM^n;OAOwHuDIgRVoM zr`@}YJ1L)j+2!1R+0D9LR()FCDe;;tUX#UZvUp7vugT*7K3ROc28-8V@fs|C_rc=h zHCMdmiq~B6m@9t0ola|mYLH5wPr<}^0XW#8Z1AQ{%jtn|xKg1ZrFAyS$8b^KLeaNz zcp&V%oKD;Vb&%8DEZ}ypT**WM#Y|&=S>NPY?iS;d-H2vA;G^S=&#c5~O_X&T#h>jMX}K)DwmY1gX28(3CLK_=0Ff*AoiX)|1o|Lf5rKbUd8- z0oZypsEgSNK{BBa*3E?mwW-kQVSwFs!PA=s8kSZv$osOPkt{BXj8#q(%Nxno5EftK zwtBErrxvBIs|h!|JfkE^nkwcUI3d83bG7eR)?wW@tG4s(98=cHR%|Eft%^%sJY}6{ z5GoYr(bemFPVZI+pLdPJ$LSQdFE3i}d4_83g4b&v0-kzy!`1z9`ethpBb+WrDCI5H z=LvcZt2M&1_ULiuwa7=W2tuU`2}&W@qA#u6**20U)nns5+mCN1e}DVWA8!kJxowED zSkW%QQbG9nwu+eN9|Yd=5FnSam5?dFnCl4E{O9&!*8l^|(SZVVH;aY73z7W*IYSZV z+5I(nLtjtcg?!rH-K!2~15QXw5Kdpl$xPcvvn~tg5jsd{0HJj~52F2P_h%3c~8@lqtwf>p3|u8mWL4 z*LktT@T+Mb1r;0tcyfQC5nnM0+;0ST*Y)%s1VIK9e!&KNZ?W+LVu;M5jJ-BTS_tO723bM4<$(sLAJ-ow(sAKEeE_R%&Wq@D$H+MVLo03=D!x0 zk5_&9SL@3!vnGuRmnE{qB^a1}7>*oXWzR2yEJ05yK#fiX9Ak)yx<6kgILNy%;Cu%$ z%?((Y_>g9yK8EwF@jji9AF$QnoFibp;clil;I4uhWoM=gh7KCpNKy)FRw(-L><^Q7W0Xx+-nVRIe>LG8sp8{J2{D`KGwa=irT%o$jl^Pon4kIFKesrMusWgtXO{{J~xWGeFTyt zs`lW9Yj_gLXR~t%4{tB`s{UdsFc}W2DQXY4Xx8DNFh=gl{Ye!DA(iAl)+I&Ws(xfn z&XXB+LY#vDB{0RXn+k6Y)f7ZC@{v*OAkW1mzSb+_-oK~d&*xkcNq3hG9Wow8;qQWz zrw>6w5S+5HyAm_X1`rASE*lWE(XYAzSWWz@8-S%g$_8-v?NK)<*jL%$>8o93gIA~d zC>s#$norq)qO|cT8}X3$@5Z?1l4R#{&*xLXUWssaX8^m!YD!=-=WHr$Eiw!&0XTZ3 zBcEH{&!#MT7cb{+!5U)mUiKC8`LVB*&KA2JY(=?*cApq^NWB5gr<-Mb*ZC;p;nsq?GvvwC$Dq1G%aS zK1rN{LvBKFW$(8q!rXoL(3lXLLoPtE>u?q{_jcIy&5nx1W?WA@W7Ul7bQyQwyg0Jf`-ivPAQ9K&jOE|HXGW0*(OJu{&FzApy!)a z#~d=N^Jz}et6a~&SINOeb21Ny(aX60c-e_q6*8c1+U+UccdO%T|G4&#YybFL?H^y) z`tg_R$Je!eT-(RBef;j*$FC2apD`5S*Ut&g%08$dVe0P$1(dKJ7dKup3(s)t{k_0% zOiq?Jc&l6&-Ux8;`_TvXru71Q4V-#_|3J-aA2+t82^#(LPwrqi(q=IuQmcMv2`Weq z*ozxYjuBcnDi+W?k4tBgE0-ytVFrToVo*8a0gJFuCbE@XP|+#@Gp9CSgAzbra~#_7 zZVv3B!#^staVT+7Pdb5EYkmEax&C4QGEhm^DPVLh&k4|OlL(`TUB<;ooH zj2(ie^R{mn)J;2HFU*OZmY{kXo`e!)3nu4<>~P6?`ZZ12OeBoXLcUrGG>U8>*rxzD z9k-`=+m{sRGuHQl75>7cld`o?aegPT8xOccKv4PH-o(sr6*-a`9ws=&-zJtXY5>!^1ujfaeUBpi98T)SOhERer$eW`{u09PG9_ z2ie>JQ;V+N{dG;=iD@#R=-7O2)*BACH~SVjel0DypUm!TV;@f^@zW_f=QJ-gvCC+s&A>AX`<-Qk>?-NtB$K;U^c;5v|rz>IGm&Q}kTc z+Wo2atQ@b^>(6WNx?OwMwRip2d)L>scKyZL^>uAs*Vc7yU4N6U>(|Ga=$qpv4Hm-d zpO2x)eA|NWv!K-M0s-yb3gXH@b&CMC884MzABuig$A07I z5NyLI^6*x$)IPmodnyjGs41(qsX|c4G=cPZri4hr`9hbWpY+|PeE)jNHWf+)dSkRhinFV@aXE9Z^$%b}1&wBnn znIpqn43Z%6xSA~*mP0uS3z!{N4uJ!fw2p@$v)=)ts?1Tb*R^}prd1>aUEEkM6yGjz z{fRS|(4R~F0m;6;)cfzDt+JN!_0avT*2Irj^+K#o3knRzzw!zMYxux&V0TPkV0x`4Biz9&~^TM1ol8=CJY%#^ngmyIE< zxoIjT7*uB{w@6A3Taw+DQKA|munf5U-prN}rm0wQ%v8>{ptv#_s6PEsdQu&F)2LN9 zwBw}K>35F=J+{K0VX9UxEbLs#oe|KPFpO!KP$!s&#&Hdb#qZI7%@w>pyn}I4dCRKK zfo96ZnhprWb?mW0-lJ(=bLM}TGhf%3xyH;jX09=FjhX*?%>4S0Vc(s7usGQ>PlDl{ z7vET@>9>MBy9+W}8rquCeJyplql4tM5B3*>>~=7=QCItH1e_Bfo7L3) z1A?B_1V!v{!+U)c`ve56vl`4+$)Z+9OYm)iSxg`-ab$h?bD6CZS#QnN&FboMc3ap` z*nrgyE!mB8C@K5tiFnaT7BSo^(;=w`C~fYj-k-zlUquBulWp+NSun?7U@PWVc`tAu zw;+SV$Twig24!TzlBdCDKGJt&h=K|y`EIWUGfJD0t^Qb2I!S|?pLX?#r22JomFK6Q z@5mS+tJ9Qw3&8W$tr_S`gyPoBZrbS7k-(>Tc#ImdES8mNJJ7<&1DMQYN%bs1B_Ojy z*u76Qo0b$z4zgx7#4Hs0iw(t5n^wpjRQ0S45D!qZ=S;E5;EhsbLmY>e^6yTQ7~#4r*ueR<6Vt12!*%dLXeGCV5N%B*0x4@O0*!!*Yc zM28l0r&Rool=#n>XtcL`#f$Yq2)br3VK(MPh6?h_?U5^YE`%Meww>5>;8fM~U+sQ_ zR;Is1gD7EI^M+z=4Y5rg2Lb0!o)9`6;&}Y7aa{9oI`nwFEI#B=ZgH&EF<@HDXE-AX zj=@Q7w|yC1e(Lc!b!NB4m4i>*uxMKFzIzh#+|WFoL00>{@9srg8JFnpz4_@QTU`&$ z?NbjO=v#P04juRi#4~d%Ik0J2=kHGsx(;PX@I{w~eX{->lG;&v#r@r1 z++SXGRjpc|lj24Eb4Vni(S+~&6ebADpQkW5(YvK6dNh}f+%OjKpA^&pqL^E=Hho}h z89Mfj`^&431|dJp*hy7KFYe{63R!Kao2_c&P!%`sFR!{PTTmE#tPYtB-ja%5o2&c2 zPhnhQyTK`LLlf$gB8wzy=H#5y7*x^eGn;f8CyY`>Z(29*FRwlt)H2$uo>f(})Y;Ce zK+TShzEy1;s^Z4|tm24Oh z=Pgn0Hgv5dOv=iXCM->2XI#>yW73kYwQ|YS(>q(T-$A;q4Il3;$??t~AOEJiN|I#D zZP?zYs0+A5BPE`v!VWKfZ+!oSK>~V1R(X|4J`EHH5NxowM}Nh>F(S!s4dT1Q32g%Y z1Mh#)ApcuU%?8-Rznk14Gy#P6tLEMn?i{~r?z_&epBmcy=f^988Qwac{d}1v*PaX3 zv=qC&W$RrVs6lfWT#-$9ue30)1bBr^f)SeGH8q|_)a>;U_J^ zK=A!I0T~zalx*4CL$fFE=oTvmEo@!?$v|TzrH*~E%VThe$HU(tub^V-o^vi*=fi=qErTr&^l9$D7fP~w}{vP-e^_TH&q#%&{r0e&Q7^>au{#?&zZpM5yba*|vpvyfoVal|% zZ!s*~S}n!sOdQkGR_FFznDn00L8g{7n_I7WfAG9?_Sa|j2XW3+OYb7wbEzVZraO}_ z+CqkW@reOCA(vvu2b&SweW#CVRSnH9pN&gqx+d{_tk`x=V9ZPUG442FX=Td_CAiDV zWiQ$4K0Bn@XGfV#^4)buia^1uhPYrfJ|icZ9fQ!|GnQR4chuS+E8qw}CpcZzSYs{6 z)Z3Xb!nxx(JG%5|I8KOKcjd)Uh^Xo5wv>hRwkY>3A=I9qG##s^MtNaXdC2w4iPb0b zDu=rrv*2P#h16b6A>EfuUob816~1X>X-)3u9ZjVJ>#D3A4B>3*SM@2!q|^>lNYfY5 zXjICPPKhl}dE1Gp3vJ47jH&Rd`a#lh$=p%KV?j+0%L7zHlfl?ap6Y|W&?Xn0Xa#Zm zjNUi<&`bvVXx5jeXXT=S8FGKFUo}^|d}zRMzEVzfL-<-46Z76kc@3J23QIYcPi1%& zz)VF1Z&Hk61e~d{o;L|rP%xF-OMobPve5f)&^d-6LR6$?A7R> z#b4leYiu!0=()}_c7j{n!hRofY8oL_5J7<5c?4i&M;bd|h3%Ml3c8mq=x+DN8`S*y z;U78;On+=5Sce<_erbFMt8FWYb^kw_F_Nb(G#nFyiM|DSRJwHr=UM8hK?3qcF;cJr z^b+4ur+wcw0=W&Qwvz)uQwz}|xIoCIu^b8l)>fcNe`X0ZZH;I6L!-{UQJW!?CE@m# z;uJ+K!*s+dWEK&+yri=W#nCiVU5PDX1&PRakH}?+do%XlX8M7(PJe&@h=9W43CnYX z40z`9IuQq0L^oR-Us}q$uau`=pYRMBX+{8#I)_~QP4#b?V=Z@36F9~jS|?MmXaSH1 z^E~RE8_d)_zrw&$r#fB#x}Sh!+0vmQ-c$1@HO8k~G=SKm_Swcrxi7UswvZKL4J*ke z?{t=P3t|^?VK|)q7dCFEX2?x@kRbVd%VV8aQNs-6m7F&s-|km1G~Yjh#Rx)Z_QLP@ zS4F@%B*hgU8h~Sc;df@hj~Ix`5T(i$L(*(UH(mfLvE@%`5T%#9u9{b+~{^V^7q^!hrg_% z!yP>F;TAf)e|X$2$>0N}9Qm7%KBW+Ay5^lMAIM3TR9#2B%I{Wq^Z{q3vwl;YlcJZd z^~0D?L2&av%_26rqqiW9hZ~@o#&I`E0j&X=TZy}2!7CL;d zs0k}QJNbpBzLZhI+DpFB_|gckAvd=h&mouI9)KT?vwb&&aEy3XHjIy8k!4O0k3F9? zb7^=l-a6sy;lFBNZA)%}U9-OGYNA3C%YSO_T~VLoSIvFb+4WO%mDPI$cqgYWAc?#?87*Qk z53793Cc<|#2=m|yFHG8=EE89{lGgf}-<-(cX~cn15FXQlfazU4SX2O(dIj=|@S>0SM1qU{?6g_Qq zZr^HMzD*n4nawdp<~W)YBb@#9nf>3Yh+$P2yHpWJ)1ApTg~E05iK|jRLs1oRn3`ZP zpH|h-?DE;TWTvYPf34VdPGHPS-@4<3rIjr!l;AEam%U`G`|OZrpB;tmH8=t9VCx{= z&l*zyZG49H(-;PyAxb+{8S&8mSOG`)Il<{F&3;W2=W!;CaPBzH-d?{x!*N2yIV197 zC`8osbX&>-dJewG!lhZrPnwR!2}^llRe8wu%L&yd^Xgx|n8==Gf|m-Yy_!P0FPXkr zqPu4WxplD2CinA>rqbym(fAz<;cRMG^(l{JJwgg;`XU+)@#jdV#1^riZ6~HKv?;qW zroyZ02T8{zb4MAE1#r!3IzTlv8H~N;sXo{WbJ~4Gs|OwUh#n&vBj;9OvrzAkmbW_N!@=mjMJ?i_1bJ({rT(0$U*hYHomotvW5<{rj zXT;31z>r(mxo1nU_tUwVU+A931(B=G77plyq3qP?p2c6#cWZ1hO6a)GGj>8-+#=Gt zD4Q@r^Z<6}5de{3hj?qPY{o=WPj35c_QxC4{Q2RZ^w~mGHO_T-;qRBmca9_rS>F2p z(TtHCZK2zk=u0#$$U{TN48pV2P=f*FgI3fp+j)uaDAK;~0$CgvBC(wW0Ge6|6+r|- z=3v^B=eHG5(w{j3O?wSwsZraG2_R%rB;4LooT8^?n2uP5v?3yxmvpwEIGSdvC9yxO zU=aE45t$5eZ-(C6Oh2&D>F@6!5nxn2A^9<9trKsN`?c|xKnjs^}zOLy3 za_u+EzvXNGxHOtj;)Le}19>pZHQ_*E_H`y87+5ryCaJlea1B+^5bLj@3L4|fExr$3r?Z%2R7$xp8qVGe8@E$4lJ6J`V3YE5dWLKusi-$ac_=Cal?lOz*t|{oq6}6C~9VPBz^_Tntf=>tgl^fb7ZC{g3@>N3tZhfqtK&?KL_`f*^@= z=m;H}7-QDa8oIuaNU)0are(-kM{T{ADDVd$@i`I#Hp2M%7-P-b=NAb$|1hUZ%8_ay zsnHFdPViWK3Y zwI5D#jMnLPiih|F%lXOxsk zW_7;bZ@BW`_0B8*;U0a@4m^dO7*ndbf6}v=axL0{D<#{^sLPan;VY?Ml1%%Kn~l3H zI4;tTx&gzDb=MUbCVRE0J1@@qeEaLIL>u()_qSF{CX5ng_0KmE?;*>)B){JN@z%=7 z47;_w#q(Ax``Q+qt6qr*k3~_uFmkO2^R;5Sld!+N@mE140G*rCS2!WG5;g+@!?xVvX@mz0*% zg?Re=T2gz@8LdivNL;(ExXH-T$aTgD$wK#w!L0?foa4g$glVjGe1~=U_*E?iBOcjy zdK#=r@pz#Il4`mMWSpdP$*g*l4!3OMA{Cx7=1DrgZNFF;bMA&gIt!1Tr1O4e&4YAq+CC4` zx#_z;NVhzE)=4_|SATHK-!(=5i)3>QvqFE7RC|!lotQKv=@3^3>-_cYU}2i8pBgZ* z-n_$vnLOOH9^Q4+Zq|&wq}_o@yGxL?!+O10=TNnutiu&Dj)HZ#Vre(2j!4|JyJMHM z^Ixq8?N(%`n|40%dgi@p=MKt9CGDOJBkiWdfQUt&DnPrG1c{VR^H$0F7m~f|lz0$K zSln^sfaSj<@Q7l!;!&TmLS=YSLLkh-W)9?{klgj+(Ro*L1zyf zPI0(+sVS0&qIf~FV%l|Vv}uu^*sh0t{$`+Gv>IytN`gj%en@=t_rMDb^D77f$h(0i zfroHoFC~!`d(0ZZNFTrw@7EZ+fKh?D!U`p*BHn#^uOH9~;ppqaSm4E74}}@l2|D%~ zxF=y5aTDWL;1OS!5_L4j)5pIuNL0>1lWjZRWMhU#n|vZVYlo7b#l67=J<6fmG>o!7 zI&G#_PY9!?J~Ip=BVc8sMRMbLGt0p~p!RIKgl{m(^xkNJ!P17j%NJ)DqkcF#>s%W2 zprlJ`nTLk^XpzJMiou7I8%~Zm@OyS(kr#HDc!po~{WXw2G5t;S6yp(GTjDvSN`?lR ztfdBCnl;HZFIw`Y;R-a4?I>#Z%XZoGP{|rj4GeKLH)t6vS!!+WU>TB^plxRqUtDq= z_;%+lG36QD!85^>;|%dUKXOIeEg7$EnEM5#4t{+)Nj;pj1?a1eLXbT-D8Iq*w)NM1;@%jFO|3O+W<>tV_+T{fsLhf zR>>8!@{Heh7 z_OuPHi#q^!vkP-UtL@P6+%aVqHYjS(d> z7-Z>N6Ggs8~c=3!7EM3gC=vz)Kk(9RNG|e*~{CfNGhud^`2vazR zAxU7$qLDSc;<;({s~;3hjy-3p!kG8mRMRaj6x>2teMaE2)`hX4XOp!x`bV=Q!RmoQ zkGlYR#}WN7E95)ih{!4Df4{b+@|I|10`_>(Pjc}R*1EMc7g%G=lx{q~Gw_gNfGd0I zwrV~=BuoB^?^;+>y7E0^aVF6+bHR!hw?^=XO&X^ZMTlT<&9;5iwCPg%bA-aUCb6j+ zSQ{6tPX3Jy+8WahaYjse!HlUxB4vd}ADOMncxNCm3P;NYbsT|>OYS6~J+S6{1I%Z% zJrOBAYs)gL&~huUFjn8OF)gV<(~cYn2VK*XIb~guk@KKe=UTd#*`mjl%l|zqeqHqEZ2W!)fW@eRVStv9QjbERzm*crIc#f;*eiBeLgO2Ylay`k zSy=)b{kLY%dPi?zf{A)(G~N6td-{9_s=NGkLTg!yG}T+?#a_6eWeZWmP8-*ctZiF> z%wEIPw?vSYfSD@5r+}GSbnG2+Y|${RXQU*0Q3irg!V}AE*Z*f(~E^2Mq!@Q zWXhS)(5s$oL8LRuwMhoILWfz_8UzP$Ph9#;2iD92r7$RL_sE2+uV+ z%Pf>hP6j%|vpe1^=3?e0gTY57t~5hS z;{x;9TGvBkYOa_P}sU zL$j34NbEAGWI?%a#=dZlyNR@2Cjk zdfw^TugTy4pn(YUn$-XJAj4A1^izQ~saKl;Q+&)+^#t*EgVg@}xaAnd#`@kogAH!Dv`fQhoj$9u|bz^i0 z1=-<^o<|}lF%QIjeap2V?tdzFAwCKM+GBGASjocNtbg zU}tvRz?0oWZ5B=*#B_?=3;8WmGipVjPW?Jxc%pw^7U!8`qZ~$x8_%SJAE%!kPKI2T z^b{-C_%Nua{iaw?DRKQCig+sb7u`$me?3kTg;1av-PwUSk7qmg^z@m_eiz)t$VcJ)%umL={0~qZW zJB~$o@vO*F(avvnl$Bu)3$Idp6{iZ^mT_@z=33*r}q;h>fisJk%K*dltW1Rbb zSw_bpTX4uuNgkK(Or8%vVL(AxN^+m|U@>b>#?2m#NVnOq70O95Pw>K1_yy$#qX#T{ z=ODTLg{SPZo)+T{-B1C$A+>YhnYFdfyP6O)qpCbyJiKmvhyGw(TARLB4Ceu zCp15~MCg3GXr4ecuM#Nbo{epL??aQS;pnzi@pQ)NpI3{@|qB zJ!XVO*r1)cH2<{cjDz3(JK~hp@L3R#hNF(qaCpP5No6!8^GDT5M4h`ki$XKnDRMj4gTc6?NtmwwsqajsWG4UAY(2TSkC$Pf6 zSfj14A9+I;*A+~(?lw-#HI1m31HV~Fo5= zh?zxxA1bJQAPAwF>2N=uQF|cmCwGRoWp{|yIK9d8?a`iF5J-bX}PDi41(&#yXB+(LEV#*0c2tzglZhRq%p5)Q>iZU+;t2F!ZB*LHqP0yr8i< z^CgA*UhJcbJ32GoLfF?UB?*<5zOZ1fpydwDn-Bg;zPHdhPX4uO$6*n~SSu-^wH#cX zQ;;aZx~1E;-M!nkcH6dX+qP}nwr$(CZEN2?=T6K-%u`iG=0jyh#kVS}R<0j0#mG*w z8uTYQBq4T3R9}$=_rD;Gs1pG7v6YzF5;!H2omcZF&&kJ|;%VJ)cL!6g4UYixV zbo*cEW@copa3n;)U$VCNOl{I~g?4@TB&kW2tliwQPNTFT??8+0MjYP?e1rAm|{zg>2%S^E$Y=b_BjXq?@=6&FBJ| zHAf-Oq20w9n;(m^ubF!z(b5AM^_V);S#VX zDRSfLrd1M$p9g-fIS9@n`Og|#L=h8BP7_=!-z|lmW}eOI6xF} zi+Mq*P9D(FEJ9<8>G0O?###F`*S93GZW|5dsSiO;eev zSZ(-qsr~z!LL^cFzqeuC812f7>kJv0Clo|Gur_X!drCaYE+xo0)+u#*mujqi+Rd<@ zJII7dhHCy6e~&ic8_!6Kro+Mbra0&;6szq!gMc@y3>o+(Zs0WsSm+CFCMKWv3nnVd zdKc4Qx1v*F1x}@s42)8lzSW%+rP>nBuGgmI=9);0m+C_n++GIttys=-#-VPZ63>s~ zZjqqI7{1Gauvi>k_CPt_T}+@q(3CvVxo!&N}NyCLLE&p{q`;FJ;4H zKit;nvoN=yX!gUK&B3&y=h7ftopH-fg}oIXE$SZlvOJ9+9ziSJX^!V^{A9FlxtC{? zq=0A@Tmb+RSAgHet?)E{OneI1{&&kRP1t8{gmzeLK+((E762$BT$}GU!)|$??@`{v zfqgrAY@Zxx>9A-{VCJvtlV8-kh35_FXpb|%y4NoJs($-D@#L@STm7m&G9i@se^oy$ z`Ttja0jB?_`W7pnOuhfB`nO$!ic6P>wh}vecejjHA$2oWp%)oEwm2NY*w49_L&4ekJoXW+NCG06{OO1`0rSJrdoLa`V* z+xtjdHu3G_L}|-HSNw(ZiO`w8(ifX*HS~eq?7-=aH+?@h6I_w+n2 z7zlpKNrwsxfm@g4o@8Y;1do>F6P{n@uXC7(M${>0b5eU~Ub9uw;mh0Vm21{R5fwfvk82}0!(z%p%Y zbgj&L0#!v22L<`^#hegOzD2qXCWs0ILga*-clqY$rmrpYwftuF!PL{^19filiTO`U zk7tO_7Zkk9_YtN?raQCp7pLq^1&^KY!_}tasx01TM6B)Z^Zm;!{>75eN0tI3(-5zV zXGTEmX3j#sGkR+!@+2aKi>&CFNb7V-2&$wWTj`IYYy|98U4fO*{^}Laz>29pD+q$s zRbSBt6+LhCEOT={<`(VRJYUz7OnFb;dXQF`I+C0>+sV4%<^-VQm6$HC4 z!FlO3{wTUSN}~KIU1Lqmy3~^Y5*YjmYdFhBk(zE@7#KZI`w82r$j6!-Sd@2A{@aSt zZAh6=1LStxtRR1@D_p?(^bn?lv|H27pF01GuBm-AbbjVL@ zwTE!TWzCd(aj-t`fNA)Xr?zf1dt4BqM7V%sJ=l9h^=Whjj^bKlK9bA(GCYE_1X)&= z#84ECIB~W~M>p~w4ud{Le4cfhs)<{=bL&p9KINSaZ`0YMV^OCS@};USQdO7&=Mc3# zbRY4SSJ=)J#6r>4la|>k_q~B^7!!2N9vL-c&J~tzAem?+*Is!YhUY+2&xlCneAO;GC2T`@B+$ z0++ngmdEB|vU#R+k%H3gb-E{^RqLmvHcj3+re4Rv(i1|xqM>wa>o%{1&iTc&Q^;Hm z+H`O)tl+f(~TvT8;TlEA%kIgDd$;^H{2vw0l4CFPw;0qT@BBS~jkiG=q>c9~Y4iom|A zUALSw9CgZlROA0q_zFU`(&OVI@wse66e3|*Xxo>8{1}6Nn2;kC`5Uko1G$0@XBGt< zB#LNzih| zDj6$FaETPQDuEabaYOEYd1qvf1HC$>LqyxrGj(+o^J}=iYZ;}r=j2G?>?ciu(iZxoJ7%aFsfh=L@z^S*;)u5*!k~M zou>hHJnsayottWEYIOH@(qD;(I%W4%7iblOSle|>HX8xogn9A8SBMrs4iMNXbR-t~ z)C4~)SH2^h^6!R~hMD&<3YXgjA`qDt=P#Pc3#6!E$2B!ab7HcEzgZKKP3x=&<8eOdXcS4twI+3KD!10Ue2fPFmF& zi#92Rv8d0nhnvrEzI8Bbm?Xz2CMdj;N5ZiLLvK(4hUdZl;0Oz#v+=$qC`2}5JAo?0 zpJ2^~sJA*9JxrFKBI$Okit*6}#lRS65M_2&B}jv~rBW$?ahyD!;09w01We{74cXDW z^CAL^Lj9c}CMWSivxO>CW^GtF@%2}A-GL$MEY&4UDyZ(3C#ER@%|NgDKS(odU-3ug zHF*gUU%zuU%tRY;exu0Xp3`-~?oVktQ`JDBKzc<6O0e2q}Bnvc#h z0mKi{UJBey05jJARYQY8foewEmox=jW%%ZNAv|r2TU(93d_!Trsh|5S1wR@PF&m^a zMb)A+MW8^Zp(%>LR$2!cVeWqI2%pXcV zLp}>Ll7Og*ro49lgnsyn=^(%jEILJNe=e{FBG9%XGy1!WACQCpV76ulkFQid)rGIr z9-}=^k(*}%nL~PL0x%l~_JNO#^LuB`+^`L|Z_RgpL|m$Eiz7wh&ze;%v>frQr_GwivYpb=k=N9*|+) z9+8Red8zSz)-?%B!4p&C_Be!}H`8#b_8TM!^EUw*Q?p0V|ns0s&u;UAAfkd4OQSb{;x z96F|>ZWgyG9PzBDe+G&^ z1cu}}s59kZQ+yjQS1b%c_`&;i-}_jmuzBoYL>JlLCpy}bPp?DRh-+%ht(8?|P!mJ9 zM)Zt1L~URtPiv~Rnjba^>20!3Q$I}`9$x_Swq8h6Ks0DYRwPCMjKwlNw>V{oNjv?(5Ug zl!|QRrROo;SBk{MEDs4XUF;ZeYRe6JI8!$GPWj5pvr#uaPid}cN&d^ zc-=Te38}tKHRcBTfZ<`Zqo$)U3z=Kxcn3|6lj?cy)hNOpi?s>HoIw_K05D}O|FZH- z?(uaw9|8b=cOOCEM(&IcW*amjZk*M(6;uVMw$E29S^}I`_X@e*AP4su{+^4docSdL zqbHbsjEK*^cGj+uLUu7R<lzbL^$2BUW6zTQae=e4O;%XdT?i1BmQC_M*Om^;2$~*? zGq}ilL>2w6F6Xt=+TMSMvu+-gu!)G)rx}zk%(|&1pxwbGpalGj1GqN;am~SNghN6h zvuy;y;1PQu2-4}qQ-1mU%tYc<6NUZA39%~H%)nGqT>?Z_@}yV*!iUIkG0~u_U8V$* zwto!6{i+fl2%mZ9^G+^By?QX3FvncLtu5;i8#bb&zXk7uk|Xx>K%ou@+jx&4BhDEi zNRD_gkRq!Aut3*3t8{GQiY>>Q>|<%UK|XUYP=kh);_Oi^JSSyqb3@Js zh@&3J044$!dZC^`{mT!6_N@T`4`6R>3Bxq;?%M(DG21~00pmvn2&e279!5yXF+e^i8EaR5-pU#yoWEHd1zs(q2cIKMbmlyO zNT(0>#gL#Lu9J9$4>}j7x`v$-aBn=#vqMG6O4%zV#;)wboHI&Ug-B$4_Qzjih+fw^ zGri8DWdJe#WzK)Ho*0In%=Rv*H%Sg3n~t!Vc^9M81Pb?3-H?f1B!HazA#q-`v5$Ke zT|?>PF+_0x%DwPrp5uIUqq`uTAXBsipHUYsDM%mn8sFWlJiS=k%}>>BlJ`E%5h zk=^zLhIQNDg(Yv11vYT{5ffzbSOb!u$P2f(5d||MK7>;?(f|+6`p64VPc+4hXlE@$ z`K^CPot*~D#%*{$cEMwZptoND4XykICfWxF-w!cS`H(;@_J9hdSZ)!kwr&omqhO=d zH+`NNiF@^FgblldZ9pOYAVL{lgg82L;~|7tJ!uBnIZkp!#&bMju8}2YvmY5(8s-d^ zuNXT$a~6M{Fey`2VBYiNo%^Msu!s%%OLsgh2CNm0Lh*rLFc>k|Pl0wtAPHy^YCXXX z`;|(Ge7g2bI@RCy9+cjC@YdZw(vaeD7%Fz>j1J2-h~cLF>qM7B`lT&-=Q8A&*oPbU z-!E`(*DQ@*I2l6@Qc_>3M>dPqcSPm6V?lL3R(6<$&o(8d@0e^O7(XV9Re#eJ<9sGm zZ1CX*clG0N|H4)cB~Ws+2>;FZsPbbnG2{a*Dae;#C*Gce_=16J><4-<``AmK>^`Y7 zE$&_)&a2I+|M2D4ox$%z#uKua&URfEXk5w>(7kS*SOnMPihdv`l~@!WFBT*Z&=sbV zn0zE@hr*EPS|!cIR9Zf6PpE*>1-R4?Oq#)_xo^`4U+3Y%X#Do#19NGLKF4x-CSLcW zK{qX-v7csH)NwF4ohR;~wY!G)MjuP(Ig9Fxe)eMnT08;1u^m5qJidBj6S9r4a7nu5E2^W*LxH^%XxW!`mduM;Z; zp3#*PM+1GFU_3=KS!j}&TQ-ByZlr=XRKV3hAXOMtr=*c%=a`;O3t0~GvTs$ifzP~b zzegoSlbI_Q*lKzMyzvNgfFrpNbE)aFFR|`~^M9~P);a<8FS}UgXP437Krtm&`pmUJh^rv8aY0>h%aU; zPLpwFhSgcRKDiNTdtaS0D+#FMenr1Od6#wbc23FNWNWq9e12w5&+<<~^W6x438GOQ zI}E@M0RonKm!WBZ0%F$PR#4{;beWTT%M@bdgV|lsQK9l*8L&NO9dUN;BuFqD+E*Q` zu&P!x&1%__8E#vr2@c(t9%NXH9-kqylG9C#PjBA4!x(7Q*ts(*Fqu?BW z3x+E|w#Zaiv^PuA!gZ=7cxmd*p$hrvxCe@s-drR*q*^60;gz!c@aQ9X<}QWCwfhq> zG7c=Q@X1&c2h?l)q+_Jj-a)q+hg3dt6HX|q*$)d z+`KjhL1{-5X!^3c`jLMW4!ZKi5zC9;N7h&r&(vnIGX3f6>UIB1QG?-4T`@fG9Xo<7 zZrdepRkCljPE}^)Brase@3?n|JYs}_E3;x8z`O^mnx3}u14Y+6)m!}AalHT?^zqv{ zW|-z_nEFf=@3cdlf5yEV&cD08^mcUT>;1duJ`p)x9eDNqhQXNT!G63|>&efvayr4X ztPWy2B1MrXB4Q8VV};xc+P7u6{39Q^sdTYzFFfKg+K(ZX?G2C6+f=m&&l!jhSPy3F z$WK4Eo;00$%e@` zPaP*{Ia0Et?@8ONDlo z8R%cc3MF`L-w~gsEEA?VURnUSdS=Df|7MW7&QaKD(dk%#sGapQ8T#H5Nwy8XK$ke= z1M4GUf=8yyepHeu1AXJg88CwYF2&PI%%8wKd8Xv$Z8svAWB4JVDowBrdb4UPj9>Z`6SE^L)nWG`hIdK8AepMl}r2Y-i$y=Q&DrOQ>>Nj%{e+v2%&<$c6 zj(#rQ<3n+fh(ec3C_CEh?g4sts&y>3j4h{AH>gw!qz_ zinK_Jq?3LY@1rp)E=dW9jTQ|BunBJp1Dq~~9^6Q`n#T&9RF|;R-my~eL!E~w3j_tm zp|J8yS!uP+e@3!XuiTwuQNb}fW@=g17~JEmCGK~CTx`$>TPb@_ymv$zOZ{zHVe)!9ChakD&Bt?_Z{RyfHjHZ`93=7Zel=$Dx*nd6;+f{4MQX+ zhnPgof+4$sFdq_fSc&-2nE0;;$CMI?0&0vH8yfra)~1YA(DG6x8I?WrW5*SGRAN{@(A4%DFp59 zz^om*=k^WKHwGtu>2c#$+#T)D<_=~ zEihCIsVdwcA=NPjWO=0mD^{Pe34p`K9tz4r$w$1#^6b__lW4ruy|OiPT(Uvw+baoX z1DtXbX~LsHSaLwuC{I6$Y=`N(7pW>+QPpsS)JsAv5eb9*cwp^IgjvFmq^o>;Zk| zUHXO_P*zhalj)A%Ld#-~|8gpn;xZ=`7r6vmO$LpN0=HXx21y{DW@d~M`Ok0JH=S4m zyLzyP<0Q;x#G$by(d;6R+ilY^FxOp!;-v=`_(khAkU~>pEO9Rx?0Oshz8kS*n$KC* zQ_CArd~de$nl5;U32H@+nMb#oY&Qj%<$)J zkP2Xqt=zgX>%pUM)u>FUeFDIxT~G}{rSHMh9Bj*nqp#Sybe2d`fFl~Ol=GXql;>Vn zwn!WQR31!<$fg|K9{NjF;VSv4>{V%SJB4x$gB$MEfU_9zV^ce9FPj*8_VA2=cS?+t z%d7Wu@J@UvduP$Xr!&6%F3UX-%9AF>NLtY9Qj>HvpSLa8@AbC~jSZ_@M$9B%Ro-^(X- z4!5^UL8GJU+i0k|9oDOq@L#X6#Sj!3GKW4<%1LImam%KYvRS(Nl)E~rIkqkG*RH737=qP;gyxF~l0tma&aPflL0 zkHIk1QQ7mk1YzT3no_%xc#3Q;LiL_#&aNE=NJw7MVle(ngk}s^Y@xNK^yd9I5 zrb@A*8i>mIknWKLzr<=j*@QawX_#w3sGN5ma}5isvEioHMDS{^oLwfq`sNq3hHk?17JSm9 z&_+*F*W&*IMn8iZWAD6$;0F{{(Kpq=ADKyMk(R1Fy8QL%io|=fK8$l+t8!I=m=7ix zg+STSXczN;D5-YdbJwEm$R(zxbfpPs50hJWO{_YD#;80?>#yeJb`GHY zV+yx8pW*s&t`dD&z_*)z29X+{)Wz=*Pt#)^o-7*4mL+49W z;&n{lgkd7xvrkAt)7#Xx<$xpplfU4%vSa|l*JueRI0dgZR1u9x!BgDbS$I9CL$6tH zImrKdPltGS3=bZCF_Hhd$%fxj+;fxkln%WnwcYPBlKkA`A?4owx#uSSi55J_jpede z|Ek4pf`d#22SQR-!LUZk=MA273Wt7Eyso35?a(J}$F zOq^dz|GMSRHS!uu*eVMdD$r`m3rTa~U~!TW4Td6u;F|wtJvwA4tbs@tH=0_ed6ayt z`m}VN1Bx&>t|*QO<5vA6o;3BjXuu9K^#DP-Gqf9sdmW)r%)XcYeSBgjW@l!2jfqJ< zB|@Tt_I>+Wf|R36Lyn+q5r($tW7xS*eFqWgrm%_p&=VFNI`YcrsN4)x$w=BM$R4{5 z2Zl3I2da2`0#^;UDw+*vOe%5cg}vrc_ytEpgKg85y;g@O({pK+6}s(i?&0D6r|(*= z3rVK}M%pJ8=}sSL*y*d(a!R0m(?8wjixhxtOxry@qTMrF6?I!$CYiG%dy9V%38S-6I=tstpQAA~$A0**odfY)gdNrm%VW zcXV|OeqZa#mJ7p(Y5C;JK44W`^)PGW3N za=a<>q0FYo+-?l2!d|JXW>t8*(D8Z>;t#3w+-z6XQEG|%)$fQdIiQg$hO>>4TD1xq z`6^2}A>Z28_CeNWyq^FV_fI?U6m%W5h3mBx>jBG#7*K}=D|BaMU<{#48m=(irG)Kk z4l|&-_#Bu~qalXuGNJ6CS6A)pl9>j)L3&!`tS1Foqv>2fii@6hbXp7&_**mneCmM&?? zyKpSaQ%tRGNCG+6Q!ejptVH8(?n{D2&mT}j8cT#t_5U_azrWADy1h+3Jh zGg+CoTUwd&qwNHRpQ;gBjVi;W60a)B0kbh?Kt(J)GN5A5OE@ZG=1sTMtZBCrM*48{MEBACv@K#rToo1GGDw!#X9`Z@@2j*E% z#{i&fwb>9EGav5~+l*L8E17wZrmM!(VUl4mR9JUd_GE_^#_!N5uHyU-{U}a*D#d;CgH( zDEngpa-G{@@xw=sVWksI-P`Nl2dl0y^zc2Rftfw_<$w8kF%OFG#DicVivdN0;4WxBU6%p9KXEYQu z=1+2frkD9LLaz|B1eUIlUa)QqH}ZHt!%u|!+x^6<6)K}8qCK*6xBIVg* zqeMXZKW<*mM01j0q~XkV!v2l=FIyM#>79$TG=Eg1onF>1g02=OUAMr32Tm(kiyKDC zuyc*xA}Ti!a5z%$P}a!Jz=ji2T7E;^;8Mv`6lr>h#ZXv5|9JmX$bwgiG9K#K0KL?P z%s7uPOpnNhNs>Y>ZW2k7ibi2@pZF~WPaH#)fzYy4Xm)WML0GN}wS06S?|>pLrW#L` zA!hU27_r|W&+N=;S45MsQJpf)>v>yjpX9rNU7Uobw3yLQnGvXcs3Pt8+(e#1pZMS+ zY3UYe0!P$h0oMvtc5+9uD#*%0p<*&wQj*;`Y4WOlLY^sRlSGvm;g~e9xrt!!P>6YK z-|V7{JZj#Jsk}%*KcYN6m_}AwV}a(gSZIQTKTIrKVwv&XDG{9zPJHe`8qVIdM7R}n z?}wKmg)UtgP~;($=%fz6yd)q2T~QvYL2@;2r!>uNPMYqz2Mg)VrD%wO@9=rOZA*QG>6Z|c=`c5Td zH)vn6QdB5WEz4TSYn9}|LaMfjQLzIAcjbb&h+6kQ4*&gcmBSsU*qdeODjAD@op8F-F+A z>rYdh88Z(ib*3Fpn8nD>&#&7Lw!PFg~RtBsp@I+S|_95mN(&(#cgWLoxocvuJ)5yOjnzcZ9K-nS4$V`Pw{0Ihw zXgvA`7fAf{(F2pzRNX=ol&;o`Z&tEe@a;?fSC6@GfA|jk+Sjey?o)%zZ;zSo9LL(z zt=y-q&wG#Q?-$FP(MyI`kEw1v$A6!OH@UXTO}6tCp364Hc}(GmT^4?sIdZigHNkaM z{D7R&!14K~i4^CC9*Eq5hV%Lvw(8T*JM700xR}34Mu7XQZNJIzPQj<8*y{6Ji5N z9v9_}W_5*O@+zNwgd0T{>h?hV8~wdm@c;5)I;%H&yM_;HDQ$D%55G5eea}TNUNkT?AF@tTU6u zG?cqmO%Y^LZYu;>QOYaEG=y*HE`vxfa#TRzjuVxUx@8XjtKd|uv7)^BE4sckmgH`n z=xM3SifX!QoGKkHM)7;9ny$*4AM(>XNm?<;oo2OSO-h>y_=(Gjm?|BW^*%wGQpG(% znlPct65lL|rQ~S)P*EbHp{vS*n%Yo9dNZK29P}1lR#~@?qogR?kW!YxVV9Jbkx8Y= zl554dy!7L*`j62{w8bKT;JJd-B}-LFx{B<&qU68@mBDI?1(`__C%$*JGR;UTHe%c$ zr7#UjwL74FpL-HHPb;TZns)X{l-By4CPk`7i^k|fo+>?<3oJ=`)#BLvJwLglUMo;# zCm~aXC$_Jtp+a^Qt+}D#->p2PE68geYb>#2$V6pv>RdIy8|HF(qOn1_KD+@i(rr$} z(1esR?UG6)a})#9rur2`%3cCJvg)tb(aqVk1!l{mLGAsi`BFQDI!<_dy0b(FoFT`L zU#|-Z?d?qgOL3xtF!!1##^5=?jV*F&2A&L43h!Rw(vYr`?NOpa3$ix6< z%D%scL2!Jw^mgxRUZ6VDPm|QGoD_;RoL4PQE&8#skl~tCCNkEVZt)KrC)P19c(7?`>ZFG*-5`WO&~S9v)Do ziOw!iX~X?0q*#-j<@j2xf`=1G)s&-u>bEh2>QbGyA~>co192|oA2#!x@xY|v4Cg46 zVIz2Y*i3Nj#mhfq0OJ_2lgtrLksT+A`@4Ex9u3kz+N8e zMxk&44Z6cr5+5%f^x?CVF{(Am*Ud=Q*O0L$hnY=tLRc<8T25q)T1$XZvTA~a{RGDf z`mz-QG#bqzJ2Eu_k%mIZ%3Ns5SY!ZmhAjsnQIXW~SN|5G3=i9T#(7{J&Hc@+Cu7O0 z&OT{_F%q(jSp?ir3tV#$(^{!|tSe#K!ZUI)lsHdI&5OR=EP3P6DF2%XmN#0}N(qk4 zHz-PjURTP;D<8%-s7{i!rMt=jJmp0kc=$r_%nV_}d_}*Rr}Sy&ftBRsu?t3$SI}Ey zE(c`A=rO@;mfOjn_}fnz_8=%Bdn~NfndX z{dOvIg5?q<7Z~2Vw2)xLKtM*!@4KYgLzwUrk?xxEV>wM-enH+R5V5m$I5avaf!^x| z5U14nf~6`rzSpEjw~IFd0;0YyeGAW-WuG8&VQerxhz=jU!2jQz}48F<0wL$|_Z4NWxXfu5r#ZhX4P}6P2$fmfV@P=L2z_yzs5S26_)(K^ zg#IpqbU6DOb(E<1o)%7}v`d*u+<$y;1b3xTyII%if}EYt1t=SPwUT^)AOL>K^|Qv~ z8PGSd%hb!rGzZwRaT6JBv{m>=v8T-vx8xvMi6vQ%a$?ckgYO$60}&QX?j5Y70Q7CfMrD*L&(Mj}#+015%6 zWu$mXN4dt zu+AHnt8Piztw&Jf@kqcxaFVVSPIj>!u&XB2HDmhAy)Unw_g7`er#zBkfmL*H$I0rE*1wsT{9ODZZ~d9A90SR5ggC zM?)ELB&@Mw?Qp1hRZ0of`)t^&q97|NBKoh~d7!Xx0?&etQr_n~Fp8@bw|vcP1~v+$ zUWCCr)8;t$ls5xS$->={~8bz%D2 zkwTrml#Eypz}%#V2ys+@w9IPsvQ3VJ>a@iqr=>^;<>NB+jo1xKQfU;k@pX^>wJ`bT zhA=zyLBW7w(Z-u`z?t2yb9=|5#8% z)^5|Uab27y2U!vlNl~Xum~V*TBw+VwAh~jOeK|pXe?PKtO|9H!E@^(m`D{{%4tnMK zC`$0SD{`t6?ivRbK5}eCV)_Vdbb$b=guy~LMAAI71+J}S65#l55AaO8SuzfJw_S#? zCL|u4g zDx;LHkoZixnZjJhQ#)>!)=w)REuZhvXHHScP$!-H$d{x$O$Q;_@5dK!O%}pIIijL4 z@5=L!Um5fbz}-d-X&_^l;(t?S(DnIvC!AuH29|K}Epkm_izS>H8<>s=ha&{VMAKcC z`gp$fe;7$Z7Pf`l%#AyrJVqZtHHBY<)d9@Jg3+0e*JN@VE|7GhX>;(j!mbG_X0sa> zTR@)!Rh3KFv>OgRdI+I9QuggjrZ_F**oP8HT@0Zb`6~{twXbY!K&AyrX}TA2a|xLa zt2&S%pJO+iSz+Ffx?gqdN0lQ51ypb4v$Pt<0%A2CJ7 zU>E#cegwN3Hf=}j-uFzK`43X3RQ(^Bn{lJQmOm)}-?x%XKhDIr_{3w%kS`;jRLpqmXj=K#YpV^37}DT)8gOos65a%0nQ29ugnjN)#jr%|979^XxKBo zl3b>8-$6TKaJZr|f8X6<8??yo6n|I{wFnn=SGaKl=R_+?&X4De0z)3_Z!DnJf3Xd< z*^PzB-DBA0B4i%c3OpA=YmU-xE(H$SRCKpO!zstjkGC=)s<|e-@bNJ2CwXEofz~$h z)QQh#abmZfIezouI-C@O*$|Rbb=8vD5bY`P9P@^mZ%SkXn3tLiL_o`Mj5e$|*#}{5PhW0f*S+TS=8M$3J?RaY5Ibk>f$Nj+eelc&O7w>}mg+s_DeMg} zKHw?UWmxjlxb|m!mB1<0vDczUxDr?()^WjvgHSj4Sr^RLNmhbea+UGdlmQ*gEr9cO+X7ef89u| z6zr|nKyWgXgvd%Zy|lZ2ZcF)BEVvF|X0sWsy!c|p?Bp5FAL49rUPJ9&EX~`y*<}-=;Zs zxU1{iY2~U;aqauh$NL*t=I$cRdHcGOd2x%FoGDH>h)Z)?_o?!q$bss=4=~K$7vV4* zl_{I=n%WY3UbF|!y`QCUp5JfIH%a$zm%2UGHLW$fooI%Ku?745!b2NJSu0UB%OysI z);f#W=OT4uhSKoxuG-gs4BD)#QKBu5=r%009{4y7Kn~RBfE&MJMrU__C~RJvWj<@6 z&Do2Drdr5C*UxkrQB}q?H&Su-Dhw>;!mMv8=IME#L1S=YqPfOQUXHj zDho}PBbHCaEAn|7NtVqdSqOC;J@QDS{w&k?eT?Z&vGg7Jw-_Mkquu;z;Bv>=D#Bv) z+>jI2Yh5VR)2FP8Q+~AD%Mc2agAJ3$_x4x!-X0IM8X-6kpZg!G-Z4s)CTJ6E+qTWy zwr$(C-M4Mqwr$(CZQHur-#fFjJ3p$15glF!;r+Ap7({^Y3S$gwwkGD`^t zEM8-^W}DH|*D==sz5yi=#L*Tieox|nkf_gJQ*vmTzF|3H7+hW_sMwa+e+{tH*O7U*H}znm(ok@ z5jTw>%niyZACNv!0($C=laSB;EjzqsCnq7QWe_gU_==F=5fyjkbKe`^Ywmvh{C{gY z!Pq_hB%ts6yG)lERb`B>{a;SRA3x^CQB@f|WttmWj{lkm=hTlN4;_Y%9ZUy9cjqaK z@%yYlIP;o}Df4&l-v)fv2cdk4n&nymN3N|+@sZO)4Eqy$O`yolfzwrl9;di$Of!8N zlS87U5M1RM3cN}z7-TqBIpqv*5Zg)y-+&nyMlJw8b#GjsHjU0k#5a9oajX#8UYbmv zefyswIeO-iM8z|A+Pm(MVC_U#lgyh(Vj)JH;1NwGj`qt`OrJ7UgmkVSt7<_hEd^C& z{E8qE46@`G{Q&ULwX>`lCu1VY3H+CXnS;Yx8$hi9H(kZaqyoH*c^(!@Ybacn{ug4J zJA^qCwUpd{jF;3pkthfjC;0fI zej?;VL-EUUi-tklR!+sV15)EWew27PtknUR z_Em4V%@!6OH)S##JmwK8z{-=pc$OXj&vepNa0hZLQ)H{O=u>b{6F?SFtqIR}W$O-Z9^v&T49r6%N{>6y@s zRU4pE^`DaMJ@W{n2*)>u`p5OSb2Sss45+~nP51w|juV<=h6%3?>+T~d)YG7-jhDG` z+Q<-zlz|yVJA%cZJUt%>G8w|$k(~Q*YE9E#348+#>yH1|? zWiW`#T@9SX^_o7JtTK!Ze$A-j|CkYAyCl~2n6vhUq(MZ+|Mq#m`zz0OMVk6 z<%kZ;|3R6xu_2dRf~8V!Be!yyJo}+eBpO2H*BNDu##uHS_=@8{&0Z@Ra^+Pc2&WCX zBK(3M&QzlD!Qxorx$nd6+yt$p;D6Z>^?iZ!G}TLQ{0-67sl?--^(cX%#5~~Rv|qPs z(&sUqfl4jsnf~7`7}o&!K4B4<)Bwgpl}uLd=b+ywK4qp3H4vilR}shKhkInpaqCJ8 zqF|jmmNNgB-yTbJML5!UP?u&ELb%8IdY!sEs&n6_KiRrx?5yTIpLQzba zb@0q1`VVZL3+SLx3BVZ##{i&@xndFI;elx&z>1Ps_u`N3OUVN$^n{f=`+d)02uLuH zFV2Vtu}fMe38}zz=)Th+a!{G7!$Dj*CMVpqQ*1pxe>t}eBGC~qj`0NPV+p%&THekg zf694DTEI6V1cEf$LdPDC9PFhM`h6|RiPS;pyQc<})d{s2IrEHR5EB3~dA0>fJg18^ z{{PNG2bk?(x+LANos>EYD9A$M`n-f?(3(zW`2UG8n1Xr9Ye%WaZxw~>1tRgyXK0#NKPG$APS(h&tj}nBt%(SRcY2kC=C&K@EVCAh-LPqr(rzJeZLYS1OvDm0!MC*tf?}rP$Wb+ z+7;@}C@JGrZM+t;2!UnxPhxzJNbL_2y1?O|kBZ*r z&dh$mdKXZ4e?Gj}IEEFfI20&Eec&=)vx+#vZL2RUC}te3be`2W$H~^_<%|QO`UY!z zycoN>x~s>yX_mNobW71Vy4$PwuhC**-7Q5r9)BhkbxaH4-s-?$S_KxfrFeTR>B7T{ zq!KdlYAkL(3_R=QV7UAQ{DJw@X3)wj7AjSX4Z673n)@(?i+-Z;Gye6I?Y8#!EQ-pJ zJMQuRMN$j{ZxtBP3Y&UUG3cIyD<%(rlrCgLl9ej%dzn~q!Gjs>5i>ourD7>AZQF`9 z_=_lJ6AS)>`8{ZSv%@jni5=1!N`i9I{JX#|KbFc;bks8pvXd6!CA#64?P~b54BA4{ zNM)3rMYbO#*R8Z88so2YsB2@>=iN`hPP z1*>;{*M8b^;y96432$L&0rSqqn4JCMYD27&D1J*t=!6R*;@ru@zO7{RFeq3x1G1!dP1vS_I2%5s?k!Le(uI}md(5JA~91@0EmJm z@FTZP@+XDLN5k=OmCn?}_>6@jHQnFuQzy`rDfh{oG?VF+cz?kkSUC-W^>~#9;f*n- zdBeCvbnx^bVdp?E{Fk(8lN)V?KHOSg9En?b+@+LMNruR-r303zXe7NKIxS`nr#@HJ zs>~(w((S12q6m6=BFRZC%r@{|p!wbRFjx7bwaL7q{dHOt87}-1m|K{F(4Zib! z6!ra9_GkuQL)i`_6LaHuU;KIeNLIM3CgN-4jXS{>I868>B{;iGH5)I~JYlpt$too2 zcBpd2)oCU6wBmx$QUid|+ZJ~Zn;h@|CxQd2Fnz$DI%73D&tn}|(})JOv0#;gG+8UB zbOnWi+H!tKyFk?rbDBENTo=4Q^N#x6SkQ#K;!ngZUDQeA*VGxb#myFfL(`LmMUJR7 zt_N^EB7LH)a)ma*O34gFewwpsKjt|twg?|htx#jBVdaGcIxL6rcb@kxcP%n3iXgpT z+Ecyq97B;g%~O9$>iY`oQMRo{+Zf%~KzzBf+|f?RFg@y6p@XXQKSNh#yV96!`OXcC z<%%q@O5eHY21Ex+P_Fs+x(Q|ns@T<_sJl(FUX0aHk&>oIB$XQzJxV2ETyApXI_8-8 zESVeJ?zW_1W119^1~zi8(-LfI;!Nb=r3~M=3}8E8Fvzj1k^^;?t z>8-RtV1M0W1U;I8%hwPv8+4*M7klg0@wR-+$ga7Wr91~~sp)tZKmkTv35zcdHWCln zY)*pI<#Hnmi)J<`awM+Thpi(l#w~RwJ#tZ)CmT=_|IE4g^U*gl`O_xg&it9sVHrgg z3+G6FSn!?R9D1!*8rdp9yX^+5hvHI-v}_MQg!gDhVAx!ZqO4mc3+IAd^I$q0( z9W_S!CPFVvwxLIdK|#~bi6V(&Q{dCPjBU|lvaX2`5>$H^C90`;npUSB4X;eO9Dc^g zS0bxy-0OU?UEGeUKm*J>{(*8_il7yHcoQ^dqY5AE)^9UsGZ4i>I#J=44FH5GXRT$a zY0k7~^U^uV^Tmaq89QzIYjQBs0x+IJ?@WWt&Q6C^!)}LDfA&q5Rq`BleFgfr_c%wL zCnG=91uUn-wA=n8O0-;eEtIAGbF)Mk%PqGGi>l_#@qvH8Qyq#W$`%VhB%>mHY|$jT zz>6q-Q)|hAa`^>f#-QdHy5CtXm7+d%Q-fH~qG0lpu8R0?XwMbCwbs`|2WJ_W^r-iy|Iwx%2uju-$%3Ua%@OkbxpHUy+_{uT_-r(;vbUt>Pp+|`+i(=}xV zdVhgZd_PNAY>8`RnITxNanw^zWhbq+hT8E~O|1k+%M7621#F;a7TcRb{+NZuTzLu= z3)kt?IL_)+OtxPq>4Up$60psg>X=-iRipfXW#9{F^{-fU_GaO2;OA zv~6uQKDz|D-BngB>-c^~-(T6&y&&^gdSTkNHw*Isv zk{MXSw<(b*e4-`Y3|EoOb!13U05U`8@naF{oy{z^in6^R^XPO*EW}+bZ;a4|P@Au? zw$_o+yQNr_M_}E;Q!3PWnbk&2*Mc~KJ6;n84wGR5bPy;;~U? zRR7Jg!ih#qT?!|LSXOM6Alh&hhxy4_i=0rs^~UqK$@7x@Zd1>W-X;UE44=TG#!n**rxu6X2EgjMN1o9`VuQX$Zi9lCPL~;)Ci$gp zl~mO%urCX?0-stA=O{uZO-0pb_NRV#8}@>f)zEmI;!rtqF{wE+a?{aCXd9QWbX`rB z62wnQ*86;NoS1NsbX`RyDa`3`%*ypzFgeswOAT@f#@6I@31-vkxQvi2R#FSWNL6Bu z;qq>|oFKifUqv5YflX%D>V%#owaDoJs`6M~4lby%g}jJp%jzJiann&)kk#34OJ!5l zxpu$q=pcQ>T`Z&4-!uv4a_XIg3W@canAkf~5_c|M*HO2Bxmrcfew?GD31XsEONFq9 z+^D=4q5Vc#2`;Q_A%TK^J1?!XH*#l!g09u%2o5HJQZ+PS2*1!#ujB5$IIBpv8>g$z z`npw{3@YlPVpngqLiMZXCmVLvY7 zhHNxtZmYkT4p0Epr5ixyS*({K#)EeYJUY~~(t5}zf$i1^5oCz8cl`AG+aG@OfL4nZ zBy*?4mz&3GB`}V`MB!bI@;z7_sgmH1cxRKNVXgx=*yh>Wcg0 zqf#=lJh~&e>&{PI>Eb91-+zikK*`U|K|TX%&lV=1Fk&T0Z)7;c4D1lCS|i>Q9W32dfb)P6E5Oy%FN6(vKTIJ@gHDVzM5!zs*k*5%=p}K%86W&OprV`Rlq;{SQ7}aO#k|wD zLg6$ll`t!%*sism;174z%H2O6#$examqUTPLjGQBv=H1H;3UBHR9YN;{4ZtVG7|CU znvy7snCQT}%EHFO{UMU^2zKErSizaIo`eHVID}7naEfk%S^AzwnaD{P4tCxXxbaVT z(poW1<9dGWksjzHZFod~P^4j!?=<~~kbtN0H!xp52LAd56a1cRi@$SFQ4Ai;ApD=m z-_>Gf{m2y75kThLpAR6RorzPB2q2fnTgNc~)X>g7;ZTz*q$~80S4AB4gb2ko^fV{0 zE`rslCBf1nI(5^J_y`T{SlgB;2%1W#p5D9E0%}IWeR3;@!r}1uq_b{!Bgc7@*FOVW zMFU>!WgW)CX2C~764aheusZQ(F)NxVmF374Ucpk{3;{}-;W?%aR%;`U!rnLZU&bjZ zXv6oIf@r|<5#!!Qs1=qof zM)iG?e)CR8>S+v$%p9O7hmck~7mQtJvP{MN@TTb;+RGy&4>sl3A3!}Z*m1oO_Du)6 zYQj>+J~kf(!71VCv0rqZSib-0Op6iwp)2l=K)_1-&S}A)Jy5Y(TU!^vhI_7w&z)bVn+}$ z6DH2zQo5MmzaIJUF1uElM2!Nd7t3)iiEqx=3J(%zR|(TOw&Z5prj;LbsObDh+n3_< zp8vIy(CohYNF5k<*{TCRJa<5|<6W(q8J>3Er0h0}X-1TKTgiC4ojdK?`UYbQ}W%n#d&q>B6;s3DeN_}#3Aa7THsDV5ecVPs2rRav}*hL06-P#$g-#q@Lv1BkUo6gZAb&(auatNVA8q>dDm z(Z`6q1w9rFC4o`nkYF{XNWD;waxWX1lt90ou|9Z{bxHjy*XdihX1M`JE8E9@V zF^AxEM_@MgZcjx*U!cPwyA$Hi0qpTU8_Gn6vBrdj#0;k|s}VwGf}R5IB8X(S);wC7 zK(OjNlRQ0I>dFH(cnD@`l8k(}vhmb;?YQ-lnSk;Gc38On$+m`>2eca%a)7ajRA=#d zKf-vq0Ho($SiXRS`=bw^l+r`X057UFT|S}FT$Jln+IZgdSkZ~S&6EY&-IulO)3Om` zmd@u3{;U>ni{+e`VOEQUhqg?rU^gv0!Ty$nm!L61drvk*ddeB>VBJGvi!&nq*fq_= zY36-J>Ty|EautYp`y&0k_p|?r%SnX&h=sU8^O-rjTE3kOO}3Y~Mu%CBPai!L)7j0A zRQ~UwQA&P}3n|8`h=I7d-mn~*WdY;}CG%-hU(%JcbXX~D{5dx0y#5fe@0^RIOD zRE$9WbK+-LLH35db*#?tVZeKll1`t?)xoCxGA1~M0;n&Y#L`<2BfEZYyN01fv%9fH zx4UVmy!6v2>QY`^e##xYKq{65u%-(j#FoN?DG>XdDZ?MI7Q&7J)w{$*GgeQLTWx87 zWKY>pw5KZ@T3%hGrBjZp?2773 zWQHGfLYOJQ*j44kkt@d-1~3|65EFaZ)ql2p8Rdhru=NY)c15$dd=CZ%#)de4p-%Zw z;qaa?70OjQa&N}|rWgrnM=nSG^f6QJ%mYc7qPKgEAt@{1q**#+go+0S1lu&!*wl39 zd8g3y`80#x?8)S%UqL-odgvryfg<&Hk;1clv^rxSADj&K?IXQsr7>Iz64B;0JM!l$ zwx$sk3ven_8Ml%drida{852~^Z4sEu4hm}mc77_ydnY9Yz_5drhZSAdOSSQeXenaQ zNY1|dcz6!}1ry8t1~q67_#(|Lu%ezYVnjF6Uico48_lnuD$xQXvKC4X;_cv=tqHA1 zP9$XmJu76!`@WDFCGRe`t;V~P%lYod__Y7z6^Svj?zs~d;Y8km4E+O%v=>gearW84 z&Ef#F;^%*el(b#rLDK8PN%}IF>=M@O6Ng9%C9Vbqkh*?OAbRxR z#rS(|Gl5e8Gl}&Lx_{ij*Vu6Y(M8HZ#rnco;l=t!*WA#=*l!BAaTo-Q#R?q$#EJik)~m1xekloj?q(u)s@c zv%4Eg1yIf*=QgDQz1H*5mh^E8>_$*xXmUvdMxV7!qhKf$sE(mz#VnXYg{m%2qx|VO z9Yl%QWP(Q|_)xX2@WWsH7J2!a$fQCDOR%lrFZ1M7-p}s}1Io8$llxLhR4B{2o;M93 zuWKzKeowu<?fZ^Z(=>&dQ^G65*6v=l&acHNEA_zz!#_uv{sQ!7^t zE<28JRcwqUzV#Gr5jZNwwQoHfNNg=PIaGG-tbG0Mi0@r-&rj$96Kk$g&#c6mmN2(k z#lbsV8HA?9&oY&Ri!SM17n12ewNf?o%z}dd+?Z5|FS?8DRW`@qQjQq~wY;FCbT&w> zRF|&Ff%dVf_@*jF>my1Hu6+QO4W~{e^P?;p2*M}PRsd>EI08bYF@@$<-`@9V+jgrzAlJgma zJ$zdJkRie{NHX5y@P3U{c67jmGmJkiG zwpQ!FnOWx3I6chhcx43ol#Cvsdn?TDTuAL$jeePhkp+^yo(d*Cl8FiKl;hbPc2)fN z9w;bD`L~?OD`}Zn74HUo42#2SJo5#G$1%+qRXf)yvchJ(1Y(V`JBi}G^;fRE#{GAtm2kV)ygJ?5o6y81guVp4 zJQ|p{SoU_6RT_thmtI=E98azsFGgh6IcFE?vd?lL39Sa>fqj_yWD0|>b_`RQtznf- zX38ag`Y!X$KSOIS`&QO11I}p2nfxrH)LB`0aBN}VL*YW@?*PrrK~UEynhQv{}EYba0e!4t$*jTe{j$>oicu!3JjO$i{JflEpTmH3BD$wj|raWj3UZ^9X z6h~4Pqr|41xP;+9?Jy#ur-PHyEhx}H}1X9kmnt2m^^J~Elk6WnHsr9 zB#_MQP2}AsxH(GxS@t&k{{OEf7f`z6LF2q>{K|sb=&6SFxQmUUOp4n(G{SEQ8p6XL z=Ij}l^rEk*JS#~a7>uFbf0Ncm*w{dWE|p8&X7XCugQyj`gc#{>p%mATn!(Pc>Jws9 z`le%aSD0R{G-@p8hz4?|_B*&ucuB%4K+O>{@N6`YX&aRzx{0Ku!X zT^iacQ{_72HPpOe=vrl7b(AG)frfaAKFGn8_H!}z9GE@;H#d_d>rUVDKFH=8X!I_r z%pv+c(r4C25eVfT5Vo_N2GAv-hQSm#Npm!FJlb@JG^}YXshW80r&%vlu*{GVqUD9Q zt{yLsI<>Yz%&Hg$a}+tT2|a#-5Ix;^!gH&=ypip)`z+O=o4l8AB{Br8uU(gcbj_`u z?@0SyfCT!n2)D|=^JuRyv7U@PUgJe5>h4kcXu$1>!L$S=3)>v%Fj%*kf;`?*HKzXj zr*qpOOmBEuw^|@x=hOl(?%0xs(o9R1WYdvor|&`5&i>u9rB{CP)5v`K#shS2^Px4) zGi&ws0nQ0%Cn+^8*?|^p(+b7!D=JmhaG%?g8h+Mc9o3QbM7V*09O);LYq0nJBAovW zmBF!^DTw*<=>(vGWfS&M5~Y5|2}z>7y+=tVQv+L#F<@pgxk~KiB}@F}RJefRV1@$A z_gOi)GTG$R(oCZVXacS~>E0$qp;TFvBW}N4o(=1Ujo*5dP_cEPhh9>P1HtjINMb=P z9ffb5S0(3!p1J=cVHM9OAdGeE2VZw@>InTen0ez!vT&@CL&R^R+%O}VO#bV`;PrI1 z7hEX7DqyQ;uBN8@+-QvMTJ*{C3mW9XlRLcrO0aQ&>jc7W>0;XWF;aE?GnZA*QR)(? zUVH;~hZrAhFI@S|OQ`uSK+johmslq7D=Oh(75;FLaD|8}^98?iW$P;JbYKywUK@iq zM1MuGooyz#JA^?on}szHyV2`!cy(wejyv~D<%e*&aNfVd2*P#rbY(YZt~$S8o(w!$j&~ix zgpXgT^7?!x@`=X&;#5d-e7X^>_tFnhFx)y%M2W$dhiD3P*0|I;rnzkVmh?Q2HBRjN zXwTQ|6?z(GOB-AZaS>~G#r?&cnp?<97Vqg z&kaHq)OYbP!M&&+0()hKfIV(Hd+_l{qs|w^o^EMGCfeX%i_l zR8H!35Q2YEQq_k>sx+*Mt*mmmj%%qkOR@CsjWA(J-I*;hz-?7tt$G(rl<{3={{4Jj z;(v<<)@OJ?n0~b*uqdmmb4Db8!>&IPm{2@+075$*@Do2SXe~-BpSZB8PKhYVl;oOW ziYe>fynF+uQM2>PlrUei7UwQ}eXv@D$T-T|Uol^%@xNb&?yG`?liq6U*{qv(oP4qg zbM&SP=S>DmhvO(0WE=%?R#0q8NJ`t*iFmOH#MOF40+4rl(A6X>y^vy-5KC6G&`j+u`5yzk1c%sX?B8Y75r5gm+ z0<$QhArrJ>o{s7@&i?rVLDY%8doj*z$q3!O7G+4!Z{EU$=`-bDxr;-cXjD!VY58lF z`LBa4g^%G)WOR5{OrF)o#QpcV|JQcr8l7K(rC?@!`1Zcsqa8S2ywmR2Vqu?1N31~5COr_c!NFI ztr3?2v1_j@=+>f3pwUofdUWB-eIlsKG2Vj9xZ#ze8DtTo-IJcc`q|1cL&`37j6pF# zXR*Tr)Wi7qtCkfPAOnOQQqTydd=M2MqVu;BajJ*1T)pr&!AcucNkb|&;zS3RxeVLU z$&lfZW9D-u3l&|_Dec5N-c-nlo-+a(w-5yk?VI_kxi7C|XNB-O?XWE0@FhMu%PGfFxF2!Eiw>)c0@$pP~Nbgd+P#Jy9 zUh-*;z8^H6%|&M~_=n+L3!NqQN6OqKle#EUwsQxmOMQbbem-dId(ppe1R$2v0%6kO zsGaVB=4wQbW8oZilI_2#yRahE_%YbUQ#(+1|E=5L>R~*Ym@ts^+h=OaVwD1SopHxg zBbzC#~W9pphZ6KU< z!l##KX<+b}n3Sv*oTTqNE7+}ws3}#5nOaIS7gua45z|s`Ol|19RjZ~x4$AJy5z3O6A^e79-cnX|fZh}uErp5rl@XE}Dc_WnbkoGQK_fxz*p z3d#B2x-NaJJZZP8Qk&*QhQ|hyqv~G4wU`KXDn9?pK=EPMKp+b^`RcOxSvOr{5lh<_ zzn}-)WL?6+9t8}|>6PBw9kM?Fz@+?RT|ij|NY~j0H4O8WmNrn8O~II2kL+P1bF@3W z7mW)7al(=oFPt?xR<-Jnrj*TYK&XX`ehyh(TMN0qP#KQY+X^gT< zVQ8L5?*Khtl3z!@0%r*Mp4Qopgq$P4OP6WEY}PG>0@46fPs<3*)?}E>D@6A(KwvEG z8(03%AsAfSz3zhc?~r0LAPXFzxsn(E7EN^(bprvx9F8o87LGBF>`MMUG|3Rt1M%bj z%E@H7%923jJ7qFjJ0(wv6fhFv?-aq+Y!saF{-3!lxa^oc{ieL76-Qo6jPRC3gwJ`5#hQ;tIe$K7OZv z15R*CJ9~CMXj7P1k4Y)YHF(-s+8;Vqlv-DHv@&bq-g7cb>b!0xj9R(9DdIhDrfYy> zbT5DWR@bIF46k{wlw_Ghx+0NJ(d#$Y!f!TYl`(|0u+`~o_r4}lTmapaN*T8YPHl@= z1zcXR;m|iAxxf*Erh_vEggH}|9l7ELzL3q$Hu5Ta74Jo&oSW#(W(auTF8Wno_Bfy- zuM~}*tP8NUaS{WWMNT;c4DsOa(7KeCh_Z)2}>N#5L@5VDz zKhh8Tt7&|txN*?iHI?nK4IAxuYp&#GI9;=PDseYE^#;XKCo@gGjv;v+DGountxF)V zNgXw^L&z^B(bUn@OMrQyi!uK)0HY3HIR+Od80_nFuec0Av+L=Ntqpv5hg!`!Zi1s* z#d(?WneRx=R8IO~_0h@=AsssIxoQJyg2>ioSCqZSxLAZJ^^73@Qj%G-Hy=c9@EP7kA-E-S=G{Nbb2J<-8S^&`#T3sozSfVs|2&J0R$ zlbMPO$kZGIAV8m-&@x5{C_Q%*hRnmjH{Q}Z*-kd1mCLc89y&O2yp8%R^c15Va5ryg zg?2N7?uV1Jxt7yV2QfUC;@FPG0@!Eo7}6Gjw1}6Uj>)(Oi4ck9I0qI)$#{yN#UfJL&Kaeomz9(Oe! zB-LgiGtGrg42jDx7WaISPC+Sdnz1gkZr3slbiFw25up41Yln~2M0|$n9(`KeP|@$+ zdNv}EZk28*jOg#tfQCJ@uFnW^$&K;l9^48l1c;7jhDETPfZe=xpd3JLUf3hCqKD5b zL>Ze@+GkruSKf08#`DCBC=1b;^$#lHn#R~);|^2dxw3b-==3bn{toR7ZQ0=5p^K0m zZ6UXnVb_bu@U+Np%LyeB3=$@o{;C;BcofTR<~|);Kr0`!Vo=MR49F^nbc!R`@Xna4 z@p2h7Eo9hm`lYH6V?btWp0dyy>zbV5L;2%y^_vfi!NviC-D=NmdRw@Q8QMMYLt zLx*bKAJop?&H%1O1ur1|<~X*;2`YgK{^Fw3A~jP75KsLivMDzf{22@B7S6bxOJWWd zLSuC@7ccbHw#DKeAY3g{#K&>$t*QbyxvSu{!*P^}2bCj`&$M`oOH@>E|8zgAel)2c z?!dv${j?EZh?hdp)RYh0*E$Fq&U(dw*`8k}B#Z{-99e=EnS4Q$OjikUa!4WD1PI??Go{VQl0&^~ zL9??Ja!}>P8u{jF>d_k7J-G$+d6vjv^)^gt`zY9e&5@lJ*+z6V%cLNBRsadDa|msg z>YgH?t*>i+be-hx+iGtyzX=dHrkxvUlL`&AOP@Ou_>qy#6y>BC<=%fJExoNt6;fCM zg~$~$XieB`B{`*A3TGJ4=HxAP6hFeO$Trh$dXmoI+Udv)@*PsfvNkv2q`lgL?^8(O zA;B~vp9Q5SJuoniS#t^e%Md~o?&T_j^Lajv_(Yr>E)1oEyYq#d$ih5mg!m-ODnl@v z+V0scC@X;6BjQCjX^qG*4WM}#S*Q}mbcQ%EdVCKKqY&d*%~sRk+o>f}g`WYwypHgS zq};w95>J>)n~9}9P&v)c{#!#ACg@Gqb}ReqH&vf@d&?Hs$p_T1(lOc16Ik@Uv3NEB`zzp+4$Sj-Cik9H!~IR*DJRfI&A$n+raR>XktUIEzDy zsPrj`XeQzI)?&)k?X4nA;)?37-^HPP2wJoh=YpM4KzXdSgw{}PMfxjsBLd+SM-))d z8oj8YMmS~)DQdPDMI25y)VXf1m_!UtTeKAJij6oU26VacMF4RuyHG*3ZyoSN0JB7Q zBLLa1U7#U+E0t?^;|D57H~codySO8OQq(^bP+ro$DWF!Qvs(+?+fypw2TdeW3))~x zDEyo-OVin8OWj&S^IyD<_#fjJ)of&B{Nr{L>Y9*_muA6VcDG^q54WP-B)?l z6AZPAD6d#`{S*dmBdGXRQA7A8=H#+G^o0YN#ep*-(0HLqBcoo| zv2#s@SCRv1-B&geDvYrUv-C3kv_$Zz0>j=xB-G8i40jNq&k6ohA9(~OIm%p%f@oOo|!qt<)12} zUGA-B4r`{S>L22(5e=6Ff4t9{GGrgm0>CoG*d7M@G?;*yZuNDGEk+wikVxgq3=i_E zAazkJ%p@viBu}(oTk6y!g-Jt5lTXT{7gl}t}+G*JeHuZo%>(jiJ27wJ(Wa<$@vn)b>^VF zoDuwE;VmMsp2j30uy_Waz;u~lAReI`T}Vv=}~_4d_34}posyXzl((h z-O-M^tI&KuA51KQ+=Z?S9|fqF519;+E#ZvJb!-(NPSL->c)ctIBlKb{Z2;Hv$n374 zk+2f0%gKas8rOhH(Uk~qA@FO}`jYo8-Vl zZCeo#p+ukbFZimKq;XsD7^G(1Xxhhz79G#zfUOy~WCY6JGJa9oD8{xxZ+n5l{gwzCiZ901xi_1tI?_m6&fTsg?Z&1DAVZzhu<+6 z^t@4dRNXB=%yNB4FCLea!i+wtJp+vYz@~N78LN#t7@WN;zZ1tsobyZg5f4{z%~_3U zC0ERr+w3W*Rwr}+QR?V>Hqg3N4cjOSb+?ya){?Uh~I@ficfeF21TFC5TL9V8h2r8ktAA5P2dzCmA+e z_8%WPz9BT61(b;dcjf3v_gj=aX{XIJt~f)hfd*6*>KjinH9}WKfe%e*ML)L$j>36x z)&o+U&EP~9_}%!T&8%K`%k0PO;A!%v&|u(bZfvT?lbqrcld~gXDT<3P2(H_ej-%D$g+E9YEFx>i_6ZG9cNSr#N9hVpi+462&%^3IIIyAZ5Vbv0VY8{)c|6n&TmvXB~-tU z6}i}Y!`AOD@0N$tYLkvs5N1ibI~s6$Hj!@;IpMicwSI+?dS1bu(kemssP@(ObBK32 zURiY*InyKK11cx%L7-VE`U+@5bP8ulEFvC}4R^u`0FezRw^V{=H2exej^;297*>`q z8c8fVs5TRv?g}Y1=mG|Guy(ZQCbW@CX!T!td_gWe4WBG=7!Js2LtqPe+SHlQ#wK3C&@R8MGRc1%(67D-CZFRte2CJ>Mx;})&IP9}*=u=uZp=NX?*IK(Z zt+hPY!8q3xrQH73ceydL1aa=l_NedJT~>wp*!{lh#h!uV+4-Ns?G!LgtB!21=HF_8 zP$Prm=#!r|?4RwI7Vf@GS=xBz&z2samj3T>m@zl(O4hm7BY@){qrE@g3$C1QBt-(P zKU_%68e1W^@aBm_B{}gF_S33qWQqHqhq3=YDOJV6M;{P9>&ku#@)aig=S&BFc&`(g zot4S-P2XK+D8Uq_c`Ppgt!>f>_xbeAI)Q2S)}dcEyxXzuY450>x)N* zk0!{I0I$thuLlMcv0+>0eE#d{{5(*lr3+k+_XrQS(B7cpy%~8K9l2h%*Q`lQN1CMeV{L13Pk!`QS9rZ@lhjaz-BOT~> zsF6xY*c5nP$7;IJMe#8@5~%hn$N+AIxb@S#N&gMLY&zaPnN7zMCFRJXWi6zTFllwQ0RztTruDCebzvA(g7P zyGOrLkcqf>ZT^^SJ63xcp1bHI8kC-1HxKsAQ|52DDOM%3cO(xP}WU zcS3axGN8}bs+*nHHr@fI`KDimp0nfs)83naQ`Now$WWQ< z;FvNy<|tD#ln@Q3$dn;7nP-`WgbZa)W*I{G@1yjT=Xu}v|GnPd^}DX$bv?UlpS9M# z?t87zJ*<89y7%tr5fZ3mZMYh-vSuYhe;S=zC! z;KAL2=Kee*%vRVUC#@mf_4gFCvdvsafQOJrBq(w}`VT+EQVgfTq3&=eG+ zHvWj?6l(*)&71jh57I@4gjxj+>qC04Oz*RXht)5-R+%ypJmXP0cAeN_2cX5ocu zUrgByjh>2MjN6plXp{UX_?4{yC|JIh8GE_3?d7w4mGpuzyniDp>Gjrm9Ttf(=FicG z&1pnz6q}J*>8cCyEWf*)Q~!J}&(45&{?nx5dwDj)F+1+HFP)2TJacbX+0Lg~ZSpPMguSvx5cfPsuL0@n27SI`B-&h$ZRKSsi~5c#LcC z&|5oCH=P`fGu1FubprP*trtr7jfh@ooA6y5>~E3|eeE2&rU6HYe;A3LkQgTl)})Oh zDWzp=VlBwg>1c2m*^b)zT=5~7NOj4lUKg$yU34S+4D+*cpA7WruIx5qCMk{7k3CUh z;V#wU8QS?5>v?XrYlVJG4hYY>ohn6JK_rS>PDpDnx{6V~loFqz`(Qeph)+#}(4^ze z<1@!+*R-Xm^%jO{XGSh_C|&*#&Qi-b3EgGNwk0>ew`94orB`Erc`(u`4K+7)6q*+c zGa}Nt;h#dz^d#0Vuwa{uy`e92jmVYTL+(9A&=yCF3MVnb*zL_kOx-uX`${@%L9f(S zj(n7I7iSO9F{%O`&%HT$;mi$*7H@RODRH3v&35y`8G#)M0)Jh)Cl#Q8g;&|eBxNPU z_IlUi?~OBdQ`aZ->GMvoZq^PZms|*-d+%M6MYwTf_^P=3g<`E$HpNkfpiSaZ21d85 zNki1{R1l|mzf?CGv!(gk-W0z~`mt}_NAy9^R}!Z)yM~a)&FSJGW+RAfA8U}rHpLW8 zo!0R-d&N1@#3<1SI>)*G_qnwZW4w1gw*4$jqYrrbHiU*o zA9P)}3&V;Aa4r)1!#pvO&Tt@MY0A zO3$hc;Wy7($z^Y4`FN;Om2#(?>F96GV^V0ksnV<>cn+b7uD15P*+3&~&w4ssZ=Gyr zMBPZPy@U7r*Mwe|q8W`5)2N}z3(mRr)ryybo>tr_fVdAd(JoEpOYF6aTwm9|UpfdU z-xY<&=%MfA4ZC#P+<)@?oD@B$E}d*D?-9%XJn6X#inDl=5Q}4p<;e$;OdxL42S?5AzFvXMGsEvJ`$3~l zY6XiuG@|3WoNzUBu{W#wj6{xF>%@J9B0XaTbB9I4u$ZbB4gM!DML$+*2k8zABx1fy z>}~sh{x%ze+Ayl@Ot$oAep0RPy4E|_(4MLBh7#?YYR{@M{qAE%b~j@AuW;>( zKSpP$qa1gluD`!?hePE1!o`awmXG*y!ipfmO@7;t&TX3`7R}@a#`Al`D)YfsxgQ#x+zxD?%cFv5PZ>6+6&r zGwUR0A)JhQS)-5N) zWeJGnD91?d3N{;%Jh^3+jl|v&_bygqBN@2(tWH1LBhxUeSukU!pzs{>Z8P`XQx#(L ziX5J14<09Nliu#=MdCzgBSn;svEsb#|7oX`Kf z)mHh+P54CA^0Qsh?;VLbJ@A!@SXK)9N0OCTiezAbtIdB`F>u@s2s-Dz1jg+V? z`Tq6I$GsKeLJYCps;s=_jwnM}?mM;DR=pnuUQb@WA@D7NLqPxZmwVIj)z-_Eo(^sl za>-#$eDA^cNa~M5sTNPZQC%5X{UYwE*JAkbp*v0fT*LB*Se-%FjeD&@G(N{=f?JPY z3Q+bKS>7Bzb$zwdr*HUDq{_y*F|iMYjg2uuRvhDBLGQnqtC24#=im6=++by;g)U)C zvRl)M4X-}Kag`S?u=YK!Oazi(5Un>JccR85XHnH5@$Q8)1J;Rrbi;dwU1mtI%g2YG zD7;<`)Yr%OaoK!g84iwauK1i$!pwCQ*LA|v;b!h|i7Ed>r(6DFQS}%ag$nMniSLvy z=_CchpbiXY@Ry@wVOO1xk9Rll*J_(++t|Mxf46c8x+Es=$kf}_G5Q7dWPjqOaZ}X4-+QTq zU813H@?@<49S<-qyNf3!NyWW)lT%E&0siPRS*0Ffw>7(z!jxmV>aSb4sXl(?OQlq& z)ZXf_;4CdWTO2a=MaLO!|Hl4h>-5aUOKH~$lP})sp9Jl`y12w=+T1d9Ojqs1_C1;{ z!LtizDZeX9pVFBbK3`knz-3DD;hLcYiEpS-Xj-Ab+VuIb*-t9^3MSj*hIO_!!=q<) zGCcN9ZTA+9H`>d7++>JAy$Ul}^E6#aQOp~y4yU_!hnUgr`Rjb$#{48@2f^9wl|Yfy z^fHl&S0Uv`pOg4{xTlw;&$^4IH7^`lN2a|NS}pgpx=Me3o(WoP5s|F&?iq9`Ks#l! zfsBlCt4O*6%Qj0C`)Rv0n$=-=0=L!Ue8s~_Lhp`khtCV)@!B1sp~c#r-Kvt0JN{cc zv}w|81vt*3l-4ykpk#95+KUuG=f{aJZZ;3_rs|`P9A8F!}uV zpi6q|$A!?Rw_@8r8oV1ndjk8OT=2BUuJC(lh^cs;{Ff|4s~*9!SC zsp=d?(AX6?tw$K6!*O<=nxd=eS_zG@;51W7POY;21B&nyNZY7KVI2YiX5r(vt_~Nq z(EEOv^ank?+OGU;>{ig{WWA>Qd2gNEs_d?e8f)>UnN<;Tm}yum=MrRO9Rch3zn?W| zS`>bFgsP`AMl7$S@C#hL{F-4>FrWgTRYA1i;TGtz{=>CTdZlSh+IKr`%dp%JX_0lHY~TbL(5TCj2_jjvbJvwSq{wcW{Te1CeJYCKcy;o8<@hY<<_$|-#Ft_RC`pu z70_ExcAo2clJd~tvtnv4h#u8rVFFFnOz2VmBBcBouHbZgq9-$hULgMHoU-I-kMc>^ zvL%a`U0R#Cy9yGqr^w??sazse&3Yr%@=XOiqYQ<;uik43Q|a-YX-YZmyeJTD+WnaV z(POcW>$@r%OL`%p$4P=-)S%K#RIrEps%ZO6&t0f$_PWOa(Jjmo(}3H%4;vDk4fyM*c^9 z5`0xnv>IMTbZEGsSG}Iya-LF50iP`0t!FZEd-j=d`sAz2t6DK@kErC@H^UNnPtWX# z)iI`9go#cRyLr1jcw&F<(br6rodXAoi?g!TZ8VKe*iR)#$E*B<2_vUeoWQU&*fYqfcI@gE+hrJZpq% z^Rh(dS8sH@Q#@AedE010(v&b_XqArt0n5j0?cTszL-~Twx8TB8Mc8kUPH`+od`+&7QI!*&9{loMEO)%YWzpcPz2x?+(fXL) zV85w7N~WK3cT^#kY=qiOh*jI7Qtm}f_W0_wtzXKHrLeKkr|{s&@BXJNzllXx?e5M; zyDV1iEe~%`wYN^Kg?_9k95E2w75B*h_64(ey`l~!yCqDX^D9@C0J*1J`2DScO~LGpLc83xlYP`A853T%)+H1vM{_+ImRDP7HU$F=nafqM_OtsSerTbmyroT^*OeE-sVd*1c@ z+}*(`n_^G%D7KS~2)Yny25)?V=V z*7%NhWqAvh_bi4Ev>wzycts^ASB~6|A6(TME0B90m^$TPv596vX^?r zWfxJ)!f7P#NhI>%b5_m>DvzN_Y>^>EIJb!q363C|q|opN+yog?L9dVtOZP5o;@ z0Z#dIu98`e(ezd05h~+zbtqx??kO_U<#3YFkfQ~(^#bk-$_}oz!DO%OcI6-3h1uE{ z)kPD@Mhm2oKgMwfh6K7oYeCeBgE~4Q;@4JvXGd~8?&u8Nsn&R^vNF^VO{L~@)u#4M zY9s?MrSw(lM-1V!=1No*HzXX6Y^zo=OQ7Nu8FNVD={3U{uhE`q&WyiUU6*j`>x{Yd zTUKxWmb<(}H3dZ0%0UKNA*WJo(2@75a|kK9y(cM7qKL=Ca-NCvVssm#Bsdpv0TMBB z7w?daj=rI}i!{#8>7$w^qE+R!k=pYy>Jt!R>L77l1UzmxpeiiwpZ~j^wlcNU`ooGZ))_K5;ob&+{^ip$4PKJNKG%6k}&Q%{5t-F0mW6z2%rnE2CkXl?T_dQ!mfh!;is^+JY4g6VdG|4n?gE8|w^z{9 zFl&k2%z~^b^W`(w!>%WNc6UFGxvF1%@td37 zRg1*Tq^m4F=l#F!%Yj-4j6-EH+KIX7TEbi*|}6@4krAy)l* z6VKDwaqWRL`j<({`dHhEW?79yy-dBCd0(~*5uW3xJ7m{QJD!s{zbKQu^NpMCVYZc? zQsmo>E%^lu+~#af{)ZliD2*Z*DS0^fLxSRQBgftFdEBXF-*~@cr;-+4A_lZ4Tc*ED zO!_}|oJHhU2bZh6A89}1>v30HaY|eG3-eP_>z4L#ewogc=^*K;&rW^*b!~6PgnZPa zH$j6PTha>{Fb_Bo4syI<%+qdK5;z1rqabGRKYMJ@_bOu$i|sqsuY6b9e7X8{U*xd3 zac(^C^^IAhFYni7oP?bvy%jP6D$ZWuZM&`{SYzaIwPgM?i~@E&uVxyvdcVQK7<4__VinmS)I{b7R-Hz_s`Jp2_kKKiX zk2*8nYm^C<dJ0{8&fcRigr-_b6dBi(SSC}Kn33*TD4)Xl87ba%<8hdmbN9|nOom{F z(Q%fpCPQA%6Wg%Vg)Fhq3=g&iaVgYdL3GvL^Ha$XM*&sg)w`WKWv->eVU^bAo`P6v zubS|Jx_8gcuTEw9)waRLL|&%cu5@?YStn+~g~vXW3qaYvecAI=<a;raiiw>XEZr`h4^qwx$i2OhPtSgVU2cdasY#sh{M$2hfq}Gq z-Gx%#^|UwWh0vBS$dhhaXnKQWW=1NY>fUb?q`hAc+#@=DPJm{MMuDVTE%Smamuw^v z$(Z6s8WH~#14*}DhobE*Es&Qf$yma79Ivb@9Ded7=R1{mnzx9GnCnlHXt+P0Nv%%}&Bj&a z*tg}#dBeq?gKy+l-VtwRPQP$AG>qhKG$}1(PQ{bN3uglYN$$R|GV`uc`E<@%KLPiI zRCy*TWLW`wLXTc?5(-GQZ~0iQc3A}zS-2@bscA8LWW`6*pMGHp1B(m8k`PhL_XiQN zGg}aPET;~d-cC&qed5bv_3jq&VETn`#^{S0&lNb!c~uCng1#MdbCY2>CZCx^dqmzS zo#-XYb8tUK)tcrst`S}9$;kk7)2RWjHnx|6&3auPC$$F@a z01RmDO0O%RCvPdmYKe%8=rrjS>j<}qnsNc{$bA6qs9UW`(@X)g1*_a6Pg=3d#A{xy z@b02v8qrHJ_xGpDc@zfni7v+)!)Pxj4|ma^^bR z>Lg>1$nA==5APDwAH8?OU#dvAd$lO;>-N=E607!eS3p#u&!5(95v_xs0)AgvItO{k z|Bz(Fv9%+G@8Jgk6wfS0|0m)izK1O@%sjmrbgoykZgEE!&bNpi-8Hh4^9CTB`*-PQ zh?~C3R0YP&QpbCzn#gySRo59<>1(iy0by$-LJ?~ubLuvF42pHcTRstV{~ zvlQ!q+wZR*0p{rTEk$<m9aijr>D8IL)f z52{q0pS(X*x9?nzLVSP_eeCDj!dJCeE9l?wpM9A4QJus`BBpyN;*Q9R3(x1?+SjQt zG(mDs-sAk-PNYDia2GQXdX9lZ9TY4sN0P{?MUzMr$T@zFTz1;8om}?X{q^1xI%g6@ z(mMThwMW=lf){L`3V$d~=QCKKM&$K1-)ns3DC8l~Awz#mLdZ2(64p~+r6t-m?!KBq z8Y)k9rtR~Mb32zvcfh42NgoLe_zh-9O?bapj3F+Mz16KaE#$}U9tpe1w!4{Hx04DU z9<6Jw3zbx-+2;AG&j7vhq|XfY82C|$fKy*28QV-;Ey#4=yd?inP2H`&o?^eo9ZSde z(Y)#*=N6cStTt&=>pi+tdSZHEIb)n`M{Xl3l5&Rnn79sQMQ7>IgzIstSMkfqL4;q< ze{YM58DDTv8C8#KwJ5yQemK*pSC(Fcf%1nZJnOt894?gj9NJH5%^cB#kF((XF zKgi!zUAmlF8RMh!G$q^g{P-BNN0UQE6^X9BlDxqE$ws5G?e$n}w04b09<4Rs35=wB z?x&{tb}S1_$0@O4QSt($GfnLBMgw$7vO=H3)18Lk{leVxKvl%KX@;cQa~bs~jA8EP zk+)VJsvhUKuP?vxIl%IMLHN0s)=~9_QHHR=Pp-v%4|FPoRLOiAXpeek#CaxY?k1m) zdke;WWD9I~JFxh`=F2WlOg(VnIQ8vET~#z93q}jat0byK3FdbtS)ExjwDa z*O{x`X7Rq+F3cqhH*2;Uy{x=Slpk_WzzkQS>|x2UC|Ed3{~&a%`O#xD_2Zt*W28^7;HUg-NiAHPQLr*QG>#Vjqs(w3moZQ{5v?YiPMCcAh<} zV}=ks-8*mubmIF(bq*Z(MvKsO%Q!+~;p5csbWMz3ydEwCkeZm7J9oi#aepzp2~?@me&t<{7{c5d9F9En^nR zP$om5z*0cc@ zw68j>=*H$+Z0_3^dNK8>K4hL=r@GAAc(e)rn$&m;9Dtsn`8p)%HzP%SgUNcdH6K2j z%qxha^(3>YY_E6ZEy(Mo9Jmb9vq~q-jBM{@c%>G9vV3Y(UN@N7GSBj>#|-O8_&cLX zL|p8ntE7>zEp6G>vKKRzd`W$)w~wqXX~o@k-!mj>yJot6Luj%FLo0tf`J>%vnS!W_ ziPsf$kb@+`gMw*9?lu20Hpg1Gb!S_tP^OR`^I&`6k46%-ty#}wsLaP9;MW!=TyTRp~K7dE?azt+9p{;(n(e5()Nq7Ljzf}xWaX&9>d+% z@k^Uk`kU9PC0W&k?~C2G_q?~ZJ*=1Y4l4Lg0mhv=*x4@c7qGE3JeW@V)S=eN|0=_@ z0#&11xm-y{Z|3Cp%!ZP=GM&ZTSq&MwmnQA1ny*wxjwbb)<+vDLI4gz5tUl>t= zoi@ww+pf)~+RrCd+CQoSZxqQ@3^$Z-kSse&l@E^%BQ1)p-_P^R6t$OFU$%)38LaSY zy((Ne9d26|y5N+4bkI4%dXQ^yVO+H2<)Ef@wDMqu>@|grvX#s?>dyS|TXE*Rz;C$H z)mW*h3U>}IT>h-?YsO@~+-A(oLN0!j_WtMD)UN!m=Scsu0At2~fUITXfZOd5&b$6=se^)ZB6J>n6FYkeunwZc9yO|BO76MhYj8G#D@g} zxBc*H>#5kIeI9*s%9L%wRGG#_WXzPpuBU#mU=$f`9*yiz@h-HGwsz(e9Goi`xPwHE ziE%HQL9Xx4yOMJn^nQ%|V#eK?qLeq#yzC(C^1L5@8d*kWSnQ$aHFJ3j2phFkt$>fW zyKf)=$TBQ@|J96;$%WgQWo?1$j^<7rj3YG#yxmt*JR1wzhUR3K(o&dSP^DwzoOylB zs9uR|rPIAEdgi)ltXDj^xz}arRi#pQ;mqE(l_!c;XnQZXqL`JSQA7%vdVTAb#!({% zY-~Yp;9gw5r%lK7rCHUwWhahV94fkOQ<9omar?SJ+tXUnrjsHPTeJgJ zjabufReX#i5vpI5hwR8dMM!*B{z7A~Z$M*%;=W+>hP%yPP^oe_=1A#RUnSQG+!BuC z%gC~RALV+JuvE)7$n_{`?|Z%}|H!3*Od}4~r}NFB(mXlYL@!u&PLX!A&8y8{oQN;I zRZot7vw;j<_bQj^G5~)%?yHpZ_{bWM?C>iA@8fhtQwCsZn`^YR0jJ6Aj78p@$AyVK z4RW5TwqfjVqmyc2aFd+7Y})^{4gD@5m(}8Aoc;6GzVS9^);T4)lJC@@!OA2*-j8TM zUiIL_042xf?MT(Y9dgQ4n&Ypi{fa~E5FfIuAJxAOKYeX{hrBKDk-*FAqp!kK>6Ba+ zrb;K1GCY&|xbzFIKfRvk?9W`ntv}CRZW9=|?gyzJE^X~Lin?xMn=j+>r0IFhTiKs*QS&+R+5`9I%h}T@ktl= z0bNw~(0WL*+x9&7TkAL6mG*+_4f8RwuN?ygL^dQ{MZ@gbeDz&IR+{p=Dq|Y$GiJW> zTW&G*;cSY`B*+K&)^K&4_H3u+?yGQJ9}B!E5hTj66{)$woqh}1P)D$cD!#gY8@j+O zc$wOlzYSHu7Vr0D(22VVBYokFJa_f{S&k71XN~o_Y4ZS~a9+Ax8=5acUrW*N1U}v7BFwSnoJ8wD-ejM1D1TXABq&sFiNtO)Q`` zyNSwyqQEv;gnktgrNAGE=?@ve=r;R=YPT-k9eQx(EObcwk$Dg2oBl zT4k7(t+DYjnsiNCa^>etT)n0Pq4o|DYU%+kwess?2opmlSITnz62?a!$JP_&5|_va zf~=kwIeA!Lm`NOcnQ@$~_XDA&1lnAvQGm%H~?QeyW=1*cEGCvaGDv zu?0Ekv}kyhd!yc-d(p_JUJ4u0hu>`pC_o&Qw(6qxCW5KdmXA5Jjf&<14EbnAvjI*${0U=-jrv_Nl9Ajc(W2WV1hXug^ z8CwSvM?GPb5EL#VB7z1XpdvyD&|hsh1R;blkdXK_AN>F9eEZ}7HlMIC^7q*gzh=V& z2Fu&p;AF9m#ts&CI9rGPrnI!JtDYbfi3SP6plA>j0)c?gB4~sCPF0LG7OZ7qjdc{% zu(ih6faR?)W{x0XI9L;hwY~~M|EMV9D>4{6MXZIHISzzG!ob=;>u>}FEahm7wE@T? zB7k9k)PcEBU?m*J%EDO6#>@%}g8XJ8?@pcDav#^n}akTi=mc}?@@oN2q zDQ#2X!PA3PJ(n<4<9<$o@R|I-XAi4*$W6Zy)dhV;~U7KgQWt1V7-xpm+cW zN+HnD{Xu^z2MEJ~f$@Xj%irn0WPm<=9ldWc1Z2i>OuB^(0zeKn%~7lHkA{rz)fqoMyp zWaF;`e+z9m8A{ekP z-cJY7jgMBmBk}ZoF97r5qkEqZ=tKXkv-E7^c|FVemur~9e+l^KE9uI{Mc~7o-m+|FA;D6 z4`9n5QFt&Lp6f>({}jV_{1MoA-SKk!`rv)>(;s-o{W*XU@H9NGUvVvhcO+gAVA?k* zz<_7Q*AEk+2OiM&C&lyOX?WhB{D9o=p^p9)>dIIfGn_fV zhXgLT7FIZ{16Wo@OBM@U&#^x)wjeYN1^)ScAp!+UTi_foV;y8{t?g`W@LwG0-@clG zfY}e_{jW1^H6u%`G45CFD_LXAuo4nKzv0RE`u7$Hj!OaG^H>6tI|Kv-gnNSoegr3u zA3siWoPmahft8Myj+K*%fq{vWgOQPmk&%O!m4ls~gO!(82qFv-;+2q)mX?r^{qrEC zr=vT0`XuwIQ_M^!fq(2wEG$gyPv7kgd7A!w1mX8gnRXbk^nvu!u`J~L@PmlsU*&lpCHWUb*1wxrO)hNcom{(W z>U=jUrKs`!)H+Jxnwd+$MNO=&o-2jLer%dFoAaU5SUl9Il1iXJi*!w_0 zNxVNNErBFKjS^SnRC>4)*Q2CxC2oGMaHWe%T;Ur368>MX2c3}~jRrgn$(_s1sRT4m z{M-0n*#No2Rl%L`Ir(#n?v~?0J=rov}R`}|zs89g& zKeROVyP~@;1!_%i@w*G)mB!cIfx1kW+UMl@bjJ!dt_n8p7x)1Bt(CH>F?K~{H^?wF zylYiszcCUTZWz?Hs_D*ee>P^1003sb#lLT{+kp9AOaS)#C!6h?dEX9_cst;&dHX=6 z!)gR1#vkC5xO5ocPe?yd{08ams^%6R(xO5$SfLrfv=4Cc2fl+k&;cm@13AElhyJ7M zPoaa>pKARGiyumg)9?Q9>F;{|45hyug~EHI6Yra=f4b(Eh7wBug*)g0Z|pB;z#BWi z`^IL=!rgq2z~Q3!HPCAJYFGDmc(0;3yaIl^1Y9Dh<=bL&l^@JM%iW2} zi9!u(6|f51!mrNXi3cNWhyOJ^*MBVW3(#M~;C`X~IULKcVgH)*zeIb$d{DA290(nh z+u;Yoe*ymmw^j(^C~jtgqq{t^XJd` zID&{78UpFmhdeqLh6^krI^e?|YV#w)EEez8R(j@ofqw%bJQg1ccKQ4zMj9LCA+IY- zi&&2x+3|kfSv9qm?N**)vzXpWXXj3IY2i*CL(chYRvio(b+-23+MTPKr%<|{M>EuH zmuK35{~MG670S5ZdbTc4qbl>Q+k|MS@@$xpI~P;2_V~${!xWZ}FHfG}Gq~j+k!t5K zsrsO;IZ7y(>ymQw37NU)!7N`n&Rf?UC!g0!h~>x$+eF?m<6O3qeHB?FLfTzS5aym0=cs#XnN!_!% z+%S!+SRuTJvw0!P#3@j?tiDMVny4Am#eQ*-re^P+#-M+WGv;D6s6^%AyR(W`3|s|E z;|cBGUz>Va(ScI}PYpK(TTaL!?KqiUkFE_}UmJ>dieYn#SuBjPeap1oXSx2^a((*j z`_!}V-&}kjaPj>%`BWMC)S$yuw8PY_Lt_T4u@%-BtkL*YV=G@{tLMa4#EGr(u}y`y ziJJlb1*C6@KI{;E5Qn>-q8gF%E&f`gxA{qh-j!Ic;z6Qe>kGs77lzHr`W?yoEeZN< z3HnVu@tr*JtvvDVL>(rCslwixPpg%My?=N_PJn>de<`cL)huqc$`DY3%`7hyDDz9#|7=hjGB*Q|Vx7D~!|6 zjXVgBUz{2S2l;UXez5`h_&@kHjm=#!4(EY-Un2O#{Erm={__57aYK&xH$v|MSZIZ`SSJpT|F{{`1j-9$3l71nY`5`3pagWyI_Go7z7g z3;Z)LK5zIN?_WuMRVQmlKsO)c3>P6M=WT@ZBNFF;auzXyiT=V$h7&}4J{q&L}2zbaK&LN|rEYBe+K}9a+D(Y%& zXAR5^a<#UyaTIk0-Y~|?hyryy8O#gXXTjYR=harZ48qykTHUn3fnY)+LQp}d@HvpF z1Mnck#n#~_2r7i+#gFM=Vk)X3EB|vupe4?0j>FlBg265>EON zVK6~}L(tL928VGKv~lDFCfrA2q5VtD#!+Y=l#sElHP}KM3>AWaf6a98%m)7)3O_T( z$kqub&gMn9uGvoPSIR zbO1971C{`4qL&?PO`MFe4&uC0#tybd7#v8}!Vza-WrcMBA%!3yKHwpYiLHwxKd*!s z7?0;Sd|4+Na#P}CtJ0}OL{V+E%24k(T zcyNw@N1y;?6Jt?RTL)_l5Th77JK!PTkLx}VTR_ABoj41e6;@(@5lLC$epIY1@QXs! z3b@M>=XDh{!J1;6tZ=*%z-0>0ZSo`1tSo*yW?v5c*I54)hx;^OmEw&6{&f`qTA=?6 z$G?;OM@IhFT>qNuKeE7o#Qbk`{cEoO$O8Wn^S{yc|CYIcO~b)f19%NgoYw`|Nc_XQ zVTix-M!-EI{)UlHRuq482@!@Npitml75sfAFK}PU58l831a8m&doC^foebZ>9SuMD z;6|J8pYOBv4{o&e`T76aVYxbBO{vI%*8w2F=dS~VL?DC_Abb!0KtW(oVC#lIKsLW< z!otAr><|qBycO{$4UK>U@3$PLAtAuV@DEuu0)^kk{z*eZA%|%qC^YQw93mpZXkat? zyDT6GgB;?6LSQKTrt?oeI1<0X{gZ}-9-adVL5Tn_G5pB~g&@#}^Z+~wfgGL#iVy}~ zi8~~VLO~AC3%oLc&tUw41qcz~ZIQz?VUa^vgn@lA@T%1xe8RwZ(8GK%zzBzIB@9O+ z5Bp6RE`o#|(nAx;!g^@q7z)?sTehJ`@gBXH~z(fw)1rE6Kuw4)k zgb46<&L6S}01E1m?ExC>Fg}0=M<3Dy*vG z '0'); + send <= '0'; + wait until falling_edge(busy); + wait for testInterval; + -- send single character + wait until rising_edge(clock_int); + ascii <= std_ulogic_vector(to_unsigned(character'pos('a'), ascii'length)); + send <= '1', '0' after clockPeriod; + wait until rising_edge(busy); + wait until falling_edge(busy); + wait for testInterval; + -- send character stream + for index in character'pos('b') to character'pos('d') loop + ascii <= std_ulogic_vector(to_unsigned(index, ascii'length)); + send <= '1', '0' after clockPeriod; + wait until rising_edge(busy); + wait until falling_edge(busy); + wait for 1 ns; + end loop; + wait for testInterval; + -- end of simulation + assert false + report "End of simulation" + severity failure; + wait; + end process; + +END ARCHITECTURE test; diff --git a/Libs/Lcd_test/hdl/lcdDemo_tester_test.vhd b/Libs/Lcd_test/hdl/lcdDemo_tester_test.vhd new file mode 100644 index 0000000..a43aa24 --- /dev/null +++ b/Libs/Lcd_test/hdl/lcdDemo_tester_test.vhd @@ -0,0 +1,111 @@ +ARCHITECTURE test OF lcdDemo_tester IS + + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_ulogic := '1'; + + constant testInterval:time := 0.1 ms; + constant initSequenceLength:time := 20 us; + constant helloSequenceLength:time := 1 ms; + + constant rs232Frequency: real := real(baudRate); + constant rs232Period: time := (1.0/rs232Frequency) * 1 sec; + constant rs232WriteInterval: time := 10*rs232Period; + + signal rs232OutString : string(1 to 32); + signal rs232SendOutString: std_uLogic; + signal rs232SendOutDone: std_uLogic; + signal rs232OutByte: character; + signal rs232SendOutByte: std_uLogic; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 2*clockPeriod; + + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- test sequence + process + begin + rs232SendOutString <= '0'; + buttons <= (others => '0'); + wait for initSequenceLength + helloSequenceLength; + -- send bytes from serial port + rs232OutString <= "a "; + rs232SendOutString <= '1', '0' after 1 ns; + wait until rs232SendOutDone = '1'; + wait for rs232WriteInterval; + + rs232OutString <= "hello world "; + rs232SendOutString <= '1', '0' after 1 ns; + wait until rs232SendOutDone = '1'; + wait for rs232WriteInterval; + wait for testInterval; + -- send hello message + wait until rising_edge(clock_int); + for index in buttons'range loop + buttons(index) <= '1'; + wait until rising_edge(clock_int); + buttons(index) <= '0'; + wait until rising_edge(clock_int); + end loop; + wait for helloSequenceLength; + wait for testInterval; + -- end of simulation + assert false + report "End of simulation" + severity failure; + wait; + end process; + +--============================================================================ + -- RS232 send + rsSendSerialString: process + constant rs232BytePeriod : time := 15*rs232Period; + variable commandRight: natural; + begin + rs232SendOutByte <= '0'; + rs232SendOutDone <= '0'; + + wait until rising_edge(rs232SendOutString); + + commandRight := rs232OutString'right; + while rs232OutString(commandRight) = ' ' loop + commandRight := commandRight-1; + end loop; + + for index in rs232OutString'left to commandRight loop + rs232OutByte <= rs232OutString(index); + rs232SendOutByte <= '1', '0' after 1 ns; + wait for rs232BytePeriod; + end loop; + + rs232OutByte <= cr; + rs232SendOutByte <= '1', '0' after 1 ns; + wait for rs232BytePeriod; + + rs232SendOutDone <= '1'; + wait for 1 ns; + end process rsSendSerialString; + -- send byte + rsSendSerialByte: process + variable txData: unsigned(7 downto 0); + begin + RxD <= '1'; + + wait until rising_edge(rs232SendOutByte); + txData := to_unsigned(character'pos(rs232OutByte), txData'length); + + RxD <= '0'; + wait for rs232Period; + + for index in txData'reverse_range loop + RxD <= txData(index); + wait for rs232Period; + end loop; + + end process rsSendSerialByte; + +END ARCHITECTURE test; diff --git a/Libs/Lcd_test/hdl/lcdSerializer_tester_test.vhd b/Libs/Lcd_test/hdl/lcdSerializer_tester_test.vhd new file mode 100644 index 0000000..dd8798b --- /dev/null +++ b/Libs/Lcd_test/hdl/lcdSerializer_tester_test.vhd @@ -0,0 +1,69 @@ +ARCHITECTURE test OF lcdSerializer_tester IS + + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_ulogic := '1'; + + constant initializationSequenceLength: positive := 14; + type initializtionDataType is array (1 to initializationSequenceLength) + of std_ulogic_vector(data'range); + constant initializtionData: initializtionDataType :=( + '0' & X"40", -- Display start line 0 + '0' & X"A1", -- + '0' & X"C0", -- + '0' & X"A6", -- + '0' & X"A2", -- + '0' & X"2F", -- + '0' & X"F8", -- + '0' & X"00", -- + '0' & X"23", -- + '0' & X"81", -- + '0' & X"1F", -- + '0' & X"AC", -- + '0' & X"00", -- + '0' & X"AF" -- + ); + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 2*clockPeriod; + + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- send sequence + process + begin + data <= (others => '0'); + send <= '0'; + wait until falling_edge(busy); + -- send initialization codes + wait until rising_edge(clock_int); + for index in initializtionData'range loop + data <= initializtionData(index); + send <= '1', '0' after clockPeriod; + wait until rising_edge(busy); + wait until falling_edge(busy); + wait for 1 ns; + end loop; + wait for 100*clockPeriod; + -- send pixel codes + wait until rising_edge(clock_int); + for index in 1 to 8 loop + data <= std_ulogic_vector(to_unsigned(index, data'length)); + data(data'high) <= '1'; + send <= '1', '0' after clockPeriod; + wait until rising_edge(busy); + wait until falling_edge(busy); + wait for 1 ns; + end loop; + wait for 100*clockPeriod; + -- end of simulation + assert false + report "End of simulation" + severity failure; + wait; + end process; + +END ARCHITECTURE test; diff --git a/Libs/Lcd_test/hds/.hdlsidedata/_lcdController_tester_test.vhd._fpf b/Libs/Lcd_test/hds/.hdlsidedata/_lcdController_tester_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Lcd_test/hds/.hdlsidedata/_lcdController_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd_test/hds/.hdlsidedata/_lcdDemo_tester_test.vhd._fpf b/Libs/Lcd_test/hds/.hdlsidedata/_lcdDemo_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Lcd_test/hds/.hdlsidedata/_lcdDemo_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd_test/hds/.hdlsidedata/_lcdSerializer_tester_test.vhd._fpf b/Libs/Lcd_test/hds/.hdlsidedata/_lcdSerializer_tester_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Lcd_test/hds/.hdlsidedata/_lcdSerializer_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Lcd_test/hds/.hdlsidedata/lcdController_tester_test.vhd.info/msimSimulationReplay.sh b/Libs/Lcd_test/hds/.hdlsidedata/lcdController_tester_test.vhd.info/msimSimulationReplay.sh new file mode 100644 index 0000000..6ecd536 --- /dev/null +++ b/Libs/Lcd_test/hds/.hdlsidedata/lcdController_tester_test.vhd.info/msimSimulationReplay.sh @@ -0,0 +1,3 @@ +# Script to replay the last simulation run +cd $SCRATCH_DIR/$DESIGN_NAME/Lcd_test/work +"C:\eda\Modelsim/win32/vsim" -f hds_args.tmp diff --git a/Libs/Lcd_test/hds/_lcdcontroller_tb._epf b/Libs/Lcd_test/hds/_lcdcontroller_tb._epf new file mode 100644 index 0000000..41daecf --- /dev/null +++ b/Libs/Lcd_test/hds/_lcdcontroller_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom lcd@controller_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Lcd_test/hds/_lcdcontroller_tester._epf b/Libs/Lcd_test/hds/_lcdcontroller_tester._epf new file mode 100644 index 0000000..a8e5eac --- /dev/null +++ b/Libs/Lcd_test/hds/_lcdcontroller_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom lcdController_tester_test.vhd diff --git a/Libs/Lcd_test/hds/_lcddemo_tb._epf b/Libs/Lcd_test/hds/_lcddemo_tb._epf new file mode 100644 index 0000000..41ff47d --- /dev/null +++ b/Libs/Lcd_test/hds/_lcddemo_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom lcd@demo_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Lcd_test/hds/_lcddemo_tester._epf b/Libs/Lcd_test/hds/_lcddemo_tester._epf new file mode 100644 index 0000000..fa20b5d --- /dev/null +++ b/Libs/Lcd_test/hds/_lcddemo_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom lcdDemo_tester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/Libs/Lcd_test/hds/_lcdserializer_tb._epf b/Libs/Lcd_test/hds/_lcdserializer_tb._epf new file mode 100644 index 0000000..71b93dd --- /dev/null +++ b/Libs/Lcd_test/hds/_lcdserializer_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom lcd@serializer_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 0 diff --git a/Libs/Lcd_test/hds/_lcdserializer_tester._epf b/Libs/Lcd_test/hds/_lcdserializer_tester._epf new file mode 100644 index 0000000..f90badb --- /dev/null +++ b/Libs/Lcd_test/hds/_lcdserializer_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom lcdSerializer_tester_test.vhd diff --git a/Libs/Lcd_test/hds/lcd@controller_tb/struct.bd b/Libs/Lcd_test/hds/lcd@controller_tb/struct.bd new file mode 100644 index 0000000..0cfb350 --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@controller_tb/struct.bd @@ -0,0 +1,3437 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "Lcd_test" +duName "lcdController_tester" +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "asciiBitNb" +type "positive" +value "asciiBitNb" +) +] +mwi 0 +uid 175,0 +) +(Instance +name "I_dut" +duLibraryName "Lcd" +duName "lcdController" +elements [ +(GiElement +name "baudRateDivide" +type "integer" +value "baudRateDivide" +) +(GiElement +name "asciiBitNb" +type "positive" +value "asciiBitNb" +) +] +mwi 0 +uid 3514,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdController_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdController_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:37:47" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI_test/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Lcd_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "lcdController_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdController_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "D:\\Projects\\Trypano\\ControlBoard\\IglooTester\\Board\\actel\\boardTester" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "07:37:47" +) +(vvPair +variable "unit" +value "lcdController_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 20,0 +optionalChildren [ +*1 (Grouping +uid 77,0 +optionalChildren [ +*2 (CommentText +uid 79,0 +shape (Rectangle +uid 80,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,58000,97000,60000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 81,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,58400,93600,59600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 82,0 +shape (Rectangle +uid 83,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,58000,72000,60000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 84,0 +va (VaSet +fg "32768,0,0" +font "Verdana,12,1" +) +xt "52150,58300,65850,59700" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 85,0 +shape (Rectangle +uid 86,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,64000,72000,66000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 87,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,64400,69600,65600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 88,0 +shape (Rectangle +uid 89,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,58000,78000,60000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 90,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,58400,76900,59600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 91,0 +shape (Rectangle +uid 92,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,60000,72000,62000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 93,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,60400,66400,61600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 94,0 +shape (Rectangle +uid 95,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,60000,51000,62000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 96,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,60400,49600,61600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 97,0 +shape (Rectangle +uid 98,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,62000,51000,64000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 99,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,62400,49600,63600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 100,0 +shape (Rectangle +uid 101,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,60000,97000,66000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 102,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,60200,86300,61400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 103,0 +shape (Rectangle +uid 104,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,62000,72000,64000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 105,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,62400,61100,63600" +st " + +%library/%unit/%view + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 106,0 +shape (Rectangle +uid 107,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,64000,51000,66000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 108,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,64400,50500,65600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 78,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "46000,58000,97000,66000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 175,0 +shape (Rectangle +uid 176,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "25000,40000,81000,48000" +) +oxt "24000,40000,84000,48000" +ttg (MlTextGroup +uid 177,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 178,0 +va (VaSet +font "Verdana,9,1" +) +xt "25300,48500,29300,49400" +st "Lcd_test" +blo "25300,49200" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 179,0 +va (VaSet +font "Verdana,9,1" +) +xt "25300,49700,35800,50600" +st "lcdController_tester" +blo "25300,50400" +tm "BlkNameMgr" +) +*15 (Text +uid 180,0 +va (VaSet +font "Verdana,9,1" +) +xt "25300,50900,29300,51800" +st "I_tester" +blo "25300,51600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 181,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 182,0 +text (MLText +uid 183,0 +va (VaSet +) +xt "25000,52600,51200,55000" +st "clockFrequency = clockFrequency ( real ) +asciiBitNb = asciiBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "asciiBitNb" +type "positive" +value "asciiBitNb" +) +] +) +viewicon (ZoomableIcon +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "25250,46250,26750,47750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 2316,0 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 37,0 +) +declText (MLText +uid 2317,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,22600,13700,23600" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 2324,0 +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 38,0 +) +declText (MLText +uid 2325,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,21600,13700,22600" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 3041,0 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 2 +suid 47,0 +) +declText (MLText +uid 3042,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15600,14300,16600" +st "SIGNAL CS1_n : std_ulogic" +) +) +*19 (Net +uid 3049,0 +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 48,0 +) +declText (MLText +uid 3050,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16600,14300,17600" +st "SIGNAL RST_n : std_ulogic" +) +) +*20 (Net +uid 3057,0 +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 49,0 +) +declText (MLText +uid 3058,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14600,13600,15600" +st "SIGNAL A0 : std_ulogic" +) +) +*21 (Net +uid 3065,0 +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 50,0 +) +declText (MLText +uid 3066,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17600,13800,18600" +st "SIGNAL SCL : std_ulogic" +) +) +*22 (Net +uid 3073,0 +decl (Decl +n "SI" +t "std_ulogic" +o 5 +suid 51,0 +) +declText (MLText +uid 3074,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,18600,13400,19600" +st "SIGNAL SI : std_ulogic" +) +) +*23 (Net +uid 3199,0 +decl (Decl +n "send" +t "std_ulogic" +o 10 +suid 53,0 +) +declText (MLText +uid 3200,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,23600,13900,24600" +st "SIGNAL send : std_ulogic" +) +) +*24 (Net +uid 3207,0 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 6 +suid 54,0 +) +declText (MLText +uid 3208,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,19600,26500,20600" +st "SIGNAL ascii : std_ulogic_vector(asciiBitNb-1 downto 0)" +) +) +*25 (Net +uid 3414,0 +decl (Decl +n "busy" +t "std_ulogic" +o 7 +suid 55,0 +) +declText (MLText +uid 3415,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,20600,13900,21600" +st "SIGNAL busy : std_ulogic" +) +) +*26 (SaComponent +uid 3514,0 +optionalChildren [ +*27 (CptPort +uid 3474,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3475,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,27625,45000,28375" +) +tg (CPTG +uid 3476,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3477,0 +va (VaSet +) +xt "46000,27400,48500,28300" +st "clock" +blo "46000,28100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 1,0 +) +) +) +*28 (CptPort +uid 3478,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3479,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,29625,45000,30375" +) +tg (CPTG +uid 3480,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3481,0 +va (VaSet +) +xt "46000,29400,48500,30300" +st "reset" +blo "46000,30100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*29 (CptPort +uid 3482,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3483,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,19625,61750,20375" +) +tg (CPTG +uid 3484,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3485,0 +va (VaSet +) +xt "59000,19400,60000,20300" +st "SI" +ju 2 +blo "60000,20100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*30 (CptPort +uid 3486,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3487,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,21625,61750,22375" +) +tg (CPTG +uid 3488,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3489,0 +va (VaSet +) +xt "58500,21400,60000,22300" +st "SCL" +ju 2 +blo "60000,22100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*31 (CptPort +uid 3490,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3491,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,23625,61750,24375" +) +tg (CPTG +uid 3492,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3493,0 +va (VaSet +) +xt "59000,23400,60000,24300" +st "A0" +ju 2 +blo "60000,24100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*32 (CptPort +uid 3494,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3495,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,19625,45000,20375" +) +tg (CPTG +uid 3496,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3497,0 +va (VaSet +) +xt "46000,19400,48500,20300" +st "ascii" +blo "46000,20100" +) +) +thePort (LogicalPort +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 8 +suid 11,0 +) +) +) +*33 (CptPort +uid 3498,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3499,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,21625,45000,22375" +) +tg (CPTG +uid 3500,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3501,0 +va (VaSet +) +xt "46000,21400,48000,22300" +st "send" +blo "46000,22100" +) +) +thePort (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 9 +suid 21,0 +) +) +) +*34 (CptPort +uid 3502,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3503,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,27625,61750,28375" +) +tg (CPTG +uid 3504,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3505,0 +va (VaSet +) +xt "57500,27400,60000,28300" +st "CS1_n" +ju 2 +blo "60000,28100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 5 +suid 27,0 +) +) +) +*35 (CptPort +uid 3506,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3507,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,25625,61750,26375" +) +tg (CPTG +uid 3508,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3509,0 +va (VaSet +) +xt "57500,25400,60000,26300" +st "RST_n" +ju 2 +blo "60000,26100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 6 +suid 28,0 +) +) +) +*36 (CptPort +uid 3510,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3511,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,23625,45000,24375" +) +tg (CPTG +uid 3512,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3513,0 +va (VaSet +) +xt "46000,23400,48000,24300" +st "busy" +blo "46000,24100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 10 +suid 29,0 +) +) +) +] +shape (Rectangle +uid 3515,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "45000,16000,61000,32000" +) +oxt "8000,12000,24000,28000" +ttg (MlTextGroup +uid 3516,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*37 (Text +uid 3517,0 +va (VaSet +font "Verdana,9,1" +) +xt "45600,31800,47100,32700" +st "Lcd" +blo "45600,32500" +tm "BdLibraryNameMgr" +) +*38 (Text +uid 3518,0 +va (VaSet +font "Verdana,9,1" +) +xt "45600,33000,52600,33900" +st "lcdController" +blo "45600,33700" +tm "CptNameMgr" +) +*39 (Text +uid 3519,0 +va (VaSet +font "Verdana,9,1" +) +xt "45600,34200,48100,35100" +st "I_dut" +blo "45600,34900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3520,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3521,0 +text (MLText +uid 3522,0 +va (VaSet +font "Verdana,8,0" +) +xt "45000,35800,67400,37800" +st "baudRateDivide = baudRateDivide ( integer ) +asciiBitNb = asciiBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "baudRateDivide" +type "integer" +value "baudRateDivide" +) +(GiElement +name "asciiBitNb" +type "positive" +value "asciiBitNb" +) +] +) +viewicon (ZoomableIcon +uid 3523,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "45250,30250,46750,31750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*40 (Wire +uid 2318,0 +shape (OrthoPolyLine +uid 2319,0 +va (VaSet +vasetType 3 +) +xt "43000,30000,44250,40000" +pts [ +"44250,30000" +"43000,30000" +"43000,40000" +] +) +start &28 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2322,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2323,0 +va (VaSet +font "Verdana,12,0" +) +xt "41250,28600,45350,30000" +st "reset" +blo "41250,29800" +tm "WireNameMgr" +) +) +on &16 +) +*41 (Wire +uid 2326,0 +shape (OrthoPolyLine +uid 2327,0 +va (VaSet +vasetType 3 +) +xt "41000,28000,44250,40000" +pts [ +"44250,28000" +"41000,28000" +"41000,40000" +] +) +start &27 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2330,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2331,0 +va (VaSet +font "Verdana,12,0" +) +xt "41250,26600,45050,28000" +st "clock" +blo "41250,27800" +tm "WireNameMgr" +) +) +on &17 +) +*42 (Wire +uid 3043,0 +shape (OrthoPolyLine +uid 3044,0 +va (VaSet +vasetType 3 +) +xt "61750,28000,65000,40000" +pts [ +"61750,28000" +"65000,28000" +"65000,40000" +] +) +start &34 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3047,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3048,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,26600,68650,28000" +st "CS1_n" +blo "63750,27800" +tm "WireNameMgr" +) +) +on &18 +) +*43 (Wire +uid 3051,0 +shape (OrthoPolyLine +uid 3052,0 +va (VaSet +vasetType 3 +) +xt "61750,26000,67000,40000" +pts [ +"61750,26000" +"67000,26000" +"67000,40000" +] +) +start &35 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3055,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3056,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,24600,68450,26000" +st "RST_n" +blo "63750,25800" +tm "WireNameMgr" +) +) +on &19 +) +*44 (Wire +uid 3059,0 +shape (OrthoPolyLine +uid 3060,0 +va (VaSet +vasetType 3 +) +xt "61750,24000,69000,40000" +pts [ +"61750,24000" +"69000,24000" +"69000,40000" +] +) +start &31 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3063,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3064,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,22600,66150,24000" +st "A0" +blo "63750,23800" +tm "WireNameMgr" +) +) +on &20 +) +*45 (Wire +uid 3067,0 +shape (OrthoPolyLine +uid 3068,0 +va (VaSet +vasetType 3 +) +xt "61750,22000,71000,40000" +pts [ +"61750,22000" +"71000,22000" +"71000,40000" +] +) +start &30 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3071,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3072,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,20600,66950,22000" +st "SCL" +blo "63750,21800" +tm "WireNameMgr" +) +) +on &21 +) +*46 (Wire +uid 3075,0 +shape (OrthoPolyLine +uid 3076,0 +va (VaSet +vasetType 3 +) +xt "61750,20000,73000,40000" +pts [ +"61750,20000" +"73000,20000" +"73000,40000" +] +) +start &29 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3079,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3080,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,18600,65850,20000" +st "SI" +blo "63750,19800" +tm "WireNameMgr" +) +) +on &22 +) +*47 (Wire +uid 3201,0 +shape (OrthoPolyLine +uid 3202,0 +va (VaSet +vasetType 3 +) +xt "35000,22000,44250,40000" +pts [ +"44250,22000" +"35000,22000" +"35000,40000" +] +) +start &33 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3205,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3206,0 +va (VaSet +font "Verdana,12,0" +) +xt "39250,20600,43150,22000" +st "send" +blo "39250,21800" +tm "WireNameMgr" +) +) +on &23 +) +*48 (Wire +uid 3209,0 +shape (OrthoPolyLine +uid 3210,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "33000,20000,44250,40000" +pts [ +"44250,20000" +"33000,20000" +"33000,40000" +] +) +start &32 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3213,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3214,0 +va (VaSet +font "Verdana,12,0" +) +xt "39250,18600,42750,20000" +st "ascii" +blo "39250,19800" +tm "WireNameMgr" +) +) +on &24 +) +*49 (Wire +uid 3416,0 +shape (OrthoPolyLine +uid 3417,0 +va (VaSet +vasetType 3 +) +xt "37000,24000,44250,40000" +pts [ +"44250,24000" +"37000,24000" +"37000,40000" +] +) +start &36 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3420,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3421,0 +va (VaSet +font "Verdana,12,0" +) +xt "39250,22600,43050,24000" +st "busy" +blo "39250,23800" +tm "WireNameMgr" +) +) +on &25 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *50 (PackageList +uid 9,0 +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +uid 10,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*52 (MLText +uid 11,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 12,0 +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 13,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*54 (Text +uid 14,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,31500,1900" +st "Pre-module directives:" +blo "20000,1700" +) +*55 (MLText +uid 15,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*56 (Text +uid 16,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32000,4900" +st "Post-module directives:" +blo "20000,4700" +) +*57 (MLText +uid 17,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*58 (Text +uid 18,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,31500,5900" +st "End-module directives:" +blo "20000,5700" +) +*59 (MLText +uid 19,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "223,58,1277,917" +viewArea "-1379,-1379,99073,68805" +cachedDiagramExtent "0,0,97000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 3738,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "400,900,4400,2100" +st "Panel0" +blo "400,1900" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2200,3500,7600,4700" +st "" +blo "2200,4500" +tm "BdLibraryNameMgr" +) +*61 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2200,4700,7000,5900" +st "" +blo "2200,5700" +tm "BlkNameMgr" +) +*62 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2200,5900,4500,7100" +st "I_0" +blo "2200,6900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +font "Verdana,12,1" +) +xt "550,3500,6250,4900" +st "Library" +blo "550,4700" +) +*64 (Text +va (VaSet +font "Verdana,12,1" +) +xt "550,4900,12250,6300" +st "MWComponent" +blo "550,6100" +) +*65 (Text +va (VaSet +font "Verdana,12,1" +) +xt "550,6300,3850,7700" +st "I_0" +blo "550,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +font "Verdana,12,1" +) +xt "900,3500,6600,4900" +st "Library" +blo "900,4700" +tm "BdLibraryNameMgr" +) +*67 (Text +va (VaSet +font "Verdana,12,1" +) +xt "900,4900,11800,6300" +st "SaComponent" +blo "900,6100" +tm "CptNameMgr" +) +*68 (Text +va (VaSet +font "Verdana,12,1" +) +xt "900,6300,4200,7700" +st "I_0" +blo "900,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +va (VaSet +font "Verdana,12,1" +) +xt "500,3500,6200,4900" +st "Library" +blo "500,4700" +) +*70 (Text +va (VaSet +font "Verdana,12,1" +) +xt "500,4900,12600,6300" +st "VhdlComponent" +blo "500,6100" +) +*71 (Text +va (VaSet +font "Verdana,12,1" +) +xt "500,6300,3800,7700" +st "I_0" +blo "500,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*72 (Text +va (VaSet +font "Verdana,12,1" +) +xt "50,3500,5750,4900" +st "Library" +blo "50,4700" +) +*73 (Text +va (VaSet +font "Verdana,12,1" +) +xt "50,4900,13950,6300" +st "VerilogComponent" +blo "50,6100" +) +*74 (Text +va (VaSet +font "Verdana,12,1" +) +xt "50,6300,3350,7700" +st "I_0" +blo "50,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +va (VaSet +font "Verdana,9,1" +) +xt "3150,4000,5550,5200" +st "eb1" +blo "3150,5000" +tm "HdlTextNameMgr" +) +*76 (Text +va (VaSet +font "Verdana,9,1" +) +xt "3150,5200,4350,6400" +st "1" +blo "3150,6200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "Courier,9,0" +) +xt "200,200,4200,1500" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,-400,3400,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,-400,4700,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,-200,4700,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "-2950,-1200,15550,0" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "-50,150,1350,1350" +st "1" +blo "-50,1150" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +va (VaSet +font "Verdana,9,1" +) +xt "14100,20000,24900,21200" +st "Frame Declarations" +blo "14100,21000" +) +*78 (MLText +va (VaSet +) +xt "14100,21200,14100,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "-1800,-1200,9200,0" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "-50,150,1350,1350" +st "1" +blo "-50,1150" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +va (VaSet +font "Verdana,9,1" +) +xt "14100,20000,24900,21200" +st "Frame Declarations" +blo "14100,21000" +) +*80 (MLText +va (VaSet +) +xt "14100,21200,14100,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6000,6500,6900" +st "Declarations" +blo "0,6700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6900,3000,7800" +st "Ports:" +blo "0,7600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7800,4500,8700" +st "Pre User:" +blo "0,8500" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8700,40600,13700" +st "constant clockFrequency: real := 66.0E6; +constant spiFrequency: real := 20.0E6; +constant baudRateDivide: positive := integer((clockFrequency/2.0)/spiFrequency + 1.0); + +constant asciiBitNb: positive := 7;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,13700,8500,14600" +st "Diagram Signals:" +blo "0,14400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,6000,5500,6900" +st "Post User:" +blo "0,6700" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,6000,0,6000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 55,0 +usingSuid 1 +emptyRow *81 (LEmptyRow +) +uid 22,0 +optionalChildren [ +*82 (RefLabelRowHdr +) +*83 (TitleRowHdr +) +*84 (FilterRowHdr +) +*85 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*86 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*87 (GroupColHdr +tm "GroupColHdrMgr" +) +*88 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*89 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*90 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*91 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*92 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*93 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*94 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 37,0 +) +) +uid 2392,0 +) +*95 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 38,0 +) +) +uid 2394,0 +) +*96 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 2 +suid 47,0 +) +) +uid 3081,0 +) +*97 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 48,0 +) +) +uid 3083,0 +) +*98 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 49,0 +) +) +uid 3085,0 +) +*99 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 50,0 +) +) +uid 3087,0 +) +*100 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "SI" +t "std_ulogic" +o 5 +suid 51,0 +) +) +uid 3089,0 +) +*101 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "send" +t "std_ulogic" +o 10 +suid 53,0 +) +) +uid 3217,0 +) +*102 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 6 +suid 54,0 +) +) +uid 3219,0 +) +*103 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "busy" +t "std_ulogic" +o 7 +suid 55,0 +) +) +uid 3422,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 35,0 +optionalChildren [ +*104 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *105 (MRCItem +litem &81 +pos 10 +dimension 20 +) +uid 37,0 +optionalChildren [ +*106 (MRCItem +litem &82 +pos 0 +dimension 20 +uid 38,0 +) +*107 (MRCItem +litem &83 +pos 1 +dimension 23 +uid 39,0 +) +*108 (MRCItem +litem &84 +pos 2 +hidden 1 +dimension 20 +uid 40,0 +) +*109 (MRCItem +litem &94 +pos 0 +dimension 20 +uid 2393,0 +) +*110 (MRCItem +litem &95 +pos 1 +dimension 20 +uid 2395,0 +) +*111 (MRCItem +litem &96 +pos 2 +dimension 20 +uid 3082,0 +) +*112 (MRCItem +litem &97 +pos 3 +dimension 20 +uid 3084,0 +) +*113 (MRCItem +litem &98 +pos 4 +dimension 20 +uid 3086,0 +) +*114 (MRCItem +litem &99 +pos 5 +dimension 20 +uid 3088,0 +) +*115 (MRCItem +litem &100 +pos 6 +dimension 20 +uid 3090,0 +) +*116 (MRCItem +litem &101 +pos 7 +dimension 20 +uid 3218,0 +) +*117 (MRCItem +litem &102 +pos 8 +dimension 20 +uid 3220,0 +) +*118 (MRCItem +litem &103 +pos 9 +dimension 20 +uid 3423,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 41,0 +optionalChildren [ +*119 (MRCItem +litem &85 +pos 0 +dimension 20 +uid 42,0 +) +*120 (MRCItem +litem &87 +pos 1 +dimension 50 +uid 43,0 +) +*121 (MRCItem +litem &88 +pos 2 +dimension 100 +uid 44,0 +) +*122 (MRCItem +litem &89 +pos 3 +dimension 50 +uid 45,0 +) +*123 (MRCItem +litem &90 +pos 4 +dimension 100 +uid 46,0 +) +*124 (MRCItem +litem &91 +pos 5 +dimension 100 +uid 47,0 +) +*125 (MRCItem +litem &92 +pos 6 +dimension 50 +uid 48,0 +) +*126 (MRCItem +litem &93 +pos 7 +dimension 80 +uid 49,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 36,0 +vaOverrides [ +] +) +] +) +uid 21,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *127 (LEmptyRow +) +uid 51,0 +optionalChildren [ +*128 (RefLabelRowHdr +) +*129 (TitleRowHdr +) +*130 (FilterRowHdr +) +*131 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*132 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*133 (GroupColHdr +tm "GroupColHdrMgr" +) +*134 (NameColHdr +tm "GenericNameColHdrMgr" +) +*135 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*136 (InitColHdr +tm "GenericValueColHdrMgr" +) +*137 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*138 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 63,0 +optionalChildren [ +*139 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *140 (MRCItem +litem &127 +pos 0 +dimension 20 +) +uid 65,0 +optionalChildren [ +*141 (MRCItem +litem &128 +pos 0 +dimension 20 +uid 66,0 +) +*142 (MRCItem +litem &129 +pos 1 +dimension 23 +uid 67,0 +) +*143 (MRCItem +litem &130 +pos 2 +hidden 1 +dimension 20 +uid 68,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 69,0 +optionalChildren [ +*144 (MRCItem +litem &131 +pos 0 +dimension 20 +uid 70,0 +) +*145 (MRCItem +litem &133 +pos 1 +dimension 50 +uid 71,0 +) +*146 (MRCItem +litem &134 +pos 2 +dimension 100 +uid 72,0 +) +*147 (MRCItem +litem &135 +pos 3 +dimension 100 +uid 73,0 +) +*148 (MRCItem +litem &136 +pos 4 +dimension 50 +uid 74,0 +) +*149 (MRCItem +litem &137 +pos 5 +dimension 50 +uid 75,0 +) +*150 (MRCItem +litem &138 +pos 6 +dimension 80 +uid 76,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 64,0 +vaOverrides [ +] +) +] +) +uid 50,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Lcd_test/hds/lcd@controller_tb/struct.bd.info/msimSimulationReplay.sh b/Libs/Lcd_test/hds/lcd@controller_tb/struct.bd.info/msimSimulationReplay.sh new file mode 100644 index 0000000..6ecd536 --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@controller_tb/struct.bd.info/msimSimulationReplay.sh @@ -0,0 +1,3 @@ +# Script to replay the last simulation run +cd $SCRATCH_DIR/$DESIGN_NAME/Lcd_test/work +"C:\eda\Modelsim/win32/vsim" -f hds_args.tmp diff --git a/Libs/Lcd_test/hds/lcd@controller_tb/symbol.sb b/Libs/Lcd_test/hds/lcd@controller_tb/symbol.sb new file mode 100644 index 0000000..ae37d38 --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@controller_tb/symbol.sb @@ -0,0 +1,1297 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 5,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 0 +dimension 20 +) +uid 36,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 0 +dimension 20 +) +uid 64,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 65,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 66,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 69,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 70,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 71,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 72,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 73,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 74,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdController_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdController_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:37:47" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI_test/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Lcd_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "lcdController_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdController_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "D:\\Projects\\Trypano\\ControlBoard\\IglooTester\\Board\\actel\\boardTester" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "07:37:47" +) +(vvPair +variable "unit" +value "lcdController_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 19,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "30000,10000,48000,30000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "30200,30000,33800,31000" +st "Lcd_test" +blo "30200,30800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "30200,31000,37100,32000" +st "lcdController_tb" +blo "30200,31800" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "30000,34200,41500,35000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 79,0 +optionalChildren [ +*54 (CommentText +uid 81,0 +shape (Rectangle +uid 82,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 83,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41400,69600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 84,0 +shape (Rectangle +uid 85,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 86,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "29250,41250,40750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 87,0 +shape (Rectangle +uid 88,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 89,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47400,43200,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 90,0 +shape (Rectangle +uid 91,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 92,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41400,52900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 93,0 +shape (Rectangle +uid 94,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 95,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43400,42400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 96,0 +shape (Rectangle +uid 97,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 98,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43400,25600,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 99,0 +shape (Rectangle +uid 100,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 101,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45400,25600,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 102,0 +shape (Rectangle +uid 103,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 104,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,62300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 105,0 +shape (Rectangle +uid 106,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 107,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45400,37100,46600" +st " + +%library/%unit/%view + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 108,0 +shape (Rectangle +uid 109,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 110,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47400,26500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 80,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 17,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 18,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "11,44,1383,930" +viewArea "-1062,-1062,78636,50365" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "0,6000,5400,7000" +st "Declarations" +blo "0,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "0,7000,2700,8000" +st "Ports:" +blo "0,7800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "0,8000,2400,9000" +st "User:" +blo "0,8800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "0,6000,5800,7000" +st "Internal User:" +blo "0,6800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,9000,2000,9000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,6000,0,6000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 789,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Lcd_test/hds/lcd@controller_tester/interface b/Libs/Lcd_test/hds/lcd@controller_tester/interface new file mode 100644 index 0000000..3590947 --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@controller_tester/interface @@ -0,0 +1,1661 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 76,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 42,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 67,0 +) +) +uid 822,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 6 +suid 68,0 +) +) +uid 824,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 7 +suid 69,0 +) +) +uid 826,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 70,0 +) +) +uid 828,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "CS1_n" +t "std_ulogic" +o 2 +suid 71,0 +) +) +uid 830,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 72,0 +) +) +uid 832,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 73,0 +) +) +uid 834,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 74,0 +) +) +uid 836,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 10 +suid 75,0 +) +) +uid 838,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "SI" +t "std_ulogic" +o 5 +suid 76,0 +) +) +uid 840,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 55,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 7 +dimension 20 +) +uid 57,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 59,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 60,0 +) +*29 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 823,0 +) +*30 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 825,0 +) +*31 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 827,0 +) +*32 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 829,0 +) +*33 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 831,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 833,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 835,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 837,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 839,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 841,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 61,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 62,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 63,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 64,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 65,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 66,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 67,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 68,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 69,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 56,0 +vaOverrides [ +] +) +] +) +uid 41,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 71,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "100.0e6" +) +uid 120,0 +) +*60 (LogGeneric +generic (GiElement +name "asciiBitNb" +type "positive" +value "7" +) +uid 375,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 83,0 +optionalChildren [ +*61 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *62 (MRCItem +litem &47 +pos 2 +dimension 20 +) +uid 85,0 +optionalChildren [ +*63 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 86,0 +) +*64 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 87,0 +) +*65 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 88,0 +) +*66 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 121,0 +) +*67 (MRCItem +litem &60 +pos 1 +dimension 20 +uid 376,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 89,0 +optionalChildren [ +*68 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 90,0 +) +*69 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 91,0 +) +*70 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 92,0 +) +*71 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 93,0 +) +*72 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 94,0 +) +*73 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 95,0 +) +*74 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 96,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 84,0 +vaOverrides [ +] +) +] +) +uid 70,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tester" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdController_tester" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdController_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:37:47" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Lcd_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "lcdController_tester" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@controller_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdController_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "07:37:47" +) +(vvPair +variable "unit" +value "lcdController_tester" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 40,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 772,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 773,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +tg (CPTG +uid 774,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 775,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "58300,7000,59700,9400" +st "A0" +ju 2 +blo "59500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 776,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,1800,59500,2600" +st "A0 : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 67,0 +) +) +) +*77 (CptPort +uid 777,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 778,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 779,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 780,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,10500" +st "ascii" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 781,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6600,75000,7400" +st "ascii : OUT std_ulogic_vector (asciiBitNb-1 downto 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ascii" +t "std_ulogic_vector" +b "(asciiBitNb-1 downto 0)" +o 6 +suid 68,0 +) +) +) +*78 (CptPort +uid 782,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 783,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 784,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 785,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,7000,27700,10800" +st "busy" +ju 2 +blo "27500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 786,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5800,59500,6600" +st "busy : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 7 +suid 69,0 +) +) +) +*79 (CptPort +uid 787,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 788,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 789,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 790,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,7000,31700,10800" +st "clock" +ju 2 +blo "31500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 791,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7400,59500,8200" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 70,0 +) +) +) +*80 (CptPort +uid 792,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 793,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +tg (CPTG +uid 794,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 795,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "54300,7000,55700,11900" +st "CS1_n" +ju 2 +blo "55500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 796,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2600,59500,3400" +st "CS1_n : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "CS1_n" +t "std_ulogic" +o 2 +suid 71,0 +) +) +) +*81 (CptPort +uid 797,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 798,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 799,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 800,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "32300,7000,33700,11100" +st "reset" +ju 2 +blo "33500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 801,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8200,59500,9000" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 72,0 +) +) +) +*82 (CptPort +uid 802,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 803,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 804,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 805,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "56300,7000,57700,11700" +st "RST_n" +ju 2 +blo "57500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 806,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3400,59500,4200" +st "RST_n : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 73,0 +) +) +) +*83 (CptPort +uid 807,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 808,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 809,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 810,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "60300,7000,61700,10200" +st "SCL" +ju 2 +blo "61500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 811,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4200,59500,5000" +st "SCL : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 74,0 +) +) +) +*84 (CptPort +uid 812,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 813,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 814,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 815,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,7000,25700,10900" +st "send" +ju 2 +blo "25500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 816,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9000,58500,9800" +st "send : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 10 +suid 75,0 +) +) +) +*85 (CptPort +uid 817,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 818,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62625,5250,63375,6000" +) +tg (CPTG +uid 819,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 820,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "62300,7000,63700,9100" +st "SI" +ju 2 +blo "63500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 821,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5000,59500,5800" +st "SI : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "SI" +t "std_ulogic" +o 5 +suid 76,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,71000,14000" +) +oxt "15000,6000,75000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "37100,8800,42100,10000" +st "Lcd_test" +blo "37100,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "37100,10000,48900,11200" +st "lcdController_tester" +blo "37100,11000" +) +) +gi *86 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "20000,6000,34900,10000" +st "Generic Declarations + +clockFrequency real 100.0e6 +asciiBitNb positive 7 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "100.0e6" +) +(GiElement +name "asciiBitNb" +type "positive" +value "7" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *87 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*88 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*89 (MLText +uid 18,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "141,44,1158,734" +viewArea "-500,-500,71320,48820" +cachedDiagramExtent "0,0,67000,14000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Lcd_test" +entityName "lcdController_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,31000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "20300,14800,25700,16000" +st "" +blo "20300,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "20300,16000,24200,17200" +st "" +blo "20300,17000" +) +) +gi *90 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,12000,9700,13000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2500,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,4300,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *91 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,9800,44500,10700" +st "User:" +blo "42000,10500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,10700,44000,10700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 841,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Lcd_test/hds/lcd@demo_tb/struct.bd b/Libs/Lcd_test/hds/lcd@demo_tb/struct.bd new file mode 100644 index 0000000..92e3c4d --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@demo_tb/struct.bd @@ -0,0 +1,3526 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "Lcd_test" +duName "lcdDemo_tester" +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "buttonNb" +type "positive" +value "buttonNb" +) +(GiElement +name "ledNb" +type "positive" +value "ledNb" +) +(GiElement +name "baudrate" +type "positive" +value "rs232Baudrate" +) +] +mwi 0 +uid 175,0 +) +(Instance +name "I_dut" +duLibraryName "lcd" +duName "lcdDemo" +elements [ +(GiElement +name "baudRateDivide" +type "positive" +value "baudRateDivide" +) +(GiElement +name "buttonNb" +type "positive" +value "buttonNb" +) +(GiElement +name "ledNb" +type "positive" +value "ledNb" +) +(GiElement +name "rs232BaudRate" +type "positive" +value "rs232Baudrate" +) +] +mwi 0 +uid 4057,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdDemo_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdDemo_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:37:47" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI_test/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Lcd_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "lcdDemo_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdDemo_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "D:\\Projects\\Trypano\\ControlBoard\\IglooTester\\Board\\actel\\boardTester" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "07:37:47" +) +(vvPair +variable "unit" +value "lcdDemo_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 20,0 +optionalChildren [ +*1 (Grouping +uid 77,0 +optionalChildren [ +*2 (CommentText +uid 79,0 +shape (Rectangle +uid 80,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,58000,97000,60000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 81,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,58400,93600,59600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 82,0 +shape (Rectangle +uid 83,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,58000,72000,60000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 84,0 +va (VaSet +fg "32768,0,0" +font "Verdana,12,1" +) +xt "52150,58300,65850,59700" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 85,0 +shape (Rectangle +uid 86,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,64000,72000,66000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 87,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,64400,69600,65600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 88,0 +shape (Rectangle +uid 89,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,58000,78000,60000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 90,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,58400,76900,59600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 91,0 +shape (Rectangle +uid 92,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,60000,72000,62000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 93,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,60400,66400,61600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 94,0 +shape (Rectangle +uid 95,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,60000,51000,62000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 96,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,60400,49600,61600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 97,0 +shape (Rectangle +uid 98,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,62000,51000,64000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 99,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,62400,49600,63600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 100,0 +shape (Rectangle +uid 101,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,60000,97000,66000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 102,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,60200,86300,61400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 103,0 +shape (Rectangle +uid 104,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,62000,72000,64000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 105,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,62400,61100,63600" +st " + +%library/%unit/%view + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 106,0 +shape (Rectangle +uid 107,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,64000,51000,66000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 108,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,64400,50500,65600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 78,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "46000,58000,97000,66000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 175,0 +shape (Rectangle +uid 176,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "29000,40000,85000,48000" +) +oxt "24000,40000,84000,48000" +ttg (MlTextGroup +uid 177,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 178,0 +va (VaSet +font "Verdana,9,1" +) +xt "29300,48500,33300,49400" +st "Lcd_test" +blo "29300,49200" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 179,0 +va (VaSet +font "Verdana,9,1" +) +xt "29300,49700,36800,50600" +st "lcdDemo_tester" +blo "29300,50400" +tm "BlkNameMgr" +) +*15 (Text +uid 180,0 +va (VaSet +font "Verdana,9,1" +) +xt "29300,50900,33300,51800" +st "I_tester" +blo "29300,51600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 181,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 182,0 +text (MLText +uid 183,0 +va (VaSet +) +xt "29000,52600,55200,57400" +st "clockFrequency = clockFrequency ( real ) +buttonNb = buttonNb ( positive ) +ledNb = ledNb ( positive ) +baudrate = rs232Baudrate ( positive ) " +) +header "" +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "buttonNb" +type "positive" +value "buttonNb" +) +(GiElement +name "ledNb" +type "positive" +value "ledNb" +) +(GiElement +name "baudrate" +type "positive" +value "rs232Baudrate" +) +] +) +viewicon (ZoomableIcon +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "29250,46250,30750,47750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 2316,0 +decl (Decl +n "reset" +t "std_ulogic" +o 10 +suid 37,0 +) +declText (MLText +uid 2317,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,24800,14300,25800" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 2324,0 +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 38,0 +) +declText (MLText +uid 2325,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,22800,14300,23800" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 3041,0 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 2 +suid 47,0 +) +declText (MLText +uid 3042,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,16800,14900,17800" +st "SIGNAL CS1_n : std_ulogic" +) +) +*19 (Net +uid 3049,0 +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 48,0 +) +declText (MLText +uid 3050,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,17800,14900,18800" +st "SIGNAL RST_n : std_ulogic" +) +) +*20 (Net +uid 3057,0 +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 49,0 +) +declText (MLText +uid 3058,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,15800,14200,16800" +st "SIGNAL A0 : std_ulogic" +) +) +*21 (Net +uid 3065,0 +decl (Decl +n "SCL" +t "std_ulogic" +o 5 +suid 50,0 +) +declText (MLText +uid 3066,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,19800,14400,20800" +st "SIGNAL SCL : std_ulogic" +) +) +*22 (Net +uid 3073,0 +decl (Decl +n "SI" +t "std_ulogic" +o 6 +suid 51,0 +) +declText (MLText +uid 3074,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,20800,14000,21800" +st "SIGNAL SI : std_ulogic" +) +) +*23 (Net +uid 3706,0 +decl (Decl +n "buttons" +t "std_ulogic_vector" +b "(1 to buttonNb)" +o 7 +suid 56,0 +) +declText (MLText +uid 3707,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,21800,24600,22800" +st "SIGNAL buttons : std_ulogic_vector(1 to buttonNb)" +) +) +*24 (Net +uid 3714,0 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(1 to ledNb)" +o 9 +suid 57,0 +) +declText (MLText +uid 3715,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,23800,22700,24800" +st "SIGNAL leds : std_ulogic_vector(1 to ledNb)" +) +) +*25 (Net +uid 3817,0 +decl (Decl +n "RxD" +t "std_ulogic" +o 4 +suid 58,0 +) +declText (MLText +uid 3818,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,18800,14600,19800" +st "SIGNAL RxD : std_ulogic" +) +) +*26 (SaComponent +uid 4057,0 +optionalChildren [ +*27 (CptPort +uid 4013,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4014,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,27625,45000,28375" +) +tg (CPTG +uid 4015,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4016,0 +va (VaSet +) +xt "46000,27400,48500,28300" +st "clock" +blo "46000,28100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 1,0 +) +) +) +*28 (CptPort +uid 4017,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4018,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,29625,45000,30375" +) +tg (CPTG +uid 4019,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4020,0 +va (VaSet +) +xt "46000,29400,48500,30300" +st "reset" +blo "46000,30100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 1 +suid 2,0 +) +) +) +*29 (CptPort +uid 4021,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4022,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,19625,61750,20375" +) +tg (CPTG +uid 4023,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4024,0 +va (VaSet +) +xt "59000,19400,60000,20300" +st "SI" +ju 2 +blo "60000,20100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*30 (CptPort +uid 4025,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4026,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,21625,61750,22375" +) +tg (CPTG +uid 4027,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4028,0 +va (VaSet +) +xt "58500,21400,60000,22300" +st "SCL" +ju 2 +blo "60000,22100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*31 (CptPort +uid 4029,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4030,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,23625,61750,24375" +) +tg (CPTG +uid 4031,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4032,0 +va (VaSet +) +xt "59000,23400,60000,24300" +st "A0" +ju 2 +blo "60000,24100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 4 +suid 5,0 +) +) +) +*32 (CptPort +uid 4033,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4034,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,15625,45000,16375" +) +tg (CPTG +uid 4035,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4036,0 +va (VaSet +) +xt "46000,15400,49500,16300" +st "buttons" +blo "46000,16100" +) +) +thePort (LogicalPort +decl (Decl +n "buttons" +t "std_ulogic_vector" +b "(1 to buttonNb)" +o 8 +suid 11,0 +) +) +) +*33 (CptPort +uid 4037,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4038,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,27625,61750,28375" +) +tg (CPTG +uid 4039,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4040,0 +va (VaSet +) +xt "57500,27400,60000,28300" +st "CS1_n" +ju 2 +blo "60000,28100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 5 +suid 27,0 +) +) +) +*34 (CptPort +uid 4041,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4042,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,25625,61750,26375" +) +tg (CPTG +uid 4043,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4044,0 +va (VaSet +) +xt "57500,25400,60000,26300" +st "RST_n" +ju 2 +blo "60000,26100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 6 +suid 28,0 +) +) +) +*35 (CptPort +uid 4045,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4046,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,15625,61750,16375" +) +tg (CPTG +uid 4047,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4048,0 +va (VaSet +) +xt "58000,15400,60000,16300" +st "leds" +ju 2 +blo "60000,16100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(1 to ledNb)" +o 9 +suid 30,0 +) +) +) +*36 (CptPort +uid 4049,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4050,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,19625,45000,20375" +) +tg (CPTG +uid 4051,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4052,0 +va (VaSet +) +xt "46000,19400,47500,20300" +st "RxD" +blo "46000,20100" +) +) +thePort (LogicalPort +decl (Decl +n "RxD" +t "std_ulogic" +o 10 +suid 31,0 +) +) +) +*37 (CptPort +uid 4053,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4054,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,29625,61750,30375" +) +tg (CPTG +uid 4055,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4056,0 +va (VaSet +) +xt "57500,29400,60000,30300" +st "debug" +ju 2 +blo "60000,30100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "debug" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 11 +suid 32,0 +) +) +) +] +shape (Rectangle +uid 4058,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "45000,12000,61000,32000" +) +oxt "8000,8000,24000,28000" +ttg (MlTextGroup +uid 4059,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*38 (Text +uid 4060,0 +va (VaSet +font "Verdana,9,1" +) +xt "45600,31800,47100,32700" +st "lcd" +blo "45600,32500" +tm "BdLibraryNameMgr" +) +*39 (Text +uid 4061,0 +va (VaSet +font "Verdana,9,1" +) +xt "45600,33000,49100,33900" +st "lcdDemo" +blo "45600,33700" +tm "CptNameMgr" +) +*40 (Text +uid 4062,0 +va (VaSet +font "Verdana,9,1" +) +xt "45600,34200,48100,35100" +st "I_dut" +blo "45600,34900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4063,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4064,0 +text (MLText +uid 4065,0 +va (VaSet +font "Verdana,8,0" +) +xt "45000,35800,67400,39800" +st "baudRateDivide = baudRateDivide ( positive ) +buttonNb = buttonNb ( positive ) +ledNb = ledNb ( positive ) +rs232BaudRate = rs232Baudrate ( positive ) " +) +header "" +) +elements [ +(GiElement +name "baudRateDivide" +type "positive" +value "baudRateDivide" +) +(GiElement +name "buttonNb" +type "positive" +value "buttonNb" +) +(GiElement +name "ledNb" +type "positive" +value "ledNb" +) +(GiElement +name "rs232BaudRate" +type "positive" +value "rs232Baudrate" +) +] +) +viewicon (ZoomableIcon +uid 4066,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "45250,30250,46750,31750" +iconName "BlockDiagram.png" +iconMaskName "BlockDiagram.msk" +ftype 1 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*41 (Wire +uid 2318,0 +shape (OrthoPolyLine +uid 2319,0 +va (VaSet +vasetType 3 +) +xt "43000,30000,44250,40000" +pts [ +"44250,30000" +"43000,30000" +"43000,40000" +] +) +start &28 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2322,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2323,0 +va (VaSet +font "Verdana,12,0" +) +xt "41250,28600,45350,30000" +st "reset" +blo "41250,29800" +tm "WireNameMgr" +) +) +on &16 +) +*42 (Wire +uid 2326,0 +shape (OrthoPolyLine +uid 2327,0 +va (VaSet +vasetType 3 +) +xt "41000,28000,44250,40000" +pts [ +"44250,28000" +"41000,28000" +"41000,40000" +] +) +start &27 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2330,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2331,0 +va (VaSet +font "Verdana,12,0" +) +xt "41250,26600,45050,28000" +st "clock" +blo "41250,27800" +tm "WireNameMgr" +) +) +on &17 +) +*43 (Wire +uid 3043,0 +shape (OrthoPolyLine +uid 3044,0 +va (VaSet +vasetType 3 +) +xt "61750,28000,65000,40000" +pts [ +"61750,28000" +"65000,28000" +"65000,40000" +] +) +start &33 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3047,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3048,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,26600,68650,28000" +st "CS1_n" +blo "63750,27800" +tm "WireNameMgr" +) +) +on &18 +) +*44 (Wire +uid 3051,0 +shape (OrthoPolyLine +uid 3052,0 +va (VaSet +vasetType 3 +) +xt "61750,26000,67000,40000" +pts [ +"61750,26000" +"67000,26000" +"67000,40000" +] +) +start &34 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3055,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3056,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,24600,68450,26000" +st "RST_n" +blo "63750,25800" +tm "WireNameMgr" +) +) +on &19 +) +*45 (Wire +uid 3059,0 +shape (OrthoPolyLine +uid 3060,0 +va (VaSet +vasetType 3 +) +xt "61750,24000,69000,40000" +pts [ +"61750,24000" +"69000,24000" +"69000,40000" +] +) +start &31 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3063,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3064,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,22600,66150,24000" +st "A0" +blo "63750,23800" +tm "WireNameMgr" +) +) +on &20 +) +*46 (Wire +uid 3067,0 +shape (OrthoPolyLine +uid 3068,0 +va (VaSet +vasetType 3 +) +xt "61750,22000,71000,40000" +pts [ +"61750,22000" +"71000,22000" +"71000,40000" +] +) +start &30 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3071,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3072,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,20600,66950,22000" +st "SCL" +blo "63750,21800" +tm "WireNameMgr" +) +) +on &21 +) +*47 (Wire +uid 3075,0 +shape (OrthoPolyLine +uid 3076,0 +va (VaSet +vasetType 3 +) +xt "61750,20000,73000,40000" +pts [ +"61750,20000" +"73000,20000" +"73000,40000" +] +) +start &29 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3079,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3080,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,18600,65850,20000" +st "SI" +blo "63750,19800" +tm "WireNameMgr" +) +) +on &22 +) +*48 (Wire +uid 3708,0 +shape (OrthoPolyLine +uid 3709,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "37000,16000,44250,40000" +pts [ +"44250,16000" +"37000,16000" +"37000,40000" +] +) +start &32 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3712,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3713,0 +va (VaSet +font "Verdana,12,0" +) +xt "37250,14600,42950,16000" +st "buttons" +blo "37250,15800" +tm "WireNameMgr" +) +) +on &23 +) +*49 (Wire +uid 3716,0 +shape (OrthoPolyLine +uid 3717,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "61750,16000,77000,40000" +pts [ +"61750,16000" +"77000,16000" +"77000,40000" +] +) +start &35 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3720,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3721,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,14600,66550,15900" +st "leds" +blo "63750,15600" +tm "WireNameMgr" +) +) +on &24 +) +*50 (Wire +uid 3819,0 +shape (OrthoPolyLine +uid 3820,0 +va (VaSet +vasetType 3 +) +xt "39000,20000,44250,40000" +pts [ +"39000,40000" +"39000,20000" +"44250,20000" +] +) +start &12 +end &36 +sat 2 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3823,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3824,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "37600,36000,39000,39200" +st "RxD" +blo "38800,39200" +tm "WireNameMgr" +) +) +on &25 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *51 (PackageList +uid 9,0 +stg "VerticalLayoutStrategy" +textVec [ +*52 (Text +uid 10,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*53 (MLText +uid 11,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 12,0 +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +uid 13,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*55 (Text +uid 14,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,1000,31500,1900" +st "Pre-module directives:" +blo "20000,1700" +) +*56 (MLText +uid 15,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*57 (Text +uid 16,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,4000,32000,4900" +st "Post-module directives:" +blo "20000,4700" +) +*58 (MLText +uid 17,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*59 (Text +uid 18,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "20000,5000,31500,5900" +st "End-module directives:" +blo "20000,5700" +) +*60 (MLText +uid 19,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "231,54,1293,911" +viewArea "-1423,-1423,104120,68551" +cachedDiagramExtent "0,0,97000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 761 +paperHeight 1077 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 4195,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "400,900,4400,2100" +st "Panel0" +blo "400,1900" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2200,3500,7600,4700" +st "" +blo "2200,4500" +tm "BdLibraryNameMgr" +) +*62 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2200,4700,7000,5900" +st "" +blo "2200,5700" +tm "BlkNameMgr" +) +*63 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2200,5900,4500,7100" +st "I_0" +blo "2200,6900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*64 (Text +va (VaSet +font "Verdana,12,1" +) +xt "550,3500,6250,4900" +st "Library" +blo "550,4700" +) +*65 (Text +va (VaSet +font "Verdana,12,1" +) +xt "550,4900,12250,6300" +st "MWComponent" +blo "550,6100" +) +*66 (Text +va (VaSet +font "Verdana,12,1" +) +xt "550,6300,3850,7700" +st "I_0" +blo "550,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +va (VaSet +font "Verdana,12,1" +) +xt "900,3500,6600,4900" +st "Library" +blo "900,4700" +tm "BdLibraryNameMgr" +) +*68 (Text +va (VaSet +font "Verdana,12,1" +) +xt "900,4900,11800,6300" +st "SaComponent" +blo "900,6100" +tm "CptNameMgr" +) +*69 (Text +va (VaSet +font "Verdana,12,1" +) +xt "900,6300,4200,7700" +st "I_0" +blo "900,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*70 (Text +va (VaSet +font "Verdana,12,1" +) +xt "500,3500,6200,4900" +st "Library" +blo "500,4700" +) +*71 (Text +va (VaSet +font "Verdana,12,1" +) +xt "500,4900,12600,6300" +st "VhdlComponent" +blo "500,6100" +) +*72 (Text +va (VaSet +font "Verdana,12,1" +) +xt "500,6300,3800,7700" +st "I_0" +blo "500,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +va (VaSet +font "Verdana,12,1" +) +xt "50,3500,5750,4900" +st "Library" +blo "50,4700" +) +*74 (Text +va (VaSet +font "Verdana,12,1" +) +xt "50,4900,13950,6300" +st "VerilogComponent" +blo "50,6100" +) +*75 (Text +va (VaSet +font "Verdana,12,1" +) +xt "50,6300,3350,7700" +st "I_0" +blo "50,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*76 (Text +va (VaSet +font "Verdana,9,1" +) +xt "3150,4000,5550,5200" +st "eb1" +blo "3150,5000" +tm "HdlTextNameMgr" +) +*77 (Text +va (VaSet +font "Verdana,9,1" +) +xt "3150,5200,4350,6400" +st "1" +blo "3150,6200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "Courier,9,0" +) +xt "200,200,4200,1500" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,-400,3400,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,-400,4700,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,-200,4700,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "-2950,-1200,15550,0" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "-50,150,1350,1350" +st "1" +blo "-50,1150" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +va (VaSet +font "Verdana,9,1" +) +xt "14100,20000,24900,21200" +st "Frame Declarations" +blo "14100,21000" +) +*79 (MLText +va (VaSet +) +xt "14100,21200,14100,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "-1800,-1200,9200,0" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "-50,150,1350,1350" +st "1" +blo "-50,1150" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +va (VaSet +font "Verdana,9,1" +) +xt "14100,20000,24900,21200" +st "Frame Declarations" +blo "14100,21000" +) +*81 (MLText +va (VaSet +) +xt "14100,21200,14100,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Verdana,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,6200,6500,7100" +st "Declarations" +blo "0,6900" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7100,3000,8000" +st "Ports:" +blo "0,7800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8000,4500,8900" +st "Pre User:" +blo "0,8700" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,8900,40600,14900" +st "constant clockFrequency: real := 66.0E6; +constant spiFrequency: real := 20.0E6; +constant baudRateDivide: positive := integer((clockFrequency/2.0)/spiFrequency + 1.0); +constant rs232BaudRate: positive := 128*9600; +constant buttonNb: positive := 4; +constant ledNb: positive := 8;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,14900,8500,15800" +st "Diagram Signals:" +blo "0,15600" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,6200,5500,7100" +st "Post User:" +blo "0,6900" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "0,6200,0,6200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 58,0 +usingSuid 1 +emptyRow *82 (LEmptyRow +) +uid 22,0 +optionalChildren [ +*83 (RefLabelRowHdr +) +*84 (TitleRowHdr +) +*85 (FilterRowHdr +) +*86 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*87 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*88 (GroupColHdr +tm "GroupColHdrMgr" +) +*89 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*90 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*91 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*92 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*93 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*94 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*95 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 10 +suid 37,0 +) +) +uid 2392,0 +) +*96 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 38,0 +) +) +uid 2394,0 +) +*97 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "CS1_n" +t "std_ulogic" +o 2 +suid 47,0 +) +) +uid 3081,0 +) +*98 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 48,0 +) +) +uid 3083,0 +) +*99 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 49,0 +) +) +uid 3085,0 +) +*100 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "SCL" +t "std_ulogic" +o 5 +suid 50,0 +) +) +uid 3087,0 +) +*101 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "SI" +t "std_ulogic" +o 6 +suid 51,0 +) +) +uid 3089,0 +) +*102 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "buttons" +t "std_ulogic_vector" +b "(1 to buttonNb)" +o 7 +suid 56,0 +) +) +uid 3722,0 +) +*103 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(1 to ledNb)" +o 9 +suid 57,0 +) +) +uid 3724,0 +) +*104 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "RxD" +t "std_ulogic" +o 4 +suid 58,0 +) +) +uid 3825,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 35,0 +optionalChildren [ +*105 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *106 (MRCItem +litem &82 +pos 10 +dimension 20 +) +uid 37,0 +optionalChildren [ +*107 (MRCItem +litem &83 +pos 0 +dimension 20 +uid 38,0 +) +*108 (MRCItem +litem &84 +pos 1 +dimension 23 +uid 39,0 +) +*109 (MRCItem +litem &85 +pos 2 +hidden 1 +dimension 20 +uid 40,0 +) +*110 (MRCItem +litem &95 +pos 0 +dimension 20 +uid 2393,0 +) +*111 (MRCItem +litem &96 +pos 1 +dimension 20 +uid 2395,0 +) +*112 (MRCItem +litem &97 +pos 2 +dimension 20 +uid 3082,0 +) +*113 (MRCItem +litem &98 +pos 3 +dimension 20 +uid 3084,0 +) +*114 (MRCItem +litem &99 +pos 4 +dimension 20 +uid 3086,0 +) +*115 (MRCItem +litem &100 +pos 5 +dimension 20 +uid 3088,0 +) +*116 (MRCItem +litem &101 +pos 6 +dimension 20 +uid 3090,0 +) +*117 (MRCItem +litem &102 +pos 7 +dimension 20 +uid 3723,0 +) +*118 (MRCItem +litem &103 +pos 8 +dimension 20 +uid 3725,0 +) +*119 (MRCItem +litem &104 +pos 9 +dimension 20 +uid 3826,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 41,0 +optionalChildren [ +*120 (MRCItem +litem &86 +pos 0 +dimension 20 +uid 42,0 +) +*121 (MRCItem +litem &88 +pos 1 +dimension 50 +uid 43,0 +) +*122 (MRCItem +litem &89 +pos 2 +dimension 100 +uid 44,0 +) +*123 (MRCItem +litem &90 +pos 3 +dimension 50 +uid 45,0 +) +*124 (MRCItem +litem &91 +pos 4 +dimension 100 +uid 46,0 +) +*125 (MRCItem +litem &92 +pos 5 +dimension 100 +uid 47,0 +) +*126 (MRCItem +litem &93 +pos 6 +dimension 50 +uid 48,0 +) +*127 (MRCItem +litem &94 +pos 7 +dimension 80 +uid 49,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 36,0 +vaOverrides [ +] +) +] +) +uid 21,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *128 (LEmptyRow +) +uid 51,0 +optionalChildren [ +*129 (RefLabelRowHdr +) +*130 (TitleRowHdr +) +*131 (FilterRowHdr +) +*132 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*133 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*134 (GroupColHdr +tm "GroupColHdrMgr" +) +*135 (NameColHdr +tm "GenericNameColHdrMgr" +) +*136 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*137 (InitColHdr +tm "GenericValueColHdrMgr" +) +*138 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*139 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 63,0 +optionalChildren [ +*140 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *141 (MRCItem +litem &128 +pos 0 +dimension 20 +) +uid 65,0 +optionalChildren [ +*142 (MRCItem +litem &129 +pos 0 +dimension 20 +uid 66,0 +) +*143 (MRCItem +litem &130 +pos 1 +dimension 23 +uid 67,0 +) +*144 (MRCItem +litem &131 +pos 2 +hidden 1 +dimension 20 +uid 68,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 69,0 +optionalChildren [ +*145 (MRCItem +litem &132 +pos 0 +dimension 20 +uid 70,0 +) +*146 (MRCItem +litem &134 +pos 1 +dimension 50 +uid 71,0 +) +*147 (MRCItem +litem &135 +pos 2 +dimension 100 +uid 72,0 +) +*148 (MRCItem +litem &136 +pos 3 +dimension 100 +uid 73,0 +) +*149 (MRCItem +litem &137 +pos 4 +dimension 50 +uid 74,0 +) +*150 (MRCItem +litem &138 +pos 5 +dimension 50 +uid 75,0 +) +*151 (MRCItem +litem &139 +pos 6 +dimension 80 +uid 76,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 64,0 +vaOverrides [ +] +) +] +) +uid 50,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Lcd_test/hds/lcd@demo_tb/struct.bd.info/msimSimulationReplay.sh b/Libs/Lcd_test/hds/lcd@demo_tb/struct.bd.info/msimSimulationReplay.sh new file mode 100644 index 0000000..efec789 --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@demo_tb/struct.bd.info/msimSimulationReplay.sh @@ -0,0 +1,3 @@ +# Script to replay the last simulation run +cd $SCRATCH_DIR/$DESIGN_NAME/Lcd_test/work +"C:\eda\modeltech_6.6e/win32/vsim" -f hds_args.tmp diff --git a/Libs/Lcd_test/hds/lcd@demo_tb/symbol.sb b/Libs/Lcd_test/hds/lcd@demo_tb/symbol.sb new file mode 100644 index 0000000..2f16795 --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@demo_tb/symbol.sb @@ -0,0 +1,1297 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 5,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 0 +dimension 20 +) +uid 36,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 0 +dimension 20 +) +uid 64,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 65,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 66,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 69,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 70,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 71,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 72,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 73,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 74,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdDemo_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdDemo_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:37:46" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI_test/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Lcd_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "lcdDemo_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdDemo_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "D:\\Projects\\Trypano\\ControlBoard\\IglooTester\\Board\\actel\\boardTester" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "07:37:46" +) +(vvPair +variable "unit" +value "lcdDemo_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 19,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "30000,10000,48000,30000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "30200,30000,33800,31000" +st "Lcd_test" +blo "30200,30800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "30200,31000,35500,32000" +st "lcdDemo_tb" +blo "30200,31800" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "30000,34200,41500,35000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 79,0 +optionalChildren [ +*54 (CommentText +uid 81,0 +shape (Rectangle +uid 82,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 83,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41400,69600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 84,0 +shape (Rectangle +uid 85,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 86,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "29250,41250,40750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 87,0 +shape (Rectangle +uid 88,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 89,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47400,43200,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 90,0 +shape (Rectangle +uid 91,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 92,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41400,52900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 93,0 +shape (Rectangle +uid 94,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 95,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43400,42400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 96,0 +shape (Rectangle +uid 97,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 98,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43400,25600,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 99,0 +shape (Rectangle +uid 100,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 101,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45400,25600,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 102,0 +shape (Rectangle +uid 103,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 104,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,62300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 105,0 +shape (Rectangle +uid 106,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 107,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45400,37100,46600" +st " + +%library/%unit/%view + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 108,0 +shape (Rectangle +uid 109,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 110,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47400,26500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 80,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 17,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 18,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "11,44,1383,930" +viewArea "-1062,-1062,78636,50365" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "0,6000,5400,7000" +st "Declarations" +blo "0,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "0,7000,2700,8000" +st "Ports:" +blo "0,7800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "0,8000,2400,9000" +st "User:" +blo "0,8800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "0,6000,5800,7000" +st "Internal User:" +blo "0,6800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,9000,2000,9000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,6000,0,6000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 789,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Lcd_test/hds/lcd@demo_tester/interface b/Libs/Lcd_test/hds/lcd@demo_tester/interface new file mode 100644 index 0000000..5df4365 --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@demo_tester/interface @@ -0,0 +1,1702 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 88,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 84,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 79,0 +) +) +uid 1002,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "buttons" +t "std_ulogic_vector" +b "(1 to buttonNb)" +o 7 +suid 80,0 +) +) +uid 1004,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 81,0 +) +) +uid 1006,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "CS1_n" +t "std_ulogic" +o 2 +suid 82,0 +) +) +uid 1008,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(1 to ledNb)" +o 9 +suid 83,0 +) +) +uid 1010,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 10 +suid 84,0 +) +) +uid 1012,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 85,0 +) +) +uid 1014,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "RxD" +t "std_ulogic" +o 4 +suid 86,0 +) +) +uid 1016,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "SCL" +t "std_ulogic" +o 5 +suid 87,0 +) +) +uid 1018,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "SI" +t "std_ulogic" +o 6 +suid 88,0 +) +) +uid 1020,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 97,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 10 +dimension 20 +) +uid 99,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 100,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 101,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 102,0 +) +*29 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 1003,0 +) +*30 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 1005,0 +) +*31 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 1007,0 +) +*32 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 1009,0 +) +*33 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 1011,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 1013,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 1015,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 1017,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 1019,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 1021,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 103,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 104,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 105,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 106,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 107,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 108,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 109,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 110,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 111,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 98,0 +vaOverrides [ +] +) +] +) +uid 83,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 113,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "66.0E3" +) +uid 162,0 +) +*60 (LogGeneric +generic (GiElement +name "buttonNb" +type "positive" +value "4" +) +uid 164,0 +) +*61 (LogGeneric +generic (GiElement +name "ledNb" +type "positive" +value "8" +) +uid 166,0 +) +*62 (LogGeneric +generic (GiElement +name "baudrate" +type "positive" +value "9600*128" +) +uid 370,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 125,0 +optionalChildren [ +*63 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *64 (MRCItem +litem &47 +pos 4 +dimension 20 +) +uid 127,0 +optionalChildren [ +*65 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 128,0 +) +*66 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 129,0 +) +*67 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 130,0 +) +*68 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 163,0 +) +*69 (MRCItem +litem &60 +pos 1 +dimension 20 +uid 165,0 +) +*70 (MRCItem +litem &61 +pos 2 +dimension 20 +uid 167,0 +) +*71 (MRCItem +litem &62 +pos 3 +dimension 20 +uid 371,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 131,0 +optionalChildren [ +*72 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 132,0 +) +*73 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 133,0 +) +*74 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 134,0 +) +*75 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 135,0 +) +*76 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 136,0 +) +*77 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 137,0 +) +*78 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 138,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 126,0 +vaOverrides [ +] +) +] +) +uid 112,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tester" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdDemo_tester" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdDemo_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:37:47" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Lcd_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "lcdDemo_tester" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@demo_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdDemo_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "07:37:47" +) +(vvPair +variable "unit" +value "lcdDemo_tester" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 82,0 +optionalChildren [ +*79 (SymbolBody +uid 8,0 +optionalChildren [ +*80 (CptPort +uid 952,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 953,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +tg (CPTG +uid 954,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 955,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "54300,7000,55700,9400" +st "A0" +ju 2 +blo "55500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 956,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,1800,60500,2600" +st "A0 : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 79,0 +) +) +) +*81 (CptPort +uid 957,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 958,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 959,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 960,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,12700" +st "buttons" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 961,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7400,72000,8200" +st "buttons : OUT std_ulogic_vector (1 to buttonNb) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "buttons" +t "std_ulogic_vector" +b "(1 to buttonNb)" +o 7 +suid 80,0 +) +) +) +*82 (CptPort +uid 962,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 963,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 964,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 965,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,7000,27700,10800" +st "clock" +ju 2 +blo "27500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 966,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8200,60500,9000" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 81,0 +) +) +) +*83 (CptPort +uid 967,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 968,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 969,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 970,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "50300,7000,51700,11900" +st "CS1_n" +ju 2 +blo "51500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 971,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2600,60500,3400" +st "CS1_n : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "CS1_n" +t "std_ulogic" +o 2 +suid 82,0 +) +) +) +*84 (CptPort +uid 972,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 973,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62625,5250,63375,6000" +) +tg (CPTG +uid 974,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 975,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "62300,7000,63700,10400" +st "leds" +ju 2 +blo "63500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 976,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5800,70500,6600" +st "leds : IN std_ulogic_vector (1 to ledNb) ; +" +) +thePort (LogicalPort +decl (Decl +n "leds" +t "std_ulogic_vector" +b "(1 to ledNb)" +o 9 +suid 83,0 +) +) +) +*85 (CptPort +uid 977,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 978,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 979,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 980,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "28300,7000,29700,11100" +st "reset" +ju 2 +blo "29500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 981,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9000,59500,9800" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 10 +suid 84,0 +) +) +) +*86 (CptPort +uid 982,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 983,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52625,5250,53375,6000" +) +tg (CPTG +uid 984,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 985,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "52300,7000,53700,11700" +st "RST_n" +ju 2 +blo "53500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 986,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3400,60500,4200" +st "RST_n : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 85,0 +) +) +) +*87 (CptPort +uid 987,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 988,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 989,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 990,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,7000,25700,10200" +st "RxD" +ju 2 +blo "25500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 991,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6600,60500,7400" +st "RxD : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "RxD" +t "std_ulogic" +o 4 +suid 86,0 +) +) +) +*88 (CptPort +uid 992,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 993,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 994,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 995,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "56300,7000,57700,10200" +st "SCL" +ju 2 +blo "57500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 996,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4200,60500,5000" +st "SCL : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "SCL" +t "std_ulogic" +o 5 +suid 87,0 +) +) +) +*89 (CptPort +uid 997,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 998,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +tg (CPTG +uid 999,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1000,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "58300,7000,59700,9100" +st "SI" +ju 2 +blo "59500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 1001,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5000,60500,5800" +st "SI : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "SI" +t "std_ulogic" +o 6 +suid 88,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,71000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "38450,8800,43450,10000" +st "Lcd_test" +blo "38450,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "38450,10000,47550,11200" +st "lcdDemo_tester" +blo "38450,11000" +) +) +gi *90 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "20000,6000,35200,12000" +st "Generic Declarations + +clockFrequency real 66.0E3 +buttonNb positive 4 +ledNb positive 8 +baudrate positive 9600*128 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "66.0E3" +) +(GiElement +name "buttonNb" +type "positive" +value "4" +) +(GiElement +name "ledNb" +type "positive" +value "8" +) +(GiElement +name "baudrate" +type "positive" +value "9600*128" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *91 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*93 (MLText +uid 18,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "84,52,1105,742" +viewArea "-500,-500,71663,48185" +cachedDiagramExtent "0,0,72000,14000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Lcd_test" +entityName "lcdDemo_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,31000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "20300,14800,25700,16000" +st "" +blo "20300,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "20300,16000,24200,17200" +st "" +blo "20300,17000" +) +) +gi *94 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Verdana,8,0" +) +xt "0,12000,9700,13000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2500,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,4300,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *95 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,48500,900" +st "Declarations" +blo "42000,700" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,900,45000,1800" +st "Ports:" +blo "42000,1600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,9800,44500,10700" +st "User:" +blo "42000,10500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,49500,900" +st "Internal User:" +blo "42000,700" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Verdana,8,0" +) +xt "44000,10700,44000,10700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 1021,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Lcd_test/hds/lcd@serializer_tb/struct.bd b/Libs/Lcd_test/hds/lcd@serializer_tb/struct.bd new file mode 100644 index 0000000..6a19273 --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@serializer_tb/struct.bd @@ -0,0 +1,3436 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "Lcd_test" +duName "lcdSerializer_tester" +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 175,0 +) +(Instance +name "I_dut" +duLibraryName "Lcd" +duName "lcdSerializer" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "baudRateDivide" +type "integer" +value "baudRateDivide" +) +] +mwi 0 +uid 3512,0 +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.2 (Build 5)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tb\\struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tb\\struct.bd.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdSerializer_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdSerializer_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:37:47" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI_test/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Lcd_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "lcdSerializer_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tb\\struct.bd" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdSerializer_tb\\struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "D:\\Projects\\Trypano\\ControlBoard\\IglooTester\\Board\\actel\\boardTester" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "07:37:47" +) +(vvPair +variable "unit" +value "lcdSerializer_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 20,0 +optionalChildren [ +*1 (Grouping +uid 77,0 +optionalChildren [ +*2 (CommentText +uid 79,0 +shape (Rectangle +uid 80,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,58000,97000,60000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 81,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,58400,93600,59600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 82,0 +shape (Rectangle +uid 83,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,58000,72000,60000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 84,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "53250,58250,64750,59750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 85,0 +shape (Rectangle +uid 86,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,64000,72000,66000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 87,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,64400,69600,65600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 88,0 +shape (Rectangle +uid 89,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,58000,78000,60000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 90,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,58400,76900,59600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 91,0 +shape (Rectangle +uid 92,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,60000,72000,62000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 93,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,60400,66400,61600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 94,0 +shape (Rectangle +uid 95,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,60000,51000,62000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 96,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,60400,49600,61600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 97,0 +shape (Rectangle +uid 98,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,62000,51000,64000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 99,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,62400,49600,63600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 100,0 +shape (Rectangle +uid 101,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,60000,97000,66000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 102,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,60200,86300,61400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 103,0 +shape (Rectangle +uid 104,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,62000,72000,64000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 105,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,62400,61100,63600" +st " + +%library/%unit/%view + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 106,0 +shape (Rectangle +uid 107,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,64000,51000,66000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 108,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,64400,50500,65600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 78,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "46000,58000,97000,66000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 175,0 +shape (Rectangle +uid 176,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "25000,40000,81000,48000" +) +oxt "24000,40000,84000,48000" +ttg (MlTextGroup +uid 177,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 178,0 +va (VaSet +font "Verdana,9,1" +) +xt "25300,48500,30300,49700" +st "Lcd_test" +blo "25300,49500" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 179,0 +va (VaSet +font "Verdana,9,1" +) +xt "25300,49700,36800,50900" +st "lcdSerializer_tester" +blo "25300,50700" +tm "BlkNameMgr" +) +*15 (Text +uid 180,0 +va (VaSet +font "Verdana,9,1" +) +xt "25300,50900,30100,52100" +st "I_tester" +blo "25300,51900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 181,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 182,0 +text (MLText +uid 183,0 +va (VaSet +font "Courier New,9,0" +) +xt "25000,52800,51500,55200" +st "clockFrequency = clockFrequency ( real ) +dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "clockFrequency" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +viewicon (ZoomableIcon +uid 184,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "25250,46250,26750,47750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 2316,0 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 37,0 +) +declText (MLText +uid 2317,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,20400,16000,21200" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 2324,0 +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 38,0 +) +declText (MLText +uid 2325,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,18800,16000,19600" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 3049,0 +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 46,0 +) +declText (MLText +uid 3050,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,15600,16000,16400" +st "SIGNAL RST_n : std_ulogic" +) +) +*19 (Net +uid 3057,0 +decl (Decl +n "CS_n" +t "std_ulogic" +o 2 +suid 47,0 +) +declText (MLText +uid 3058,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,14800,16000,15600" +st "SIGNAL CS_n : std_ulogic" +) +) +*20 (Net +uid 3065,0 +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 48,0 +) +declText (MLText +uid 3066,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,14000,16000,14800" +st "SIGNAL A0 : std_ulogic" +) +) +*21 (Net +uid 3073,0 +decl (Decl +n "SI" +t "std_ulogic" +o 5 +suid 49,0 +) +declText (MLText +uid 3074,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,17200,16000,18000" +st "SIGNAL SI : std_ulogic" +) +) +*22 (Net +uid 3081,0 +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 50,0 +) +declText (MLText +uid 3082,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,16400,16000,17200" +st "SIGNAL SCL : std_ulogic" +) +) +*23 (Net +uid 3149,0 +decl (Decl +n "busy" +t "std_ulogic" +o 6 +suid 51,0 +) +declText (MLText +uid 3150,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,18000,16000,18800" +st "SIGNAL busy : std_ulogic" +) +) +*24 (Net +uid 3157,0 +decl (Decl +n "send" +t "std_ulogic" +o 10 +suid 52,0 +) +declText (MLText +uid 3158,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,21200,16000,22000" +st "SIGNAL send : std_ulogic" +) +) +*25 (Net +uid 3165,0 +decl (Decl +n "data" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 53,0 +) +declText (MLText +uid 3166,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,19600,31000,20400" +st "SIGNAL data : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*26 (SaComponent +uid 3512,0 +optionalChildren [ +*27 (CptPort +uid 3472,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3473,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,23625,61750,24375" +) +tg (CPTG +uid 3474,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3475,0 +va (VaSet +) +xt "57800,23400,60000,24600" +st "A0" +ju 2 +blo "60000,24400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "A0" +t "std_ulogic" +o 5 +suid 1,0 +) +) +) +*28 (CptPort +uid 3476,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3477,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,27625,45000,28375" +) +tg (CPTG +uid 3478,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3479,0 +va (VaSet +) +xt "46000,27400,49400,28600" +st "clock" +blo "46000,28400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*29 (CptPort +uid 3480,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3481,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,27625,61750,28375" +) +tg (CPTG +uid 3482,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3483,0 +va (VaSet +) +xt "56600,27400,60000,28600" +st "CS_n" +ju 2 +blo "60000,28400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "CS_n" +t "std_ulogic" +o 6 +suid 4,0 +) +) +) +*30 (CptPort +uid 3484,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3485,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,29625,45000,30375" +) +tg (CPTG +uid 3486,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3487,0 +va (VaSet +) +xt "46000,29400,49300,30600" +st "reset" +blo "46000,30400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 7,0 +) +) +) +*31 (CptPort +uid 3488,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3489,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,25625,61750,26375" +) +tg (CPTG +uid 3490,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3491,0 +va (VaSet +) +xt "56000,25400,60000,26600" +st "RST_n" +ju 2 +blo "60000,26400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "RST_n" +t "std_ulogic" +o 7 +suid 8,0 +) +) +) +*32 (CptPort +uid 3492,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3493,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,21625,61750,22375" +) +tg (CPTG +uid 3494,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3495,0 +va (VaSet +) +xt "57200,21400,60000,22600" +st "SCL" +ju 2 +blo "60000,22400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 9,0 +) +) +) +*33 (CptPort +uid 3496,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3497,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "61000,19625,61750,20375" +) +tg (CPTG +uid 3498,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3499,0 +va (VaSet +) +xt "58100,19400,60000,20600" +st "SI" +ju 2 +blo "60000,20400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SI" +t "std_ulogic" +o 3 +suid 10,0 +) +) +) +*34 (CptPort +uid 3500,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3501,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,19625,45000,20375" +) +tg (CPTG +uid 3502,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3503,0 +va (VaSet +) +xt "46000,19400,48900,20600" +st "data" +blo "46000,20400" +) +) +thePort (LogicalPort +decl (Decl +n "data" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 11,0 +) +) +) +*35 (CptPort +uid 3504,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3505,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,21625,45000,22375" +) +tg (CPTG +uid 3506,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3507,0 +va (VaSet +) +xt "46000,21400,49100,22600" +st "send" +blo "46000,22400" +) +) +thePort (LogicalPort +decl (Decl +n "send" +t "std_ulogic" +o 9 +suid 17,0 +) +) +) +*36 (CptPort +uid 3508,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3509,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44250,23625,45000,24375" +) +tg (CPTG +uid 3510,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3511,0 +va (VaSet +) +xt "46000,23400,49100,24600" +st "busy" +blo "46000,24400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "busy" +t "std_ulogic" +o 10 +suid 19,0 +) +) +) +] +shape (Rectangle +uid 3513,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "45000,16000,61000,32000" +) +oxt "38000,-2000,54000,14000" +ttg (MlTextGroup +uid 3514,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*37 (Text +uid 3515,0 +va (VaSet +font "Verdana,9,1" +) +xt "44950,32000,47250,33200" +st "Lcd" +blo "44950,33000" +tm "BdLibraryNameMgr" +) +*38 (Text +uid 3516,0 +va (VaSet +font "Verdana,9,1" +) +xt "44950,33200,52150,34400" +st "lcdSerializer" +blo "44950,34200" +tm "CptNameMgr" +) +*39 (Text +uid 3517,0 +va (VaSet +font "Verdana,9,1" +) +xt "44950,34400,48350,35600" +st "I_dut" +blo "44950,35400" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3518,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3519,0 +text (MLText +uid 3520,0 +va (VaSet +font "Courier New,8,0" +) +xt "45000,36800,71500,38400" +st "dataBitNb = dataBitNb ( positive ) +baudRateDivide = baudRateDivide ( integer ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "baudRateDivide" +type "integer" +value "baudRateDivide" +) +] +) +viewicon (ZoomableIcon +uid 3521,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "45250,30250,46750,31750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*40 (Wire +uid 2318,0 +shape (OrthoPolyLine +uid 2319,0 +va (VaSet +vasetType 3 +) +xt "43000,30000,44250,40000" +pts [ +"44250,30000" +"43000,30000" +"43000,40000" +] +) +start &30 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2322,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2323,0 +va (VaSet +font "Verdana,12,0" +) +xt "41250,28600,45350,30000" +st "reset" +blo "41250,29800" +tm "WireNameMgr" +) +) +on &16 +) +*41 (Wire +uid 2326,0 +shape (OrthoPolyLine +uid 2327,0 +va (VaSet +vasetType 3 +) +xt "41000,28000,44250,40000" +pts [ +"44250,28000" +"41000,28000" +"41000,40000" +] +) +start &28 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2330,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2331,0 +va (VaSet +font "Verdana,12,0" +) +xt "41250,26600,45050,28000" +st "clock" +blo "41250,27800" +tm "WireNameMgr" +) +) +on &17 +) +*42 (Wire +uid 3051,0 +shape (OrthoPolyLine +uid 3052,0 +va (VaSet +vasetType 3 +) +xt "61750,26000,67000,40000" +pts [ +"61750,26000" +"67000,26000" +"67000,40000" +] +) +start &31 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3055,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3056,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,24600,68450,26000" +st "RST_n" +blo "63750,25800" +tm "WireNameMgr" +) +) +on &18 +) +*43 (Wire +uid 3059,0 +shape (OrthoPolyLine +uid 3060,0 +va (VaSet +vasetType 3 +) +xt "61750,28000,65000,40000" +pts [ +"61750,28000" +"65000,28000" +"65000,40000" +] +) +start &29 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3063,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3064,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,26600,67850,28000" +st "CS_n" +blo "63750,27800" +tm "WireNameMgr" +) +) +on &19 +) +*44 (Wire +uid 3067,0 +shape (OrthoPolyLine +uid 3068,0 +va (VaSet +vasetType 3 +) +xt "61750,24000,69000,40000" +pts [ +"61750,24000" +"69000,24000" +"69000,40000" +] +) +start &27 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3071,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3072,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,22600,66150,24000" +st "A0" +blo "63750,23800" +tm "WireNameMgr" +) +) +on &20 +) +*45 (Wire +uid 3075,0 +shape (OrthoPolyLine +uid 3076,0 +va (VaSet +vasetType 3 +) +xt "61750,20000,73000,40000" +pts [ +"61750,20000" +"73000,20000" +"73000,40000" +] +) +start &33 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3079,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3080,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,18600,65850,20000" +st "SI" +blo "63750,19800" +tm "WireNameMgr" +) +) +on &21 +) +*46 (Wire +uid 3083,0 +shape (OrthoPolyLine +uid 3084,0 +va (VaSet +vasetType 3 +) +xt "61750,22000,71000,40000" +pts [ +"61750,22000" +"71000,22000" +"71000,40000" +] +) +start &32 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3087,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3088,0 +va (VaSet +font "Verdana,12,0" +) +xt "63750,20600,66950,22000" +st "SCL" +blo "63750,21800" +tm "WireNameMgr" +) +) +on &22 +) +*47 (Wire +uid 3151,0 +shape (OrthoPolyLine +uid 3152,0 +va (VaSet +vasetType 3 +) +xt "37000,24000,44250,40000" +pts [ +"44250,24000" +"37000,24000" +"37000,40000" +] +) +start &36 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3155,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3156,0 +va (VaSet +font "Verdana,12,0" +) +xt "39250,22600,43050,24000" +st "busy" +blo "39250,23800" +tm "WireNameMgr" +) +) +on &23 +) +*48 (Wire +uid 3159,0 +shape (OrthoPolyLine +uid 3160,0 +va (VaSet +vasetType 3 +) +xt "35000,22000,44250,40000" +pts [ +"44250,22000" +"35000,22000" +"35000,40000" +] +) +start &35 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3163,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3164,0 +va (VaSet +font "Verdana,12,0" +) +xt "39250,20600,43150,22000" +st "send" +blo "39250,21800" +tm "WireNameMgr" +) +) +on &24 +) +*49 (Wire +uid 3167,0 +shape (OrthoPolyLine +uid 3168,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "33000,20000,44250,40000" +pts [ +"44250,20000" +"33000,20000" +"33000,40000" +] +) +start &34 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 3171,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3172,0 +va (VaSet +font "Verdana,12,0" +) +xt "39250,18600,42950,20000" +st "data" +blo "39250,19800" +tm "WireNameMgr" +) +) +on &25 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *50 (PackageList +uid 9,0 +stg "VerticalLayoutStrategy" +textVec [ +*51 (Text +uid 10,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*52 (MLText +uid 11,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 12,0 +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 13,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*54 (Text +uid 14,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*55 (MLText +uid 15,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32100,4400" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*56 (Text +uid 16,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*57 (MLText +uid 17,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*58 (Text +uid 18,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*59 (MLText +uid 19,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "241,50,1441,900" +viewArea "-1400,-1400,101863,70389" +cachedDiagramExtent "0,0,97000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 761 +paperHeight 1077 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +lastUid 3607,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,9,1" +) +xt "400,900,4400,2100" +st "Panel0" +blo "400,1900" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2200,3500,7600,4700" +st "" +blo "2200,4500" +tm "BdLibraryNameMgr" +) +*61 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2200,4700,7000,5900" +st "" +blo "2200,5700" +tm "BlkNameMgr" +) +*62 (Text +va (VaSet +font "Verdana,9,1" +) +xt "2200,5900,4500,7100" +st "I_0" +blo "2200,6900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,9,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +font "Verdana,12,1" +) +xt "550,3500,6250,4900" +st "Library" +blo "550,4700" +) +*64 (Text +va (VaSet +font "Verdana,12,1" +) +xt "550,4900,12250,6300" +st "MWComponent" +blo "550,6100" +) +*65 (Text +va (VaSet +font "Verdana,12,1" +) +xt "550,6300,3850,7700" +st "I_0" +blo "550,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +font "Verdana,12,1" +) +xt "900,3500,6600,4900" +st "Library" +blo "900,4700" +tm "BdLibraryNameMgr" +) +*67 (Text +va (VaSet +font "Verdana,12,1" +) +xt "900,4900,11800,6300" +st "SaComponent" +blo "900,6100" +tm "CptNameMgr" +) +*68 (Text +va (VaSet +font "Verdana,12,1" +) +xt "900,6300,4200,7700" +st "I_0" +blo "900,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,9,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +va (VaSet +font "Verdana,12,1" +) +xt "500,3500,6200,4900" +st "Library" +blo "500,4700" +) +*70 (Text +va (VaSet +font "Verdana,12,1" +) +xt "500,4900,12600,6300" +st "VhdlComponent" +blo "500,6100" +) +*71 (Text +va (VaSet +font "Verdana,12,1" +) +xt "500,6300,3800,7700" +st "I_0" +blo "500,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,9,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*72 (Text +va (VaSet +font "Verdana,12,1" +) +xt "50,3500,5750,4900" +st "Library" +blo "50,4700" +) +*73 (Text +va (VaSet +font "Verdana,12,1" +) +xt "50,4900,13950,6300" +st "VerilogComponent" +blo "50,6100" +) +*74 (Text +va (VaSet +font "Verdana,12,1" +) +xt "50,6300,3350,7700" +st "I_0" +blo "50,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,9,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +va (VaSet +font "Verdana,9,1" +) +xt "3150,4000,5550,5200" +st "eb1" +blo "3150,5000" +tm "HdlTextNameMgr" +) +*76 (Text +va (VaSet +font "Verdana,9,1" +) +xt "3150,5200,4350,6400" +st "1" +blo "3150,6200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "Courier,9,0" +) +xt "200,200,4200,1500" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "Verdana,9,1" +) +xt "-650,-600,650,600" +st "G" +blo "-650,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "Verdana,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,-400,3400,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,-400,4700,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,-200,4700,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1500,2200" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "-2950,-1200,15550,0" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "-50,150,1350,1350" +st "1" +blo "-50,1150" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*77 (Text +va (VaSet +font "Verdana,9,1" +) +xt "14100,20000,24900,21200" +st "Frame Declarations" +blo "14100,21000" +) +*78 (MLText +va (VaSet +) +xt "14100,21200,14100,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "-1800,-1200,9200,0" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "-50,150,1350,1350" +st "1" +blo "-50,1150" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +va (VaSet +font "Verdana,9,1" +) +xt "14100,20000,24900,21200" +st "Frame Declarations" +blo "14100,21000" +) +*80 (MLText +va (VaSet +) +xt "14100,21200,14100,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "Courier New,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "0,6000,5400,7000" +st "Declarations" +blo "0,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "0,7000,2700,8000" +st "Ports:" +blo "0,7800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "0,8000,3800,9000" +st "Pre User:" +blo "0,8800" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,9000,47000,13000" +st "constant clockFrequency: real := 66.0E6; +constant spiFrequency: real := 20.0E6; +constant baudRateDivide: positive := integer((clockFrequency/2.0)/spiFrequency + 1.0); + +constant dataBitNb: positive := 8+1;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "Arial,8,1" +) +xt "0,13000,7100,14000" +st "Diagram Signals:" +blo "0,13800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "0,6000,4700,7000" +st "Post User:" +blo "0,6800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,6000,0,6000" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 53,0 +usingSuid 1 +emptyRow *81 (LEmptyRow +) +uid 22,0 +optionalChildren [ +*82 (RefLabelRowHdr +) +*83 (TitleRowHdr +) +*84 (FilterRowHdr +) +*85 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*86 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*87 (GroupColHdr +tm "GroupColHdrMgr" +) +*88 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*89 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*90 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*91 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*92 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*93 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*94 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 37,0 +) +) +uid 2392,0 +) +*95 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 38,0 +) +) +uid 2394,0 +) +*96 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 46,0 +) +) +uid 3139,0 +) +*97 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "CS_n" +t "std_ulogic" +o 2 +suid 47,0 +) +) +uid 3141,0 +) +*98 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 48,0 +) +) +uid 3143,0 +) +*99 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "SI" +t "std_ulogic" +o 5 +suid 49,0 +) +) +uid 3145,0 +) +*100 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 50,0 +) +) +uid 3147,0 +) +*101 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "busy" +t "std_ulogic" +o 6 +suid 51,0 +) +) +uid 3223,0 +) +*102 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "send" +t "std_ulogic" +o 10 +suid 52,0 +) +) +uid 3225,0 +) +*103 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "data" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 53,0 +) +) +uid 3227,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 35,0 +optionalChildren [ +*104 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *105 (MRCItem +litem &81 +pos 10 +dimension 20 +) +uid 37,0 +optionalChildren [ +*106 (MRCItem +litem &82 +pos 0 +dimension 20 +uid 38,0 +) +*107 (MRCItem +litem &83 +pos 1 +dimension 23 +uid 39,0 +) +*108 (MRCItem +litem &84 +pos 2 +hidden 1 +dimension 20 +uid 40,0 +) +*109 (MRCItem +litem &94 +pos 0 +dimension 20 +uid 2393,0 +) +*110 (MRCItem +litem &95 +pos 1 +dimension 20 +uid 2395,0 +) +*111 (MRCItem +litem &96 +pos 2 +dimension 20 +uid 3140,0 +) +*112 (MRCItem +litem &97 +pos 3 +dimension 20 +uid 3142,0 +) +*113 (MRCItem +litem &98 +pos 4 +dimension 20 +uid 3144,0 +) +*114 (MRCItem +litem &99 +pos 5 +dimension 20 +uid 3146,0 +) +*115 (MRCItem +litem &100 +pos 6 +dimension 20 +uid 3148,0 +) +*116 (MRCItem +litem &101 +pos 7 +dimension 20 +uid 3224,0 +) +*117 (MRCItem +litem &102 +pos 8 +dimension 20 +uid 3226,0 +) +*118 (MRCItem +litem &103 +pos 9 +dimension 20 +uid 3228,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 41,0 +optionalChildren [ +*119 (MRCItem +litem &85 +pos 0 +dimension 20 +uid 42,0 +) +*120 (MRCItem +litem &87 +pos 1 +dimension 50 +uid 43,0 +) +*121 (MRCItem +litem &88 +pos 2 +dimension 100 +uid 44,0 +) +*122 (MRCItem +litem &89 +pos 3 +dimension 50 +uid 45,0 +) +*123 (MRCItem +litem &90 +pos 4 +dimension 100 +uid 46,0 +) +*124 (MRCItem +litem &91 +pos 5 +dimension 100 +uid 47,0 +) +*125 (MRCItem +litem &92 +pos 6 +dimension 50 +uid 48,0 +) +*126 (MRCItem +litem &93 +pos 7 +dimension 80 +uid 49,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 36,0 +vaOverrides [ +] +) +] +) +uid 21,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *127 (LEmptyRow +) +uid 51,0 +optionalChildren [ +*128 (RefLabelRowHdr +) +*129 (TitleRowHdr +) +*130 (FilterRowHdr +) +*131 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*132 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*133 (GroupColHdr +tm "GroupColHdrMgr" +) +*134 (NameColHdr +tm "GenericNameColHdrMgr" +) +*135 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*136 (InitColHdr +tm "GenericValueColHdrMgr" +) +*137 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*138 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 63,0 +optionalChildren [ +*139 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *140 (MRCItem +litem &127 +pos 0 +dimension 20 +) +uid 65,0 +optionalChildren [ +*141 (MRCItem +litem &128 +pos 0 +dimension 20 +uid 66,0 +) +*142 (MRCItem +litem &129 +pos 1 +dimension 23 +uid 67,0 +) +*143 (MRCItem +litem &130 +pos 2 +hidden 1 +dimension 20 +uid 68,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 69,0 +optionalChildren [ +*144 (MRCItem +litem &131 +pos 0 +dimension 20 +uid 70,0 +) +*145 (MRCItem +litem &133 +pos 1 +dimension 50 +uid 71,0 +) +*146 (MRCItem +litem &134 +pos 2 +dimension 100 +uid 72,0 +) +*147 (MRCItem +litem &135 +pos 3 +dimension 100 +uid 73,0 +) +*148 (MRCItem +litem &136 +pos 4 +dimension 50 +uid 74,0 +) +*149 (MRCItem +litem &137 +pos 5 +dimension 50 +uid 75,0 +) +*150 (MRCItem +litem &138 +pos 6 +dimension 80 +uid 76,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 64,0 +vaOverrides [ +] +) +] +) +uid 50,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Lcd_test/hds/lcd@serializer_tb/struct.bd.info/msimSimulationReplay.sh b/Libs/Lcd_test/hds/lcd@serializer_tb/struct.bd.info/msimSimulationReplay.sh new file mode 100644 index 0000000..6ecd536 --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@serializer_tb/struct.bd.info/msimSimulationReplay.sh @@ -0,0 +1,3 @@ +# Script to replay the last simulation run +cd $SCRATCH_DIR/$DESIGN_NAME/Lcd_test/work +"C:\eda\Modelsim/win32/vsim" -f hds_args.tmp diff --git a/Libs/Lcd_test/hds/lcd@serializer_tb/symbol.sb b/Libs/Lcd_test/hds/lcd@serializer_tb/symbol.sb new file mode 100644 index 0000000..d5c5509 --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@serializer_tb/symbol.sb @@ -0,0 +1,1297 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 5,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 21,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 34,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 0 +dimension 20 +) +uid 36,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 37,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 38,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 39,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 40,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 41,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 42,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 43,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 44,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 45,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 46,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 47,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 48,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 35,0 +vaOverrides [ +] +) +] +) +uid 20,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 50,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 62,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 0 +dimension 20 +) +uid 64,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 65,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 66,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 67,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 68,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 69,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 70,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 71,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 72,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 73,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 74,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 75,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 63,0 +vaOverrides [ +] +) +] +) +uid 49,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tb\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tb\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tb" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdSerializer_tb" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdSerializer_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:37:46" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd_test" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_PROJECT_DIR/../libs/SPI_test/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Lcd_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "lcdSerializer_tb" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tb\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdSerializer_tb\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "D:\\Projects\\Trypano\\ControlBoard\\IglooTester\\Board\\actel\\boardTester" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "07:37:46" +) +(vvPair +variable "unit" +value "lcdSerializer_tb" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 19,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "30000,10000,48000,30000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Arial,8,1" +) +xt "30200,30000,33800,31000" +st "Lcd_test" +blo "30200,30800" +) +second (Text +uid 12,0 +va (VaSet +font "Arial,8,1" +) +xt "30200,31000,36600,32000" +st "lcdSerializer_tb" +blo "30200,31800" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "30000,34200,41500,35000" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*53 (Grouping +uid 79,0 +optionalChildren [ +*54 (CommentText +uid 81,0 +shape (Rectangle +uid 82,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 83,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41400,69600,42600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 84,0 +shape (Rectangle +uid 85,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 86,0 +va (VaSet +fg "32768,0,0" +font "Arial,12,1" +) +xt "29250,41250,40750,42750" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 87,0 +shape (Rectangle +uid 88,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 89,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47400,43200,48600" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 90,0 +shape (Rectangle +uid 91,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 92,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41400,52900,42600" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 93,0 +shape (Rectangle +uid 94,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 95,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43400,42400,44600" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 96,0 +shape (Rectangle +uid 97,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 98,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43400,25600,44600" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 99,0 +shape (Rectangle +uid 100,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 101,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45400,25600,46600" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 102,0 +shape (Rectangle +uid 103,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 104,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,62300,44400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 105,0 +shape (Rectangle +uid 106,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 107,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45400,37100,46600" +st " + +%library/%unit/%view + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 108,0 +shape (Rectangle +uid 109,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 110,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47400,26500,48600" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 80,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *64 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 17,0 +va (VaSet +font "arial,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*66 (MLText +uid 18,0 +va (VaSet +) +xt "0,1000,17500,4600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "11,44,1383,930" +viewArea "-1062,-1062,78636,50365" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "Arial,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,2900,2150" +st "In0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,12,0" +) +xt "0,750,5300,2150" +st "Buffer0" +blo "0,1950" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "unsigned" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Arial,8,1" +) +xt "0,6000,5400,7000" +st "Declarations" +blo "0,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Arial,8,1" +) +xt "0,7000,2700,8000" +st "Ports:" +blo "0,7800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Arial,8,1" +) +xt "0,8000,2400,9000" +st "User:" +blo "0,8800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Arial,8,1" +) +xt "0,6000,5800,7000" +st "Internal User:" +blo "0,6800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "2000,9000,2000,9000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "0,6000,0,6000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 789,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Lcd_test/hds/lcd@serializer_tester/interface b/Libs/Lcd_test/hds/lcd@serializer_tester/interface new file mode 100644 index 0000000..4343e36 --- /dev/null +++ b/Libs/Lcd_test/hds/lcd@serializer_tester/interface @@ -0,0 +1,1657 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.2 (Build 5)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 55,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 77,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 46,0 +) +) +uid 606,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 6 +suid 47,0 +) +) +uid 608,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 48,0 +) +) +uid 610,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "CS_n" +t "std_ulogic" +o 2 +suid 49,0 +) +) +uid 612,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "data" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 50,0 +) +) +uid 614,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 51,0 +) +) +uid 616,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 52,0 +) +) +uid 618,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 53,0 +) +) +uid 620,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 10 +suid 54,0 +) +) +uid 622,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "SI" +t "std_ulogic" +o 5 +suid 55,0 +) +) +uid 624,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 90,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 10 +dimension 20 +) +uid 92,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 93,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 94,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 95,0 +) +*29 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 607,0 +) +*30 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 609,0 +) +*31 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 611,0 +) +*32 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 613,0 +) +*33 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 615,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 617,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 619,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 621,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 623,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 625,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 97,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 98,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 99,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 100,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 101,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 102,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 103,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 104,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 91,0 +vaOverrides [ +] +) +] +) +uid 76,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 106,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "clockFrequency" +type "real" +value "100.0E6" +) +uid 155,0 +) +*60 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "9" +) +uid 345,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 118,0 +optionalChildren [ +*61 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *62 (MRCItem +litem &47 +pos 2 +dimension 20 +) +uid 120,0 +optionalChildren [ +*63 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 121,0 +) +*64 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 122,0 +) +*65 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 123,0 +) +*66 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 156,0 +) +*67 (MRCItem +litem &60 +pos 1 +dimension 20 +uid 346,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 124,0 +optionalChildren [ +*68 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 125,0 +) +*69 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 126,0 +) +*70 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 127,0 +) +*71 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 128,0 +) +*72 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 129,0 +) +*73 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 130,0 +) +*74 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 131,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 119,0 +vaOverrides [ +] +) +] +) +uid 105,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tester\\interface.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tester\\interface.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tester" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdSerializer_tester" +) +(vvPair +variable "date" +value "11.11.2019" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "lcdSerializer_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.11.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "07:37:47" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Lcd_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Lcd_test/work" +) +(vvPair +variable "mm" +value "11" +) +(vvPair +variable "module_name" +value "lcdSerializer_tester" +) +(vvPair +variable "month" +value "Nov" +) +(vvPair +variable "month_long" +value "November" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcd@serializer_tester\\interface" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Lcd_test\\hds\\lcdSerializer_tester\\interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "07:37:47" +) +(vvPair +variable "unit" +value "lcdSerializer_tester" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2019.2 (Build 5)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "VhdlLangMgr" +uid 75,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 556,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 557,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +tg (CPTG +uid 558,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 559,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "58300,7000,59700,9400" +st "A0" +ju 2 +blo "59500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 560,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,2400,59500,3200" +st "A0 : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "A0" +t "std_ulogic" +o 1 +suid 46,0 +) +) +) +*77 (CptPort +uid 561,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 562,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 563,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 564,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "26300,7000,27700,10800" +st "busy" +ju 2 +blo "27500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 565,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,6400,59500,7200" +st "busy : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "busy" +t "std_ulogic" +o 6 +suid 47,0 +) +) +) +*78 (CptPort +uid 566,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 567,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 568,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 569,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "30300,7000,31700,10800" +st "clock" +ju 2 +blo "31500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 570,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,7200,59500,8000" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 7 +suid 48,0 +) +) +) +*79 (CptPort +uid 571,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 572,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +tg (CPTG +uid 573,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 574,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "54300,7000,55700,11100" +st "CS_n" +ju 2 +blo "55500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 575,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,3200,59500,4000" +st "CS_n : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "CS_n" +t "std_ulogic" +o 2 +suid 49,0 +) +) +) +*80 (CptPort +uid 576,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 577,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 578,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 579,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "22300,7000,23700,10700" +st "data" +ju 2 +blo "23500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 580,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8000,74500,8800" +st "data : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "data" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 50,0 +) +) +) +*81 (CptPort +uid 581,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 582,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 583,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 584,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "32300,7000,33700,11100" +st "reset" +ju 2 +blo "33500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 585,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,8800,59500,9600" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 9 +suid 51,0 +) +) +) +*82 (CptPort +uid 586,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 587,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 588,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 589,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "56300,7000,57700,11700" +st "RST_n" +ju 2 +blo "57500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 590,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4000,59500,4800" +st "RST_n : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "RST_n" +t "std_ulogic" +o 3 +suid 52,0 +) +) +) +*83 (CptPort +uid 591,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 592,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 593,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 594,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "60300,7000,61700,10200" +st "SCL" +ju 2 +blo "61500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 595,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,4800,59500,5600" +st "SCL : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "SCL" +t "std_ulogic" +o 4 +suid 53,0 +) +) +) +*84 (CptPort +uid 596,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 597,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 598,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 599,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "24300,7000,25700,10900" +st "send" +ju 2 +blo "25500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 600,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,9600,58500,10400" +st "send : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "send" +t "std_ulogic" +o 10 +suid 54,0 +) +) +) +*85 (CptPort +uid 601,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 602,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62625,5250,63375,6000" +) +tg (CPTG +uid 603,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 604,0 +ro 270 +va (VaSet +font "Verdana,12,0" +) +xt "62300,7000,63700,9100" +st "SI" +ju 2 +blo "63500,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 605,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,5600,59500,6400" +st "SI : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "SI" +t "std_ulogic" +o 5 +suid 55,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,71000,14000" +) +oxt "15000,6000,67000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,9,1" +) +xt "37250,8800,42250,10000" +st "Lcd_test" +blo "37250,9800" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,9,1" +) +xt "37250,10000,48750,11200" +st "lcdSerializer_tester" +blo "37250,11000" +) +) +gi *86 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Courier New,8,0" +) +xt "20000,6000,38000,9200" +st "Generic Declarations + +clockFrequency real 100.0E6 +dataBitNb positive 9 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "clockFrequency" +type "real" +value "100.0E6" +) +(GiElement +name "dataBitNb" +type "positive" +value "9" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *87 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*88 (Text +uid 17,0 +va (VaSet +font "Verdana,9,1" +) +xt "0,0,7600,1200" +st "Package List" +blo "0,1000" +) +*89 (MLText +uid 18,0 +va (VaSet +) +xt "0,1200,17500,4800" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "186,60,1203,750" +viewArea "-500,-500,71320,48820" +cachedDiagramExtent "0,0,74500,14000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "arial,8,0" +) +xt "500,2150,1400,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Arial,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Lcd_test" +entityName "lcdSerializer_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,31000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,9,1" +) +xt "20300,14800,25700,16000" +st "" +blo "20300,15800" +) +second (Text +va (VaSet +font "Verdana,9,1" +) +xt "20300,16000,24200,17200" +st "" +blo "20300,17000" +) +) +gi *90 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "Courier New,8,0" +) +xt "0,12000,11500,12800" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,2500,1950" +st "In0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +decl (Decl +n "In0" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,4300,1950" +st "Buffer0" +blo "0,1750" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Courier New,8,0" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Buffer0" +t "std_ulogic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *91 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,0,49400,1200" +st "Declarations" +blo "42000,1000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,1200,45700,2400" +st "Ports:" +blo "42000,2200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "Verdana,9,1" +) +xt "42000,10400,45200,11600" +st "User:" +blo "42000,11400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "Verdana,9,1" +) +xt "42000,0,50200,1200" +st "Internal User:" +blo "42000,1000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "Courier New,8,0" +) +xt "44000,11600,44000,11600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "Courier New,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 625,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Lcd_test/sim/lcdController.do b/Libs/Lcd_test/sim/lcdController.do new file mode 100644 index 0000000..3d2fcaf --- /dev/null +++ b/Libs/Lcd_test/sim/lcdController.do @@ -0,0 +1,45 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -group {Reset and clock} /lcdcontroller_tb/reset +add wave -noupdate -group {Reset and clock} /lcdcontroller_tb/clock +add wave -noupdate -expand -group {Character to send} -radix ascii -radixshowbase 0 /lcdcontroller_tb/ascii +add wave -noupdate -expand -group {Character to send} -radix hexadecimal -radixshowbase 0 /lcdcontroller_tb/ascii +add wave -noupdate -expand -group {Character to send} -radixshowbase 0 /lcdcontroller_tb/send +add wave -noupdate -expand -group {Character to send} -radixshowbase 0 /lcdcontroller_tb/busy +add wave -noupdate -group {Font encoder} -radixshowbase 0 /lcdcontroller_tb/I_dut/I_font/fontDisplayState +add wave -noupdate -group {Font encoder} -format Analog-Step -height 20 -max 6.0 -radix unsigned -radixshowbase 0 /lcdcontroller_tb/I_dut/I_font/columnCounter +add wave -noupdate -group {Font encoder} -height 20 -radix unsigned -radixshowbase 0 /lcdcontroller_tb/I_dut/I_font/columnCounter +add wave -noupdate -group {Font encoder} -radix unsigned -radixshowbase 0 /lcdcontroller_tb/I_dut/I_font/pixelOffset +add wave -noupdate -group {Font encoder} -radix hexadecimal -childformat {{/lcdcontroller_tb/I_dut/pixelData(47) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(46) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(45) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(44) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(43) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(42) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(41) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(40) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(39) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(38) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(37) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(36) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(35) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(34) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(33) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(32) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(31) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(30) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(29) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(28) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(27) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(26) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(25) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(24) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(23) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(22) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(21) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(20) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(19) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(18) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(17) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(16) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(15) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(14) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(13) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(12) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(11) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(10) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(9) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(8) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(7) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(6) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(5) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(4) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(3) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(2) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(1) -radix hexadecimal} {/lcdcontroller_tb/I_dut/pixelData(0) -radix hexadecimal}} -radixshowbase 0 -subitemconfig {/lcdcontroller_tb/I_dut/pixelData(47) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(46) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(45) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(44) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(43) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(42) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(41) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(40) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(39) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(38) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(37) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(36) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(35) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(34) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(33) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(32) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(31) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(30) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(29) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(28) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(27) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(26) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(25) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(24) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(23) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(22) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(21) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(20) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(19) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(18) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(17) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(16) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(15) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(14) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(13) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(12) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(11) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(10) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(9) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(8) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(7) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(6) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(5) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(4) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(3) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(2) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(1) {-height 16 -radix hexadecimal -radixshowbase 0} /lcdcontroller_tb/I_dut/pixelData(0) {-height 16 -radix hexadecimal -radixshowbase 0}} /lcdcontroller_tb/I_dut/pixelData +add wave -noupdate -group {Font encoder} -radix hexadecimal -radixshowbase 0 /lcdcontroller_tb/I_dut/lcdData1 +add wave -noupdate -group Initialization -radix unsigned -radixshowbase 0 /lcdcontroller_tb/I_dut/I_ser/resetCounter +add wave -noupdate -group Initialization -radix unsigned -radixshowbase 0 /lcdcontroller_tb/I_dut/I_init/initSequenceCounter +add wave -noupdate -group Initialization /lcdcontroller_tb/I_dut/I_init/initSequenceDone +add wave -noupdate -group Initialization /lcdcontroller_tb/I_dut/lcdBusy +add wave -noupdate -group Serializer -radix hexadecimal -radixshowbase 0 /lcdcontroller_tb/I_dut/lcdData +add wave -noupdate -group Serializer /lcdcontroller_tb/I_dut/lcdSend +add wave -noupdate -group Serializer /lcdcontroller_tb/I_dut/lcdBusy +add wave -noupdate -expand -group {LCD interface} /lcdcontroller_tb/RST_n +add wave -noupdate -expand -group {LCD interface} /lcdcontroller_tb/A0 +add wave -noupdate -expand -group {LCD interface} /lcdcontroller_tb/CS1_n +add wave -noupdate -expand -group {LCD interface} /lcdcontroller_tb/SCL +add wave -noupdate -expand -group {LCD interface} /lcdcontroller_tb/SI +add wave -noupdate -radix unsigned -radixshowbase 0 /lcdcontroller_tb/I_dut/I_init/clearSequenceCounter +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ps} 0} +quietly wave cursor active 1 +configure wave -namecolwidth 375 +configure wave -valuecolwidth 58 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ps} {48300 ns} diff --git a/Libs/Lcd_test/sim/lcdDemo.do b/Libs/Lcd_test/sim/lcdDemo.do new file mode 100644 index 0000000..dfdfbbb --- /dev/null +++ b/Libs/Lcd_test/sim/lcdDemo.do @@ -0,0 +1,71 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate /lcddemo_tb/reset +add wave -noupdate /lcddemo_tb/clock +add wave -noupdate -divider Controls +add wave -noupdate -radix hexadecimal /lcddemo_tb/leds +add wave -noupdate -radix hexadecimal /lcddemo_tb/buttons +add wave -noupdate -divider UART +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/dataout +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/datavalid +add wave -noupdate -divider Test +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/send_int +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/busy_int +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/ascii_int +add wave -noupdate -divider {ASCII data} +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/ascii +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/send +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/busy +add wave -noupdate -divider Encoder +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/i_font/asciisend +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/i_font/asciibusy +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/i_font/asciidata +add wave -noupdate -radix unsigned /lcddemo_tb/i_dut/i_lcd/i_font/asciibitnb +add wave -noupdate -radix unsigned /lcddemo_tb/i_dut/i_lcd/i_font/lcdcolumnnb +add wave -noupdate -radix unsigned /lcddemo_tb/i_dut/i_lcd/i_font/lcddatabitnb +add wave -noupdate -radix unsigned /lcddemo_tb/i_dut/i_lcd/i_font/lcdpagenb +add wave -noupdate -radix unsigned /lcddemo_tb/i_dut/i_lcd/i_font/fontrownb +add wave -noupdate -radix unsigned /lcddemo_tb/i_dut/i_lcd/i_font/fontcolumnnb +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/i_font/fontdisplaystate +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/i_font/asciicolumncounter +add wave -noupdate -radix unsigned /lcddemo_tb/i_dut/i_lcd/i_font/columncounter +add wave -noupdate -radix unsigned /lcddemo_tb/i_dut/i_lcd/i_font/pagecounter +add wave -noupdate -radix unsigned /lcddemo_tb/i_dut/i_lcd/i_font/pixeloffset +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/i_font/pixeldata +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/i_font/a0 +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/i_font/cleardisplay +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/i_font/lcdsend +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/i_font/lcdbusy +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/i_font/lcddata +add wave -noupdate -divider Initialization +add wave -noupdate /lcddemo_tb/i_dut/i_lcd/i_init/cleardisplay +add wave -noupdate /lcddemo_tb/i_dut/i_lcd/i_init/initsequencedone +add wave -noupdate -radix unsigned /lcddemo_tb/i_dut/i_lcd/i_init/initsequencecounter +add wave -noupdate /lcddemo_tb/i_dut/i_lcd/i_init/clearsequencedone +add wave -noupdate -radix unsigned /lcddemo_tb/i_dut/i_lcd/i_init/clearsequencecounter +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/lcdsend +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/lcdbusy +add wave -noupdate -radix hexadecimal /lcddemo_tb/i_dut/i_lcd/lcddata +add wave -noupdate -divider LCD +add wave -noupdate /lcddemo_tb/rst_n +add wave -noupdate /lcddemo_tb/a0 +add wave -noupdate /lcddemo_tb/cs1_n +add wave -noupdate /lcddemo_tb/scl +add wave -noupdate /lcddemo_tb/si +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ps} 0} +configure wave -namecolwidth 350 +configure wave -valuecolwidth 58 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ps} {31265344 ps} diff --git a/Libs/Lcd_test/sim/lcdFont.txt b/Libs/Lcd_test/sim/lcdFont.txt new file mode 100644 index 0000000..2ab4422 --- /dev/null +++ b/Libs/Lcd_test/sim/lcdFont.txt @@ -0,0 +1,128 @@ +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +000000000000000000000000000000000000000000000000 +000000000000000001001111000000000000000000000000 +000000000000011100000000000001110000000000000000 +000101000111111100010100011111110001010000000000 +001001000010101001111111001010100001001000000000 +001000110001001100001000011001000110001000000000 +001101100100100101010101001000100101000000000000 +000000000000010100000011000000000000000000000000 +000000000001110000100010010000010000000000000000 +000000000100000100100010000111000000000000000000 +000101000000100000111110000010000001010000000000 +000010000000100000111110000010000000100000000000 +000000000101000000110000000000000000000000000000 +000010000000100000001000000010000000100000000000 +000000000110000001100000000000000000000000000000 +001000000001000000001000000001000000001000000000 +001111100101000101001001010001010011111000000000 +000000000100001001111111010000000000000000000000 +010000100110000101010001010010010100011000000000 +001000010100000101000101010010110011000100000000 +000110000001010000010010011111110001000000000000 +001001110100010101000101010001010011100100000000 +001111000100101001001001010010010011000000000000 +000000010111000100001001000001010000001100000000 +001101100100100101001001010010010011011000000000 +000001100100100101001001001010010001111000000000 +000000000011011000110110000000000000000000000000 +000000000101011000110110000000000000000000000000 +000010000001010000100010010000010000000000000000 +000101000001010000010100000101000001010000000000 +000000000100000100100010000101000000100000000000 +000000100000000101010001000010010000011000000000 +001100100100100101111001010000010011111000000000 +011111100001000100010001000100010111111000000000 +011111110100100101001001010010010011011000000000 +001111100100000101000001010000010010001000000000 +011111110100000101000001001000100001110000000000 +011111110100100101001001010010010100000100000000 +011111110000100100001001000010010000000100000000 +001111100100000101001001010010010111101000000000 +011111110000100000001000000010000111111100000000 +000000000100000101111111010000010000000000000000 +001000000100000001000001001111110000000100000000 +011111110000100000010100001000100100000100000000 +011111110100000001000000010000000100000000000000 +011111110000001000001100000000100111111100000000 +011111110000010000001000000100000111111100000000 +001111100100000101000001010000010011111000000000 +011111110000100100001001000010010000011000000000 +001111100100000101010001001000010101111000000000 +011111110000100100011001001010010100011000000000 +010001100100100101001001010010010011000100000000 +000000010000000101111111000000010000000100000000 +001111110100000001000000010000000011111100000000 +000111110010000001000000001000000001111100000000 +001111110100000000111000010000000011111100000000 +011000110001010000001000000101000110001100000000 +000001110000100001110000000010000000011100000000 +011000010101000101001001010001010100001100000000 +000000000111111101000001010000010000000000000000 +000101010001011001111100000101100001010100000000 +000000000100000101000001011111110000000000000000 +000001000000001000000001000000100000010000000000 +010000000100000001000000010000000100000000000000 +000000000000000100000010000001000000000000000000 +001000000101010001010100010101000111100000000000 +011111110100100001000100010001000011100000000000 +001110000100010001000100010001000010000000000000 +001110000100010001000100010010000111111100000000 +001110000101010001010100010101000001100000000000 +000010000111111000001001000000010000001000000000 +000011000101001001010010010100100011111000000000 +011111110000100000000100000001000111100000000000 +000000000100010001111101010000000000000000000000 +001000000100000001000100001111010000000000000000 +011111110001000000101000010001000000000000000000 +000000000100000101111111010000000000000000000000 +011111000000010000011000000001000111100000000000 +011111000000100000000100000001000111100000000000 +001110000100010001000100010001000011100000000000 +011111000001010000010100000101000000100000000000 +000010000001010000010100000110000111110000000000 +011111000000100000000100000001000000100000000000 +010010000101010001010100010101000010000000000000 +000001000011111101000100010000000010000000000000 +001111000100000001000000001000000111110000000000 +000111000010000001000000001000000001110000000000 +001111000100000000110000010000000011110000000000 +010001000010100000010000001010000100010000000000 +000011000101000001010000010100000011110000000000 +010001000110010001010100010011000100010000000000 +000000000000100000110110010000010000000000000000 +000000000000000001111111000000000000000000000000 +000000000100000100110110000010000000000000000000 +000010000000100000101010000111000000100000000000 +000010000001110000101010000010000000100000000000 diff --git a/Libs/Lcd_test/sim/lcdSerializer.do b/Libs/Lcd_test/sim/lcdSerializer.do new file mode 100644 index 0000000..91a8d4f --- /dev/null +++ b/Libs/Lcd_test/sim/lcdSerializer.do @@ -0,0 +1,43 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -expand -group {Reset and clock} /lcddemo_tb/reset +add wave -noupdate -expand -group {Reset and clock} /lcddemo_tb/clock +add wave -noupdate -group {Buttons and LEDs} -radix hexadecimal -childformat {{/lcddemo_tb/buttons(1) -radix hexadecimal} {/lcddemo_tb/buttons(2) -radix hexadecimal} {/lcddemo_tb/buttons(3) -radix hexadecimal} {/lcddemo_tb/buttons(4) -radix hexadecimal}} -expand -subitemconfig {/lcddemo_tb/buttons(1) {-height 16 -radix hexadecimal} /lcddemo_tb/buttons(2) {-height 16 -radix hexadecimal} /lcddemo_tb/buttons(3) {-height 16 -radix hexadecimal} /lcddemo_tb/buttons(4) {-height 16 -radix hexadecimal}} /lcddemo_tb/buttons +add wave -noupdate -group {Buttons and LEDs} -radix hexadecimal -childformat {{/lcddemo_tb/leds(1) -radix hexadecimal} {/lcddemo_tb/leds(2) -radix hexadecimal} {/lcddemo_tb/leds(3) -radix hexadecimal} {/lcddemo_tb/leds(4) -radix hexadecimal} {/lcddemo_tb/leds(5) -radix hexadecimal} {/lcddemo_tb/leds(6) -radix hexadecimal} {/lcddemo_tb/leds(7) -radix hexadecimal} {/lcddemo_tb/leds(8) -radix hexadecimal}} -expand -subitemconfig {/lcddemo_tb/leds(1) {-height 16 -radix hexadecimal} /lcddemo_tb/leds(2) {-height 16 -radix hexadecimal} /lcddemo_tb/leds(3) {-height 16 -radix hexadecimal} /lcddemo_tb/leds(4) {-height 16 -radix hexadecimal} /lcddemo_tb/leds(5) {-height 16 -radix hexadecimal} /lcddemo_tb/leds(6) {-height 16 -radix hexadecimal} /lcddemo_tb/leds(7) {-height 16 -radix hexadecimal} /lcddemo_tb/leds(8) {-height 16 -radix hexadecimal}} /lcddemo_tb/leds +add wave -noupdate -expand -group {Hello message} /lcddemo_tb/I_dut/I_hello/buttonRising +add wave -noupdate -expand -group {Hello message} -radix unsigned -radixshowbase 0 /lcddemo_tb/I_dut/I_hello/sequenceCounter +add wave -noupdate -expand -group {Hello message} /lcddemo_tb/I_dut/I_hello/sequenceDone +add wave -noupdate -expand -group {Hello message} /lcddemo_tb/I_dut/helloSend +add wave -noupdate -expand -group {Hello message} -radix hexadecimal -radixshowbase 0 /lcddemo_tb/I_dut/helloData +add wave -noupdate -expand -group {Hello message} -radix ascii -radixshowbase 0 /lcddemo_tb/I_dut/helloData +add wave -noupdate -expand -group {Hello message} /lcddemo_tb/I_dut/helloBusy +add wave -noupdate -expand -group UART /lcddemo_tb/I_tester/rs232OutByte +add wave -noupdate -expand -group UART /lcddemo_tb/I_tester/rs232SendOutByte +add wave -noupdate -expand -group UART /lcddemo_tb/I_dut/RxD +add wave -noupdate -group {LCD controller interface} -radix hexadecimal -radixshowbase 0 /lcddemo_tb/I_dut/ascii +add wave -noupdate -group {LCD controller interface} -radix ascii -radixshowbase 0 /lcddemo_tb/I_dut/ascii +add wave -noupdate -group {LCD controller interface} -radix hexadecimal /lcddemo_tb/I_dut/send +add wave -noupdate -group {LCD controller interface} -radix hexadecimal /lcddemo_tb/I_dut/busy +add wave -noupdate -expand -group {LCD interface} /lcddemo_tb/RST_n +add wave -noupdate -expand -group {LCD interface} /lcddemo_tb/A0 +add wave -noupdate -expand -group {LCD interface} /lcddemo_tb/CS1_n +add wave -noupdate -expand -group {LCD interface} /lcddemo_tb/SCL +add wave -noupdate -expand -group {LCD interface} /lcddemo_tb/SI +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {0 ps} 0} +quietly wave cursor active 1 +configure wave -namecolwidth 350 +configure wave -valuecolwidth 58 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits us +update +WaveRestoreZoom {0 ps} {2527664378 ps} diff --git a/Libs/Lcd_test/st7565r.pdf b/Libs/Lcd_test/st7565r.pdf new file mode 100644 index 0000000000000000000000000000000000000000..de467bb2506e376b1894b41a7a477597319a78df GIT binary patch literal 1620419 zcma&NWl$x}5;lmt!(fBEySp>EySuyl;O_1YgA6dZySuv#dT=|qF7JEq-S{?ke{970 zQIVaM`D9gQR(C&r$Q8vT=$RQ<;K+MNC+892n2DH(9E`2u`1s%$RjpiY&4{Q}R5{r> z*p&^`nb{dk9Zaa<7=_(jEghVRsBFyKt?UhK-M&Pk&Splg|HvFo%_WRXzJ^{zip~y1 z9v&VHUn2AWh!{*9?7o!0E||KRnEk)CSs0i(h;*qmtn5u4JY0x06^TSGt?bQQ%yg;$ z=flduUd+h#3zirU3lkFu6DuA?*TgUMZ2w0T5>~dZU#=J>Y`><7 znVC44n!z#3n%P^pS`x8;=_&s+&&Bl%za1jnkH`xR9hVJ>H2=q1A%ZgL?L;EieQAxu zzOyqlyGAaMf>Nq2D%;Jxv=mQQ*tZiN+nFdwx9toemh<+?|XM5 zUP^lw+xHjnhgEcHQhShx0f+lkz~D(4w*$#UkN0e>C+Vp@riU89_T19F%+*Ue){b6} z;&woKc>wjN#=FOJ?E@k5#A(pO$2G~f7puKer@iv^*9&nx@k8j1{Kq3eHILtCe-6Qi zef-W_x*x`q)&X{PfOc?UE$K?{wTI6pbH;IA-%&YpMoIwd2*}g#z&=Oy!)a_=f85~2 z+kbUDHrcUXoaS+3rmlQmdF|^>r;!6%0Qku~7tgD2YyziR=qcR%Z@FNE#jD7or^crBpM4YA73Ms7xq*Uw!ua z+&c~BR&0smpLI{-M@fas1)oxQ6v9^P&iHqr+h)4G(&J4Su|6G>n|~Qt|D4hcot58( zOxA^+mA@3o`3>$E4l)S}YW=zPLtVw-ld7D1S&blh-Wg}(zRg2)Ww`Y2-?qjXaPj7H0q&5b-P8CdNmD|-Wf3|SgKqZYUxHsKY zAeKRa1Iy=X)syt%bRkw&HHOok!J+AkHf2qy;F^1X8-p~Sr&ReI1n|Uf?mN5vb>@}= zp|z8Xrr5ae@=PXc{#zJ5wCzF5`3L9*F<%Le%+Xxil*zJ9NUs(CVwkeihQZZOPHrY8 zpEB<)fc86#tfLoGO?N10F3#tkf6OI1G64@M`Yu;@P+vAerVVlo`Ti0A^$CG`9g%HE zn0#WE^zAF`^Cmd9R<7wlBtw&=E~|ObzO{OhmL|T^k|saKwq2+#qGc&N1cytZ>#yvP zE)VZwro3UZh~W8Ss>OGE&T!um305_pS^t6;2{3^BH_M{b?1m$^^_a-rgcy?Cs81W6qCbEJsGcZ4LsNl2ny{PE^{2 z$lDx;HJHWPno002|5))zOjoO@=BmY5Bu~vvLC}Ja!Hl56IF2Jz3tz@AD7rOKs@$Tc zHKJHv`zhz@F-oLRW&wT|Z%!YE=>l(@OC4O*a2W|XYF_XWlFn!74LXS(j!UZ(y)0Z+ z$uU^2*YONtF_|pmGD^08&#Q#dHfEf@B6-Zocfjn$K74gv$Tk{*_S<8ES1JM!Q0kix zNP22(%#=(Y_DnBc*&_bkE_wBnoG`n^I!oSssr_!yse{a~7k#0`KF{HnTZw{9tv)9^ z!a(YUJ2Eo|`BIJUTzVgMfo3u0g3TW1LuW6+hQ=i=rbdI+kp@Mxeb@1i;GDZ+UXvSF ziG5GN@t;P^=Hv(5tfl2HFbR-%+9RKoztfC8{<87oQG5M?m0ZAP7tslz3Dv+ zq~Iq?8GJw3?-BMFS^F*`D74n|&^QvH&{2Kf^;;yoTVPiE#sMfUAzfOt41O|{ z=V0nI?rVhIT>U%pur_lYWRnUtRqR1Qxs{ynU&a6xSMmwNZ-B~hmt<(?vEu`>VqbXC znSbpC%Frd!d3gmIMn73zdmiq(s@V<2P!8ir=9t2mS=}TLU=sZSzKJ5WuV_4_I#45i z;2xpL~X+Zh|X`|9@>OQIb!#0|Uwv{rb*LzXq7CbO2giF2j? zCz!Jj#EDUi5^pDkG-puCP+C-nHydjP5FKPMpIRvNnblxI1FwK3w1<}LD47l>!=+1h z_a_I)1Y;^Sp~@&G@ZpZD{0K!saDf~{0mToQcUH_BzAMM2iM?3>FH_}c?VG8H<*5%S zuOw3+p}sN#&!5A<)YrgNc9Syx`VHIVAILBeKv$NJQ4K5kltQFcPPzDGXy6(?zYGBdeGV`-!`%GOXSJ=U{w?vX{&7 zT37bBr9Yq#qal+iCFg7-Ys9JI5?f&62p7V{Uq@I$3CA|@j+w2GP#5gL4Ms|qjw@Tm ztv^P|u<0^n!LJ~;U?abuajjCplLTwROGEPhN{6}FtOw$e>DkU`7`4)Oas_5+|qy9pnubUQt9!bnL~xCFL3ywm5P43$s(p zRTkVvEGh^HQwA~hufC(duh<*dVU2-o1Ombw1_Ll*gPeBvPeC*xB)%n^1Pk1CtJhM0Jo}274sLtzi6#ujc}zylA3O?NEZ-Rxg1rm zz2~c7)F2p09o2<+{+D?-k)-+b`)zp8;wU7}$75>V>&~Y>7eB?!h2)cZ<5?^suKEzj zmjVmCD@X)oL1r^NYxAPmRUm;G*v1j%kRVj2VXZS<(17-?hJ6O&4Ty%;x9PR|#X4%t zm^S|OwXP$~k?B4%WHmnKAGx_3<#A-;I-9q1=(w-J5K==ILY1w8!Gv)?z1WdJRm~5D zEXsrj-shx^cxHG&PCeG++(s4?iq7$*_~=~xN#`i=A_w?Wv{-I0a(_LiiS_ z*ck#_y)n`j=oGs)sL~d7vzb!4{_NPXj~WURC&?yCJGxM%Cm%*?3Ao_+UZfBfJj4L6 z`-pzb6w1c+qY&2}p~_Hw2kux@LLnOS2yI8ajg^K{p}#KjbWS!kw?LqZw2;_xkeP12 zzZN@w@^PoP{kQm;ZeNJ8(dM+n<8i$v$zh2*qV7b7Zw9;z06NdnA~Bjm~K0dF55iW`j~_^)D-NIsD|66EYb+hH)1_+sur zkJDckvkg%$hfwiQQWDlbHZ>u`;yB7ObbX2K`^xjfVV=*UT!xakDiS|C`aJSx!-5~M z7f_dz?Az{eDI$VDx)pq{4(o`C9);TVqFB0q4jZ+6TUeCGsG!((;5CN-tf=mXS!X{V z?PR|q>6sJ(vixL&MaKov*l(7p4EWr~c@V5-s5rLl)m~5I1vvYqSmy;WzW3#8Qo(K6CW6l7z)-0xa+#tc7;B3 zv34!`UF3XMli=cGx^XFcD*xv<*S0Xw$u~ame8CMb>Ss>P?r!eGB@sS_K%e;zhrlFZD2N|=*}Wj(G&l~;im z)9Tks77%K6$w0~@TUak++?~Q@$i0)X%I}g|H!GK5TmxxpV%ofB{}xL>k6F?fPaTk; zmyxWqqKidmtA@m&uy$cEk=jw*@N>D+Sv_-}6(Xd82U_a&5AiPYEDYPc+mY>sFNf0s zO+2!3a@#>t*zfLtR8g~Pov)@6!dl4gmoJTVb5D|9WyYHWsYmWPYbXLxXbLF*V26kQtuyF zBQ1C+aF0iK-Uqp)Y&xyug;WZ<>L^P-77WoDfQvoho`pUC-MB~N5yS`p^NKn*w8<$LJsIKex${!us<^Tav$2AX8JPFFng=fMnjEPNZPEd{sqr#= zA<>*q(?1SAoVLN{KnePjzcI^YLVy3MoIMek$S|HBfjp`oN*vg5_~U+g`}E^)wEQ77>i);M+XaW-$Vjd3u5a&$-GVPVwI#T|J-IC zdCEIpL?{q@xkDvWO)pTSVp=`kt~w@?(nL_71%U@zNAMt64!11*yu|6;4%VuLZ5a^Xzg4~-NnWHGf zuAmg5On6j9je$AuV!NV!s^GVz2g${Ic_GT-kT+#X_2HsOo|U*Z%am0*Uq6fgd082V zIbtgBuoJUS@F=IxRjdVA41f_j39j~hlPEFmHkJyRc%jeb_d(2*md@TEh2#wc)iwoc zUapwsCS!|=_@Z9mJK`7@_)t>o2hvg2GMOq_DZa~)Wn5@N|1ePmjN=C!8HB#bT4+$W#I76Z=H%2?=WKgTgax+eXnp^{YHp2b;fujGd7sk!d9s8p@31n zXgOJu6fm??QS?+WF(zY+b3r3Pk?sl~W++>IG+s1+a)jb9TR}s{(`7dClR&NI9aDCo zY0Q}7+b_+LTsk)Mb!~Gp_~g0hGQ5#I@ffLH?7D(LaTJyEqTYWkcac{O<-G&z?&Mvw zo=ZLXVJ+Nx>Ce5E0+!Bha~@6wr*#a)PfiCTxi#w4;rhp`Ay`I6quA??)`*_W>2A27)Gx}SSNvg_eeGOMKo4Q9=;4lKEo5yvAFwZ?BzFTH)xCDu9280yWC|6!_x@LnvtO}-G6uSBIO zEl(~eI~qi6i#VvVKdPqb2yCus`xCZIYx>wD6CRx(=$Nv$qV{H?`K zPgb>oi6ofn`v`a3BrI%R;Rj`C>hrCxkXAR8ju$@9zoMGO_je@{JauYssGFt@WkoW~ zXYF-}zVDJkgzC)ox+$;`35jVi+3$RFg)0k&jW4k&v)pXr?&KtjMDi^mlWI<74b?iJ zqb1ckC~z26_Kf6`YNp&^O&wYc8@rG;#8djo^!FQdJV~Y)++NRd-M;ir$+4TqkE+YL z4k=$`8wg^cBXDQsme0(#fJ~Rg#KtE?rKOmqX(eg2M7eEn!BpUJS+$1M)t#aIcg0KI zcLw*=@0t7LvhGNiTdX@-Whj_TadLmO3#uup!BqQOVrmzb^T1Se5~QiKp3uhE07PZL zJH*Lnz8$+ZsP%MaZ%w9t&20MpH>w4E%mEa)tkb&4BisyWlT|ucu5Si$l-5)C{2`?F z%S4S7vlCAZV4R}#IE~+-WDCx~L5wYJ5PxqHB)vQ7F^hbX?&S4;h;_IrFi6!Cn*3S{ z;-(?JJ!#g2gHuj8BQWj&Ea0vd zod#maeRNT#Ul=0Ps?aRhlwsoy8R~pbvWhbcn;MR6As>Y<*$jd$g?91Oj-AO?$_G40 zJ!6Wv)=fu%$6hET@73?G^36M)OJ089X%pYxrjimyAj0ELiA*NIClt zYZN9w5$+2UgQ*Et*)~%h+Ier0_i?%+Vqj3J8LzG;}g` zwu3pP=Q8(D-3;KmdjBC>$~ruGRM!(>)dhTzj(-HbPT#q46p-P$Be`JM0m-LOsXExQ z<1y&rBegE15d~>t0P$LU8c^a)TQuOrg^Mzb5;LbS0fjq;hCYIC^?-iHc7S6PwIr!Ej3pC%_fXg-uam zl)4j=VnP;A0vd=tO*NicVA~<{*Il+f;j$-XuzQ>2`;=r^YDNS1(Uv}-!Inpjf;MUIfTl$u)4$hxs=i~()OZp1JfvIqpGiKhM z6^$_?k%A+pxb>q<<)FVm5BHY<9PZK3a&3N&{-FL*`QgF_mKn?2ys;u_fQ~f&^c&f& zyy~|Y;NBex+oQbdFF!qe<@;oBSy=}EAlpaW(IlA-E*@LmPID4Y1%IY+*%HBiAGu$p z$wtOJ_g%20_YE{r)vo%SebBKA8btFf@C^?!5hy;%vqpJQ8K_6zc!9Q1UG5~_rG4A- z<)h^CctEgjYWX8*P(8eFQ2v<`Ej+`@CE0KKebcH&OHFd3qyn{R^!))I?;=CvD|ym; z^-|*>vEw#NJp^!9&Hm}8TN5QYFo4qK!=ac~tu8t}D}%}(YA(hG;Zi?z>2_3+*VEVj z>SKtjHOz0PT^V0kXaVIC zNJFE2z0Dtnp`tp*x9-vSd>XM@5&cw^#}fYr#bI}cXj$K`2!%dLl*`UgW`u~N<_6VK zk>**x?V*>;MR4Mb>x%qKFPtQL4>ZGpkb)1y0C5KyP(JmddN|!l!ybiQ^P&& zqIr7$kr@Zm+97nyde;!bCm~_D1n`SE`ws{9KML(1YV02>jf0zs{eLo!|ASUj^>Q>L zVpKG;_+rx(jhxNwU5Qx#Wz!ht%uKC}L>xScbeO&b?A+``Tx`sGaE!`kE)H(aCT1@G zl8av)poxl^E0GT47X!(tYUb(sr6S>A@A~gm31%YB|5%e?A>#V)h?SO^i2HwIBIbXr zD}T*lBVzuCwftwuPQ=Xe-yz4BGV^~Beeru=KSt3n<6kgci2h**|7HFD%N8>K|D_9= z|3CP`rF6Yo+cu}Xt5=Qc18HX_{^%Xu?gqBzV30`uykOnu;nlpv!|F7adPKesay=(Ad0lCF*qowZsQbULOQMn~;qsu{rvr9V0hNC1b(XpR!!~)Y5&zK~?y=&UHtRv{_lV!ii@7#J_~%0Za1Ph-V-e>d26ymI zW&*Bgsh|_t18QFQ71Lzq5dZe$>_K*cmoLXBZrJzu@VqPfE}I@fK;3}n(v`vD%UL|) zJOCSlX;o`FKcGGIq9-=!X~Zr+|MO8D(`#>E-5J}4$aA~9El+zj|R*iV10Uxttf<5Puf_|dU8~J|6;^8|^ z3)fX|x5e~N6Eo)t0r!O|J>G}pHLn0h!Moe^{F6h6fR7uG=Vyf$383~00Dy-TT5DXE zZxCB$S+=q1r{LZ7b}0BX#LU@U^QL{p_B`ra{=CC6hR}rhu=wz?cllz|^BeVZhjL^` zkoQBS8!XPoMNN1kS&#cc5B;67Px)fxt?zN;^X-oK*U;4)q(~ezZ$Rg`o&IjdXZ-M0 z?YHNl=8MujepHGsTAn4Z34ijehqQ?8;z-O$;%__*aj(Mhk@@d?)JHwX276gIShZIM z0gBWQMvXJ0Q9bVi6Od)_w-Ym&x4Z_G_{~B2pQXA#DM%14KQ3l_-XCYypnANdA3x$A z^C#A0BuAM&wgj&3>n~jA89xqhAbn2#v|7v4+Fw7U%6xAqYUs*A*Httel9GGdvJ4^_UmUqBBNT;epNe+!)@l*@Y`TNzMdqns^cNQKq3vB{yp$e z`SkP3IZ^H-K;UWkli@z;b0AG-LeQ=4?oDz-??ZF^P|(rmjwMqZ9Zpu~obYj4K)7e`n`m(S=vCm0su`d>68wBFM|HUIm_TLoAwVLkc(mBTjDBE*CWbSO3yuPf&*ZBcAE;g9&M=myQ zu10>xq@!ppR`3y+osQFwzCGQ%sm4>YmvgWuiMMMnw&g4s-$g05Fdbd8#tUGj*xfEs zoCDEoB}nb#8sltL)r*Bb{U580m`{CFC%4P`1%l@S{2$*Rf|sv(0L*bsYymL&!zS7p zYURM%YT)MyrbO-$mT>wX)bmwyqOI5fb01WD9K7B6ZgWc8OS6wuV%d&^w#jZ5 z2%CF-1A4r;SjGLH+AQcC0KWGil+z50zg3zO1$l@B(R02N=d?a`jpeUZ4y`e<4+86I zkS1ns4F5nE(Ye(&p${h2)Xh$)_ey`(2y-;)IM5jCL5g$SkD!qGV%Sw6>6YA$5lZCcG7Lu~xEYx#2O+Wup3q9om{?JJTI z=P;NomrOr182854DpErnpUqqSw8@kyZiSwL@bN%a?Fz-=MqxETowf6GJZHh6i5Jw?e7mkzb-|D-&my`nbD1)DHNY%>+s+Va80du z%joSx=)#`NIYL<#L@-CV zfXBP!#s2h!2g$8;4d3Zv=W3b}F)6KcO(Tk*W71(S(>I@?CX!hV2v*~NLNQPBrCpp= zDab)OnX+8k@lGC6P}pOTK@^7wHmcT#*U`Zl$kE3Z>BLZ2Y#AR=ovEi%4U-ifo0Gfk zttbl%M4qv{YVzUB1$ED8dk1SIJ49UtG>iJot1w6OOli@Woes?37<8X`Ry z|A6AC5C13<`<*;pjCzQ#X|#XT*m%%4hvnSqR!B~3g$G&S1hu9I0#i|5V5153Jc_4Za z&ptrh9xW2%!s~ag&o+>O^g2o^(36#(=or(U4mEs>cDFd5ly5=#Wc1w zJQ}tLsWq7iDKORF317<)LJ#}*`I+beo$cva!M=YU7$^mncVfgnM;u3vz6*seJ#R0R z7egjLZ@0xAw_~XOZgR=>;|2=-nkrY(*DRGS#^p$9rCgsqJ5*sWCb_D%W}&8R>8zgt z6sJg&!81xA;vEmsAD&3k?BuyOQ}W#ud7=%;i7p$?BSQ-HD@SuGIk%^aeI!DgOaz?T zMnVqhat|J{Nu$yK5}>CwY`tm!Bb8;Cu>C>FK(D1pCT2Sp{lx6XEkQUqoBWQ;#Q!|k zT}6L)aA=7<(TC*3mg$u7DvdPy_gJ%rd6;DHn$=8Af%1^!Ggi|4S8n%j0s9f}mwk79 zJgt@^Ml~?M;nPXl*9HzT|G_RoOPrki^cl%$@_*YFziy2$_pGByR=5uINK-jEjSaho z`XkThc!C}_zNbu+y;qII4;N}O_LpOqW20Hi3VHSp!^4!xI6;Fvm+g3=F&hXd7ixEn zn(H+>iKu-{HtMON0hG6Q@{pfxrVa4UAT8OT4|X5fYMhmqmzY$%9u&dL_{We%-SidXAGWy*1i)ji|GjLO5Gbx7r9|47B^gwPh%%Uf%B+QP z%A`M|$qUI^ha=>}B_>={NGJVazL`=~J4y6O1(g(Ky!Yq$OoV_P5xTokEd%Q+FDS58 zgU_?Nh(^_*oSjV3D}8LS@-=xAo4tvjD7^BOwa%=6T|47y9@HF5PwMuov&4vtYhqAT z*W3skca0+u`!Qx7yP`+qn2Dg8pf~q;TQ{>fL-)pM`q9*VtIY5G(x#b^ zog4$sPRxvy>qc2LORk89};kpA+GCD^~aHLq7a3>+a{ z#>}w}Ks3?1!IXjj)vYGvRM&{Xo2C*GEs?1VVy^b$_*4$JyXS+dYPnOvqy!|eWi_c z6O+lmHsK2nUOzqe@QroTLaW-T_Nc?7CtVeyzI5o=fWLMrA|Ha0L%stfA$ltcAWW98 z>S;-HJfZTwj@*1mha5lRLONiMK(01z$gZ@lx4EX~Z(KrG2J!qiW^DSM9f#evwYl5> zEDbD(#v3QYftN1+`M99(a>-11U-EhBsSq;A zNbpGg*3(kC?k85xj(UwR3+Lc3jQ+DxQJwEIL+%_+{myz-5^<6 zD%bi|lh>Z}5&;Z2&F?(~W<(g%g5Dm#z$ysovpFZ3Loa|pGzpKERRfij5p! zZ4s}xK(VOu2hpAj0YOaeLx-7VS{wDp`h_!$eqEP^W1;2v2~i^`9FIkdVf!-T1{PP< zHKCs=0F~kMVt48lLLM2}>l9ubbquk`PKpf8CIhu#Z&JhvKpbknWBGgpnN;Tk@6=*x zeF2+Nu13H;rM!bUwOu+b>DQY63#)2*Z5*1!58m$X1EtQhPqOy50c}INH6oTwiB@Vw zl=rjQV8p>84S|7Qq`{OlrE+{>uDlA`aH&1B;PSaeIvrKHgaT?SUaN26I-`4WWEar- zv+|L7eo+0_%3*q27vaystT(!jP%zUDUpGQ@E%6$CFme&75Xyr430KuxInbE`ZyycX zl+MmUo-Pld(t)-Nr*8Yk%jiC)yZ;L!7yirJjukqi=CM8PV%1JgzQ}cfq*0g?=3yEi zZ+saj`n2nl&Dm%4GMQ?p9nWV(vwxKouZ#JOT73szDzzq2KfS*-t5!mD6i^<#H#z`! zEPcK19#|%5u9LxA=MBquOf=GR2|7d^TEo{$cxby=wN zSMNhRub4{b_{at`d9eumgT^F~Ie#>7{5ZD0sE|gBCWeT?zRGzt#GWAm*3S5zm7$NI zcr#thwK_93Q8!LqATe5Fwcy~}@Ij_2eX?;_mFthv8Ne#Imb(_^ard2gjbGWnFvRJY zkI=Q$Q~22F%qV8UQ0^|gbe4QL*ssk7R^2DKwu>3zlgsytV}GBQ^I?5i<&OpJn}{Bp zH-sqk(oqw|yeso2ve=+*IzB>BgGKu@XC5X5N*jaYJO&Inq6Y3#I-0b5_O8oY+`Yma)ymqfC*R@gDq@YWWNfXKGBGN9w#5q{%M zvc;s^ujkXger`Nk^MS`~V`5Y@Pok;?Xe?NJ_>yjtuXm@`NxDNCLV9Ou>!a_RTXl~X z6E}CpD=Gmbcs3%s3?bz$)2>e(I`-1($;dV^{3`*1RN#=RnAS~xo}c)8Ci^tWaEgj$R9-HN&bx31 zwt9nWf9EcTCqj*+TI(yi=G2NaF+iPulm?$cd@3ujG95JZ=Em*TG#5Z0@GBJb@U2~a z-6rv3wbfJA-ZGS>NC>$Ii#w_1l->I;U$aX*{5B2lu~4l^q#jacu33KIdjnW zxadX*B}V-&xr99&@hyBL5PC7MxO*e6xFUS=bXqP0?b*U!H_?-au`<~zY2qjZDpij! zIJ|sGu<7UML&3JjvG`C&_A>IBqWg+ay0&D4W3qJXA#NOKx??uT0_YC~JaV|Q7TMu~ zOZQC3+cRhTR#sCL4puo`*bKNZLkt8devZh}W)?b+mKfo>Qe>p_fNMpJE1*X^6qC1? zDh{yW9?hB)0q4wtbuh6zotOp^YE(K|wxh&AE4a;u^293?6EL#Ee=N@_F~?3q*WP$) zc#?=u!~~6!E@NO&k+X}PBuM9cyAcY5jBL=+!XOzPl8|8&4JI{a7)+4K%3i}G|IG>~ z3Ncnvnlp&DB+9{?FVy?no98aAflDkQE;j8rO`^+0fS1sI*a(VALD(j455hksE2?fW zRkO%{#zL2f$Nsf%s^z`ld?$7ccr%B#HUDdW+Ty`?SgGfAn(!b$wznaXIg!$wiXaI` z2h*iOS75JPq#Pqi*S1ltg{{AoI7D{cR`96YYD?)0U8#{>1$jic?lF}g5te2K!tW#y z<+(p-Ta&+xpbf)xqbQXd|58Dqk}22y8bvS@#dT620_T2xAcWXT`lki{<9+wTLLia1 zT6*5P_$vfi?I;@SD!2E9ndudszvr=DC~R^RqazYBt}?~#7;Dp5HioMV!ZLCF5Q3jS z#5BjPdmio7uGV6(^oOVLa|D`rCh_r=pJ*^(7h5Uu!xglN~6lE~con zukxNT@6<%EnKoo>0G*}Jhfg@yTPjbS#({zOKtysN}SC@dk~1% z3AtFLfEK0lw)cYuPC#>Up2}Fo{Oerf3>03<20=ei`P06Lb}uS$*8}bR^HA!AsgP@o zF|MRvLds}(+@vP~`6e=_3su$EY|(clm(+xU@zL%Z@>tA8bekBz?U+LE@EAlJgm3q+ z(m7>obcMlly#j{X7~C$E(BtAVMt~hEa@%GV>LMvRc%%}b}$ho)2kUZIq&=DSp>(T-`5=|72uak~AQqi}G*UqFW(V~mWT zH20_OU&L-1l1lm=|iN!SR?$kYee|}rWTSeruht|$A!;9#Xf$*7;sKW zY4t{~*vY>&G+1A$bmq5Up?lD?%SX}jfso#$lO;d z0L}yA-L%$SiQ3KG{DIUR1iKCCjh$@bP>gt_=n}FgS{a;*s2HONrl=-Hg3*r9ZBFX5 z-S`V@j~t+65?SRB2indshSsEJ3YY5pPfDY(%)%mcVt8UYuzFbZJ{RTO)auhSJ=*f= zJr*6(8(UeYvn?CR%?kJ4WG0J@EKJH1G!l9+XAUzG&*@Wb2IQccG5ptf303JvD53%lS^o09N5oi`MMzH!8MJ9SC8HLriSW&~aQlLkX($`9#a$xS#W04T zbz7_cWi5a9)8su9Acfc01JbFQs%2sT(u|SVv1T2>+GlYTC+|E0GwcknrdH-IU#U%@ z;=Qk&k&KHEZ*5Cf6HD#50GE|jiG}i{aerqDtbpteOdMpQfHYp^OyIL{qff2){Og>N z9QXXe`ky66{#`^}>4O>=u(Wf9Z;nYNKniOl3-+SNSqw{%++WkgvKa1|9xv$c)d6Na zGtF9~nMmSBxsIwJLR$h`vn2#ZrF^GX1-UpaHRejm5XMa^oDYW91M8iW`6maW9^Eo- zHV#U)mWT4jUiMFMlb{k$d5YJe(pspE;WX830m7hN;BXlSon&W)ZEppiC@b#YLvtd~ zdV{LTN(Ul$d25fV+Z*1KoUpAiDL&3~TkGMVb^ItiOPbo*7%10krF0*3rlH#9XW6S9 zS4%%Wn51d$IzjHpGjN~mtUqM~w9s!=Zv#het=~YUKunMvii-U1u^Yt*Ae0Z84NJNI z7yxk8s(-|{qi;n}?p~^Rw4#GbMc`kmn6Gl8@L7=mPKFj?{JRG?1Ik+!-o44QGj*E11ABP; zr%ES0fE4!@ZNi@W$tby6dD=(=6S%d%F&F_f>nCKlE;hY+b0c{xFh0Xi-tt-7+$Y!J zJ0X=-&O+fVC?n9W98E^T&;oB?uj#wKBd%gGzLF1i53XDSyho}r-gY^KYleW7jZ~Bm z7ks2uIFZWXMT9qGcVQ`Mw65*Cbqmh#>I2<@2i&yJ0}dh!Qm`8-$xrgDdy6sRuvd{PI(pssrIWL6k=Sk6L-#O-J&4LY&Q3*#2{yv)aNc zF7UUk^xw5SM(zQ{$))R%NyH$0xOVAMk@$-H>Gw)L-pww6Y@Jl#Z7~KSQZNz873~W< zh;DoinMiL{D(=J7{j?M;fj{`&OEN3p8^WMdSa|+=T|pz?8;XKR4s1FpWn{v&F}b@R zc#}g5p}nBJ*HL49fXgu7@bMAr*Y^|uQkn@Z4*nf}yyhRRe!L&=Utb+ZWr(l0Vae7S zE7f4S9_C{zWyAm8V8)WQJzYBT({q)1A~AAWe#CvmV%O&A&rD#r(+rT7j#V~X_v_z(j`L5p%pA6`yEUPK>S%Z(vrqM*Pm&G~fq+wjo+uY9W1j8zA^ zZ_34?NL@v5PpU0DBY{l@Qi5*=PV{cVWco5yE`e7%jR+cHw@KvE{fx$vW`Jg+|gw*u?1 z7i;v;3}BID$LRn;>0#l~D(vYeRYUx1-*sFx`FRHejo&^Gypg=(CSY6vcgJ}CNi2ET z0>itw?a%&k1YDZf0=)wJ*F8KVlw9q7ovbXoeQ7*?8FHM~7Sid`~LVXrKJ7PG9UJDu3|L(ITtYIIZzd zgf|gp#z2$EG@O$3Z$5_!()g6y+6(0I5j3og zQ&so)8?mC{{vnZ-)v_upIh)<2)_!tA%1p?959UcFWNrJooH?O6;u~1>dVMsaf6c`t z40WEh)Je1Qz=ffK|2#^GLc>hW<4olW+~iIMx>*;+MbBcrJ=R()b6% z06@dwQ#;3kOe8z-t7S|@%g21WBZZP+aXW{Y@9+(yM8ktZB5SKr_ z@>0^(Gy+x5BQ{(SS|vNm?1)0toI>4RgZUg|vF|6%b736hPl zjV^?I6g@98nqPQHPsM$f=8N0Qi{_$iks%k`_LVl8WKO_O@RdNTBL0=cKZAn?R)U{q zhk<3scM@N2-zn1sEF>fe$R1OC&)>L1bhT65;Lv5nyk)P zsG#ZN&W@v2vV+!-7gl+B{v{t)F9tep8Cg2cQ#nrVq)Y zR;{EKtzIYWh%oM6WHzz1nx#0wt!%Y1Le!8TW$I*PR%_*zYIOQd9(So-<2r3Qg6kf+ zxRg7T+ulAbo#b}!L59X9PQ>GB-G;sVoTHG=>DH&H#|rxb<^4hma1M!kX&I=+mq_?k zpX(yM^z6tK-KPTz07OF8f;HX%R7lTuIFw#!d=~D?~=f4M%bBFj36z>os(c3e5{^D7Mt4H`7YWxHzS^gFj0FSo_@u%d5#O0md_{$KqI-C0 z%_{i~AUVv~9wYp(9&1p$hCCq7L=Sws;5*;OR>p(#%w>QzlmuHkbAMpl>j$5ouIJYR+4hsw zZ2YIl)PuigtJB69s9&K?GhW};zu=*lT&|_F8~em*SJ1!Sgv4VPr9~+3NF?C zr08-k+~-2;#AFO0R!JW@#(Y6ZXt01aN>pEvBZ)QQeLMCnvcPt*b;0%(L=9npJuK(g#Zv9a%2 zCkEubhhJGQ6mCH=huy0k1LDxFq(06KkPIlnsJj+T$>lJb%7`z0)e4efKpl%!z*`cE z*V0!_Y8COXhUk3RtKququCVZ=Ch_PV)JiZ}d;9V71Jel=RA{K0%iN-vGAs$eIeE8<{2t)l< zQmaF|LMkxq%4@HH^w{kbrgP&tp?N@r0_s zau+A$?gwj}>l7kHu2sm>S^&=a=;GMK*JPb#QkV1k+AC;sV?REby1bLh+N<_^%3g1U z)F^(#i!G$D^&Zo#n?RdNXN;KM^Hy1FPxLc<0+wVtPNxJZ-t!;88Vd%5RE$3$&}O>T|;u*yCjvV@Bi65(Ks6iDTp{-*NcGaU_10~KYaOifiC zXQw521Qri{Tl?M{t84q4YpbARXosNwZMF$ns_rpQ^S%*6>N%gQ;>pp1zU!I|=(`6N z-wtH)ar<#KS2rmmnf+V+&g)=r{R$?_*J*WNXQ1g=ZOyMBkMc+! z6=C!dLf~vySXZrjoYTI(I(E9=dx^w0*XZ($L6po1HA6yk4bo)_d!|T^!r_a{nv;~O zetck~v%mNkn*(uIeaHCI86zYJ*}G7HO)Slk)1eFScqS4Y4MqawTuL_N^}gOn^@$Ae zP+`?f_j=nlV(w5u<1uyl7_G&9^-lSi5=x3g2Dl%TjP?}A1cSm1-1AN8JfSqPjRd%q z)t5~%ikJhZH*=`2GSx~AUz}O9Yh*x5Y|m_1+i$iIy zO>@IuHKmjn*nykNMDe60Ar+c~%DpA|N?_gqbHp_P4O+1L9FmnXf^^S!U+S+=*nZW|VbAt+b4r1m^FJbN_^6No1B1hs5fNfWQ^Y-$O3ensPJ zzo;v@-(~HqE;Q80k^vbrlGOU@Jh}zq9yA+&$i+}0zC%@&fws76no;@Qy4qCyssi_+ zi#T4KA`B?Gw=12hBKtT`Ysy3$#fyfHqL^b?kRvteCyrEH>Qp{u6!m3YM|$Gm5W7gV zg_g{7Q%@G;$JRSy9lGuv3v}u25y@%U<}O$e?2Tr02&}}vK$OPNNL5!Z!{*JmjT%3N z%ClnaV7*Nm$vey%@~H|(6cax&eL-%?Xt~f(Nye?Y{@8E>8>0I73U=`&~kPG$fJvMur>>0bYB+MA)6VR{Y)FNP8 zgWUoY8X%>TN5xAlm~zj&uXnxbm{ItGlX2@Jzz#e;zMguA_my7%H|)fKUIow#CSd5ug4q6$p)y852JJ$xH0sI_*5g+h#0499E&jFb6 z0Y3*|!DswA04qM@;{fzPLC)gc2cV}1N_GCy&Hx?3fY0E{59_)I?s zV8UnmIRG<0)6W4|@R@%Oz>3fOH~<4JKJ#A#{J*lC?=qbKqsw_1`yuSUUq<*nz<$gf zjf|bP?e|Z-xRx1Ejs%=eC>jMLUEtMzbPTLeG;j5c3=D1YnV6vdiY75F8xwq6 zYdfH3>93mrA2do2+NA%#O9JWs(jNg|_vbBvZ6lnaE%@-DnuW;JuFpf8hp<-&Cz^#L zOyGB)#_p0)HMlZ(MQZ9L0@x$nF52mtdOtpK@@!>#;;``zEN16fd`I9MYs?BqH?*o0 zP89UIplmm28y%$6iFe4CQnY!oBw^ASF!4&~9VF>oA|FyxKCaj`F}-FbbzW8-H1ghn zQFs4aV#EsoDiWZJuom{E5=pm#4Z487P>BUG9x*{ioM=>D6jgih)}|1*1gKlryKwrB z4DSJ5*0(S@85j4cfG&wm`BNDsTb6Ry981?LVX~JrW9ioBOL)U_YLJvQ-7D`&6i)>U z)aUdH4h&JmyWR|O$BGAxlM|NN$&{Nf)x6~s>JZpwDG=T5#@iMa9@Cc@Qk)OiZ~Gv= zG_UK@Il*_9hlIiOh3T-y4H4~Yr^xhfkx)3?;6#5)sMKp z5kcd99 z49jM{3coI1oD_&@e>!qQZ`eU|><%dMW-{4(5lxM*A;zYNF&pE%MGioT>WYW=@;A*q z>KrqC8aF%de9{_?WVCqL+qn?aF-Lg^zA53yYj9^*ztT|`8rRG`Yj&_@xe=VREOHEI zBi7^ayDJ}F_`53s^pCE5z^s4hO27|SGBVIUh8!!K7_jQ+W-tiq4^4+8! zT?5>Gjr?dM_u>0d5Dy85R%xe*bj69T;2hlGp#JH0!W%!!R> zD*R~g96SRho}a?MT#hk>DT3&cvAp3?9bFOy-qU&YjS?ZREn)D+vx*VHA7V89gTEJ7 z!LkbpM+FNKd)3AdKtFP-U`C({5O+%#J*dYRm1#IAJHU z-A&C{?+iZ=e}-=#55Y@?90&nOSbFfj!rKI_jYFFO5T26!mZog&$Uf}s2-!#m-}W5*9j?i>=Uv#j$UD8WkM~ z>V1&xNg87N?e&CERGq>*62X%-!5QSh`PhqsQJRCgLUtd#bX%?uZXyoHTz~q$T zi-+#`niJwdaKk(J9lu2mwtUlMswaTXV*PAywD2kqlt0MQ*~y$?0ZE3qH`0=4RN0D< zrD|+xJRQ@jImH{X2qXUO;iH$8$1GUkwYx)7`@aCOWqi>hl6e zYO<*5EyV}-LCG)%F8|!q3DHqBemazAirz}IX+55eRJwsgoZ47$2)Uf)Hf%bvn%~4y z_MejqCv`YNX^SdeSqJ7ZM3CEy@h9d6d#fV^7}F!<(&iklC3kWNzFTw=gpYQOcWBnS zCr$6f9u6qpjZ|6a^vAD*!hs(t!bA*o{DfPzs!Z^LY|Bv35gNl1aKHIF5i7_7o3) zN+9UBJg=vC^l+W&{tUWY2oY?KLtb7un}#IcOwXg&4qFK3zqODY6*Qb3zd$k#-Txh* ze@L_+Dv(Eje&CV+5TF@<05l8h{|ulX>Y)GU7U{l;*TpL@fdGviwju_Y#b1F?(no;# zf`Q%l<{Jc+5`GkuEDC75`CTSa7UPG7kTl$O_n>I5gDIa6MHKx5P~7Q?ikpHl+IK~c zJA4o|*w1e)&DbdcH+{`YV(XZ^(yD7?;%E}_;|z?{qjqNOFBXdD=}!(ShoW?7q}3+) zm*S1O344Z_Ng&AiThfAv`2aMb2;PW<) zs3DZPtdB=qF-ybDaV^zV>QBO+Q?1TpKnBn%%%rN7pOFm;88@XoKkh%pR2;r@(uFi*l6ri^wqJQ zkEUkU>QFu_y}k_o;XB&uIPvKPB^Qdk3TouB>x*zkk`knJ>Eb0E~h}gT5dn0+(+Rvc1#U1P=CydTB zwN>+u&vKAwZ#G}8Tg=qo7t+3;9{JWEw9y4phc^|qGS%xp@1=o!QXXi4#}VXL<}4v= zk$m3Y?9M88sCcKu!Eh9s^ew3lGHSkn*JU{wrGQlPyqn~1BxRE$nbRkw5t|aUw^g6R zYMbQfq%8ybCKz+Qw#=lX4!l5|)Op+Jq!o?BYhIoAW0kx_n!(vTdm^rlI(7BAq1cda zWlm0l0oP{NxjNxMuk%&A%vh9;Mbn0jy8KR&0~42Vb1dgYM+&XuyLlNMxG0=6+)ieL z{3?=ami5awVWgSN>a20X=$Vjk68c0Xm4^-~`6(aHR|Zw8tI~6xpF{H(%#3BkeO(Kz zQr)Ae>cfEyiR)C6K3%D;`bKi3ix~dItBSZ23lna5*vO*coP&z{er0pXNR=*HqCBMt zm#Z}h4OHZ_&S^b^#A`s!gu`Wzc){5c4z05KpsraRHmjcQbXhM_QQYF`BIZCt?Wzr~ zsuxN{aIHn10|eC3I1->JEU0REI~ldZk8|W5tio|-m8?Yrdl)2UVZk~bXGUIXREI?g zmQgLsKF(OnvDxXfmqJCPca(6$mqrq@1C{euhtRm<$`bSvb52?-oBfpM*a-&CCB)k& z{y`3vlja38!xmRo5y2Byx z+Io}Vz|`-})KQHT%Si%^lixvaPZ(mqPXdl}<@s4Z(#mdc&=< zM=oWby4d+sq$y8rcbfE{EMdO&C`Z#5Fg^a$4sab!K<@Mu!Bd zb>32EeIh+sG5nm_B4MjWb%PPQigCRtne+{=I#9AJux6H=qYP&~$A$_!k!3g>oQAfc zNasHMM{qM1qz-or4feHCK8?>*6#iOG2GA_v7_G?&mWCCIwq!{)y=YcX ze<`SeHP3&jZvN0*Nsjy)@tgpfKLHaYs?S~Wtr3I!AcPORuD0aFgy$-QoPq(BZy@QQ zpP=E>3%V!M0y09{9Fn5wvcVvcHN+chV8Nv);B%_Mate2nGG53V`os1{()z~C(uJtL z?;{05#|Y9QtI4G})Y4ZH5@LjVy>untfp!ue!%mBzdaYz$zqUsNUQC0BWm)%bhxx4- zdrSKKY35zb^e&?x7VJeEc1%cZ|i(&i*NIv$?DB$sw zw?zg%Vp?+h+?8GAeZ|)jKmjN@yhz32J$Cm2LEpLgY)U>9-H9b8@>Npuj8&VcCg5B=d=mM|XRlra+Mik1nVb_Anmo0|(vPIRKu%qmERa&TeY-EEgN4n??rD-Ukv7WqKaCFda zN_K2Io;43nsV8@mly1*ic9YUCI1zAA^)lz;;vKePtof1}Gt2@}GokHuz>gV3Q5##< z1iri<{+_vKwVI^IML5H~gVd@!vT@_dlDHKlE)v zBAcw*@S*wJEEnHGW+F*<(-1Q(_P-^K<}v4ToHRtZ>*6Ni>U;-XSx%|txMRQ%BL_u| zz*z_zPuL~NKpW(XNiQDJxQKz+-p^6yk1K94*#fa)MDg;~&@jp%y{?Y$8_Hbt1Q=v& z!*{CCX^N?iPg0@hBvnjHCJzO61cs0f;cgCmIm|cUE<<+Jj*uuZv*tJattt*%8-4v{ z^aLuZsHG%_7z@C$1{&j*#VV54CQh$y5uDRQg}41fw#v~M&x$6`dk`c1vY(Bl>6<9T z&9JnQr@SX3HXIZ(-4R+ncO;74yaX-k4;k5-t=_t@3$szOyGiMI?ZmwdyxFqAo$9ClhNnL=Io07O2e5Jn6&V%4y zjUq%WggPU{56YFBruF&!k?Li4(TF_Sid|;~UpO;A(oz$}ZndcRofQd=7@=F?h0qaW zy@A~q4updWyeJ#OyMUbt2aWfKj+I7l`mkFYA?jE{JYE2-;o-^?9SQ?!5(PWhd7?)) z6#Z3VJe)wmvB9%pv?umAM&9XgOy=XvN-kD218Bzbeb=@?Y%Uth?QiUL@oEc=TeMQa zk3LD9^d=#3a+>>Gw{M>!eANSBjL11mV^z-$&AurRM6cfM6+w<5+J=bKNuWb&arPZ7 z?e}M^2br~O|H}E^I*vP411^v3=Jz!J!C>fFA2$TPZ=U_bGza4L<1GKr^861B#wW5V zq6HsXpzUIjh(t0_#A`QLn#`lls`KO6{jhDb`dXZSu32M&p1*U8-eXwQRjyRo@E9ZkEidJ`p6df&3<-`>XsS~ zGqxdd-Q1Uo#2w4HZPHi>WU7?MF^pV!M~5@9v`#XG0xz!Gnx!Z&vhMTMD5Bn6B1AW0 zAqAzYIV|=bLVR4fnUsZ`UYmuOG7>?ikC?J~ZLm)B{1Zy+(6rZCHQZF-)YPk|;g!`W zt#fJ)$ypx*=JISNtT^o?bGetX1I;cDhvlVP_Bfhfa*ixu-d6Br5+-~Lucl>-)chv7 zLZ&fPWetU=e2L^A7k0j|4Od=9k@@@FKGGbPpL6>!Xb#=uZqNU0Sq1!o=EMxK!+Oy| zgJ}}^+Uo4CnS8P;f_qcztC~l}yGPlZ8Mh zt4J~QOfQxfS+$9pwEayYf2$-eox7`HFiS{Hv*!@NYBn z-R!>>)yHz-`-b#C%{E|){f((W%JTzK|I_{(;15KnqMHt;5&sGQkO-S?+v_YB5xXbD z;nI-I^zg-yxNqk#=({FGxrT*^v;zpJY79Z^I#t)0!t&N4j(<7t! zz5)MFjR&qGe;Lp4)A)x?p?_a10sg=!t_|+*8}HrD?lE@mC+~H_@3rpzJnp@2@mTM` z&$xG{uy))=tIFIVaIfL+;bz?ZxmOT*WHh}wukpfh+;}QIc5gwffHFJHCr{=&wSM0h z`~FWpSkCg;b^U$-;h#F=vF%FtvvB;6&iKRPx_mD+AOX<6f5O`fzJ-Cl#mchfM7(u* zf8P=4{^ZGe4K3d9v;AXbVR+m^{eGz8pBngB8q@!rZTkNf>VH^QmUY}D2U=z|2bwzZ z2QGL7Q*K}5a9{hqdw&Oa-_`!asf2{@cME^uD+BWng7p2Q$Un94v5aK?U-2WxKftTq zP#p{i0W_#)1|=DWhZK6BF2iR?qVUkA)*!RR^cyL~R0(>&lp)wBY9cSe0;69eSBS9$ zcve15?ljpR@(<11`WhvLQEbIhj-N#guk7s~A%$d4X8lUR>{vRYBv(~^_^8_3E%Jpj z;?$(y5y^Rxm1*+(0u5uWg7%`wN_q=~Sn;Z?Au+}VIS@-`l)6s!R3{_&*po=|9t|5U zr;%u17H~#>!>$kH#kO=v1N^O(piySN^Lki-Dft}Rk>kk)w?1o>wki&jDNsXoxA&nh=lg|!+SSDbp4C_u!yufI3llGI6<&G z@L*_YX#CmE*2c+B=w_ImkY2o%dyvzJ;HP&dcE5AC@54V>$@B;z-_IlcQ^OxiG~fo% z55phM`22f3W&8u4j63HOwB<`j(a;lBsMkAj8eac%v6 zBI_TzgZ{Bzq5pZ#=|8&T4~QBPQVQeF0}V3gdPzjv9R`L7YC;F)5BgEWg9RIsSeQ^P zDhX%OeGFTld8lQI*e<*Fz@gB$m9Sz82Sn;k@s_8w)>Y)!+N>yZefpl`J+P<+{qf&D z@&kf@^1tuL(*CJO9=ZK59-#xK%YO)vKR~dKrlzI=PxC$8{r!Cdp8x6)Ew?N-F`Tqt zu*Z`peHSkUez)%tfFJqo_mg)2)V@c4`>?M3o%#Q54Q2WR00Zqaa8J9x-?_g}y}x$@ zTGF%D}>C-b^#@$@wt$VMhPtNUW`hK(b`=}4b0gqY%fBEpY?}rKh zslAWvkr~Jyejpui?fLif$@B-La~*1e0hVf@k~tAuDrK*yGN%e@F*9hNy@Bm47So>1 zm3DO}g-1Oy7~krd4FA$djW6Z%9)Fg2nhI*EEmD-w;-fTZQ&p>i z4$}nu3O9b|v-rijXA}?&l5NeSL$@!GrMe7F7*R>bytyyK1)u=hHo+S$c@js~P+ec923p{sBOBV|<5G~UyJcSZCr|vDXbyfi z^9Q;Dep>l2wtnaz2`&Q=hyJ#b9>@v*LpJ^aU9Sgj{8If6LD<1tK=fh3zy*EbcEA(d z4!AY%iSD0zU*1p3fZl^YdlGfdg8jRxKY$kS$mPGENdBk5^T>1ozbvi)X+oL*0JL%g zbWp%N0F?xV!*zX**M>$}-NaYC8Xm+VqY#D}>_!!Un!gJ9d>D=C<4al+NuC^*t_&&k z6-kYh8D+&{U8S6f&krgU~u5}QemC*Gevferbh_L~#Gzv_d>=zdm2-;Z+tQ!hReRbb%# z2$=u2TrvLvzUBH$5q$9By|u7Gc-aovoNQmRfmM9ctK&d9duVXNgGhR+KL|`fmr~j++)eD4 zZ!N=HC~NYXMori~!CDBeAB|-lGuOzKC@sH21(zCS#|R@=FO6{KW)`ifG%9NQUwR8K zGC|JTqrcLC!EHP|G4eWX5r%NaBKA>q_veC}P zUE#BZi)uc3axF^JvN8hGOL_=>2bXH)rBp-hQY;0l%uJDe=8_V9ZwGhKsIHn0)&+aa z`)%>Q5vHS{$pvaB-sV!pD-)B$wt+TovDsD$MxVU4`X=kOwC%3z4z8-_`S3e9iB*Xg zkvMlPyv+-ZR07YV_fd(5P$wEZqG(wbvA^o%P*WR+_hyI5fY^xW4rHHdTQ*x9`_!r3 zs-Mzf&$w&&mZ;Qx%SCqz@Mmu9KOw+PP$5Pf1}i&3`h=#i*HS#-aqSA8XiK$qZZ>-= z^t`ia|C5kk`n=L@c1e)Tc5Kv&w^LNHdjwHSwuI&m6P_h3*c%vlPNe?I!6-bdeRZuHoFRX&!OJQeOMvW}+r(P;> zp=0CM>)SJbcdi2#+HYXUXlIV~=!w^QYdPEi!2FtRW2 zVc|S-P9=#r$s2IlcYIwjQq+C7M^l>dG!`$g?{1*Z59oV+ouL|BJ$6s+txCyyuvS;uV&O6Av96Hz>Kde>(&)q|H_dp)-X8!K%X; zY^^M>Iq?lMQHG`-w|(hj?L!Sq<-vE#&K9whNeN(iZa}I(&#f>TMHrh!nyl6w9w~;j z&pp_*gx>&ip56ClsO+G8-jc|@K+YCoq!C~Y;nP4@tis4RZ2g4vn7|ssyEel&Y%q#zh zU@V^xKA|oq!f4V#l{m?l_qGxZEZJaTPV1*qQyWwmkNQXuChz!F`%btG-tnYNb-86488rhf;)lu1qZf4eRp<$m!Z_y>fDySQ} zgme-P87`;|Wx@~#P3sY?8&wJ9En!O1j zu$1(A2+CD~2A(Z!Bd^b*KX6v?XHgZeb{1XP4}HfY;1H*1FAZSs@K=_dX=M*6eMelmxMo z_0kGXlYolU`*UWBtUeeLYoLl<^E0X0T&@H?>%roO{@$3v4wRTfreH;xsao9_nv;Cl z?7@g`QR#P}p^-QX8HnQ{m6O^L>6#=6nad&8U7c9M0(L|)Y&KXR$$NRk@4pQ72pUjZ zfY)??klFZX`)!6}keD)I5Y^dZcx;kkE6eb$*_}W{FMMeM?84 zbFeo0HmfVU!k|4*~V{Ff5%{|Io# z;^s=Qg(BJ(ZWYSUx(rwCSKr9V?_%{P4}I3wLdODmh6~?I{gKYlPk{37y06#2^NKCU z{JdhW3MO)2HkC~YA)%o&_-1GZt)$_IG_JpnyY99GZ7z@f%gFh?>(;dmcapT|`nQv_ zZzuWe4yAa@o4a`8Gz&M2CucM?M>I0~9alXwS0YYb?CaYSc~uLleMfA?Uo{u=U*y-H8KY<0U~H$c9J%jL8@NIrg;+4EuY1Ko z*IRf%&%>OOUPcCgJ3DeB5YewcUhgMKj>_WP*)2h{K00j*IZS$XbZwr>S2YNpct1Z| z5(e`P(MtuL4RXDwie`3`n;zbc)lTo~zC;V5XZae<)z%5-Vto+`8~@ zN#Cwtx4f<=^@3jY$v{zuZH}!TP}%r~NCTc`QX9N%;m3Eg%d38#0S&y8anuQCxg)n> zHS^}uGMrnZk_FG_D(o{UXNB#sr6OApN&P45yZ7jw3p7|Ok==RV{_JoFc=(5^Unti_ zDsRqK&07n1bZLbTg0yD8k%ca?!vwun$Adlk0F7vCF*M;jhp)wAHr7G72}iWqg)bzc ztT9tEW({B2V>ax>g1zDaO~2J&QgXX&8SXY{d$pRh^TLP~f-)0^^qvEIB{d8i4{Q}K zG~u>MuN1pDjJ*T>tJRQ-?<|h(Zq8AIK#M^^!c0?)(AXCkyq@;R?P0Nce1)$k*2t|Z zXq5^*sqeMs43g4qQ!Cy2(fqlYRX(Mf3b1W{ysvj#UQkxN-%{4(8Z*iT-u3$R(>4>$ z(zR3Bx4ErqE>!BNdh3xJ?#rsY;{vx;@l}buo~LW$P880cI9v?iN;U82Ubw<>)VZa> zT=4dWwa6Y1JIu)7GA=lLu)B@8DtAH&J$`?0>;!devdVoAv}&{D7>+<2jUl{<(b9 z?y6HA8h0B(Nf)SGtvLCalUKE7+lb{}oJf8Cv<7FrC>PO4n^VFPpk&(NSSOLm6^pB( zWB`kmnzX2%le@!6Ywo>piULhk#C%5FI*Jj^Ku_5PIgLcMp{snCYBk3^c$0A{2L=vM zH&eB`nK7tlpFZs}x>UlKD2u9VF3$fUtofa6Z}(7_Qhizp+*PGdfuBlHdR-}t0W;ks zwNhq{2q$8z^^5WIDyhP=RXc34XAy-=Ny@fi-owzV?8cMr!)GC`R0TL@NIm)a-k;uh z8o|Q6Y&0wsd_r2#J?Dw2X8>tzoFiev$J9V0UKa9s@}ja^)dq`1;Y~NU9#6Xq*DDLJ zk_OB*m3+XH0`?tM=``5+DbzTZ@X)h@xx2auoaaPP(MIyecuoP}0|6wH(`rIYfn0s9eoI=Kl$JI8rG_ zpSeV>_N)wA0WQK!wx>TtX(Mf9!+$_KLs14DRTgn$*XK$Z^z{~KpgZ`5_g z%!GYx6au5P07-fb@0pD`D{OW5o;c5r8BS5@_ zetY~HSIa!o-dfMlaRuoYPj)n+EK!8+31fR)%^l)Fh!dD+tf+vP*jt@vn^x%Qncl99nW0(O@o;0IdU3rg;$4f^eGcbIZ`XF? zIK!@~0YM~Y7mH}5@lhlJ4ND6bGsMXXaV>2m-a@>SqhIQ1VAHNJul;9;&|Ns$KnuUG ztq)R~dw#AKoOXZk=%%RTNp(%zSEx zu-QKGdcS*?kK;H=a9E2kj*x<>nGArLy+oYEo0B%36fOsJ{C-W%JiJ1o5`|)gWk5cH z7RvIpUTAp>E*EO?qUR+*)elPp;%gogA;bhnj)!T^U{-Zt$RbpTZvhKr@t{#P6KN|7 zFnYsA98;>kj9rG2h&>O&q(mUWq~eucX4$;FDy0>$Pi9GJ(3GV)37-HNQJfq|kD40L?P3b!yNIO{LzMOTnF??6$!+|i7p zdYa;E;x=O0j9ZsbP?I*x!RW! zP1REUJk7CYl6*mLxnPr*0!#{Ud#@!jP-c5m1Wl@UeJIv8w>zg&vk0_-cPAO4V5Om8 zhR9nXDyNMYnu))q1jZCxUaJqg+%NMrvejV?kX3D(=7LeMB8 zvNtYy8ujt2xIV!R(lyWX***x5eqkLW7JCJ~+7MV`&Un-wN)577DT035 zP&^8$2PzxP^qTi55FOpnwq_gcpZr9gw$U|Y(p~i?oXz-Y$w1jrhvwCH8K9poEVc2`V0zH5sNr>`6!@* z04V9k)uJ)}{()&?c88P|i|r?hUYetkU<7u<__az(14C^uEjr20 zdL^B}n8s8%f%L^&xq`ex>+5J|?r6SD9*hcfxJ+JhvX&mBpeAtf5z8 zT>tX=QeRAuho1BAF7+VQ2DQEWrfaWZuxK<0JA8?6=r`TMW0)qAhJxjRiV34^g=m;I z^3hBj;uSDl_FV};_<|TkKnqZ*r5FhdwGDMxD9c8Y>DikTCv$Wv9ZqNbr#MOxm@6i; zdILjfUxc(5vOty%8uc=f>Y@UJzhjRBQ8!IDfM-a%EnN^qAlM}L+qm6saf45Vh~if- zu{tzCxAEKx;F)C9+3uw&JbC`|5#Z%_*`sTLLbx)CIn0ituWZRHw24M}AME6PWs6zS z2btFfH1j=G#&S05FEO%FQ#z=GhW63w%|`aO%8e^q+ygr*35z$FD!S5^Z32w~T+8yo z-YU8P(?kUA7spYfbCMRw;mU5h?CiLdz2Kt&5E?s} z-gxil++h51!l-2kDu*<(_bOX!>U)W1P0Iigm8dn*e}(YJ?;#xWcL?88GYu5KY5a-a z1eNc*3rJ0{_F|_A=3}R8cTxbAN|-`AFVuvA!B#P7I2srw`65{m7;MH5!RErviey-t z8K5bpLz2$#B>=s%(PLt#wtU)ZzwFamlln|M#~KV9-Xx=8ry~(@n?WCzxk5m=I?m%V3UYVED86z3C7w(( z_KG&&C)DkZ^_-^@==U^K2eeV^0kc=h5TM^C6#vVkzQ;V_K9MBP1cFe4Fkz7CiV31E z#_VwRE3qJ|>g+G$*W&@DPR2M!+G!#IC|Crjm?4T*h}vl*{y^xX0>(0pGlGfsuK-%) zaQcOMZ%%vL!sQ$)S?Y_Kju(S&%R4#`zJNZ|Hb&D4^!VWM9ckGX`(2NowpNVvYOZ71{#|TOC!Mx=}jhJIFqZrPSDna(Vrk}bk7sO(j+j7$ZvJfKAejg^+{uWm7eS~bm` z^u2Djk8g0j?Z+E9>>3R1U!0~-Bt^9-8q8>wM|z4n6+E#;R85#VWXuIY*()|Iu9l2A z+KBj*(#4OZHLH5~ryhJ*Eosm{H4CHix)uU+lhdGD5K&7BIEn%}=UeZ&87bv6i?Q8d z(JSuZQIO#jt(b0fEiCkC38A(ppVTJO<;zHWCEmjAB$_c{20+zcfyxCOU2aN+z?RHCbZ!zp7E8HVD2FTo!8WC=L~qd z5Ixe{0tG_A0?~I|qTz(k4j`R_9bLl+LLx)v+u!MBFypK780NeRFKFu7;VuOYaOKks zvWa~;%*+?JM8)LE0Kn{DB4**s`8JybZvbRMsn^T{SNgxKtPHz47@z2qxfHT<66uqx z-g(-}3yK1c$Ogyw9R- z0n;e9dBHb!_V{l1(l{vv?2NC34Va|)oeZ*t+?Mcyvfa(x-9zTLKVFU;bTgKd>7s`U zJ9Ke_=b-l*LPkxv;FJ*@<}w5|T3}>Gc??`>K|9SCbTeaiK zI&hbJ5^YM`qIBouBxCePAAhLae%vf$VeS}ZwqcBEnN8Sx9t`a1q?P zF%R2yB?mTAG;+C9C+(X8E7a#$oE8#NsGSSbxAt4F>(meKPwty5E8Bkk{7Kw>KHIxE z#*bV-YrnncZD^&Yi@5}Cv2=6jrct>CJ_%HIKb*BKUr)=qFlC|Wn4A3ieT9Q_Z1FpT z(W#Jal;7*&mM*E3uut{5w%Wwc>dmpjT;X@*>|vflQBfb0@$w*ozFr67)w>{{hmUT* z+U5E@P*+h^Z;!Xr5_{#+PW9UianyGcts>|)3Pe^@vQ-PVD1_%u)NmM-WsM>)gkN&$h@DxdsAU(wi{^H&oi~Q?*RXb2GEELr zwew)WWiw@F#xBS89Vtt682~Qj^vueBZSGiryI60++8MPiAGvB&urCbs#Gz?mNlZ_E zDkKuNYq{>4A6IL4dDz*7Z|rgVAzl?mrsXAbY3B6Z3f5vC+53$0Yh_7B#$)eEu68N7 zxTF#8ll@-ZQz1_yw3Rfe$p^@Chfm(f_@?z<3l;RmfOJap>xeAwHsuAuQtOvaZt>Pt9U^%JW&FKyH41nLdh_y zSGe01`cT|BX*FLT7dzdCu}I)16y;s6Ec08!h;$fq4sdcsM4i(2M#(K7;)D<9M~DZ6 zCn&1;RfStG4XDEmG3q258}e%0+Z4fH*rLRye_32ZIvt|}u9ItLE9IXHR)px8lwI$7H z3f+`t!GS7B&iqkE@95`!vxhrj7)SddJX)x0F3zPPAwP6Xqas-zDcAH${0NqX{FI4g zX{l0^f#oLysQfk|_vmH~{WFX6ClDh~qCzCmwg!|+90IU4;#r}drl4!s{oFFH#4Bd+ z!GJ-ct|pV&Rma0JJzpwap1>?q(RFDFE&WZz2)pmj!K37rH4x4Slm`gcw`6)00(>a* z39ZyM;y%V6PHC>;9RCk^B+$2hum-pGF+&5#b}whSE{89D3atnGvTp6gpFU?h9{LLu z-(!&Bf50-c0~CS7act^*{7+bi#-dsYU=!|3mwVyn)n~LqOTgI<;V!zjA7pod+E)`^ zaPudcH6iDBWyTX?{BB+K2{k``A!fc;Z;#0THo2l$TZ!Rtk95JN{`m=`c!N>a|Ggvr zuMI<2gYu1)3OJj1Fp;E9#uw2_%&63-!8wg}fw)*A`&jUI>@H+G#c&2P{E1A=Z;+j_ zF^)xQlsd7g-xBa3TiStFN2SwR6^c_r*_iAy$VrIv6>;{)4v8^sYWn(J=NNU*tj%?? z>B_HumyazH+0sCzaeKXu)Q!0Ghp>Hs5NI6Dz!nI7SdraBUFchbeMi~R0(a|?qM)md zYwW|M?+6l{5*vszktU5K1etBxx7@qHKU#K}!vK0Wx0 zB;(T`rZ_)}1I#j_vk<;>!Cy_7twkin#Z)5rd?UYqp;i@&0K%KU%0US|u?;D>bm{8# zeiJFpW%Z4Kr?%eC2?5{SMO0P6`|Z|@p{76^HMOwQsH~wzF8I|6Gw`Pa&!Q@aT)vUF zOXN9WZ?J#a&2nBD)stZ*OYe~sfrpL2J?4__a`GwG3VCSt>7!LaZ`e0Fci8B2ny+~8 zh%k4+Uez58v!z@$Yo-;#NIyZRHU2YcTA(Xzh#@lI4@zfW-j0w6GB`&FP=#MME8)j% zf6LbId9y9A&iO8=lZA@xYW*!U+s}!4-?WKg%_KNYtO@)T%)46tZ$EjN;1~L8rOZec zuu-(d?m>+VU)9MX?QEJt zzr}-y);1h0yahbec#5c}zI@5J1~%(2f8+Yvm&t_%PF5Y{PS@a2Q;>YZdNdZje4!@H zs^aoyjOb`kC_ebW2&@47d1xtB{nJl>c4MFo)6IWJdiwqG>sdC>`txX{sYm(aOxf1^ z4<{|Feu$MHsZN|t#^K}2Fe@U!!ahY^{^M6Z8FnF9nQNuFICyJ(d00A z9#Kh<`h5NnQK~GXqpHb1Um~g$RbZSmXa*8!F{xLK9mORvDNu|R#icQ+SB@?7EiaEO?576Y zrZNicat^wJy?$QcurhpDNrCB{|-AjUs%J2Kn|bB($H$`eIQD069vrYQTeoUO4RsYzjn@Mq(Py5@NTUKt^-pybs^&6tSurC=`?1|q| zY<`Op&dd07B{|t`920$rasYhYjR2rcgLO$r}slX%oYE9+JS;UP;(6Tjx5;*eXCX}4u@KhmrtP_=Vi4(E#V*YW!AY=Wm> zvu@p|yR%^xS=6#Yx|vYkd+fKpgKPb&K^+?Ce_nVxpC#VMH^YumVFrF%Vw(eMLgiRP zcyfJIwTZeJuHKdXMe21y(KLJW=LEk?wB+IA!AY|MsuH7eq`OYc8s5QtX-Pyv4oX&V z3m5pGvXb}oLRl}|>gOqKit2x*G{5iOahntHYY(@6h|$Y_cFCt!*X76Qp3(G@N5bn2 zs9cpxX}HfJ{_?1KctPS^K6*GiDNBN1kKY3-dy7|z+cOaUmZu&&9b*Zm8);Q(9Ti?k&fmLHkkS7nq4!?E1UWaD@{*$XKLQi@(^jgS=woP!B zg^?TXE7xQ&$p!IE(MtU2i;1>Fmf9Sy&w0uy?` z!r9o>;IDc^9Z#m*&@Jh?RqGSX^8=R7o=(cWhs3EYHX>5hG2}A48hBWi=1VQh6KcRg z!nGx|lU;#DLG>L{>v}Jh1Jlvv3 zh8-hkAIB-}%xFlC*r3FsaG8i+UTq02ngS$cSzmyPkRyL`c!dZgC_3z9*ZN*Npepwc zhGT8N<}Iom^q=PHEN|SQCPUb9M1cB@OlEj97yQnISpnGJMOPwtd?TNK@poRj*WOcK z^#0N^@TO$R#fS39n+B2m00;TUpmhfSx9m2ltUs68VzamjHGeXQOgbN}NyOVjWZOWV z6}Z~+)D+-u9WkG%;Ry{woTef|4}nYtCfdAq=2@W$Gw_a@;KwX{gLxJ^eHq3}NO4C< zkMSy^NCcfIiyd!-Je0(rTvWv~Yim$W&KUsIBy=Rje?!ifs*uYnQcjqGAF7n|Whzq@ zC6XYp>A4%A7HS4_FsR@c4P^RBiIPtVmjV(l3UuE0ZBm7hyXX3)TOJCAE)i>*X@STe z2MSfLKBF{=gW+yD)!LGz;1erKUznk z=5KteMQ1I^ovcZlBj*||DUt0`2xW^&qAIELJ6cB)q3u!;JQD2&FbN_{m3G50$-h#Q zb~KzW2&ie&Df5vQqX2|0A4ii5)F%x~>`Sdyx5r9*0~}W%=-$;P&~B>YT*frFuHn?u z8ULa!8meS2oYllxqhukRRlpglVs6mjzo_>TIka~L4nw|@%ffgejd)GE93|Ii#eM!_ zMY~oTq&0RvhNMY6^#{p8sX-LFT=fT0q4|;fX z4rFrkikEl6l5~b89Ln@PtKvaDyRLzKTS?RrrOZ0tO>-IgFzj zNp$K`%)L7Bqa4$6Rub&5X3TD8xXBx!QR%ShVEJ3>z1g&WA6}(1ueCd7hkOps z;GmXeKM?(|C1?NLc7gjnxMTKz)tqs?++Fz3YIb>WV}1lCd3}2$Ye&-m>g+CKWN4-@ zWaIkMQ61c5o|}V|i~Xgux}uSTjg!5Bk;6Y-+~w_U48YB`NIx;ji-<8P8@W3EZ};~n z<@&D%^Z(J^A6)UqBy3~t2)4|D^!>kYnEdx#{<~WL|EqqE>tD0_kNUZl6zyt;_esIO z$E){-M?}-lO`6~NLG^%`nZsbv(h=DaNE0FbGwvP?JSw-Vs@zvs9s(WJWC9a~e>Y|y z)LZf!Jy7fV+)j@F=^dThV$yxy?{-XkcXB??udCQnseRLs2quAb}Iew>@~x$bz}Gr8z3v|y+V<<^p_ z%zrvE!I9}UY97n)Dpk34dtTh-W}3UMV8T}*xz*i#sh=ZQHT^yY%S80Y0W<#l@T1(h z)HzaPOm(?B&qYFqto2V8jZM3lXH%^4b{|_xon2p7{$r0+RkwySIkD$GE7CStTY9~C z`}RNg0j+;Lw9$ONOIzJOyD&t|^LhV?%`11vA?bKLoelAsVS1O)@lahsrQ3mB<@DY2 zPfe3WlkS=PCR6Vs~D=DHht+ z_3n6>KmF`}cABL0^KVy0Fhnfx{4AX4Q|I?-eX>mWe!C)`q4Ur%`uny*$y~Q1W4)E% z*rgIMOY0m{bbC?^?ZMky)o$i4=o!6)*{@M_i_@sl$p2`5Q#eeZ8!naD*h6y6^ecJ1 zf5oNUjrWt4z;4pBby_w3^Kt&z*e&OuB+Grko#n4^lV_nCc0*SOa{a%$@T+uc7V9{nV zc9L!(d>u_&UL1H`1XQ|yU@@Xihp&Gs%}TzvXy9M^$|v#h@Hx)1{&C3JaroC_^}}!6 zoR7;oO9sNDo3K|OTnPRYVSC`*NdNLh4R7{XAgHa}@kVT7GBGUq@{p1+aF>%aOF+9C zt1Y_u@f(xrBgFDg2NPXgmzbgEyJXnfN@Gd|rs9*P#(D=ES5&nK>M9+buY1-6D=QkN z1ix$wS{)w`mVq8A$2|t7gnRvH^tnaKuXWr8dulGgs?mJPfP8&mQiFU z&Pe5J*H)}QNyybQ>_r=YEo}sDS(%{OBS#078>*Q((o(D?TNqMxj^KCZCL*wvi*;v- z9^&ET7Ztv>`jveC{3HLo`;3Mudnb7Gb*I*+x*pB-6d0&GjYE5({J9y!LDg;I@;Sd#cvkyE1iQKj$?q#~^^B0kW*?3Qo(G*Dc~BB(%-5v7t`YL#zWrFdr|IF5UJ z>_GYcEjLHLf_Hc6#v&zzcKTwSxU*STCJ!a4148^xVondObeU3sh%6rbI~lhxRYA1S zY!*-r&c{DpGUv`mjWK@BB5hkh$r%*8zL1CIU$`#_pW z_=K`)(l}YMJVv^tGlK^M5|m1;c*%OMx^MXj>z%;ZkL` z3gs*(bId&Dl4zD}G!q`D*m)@Kq(QE&czaM`>O-hOlC7zBtg>WpE|%fGaMHmK9PfZ| z6P3YdU*+^k#6#qy&+*+DwxzNUA~y1|N*PdwJc3i6fJC?;2@dmE5G_TVl2Z}ncfs`u zO|nwu2;uU9sSt6#)pA4G-0lI|OnZL9;x2ZdbS1KZdWdE4b*5)M*`U$S!eC%>xUm`# z(c{3_>?qGyD1yN7XayKR(}!8hJMEP!GJg}Zr77$hDVsdal^tt@WzIU+a7y3 z)Et#uxg6V8QIH*N!gO8ye7k+Sbh}96jA$)*B5m{dCsU4gEYkVmeNP&;;P^oaRDk6pbVj47+0#Y z9ZXmc3m}Ybj)Y>4FjIqqD^XG3c-HiGFFk>E#cTq8yS{4+2Z7CxVp_jzq}}APZyp7i zUjLwt$2qPu6M=#h116p-GDiegx{NtMqzf1CyhRGnPt$~91o!W@yq`$lx`!o4X=!Lz z&b;KY+dyjhD4nTgf^EaTz+#4$Kc*ZCA3$?a_*n!SR7x*IrjRH^Af+Wj;!56~>m;B^ ziy5LCTZk%WsNdXP+j*P+F^uUFI6{36{7FyMJTy}>QI52wjQuu^DVALyigHX(u@Db< z-UkB8riur6*x8y^#wwTf=Bj`hYWK%e=8|r+YjPtHC!EdC8Wp41eSJWM>XIsqD^|%4 z%5Xzqss}wWG(ZB&Bo;(S5y!<>Yk&u;fX9@GBdw)#zdWVcnM(T2d}gZf=THRRjXRF! zY7(Ql>y{6aN^+ioyr+}~BArIRwGYiK56(OOQ&(~x>oqJH zv~`JL3DMa7-{mvkykK%qY0p}&M6mGWPg7rHVCf>5$vrLjwNXGeiUsAEVJJ7sDE%ID z0|O7G5;0z~l&j94!OM|6LP{=;>CRNQ2*t(r+X7)vntZDU71UFnL1R=y7qsND`N=^SX};c{nGkKgGMW zPsKJm;YX@7W!s$>g?7jb@|Q*gm0^bi7@27`mq7(Vai9op-2@ZOwO1o*ZneCXryrvJ z#M1aB1iK-&9eI>e%@ z2xP==?9=`3Te=4d5KQsiypoSZ$TtNuCRw3S+*lF<#sJ_bP%um~RXGVJOp6t8EKwUp z^5NHO>FRHFABVgAxw*2hg}~%ASd7t(DcJ!aPwAR6Cx0g>s||>4hLUiQklz4MfxDuS zr$mapn=RGaQ-Kfpi6Bv^NvJ8B%;z|mM6WiOEn9dinN$SbCWWFjI48x}OgBqR@tsak zRs|4S3MHW=A)g1JQUQ;V6e&X2%1_-$HB7VnU-q2f?GNI8Ep`11nt?P6Da@tskO9Y1 zV*XyVAmQ6kaUrXq!ta^@b5RN}#~#Gqdb%{JwFcW7sf!CO`&N=qL-xceU3pB0-=!Ee z=bhjkHsbwzD5cA!!at|L(Y{*&t$_(3b-{YD{2vCjB&M`XrApp8(j}#oCH`pLVous& zm0l=Q&hM&{N>xgNGNconQU)ZJ1WKrw#eyg);w0UyeLBT?0soE_?oUHgcCMjz08Na9 zM^opDDQzF(q_}Uy0}d4?^P(H#qPd+oDq5<(kMq+nOPGoAy4I-3f~FKu_@)5BRko;z77xwD{!4YU;HZ5*gXP)*8=j}c%<>-g0{zJQiP+&%VfFNol`pW@bx)ye2&FEMIRkt zwcbSAQh%QzdY|1-)Dg((VR~UUIa2j86~hA)c&(>+rGR-T3_9BtgYbcY=OoefB++uU zr}(>7Vq|T+udnn+vRzSp&gmZqq1!l6E{ZP)1D+DcFnmahpWp`m_!Zny(44_09E_93 z@r#lB?1T+M0xTdz6@j3NaN>`cRC5G`9Vfm4&**(4OdM42s9C8}8U}J%+{{9tKO=3; z;J!^`iDfr{qU_UCjKTx5_JItg)0b!xC&QXirQuvaV z%Od6~XJ(Ctny;b|lZqvM3&rJ@hHs|DeX+^JQTfX@M(^ko?&_m#N7 z4wyD1f?@or%04h*e^>x_n-;>-B&mO633;Nqj{;ZfPXg`3azSuyTxF_uc z5%54jc9aDrET8bSXcD9KGFHA-&x|Q4P;&xAqV2Ag_K9qS!1fP+SH`KL&1asN_tt|} zf>&l$HYaU>V$m>dcV_AX8W5PjL-{lfA+dSmQBY4vtXobgtPqq9d0_%vb*dn`*^LRh zua~(34jG6$G5jI?XN6B(r$4zyvK4U%+b`>AqmQNn{wHLt1ip2Abs!k4Kqr(eW&UQ) z?&)egoI5-8FKi^L9jQky!j{vtA7ERQin(f}PcRgA%sEx^Pk8Qc>k}=crHSFf z^x&}Dq(Oesd9dwejoQ+54@T=U2Qs*Zi6FGx4+!U0Ssc2IjmTtY(I=MSmsCPP3F7kK zs4bI$K$UF4s?J)iB$1Rai+VXJ#IJ}WPnuorxjMLeTHH28!XMDsr~eel&Y67Nr<5{0IUR@Y;A@;9jpV=IQ)fav5Zxtf>FmURe}bXKCa#R z1b!HnS_wN5IdL@uQ|Q5->O??x1wFPIQo=!8eglPSHcOD)B~s*sYxPO!HF;2>(>)(h zFiJ9oa+tp>=fJmdqF@YgTgnBb@p_1j?xSIt$fa=*QB1v&jAB86pQhCt{#uv6ddj0r^ z<(kdAXVd=O!PUo`Y@hbP(mIMGEuWI~hcn?&r``IP)##YVdL{nF#`WEpM~Cwpw+BK` zjQ#7!vt~Z;aC+|xhjY6Jt3P!ydxyvQ7L*n3mD$hscgNc{8688K-`oev zNWj&S3vIE*QV+Vt$=8q$dr{9;9S8@i_D`^O3`Z|NqN5eLZ|gUdVj*83}KMW3_20`J9%?b{#@T&UR*!ix{<8k zbRSqTxBE1jpFGns-QKhjowSx~H6Biug{yPO1HoVXH%K2lbwdNv*N_i}h&%XhC^7H}MI=$2I-i`RB; zuN&%A(JFR#ro7=-EZRQ_T^wK;@5;OIFL1Rjsk^MNAUdY}YHpD!m+MR_nmf`yRzndo zKy|S5yP3X=%2;f|Ik>u%?PapcxNNX{^7N#gkHT7(Te)%P|86mP?6S?Bx$e#Lb9lx_ z13Te6oLcerecw`hj3tZUx${%m*7c}9J#t+6$ENsC{r#+gdsa+nm(g{U;RHzvwpXmZ z1%7GH{%n<4A(|*i?+IcDm25DYmoCzzOubR%`#O}<-soWV(<+(ts$RMK;213?x)mW& zso;@?vBt6+1sV?NDJS94CDy!H95%*=a{3s$37W}}V>BM5AXcBi7NT{{`|OmN`<|9q`9;0l znIXl}&;pT^_$rpNcTa>ZJ-hp?ehGN45`;xkRZ3ib7KS&4L22;9AQmx+3M8soJjyV3 zC@c$Wnu%ZzusxnjIj576fs2Un^;+@f5?qwOMslMHT_MM=#a$D04rEftw`AXOrJTHd7YU2Pas}AlZ(gOf>0H>IrcHM)o+`iDA3) zRA6l2+i0SdsF>!`h(;INV4U;G3g{?+3NZ0Sk+ITvk!7rqqC>G_Mwp6t{Ehs1>wjVC z$(VmexB0Q~1ZT4&cVm2#a2lP|wzd6{{_bS`F8xcPMAFFF7h8dY zG#XqP2@Ls1+kh$0k;mh};~%*Vf15!!m^+($Iybrd;jKlGh@fYj(E z;#vJ+ztosZ{oy2#{0eBvfeujlbb|tMlm!ZSL1^N+4*@tR^*8|YX5ehRtt5LXb=blQ z{o0myAk{D%N7`~4d#M$)`^QrK#{@F~XE`jmd?dC=VxECfB%Mkqol3v;gtROj2}~xA zFff@)Otj76N9r&#%__NO?DarMcI{qrNVLVwKv_BV0>evut`W4~?w?#KO4p@PHB*&^ z1Azqaz)>`^1u=gG4#ON%3bLpnnx+Qxc{jw6zl)YG{TP-9&r?E@6XsDwMV2X%V5T1} zJ`wyYgghEABiCT=(M9!lX zc&>a{uJ!D_o;K367<+QYW=5k5(Tx6q6VgCTj>H~oaCj&me=8`juoVm;uAa||Kv@rq zCSzOjJyw30vNSnRDQ_@y=^jI7;_S~GnJzYt!F}m;OgxrDwMAgP{m`IfIJjT~Bv=TF9HYcS=gwHPqCfDp0>0bj2eZ!XG3sT#P= z!x`g>LVwOy>3cw8ELwph;0|4?pR0x@U4}0N_&{pr4mXI@SwhDuZ}>71vXT7W?^Ikf zI-rv6cx9hcWLRj+tTUEu!P_JXKagJ#`vGW=%jZ30TJfx2dD&y zYQltu;=I$gx*6D=$m3Ors3W~Wo$l(tUL;pTT`iufgUf)1l$aF)O_N(Gl8#^CVk|J zq}4xX`tEId6SX-Y#gs!{wgrvWD$G9WPDzC|+s zgnG^VEv==4G(h$=nxIoxkEj}D|1tv1IH&+4TUB z$|#9|@d6XTeNqRggoDsH5c*U>q`-NlS{@uqJqEzM{vUO~3~Q%-7k=wN1IR7`sZV&U zF^ektRK{l&1gG)%OE`&u$l-x90J1bnTooypaQ2tI+`}1q)D|TC*AkhonLb5!LF#Kr zc8T#B;Hb$I@KS;_J+RQ~Tm<8PCQYvFs!%oMe-Q7 zY)>V#csgYP-pVZ_G6^*rA7hne0@-D{jLpcV*wLrh;g_6X6=n@{TnZ{BmP;bLFc&#R z*gOA@SWBf3UnxEb%oa{KmzDvz;}8}NZW*orSSc9W6se|41&;=71>=K3wqWi5GLY$e zvZ!l?lDXan)O9u-_(B|P$!3P6c#tGJZB-@nBvjTS>V%8X# zWbpewsbp6RX}|c*<-YvlXD$u?$9dwB1KFVR%?AYH$X=Xh3j!Mkl<^|`Se+`NIX5%V zerAEj{fg#AeMt7*yqoT%y=R!+cEqztcGE~wmH7jK-U3s9(Bkj>6s`<%22fuRe>@go zB7Vi}BwnWa(awwaD8RhVR4zCrC@RrrW9aq zDeKPq2?P;MoRdfkxN?BbZxZPI;zc0*!=c|}s{eSAvUWLQUfQ_7x+)T|FsIq$?}>sq z)M5D6{*D<@lEnM7;C>7#ww@v*7~MA#-vmI$Z^dT){m2nt(7ouveDhc42fmJ9og1V; zIr{VItuSS`og7O1+FqK{0`j;+vbz_ zJOfcrDR~x~aF?;l+cBv9%scc3L=?ZW5I>hpM$!2kb`m8LJO)^ldSrlkC2%&}R&ooj zbdb@0ZSHV*+g2_yz%l`LPyt6M?$s?iXH}T0z8W8@*RwXQ*i#WfMVR)KYHOGMBncJo)OysrCHT&qC5wP(G zA+c1T`3eRRFlo}s^;?ff%c5UGX3Bp;W^g!z{#u79+fia96=lgJ(!S6P0>*$6AcKH; z8!`@tOLBjSBoWZph=1TYrodH^fC+bg!N)&lZpnOw@S9#y)|#|MZ*DTA@P@cH(d0Yw zTjU3O!Q~q&&>~6gsjOQpsZLAROMDi>AYDc(1P~-O^M@OR>MTKEl?TFsW4z8gzOH#R zLUShY`$vf~%JoEb*1PK-&Jb^*1W#Yer?6y07b>YtgK+jQcn~zR`AR*}AC1f%!_(d} z&vr2zLYr4KwlP@E9!KJ?AB$lB78haVCI44O#8o1=ACcd|@WJH3R8mYVf!8r`t(0|s zvsABUP*}XlXAhhrfvwUVME_r^uKuYtdMU8tX65>K181)PZUOjGsif>?YeWjJxB5R+ zb;U~huR19vF*Z_`e|4&5665^;QD4RN?}n`ZU0?OON#Xxne=@HBp^M;Xip=lw zBmIf}SKKHOMPPm1M}zLSthH=W^J1|<=#f^qE1awue;#8i=ybd?FMe4MSP#P76RmVp zsMH>;_m8i3JnW>LuoUV$$K3e1zvt`gzr5Wak8va3xdo9SpPfA|_0MfG2Xlw?KRmm6 zUUhfy{(8RBzB5YGw(e-N>6j6;PS<*%9lc({JZf|$5>SsqKneXnj2}5qJtoYJCgq6;-B%IryZ++XUy(8)=0pZ z%kNd07#~LDv$=lt;^$}gPl5NhXDft7ijZD^PESHy_aWh|IvFdriybc#Dxc&*XszL zxA%T;$l%D}xU|iAMDFl}{=SJI^4BF8=$L7=3x^86$L+J${#DM7JFY~|>>;0!emQ== zr^}N@R6eP3N6EM$d~jb^Of^~~6RUUC6_dgEj-r)`)KQ9GRxiVi8bNN}#?Xfxf3Op; znD-t!P*qj&&skC0LzZ`J@$83{s6G?bN7a{fxVL#fvNI??{al?sI@CJ22)X)(pY2Kf#7+o#dd{;MbOI!TavYynHajxL;UPJp~|KWYkM-&99 zSjyd)>xgfhcdob>n}-Oq64LYy#gxeO4aW-fQ{vsbnt3(;*TOzJDGZgk)z#S>Lmdy9 zyeHzDjb0+%YR8k}HunY(7N=LMa{68w8fP4idLckH-TR|h63>|9nEXst+1UKA-uu=&YK*EXl;yfYnx5?K7P1KD;#_v6NF|Z@4gwg$jn@V zfxuyqHOY8z(@%@)E;rWX76Xz|giP${nDSo>iYq-T7lGg_>oPaXJ)FB~-zUyF6xcT1xyQ$r;&@LI{fop#jd@pXB>qF2z$wNjMk@LzjRbJ_1 z_)O!^-}lt_mHTQTQI{PkA3k3{dqw6;-)*@PN{wpFxTWdi8v*NgH8&>Qr^>wmQ6u4Pmq=X>ZT$x{XUJ;2wd-h;Tgen+T%_p|p}d1KZsXRPVQ zrXpLdcUA*EF*YM&o@9x7ov$<&O3^Oy*LN=ZWkQg(Y>SabHdn72 ztS$!yk|&YCAxsoAgvNEg$r1}thew$&<#nWn=*qM*+0$si3+=VBBMv3aE9#oTW<=-6 zt7-?5r)(&Y{`u&eI{p^j4nO4$dWuQM?}lKyq+WPPKQD+te0a3^Qh^dINWn~qo@sdB zJ=q%39<794YRU=?a`i;{T;s_SS1!t94UmJ^+W@j<$Y58LV9FJ^U_#Ah@SmTJvF8XcHV{aI!I21&@ z>QhBVj!qa)Fs}jciWy}58AzxdEcgu_!B+~M8Hb#-_BzI3MPy(dE&`ihfl``5ldGtm zmvB_+5!2$?HRgJJcai^dc(vKHTj}?}D#UuzZMA1!^@>l>_lXcJ_%PmcViRV-&{_G@ zCE{+`!rTvYVu$<=d51y+!g+Jh44QNzNv5@?=xO)ya2@i5r=1%Sn>Cr;`Wn`O!f41= zaD|_vb+B{f?9eEduyUqt(dZZOYLx8uWa~bpVpyS3b`C^?U!925(Buk@<|&+QPY(2^ z;{}kdLIfM}LJMC%i80^0r4H_w%vk27K<_Dm?~UmB$_5BlK*1a-d8U{AbwpC z_)mZe*l^KZwI4S`q<2F@T~k_v;dWuaemJds>xLQpz5#lR740_pjW)vDRg{^aH>sJK zX{2w|>ySd}{60@YuwGHV$+r;gej{Ddg!5)i_H9k%bkrM~0IfbKOj;9|x0kfgpAAU` zisMLCAt0UUkXOQdnW@P?6Mou0b$T0-jG#cN$)G7x)ZX%LM9K{k8p34?2evC4vTOY2 z?Pr~2&8U^Ey0;321J2M#7^E{G-ygEjLY26183o|HnhU{5n9aUebgT-{Za`JF;NT`8b|gfE7(^Z9%8-} z&XHQ^N2Z=!>NKyRvkYlop^$PIb_8}@X|=3`Lu3+;7*xBRw;lP;I5jz6er6x~b}iBc z0cfOB^a&4Cz`UlU$cVp!5i8|p${7rKj)y!7((~mr>hy$zz9IwU*+igGfoBP=K&i~2 zDNxi7%oX82-&AOVg+Bwr^R0vi?ztZxE6}Jo9Ci76Aq3#!Ay1fbg(jW)W+8~Ukdu#u z#ikawl*dv4uoNwEejsq6pVxBLu3d!NqcR0XA zF$g@rw^_k~>hOU1QV@84U734BYbj*R7w}?Qusr(AI^t`+hCFJ2$82l zOWMO>vOUI6-iMMiUS7ZPlKhu7?4d~$zFCsOF68(lVVrO&2V+8G(&}}}p>&gA1W2a1>-dobc8YFq${xsE@M?jMmVicfELTI}QTc^z8#b zQlHU<1C{Q^7--q#xCnD}CG4~7%_{s_kR|Fy-DRZ@8OzO)9_V1Zb zY%K?hN(Gew7_k}2m2|VRx;75kS$QWQJXnaQxp|jEIXlWvaf{uDf*ZpXI{^vn>n>ga zp5Y<5$fzB^X}8#Ud(2&LwYvq2Hzu5H5>y3rgA6-q=)YR-CDE&OB7fPizWi7Mw_9>b!9%ViDw?_N2vI|WMYv!E`GASU z!pfR6J%L8A5BUKr`b5Mr6=PlDDe%YXkH55MI)h`B42ErK(uwT%1jP8-w;;qQn#wsx zku%1vzx4vcgGW4J<{Fx`=9d*N?n2Hx5_Sq_ZTmgKr4hO0-{O6H-mt#%Lr!VkTdyZU zufUOlS+cU?w;Ik2j947tqnu#2KbaT|j3YkoXG%T2V*kl3I3x#79`|Hc$aYR>3dmQ& zP|#h^f`sbG+<_b8h!Vq`i#Vg=+5~NbZ;iM2#qN>@XSAn=t0gkbm;&@B~jfhh>Zt2>>_mn#0_~%KOL4Fxi~Zm z0|*{u#9F|+8l}lS*`8o-bmC2|>Lw`ESBi~*+R>xGp@!sm(1aVa4J0;eMkh8XS@m^I zL~w7>7RcTp6AOlQ#3%kt>8kg_88}o22;M)6d}1hy>eC{j^FqDpVg?)K!inRaa|4at zpYg}_S>&Gh)Ngw^khPf2ugtFu_%m$qVeX(1IqY z$}qH;J-=)P#Uj44D?W<79@ZaLQ=h5NfG12`;KRC#>y{#alUX7}JQ1XOV&rG?R%k~& ztlnT3gd;`r9%yHHFh=C>AmDj~(I;6+%b>zV5eH^cOiJ9$M7!Btm6s#TY^lu#rlU+l zxBWw^aaD$n!u`C$199=NCd|}BlVZUuFXlqd177)5CArg3*jMTlCJySBuEr&k$SE*_F|HmjH*|6*#M4t^E1&SxhRE1!j>4;Xs_L!;jx?2yZ-y^?5 z<-BO!Qw5KBZ_1s8_(Fo0b!eZC*Yj|1Wn1->2CKkPAY*FM6A8tXohv<|#xH6p7!Mua z*pmA3ORnMXKAvtU@KEF;NqYadY5?+rDlY!Iw`q@qX#wpDT-5nGsEVl2KU(KQj@$wo zMF4n0D765d8m0YzCNw$5vcvh|Rx9YW`jjunA7+Bp2mSFg@00|uv11Z=W3kkuQt(Pb zQ@bDg^7RTwBBAbqRY&slhiq^D2Xx{cDAy*+pB7Ag0io##N}uXPWMPba-R&lvgx)cON(rxAL#!&(v1dL>u*3)~0~P%s<-+!`f)dHP^KF6iK5j2{zO z;Lz!SDj-Ht+$V^Yy0fp=iN}>!w)!zo@&*u)3PG zQP8*rw*>d#?ykYzHMl0YySqbh3lQ9byF+ky4^GhF4rh`6xc*WjDQagiwj4u&IHq!bLJ&xY zK#9=Sfu3JXoYm~#8(rLC_Y2a9opiiz&_mi(re!eGS9 z^C4+ z-p+>)B+JFFiw4^@g+)lXL#3DG&q_cb>?yJJ^v?qmKjBgc#6xASKO>?|d1_)_pKF67 zvVxMnMR;Newt|}O3Riv$`gbh6buWjWc!%L%d=6i9O4*;IqNt3W4m$XRu^B07J19=Wffo~Tms}w{yD%CU%HioWWtfD6<2{_&;{d&kV#0uxgy~!QJKCg4eZH^0G%rqCoc@1$?@+B0DD zG36AcRYk22c8 zTY64DtM^?)W?c)NmTR+ClIt_8=W2A{$vsS8-wfDFpY>49ZLMWdmU5n>l{IG3VPeX} zL-0ou-D3Rv!8&eB$BAq3LHm={4O)|?_ zMSS`i>_dE+BL?k}eWd7PPOOUry{jKPmhZGZLUtQValsd9T<=5J=8t4;t^M~^`;LTp z>rv;vykq zt-Yt+KJIzh?doDZJq`MB5E~gJ~0uRdALoH(!hPI|8Zdrw#y}Q{034c}P=>0uX zbIDbCVVRS9>|Nzm!;X44;oA9)gEmfS67bTUf{%4<85A+L{ph1ZRcLKwqpczm> z99UTM#byrF2L7arCPZ{a&~85dA#rce$h7k%#YM<$wor?zVy(M^_~EhTsw!`ADuFlc zO#-$Es>ICh&&UPevt@^&oTza2Nk1c(d_S!LV^ar?e!Jpl$~5sx>i<3L3lYvTXWIp- zseaPg>k##3cihPZ>#c@Cw>COsWjwkq)!PkHZGi$?BH161byROx!WsyHL(!zV-o$#Z z&b~uL?H%A~y%{O&x9&zM)|l4CUAS?+ACQ^VdcJ~fa0!-&>E2ki*k0Wm?bXV*Y01Kd zE-Iyk2mh%El^RZHrY;vD;Mb8 zc{NF_-P?Pfh<)id_T;Cp)j6%ro-KZ`YCWmP^UGL#+NgQDUb^y?6&6VU;j?y$Sw{u6 z(qwC~77L^i5W+`oWqVy>$aV_oIvf ztsq(n{#GCEL0?W>e|xte0b`L0JIkU@H`3ZiVY3nK{6LBVqr_?R&YGd?I#7AkNP5tyGq{x$P*S5&Z9 z2kC`6)am%#xI^~jJt0BQBVlcR@1J6V8pDaXaZ?z4gV+0SDrZmg@plT$-;@Kr6al?+ zjp*oIGV&qLqMh_vpfh{tv{*rn-0hysMH5=K-%1aIT z`*I3Mw8<}8unn4Eh!BCInSLwio+9LsczIwQ$(I8QdcK&@ftuJC$o;|)?DmF?;`PHF zh$>aJBnY(Pa~D%|(dQJ3;Wimm(d-Z@BMQBO970(?Fb8b74d4$YIpbw8kS{4dY`RZ> z6uSz&ZZ;=S+$h;YYZ%DVy7+$6R?aGAm5se9P(N`SohW|51QcCg->p)>pfgUOp*T^2 zZIHqU6c7>027%O3fvtogzx3BPTqO~puO2U(pNlwJ;AGSMYCb=Y-}q(mqe0YvkL*YY zc?Z#v2f^|d^ygmHu{GW7Lq~pwHQV$J1*3#(+(3^hA&mu?$TX1e{8TT&!IRQ=>Hwr= zUuWX-Dcrd=$@stp3QQ?3Xjs!Dtd?0KDX9v=?W|As$iX&FHCXn*$+pU=Pxa`=>I3ad zTD*6=cVLs3uhPvNy8-Xmc1=Gn+w2EcAbfsrCp{W}2iB;9AM!?Mt?m>|VAws2!U{fd zJ6TMH$FuM{XaXOsymb~+&eRreab{_d?O(=X&k zJ6GQD8%B3X-ff9%`Gg87V{(1J2JyPNO+fa@#!)l)-g8c%#NlSD$yQTp9T+ywNZY$H zUuE-=NGm9M9OvR){x-D8ctG)12DoJGx|1r29u3DOyIwU68n8he8yM3I4l$qLbVe7h73c$ zb1xxXR%j>gr*1U(u;h8lEDj8CTU7Y3Qw+%D-5^>Rz@YZ-C4E(w_DLiJ_^XDY_j9+C z4cun9HNbBhAH87{1x7cR12S)%gclrAM~nz^z6co4fR--`w0y|>(I z%MhL4BQzB{^3-B#rr+f_Ly`96~Z_jj#T1e`~e3{^RChQoYabt05#1;Wf#QW@qu^thL7hZv6K6dC^x4Oan&azxCqLDrC#w~XvKbqo7aW-JKw7chpH|Q=1LYDU zj>GV4QTuSCnIV8OaOSJ~iP)`dq&(!aCD92hMw|L>Tl>2*o~_pWoa^H`AEqVac87`j z{EyCO)&$Q;pV39${^$1kKOHT0cGiE9>m2_{;QoJbd;MiMT@emQLh{e;_5Zj07svmE z|2hKrFS2^uqqW_N`TqEb@P+;N3o=ftrJShc^tc+CDG_Krt_--_Z?JJ<DwND0Pkve%iBl%?R#`9dF>B3^hx>+h?`_V zE_n3T1a$PxpWX(c(^)^e+-{7}1D}r{yqFQ_X4tI{(#~QJ+|_h@J6A6|S0nGog61w) zlGb{p__c+p1Vy}MIHMk_b+wf*f$SVw0Xm_-jUNxUIb`RUW`%9HK-X$tHrcH`Do(FP zxq+-`nEiWqm2Gjlag2AZ9y>8Kg&~5je(0!7F+E}C4NqKr+`VO;w`WQ}h@j`$F0|c0dip%~kK!B|? zy%1m(SgG^T2@NehYrjqwt7dhJpJ}F77(Bg4lO| zRGKpm8nX|&EQB=+b~)OGGk(O2JPjL@(1bdB)aP2uN{fH_COIGZki*ltP0TZ?KYSKZ z1_2WEZ7e)fB2tv93nz@$29b4kuv80fK=~27?>u^5&$!ItnYYoMHh_mISG zeYfD#(ej1|>OTKK=0$cMT3`312F>Zf;O&q;p*JUce+oc=iF@OL^9gj-+Nq5ueZGBs z+l*3O72ip*N*nYGN$eM8+f>85ts#IPBlUM#(YmPqj?)vJRCcFMI;BsFhDB;2abS91 ztBKg<)F{J+6ztCvBN%u5aJS$M`~qE;41G@Wdm-Te!kKpuJmVss@&7Tv=m7()w^5z% zvnSM18lP2c@MP;F&mhTf?|i{ToUSR+DuQp?Gm4xZKUa?`a^K72dWSP>mDD6(R6k0z z;s#_)3pWM~`yaXoZ4;K7+8hX8;S2)?StrNJKJX37X5brDZtwTiygfO7=uQc2*xAB3 zQM1xtJ?|qWy2mf+;vwFuk>4!PEZuj5u8plgv`6J%vYttMpIt7!eU4p|$KZ!L zF?d_Ce)iNmtt&iUK(IKK+D^TaFpW!A~(2cziqBt52tAz0QuD9~09;(zHD7d>&oqEc4K@!y=qpWSqyDX6*Gr4`iG+*xWZ zU6l@*XH}>=U)mi?O~oeFdw+ISp+*sd+BwoygJwfR;Ukj+|LE~0 z{Y=48y;14)-Z>=k(E$d@K|N8{!LMoj@1xZB7FfKJ5)RwYCX%YG&(7qDl)GOPwcY~< z^)t(q+|vu_WBZu2I751w1~)CPCJojH=e<7ejL7}ky!ynn=zinrS=U>2G8bO!mPY=# zrCFvpRL2Z?bXR#8W>oalMys+zxQMBy)!&pmn=L~X&Rm~NJOW*s&Ry-`K$t{OdBXbS z>Tb1bQl&d3mk53H9&yRxQ{FV0J88^zlvR)=X^E#7o@BfLO$n_y)oH9Ark0#Vln#rf z)BVBN}a;qmW=r`iN>^a+_9C3vC6>th*@W>B7MY2-+b}VLfC%|? ze_2Dhc&L-A{Y+0ee!TfGw2URRo2Al0@Irh^QO!ACqw=kCL`G%;QIwskXEp!D$M%Kj z<-SR$iAv#=FKl%g{#GI2?<}Z}aB&7dBs^^SuzwkAa^yBTz`*X(S+dgX`?Vu^m6j*c z)|g~#zkYkfM6$aPU~ivrg8)9xXk0#y?KZsaT2u%K*msEX+<;JB844Zqu; zixG4Fj?tIG_BM$7Ecu+xqK;?q3o?GToa=NWDUVRWDTQhmi4#@yNT>@7`G#%s{-7S= zt3qQ@2|^ZH@on8{y5UDA3x!VZ$AEJwmtM;|=$6mUI-_X}?_p(8D!XZ{1- zhx)~Ljs2!SRY}${@6ff*i7aMl zxcz7Qc(Ard(xT0!n2VmGz5!1qkqE04i800a;V+Sm&s%@ZmN;LB5t zh>+Z4q3V?^?2*l@;zUW<5n=zw2|vZ_vl()MEWx~ z!>Vv!%B=fphPLig2QQ0UaX~B91!b9mQH@Z+1eK}~wG&m@NT?Yr`J(WB`Q!Z4eBa-7 zf77VPa#eMW){D;8V*Ja4#LIOh*1|{L3bhpnJjO~_Mau8}c`d3q-59qKvlgV^g|ezF z_yUUWK#ID*><0)bh8Ait)CNh4AQTpni{mLCx8w(@R4`N&A7hTaNK6LLvC5BY9B3 zmc}-*_n`T4LpE>++$bLOQA%(0gI5D{{drsSW`?=Af6eFn;~MeXK*nF};ej55-`+(^ zwCjj(vAplKkiHwC5-wm`NS87s5y<$;jc3rHNSggONB|bMPd=!SxPMP=Ylz)8rbi#h!If)8PbHGO=&to!Sc_40cx+P#u>@&J97hGv<) zBcippH;7}mXE>oqR4fwyA-sROTUa+4Py4nw2Nd%j)KjvF2@iF$0)l&yZ%S7Q({d&UK#jOFzAuHb*Sqxof}0I*d)|UCm`xkSQg-qALlu zAlU}d)_k)glqauK2xJ1Nz;8hOZgz^M($$j_Xt*EB)!)PM%hTQaV0ESTlS=j+5?z^k z###$heM7g9SYUGWHd!KY1SGHk(R%snfq zN@#e;{L;ZWh|gFxB0^&iKv^V{du5I5I8my1M24HzxlW4G@Np~>VKB**3pH4%GWRO) zv$s@jA*FeowsB-U1=QM}_7P7!5bF6>&nI_@LfjMA`hykL&(8S1Ro4;XTMC5L zp%Wq6P=<9VsM%72=x=l6bdugGNz?GH`9O| zs3BR?9>7PY5CJc`$AbN*BxFEIzU)9K2eH&bI4h&R>^?=l>^>>U$zz>Qe)3M?3zD>< zZuT8qkE+j%QRJjWPWTX3>fghctr!^~d8kY^6IMhjn{DR=MwN!9fJMSt7Hc3uDB%a} zS}WxB$?Y*D`clD7^~uAOi?hqWJ9deNwJoh@9%sX(ypI%W*C5(bg6lOyzdHgWE>NIQ z%^kPKe8-j0wWE!_bXS5_6R8j1D<{zN_kkz>I$Sa#wY1maxy6$ZQjAmBvT6K2!jgHx zD$;coYzZq?3h*=Q=!vsHH6o4F0{D6-Jj~>9alSRv+S)iO{uYey@HK(=*Bdmf`)y5SMY6=k%6k$_ME3Z<$asS{QFNa$A< z@*E}y$8Cm$S3x!q32oHXnne)d?wOJ{Bu#;X+#fCcl59~DTsPbn`Gm3*DMdxe9Iz7% z$dnBV6c^G%B<+c*bTr)Ttb|8UJ97fySi?no(M+AP?6?xdY)CkFYzxaIRn}B4jgy#> zI5+FPheP;4q!leg=Y857cvMEf11aU?unL{8TMir46CvwTTot(&O@y*0sYGW@{`8rX zCI$tQi|K_UEaVo<_m#&a@6=wkF|Y+I8Y$fr$m=9SlNCpsABW&RnByA{mwFv-`V9tk z?YlFD1dDtP#%xj-^TBK%>h9*B;37poJH{Ph;9#gT=d8>`gv`;QYr|Bi*NB8rb^eJo zviI9(!84U8q6Rz|fR^_;PPgnwBct+S~dt#FjSS~*v z!^^_}Pbw5vjQm-%&_Wc}%TvA?a<%tWOmFA}qAwwTd^Vnu=_=%mL+R!pqrSI>%Ba)wp4;>Ca-${ z6GLYeqt2tf6himD@TBOXorsu`YHToJU|7{)WHQR0iou{3#N@_U*b(Um#zgKPSBA~A zJ|X`Kgv&HT2vQa*AI{(HIplaggRs`+K2+_OEdy`FTC}C{mZ#U+nPD`^mPsk&3j)~2 zsu_upUQx2y2pDx{zmK!KBG9u#s1v9}#SnjbS+tIz>=*^#j7-Y!upe9baK7Klb&PyY znTukro-gPLizRxK5mc%UTRmR{0Aqf$>1IhIi2`XFuWn@y<8Mcc{e7o;-41Oozu5(q zL-zZng|#-w`f3kro}cq`=Bppa;tozi9c-#NK>;~u;^W&pbaO_gZ@^E*q!t9sgf0B3IF}?!Z zr+&D?REFUSw#9<YlwnPvT7E7|zPe1?2OwR>s5P)YINr!j<2=Yv?yy4vV*W6B%a7O#FEU#>$j# zc;Kk0H)mT=ITQ%|lTW5^jaEoA^&ZD$=RFtgT)Xtz>5>N**0M?@wzSQb8^9nTdCP^M zQ;F(OskC4z+f&Xtk$51yP$E&_^Ea?Y&fyK+mbbBO7H9Ku+Z^-BP>-NfHOnOMiu6T? zk;w^2aE?K(|CT#pWk=*N6|M4sqCudNG=@|VtT)GoT>r?vJ@W$O>F`nT8RgNp`6O`I z5t6>Y_+V&VL_LttSS2DtWsgO*S5h5#@M}3yN_Ip_os=^0mPz&g&ScPJgyt|>bKZ=* zi0)lowwNmjY*8}t^%4g@2FMn&HaQC-apkr& zi+SC@s7Y*rDP5M0ssL`Wzu9v|nRr{;g?nLm?M;+w2716R!3h)(jB77M@@enE~fyPh2$;TJFi&Ilx%+ZzVdvqgps6 zm$`D#t$LInF{>VpCor=|@RY23hqW+nZWNvn6PY3TkH5}l`L?{~jc+QM-v?L>3(Uwc za;_LJiV4-?sWNuDMkj1Lgk5Lu^tBe>9t7x>PPaqwbR7uxg|U$8cqytb&atKf9YC}h zH86Tn&uCQrn4PFbM?!7b$h$bN9Z9yaUUhYPP4?TLi=_R_j>$V}uCI-oJOW}zt7St) z=^&dH-;MQ~a;TAHW8I;foD(I^1j`>c#SPT4Bip9JeOTNM*e(qbGJ!;*B*sBk?DnVaSxx>Ai*Ql_wzEg#F z5uar{nPIC~R#IQK^2x?0&!@Se6FTq377YCJbg_){?%UM!I7@SjqZ<>>CaK$sru;Kt zQHNlJy4pfLx@oaOz(2kzy&^o-1D<#u~ zv5wNmListhOfPkwh17$B@V>eqXSP~~?lr7+6?30j+^FxnbIS3F$JLS0UzN5k-3h^=ZLNo)CeLoAJ9{%bKUwrr#emt#`n6Xjc+H7gP3hWTowtdY z`fj3UZ4Q1eSwnV<=EMeYrhjV0IA!?&05+pz7Cy>&lxESHMNb4_d!=VJalVJYQ=g=g;<(_)81LJKaI>>JUrA4UG03dE zITr7P3;gItj}%O72n&3H|LC0R_f>^#)lieog(Ih`M!r92sdds%Ab$mayc?V1pxV-N zjV5xoJW_j{YJTLs28}p+&?bpS^y+v(MoyCJ^*F>%bl<5?-VI@h&KPXrfISCb*6?`7 z=(0hNYT}(25TaXo4paEG*YmsE0Z$ZkZLO`@+4tla3CYa4qTnY0hk@PC^ODa8JLHv` z(lSnG%Slx5fpMK-?auTu1dHY^N5I&neH+x`XJ*Uf=&Ix#iIW3q}f$8=2;$e zp(@Fa0JmS$L2}6)i9W^cGwrc|H$;W@Rd_oB`M-m|_`XU`@>zOS4lOqyoEhF-cD8C`%4R zuM5&#lAzuhHHLN=Ky^IOah!k9-!KZRRGKYURL%zmj096NeKA3zWQ!3nX6bq|Udf7$ ziTatL6y^*H2FArABFe+(F^U7<&(isVOnZ6@)?N3rP{z8^w>d-wl2T4y@G-xC;A8S7 z@$xdIewUviwg0#x0C(a@@G58klsjtsYmuJZ82aI^gAVSbd_IEn%!lC$_|NSc)_VYi zX0CUS0N)SP%T&jemf}~y=>l{qikC*(W0R*X{A$Pk7vqM z(5Aga6*QfNT@b&ju}*iJZMj|?{(l72KG{Fi_1wmnz&9UH7C!+~2mv%SCqS<2{QYx}FZY&bkNH3jjr(dE) zPY3^qsm%|v*;m5-!M(izZ-w?m(mh6~uVWVjuIBlNY~aWA0JlAs-@OC<|DbdpUkHho z0Lt%RuXds(KpT`1b2UMp3N%5ibV2r*+~&ag9r|K11V)FaXn{B&kRAy*5Uw(io&h)z zty+*&3=EK$I3T(G77Xh2 zo3upD1}X%1ljlok5)jr!7f7MU#{(jwSjNJp2X&@xk1AjfmYUs+y1K1}bTnF>Y~~!5 z@gm6l0vPk~_=%{pFhG#mcmd9YQZ>W4w?Ziz85FvVivvWI{XEj)OfYU;sL#qlf7^xb zad-FauIm1Re&EnGjY3#WFgyo8jh2h>7Po5vwQRayI~U{Ae9=uw{7<=3zvs`8 zH!p45fOO@JBFmd^W?#f78GJ-s2sTxmZyZRDZ}AUSBHSH5>bc)LZt^;H-KSvu9Djdwv=dv-S5jf}VS_<`&?zB}x*QI$^g^kgD(L5YmvXJ#`?Hhl8REJ`iQ1BGViqU zIKhNz);|E|smU}WHj1%T?N`L-R4kC27>+fO;s-c~&}#1!9F*0kSwadc|FGzkiRmij zV(=EinN8};I^kppa@sV)YxB!$QXf_Oj){7TE&=O?VcZ;pL{^n)zL<5Yw9xF2byK1g zQ1S}sB2$UKf0Q^TLizk%PKWjBTt#MCZ3F~yp;qv zeZmECd_i+Auu?hghC<%hFHkTO&av~ARUXY^@y#uT{sz`iXvzC-U1aHZS@VO#gY?(GHRYI**MP9@({fMJoCj^97 zT4)G?VrUaF682BStv*q}40EmRCik@%e4B9mwf=O@rMTYqG4pA?=odjBHt*-{p)(FB zem1Iy8S(jGQNwm@th@Q5y)?8~xwtP_?~H^PZ`G%zLkcJUc#%Z>@MOJ`UQM3W^`rOU zCp)UE6rSf8%ZJcjwwF)n2UcTl&(ozyf-pYZo*!ePE>C-kzK6$;BW8#;b6_3M7dNm| zZ@jQ!F}%K4r>|PVx=!8n;JpL&$s?<8{xcBFkruzdOAA1be~#ru7OP+3QgGrdQ%;u&lps zI=t6sm76q-&X*Xjy@Ek#E3a2!KVaVM2`5yKk7XD4ZiuRvBXjLgSDG5rAJck~ARY!z zYCT0$oUSw?>_45bA0TGHHE|KPTR-fFv6>1vj>P&4GMQZWE++<@r4D7~J(VourVo zuLg0|f*p0a`R9grAZ9=TH~etE_&Ynb-^&e0Za(N&4^B1lV~qq1c0_d8T|>2k?R8$d!V!C>X@5 z?)`TKnpX{6XQ)?ED$q_Ajv?{N&<>iwhYEC^g(IMcA-+Vn#tiN?q>%p&`;`cVa8-~r zdT12k%Mp2SFbsu1#qM{kHw#^X`mXRsB;uPu%*4KML@D1_rJ-C-1=pXX#=yz%)i$p0 zg`vm_{(pkk6!Bakp;}>kvPw2digUqtPxKuFvP%&-1*+ct(gEYHZHtxD}sEekdIz$*CCjPseAzg2da|0kE@M_ms0Kz6pXN z68@p6)JkN;IU9p}N;C;N)Zh*f{Ay4|5nk6gBpmM1RJmC{xNv!R2C=UW2L;m=arb+I zf!pU=7>|qJLj}pC)w$jYluulk)5%8e!{0EnIMmQ?mBo^QM{Obk1tPD8N+HF_FiRsHXqs{<|T&kh|h_ zb_ChI=g3yOR*astcS>b(Px^9I&tmdq*;f@bG4e!i_$rgWhBx0Ob3PHCl#qs_H3uLU zsD^S#BnT9!gmP#k=#{8SROe9rk=z7y42=E$#^J>&V%=38*D80NQ(#;lN*VJBsI{u{ zFnWihVx13vha1o|fCOd(1T$iT;J2k*#nBkhEEbl@C<#!q@^MH?pz+#5uL77AvAn3J z)TzNQVB{3A9_4D79#Vu|6tIXeK03{*QAW}rUZ4U)IPM1N3}}Wb{)W+|Z{Yos88=bEj zB&cI~dg!H##8|GyzeoCOi8 z79ar^vO-=RUdsw;xE3`w&OBGGw^hlfk+Pa`KPzftC(eur$!hh!zNP<%a(423X4NG9 z*7^3hBI!#xrS1-g?)moP+oZAl19YX(r0Zw*+kv@fTi54n=SzC2v%KeR&u1z3e(v4- ztQ{k7`-!K->*&1aeee5+xBrfu$E2bXjt|*1e;%E*bl+ zJ#sI(2wEI|uTYS>C*5alC2esp^R<;5{2G>f;%e0BGm0E@e>itMyoXlH{}j-Yb;T=P z!n1Tkp3Coz1497bfWaWasoUngOZTktwr7oA3Fqk)BhmOkRthYoRB;($4bgzG@qDsx+&VDckRlC%2et7ySNxexo!rwPvsg9 zh=+*l8yzWY7-z@U%K4X!=)JBd^5-(^Zt=1lC67*y zc7gTBR_MjKe+p-Eo7VR}_`0+Srxz=ljnAJfo9QN_T?v+*RU6BvyJwi3-&mDwk3REz z^!z^yXD3J{uxn45o~wM(?I++KZ7;&v?g{zPaYbbYE1$(bgfkQ+RXNxr8HxPEXv0B8 z7Bq`TC2rcwxzEp5LwBT?rO$FzGgs706SVH1+K<}}eXeZWEu#@wqY0)>kJ)ZyXs_sw zQ(8a?(Cx3Q*bTHs9x-inNBiY@uj$ES&jkfb*cID|n!|p$o9K?;K{k}_j<&TO<0sgk z(;@+1mdyDmyJBnoX?OKEemSO`c592##0pzebM-h|%bK|Jue+H>gcH03J8SbxiX^Ce zr-v^Hwx7j^a-4&rw&tY|pkt3ByI3w75!P5*svm#v8eUhoKm7QIaCQj@XH)+a&Q!J@ z`0t5J9vw5Ls0`=ioAKy_$FACUoZD5GYo0kzmdwv1v+SSPC^j2T7mkNk(64kq(to-2 z>anh5jfwfh~;N|)Ral-RD8TFrsFtxQ&YwGN7C?x%XA zq*Ib8pafVoisqvZ|0~1)vUyGBnRYbq)Fi%j_0H9sPhchey+bw6UHXa}X=4~NRYp=K}? z@ll2a5`TN=NaCvqfMghJrr7w`;(vvuToT<(VI0vNbgreWuA<*0c2qvp2U@Zib5`hk zP&4_wRXFH7)0ChoN--=25M;9YiWvZoHYjf6KX~pyD^2;@j3`)sH0A28-rw>T;TqY? zy9|uFw1=rx;8<;;a z7mWLEq02TBL@Vj1@{j!Qv1Ws&D&}`6Md&L9MdL;oaaN?2@F3wL^!XJOJx8r-`L_eC> z|HRxHy_g*vyZy2;Y*yecoQ}M83{oz^TI|hW+hy{BNVXScya#q^CP*AjOdbu;7l=t! z(qHri=>(CvZ(JACIIn7N9BX&!EraRc#ORkf&iHZ1ZD{-V;6!V7KboIr%&Lnfq~)w) zluRLv(hx{TumlH8{3RSsV$7-mfq|`jgpj-AHERUjP{iNeqY;H^>Xpp_>foNtG38g5 zlK9DF@(_zPUsyVs*SO9hq92TcwU>favcQL|sOnAgPK^A4sX2W-0E3GYXv9gH^M9Zb z4E{2w8K-MD03jh%#(6?b{Z0{O|)4;BPTag#k%+jL3$xIzqX?LbF9DYX` z09zRTP-0y`k+4WnfAq)9=?ub5a~bLb`D*`Cb+e>%{K&Rle=#xgxs+ZU87FD%o!5XQ2L65Dq1U@wRJziQ!Oo4cPj)KVO3IF181 zAjXgMHT(aZvS-+x5#CFRXF1{?t^DP85 zvhq57hrGDFIj#(9uVLNZr18GpzFtxD_*LSQbm8fYc_Q~sUOTbUq#kkR*VW;%A7`z& zKn}`#fR3yb4^WPWf>p*QwV_O$6oYOO`D-x}G_fSHmTXC`dc`xD_-RtLsZrhDOpURbGp|5yLw^$MEB(7UI zRY{9UK96e&jeAM|e~Nc)&&&P#-6j00Fgh*ZHp{YWlMCqMEtO3IDIFW|Wz-c@K&S<1bj3N9%N4iQ*CC zWC`k)G8t%!WDH9J1R0gSq6#*t;(++KIH}FPD>0r|oXqbK_H9)Ld)Q@kL??5vOew2t zLn#f}t+<2djuOR;V=k~A#d#X0o+2r*R4M&t5EOGdSPQzqk^O*gO)LPwr&=Dj*TfRY zcQH@)>Z1L5-vh3&_2{;z8kIlpD}wzWfz5`bbk2@>=mJ0J0=qbxH2@a2(?bRsDwV99 zgx*VsqNv>z+wsD`?HErtI{%yfMoI6O$CxlkUh>7N5oYxglZ*wt64h;f0F~kMz7`wi~l2Ww2Ls5jpCT(~J zz-K_}YE%8UB(JU`|22704#gKN^#~l|j2@%kckYKiSYF6ck*$#$5l9i2P-UxWbP9V{ zY$UWE)kw#9c|0-W`@1?d6vIFL-+oVag5fV=L!)&@!;W9iFos>!kttGKv5by@ejH0RMhPQlQ0Ykkhk_Qou;8Bla4S*67 zl_s|(I}+D-JWc78*$B?*2mn`zq$7BOq1?&J&QPJtjHr#w#+(zKxv%{$2ZwX1V}cNY zQ(UlZHMlQtTmXb={7d;(lTy4;1viY6XMo`y;rJm++&s8of~k)o((8RSH^ALanCl-F_6G}K{!ngAnA@e)8}&uE1~0yqjS^= zgu?jQQE!;Vzu(?$-2d!~$9W~vIk=rySLptD_=7J^6F{$G2j42)Z3%iy?uPRJk(d6X zp`7<@fxa3P;NlMuqI8`*I)Pi0sD>RM#vRMpSn~lfazKik2HB9X;0H{9gzq;j5(-AB zc#C1eSVmD|!^vgQ6x@YZqd_-`77eX2Sb`GFRzVURdgrH2fWE84sBb*3p=`6{(625B z$-8nG-hy4ifab6-@Xwc>rxEQb;`~uoSt(up=;}NZT7(351r8m0eE@N%B&G)dX#GX}U`*bG=1(w^51rrc z0Lm^pwx2*3$KbBxuU;Iy-|)m?4~_dAZA0ENoslfN6_xb^ZmmfvH}InyVZkv>|FZA5 zMqu~`?6^_FSZ+~b=a+Qd@vrAJsQt83T3FJA-iW>%|AgO^8d1G16qETv0P^j}mf(x` zmV>wjU~e%TgP_*fyp>W&u(fXzuM{N;AtNs)^7;}QF}nUicS%%SydOSyZLyWIdQ&WC z?`glAz(FhdqLC?x2?9cv3jT*m-T-t@E7ISlF+>&3Ur;D2F=QaR{)%{jm9?bJ;Jv~5 zFhG9^-TK1eO+yCL^IC?HG+*e+KBC0jf=iqO+!oUNnJhtK?+6i`aUfy$a?mT1ICH_y ztd_~Stn)ai&|Pc~ztgN`>@$r-}vSYUD)^MDnhA0$xxRk=+oIL#&40`{+uZ$Uw7+81R2-Ga|sf;`~8m`$c%Q zXOfG^lnqz&Eop+Htr?`o-S1&c$tAiJ_7^&geMqVmpu<*sG>(yfPAcs-JVm9Tu~EOV z1suE`+4*uVkyIYPR9^jRQX&Au1I+5}V$!CIX(;g4i`NYY?ABxZUY0YEU*D~WAMKBZ zCvIrCV8z2qbukJ4V!qW~|LKGe`TlTtR|F&zP|DEPb;IntY_BHhzlT*-LLMf;qn^bY zHb4G~O6v|?KAn7T*LS@wz3iLbL_%l}9k&zpwFghSnA>0E_+99-ZQEmD|LdtA9Lwz$ zJPJkj9}!Q{7wo0aiLsy0gkpBRib$OzdOw=U^odLK8|W8oLv+-9rH({nbFuoP9Q=hN zvv+g;M6meq50NBG-nsAzB1VP3omaaallH|WgT&%Iij0498>ja<1FqRgi}#!5t|i}v z4&ZFxOw<^_*grdaxBhj_)^+~PHJkc<9{j|4d*9-T*cR&G?&VRg%ioL9e#?2=;EC7W$9YCqU`S&of<>(}Jd!Stk;9%3ts zYj|Y+pmiUho6UQ1eXPCu2xMSmd2(3by_n&zxN2HQn5IO`^`$$UjEb%G!ophg_nqxN zTDkfW-CLKx)U(4jW?KwyNzkt2i91y;x8_;rI@@QNdGtS+d+VUOmTuiUgak-%La^XL z0>RxSxCafc!QCA~aCg@PcUEwBcXtTx?kwb+i~XK=XV*RFe7DZ6TXp|URhphXp7D$^ zXZPw~=3qIuJMXZgXDnvR?&W2f%?$;eE= zLy@QTsK-IOhwa_wNk}FC;Krq9Kz_4qSFn!T6g$pv`>^@YX6(*#*zlg%fc{0TNAuZ7 z53uX4Gnnh43HkV@%;jCk){)ZSJ%Cbsq_^}3A5u;qxKxL6g zqkW04Iu}?pAKO1OL4m(tfEg@qoCx0|qS~Vo1v+873n_~}-W^4I(SDzZwmh!K& z&7+rChO`dDWq*6%c#ME|G=eRfKg#SNT#bV?a~}GCCF~2;a8OUPE?v5R4Sp3>efEX4 z+7e04I6(cNHFkl6Cyq$a($x!~v{1_el$P&>@f2i;e-o){X1__Mxg_^2rWJ(n`ZJt3 z_W>tn432-YNhflAtSXoW*MAt#8DP|>%kP5|ivf69?@8ogG7ARyA{ijakK6ErPbIF^ z7U+M29y*uS^(`a>8(wW4lQm8x)1~(&^MD1DlMaxZsuECg$Lzw`yxHV)YzMI53g}>n zwE(`tO+(fc`IN8E7z}*2Qk=LD329x7U8t;m;MNFfvqJo<1`?!k(rJp67Uo~zv9Z0A|~ z-)NjbGSK7uq;Jt+)Fi6miLW*Q^JmgCfz%!+pCm$FghK?KKLvY?oeb{9BtTifhfo$a zJq9`*e*ev6>Imbw>ntG$m`oizfetYtno$0;sL{Aw8Tj`2OW9q zTXjTM9>{bZyrU7${H0#)2qz`gzN}F=L+2R)(jK5SMDmRxphlUKJS`8)6Rp9t&@Z+6 z51QA{-ic;eCVtopXr@;HWha->n1OmA(zw zqq2Ee@k+JhGisF4bFpzj(VSPpiqT$w;k$T1&={f>@G(ZQAI2E?1RJb&OzK70eKCw( zaxm~~Jrgi^s-`h&U9NdzbA)E7umEKGrz8xW@(dJXRXAf+pM&q-c_#EDoMTh(Eg&oo zC1!f)znz9OPVxsgQBsEdA4IWT`STFPT>4*)&^EiGTRlU-*OM$;v*m9Yw>pG#%m63w zj_IP(cUXySf<-j1GD_iN8%5Q7n)^VD?vTyPXPkG82cp|=tzz)3Rl0N+_GGt4%Rj;q zDWVX8h!U8Dd`QAj6iBf@ls#cw#0iM=UcLG|N=%7+ye=;jM|b{0;hg{|ycid#uU{fq z!oxK9;V2-0Dz7Y)pAfbD>_rqxf@GutwocKjKSIsaTU$jMcWtYTmTn}b{1>Il1utYE ziX-$5rx~goHENXdbFp$kQG-{)lTlv85)hCJdzCrdvZ(0aR6bp?ph26_7kE?1BP87N z(Ew8>8n;VabJ+0NRGv_D{NG)UB=gTs_%#$6qMC699!*v64L<#Sw0wm`tWq?m`HpvvHEo;MgZ1 zqqB_Bs`ik60!e)&suo=Xq%}o_dBC6EpexmerW*cJjNO+Epe&J5Mp%r5zyBz(JPzlE5Y~!ldc^fSeRF53*I!iFO_uaoioi*z}^+G`;27p8Brn>mLfFJ2M_}FWnvlW{JGcPpe|j+yC^V09n1|b zPK>7nG|8C`j^vIw?JMSw=TXo2WBK@qp`H&yK_x;*D7=ITL~oJ0ggU-J9j1Z*Pl#q? zuvleozo6{jJKR(SY~|mM9KnF++n&1)sH14j1s_m+za~AeTj3dPOI*Z&qX_yC_!da~ zpCr~k8N|JaFQdwUXAC;Bg%1}enfN!)67==55+gDz-v2Ytmg|<3M8h67VHR8rxkGsY&n{YH(g1~dpS#hJVrdtuI-4B9$!`XhMF#4 z6;7<;Tb3~q$@ufkf=)i5G6AW^bj9o^qx?sg0wTGj*omV8czIpI-G=reX{%fY$#Xkzr^;DebD!`_5`$@7+$Y zkpGTp>R2-ZhXz8pdJ9k_;Yg%_j*<{dksl`L1*D^t?4QIm07#Vo(INykDuqG4TN+3W{;6@O1_zmNFW|25Q*MW(OCjO2p zMpcFKZDdT3>}C}>5ElXwW8Wn2N3|mPKjxV|@$YyOaE(2?+UD2!ZGi2*&0}ITFmf`!PPr2yAQ|KDK7)Oq zvapG>DA203t-H+R!p1eTjI)Wha;$M{EB63oW%|K*U? z)6t^8pk}Q9zIBbA@sEQ^|F1&Lo=#8wdFvYcpD4Ef_BhqQ?`!+>IF&}}x@e@|5%>#m z4G}%Fg8_%R)^q59*o2n`72i-WjhMfU;G&d|9WE~qgaj1*y6@OIq-HC@51lY9&}`la z7`W;UCjd?wou&KrvuWj8-Q3C?`Sn|2(=OlNFi1@UC#sl$6IFY(H0g-bL{buUZueU8 z1a23W@uiQqC2r-?I>yUxi%t2+zSal_h0ec@y1p)Ntm%!H&O4?*+BJoYGgDUvuxrN4 zi8vo1(-d^|yQI4M3KSogUjj#}N~dqtv=l^cS|9gI5h?mOn?_x_QM~PrHE2ov>8nfE zrB2_i$u=$Zj;Ua^*<%^vMZ1D+Dm*>Nhkv+Z#jhld5cugKx2L9=-;vvTKGYF;1n4v{ zBFGKNG=*9H(DeHW+X^@yX_V z<0sxFUa{sA8@JCqVbcu@X_GRZwRfAR)q{@K`Bk7h&pNlO!3~)s5BrnuttruzQi``U zQ&c4kmv~{uO>ud{L>m5`*BWhY(J;HjoW9h#voA!|h+evC4z>@|O z#m;zp=f;CC6ID;gaAG5CXy?-^e_48+c=AkX70OScu}f3C-rmfEhgq_3ukS^YMO^2| zetAySmUpwt*WNJXnqqG-#J7VtZO%+UC+cAWCG96oz3O9B%XbYj@{hay?E>*%@WoA| z6j#G9rOK)sano)#@8y}K%>xhB{dEd@545j_IVkR(@9xHU;!|}qr-!RmqPGrg@9%YL zZ?2BYhrwGnSDME+c9_8rcVuMqmhvTyo^WULhjJR?sVM^+{Iq1v?vG59EK^|Pq_)Kh zcUQ0*t63qq7D>LzZ$mSF_^Q2XNoJgB>Bp^&Te`;*?{M?g^I`wNit{bj@rwH@$#MU| zv8vjMFa|Cuq6WXSqgyU1bNNYMv%F?jB>^z^_}lno|LHPtQD4Rhfn(&w8|1-bWPM7AJ|8 zaqdEn5`8+~s`?i#e5yt&YZ`MiI0rTl*(PlDwi42)XTaB@6(kS34dw>P-h-M87IEh5 zM-vCcwO1Ci3xzM!Q`Bhs;!Qclmn`{p0$ilZvd1ACFv$Un?Cqzm(`prO?i)2?Y8US zdH2ssodO?^g&)J3g#nX`isH|DOgXuxjo}42xG!!%6QyToSsu!_9Bk+AYPwokr@qmy z=f=mK+oa1W=KiW@EVsHBzrtxNj~zc|r_Y-Se9p;iK0xslnb52YI&o}JjmosSi@!-? zz8~M&Nhc70#O=-%^VF139n(=P+9{R8c9!M^liZuwjV-5E92ZWC@ir@rdzOE?O=Z!C zi`#LLSh;LzPqP0>jPE#Oyphqg8F7rymyNwdx*Wt$?9CCLQ`_%xO`e%H+C&ujULUDhp9pTZn}H5 zxn%gwj_Xk2n@x!gGs6~}$%DaM>RQZ42AMSiYGao8CfP&S{z9fL3Os9N z)4Mvc07$V5(^kStJ60W%c@IjEEwD4J;9?41dfsPD7$$}lH4^(ml?oodb7jBwvB_v z7Dw6HO_I`9$k*HB`@y4XM}vV9z|%1kXqn6&DXCu7N@0IbD4pfp$S_FF>oLT&Q)T~P zvoBCGQMDe`qZm`3{cgW9N_pd2qKWhYH?2Cv(~aukB=E4BNHf)bS?jzvv)5C<^$TXs zLu_Z7ra|Bpri+;b2flYoHQfP~DtwO3+^p$aP@gfur{vnWODmVTaXsT<8M{h_b5+hj+-Oty48ZoUO-4^ zfRG-Zgw*+pmtv~(mlKFQJ{AfM)D)Q8=8M*da!_hgqSX)TS zDGs^k+m&TX^Kf7F>(vs#e!41J*c#E4Y^7yHZ^+~UGu`T*|FV=uXjX1K2PFNlD1NgA z;0MEDhAp_Pn17*6pUfY++I--zws*wC{y_=KlH{ z7WcD(Ktp$s%d_7Sh~F$opVa_QRTCH5-pgw;6&RG_@g$y00SUjao;Tb3GQ|fu9v9JR zhm&LXjPN5-n^y5xw^>5;nD?Q?%)UBYNf+AdRN#35f@C3k9;1=Jrz)S!ind#bH{FPZ z0|xAmErW1=*@bA7TJ-M*XIKFAY$OC9>iiRQOGu2TnG6R(?$R9n1C{E#9Gkl*k)6a7 zn4KUqmF9Nva$!9(@yOowqaY0_W{A$d1W0VaBfl63Ldv;eel!;bLF|)XI-=K8E~++0G|vJN!s!_BI%>XKsTb{E6i8&uu7P3~thA@CasLb3fnq zh53d}@i>!ar%BA>%=%oUf<lF3+^|4-d{!<526(1FgRo z;bwAQJcX<0n=&`takemjH5$x94g&v;yvM@IH%PcPzcnhM6%O#5!~*jOzdFbxwDv=m>#ye51qSE-)Na(>S76h1IZWUX$={LHirQgVw6Hi zt4W1IY#F3y=ty0#?4lK+shzEa0;0GvfkB5b-yqt-husuJ>e#gg20^NeW&6!CkJ2G` zVXm>1=A}F?E!pt~oXU0FI}4{;MWbOTING@;k4!3!H84t77`pog@mHr1R@m} zlAUYeYn4ID8#D2;y;XPqhJo%bbeDlhHin%%@xd5c&;=Dv)6XuAFFUt8ms1ivK`wAW zxV$V#5g_3*E&=?noZP)!qT+e)k7fF}}_PsZE)hYG29^RTiETM2pnTDgW~eE7xfVNkq@ zH`a9kIu@|bFq?u19lJWfIwRD@(m{fyn+FcCefP8~me7!J=_7n9LWYC21Fk0YzTrnA ztD8>g*118&nl)(B| zN+3w!k#C81{JhYmBnX5EISALsKA#%Mttd8M?+bdQIBR!eB)?ij;OJ;Y8ZOdEE^ff+ zT1!fgmohifadsDwps))D@4lC9vT*fyy*HuN5JJK&ja$zfXq9{T0Mj3>jp7GOpRjtS zjWWfe=fj_x+sgR{Bt!Q()0^KB4aUTt?sq&T4C_bj-xU-BWm$FM$Dp34qNcq5RMfoL zC!OG6D+1EmS^+bJH#al1F6+RrU7XAiw@*|=TBRXamq+R_!tHQ(m=KGHuEc@0CZKZ3 zw;m~em+K2rVS)hpea}AvkGqS^f`le@Oo8ju&8FObEr>f{?%Z(?m~=gUnG8EhW;H>= zn=5FL3;3_l&mltuqz*g6iScC9-Na984LklBR*)^@Jf)4_EyCN_g&3*Nr^JmLjx;IS zky|_6hEO;h+Ljnr_kyhjV$R~YR>=%6j1PVB*`K1%{*)88pUGP3;D*2RyP$acA~_lA zM9i_hhtW@qa^>br*CiB228Mvqy`TezfLRpBf(&78qBq=4{M3{vcR-qwF2Z%y3M;<& zetEV8{8d5(FAnx#@0o|+7eK+VD}~(#)@NG-c_45ac%*4)H^|!9aYMBD$&6hG>B+*7 zo-7PE#9Z6g`iDSJQW@Z&QER?;cRTErRw0>tHz@IZ9{>U1`(dzkjXcEtpEOVsbB4qr?= zN|)!HS-JyX?QGqj-MP|UyMei;D%TI4@9*OKEonUG-PKA{f=kfNkG1d`)f}x$qJLdd zXAjI; z)}!cd^uA|onu#!^7x+)g7jImPs$`;Y%yvw08s~#nK z6`Jh^J{xEE8Ptx!yG&V}pR|zv<5l~&^SxR5*I~FI&bQh%3t%&Oz0Tm}XtSWGFYfaNM(5Cfj;YayP2?J^J{ovntTs-BpV} zc|#o!>{4ZVSCv*G%bl!f zAmB!#_NnEep@w^IfAWCF`SVeHZ##vj_m(F0i%uKH!pINH7$xgWw)L;^kAf-Im@Dsw zKTc10T!0G*Z1-EvQLm=JeZn8iS6ugw#f@z>H2si`T)7lb$Qm}{wjS-P7ZyJ(7aRUC zxF}1xh(5M%-3@%dcFq2o#kt${w zW#=y=Qczv}JcWj{dG{%Ytg{I!uc7nED&f+uTgqu^hh9kcthk_%S#M0xHw$Ef%u zaHP-FBK5a}V&^X<4kLCYeu?4`49=I-%*3i;G9$Wr;q=uMdS#N+Y8{SSd_YQLWGhb; z;iKafY&y)Imi_d-WiP{d^i}piD8<%Zz^?$#?pQrcE1>gZ7h z$$&onH5gqtOB2$UBGr#!lo!8@m_fT`vHdSc7k`l@T};oa&RNV(Xc6e14|;lz%f2qm zIM?tjZaDL7f--6|Jql@mj83>y=op}(u{B=KerXmYUe2C{s}Ur|NKg7(R9d2+5)Wo?|cZCjETsltX)Wqz~H)aveQoWirP6dNSld_~{Vr8Ba?-{vEQu4oOqzp_gQyrCy2M6?yTNa`$w* zui{>tY_>86&==yV=P0Bf{}K{&QB%+HWT&xpBU87@^TgHc8-TgmT~&_70&(g}@t!_))mMpmH@&jy727 zO(7DiJ{tLzaCH050#!N-Yx`Z~f=^ocdlsQHWFsR5qoh$CKer&sa(eo0IqjaQ^1uVJ zy)}jUb1R+6Ix7W=I3b|ZfQ?@jI}9Zo*LgIzR^c^Pf36v?*`AGX^n1x@cLv;2rWlA? z=#9V;i%rkKv@dTmGQ-$s-iL+oW3begN$>ASZju#)4x{l|cg!qSkBx2LOoy)aaTUW5?$U6zI$Nec&%da>5p?bE9u(;j+q)bQloppy2 zm8mLnFcO2r`$Yl2k}}KSfotRUj3aPO-z8P2IIbEdCjvtcL%Tq)8B8{c{ZhY&;8+)0 zyM0G^NBvV8$hI@NM>Y{AkxC_8#a;bT6Wz%bGTKHHa3gbmn}9#3mPDtpO8TrCxG(4# zqwk*itG;w5e(PJ&?`^vWtU8Ui^N2I(sRp(^>~-kL2D&CKnLQD`V`AoF?fTG~_h5uV zrRGfWA5^ORMI1FT*l;@nRzF<#=T{kc-_t}68PX})maeySCYW~NGWC5Wdwkul)G1Je zM6j(O*wGVGCPUA!7fuQo)#o&oyN?KeTQviv_24wU8yw||okEGep*VXr!C1FGuq>B% z)_}75KP)q%{OzKzNiyxqJ~>5 z_eOCJaYH$!-Vs6jwHd0TT{uRl1Pfm z*N&ZCOKdA`4?VioXm3=QCdV7?tO*Me1YwiRJ+D@!mq6sOwiW0)dbG=ATKV*li6D`) z&=2-3)c#xQupuaWZ}74EcfMvBlD|LQU5Q5RR=R&6ru-UHd)5k}%BLV<8Y$2ug@d${ zb@`jp_$*{^kp)4aDwMp_s* zC!8enE^*Cd8fQuV^|(Ddx1b# zRKKVT#p=c}b!$R8UP#Y;0lctsE(aJvIJK^oTZ~-^N}w14hVvx?Gci_}OpEqk>r$4r z^!tQvBk%9-Lwg`UZnyJ;8xyvvtE0T984*Ih-Gouu7awc<7k*kZ0;5egudPB3vUVFQ z@@$<1ur{wF>8MU{8r6i}?gf?7b-0U<6wn1I>I8saM9S-+WEyZBw)yh-LWOcWL5dcx zNi{5%Bp!Hl&w_sh6>U_FCWV0It*3IgjG!U?%JKo_eMwk}QNsjVa{=)~9uoIM0V4OK z*_RzhPx~9*)lB`K|8;{Kve^sS;AUlEVEOkgBLBe}L{_x*haFv=b41@%E60vV)_b}5vu%++q@Y{ASnj5yI7xQ`D zA4_v}*pSzZ#mAkw8a+4~_lGoaHtk&Q{m02mJ*-{sk7!S6`%yM;`}b}ooGH%T^LVAa z@2AMY=3GrIZt|Ys^ZuO)-@cuq@Uc06Hh8P^j!Q4U%i#;&dDuIq);-Uh_=`NADHS2d{z zJNpRU8|K-ZGlDO$H^_z&E7)T<54(f_0Via`*OajVuOk`47Wh~_1h z9_o*|_=NY)l5XF!Y&S|$XlGCBWsu$*Y!J48pf~f|DGeK|zouC;h>$5oI?ZPkooE!& z3ijt;b($+Y3R-9DVL`Ku5R0#9a!4sWAY7uUme|_aC6pN=EC={vbDo-b>%5D0e&$kP z@Wz}0)e#OUcUP1A4`94*fBu``y@7|-DrJwEJA^sb#$^)ZY*(;zj3LejTD&37*ZShS znn+w&3e2WjTpg$99cOv3(7So94B`vwNiHhaoSX4FIFI~oVpU+-9q{M*8;0JiBiStE zRCzniD~uq@YjLJc0{>dBDx>7SXIUC{Qf)yv?qGwvPcy+a`)%19IPD|@yd%E{42i2Be?vMu4-u?2{W~}(KU1147{x(+qK7L~fEspDrC!70e z<)?*C3P+xecr9@exuqb1h-K*mYVbzk<=DhVM4yZ&&wNqc39UPOGxNje!*>$359`O- zl$x(M%h8p--N|$^*d+F{%Y5dYpx-#DKgb*^Z>s01tete6U#~Jum2th-b)ogZ9cTZ- zIc)h@a?^NSF|)+o>>kEByjV}>BprQsTF7F5kXwpGn_AKk6E~o7(|KsAQC8Z>-EekL zpIbl>XWLwIHG=rkOzjxB`#84|A>49LZ|O&sNm8}#mt~7#{e26I!^PZl>&Gg_IW;$4 z^AV#XtqhwRQylo6>iw7Twb}bkzOfX^TnY~-i}H$csx0!%KK7eUY6R1_)I)mtQ_4s` z6pO<#JJqV>na}s4++D9@xDJ;cgk9l}{Dbq2kF+EwH%jx}ZsQW`B&jDE&llz2wpHri z%b1t=DM%~M&YB?4VyfZIWifD?hEt5WwDp~oi%(0hpQ5^It!c6DI5-SlGP~=$em`0* zE3Ov(wbJ-L;-JaG&z%IbzVVXbRyvv_u14xkmmtdbI!v3Tes}>FY{XLE*F=Y@wphY| zDN+3E68QH$Q}tWYv}N^jtVb&{Ig4irF|N;_sQi7{&6=IQn{7sIvT^)tf}j2;G{B|=9+X9 z`>D>#5X@kS;wq)pH0axHgL%Zz{Tjm;<1uIhps8?>{-_OoEJ(l9w`13$`HN4k`V5CV zUH$Z{u#fCo9?(YTu1pShBJViU6T?Yuk?ei&xJ)>}lZn;6$mdN<}RH`^R45j;( z0m}NC+3%>vVLmU+{PZ|AIFLBNzuxt}$foDPm{usQ?lFxM##BD10O_7bFq1QUR6L)E}WIfN`uZ&REw`l+TT5i*_AzCf9>8IvG7Eh_=rq=32 zZydPbai7frZtJ6(g^4a4a+6G_as2)lQI#vyB{%TB8Zpoc5iGu7swOtyaJ$S& zMQh*jaJ%QFRe2uk7xfD;R@au%RISUI6b^bTx%ZecyY!5~hAK0nl_h8nx+!nkwCZT$ z$&MRQp6)s^6N?CG`a9E*Qjb?H3>W5OW_Z{x7-_8uyV|E$US39za9_S=p^8q47txf^ z;VjM7Pe&;fhMnCcF__%t>nBh&`SMYFFN=aSVY(DA30ef0U*~1ZiK=MPVGUk9PA5~G zX#d^CqP0i7+{H({HltnI)&<8ebyI^O(mk`KF4ds^oVz1v{C-2IZP;h|rSq;&j>N@MI=&fk7dp9Mrhz*nR3gWh$9?N=iZg<~W{P1q(w!9oU*jc6xZ!|%ar&8=VV3LS-d?=Us@iwzMHR(;YJji99 z!eb)CTxm|4U5cU=tB+RtUCYl6UCL^xCn?yJlZwyJwclxyvWA#*QulNb_2fV1UqTu0 z*s#iZ+?ekn?5A4d>=dh9FOqjJRac@PU}D`lHh+WJoKwPwc|(iYqjzNAK6AEF$6_gq%WLql&8&iukh+(Z`a-p>&`U_7ML`pBztTy0V=@BtY7G7A zWXZk30`0+MrtEROt@(ZjewCxN7EcC~-be9Zt9$9vFNPLf8k~|#SbM;D8)HK6qMZDu z7o*Uh#baXILa8ko@Q(G&YLqsga!4Bblta?+6|yZzfp4tQN5;@d!A#G?<+=LiT)*>L zsJ#yU<;qU$RnrGkV4t2s#F17w{rg8@WOZBO8r_SQ&TyQXLGj;^%yuI#QClym7anAg zjjT6)a*Fc%&vEMd7(GtYvBMqYbtf05XQ5x3ewgQudmp(GO&$gvy4Bh>&huo-CZ1Bp0WBuEO;cII8kKg?oZ*PZ5D$dMPBI?fTFt=sWn3G$ZRj3{NI`*|5j zxLl$Xe$;N8JHtE|?v9>t<_w&Wqy)pEi^^N4vT`Gegg#hKS88IV$c~Dhfyv@sZc!5S zL&B5RFL@<}KTv~Ik`~k6xCdooaT5;)iUv()qVbw2vwV!~HJ(Dta;Er#Av})C9G^p+ z6!t~HMnTxNK%Oc->Wc4;#aG7+cCJf~n9bM*ydRVlOv%wB3WeTeo(iMt z#ouzGb7HiUQreTz`+tf%nML=vJquL9%`HES>UYh6`;yD~Su(uwca|{~e2i)@vA(Bz zZ*2nUDMgSj6Z)D5+N4-UQYi#l;NyQ=G8K^pi$soxodLUb3315t6TA_gkqH6?m^eC0y$ z0gxh9=dN`i0W)24Y|lDV_KVtw%z3#dYd8AK+CwzNKtErdxiThM$=AUSb}u=|sqc2b z8pQo_R28!=&(yj7!&q8Sz6d|*T2`Q3<&~7Qyg16{Sy0a*mIy>e$ktCPioH*%2EQg< zP%Qa`omuA8jZe<$qr>a!)FCY`XS7t>wh!Xb_jPlfbn>kJV|x(SCh0cll0!-h;O6kiac-*S~7#05lXe|2{(spbc0O zu)P1Ik-EeZlFpPfC{|CG`(ej1Kig3-NvOX=K{yHW2LkNW<*>_$5smK9BRr0!ih?(a zIyZ?WGW(fsV~putJ}19Ph6lMQtcir~8ju#T52}7w|MjcWc6l!#NkSfUWZ!@jmB}z= z`{8B2a(m@2$JANSN=`RjgI42n&78L%_EqGb+thb2&8*5@krT7hxT~m3(tv%`nK=NQ zQ)Ho%zxqR7RK2Q~Iyqo#6%~Bp>rcU2e+pJ_YF+tv`1q3W7Yp4>TdPdd6|WZ5XJfdm zMst4$jY3bSz?CR-Tb*RWSd^pHqySn@F|h|lTS3IPPl5~dAC%t7ywf|y77wGn8po>D zzl&*NAFV~A1h)LW05$@0Wpnng)-??CKJyFbnWV@$Eo+yFRq{|(TeOOlpIPaQcnz1+ zsMK%du~jdD8o^Lu{6VpJgoc2kf^lg!4SDX4+}c}>a^4w{1{|YG?=qA0#!(IAval)= zI&VN~1^6_7(8cdH7B5IJE#AzS5`p@DcPSGWzgbxHwA?GvT=>2?_VD0j4fdb5vX&rq zyRuzN%tN{t$d~4K5X#_?2%f+DDFd8?5~*a5H2HT33LpdT&rgRbJXxi}lU3#;IaBI; zc!h-%>>9gKP0%mDP3dBjD4)m5l=*>?2@Bw!fB`1M%d7F9i?#i}A8bF|#+;wrIoXf6NtI(#vz~=yV*)?}g-%O=Slf%#q`9ne; z?F_LSU&z@!7Vg4!KzEyR!_tK*@F@#Q*zSF$SdI77DK(Ellk=V@nO&CUV-Nj+)A^82 z-gM`*L7Yxke#MEIL`2_X>xc60i(K%H`SrtT&(U<3<=(T~(r3&1jUR}cQ}f&JK6?nH z_~}(jyf}Hro%LxE?A%8=&to3klDiw5);S zb_chmDy$TTUL=Wt8PyOVRMgYG3sXBD%WTCimkJApq1SjI3cxT&H_60#vBFLGf)! zg~I)EeyjP^i8M_^IuV9uv9$gdG6d|+kpHj4LEcdHR{wHNvx1^=^=w=5y~fkp z^#)e=0w^Pxye8KyA6NQ~#b4RnxK?jGwe0U;pJd)lqz|X>!E52x?rmvCuf({LoIPsp zGMalGG};dE*GiSS-A*$7EX&cFfRrPw+5fJq@*-W;N(GCMzSR9gOONc`e(|SAp5jk{ zZ2P~tV1U2#%JVYQi%`KYnfr^{e zdwuY+S}kjOtJR*zip7OqvW3Ze^%m9EtcAbjoC*hLLcuo}1T1xvM(bVNuaEhmr$aD# zynV(B6R-Lef`eu_e&&oSbWEL?2!;jCT%IYQ70t9&cxaooyXt^v-l;aP!#}I8&2DL& z-uxxgqnKHKz(Q_bZ(?7i@sKxGuc|X|KL)(W2+Wq&Dn40>8P=1PoN*!=9^kBs1RN>% z&D^)h6UEBi^EnyPziFtgOPyZ-CA0E26SkBcRTJcLyefjYWI*Z!Y1Pn&d-X7x`vrx_ zFjFy*f(8x}TpeGsa$nt3EqL<2o9nuL8kyJy8q_@!sBRklxR?#RSJKW{9E)~7t1fC6UXTORvPlb%qCiA;AJgm@W1A%zM z+w&XEk*&&hC)*eIlOJE0*Nm|ZOkFj2?^^B4{hkB6Dflac34U_K^VH$7J++q#$#3#8 z3PqSbCh{zlekMU06AUXmkOAb?wABDo8ZsAsZ4MPGbcVsAqhZ~L>pzU*;}iDPT`TtO8cD%bPuwNNV$}k+&F`PDWqFAfE7M~l)GFK6_?rReW3J?$<6g)LC z@YZzTE11i(Zm^mP3ffeR(NlcuJ?T=dlfN{S_j5I`zA_~^aKRp)`ojeH z;<5g(FCNW2$oklpOVe9_eFi%GGTUvlL0)_}Sx{WtnXlA%aZoR|BfZhQy4xS?puWqh zZ>u&xXWDp#vYwrLDF@!MbJ{87O<(5Tqli@iKJl#PbGjjEywA4 zv*om1?<~MZXHB^H^Gtj5=1KGAQss@F?1PxiVcE;`MWv1}O9%?9-jT6e5@^gRZ0kxl zSM4N?lQiF%q&k6j3fm=eKhjoa9$no^r0<(rA|JVd4|l{b1j`VK5I3l*?pxBn+UyUm zjnCgQgkF=yGJ4>sFCxuK{hbQgKDIVpM3loBQhw3s9=CX5QZ)Wk9Fbzvt zMx`1&RO4wA1$vcPYB>+iJT`7I|acX_3;s^4Dzg?6~t zyKa#Lnft!8ZK2TlpaVrbnrlc;g?end0@`rQ;ep4-saJ95pKGk%7$nfCQ87rBC#Zn4GJf4nf+|FQvyDh6 zR!wck<0pQv3E)oL`e94-xJjO$-IS%($#KB$QEl>Z7tIzZ2T!)*qy_;*L(@x&DI+s1 z>m)hvF{WXf=^|CiFv^o(M)bg$nbbI&SA}NXuCr-FRm)Aluap;S@YR|)<1b)rx(`9? zn`rgFF-X)cM)PKrG6kq_(C19_3`VniqKZeocEom#3;eU7sS4wKGsVlOfFjG$VqhVM zrahk8lH=jKiI@OB+&@bi9G61uU<*awU>=rstbxTYQ^9rDoh|4In$*EaeO-)|sCr3J zWn>m%1G*=C||-2~y)OUzJ2G--L0h}i@U3)u=m31!Nug(6j~%NrDiMheBFNw9c(j~C`6_p6t%A(e&|s5qG* zPN71&D#*7LQ6I58y2=T$LPw)LvrlsyHpiTb&Gg~C}=C$Fl^ z{#p!YDl@i4ugt%r^^G%h!}jgM#@dT3&@@PA$h>KK5`+Hn>+i1nke`aJZwtS_yDFEG ze)%P&Piw*J+rJkD_+Twm8w8c+Dc`~*PORL7_;Tfno0k-@4wiFhS|@qS(I zBxNh29(gC}nz>RJY?9xncLE4N@`GIvBb%kO+Y!IgqUK~-RFi!xP``?x|M1-eu5`ou zlU*e<={Cxt3+aeL(&ZBJxgXGR?>mY-+KRJOR?{4+zTxXa3=HYUH0 zfAVoh^LEX8%tEE6ZDSx@E=wa!_SI#a6$e)g=uNIL!LLoSn%(ewLG^P7 zvGw8mn#RVpA!+T}*!puMR@8FL!%yMWj`X_*NkvZmT{cpjTn)3!(x;x8y{d+41sE-B z$^mVi=s)BMCG_d@oBhX;G$2iyV|?TOU#9dD#TJDHEzrsC!; z>>oT!JV<_n&f*$3lbI{*WBR&VONWI`&bTSha1J1ehu(doRW~?5t9;O^VkLvDAKo^M zJI7l58M!L(&_;hT%5_a9HFmVfoRv(kZ(*yl%|b=DZG$yb&R;dGmkEHpa&Ret`qX_n zScc9h>mu@Uoz23>u{obfI?jDgXjbrds=@y~pTbBFD0qcIz^)hq5yI?IzMAAw8OoL zEY>4VF6~DyV?NMZURABf5XS^~9!LJ5XncfA2l4dM{SH&<$4YPMmkUu<|5`LAwk7>; z^OJYqL5DW(KKFPl$IlOEDdtqnjuzUuE@Q5@Q$JO;a3PLk2t1B&f}+QPwcex;p4kt@ z5&5xKjk9H#1ed&M`eL-7zQ!0Ru=+e7?%8RF_TzQ#w=E=#`fo*(x>I=ZgV@WwKIrBb zA(isrqd07sVNvT)OyuOlg@+3OHFF@+vbx1icT~w%IXF=~G+4#>wS&H1dJ!tc5@1t{ zeNk{$GFk1ji;TGGcewA4lApcbi1{YB>MoR76tuCp_`$M>P>tCmh;X$Dpa+S)asN}1-w5(R7`Cq8?o_|cUv?xxKF@MmZEi<8AeUgQRHI_zjuGg zPE5>^l0BlUF5OAidH<;4Geq!T-2eT?*$TS$Jni<=+~=ZlOg4Ou8I;`vUFV$YXTSDV z4zib;dsO}37GTt^DLc1y?g8IV#P#9*fmjPWjvN*N-K#WpqMw(gSvZo$*R{}R%Jp`T zOp}b&(5gpwi^}lyyDpz4UCuYLM&b~kG-pjW8GiiSZRg1IB2!VZNZ{E=OKJ9VB94?V zOM>X-6&Qe-R1x&^cSp8&NW!3hu*pB5yz)jNx#_Md{(>Y5PU@H3_y$T8k4E;3DVAA<+LZ3wDt&oQe~r$F$cD|fqKX6A z_fL%*wT$v(m{bET9Ox$@2Hz)h3^Gd#ynTP`!`c3k4P}cwVd(dRv(7?_H1jSjS!7Yiwy= zFI7%hs8qCVY(dwQE803Qxh~@@Ik+NVrL*K~2$B=c{n9y+8u%T=0Np2?3@8;OfC7$; z)C*P-tB^U)gj({d>S+}Aa`F0Ga#BGfdg>aF1>$VLBV(>aFLt3JxeOb zeGFzfIfqz91);>%9(zn|y~j*}P|eA?lc5Ne>L>XvOg!-#Z0wa=V5`9if_aWCB(M{i zmc_l3D~hx>MkniiRpL|;b9e=5&g+UrYm6n2%W>=N$_i629WT1}Qi0p?%8vdHo9(9` zCuP^U4ptl7SPq_p|%P&exO=fbarNTglAmm9KQ1o$z9n z!|#><-kq*uuN3ykz1nA61eu){1Z7v3reB6~)z*V}pLp8x?8Pch;*GdU zafl^hDW*Sf87Q+%uriBrUj31*3^UF1*(`OVujoGgx#cBhx=G^##^rB^C_O(}7)(*z?JCf^wv_k1d zHPVa0+@sLwb>p<5$aV=L;3AJAa6$17hvs!sx*7=ucU7X9NiwGKd4JubU2M7L{JOxR zx%EA=3%h35x*sYo`1vioJn>F!?7Lgw--8pNMZoDZN{^N}c<)t~xmm~MaCZMkSO+g} ze7u}?nO^w{xLJCpraAtIbffS5w)Hmi3;AiCw|rMI*Yc|!A*w_>`HBF7?6=_E#9TQd z@b0%y{yAg1q>4ZVE7QQ?;p_c}!_`a;`YIQ| zJt$HxOD9ZL@G{PmgKG%52f?1W_>+sv_=%%FHUrFuI@ zT`Ta4k=g3Uu3Ef%DbG?SxC@^;wqJmjJUpBOs1d9uOu|BG_b%2~feow*`|^T|ULQXP zQ%^f!#!r?AzBk6dF6d)EH&NcpADmBh5Ai5xJ6=;->l!<2KB74;!<(yEz-sM|&r;8gactmyy>gTY-uPm` z7O!AtX|~3_c=z=_)}a3NH$wHPoNeb?s;m@9Lqnmp;OSd=%|bgEctu z6L_wKbl#HLh;#2A`Yv4SMcnq=#j9bhaILrB8}+#>(5A~spisdLJCa1+M17YYjc`W~ z=TPkMny2}L??RS_>WuHkWu8CWZv_1`ss zFg@JekQ;6;&VOkD|A`m-zn}qRqku{Gl+ait*eU*K^H?NaGO$s=Oo09NB?TLdYX zf3<<5iEkp8L3Tx_#|(Dd+DC-JUtgG-&RP+3&F%L(w2)WrHCGWpS$Qm-xo$3>5n}U6 z^2i$)%@Adcyhz94Db{B52@5p1YLnOTyiS}qOtA(#pRemQqO-L0oE>y{xqZxr#sTAR zW)FJgXB*vLO$TrQZ@lH)o*v|r-);dvoGE#}%PA?-@ic23No)oMoDB0#TI+wGJvcNU z-i2B>-t?RsrdXNg3is|;#DTg?8eJ7R8wx6`B|#%7jpoH3iHENFvlk6K-N?5Mn8ZqP zY&Yrq5tK+>bCLW}B<<6lG0{uJi$C1mqG*5EYFXQ+I?4@rV(OL9CHL-aBDY*wps=^| z%^HzVqwi2)g0K0~&4b9iEIBZcX4)@ zNZxqmu>E1v*7CA2+)rz?a_yZm-TP zWD1J~1*R^hpcOx_-;IxG&ob_>bfj1rw)YT4?iTJFnUvNywl7AR&+RfD#2B3~7hfJ6 zr+FS84fPPSWN~(3?6aK6lniR8{XTEG?BSHNe6+g-OuiYNncwZN5xVwp_q6Oco!mG+ zZ}2&2;2+<`?3rmK?@vA5Jv`Okzdll+y1stXW#RRvTJ>7;#NYD0DLwKDvY8R{vtomr z{cGBMy23~qF8@HDx;=1C3#imMC0RDs>u)!q8$OT8ar z*Vlb9mR?LUC#6rI&l0D~SFdRw%`^4h<`z$mV5rY*1zc>GUQAWKG0z_YHzHIThtRg**P-huRq0_I@e5OW;h7HJND^P=!?>Hz{=NvN*n3430dv zc6yz-srkl_&KumnmLVXr z{l={8%Q9iH!W`i`#N2p)IPF%MvNP(Vw2raSnekiLJaW&5y)d5(-?A=+-f~;|yEQ+0 z8b>y#$;YB5ma zAuB<`)%}|lr${~bQ-}9~h9s%0-(*FrkE>(jHqBd*8w4=+&liV+F`yg;6#-l&BcCl3 zui3S@+O8`M4J8{c9~TmwYRIy@cC6^r?Su9S6=P*QTceUVk z$T0f&t=&DQ5}B# zVvrZ9_Tmu3`F#7p9{mszU)?~CbMRnGPf9s`?eHE>ejq-EnX@Cqe4A-EUR+*DtffVe zz)Ba;AI-xu%Jc7m^`#6Li|v^(^(?N;+E>(j>RnyUPrY2JjT^5%XFQQ$C~fpG!@1qH zA9SQz%?Nns`RV4IyE|#;`FgEls^owy?iG@-XmJ(4khn{OiiXw)nSE2PNU~!}zP`0m zQFtOABN{u_`UDs4oYW~?P{cP=hbK+8bwtsb>>!!TbZ=Zw)VZ%Zj3C4P2DFsAY;^@O zx%lsa7?*yeoIHCD&j&MAk;%!F&#N`yD4xIh=tFxXlveaU0#MR~B=UB^8k-1xrE)=7 zVsB4-u<^XA5yVa8W~odF&GUuo6}1+YjwQChKCNrm267wWBOBO$zC^+^(g?Pn*bxtH zH2Ay~V6rgx0hlaoZ%Lx=XRIgco}Ugg-^*<|>z}dX7s!!m-wrC@xYHBVb^pLQKaVcVp}h;-8iL!@s7 zB|Vtccxgt5Yl`H}!(n8+9O)VQ5>&{;vAv=CcD&?InI3})N$%tzpweq>n*$cOl=;L* zazu?7DI8r|NS$;td{v(@FXBjnhHVn=I(B{_CWhIS0|Q(uY+vKUtyWuLJ#U?vyKX#! z|I=J7G2TTeLSemY+$VLhO}wu`H#^ps)`OmI*#@*U0iQaN1NiZSKVodYiV9M6MKduB zQTAk|StwHO%#jKjj0(DBS9)H-4&kI(XlOT45dEU@N-kee7Mz{lTQ7qE(|+~B!?a%? z1K38!{Q{Qph!UtxlfHSJ#STWnl~WrY1j*eGOX zXsmnB-NpQey&^SOgY@7@C`_>t);bV?MKcDDO2QPU5>d*bWGm=xQNTH{aXxwRsBbA_ zK(kZ$hYJB8rV)BlIF@&rdc!c!_~D1mS!~V^MUZP=?eGr8(wiK^+|ymvTZhCmzl;9B zvD*huNk2Bc)O%9w`xV9+UHIWI5#Tv_NF1JLq^kxZk}2C(X?#G2MVDcoBCk{lOr|AO z0+VS)C)t;y;EdprMYcN7;Ftz{l@o2oR25v0&URH))nv;+$r%^1_bIi8Hmvg>m`cybkn{7 zV*n8CE8f5F)4*%p$nf?hLYt9(VFQGyOI%ts;!`Gk@JE2m4fM}3hbK~raR#hBAL8sQ z&>%@|aM0Mj!xbPoSeq9j%gRXN!yEAr6e)Ef7|@mYFo6OGsIlbo2ZBzip91gC1F%Ew z&>)p(6cuJT4~OUw{HRfbTCvmsPPloD70i~1f$4- zvnhU+i#tZ?!h$?n7uH^+#-2h$!x^vv%vj8j5`&om*?H0^`9EEMr{!lwFQ1zj#EKCeK7a3U-;Q~?o~4vRp3AOdyKk3qIC?kswDXAv%2>xB;m zT=+_@RM@!|4cX>Khxc(~1GfafqoC3)r6^L)sFzDuHD3Jj>)&uf%uF{%hZSDO^ET@3j#j73=7xHB(9iM(l|3wQlS+`4Umg zp!tD-Ol6cw))H&Q1~wHN`>uWk^~$_a#QI=$h%WZ+!x@;8y=Y$~h|!*y04vuVP9hh~Mi$4qn){729f%T#Zqc0>;P|F&gW8p;582DJu zafqx`BuPoVYi_F5EtN;b&Xf$|j>al=^_xqVkgCL?gl!5chx4{SHIRM~w|Qq+Lpus* z{p+^4_sS8v*g|xW-?~W9(nS7#2B&2ZURS+Q#v4>q0Zgoy?N_=SLZ5~g;R87YdS5%+ zgv5Ay(7HL7n0!p?cD_rTeW?sjg*}wTD-=VEkHjt}d3pTa(odk5q$&Xn>P%!qO30z8qZhNFXI5B;&|5DMgo zamI;aeNis(u-O2=;9>)n5Cy|52pIg-4EWct8u{Yi{bE~9NV!hrw?vJa0rQH8#zUH~ zjCyuZtRp%~$Po(LbDV$KK?SpeAjkCrbjk?JyYtjG*zx$xpp(-?7{O}In1Y==u(OJJ z(;tfvN^T+AO_bpGIJ$~~^ni{E!XdWMPyoD;8l(pNs+gN-1Z#aPe0T#Jwj?CkO~MZ* zmXh$h6Q)VU1wRox;KX_tA!gv?F~=bmKw$`bg7DGDQew@{q+$zs(DRp6 zD(dyBejYwSM5JOhu+Z^E!Gwj5cTjA$Y*XFIU@EpAETOUW?i?L6uB0W>c~I^bS3{cM zw(r2fQr8YI+wEUf2iBJ+!&^9v2wR;G3);y%e5e$g0{+&-11f>3Q9&i+ z3wrUkQ4m~`IkKBBP%FG`QsTM@=xga4My;&w1M$j!p)?U7G|}uARHO^Y@Il`)1`GX= zfMiopZ=KwJc_;c7@P%!ltR%sca2p#)N4<*zwoOY!nRW1BUoaeX-{s-gN28O8T(6Lq?TTB7pWJ0g=3RyiVUJCx5|gew@Em+_ zSVCMA43DUZnug#R+G?PGrz2iCoKT>yAY3>aNT&=B+o54+ZAvBRd#lub|6AD^HSnK=xs$?1t2kK%V_H)bnoTSQ_Gf7Yg@wPrhA`A1lz5ki}0_ z+jVfqw$r?v83VwY;r-H4L$STX zBhTV9bYUkzhHkMqFw_cL!{;HQW}_fs$iCEzc4x{LN@noG(TWMy4$wzOboh{?2z2{} zXiC-H&Y!~J;Z|AHzCgaec*I-1jd*8N{2!yRyMa;g!DFZP^C-y8(MB-$K)!7T*~IxF zSJn%`&I(Kndnyvh@f>iIh_Ips!EO?)zhgR(U^gvUq`R9|XiW$bl4h$QVVE-wfoTmE zf!-Qt6>_FjKGY;#z6r?10B&sbQdeCd7bPlj2w?6h86z8~^o3O(qr1SB>ZmFz=ktrO z;UQg@;*m(d<=_ceUiqmQ*QW2Q86xq+#o!xelZiy+Bcg#4R%*o$>*c*2jzzRx;Q`cU zL|SbUEima>w*sU6b-EB7{=R$wga<1G)Ia_KqG_L8qvfTZ`)z26lV}T$7COPKvIQ)|^4jgqg%(r?gdu6&XuXTi~GX42|WJ(%7uQE8Q;8j=K* z&N|;SS>(_JnL1>&f7HBhi0L+r#x2-^)bj)nZieTA{NZy3Ukoj95#43gXQGu>ebIv7 z)qmXc9F|vDL)+S4Yd7&=k>mbRy^AVT_OkZtgx7c(i4T2!#qTI@7Y{vp}^ zL$cK5S!BqH2+gNH>IE0pmL>}^_^+b}TH~&nQ;7!SJEuiQAmT537JK-$Cs*Ph8KP6F zzgt^n)P|n&noh}Onfy|=`|7mMt^9fYMkUE~HB-y|W^GsdruMgaV9EJywf*mi}M~HH>ZhS&gcCz#aSyN$&=h(x+go6ZPh!^&8^AbC-3Yj-Kva(rt|1VvluR0 z7IzMy4Js#(kNYnJ%#v46`!CmfhGiPhi<2#RJ+J6SVWP5C-NN*^JwwPeUatxF^l8;A zq@MDU&7qZ=k=$Q4UN`6a)hyR&{S_l84NQ(+6Z&l)W3Dor+TQhoA73+UV{KMWbzV93%$D7=Y-On&T}Tuid?2$d}`Axs!g`CtKC$@ zhw^MkY;AWj8V_lCT(VmGeiVP{GEsYpoqYN2V(8>-R?>jj4i`#xrY)4rq(ge?T(SC- z3mEdmmpo(Y1a`IOHHXigr~A|}$cKDbb-k@WNpY5;yEAY;$QN~_DWK7t)-7o!V^UJP zP^Dq4TKRlE_M*yTr;RDkt$YBlqdZ=WK!&YzdG&Ypai%3%H~s(C%j-5rn2AGI&C#j z5!cD+Lr)6)#R!&ssiPTre`Eb(RXOlVI-Z3coX8-Ko}!O<--58M6CR;di+W~3zT}zT z=6ozht8tQEBM39iwz{okw&HObRZ&x0U|~q48maq0?paXuzFyjbZ@YF;N_MaCxjt${ zc+;Ctf16d^=*x~pPZrqliaQ1zXhdxra70CmtBw6aPTVM#@+zm+`5^|9iGh&MZ%HC3Y9kP4_8DEd>p4b$FobU7Ea6r;}Ej4*mtoJ`hHF#6n0>9guSIQ`D8r_Z<1H36iLoO zFVMF(AS&RWGnqu{K*wXSsS*MR=GxfL>oM=?<>p$4#?R~f?YM1*YzROy*&YiVHbXQ{ zgfuw?`*ThL7Ao(7K~BDMS(0I4n3r+n94BVW9EKQ>yubt}Tm8R1&cO7j4I47y5wsN9 z0cR3nHtpc_7-a|EG^&KOu=FY*qUePoDn1JhTb;QG!HU8`wIO0@IDYNgg|HYvc|}ff zPi5%bT}M-S*n}uZm}*17cPQ=FX1eEBO54^UZ$i63DrlkN>_f)ke3cHK$EGG1+yXlf zOoYY{$8i$`s>=OBjJVg{^9iV+bVg8QuwfV82l*U0(E0?7wiv5fPi7%ogvkBiUgE3$ zJH#a~cE}7>ep54&92sA7^o+k8aU+N*DwCagSjlK++sUqqjCmcTNwtk(JkMTOoj`^Q z9tTxl1L7^Sk?x5SaHk^mm&G$bGOj-!N?5O;Nu;{&aY*NhX#yuf<^|Lnr;8QgBY z9;bdzE{S1AcD^mJ>%c@lY>$Etna;K`{z@Hq5d6=%&bKjUZsnhu@JFQc0fCbQt^Aly z^~N?dKynf#Ma*+=KBYa0Mk1cSUtiUceUI@f&ABO8q6Dg4PK$>t~MTUENuW=lsaeNGX`wYB47zFe=V@cqMbb}Q1Z$K@(_YmP(v=i1Mx>A zfk?SSkK-YYMdVg)2kf|LL13aJ*58C+7q$&|e6)w6&Mc`whCywjY0?4;Pp6bpnI}{p zbKZkeQ~)Ky@z-6YSb7=s&xI^87I?lhK(FEYsi zJQgtpO{ixdf{Lvpl%j(kAvYcsl7sbCf~IpHRoG%{6t?NT=KS@1KKI2=FN(A3NW7n_ zAH~9Q*=Mwv`{q?uSw`vqXD1y@cL%fBMwsP-@>+Yow6*5J4{(g1^7(vY z0ewtAJ4Topb?SEiNHIH5wEnxYQSm{F>JeVv9QDZ(Kc zdf+BFW6@=Kbz4P($Jo#KF*M@wN_oDfgI{A)$2JSlB8IER15bI7fd?bZFYa9oqR^QH zBXMhX(t5kDo-g`YK~`;)TIrlq;zmh)dr&pvaZ7np(!t!oJiTUtWrXm0W|&2k<3BoU z<4XVKZu%CSv1v~m-L7$8!}HiC5sfD9k~n|+$8N@>GGilB0Zl<>H$`z`LJ3DU7*3JGLT;=ds-H8dGBxo(qrIYO-ZgZYjr<5lu9ZU%fA8Z!z2R<9c-Ob4c6|O6W;&n4Z z+ropc3@S{=F@8qpO#UaK`_K}bh?dxrP8lr(!y-89Gt z2?j}^v*BwBNP?zI?uB&*P5Bes7w=3*<+EwracL>T-hMIYR_%~ylG19w9K=3t6O|DE zN*Eti#lo;hN70vUNal1b!QAF+QIEsM|C;}er8Wsjt(=iW#m8_^1Ty-8TJAU!8Tsu{ zu1%}8ul}bZ1WEe7G^l5sBgyrNZ((^|+<(Xe~ZySgrG!GANV zHQB9Sz2%tV^(<1by(1rL434@TG%{v%t`ZpTCe^wj1dP-a3qwb}#KYk@@mT`OQM0oQ zGxfdraUbzNf}1xwQ5MR!en^8Pw@xD@Qk_s0E`|NJ5nC_+Aux;*%YmK(VgNjO^iD6u zhwT$<0lzSX-`{}#7-Oro$+o5#QzZHNhD$p8TsjVqOjD{mt<4Z2JVfdxk6)BLoGI4| zyjBSEq$@4NmFd{_v$-ixc+ma(Hz=VRX|buP@&r7e)c6=9r;-Ob!nV({=ETDyGczO> z8U#|E2PTD~@cLtMQc*?76X@v|OTjMTuYoF!bFbFF_?Q!ui2S;NjHc(6aLhw{FB}?~ z^@sHmUzzpoh_O5JqJYaX!e_K#0z#YmR;7P`GvWEBRMe<%xEUUB;aY#W2b@C3gRd;a=F8Psm= zqmSlJpNb&)Y1u9!T*}Pe)VbB;JYD4+EB_(RX_mMgtyq})rtMqDHL%3M1Vaq${!~pS zKt_A-^Z~kqZ{(u((7S{}J=tmIp%h(<@f5*Xj~s}jshB;fn^pbA3VzYug^hbei9DsS zJ`2pr6knnBYNz9j_paRn(zajQ5dHGkm4y~6;l9hgeMloUhc1O}d>hlIiU^ETrC3uI zYVGtck%#-oBI)RSXW=M+&n})g^o-OnGTM39xUbevn$1q zZ=!9uKFfbX(uu2Xm*3Dle6_o9DCu>2(D;n?`N@A1X#G(o-6^zQzGVHULW_-+9Z*8S ze*1qxqIFN0^*Bt*4KWu zfs(D%tlny-#ve?XC|cpwIVnaEA*xf?mzz6AD<83K3u?@8=hL9tybk&*z#`+Rzug_iY`Cc$ zzHDq@m@NRSO}*NMzBOKFkF4%KN0`6S$#8>II8Hm*LYzr8gdYUslH19iNa`D_g`XNIf<`(?Wxb# zy~^;8hj{#$Wc6JK!geB#1o8JSbiq3IUP;j?r`|)Cb|=62HNv8!t-1lu)>TK@a?2m z9Jwa&B<^htVfW%b$!2l!vUNoyIRGPjxxfEe;89xYg&PaxnxMO5I;~vZyzcCM@j&Fb z{E#&tDS2GvA~#E-@$s;ZTqF0j<)M~lo+aaLn%t~A=z4voSnlRblPLI*JFEHEr#6-L z26&FXRi74;As4lr@i!P6iwxSO^*>*llCVx)d?m~1-bsgb>I_@m5{xqJ~r9*ojIe^o0W z4~8l`QW9_MhK~+-_Lp(Jd}Dqa^u9c>`9A^K_+w?bo&cMXyof?@>s; z<*-5cr5IcCGBByjtRnPEVRn{$J8yl~6;S!O<8yg7j^>O5zMA z2PUhu7i(xgs(CSvH7B^UjUSx|2;eXUHxjTUPp;_xz^_a;BtB3!M65isflG0eFnMH8VpIVtvxdRQ0{`D(WTpRv zk-5MA&oHvx)T`x+C1ayg<}Mv2hUA#WubT{m%;#&vOQC}@4cu(!kmDGDkhbK+K$`}Q z<5`_pXc{zzL0Vew2v-qaR&7#O=L35Q`#RR4w>1KCSCN&XT{k4Zen%toeY!L{fC$!p zwB}+tu<;nJOAcSauzcO<@-3dnnB-|89QZt}MLR!G<<|wr*c<8Z4b!}vn>OIF#4`{+ zRCA$X54-V~{Q1}cS!JnEnuK;(!g*C_825Tmv1*UU^fIcB+2HP2IQU1qo*-T=cbsrz+(Dvw{WyRxm{KTt=HFT59m@L;2jK1hw^an@QZUp zSBX>aNP#yE1ocbFxUqjoU^1S702D^siZXclbM20eHvfl>rgOlwzq$t?+ZHYNBQYHs zAMbA!T;6<v2Eh$q~CuUE_eh#?U^&Qk}o4@t`_u{O~UrWlQc7YpS=r zEU-3*e29^>rT5`6PNXgwHudJ}w}Hdfx@=G0cGbfW5h^7>|UZK;uW zJtSZC`R$cb-)$S(xziK?>3RD6>Z=-l&q7;zYx#MY7v&3V(AL(-HzqZrZ>G&{E|8~dTge7|}kr3^-Vwwk$2b=5UtLFha z1=<(;Sx;}Rvaaj_Ldx(&K%hMEM35!>;3L3Q0!FY25t)ZRd_YS4`>FS_!~-fV$6bI% zmhyi}BXe;)$r*bOZoDy-KQ&vwsYWz;ZC};x=3zn>Qtrt~ro@Hr=Z(;oZ_x07J>rmB z%SmQ_koyYX)DiP`4UEvbMyV?|4d1#!2~dF$YT~hAB$n-?Zlb-5b8Dl2HGC$t z&msx}z5PICi2vY-4i0jJ#rm9`LKX9&d#>U@p&uMT-DCCBUfX~12L}}J2M6?3Jxm+% zVb&@$Nh>jTQV#NhE-F$;OE(1pvTY*f0Ua`g17kseccYc~gIc(673R}=0GR}6 zTSNkZvM04^qfLSi<4TF4Oyn+?bfPeZYY0>Dp*>vZLRb(ouHmJON+`d{C`|taKC(jC z!bKQavp}4At?@zqbD_6R9*Sl`$rBKe%e^whZhSc0FfWk>lfZ~l_ECx5g*HN`U9~o> zGo8X;cJhI$W~WwNvMDf`AlgsT_YIY>c?YY zMirg0mg}9;-STGD(ZCrNsQwXabATJqyOd#IUQQmIZ*2vttuVh77oq zAsSgcU*xo=Gm!(zN0zXz9K>5A?xbY^rxm@#dH zwKgTc+nd;nfQ@3U6b7hdW3er87yk*>W+4iUWe-5+wh`OT%J2NJI2uC|&#l%?-lpq< zh2CsKjRbZlL2sTi3S<3t$l+{Eb%Kgy=D@lp*DO?sfpn$RHU~jOPXX$3g7PW0z|%h^ zC-ko5=(FY!Va|I$9lVR?CJ|z2JxC1_IlW`1kX6%5|L^tiYtIMu|56WuGL{kRx%6)k zIgDduV&vdwXUrsiP#BO*=>H#(Y}((~nHqWcNQfj3RqNapOPb^PuItbL$o(@!8Jd2? zZ+Ex-_CH}Tv6XaLA3ZEGL|+GLq(`KCJO09Ei(v$DF48;4|H}}{4d*8hg>l4|^|9~Z zpT1G%gUxVS%s@GGz(v+W8R@DA1#M$$V|I&)pSs>52!9{{OmXJN%6H=bLq{8jw*m)H zv?k#G8(*(!0!bAl71=D@5bbN~Nr52(GHy@r9iID*(V2}G)DL|;5`+~r3`JjyZx?bJ z()MPOJ~a$dI>6E$B)X#xssLhS*06FVVeenVPQtnk*dO9|K&K+QBcSGJ6N_M50zl9Q zsH-0W3N5JuGQ=~bmUInNSp8|LD!R6OE9ron=$TM+WkyUM@9dsJ&Kd2gj3m!#ym!%W zRZOL&bzyoqH5H&LZfx?|wN@H&^QVp{0n+bFE%3UNlT6bHE7|ybohEJ7jmXCxx~#r& z+KEP<>&zlYfZBc8mnLs(0_N;clW}#$m+8vlzP{Q&s2)`nfd2WasA+k0QO#JrT-tMZ zZEuDQ4fVPexUTa`_1Z;Evrg-%NzmjkPP?_c=v%k0wcd=kAB_L<_~u8Z(>n7nN2Rfb ztT=9Bx#PwQgAauQNk;@;^^oiD#U(OohJ)A9Opw8+D=e3y*ZITq8O1lAH%DQK4t@eY z+iB%UMRAP2rF2lTiW(i9T=u1WG2J5Yr}hfH_0`Dnv`f6=y~*s6)JnSTG)w92^X)jR zhQ9b9hB1M6b#(=kDX2^gol!*+MlZb@`7AOUt7N}>9FNXt48O!Y-rkD5=u6tNln*o( zaCiMQL|{hs_)0mUhhYbppB>CEOP)5>L1(>8r_-PyaaN5DeS{K;3{;<;mK^3SJgRwiEvA@8;G{Zzta}ZyXZa zxqW(|r155&~_lXz<8O@3Sk4@Zg7XdTPjze@pG7FXLtAueB)0 z&z)CzqoWYfrpaP|sm?V~|1If}i6`gVBa+1*;+I(DT?tqvf-F{%TyBoFGGrs0h6gs? zvvbvN9|@OE_nOgkSNbz>_h}aSbX-EZo!>Cs9`s&YNbdd0})-U{a)tW@fVsf`8 zO{KFe>gn0@spt?z)N9tTa=FoQ>X`{Y7vNwSQ>@I%XMTyC?RY zd8hctX(ras>u&D1oqRpkD-2gF>zL{8%5$9mro=*MW^GUP^yxPlkfY4C!T?gU2O~eePF`A*Rq1Gb91`#JGDkc zUZJyD{y26yFr;KcT{@Rpy+=R&No3Yz>yR-ba+Jcll`&MSwxhV2l!9S5XbjV9Du);KKpxw zJR)-Afbm+AdMcJk8x_hV)Z!qDKU@_Yq)@9*R-14H&DGdvrgo5IQhvp{UC@JhE)t(q z&@)0Db%k@9sU!mYJS$1vh@S(HOFG(L1WdRV{>)l=<1 zbfs~!9-ayPl-*R%*|6ES{0V55YD4s&=#F&%ECG8fQa@ZgLm=QX1Jwh&p3s)*Ph;*w zLx+o(4^I;qRUKXvh{9Aet0I=Ym=RjhTTA)9Ozbd~oynem+AXL=1$bk?7lr2uHXA$D z7FcXxA`@mw@34z)F?HQAn*<+;wk$$Y_0F6U z4&om^%;NqQ{P7d1WvTk4I+%E>g^wiTA$PtI`l#g54DTOvbneaGA^DIt@S=kK2*qOJ$+W+u{to}?~9X!EbU+}bkExoY~YI=8Dg!6->n=rTD>nYpI z&qt}$MnqG|=MC)TS^d-jh0zE!)}ZKMy)+!(_Eb3-)v0K?gJ1VvZ0Mqz7Y_Lv zUXdkOKa%-U>V}c~`C zsMn3;ZIR%~rT(`=@(1Z7C-N|S-KG7@UyBUYAA86#li5oJIR6)fHttGcr++83&VXG@flA^D7G#tJV!0 zU%6$8u-?a)al{-aVK8FpKKkr3 zYbz8VL)XOE1?gG1<&^=U_Tkf{5y(7Tiz=c-0S4=uvTn?dI0E`59s$RRH*8T`lSeV4 z_c&iXBYqh;EO1qtI+1Wmbeh)E3Iq+q2yN@}2>zo`>t@%JO5zeap1zeRdj~qMzSa?M z$!N;htk|iTfF7i}i&MgTtVkQWmsmqG|DcckKg`{AR8?KOFnpC%2|l{)yyi9Mo@=e^ z$4~OV)yFoOn_**ZoRvCBGtqY*h2X9K4qF)<3I5tp$if#}|OpMxS1b!VYg6wmDST%Hvj%AI7Oq8^=}>C>j_}{&6L7+v=v4w;N%Tc(m*nacKrJ zp^n&2aqRdTf?xd9rkDgj3VFLdLi?$C^A!y6ozaBTkJ@|F1c15y0cYI}-sg{1jtcmt zyJ8b{@J$r>M*9CIJ~ol{7d}S*Kf}ik4A;adNz2OqhxpjuU-;Mt0s<+L`{7B4M8Tp2 zcIXeWX&B#XpU&ri-_?LxkLVbA^KR4O+Q<1CE% z&2;)(QW0RfOSnkUOo`{|6X?DZz24u`VIEw71AsA=9-(3stSu#mzZ|rzoG~Cne04~^ zqy0luW5LnW-XUZZ!${&FCq+Sp=wqtU3ir|nn8FX7hULlwFN%cWxnq%-S#b6gS;l4* z`+P{h_ilW?TPim4v(S+a{i z)3Wi3K4qxD`=&k#z(qsqqx?`_5eVVo)ZSYkxg^*r{j)V<+E9`@*T>M2>783z{E@DP zC`^M7d~$)QmxAEEBCwZXy6le3+3WQFTu0*vS2Hn^y*oLQ6tDQ9ib7B0FIptqbo6Q( zBz?7|KAU}A&<2G{UP_ChT4Dqko)g)CI9x2yNs;PHUMz5 zknG4wXB0RF?gvwINQqG_)t46gpZ?Oq4nKjze;sw|eG85(rn!*5RJ1p)b~BE6BG^uF z-h5YC6pT9X%j8hU}@R@A&9o<*1Rz$t+=F zWswjiz4>l-WwEVou#HYQVVCi6hjBe;>@wq?75PFleXq{;0Z@tWFSg?+G6=)X7zCw{ zIX5{^Oa!>{aZ!hC&C#65JEzZUrdR9nhSX4dq7ZI#J=n9UmakM+_l1$7J1*%Xna>RF z-nEh$oVi`QkVqNdRpPC(n#qi{2D~UX^u^)lW0p?KNY7pMTSi=5nn>NsIu)FLQO0~m z86ewv{cEx4{)hu7$xFb&DEK}vFsZ|E9Li!KVL`S z6c-TE#^U&voj0+cpG%#mzjWk~UM4)XP4?+s zk6^1aJkHSbc;hRF7uLan%_BM~za|71xcI|X<*)*;CSscp#Br<%*8-;;WeN4~QeUkv zGoYMUPs@Bm$hi02dne$qExp>4W6#o~TACuDi?lX6jchkESb!kmh4+$>Xj`p;c2lbM z_TbB6koxQZlOz&l*BO@hwzhlxv0A{8q{bpoKL|+)pJU{}f zdA=@93lyn=A-=qvzQc{DyA}_Qwvi8Z6F9OKmAVqZe?K?t8hFsbTk}fi1@?GM=CRco#)`$=u- zo4NaJe!wCt2(){ujxc+P1YDUy(%J4s1C0v5y0&EDd5w&s3dK{Fr2 zIc>vD*-#iM-A9Uho2xYo|N0Qc1NA{hfq0$FX3RjMHvBowiy_thoPr_Z*z|jcYIVm| z-5a|T^V^ICj5s^nffXs`W{hDI>f%S&>A^;8EMM%?zIx^x46ZuoMR1Sa9NhfaP+10j znNM@%-#@R~<^$VG_i63(w%sY7x?Px6@cm_xDZb>*d*^vAn%sCXq1qIWf~6xVwNZMj z&r!xOGfm(O6stZ{I`6XEn?5IK%=iR)-pV()R~^4{T*1GAzoR5`y1g)2H*b&Al$`8# zO6{@Gx*vKGbeQ*&bq;KjpYo8@D|Ex=*74Dbx~WXhXpjOmw$078P*QSeKnYndwc&88 zuf{XvRIQLLPS{j=cUAp3ZlVD9#&LlEfS){K9w<~zuySX^&bEJ7Rlxng7AvRwMsc$s z$2EYTPnlRtW6EoJ!(3urDK@p_BGRK`GDC)@s_G(vq=d2Hmx-`4Nnj%Y2J>R=I z%fhN*V|(00{lxx*~-G(2kevF}7o1gAp zb&=zQ>JIL>`Hp=UVo^A)xyB}y^_=b|1|S>ylU^nQWCJ}=N*|=s2_YN0*~UC{2Q$~v zrMxPiRT#B;pFYiT%4oY|IOH+!RZGa@x9U&N!N%;zvz(`2 zJkPh7XQwu>uQ8st5Inv*mEw|)UepI%zaFIW3v&Jb1*Tf)SK!squfPq#YE$ZojoVWt zwFkdciKWJ*M@Hrk>RH@2lU25c2p25u^|IzCGUXBh*sPe#whXhV5AY=tKq~r>i=Ang zFkB)+eTfLDF9Bay^t@zv`Idlh{~g34<8=otvbNLzl|}ZgsKDfF>#TM4p-omx{iuc; z_}$il8{F%-ci)Ei%5ut6rza}qf|Rp-E$z{(%pJe3N6^$1VU|OsiQQ?Z5%1siC4NE* z0dOpYONHsNfq4qqv)}oZ+U>mFC#C{t8L-3kWIQm39W8|PG;$PlpiR-yyRpq zyCB=M1IoKn@U2xh<5>s12Lq}$PS7LBXWR?$&`)3oM&hVI7O1CgQNS?nR};MsB+9$b zn?uOX8CsOMUau>8Q|`RP`dzc?s7dak#oB^;j*;o=&=VecIH!aci6V_L61=n7`Ds}XIju~Xxx3KJ6dsh}Bm#^Shqu!z{; zWj6J}Y6;k7k?eNTrt{wS`NOuH?u+SLP%a~1j$gf$^-@qa;)0{JAIA_ZE@z$GCh=Sj2`xjVN|mj3J0E*QW9 z)cN+>y7;+$9jcsj>!ql=BCM4xSEC#A4Tcu8>(8W@K%sL42G1Yu0CWQJV%A>TE|PF8 zvO$u?f_4^AzA7qlKd^F*rs{34qALGO!mZxvvJnoQ>wwx+S?AVMf2Ahc#?$rh=T_iQ z{v4=o)yO?CuMm_8{6GyOpQu95Q3j&GScA7}{oAO&4S z(I-gWsMZx;5=F_^dw28bW;B)th*24vIl0N6QHSfF1+h|+P^&;tG(7-CqsUX2irmQ2 zQpc7N|Dlx>Y|0HSx-n89TcIVn1S3-)wfJenW_edWN%e%?^p)93qvXZYB%oe`rL2%* z2};tff~bAXlO$OH!!ff%u?7y_X;vy^p!IKTQ^Fgi7!JKjv{J3!GA~~Ge95h#lQ$<1 ziMg{S-?WVD&!Y++mk*tPr$kv3ZO(?V>J+`56`)_0#ufG$0Qe$dQd?BMLuza!zJq3| z#E(L*X5=snN~L07#u^`wfS2sPCz$<`VTot>=tkBMGh}}1Byqk1uO7B^FG0vkF(T1K znoF6u$w5(;;~)ZfDg1R%FGVC*O($QZ6K>s{WJTWrChE8~KAH8*(Nu_Kn!$yh9E>5! z?5dRXvI3*1j~oa9OBIqYkN~)eu#$p~R@U#)dgDai6HGCDIBD7`v;B(o&~5&mZ2=)A ztG!ms{QFF~ag40MTrM=33RhDAlo>H5XN4>f2@$j;$p&~3Qii`9YBefd*e@N>#@MJf zAR48F!;f$%yDzT)P?Wu1BxK1$eKe@`8sECP!r`s*2uu#Si8}mFSE{w39t~XY)SKxV zdri4B>Pr1H4LN)LU22uGbHK%{9FZ)cgiv>VjTuZ;kkrXi11;QT)l^Yj|BPY5lEIf} z#!BNea>xtX7n{1jBD3<5V5S}^2F2GdC(K>A1vq+bzE&2fmaz_Tj9Vah43cy_{|GL} zLEG6mtJS;BW(LX5`E!ZBYm=gH;rmXfL6OVy9r6c6vsa@BKVh1^UG4jHmTIl9O=m*S zj-`&PojaZ<9Ww4amolRjG?|4fV6MbLt2YABP;1e#^l4z=S3eMV9%ZYOk$ABcX~NVJ zJ-DUH@fB&RNOG=7UEqDqpGF$qooVFcM0HJvVe|}+ULZR=77BQ1>TR}NiGMC#@?+&S55lNOB%mP0jMACe2lRgw@w_zu@bXYEN;sE~snt&&t^-4{G(#>j7x0?k@@vW4EIZ z^aPrxDWG|}BdkJ1gR;KqZfQ!pxgM@5=g!s37Ci6#FN-V+u*kIkdluQne`b-zqn@)a zVeKRwK9e;_$(aR(j_1ik#}KhbjV~Wi+Z?OVe?W4rE)RDdGgRhrLxN7zAB*pGie4g} zx+U(ESDs$C_FXk}q*{mB->vViSiI%ZrMs2O=GInDZ3Lgpk2;1ac%|f+fg)Fpbq4Zo zgU7GJ=DAcUo%;3JJXc>K-sB(87n{iX!T}S|!2ooVpbM=PrVIH)ByB(i?USOHc)ILu z291nu4L_Ym+!tDmiWtE(PkE3R!1XvKSvQog15p=ax{g)FQ} zF+us20!*#?Q#Ii4kI~ogE-*r?dE7mLPWt6iT1F+$#}V<})?S-M8mcf^Djd_!i&m?~ zDjhjTD#;bE>rUzUEgg!+`@=Lbe)__f;*7e(Kh05n160I*g<0V!B9m1RRI)l5gojzN zzga-0R^e}U*FF<)*?G~*QSCW^^~lOz%G<4M#3((oNX%p&NQ_RCJC;qi3OUX-owZP` zHn39HzUU`(T$nhaFC?@y;?Ef0opPB=G#&qF0mtYQ^Qx?$4J1eY<5+iwW zWI*B8NIGla?9l#gaL-nTp{H?H>l!^kmJ%6ZKtN5fs7Tf@vrv&@Z^=luxe$90`D9z+O=$11&`}3v0 zshaq-IV)iW6VC6i9ZImb(GAirCfEb+twsH*6L4=YzK?^HA=NL}#NeQK+ed*I7uv1U zlCPr{fyW~RXLZ(S)hj})Oq$E5u#3afo|JIpxd^l=j#gvrd?^5LgV4!gSFEC4n+B*t*WlYLtEC;{KX7{f;}%^U%It=Yq2J6p)a{-#D|I)&nT9c#CHUQTykhg-{~0NXu+{zJaxwWN=;|K#`gJVoLj_$+tCUKu{-Dbbb5g+ziZsdM;s|ZV7X7f9v-!cm6xM=JA2c+ zn>%zhMVsc0)iDpPBg(@)geHsQ?ECL025&8a_jamOleZ{z_)CW3hcnbu{-(%gj{yel zH$_(PK^CCMEDgBk_W%hVz1yx40pyw!;-+#P+}akBy-(frR<^btgqIhxU-)(kb3@Fo z(#EMig?ET`ZQh+0U%OtGx4s*)>Wr~@y1%tjFR5^ zR1dq-JYCcNUS#Yo&AMML6@py=X|bV}WQuC|&qth#cu(NZV-6D>;REl*pPabpMsAib zsmsaoJ?4sUoF@c<0>%r8LLBW;YGx_(eCT>dj6om1$_a`Y_(G&2={ws+oF<1f@;?Zv zE(6`n;ul?eIsq=6Iv=j|yoCKx{al(iVB#wX(7mtS)#S9PQ+*v@*?%PfT&3ckoeyEM zJsP2*Y+wuvR`yVct7MjB5^wQO8y#n4r5gN{YgbDw<-~z%;U8VT{>vhJ23TaR|5J-B z3~G_RX1ZJ)-(*Y9E5Z%3#XTIfNK3VHMrHX>#d*_RsT>8^8Fbv38qC0cT+woTh6rM3 zFi(zjZ~OgG8UHZ{(z+a+vr80Uk+uFWEVA9dEV708|FK0D0_&U$cOLrFX~_1hegx-w zCR3rHL<~XWgt}_7w?9+rGkJ1H$M)opKqCfKyg*g)Njlydc<5*4EM;B1SY)(ir{E(= zHTM@f@%N?8C_`ij6pu9}=Nq(33@{BR2Zt*azbccy-@Ss>WM`Lv;19g7*i#PL|L`N) zQ+rPuo*kU9bhI2gS|->hA3D~E4h!Ja+wx?YD%@-a_TaG|o6@>=JIqK2KxF`cdFG zKHm?IjX-^#9)m-+TG5mRl#U9TT7Ab0O$XqgwYxZvVBoNk0wVe#)%eI}wf|9~ph=ht zN!jUfTYLw@;y~J$#fr;z{Ho>kSC-E}HC)37+RrT6n9F`Ds15w6|5Q!3QXNA-4HK&z zvaCQZ|B9YS1z%qAU@9jIUyq;B7k8(E1``p`ZccAFMisZc|n z=A3spxqn20kipVZoA z>n^dhH3lr4E3KCvQDj``=~OY-$*#W(*mk{4K?+ndSOsgBIvjEgS}F$s>Q|_&ky_|5 z6F5eXmE9OJ6$#g!0}}rE0v$iHNzIFj2vq<+a<#?Uo$I7}IoofQzwt$u49+)pgb#Mq zZL{4+nc}mH$+%<*aoG#!=jf{rul^}cGq{i|N>OI>{H@kntpn4P8ZYl7l+(G7pWHZ6 zRM}Aq0$bO()b|_VR|%%*zIkd6tH1$N5#aEd_Vh1QLLvq5l#1CTPD#QDJ9qPwg7cgukvI%d>bC7RCqYC-RvNP3GeNI7aH|UGhu$7WyAQT4Z{C zV`IL!^O)|&j?fuZq@ZuedxGmIsFY<-5h)ihTyy;S6N5IAp~Zq8Q0(S~xps<9;6DIL zYEGp7$E;yIHl%-1WH!tHTZ+t}>@#4H>Er%<>;8=mEuNdb>@%-Lix&tW#GY1gTRcf^ zr0DLq@bPG*7&E=)0u~%0oQ2&4`G3Cmj}uNm{WAIJ3%Ej6*!9~aQ=iN7XhHNDL;c{<{|5{+B zp3cG~{yG2hLciMZE;T+K1--soJJm&Rp2)c%-tft#UC};3I%J*h##z!@iqUeJEj$XI z^u|hkR)&qEb3xr~YWWp{G6HYzX(0X)Yu?WJRa)Er@TV*-AsJ2V!;3`7r$|!7bvKLt zar$&0b>Zv<7H~Ec^t*KGV|eQ6sua~rWf6>6X$B|z$f{)CQRw;xD8}3dW=u^z2AbKe zK&}OaF(W7B)k=s)>#VyveqP<|o75xMc_j6>pv;dgx%7*hN?Fdctn>qh3dQDujC&2br8ey_#a6|OZinqiMS+OJ$lSeGj{ zj^cO+?lmFQ(?k0~il8&Tud{`3Lna^Nrar62#xcF19y7H(g53NJmNDM3_li6xS?YWS7o z1%@F}1IFpmK=MTca>iD?hG5>%A5320o)z_>ay3|UoFF1ERcJnInY|jhpV9NF!6sWQ z!`@uQ9_4g}$6?WjbZV!jO*&Z%Fkao4a1|rX;z6f{CxWs@nxVm_3*|oiMz6!dNFfkk zn4>3N?LSSAokbZ^dSzntI`_)A0@(oI$R=8>0~)?n&FgROP`33L7gf@$m@JFsRnm(s z)y@7TnoZ&Qkt?&-liDiD^e9?1hvzeM6?R?l#`LJqhL85Mhu(F}5FEIBAW0RIRB9Iz zE?Ui3JexN+s-2~_EJB-ga_?k+{aPHdoT8A^M1cO>prvJgLUz%D*Q6*bTyD;Ba^*%@ z>1?czp66Lc@6uYfs~?F)wqo2@i`A^)UdU8zz$CRF;$MFi7KR4FpB($fdtUv)N6l8y zUMrgiY8LG8d^er9O)}*1tRg*}7x1!ZtC0bNZ={&R&#&9s7QOfbr0V*IjU}g}1TGid zq69i@?V@gN3!cXf09+M9yn8LpwZ3D&cwRK47)82=BtLE#Dd!p%BO9R$-oDt9KWE2YkS|EV? z+8iQ7<=Pp#@B!8S{-#l{0%H)0&qf8t561{|@5OEm=p3E5Mt^N_+*D5mk1kloi#oOIJv;fvG|u$tEnY}O=|c{;|0wjQ6EjxPk;=E0}0tU z4Whi+KkKVKl+s$qP-}gP47mz4@;^NVQUYwo(R7=6n<#jn@WL?s0dXczL;0{t5wvmO z?cuz;&kn=yw(pS^@AxG`6SqHqST&1;urB43+-JZlW4C%l5`Q9F8}RD4RragBny1is zrGQOM{0DGiY<9R=fKmM&1>p+clu(Ch|ITIAs8+X^o89WfNZ++C$GP}Pv&P3SCV50< zVhd~d>2F7S1F#{hbD$kBr$^WygZDuIS=`Jv?nohDjxq7ZWmU8`T@lojaKp=(IzPyx zlA}|Ma=xXs)6@T>O0GcMfHV}c(>zYl+WN!3`$TB)xe^_f@(MpFf-&*xpm)eZV6u?A z+r-v3`6KY^YRDupL8@dkcB0D#^|7g?A7sZ?Vg2;U$shGzJ_wxodk1^mP|XBl1$gX* zQ-s_2E-J72U03il_M3p5K8t5P(G5d6TvJ>q3NsUr@06|W#muEF$jkY(;RsylUlrN4ycC%rj@JtqrJK@kiC7wadw9lS7Qe^`w_~zGXh1AN7ie`YQ@16NOO>@tfVPcO(@P zygHMusH(P#Lthm2(~^$ro`F4UcLrY+Rk4f}&&3E)*ox3v&(q*sJ9ej&;OHG_#m&cLF?3Ta{ciN-@kmZ{|{c_`@c+y zF*8A4vBp{zA+Cqa{{G#$%Uuc}M%rt~nt|nnfT!+QhK`lNjmpb19z{ z{|}*Lh;`(qzbUce!s1Q7#r-sH^)UDwqTLY=9Kl{;miv=Uxwh+~GxpMWu9myo(e!}2 z6_7i4qOE!4)JSJ(%B+RXTHxVgf4a*kVFTm}zJ6%F+sS)4xj1d}plRE)pPOS8sMFn% zGQ(2@o29PS*#w zWyEUQ3$K3lcleQR1+Lig@e2h*k>f4?D zA>s9#^~M+}?O9tZ!t+gCt8{~cyYu-zwPhEcSM>tmq~2X;Dfi1&7VW{%cs7Ez>RxBz zq{B zhFRVvqT6d*UN8RE(Pc2wVO|sY&fzls>|L4BK&w^H!Ixw}6&sR@`|g(TV4z#ofM4A@ zi+nzBa9(2_IHgixwl6SY^-Y&2wsg)7!Ra+6Qd*be=|SMmcZ4(N1KBHKx(ZT`k&6yS zSo^y5z*X##J3*!&$6zV#QK-4vrg~F9>_m5z^8NcZ5#E93H%Pz&M1sVjMen8z0Y>>|K?fOXl$8ljgZ1 zl<;+q3{)R3X;mM`6?~s6CF`3am6vw&u-Mw`>A2DbGh?^if>P4VLW?GsdGRV9c2|n= z2pLs&A3Tv*G(Q$m&BvCB&mKCpd15nwR*$aEV|MryJv|zdeVqYG?D}|h$`s}DvYsk6 z1KH9Dvq`UwHlFVgsM@L=b?Ew-ws#ffJMFjBuyckyKs6bwtRBF-Xr%rviP;vGc^RpDBJvJJJeSjHLr$~Zb#%2~ z;BX19ri4Si!6SG>fZxgd;NIFeFt5x@Ki7TrJT0Q0RCm%j-E@__IS0 zCe4c6g;OTk2Yot|n~+$HA>#xtyaf#)F~+Kue}xe>>NevEuA)lG6HHl?n(8nY;zCVj_khTXM}jHSKBI2f+nh|sc4gpHoNrK&>IgXASvB7%b$M7lC4 zEW<&br)ISr&DP7kSQ!=TdXnEFE{v**n3W1*A#!__f)J{c4L;$ zeET|lyvxy^M5+uqFHO7h+uS`Lf4N07)pq2{E7Wt7URSczrg=A^{R?Kt>!bM{s!bqQ z(fwx2FxMrL;|Rs%oAOa6o2;K-d7i@6J$vOM-?w58M<9~K4mYor^-7`~yTK2zz&L;h zwoz*F=FDXI)b9M~r*EPXR3PjXd$NpBX0f_T-xDz2(>F9^ou4HVl!?84;n5c*C2W9; zShQ+6?}F33Yx=uI_i$z)k;O`9%bl$#pO6+Tq@#=I&sHNxcrzFvq+X`LhO}poF`O@t zXkuY;q03jdiV5`E9H7aVr#gIw{|lCwmO;clZYn`It-a3 zF1i#11B+7tFtEIg1dL|~ox&M281SrW@*miR9!*q+<}I3bgV92wg!0`5^sPm@Xjufkr6$s zvX+>`xQJ^8wm<~Fg+$;5Bm$WyS4HI8A>m%t4ow02{pWDPPjE*VNthXQMSj(mdP=H2 zfSU|{C|+9Z2+&7%n4RnDpvPxc@_*re)W6=dY-tX5COo(w$!GV%WqKwMT77Qd8h6c9 zow+jfA|y&IA27iny%l#?U58b?S7%KRwyfqj&(pckq)}9%U3XUCG1J(Of4F#XW6dt1 zPYO4-{*YF(7M)aKQqsI7JSj-bJc&i( z7K)B@AsE;@ovN`7Ki2doWT77$nBj>=3a;9TeSx3E1Sy$0y1MEZ1ax8)87u_Q#_;AU z37EQMPq!Pmk`Q#ExV4+P41;#tigDE3&g=BTIqh-T^#%ZkM%bOR!yC-16v4S zU_fgC3=Gm5QUC*UdmRnMz)s(wB~D`rWxps7VJACeqwq$&!9#mPBhvXf2$+68zVIZA zl0lH^XSVOnPtpkq4bslv-Mhdb*nfuWM>k65SL;22_zv_mGP2U|i>2_`reA^r8oDgm z-|YY}uxe`TP1l>vpQ#O$$&^s$sBujA#PEbxI0p`&65{F=$B+#=H{;7ylKB=HIDb?dN@@9f) zd7LOvaTM-v4D2p3DErv)#XytwW<-#ZnQ93l7zvHz83Y5fEqd#R;3!h6@>T@0gdTWQ zi;Jj*Y6%&MKo^T3I=2D_QbVwckmMrDe2oh?u7K$AncdBzY6UaOBk^x+dCo!-x(I!> z9Vj-qcov_Nn<8mIh0paM5e_HLiH1@|!wGB}0J91O5}E1{3``2TLieCU#vs5zXV*du zL2FDPA^bSeVfgxQ3{07gXwRN|mR zO0Gc??Lt_%^z+*ahisGY%ufKXhgmLEEQ)f{<r?yP{zmMG%X)5aWI4=AtODLSsmy`LY4Y=yc9?mcQB9Nc+%l* zf3}gPZTG^(85YN)Z`pUIo@kD1aUa#4)Cdovt@WW=| zU}CX;_<$ys`DDT(F#@b175y5S*cD=d{f-!nc?|)eSAdpeB%~vX>P!O`*g`zJW8i)D zdxacz;FHof3=354Ti==&I8%}8p0T>fo2;6{aYFZ4Y-8fw(A>if%{>mqj)F+x+YX#@ zCY^#u>F|A1JBi_;jjmtJS!e$07H;;1LcmCa`E8^n`><*Ma14fQubQPRp#7p|7dG7v z5L~|lSkH@?X{zF0kZEwoXwtNNw1X+@2`K>UsbgYuntlQxI(T3|QjS#9hiM2MB1`CA zZ#!XL!Nh?Mm`Z$6;nk4bqEKk3$2qw3j=dj?IeVS0q)=YapHH$Z(B1L zK8FRU!-Tohap1DfY)$c)#E|3yyQDHA{_h?7Ytd!iPw=4&DYyA!PQ3Y9o3)ih8zjiA zTI=*SWeu(#5QFhR3B;K!K$GQVA1?fc3=JD@E=aaw)h-ovt(VP!L{2<4bdQdebCUPF zWgkN2U=6fRpL<8q(LMnjxn}8%|GbBoa#i@G;UUZMFuWTn0ELDVPZHzezo9?s`yQ3{ zP8=S{HU@Y&Vdg4^ysmg5#_yF_u}H=(gM<1yo&=VoAwxFj%e!GaYSG$FOHH}+vrPUb zzHKu#eT;KjiDVlztshYrm7AB|<$()WWu(c)T`>uT&l3-H{S#ji?*Cd9e>m6|*llqF z&T}5Nb(c=YC|pucaw4y<6&Xn5`fsn}ckSm@2JM?8M$;h}7*7lT=BxShyL+uxU7hBe zh}G-UV~_hK6Zba02)5AV%e!mc<@@{nWz@E~Bq@;b^g6BaVUo7au4m=t#Y*`$iuJj! zV^~*CQf{Mzkyrhq_WfAhU~9&`=(4=Q>Fxfi=gq~@_rr%(^!e~`uO#zA0%Z%rXWk!tk zyQQtz&B#-hx)ozazSz0R>W!My0^QM|3G=qwbI0S=yk&vrvx{$1cM><04@N2a)uX6~ zx5qB~X3wx(YU;c?z0$Ox>{aLz;KYxH%o! z1D!N{o7Q>V`g$?Vn$o6e)^AgY!-a z(gSthYtJGsu;#$3bpXFqT-$QjfwS^Z&Y0&*=?*yX<7+y)w1JVO#fX`lh#kg7!iT;f zePJv8d6+hgvBkK2{N1L|qR109Xe@5wymt?Nd!Tq1T9=vmiot;wT$-y=C)H`JQl znQ8`BhE1h!pn<<{4KE!^Su?5#@aYtLVu|Yq`=0IMPTmfSIVK3SD)0?&jMT;kvRB5c z?0x2_Td%ytch1$(Fl||C4L&dTKdU6&Jb%m33!|$LwbhjNECPzoj0jTCynEuOPu7_U z7oySa`)h^jS!6(qn7V4j(Yvz1(K8YE0L+V!(<7WZDcL>{&k^;nG%|imNB3cxBf-2? z1F_yfk8o66w+6c;c7$~~GER2*!|#S{@6if-hV;9;Y2E*`!j^Pd;I|WL2lyFUSz+Lmg*~xf78C1fL^&>>m67|5*=;@AV|0Gfps*GlE}3Ls z`pL+ibD8|8V0n6-SELjwaKaX)n|b<(xIR@l8f}RgT5j`*1rZNwYv4*RnW*KQrn>zd zYFLl~2%-L=z=C(+UR*7esOp)|*fwk;gz0(to4p$8;MQV)`XV?AG2|7UIT~v4le8S* zRUma8)U^GNM}`m+%mk+LqrZu#i4CHh5O~BM2|v;2uWEu2L?6o~ z#=mpoum4He^(75{v~fbm7MIt|^4;{MT0hnP=pWpSTN$>>ZM1B2TyMER#MLJdPk0 z&dryVEF$MUwBYlEWe_^Qik*9cnTbHA)N8afSUluu0>t&u8Lt)#R0KPeqUWQ?Ej=a! zT8Jf!;UcTK1=cLMbSTTB)I!NL=H^^xqSe3M2^&Sb{c3* z@(z&?AcCnAaCh#&CMv)1lcnqrm3{$E0r(+6UIU>_xi_T21$qYl(K(};qiNgc{pxAI zMX;*e-y+zr@RS;(Vn77@VxS_3!kvouhJ|a--VT5yVkfG()WpDm2=>otwVM#kqxujv z-la>A-SGN+zn6riH-eiSuL_MOsOIABq39=$_f?dZMs~$Z5?3sfl^%MZcHt^fFoata zQyD7V%(pXmPb=vJSd-h z%2Gsxm?|5IlSp*ICHU7hvelPn-UgFNR5A&E=6s9ZA9^a9&NV-T_6Bv7lwus-7MIzq zuqoBl{=KF@5d~q<(-PTG_0$A+I(9p5X5+KY(ZKg2=`R71uplLOL=eYi0)+C%FufHn zwWj(D1e-uiy112ujjdbDDWN+ilfz@><{zQ@_WFd2cceEDxe*V)@|>pUjP6`7|Fj4I z!Ngt()qGG71&$%RL$Zg2`4cqN$kl6(gbYq!PR# z;qTnM{W@NxoMUER4g&ck5M_wb2-Uc#hbE|D!j@>>W&QTR);G~&An{8ZmT&I7gBt<4 z2x)(b50L||pcR0~nZQ;+5INu?4Dnp=eEysS8gVRNo_#%YeIz3XLv;xCz__Pr&>qE- z6RhpasNneJZvqr|Ak`spx0x+;eB%V(B>1Mxr7;M-Fr=SRT&hHzj45nn%gko&~B z59ru+8R;H8rO9mVs+f3eF57{Mo0`61;6Af)6bktUMdV|F$S;(4_xhlUk~s)y}j?YE-ItE}%i;tZh)n51 zh#qU`pnl!|_-F*uEAlig1(x1}=yHv4`b98?Dvw`q;}gAMdAn!-32F{jb761Zh-?4) zqi-MK#_cG;D#!ukAP~Kht3X32Tw{N0V2C~^71d+FyqB z*b#(F1LH}8CM87kUok@ZMp}N!e(?#0AP~#dNI;HQ_^pAd|JK09Krb|bBpUn%Iw7u+ z{uo2pRep#58`QugD3qFdBXFj#;DI`HR0GNggEDR~SL0_Be?vkDs{$iLX z(qr>k7=*2uK(r%8Cvz8j@mI#$)sbTq{kM!Yq%$b>sCqmz9ZBAm;4SGsR^H?4SKLak zm3m}q1v_^hB`O188bUt=OvC#Prh!o6YGPmN{_?{uK%D$##H_Ec{D`&eoKF<$lEt*8 zel5E3XIVDx=cuu0yt$ZR7?u+>Ro8%8V6h&N<=jHX>-<5f;gL8MsObFSfTsxNgIs_RDR!giCOv3G7@V;Ctf5`_QVvur2C}xa)fQI*%#KiRe;rdqeHxp_IXdHEw{pxkV zPQ`ARre83x%Rnq3(Bs?RJC*M(J5xX`|7*OSWUxwl=1#AgTswK@He$5&x;cpq-80Z# zzsrufH;dwvRUgWB!>i?{Hpa~UI`NJfLV;1jg(<{B)^gh>I&=&SQnXj}YClK_FyP39 zhvaO9rtbiK>%lT*OlA*HHkgyL=bicp^E=s@osp7HqF27WVr=GlG-Sa-c!wwv1^ez51r-{C zgio+hepPAt&#((6y57SsA-q6Jy$VZ5G}u=|IuVZkaGLnftDez~ZL1|f954g#UMle@ zAa69+&SG)UsgPo3Xf)G_Nf$qp%&jHyiB46yGnD-9tPuVEN-}0x>c_G0^Y`(`t`2Jk z$C2Y`W@40NghS8VQ6#E9CTrd)MhGkc~zhywOcEK3j6AD&|?#YmTl51e?!4DZq^ymyI=j1fpSgbfRqc=JrCfAbUT z>r-!rJ}8UU5_eNyR>LYvN}@C>R6wsr>?$FIX=7=DEcP1#Q)@#-8P`mO>8oc1HHLdY z5U?ss5x>bR8(Nr7S<>#Xpr9ihQp-Ke0U$S9Dk7^Zbp8{@f&<*~%@|}uyMmP-SY(R& z2Xa1!wAx?IMRr(ZE78zY0)ab1ci<*7Hk6pzjD)&oz`~as(rjFT{khzAP*0@B^x-Q5b(DYfa4?(UNAZZ;v^ zY`Wt<8{hZnd+#~t&bjYzesgE;{m&U^7SCSsU28w!HoIFn39^MEBEZ4Wdb{-CLxgZz$EB28K_jO2w zpFICffNfn9DR(M*y#>)oL5JH87;d0*meKgK`E>-jh5qzTcvfGfQW!vh{fRh)nQU3& zPg*AcAnx+|(aZ)wfGI->Fh%zsVE+SF<#P^v6h;Q%JvHw?RwX$Mavkbl$H-K@&!>8F zQ5jR|dRH_eG3`@bk>uDyKA%rTKmJ^IVoFF|83EoPy^efL(B&o8_=LDH=AdaRe-Z{& zy-v&Tyx;G_1am2$MLp}#?og;0c>7__BHlWKmV$uTWMmtXa#Fi)snO9Db~g{c zhw1gkCiuUmRy`yWJ)~AKFth##0Y*>%kA$oL4XIWC1_1_5nfjL`D*C_avj0Ab>OU&0 z{i%*N4^l5){rue*a;-Q{>PqjE6ej@&{d}ZDs-z|O^11a_1(@dpkLlm<-Q5oK`*%}< zB@_1-;@OJ`LdFgAG@94^`_FsA2t6+Wuj?hqx=CW`Xiakg5wygh(R8_^A73Qf)NkCh z-Umw2?xZe)zgEdplY>LMW517%RM|Q-tDCacps{1=u7SS>cVFHNR7Znc zi0t6=BaF7NHiqMM&NW4__E-iBUAmBo8m`o7tbEvsO}$n4SVo-Cf6&I+=En5Yx$A?b zp_>p^X!4T8(BMmT{u`7wCqt}^#1xN9i-sFl_<==Q{-huGdn3Z9D}D78A^OQDD^rjr zr}GtBfB>8N7XmE$F9Hnb9|V}@d03jk@{Z#bm7B{Jq%q)Bmz>bnbCSF8a2?$Ek!OKN zxcSh=O^Q2os$nj9LejJTX8$N`z|lIls`SRQ&h2<&jTYizd)T!x`8lze0^MPfs)*qX zKh?Mi18+UNs7)uBRy#WGuCjx8(3|cqeTWl%q^9{KdpAMz)Nft;mEE0lCAPh(Mi+;> ziY>XQIEAxuNbl!4kol0xO!dzT9>SgC2@2ByyzCgR+ndu{!vq=ko4fRZbT0GPGdw$U zGb;(Xb8c~CmBBV~eM|1Pwa%5(PBdM_Q%g>ZdNjr{mL4P7xt^!_ZTuib0uj>)`Q@QA z@sjFByyVO6TUjOvb8Lv3pLSl)p4Ryg2gR-P&CMt`XkIUUYN%Q{a%0c-_Ex+0@*Gk= zbiHwTu5oZ_hZ%Ht^X?tkQnskk^ZCCTV4n9-1MC_wz~(F5-LKtP&GN5nkz|{E*EB#w z=WSIBl4DE@X;(IGDINtDfpLnt;a4&y9i+yxv(d$qq*_03y+s~WDX zY0OUL>|ft!8@JWnh)t%RzP|We@%B!q!5lb)4`|F;#F(!_#`oUTo?Fb!kj7;*ROwRtbyu8Ea+ow!F+dwO;m z&DjEO%vAG^)$#-sdwgD)3)Bm6u`ca7Tw88RJG81-oqJEZepG7bee;-08v@*>iFtXE zN8P5JAEu07@^f&VUY3p*A0KCUC|z-|ow%#&Xl5MwM7o|BA9QSzE+(4$sT{Lh>714U zP}0Ez;$+4zm6hJShvF$Tu2C0w=-8kVk#2JXx{PPO9oyPUA%q%W+5h(jSouEWV1!<-ADG22183dzF*b zi89o0I4FnOF)Jym^shX*nE>5ts%yEqXz2C*%AQmik6*sy>f^BWrnrXbA&d2dfuIj+ zn#>S`^cpB0Ltv6Qi0vm}`csa3rDSSbJGz`Z#6B{jfY#8^9iO20>Q%~yPJ-wh2KVyR zCB>r3!U_wF+UcV zch?|YWVl|@of#UkZ5%MRfMjMiiAh)?Uu=%;292mf1_DHZPsdECX)*&*P&tR%U9rV8 zoNK8DNjcqycy=o6D2Q+a*a}L787!7NMj@sw`wi5OS-TKzBE7>)t`7Eeqq;i`*smtmNU~ql zJn2dA@zifo#LT*j?nu@!2sp=dF%#t=@J_6z1AdVIGRtQ6!L~9c{FqQ1b7ti-JEm(q zBx#pKjqKyIqLHD0_$rJ$qyejOJmIzOZ-y)gV92hZA4S`Uu}jDe`V5!;4_sUDeML+c z)2$nqTi=N!VbWd6LMs;%Or=z^5_g4d#*s|jdSZJu zMKkZRS(*4=0t(|Se@j8z(NS)gj_m7w6ad3R8?7kp#=&)X+0rglHZ32*&v=6g z9<8^RHz{#FI>>|toSsL(r{YuV>s(qTgaUFBJRF61XzbT%r2J*gZg#&3u#3M5u)hC< z0DBA&V2uA30hVn=$KAmU~#97=PhbeaKZ8aPd>J z%Y+2Mo;WGwclyuaHYlYscAtFVCIvq7ll4!q?c02SMfRdDnht7_`3}G2BJg?OT-s2e zoXdA_piWpmZ|X^6E1z4qx3dc%@01dR9|}sn%R}(8Msd6(O0gjlTp`*oPnT#w^`Bt# zzt$QN4e_>^XQQ^8Ho*0&K$_}k`2wY!zI{njK?(}~Ia-7Mw6&ZloPeJQ$u5f%{%b|S zDYE%9`{W;vBw8s%se*>@Hzj6YjBlGgyO9z2W#Ciz(^%G5OJjC=<$E_OF#iufuQ~zG z7U$g{XW;`=Cz;&ZazX?bz`-8m?c8JlATg1mk89Dq434p+2;U3`6Fffo2=g;I%F|4e zgBCTR0T-w`2Pktl4=eF7WtK3&(a~~ z(+ipO2Omb(H}!^sXW!~?H;1TF#0Q2$D5bUK2J1lpQ^pgM4qgAr_kD8L`hmP5fLs5B z)V^&E^fgjlG#j+9Woig4b7tR}Oa=tHk?>|md%qz;X`4X$c&YqciQp{}YM&u$c&F)S zzdjw3)X(LvXdhEv5doT+ZPlkDXVEW8Tu%-%1%a9R7&=q&W;Yq0Jn&XeA9yQ-kFGve zyc_1SGmAegGgxmF^bu=s_lX z{-9G4$9A37TJvtP`*>eq!8s=;`+H}KJ+*+*Pfp}|#1+U(&%V=KYjj!6Vz}1@Qa^34EfA+yNxpf0>0bgmMU@ z(g20{vVB_{SP}^TSQ5UOp^>(4bpT2-I_wj4A`2k3){SETYfCt>wdD#6uCIn06|QX# zHh>LFMG^__zXIfxY;g%zf1*}`ir&D5DvH|3t!q(uqIs_g(>-tV#u7Vprh9IhK;N1= zIQA8kG%nQwwfMH2LriM&*;_ zk`@pQeORi>|Cjk+Xh^NPcm>*SZ;;ljJ}i3xL0TPoIvdY_1dQAtaQ#)O@%H!7@4-RW zdlAeg{@?0WoVRff%p1V7lUO3+?rs6z^1|tVRBR&n_G+M~o}fgM9VNZ%EBkktQWv~m z(BMppR0EtSn9e_j;r-G2qS?tKwXnV!wh(dow|sz61Z&;$as3AW184PDeAVB>eA(t)y!x+pf(Dr~8JYZQ25$kr&nyh({2d}f{p zE>iC(>!x5%pqO0|+NHvv|pLLC8gE)rKI(!o81Ft~>hhSbSY(#q$8fpp}J zCwBbW8l{z)5mrAL_S}J&C6m`}!6H@g8*k!*0dFxAY+dBp6Eo>LTK=dAKVSy>=LQ2a z@QniYSM7r67fJa^6@@w-g9YVu-eby*sKgKIp`$JKieXXd~tq;SztVlw!Xo;uorK0+dZ^`9(LbJy5 zaF$7EY0SwHO-zKS?bYQDctb(;(rjpD19VnvRXMV=QMMY>WifOd3Sq#a(w4} z*NExXTB7cg68>R|_q&zzM^ z+!BAMUX34xQ7tiHtP8uDku65r zrs+S!9-Z$tTZc^fnV(=*3s)6;*gI_=_42!OUYC0?zHjpzK@2 zKR=V+XeY=DqupDHsy>Q>7#46X@Wd<;g)vXs&yGP`VKtr+qmXa-N%?P{_Oaz32)&yx83;j z_-e+WCwWm~Y#of8k}YmqJUDiP)9Sf-jOJIXkot0ZzhS&%`uqf3)HtuMuUVU0Y}vi1 zZ!oeAnOtiUAG|HR8g_WOsHhiY{u+FB*5t*~SIcpBYBqIY&ZVC2!jeC{UvsiuHldN> z%g^l2$Btp!+`nf!qjk>LF~@tHUz@&xl|nu1ck#LE+m~BsYuAdIe6ada>H=+~pX?p% zP@~H8z%>H}66q#twI1Vj2lNjMn6jOwCYLFSMY*@z=K?(I(_gnp_6yIWL!@R~&nK6n zN7w5b5D16QoN|c7ocgGTJRPg&=E)Ze4bu!xOA=2b53F0Z14vf(8tj!6T`*c4!NJJg z%Ab4e!MI3O1e#`&Duk1>{sOLZg^7PKp1OK4g}SqO*Aa*9xAB{b&xMwO;}zVmWqb%! zTl_rM>9TrLj-uhvRii0OIh4|5YhRIgcW{%w4B_em7La$tp!<8yvbCJq!0aj)d}&h~ zIlscpsO=w>AK+9Dm4MR!Mump~!@Z^!NxvNAJIWL|jMx?UL<@s4IG<57zflR59M;hd zqX(df60s@OcE=4~j6zh5Or`Mx0yO-*b%&Xwk{>=->?JtO`~|ItD4$i&jg_dkI9f9m zN+_3i31IN^bx0N9-rG?s=;#$pXDg+ZcEtk9MXWBarr&#oLUf^M7v+k0CHv{#HLpzz z<<|pOJ7(18)n?n4$n;m+&CT27KJrpmSq95x9{^aA zZ&$@+&LMivpz-AbN@q$|L{)EBb#5fN{NfhcBsHL6ispV2S_$rvE<#z&MC( z=Uu3atzW!KO*vWA*vr4a(1$8WUHpTsH)Ov^Cq^~lOARjC=mL%zwPlhSkU|sDtd-T| zrt=E?Ct98*^#);KW1mql8tr1Q0mPSYnuYK}rq7?Aic2u29;%&Q9V=CCvNc;j3@C}4 ztdy80BJ*apEXmbzaK!4OoX&|Z)y~#R7i{l5gl91u_jduDFRGv}1mVf2!ZPp372rN2 zk=f$fBcrLfEMi2Rs>14X5VRS+=0c3hT#G*k8OQBdFT(f~z6eypmm1DZ_5aSNo#N%y zNgxG9c#@0y4q>&;%l?RAZQ_6!)(}o@X#3g^l9*7#{hHNQ@(dO5o$k)O1bwow@eR7O zyP0rbd(%AgHRDS7ry7R&ml|dTRm1u)NVm+bv72qx)-S|rR;BLQ8lvJ5_nA9O0olqv zoG+V!M9|mQT?7%wRS>GU85iJ2Illdi$nr~In&gq_wwhdwNjRXkEyvTKmx)}&U}a3Y z)mVAJ!w48F^@mq{3wuM9@GXXO=ls9dYNyzEcP2{%pX~t;Gy4k<3y0!i{01NJ<1UOz zB8{GE@@E~O%;Sm!dYE9Ff&f4}F>K1|wRd-yNPg$j4I>BEwG{vAqS0S?*isf04>Pi1 zOG-E~wq@)m>=EB&=hTs9y8q()pZ5*7M5QS5zw`}7GSC78{uy9jZAEsH{<7aTWL)-WTzZq66&k-yS&QLm#$G_=0k~`R z4`xc^f_SCqeesiRKfL=#Kc9!Ez261DVf38sMqu(PCW?%y9OSZOw%18_O}8%a3RZE> z*UlzN7o0P}OCf3#49Xb;9;{)UXApHj`cJT`=@BKJUwM}@IB+Bk<-vq(7(qM)Ec!a` zCc`=1x?12Fko`?jB$+6}(anz|@n>K|tV8B^7{7caL2XpHWw4nG2?;qY`2#WVwf~?ckqy}yub$-mLSN4!b=~(=1@O;G2*~ds{ zY_>wycMxCwGXvu#GFBBOosRt%zU(KFcC>G_rn7&hmcm5=A>E%}H5^apuZfiQ4k6kJ z{^#D63&KyaB^On%Rx)v^MDB>x5|?XnrQ$mW7#4x-89W;xbZ5oI;vA4O=#|;YsE%6A zd{MmTZp)j18G}({FtHH7zi1ikX>tEH> zp3ZafpICxP3iQW{Lp`H-XS7?o3dEyc#vo50?FkiYxm=JyKJZgT(xOWaUt~Vg#843z zLAj6k02imGILU$@+#S9h#^xU-9DH}yq9E|80O`%9+^6<#{}M@5V5N{$10-G1p^NH- zqaiIuC905FM_JZs$>wnBTiOqd^Wuv!ep@}x-N!QnhG_cp5p&0`YJrFWT#Uq+MyO(5z$*Gf|a6(`Q}m zoa}k_zj98PB^Rd}?Lyx~&L|DFTz1b!DL_k9L%}w;``?msTU99OmCjW`YPm)Bw zVF5pypg=d;rGWO=yMO@xzbq^cy^PwyG9f|kq~Nugp6(r?2>P|&FZ_b2hUW}RO3?_V z9D1p;Jt!oRE!?CqAzVJdI_8VtR-YvC{<8z%Gc4PkRwJ;{HPd75M0%sbHaT8uWsF@>}Y0^*UDFKt{Nm8#57B<2e1(s0-8V9fy zMOl=4cKr1y???(nZ&y0}KOR@#XyH)igUxo{*!bH$zO_TfPU6NhnxLYZGy6_BvN` z7MoDUYwK`HHghwIZ}%+1-F(1#C9x7+(}d&MU!CAirS^$-h^}Ln>`;pJpKo~Yo`c5B z8gBJvBW{UZaRMB>x4-9D-cEGT-)-0N7RCKS=+`{M42Q1^%HN`;LAu0Lq>HtTCR^ds zz=K%tk>2!ex-Fclk0rSs?oW8GF2>L#-m3oSlf%!l=;h;XQqh6`l-y)0sq3*)uXwe* zzf}4G!4R^RZH@g>_{zXlMaMQNj7BmWvh+Oc8gtE>JW0`2G3D;`0Nh4657ghy;`&pKDLXTno`A6L7F@zAf0O!Ix4 zdZJC2jK^iI-K(`iwx^eS!wY4aO(wMB>PWdaC)w!(dARqZAX`PCE_iIFnySr(3_9(z zW91j`dGE4yuxtBQ6UlN2w_}f>^`i&No9ekMYbPARt5t?clCHOAE<+x8WAuugLzegB zH_7fQW|BjeDJz4v=i^U@j3YEXMzV@-PbT&=+k8Ny5w-7|ryKKMo>g|ub1niB*KG;j zwSA+X1-Ta3%QB3Cb5GyNtsu$yO@y%8k~5ua`FiVV$k`n> zX}HILhE=j^@4l&0Tv-qQ8YDS6FJjb&L7rd9aKHZ4x^Qv%LySqt+PLP#m6Jll znq8i+hk999{XZ*jJMkoni@V;NOhk2{;>CZ|bC%0CCioGw<^;>fVe>l@~q z8)=%^8%6f+7MSOK-hqGLHAJb-@x4!I*FD5@J_9_%4xT!R9((Qf+RwaEAOaB*zzdX- zW;7#V2k%vaMjYecmbQW}Nx-Kz3~~+QJzC#fOT>Tk;~s-DY_+84(hVq@T5%X72PFdsH2x%OiRk$O)V7d+TTCkBI1iPtrQ zPf4}oxBTj7a&N-Cv#FkK%=R!tO4U}y8Dqf?yG2%{o2lmO$u-Ps*}}oPnwUc~>)eS+ zkvSV)LarGHeiBX#>=wZ1uq`;%HG6=z%%Gx1-3$bMi2}1qg0O#I-)lu=5M=o%#3QKF z_ufc%gYmdYZ!oPWd>~G~r$2M&j4W~h>oQ7=-a;~hC1IPYd?ryaOR4(WBf;b<=lcx6 zO|(6NmY<)|WUZn}$_$9t|M5f1_(w;e#7j5N09h1TZ690gq1t}D zF@=BBrD!$brFoyL5!@}qswC+6a!T_FjV+f#gSQ9O#=u=_Sf6{#0OKz}CYfoAQ4=w* zbRNz_jw=*IR=!F_H5GhslDhA9X}iZ<8$+xaZEp zEP9kQF?C)q$8VovI1h|&J_+I`RR|_1TmNLxvCRj_CngEeB~baq8qsbMRAyA$UU}}@ z6b03j`pvOK_J?5Xs&!6kn8_BhfeS;ReT#(X8fg0rtijY!Lny{W{UX~#A_(3n9&bS$(ncg6fZ zuHP&Q$!`{AZ~No>W7cLNN!QW0Sk1`Dgn8L}5I5|dqv3vYnt~we+NfER<5YP<2GtOP zvJ*a%$>66{S&EE=F|}$|v5G?DO!0vY92z0r(%+}FlSPI5DyXZEO}4RfEYEQFIOmLL z_sb_2JYLmZYqa?4M;zM>nNpZ-oL20<)|uEO0w=Z?sNfr2ri<*EJTprIp6~doQr-49 z{y+f5A0`m1S7g#-J6{>cH8+8WAToI#Y5Z8?x9qXk`yZ!VY$9LIyIZQZefWG`15pWz z@}y%}G3e~h)(f;&vwX8ro-47fG7&!s&y(^mQx&Lo?FF5tZ*&75<|qS*UU+Kuob_W| zHckKa7!OrhZj*bE>gF%YAu`v^t*u4c^F|XySt|MVJtome$GdXLH1zc9r1;m>vZXFy z3XkzrbA?$6fGcXj>ZO&y{Ry=WsvkcX3^wJYBH-D!8;!TD!RDOQ-JQhUx%atekBzr% zSb+#{zJsuv#2RukNd*9%?hvp_;Mq%ryZRJ97+d4_$ld`PFISt+>$G>B?Sp4_sY4ru z6a|@`U#8bt$;%~Flb;cmqieIMpoGV)Cd9ZXq2S1*d-|zy;I-2Z zw+1V`Cv#%S{jXNft1--WdktIM#oV8aK%p@fAjf?5ID z{3d5)zTg`x6mSr-B>o0(IATK|4R2WN1C#+FSl7w@lA#Kp5;~kS-Fb8HsHVRXbli!% z(>k};HkJf&m!3rXnjjmxuMzxTctMw+)T+D19Ydg_;5hZ5xENfgQPuWRg&&Sb54FS9 z9iEyVhbT6snP&qHx)D$82kyJo+BJf?)Bj-!9uAT{s9e&3%0&wGo6>KBm4Jm9+pASJ z1^m)~WLL+X=1VZ->pL|ZOJ3WCl;176Px`OG` z&jrLp8TMV2(Va@ljVNM!;W(ji;P>{5?*56wZLZI6(JY7#2NiRQ^3zZQmE-4=U%LmU zV{yG12>2X0k^YLuM2Y47_Z~oL%5bJo#1I@qWd>!vi4Rrew~-UH&6A}9MV#}#w$Niu zWuH6eh+2!Sc=yzKP-h(6cU=Ze|5Qex+gzcP%eUPh_(ywUem>tRnIJ)#KIVFFOFR};d887hb! zHA#9`Icd{CeAa!4&%8iJmE9-6cr%E2Fy0K3!!=ZT9nnlX>sUeq4AS3`t?g8RYE#j-iPhIHNay5fK4NF5^Bs$$YdW%@M#*}d`}J?Z zd|{Xp?83FA!k3ai<~8n7j`@0JwV^5%EAqAUwBLSjZW0*N0<6Z#vBYVYzzI{X!*pM> za+#eTV-fhPQVa5ass|#A`a#P9TTs0(@_Nad(Dw_eDnUV~?M?fO_Dc}kDmi7_7v`U6 z%b9zuSyi{m+VhK-f)mK*V_=;m(rO{z1Q~Vr1#UCJZ*?4v=2$$&VhNEB+7i;E5E)U+ zFs7iGfZSjv=pR7cs>xu`62KY0peJ(QNKnG;Qw|ysh!F%CpHPhHoTRdlv0&<)=5&VP zObm!9*#cTqt)70+M$;5@;^{2zI5EE!(l6MMdLdKlYdQh^3%xCppzXlp8pA10A+^L% z7`}UN-&HqAbUwv@uI5DFn!a}Twavt4I5_cBkqW*I5SI5%p4mXd^4RE;`N%$KSc~j~ z5-#r?w?kjhsMTpauQ_iV>M$-ceqB>rM&bPHha^A?z!kBhs+GF@0vNYB15zt!Tx6Tr z)*)MZKz)QCP#;)U&!REa`J0g*^#hgaUxhT$_truY{2P8>IYZZwE1NURT2?U3d(BUs zr{llRYFfLDFO!9+*uJVr{E?Buh~IEFg-ZQe7F*@vSWsb1E?+oILyI?K-VDL;lw42ADEE1=gy0XU46>{#wv0m>rhGl5%fUA2#G5#=yAAwj4 zU^#sA1y3KaoT<=3^I+&fZH>Six=a!5tD~m2p8`8b7DvIZTN8xd!vdJHD{7vXE*Cgb zgP1SxU*}3F%4hF4-JIE~>y8r|8mr*0*2F0uQ8eo?d8{}LP6XhWDTOT7JoDl^Tr{ zT?`~7VDbQsyx(h1G@#~Fl1cJ3qr{W8HKt@ie~5JJjs$_35>|Cdi@uPd^BWKIn<}K# z`xgi5lRxZD}JNRKDLEJ6vw2Nqo8*1xXOg(0@4+2NLrxKqRD{(mi!kT6Is|H|jwYEdk zyJ(t zAK+IGn=5>L@GEP6Or3K;r~FxCD0Dly6hBgX=j5_pnVdE}?@d8?_rm;=EaA6cF3HSq6okMnZIzo$W0)K=aJ)*BUb)ad6_n zg{-2H;G-XsOTdyTX1Cr!&ljTg7(W2m*@p)>I=AS1SaYmM4^zp5zZa$Vrpe3=01eIP z6&RNiGDpl^MzSvgN7|+m{eez!1Hu*12~E%$UbE|^qx>{Q#Y!2Ah`!jJ{AaiH&2Hhx zd+x%IHOJJ{c8K}ZC26#NQ7}|jXaIZrGye5g0PqAP*)IU#36*5+M5&R_Up`2(%@307 zdi754%V^D?E2M|u#ml_OiJv(W1E=cM;F>sjD~g5*gWb+Ow;*KPc@rj^6^v&Wsb5Vy z8B_Q^ZcX%G>*omwnBhy08Byv0ap{eafZ4S(CA@-}wh9kj)ly?gBLuNf^XS~y9tRMG*VA^V<|x^qVIs!YPFA z8qI{>MJnNS8b&@GGjRU1Q1FTe`YhNzi6txkVNJz9?6GIVAehVuuAA7NAFNXt8BYj1@%L}l~i{_X;S^v6`4HguLLQL zU7uOcvC_2dIZk%YDs$iWm6}a(wv!NZtMBI2uUzmGOWMY{)jh{Bw!$?zq1ezl;bkRL zhn?6?3ngTpOo-OnfNr?NYF5Fi)yPt#I*02oo5muz)P zCFW{ z;sD>}>WhLK_K-fQ#dt-qFm6>){b18yA{J;T&NO)A%v{bl#7QJ?KF*fRZYm&fOxatS z7uaIb2riMcG?bIJ9v@H{6c~K3yVVC8bh;f_;t|oE0etx8dl3Kbww~C06liWGKX$u? zI&85pjCA3~h_-D-*S$aJN^T%*C4zA5>g+19Yfy^$d|mda#vP2khq_oi#IM{*2H81o zCh%~*SXoz`>9ScPE4=B*E3ECvRcJijOAy{dT5De3?u&L%+h*0bRRzzAHbPKVGyTqF zuD42DxAJ-LQ<6`kMDlPv$q&czx&3H;tYzua*Q^kAau?qA_76H|$xxJVc{pAf9XIU~ zfSGo{=JDWi@$yDb?g7K*(9A_nWlhOvMTGfP@87Xo5~_3L4$mZdn2#|-`S%Wv!+-Mc zCPIslQxNyeKk#?EOqVy)zTv($7+?=ANe1?_^}DT7Zp4*1w&3hz1?0N3*si@%6YhI4 z_t~aCey&k48Td=1n{U@ln7wI}0WzB;1){#B2ToX&WxDmr!A1T1EHW~tA#)F3i*R+h zcR5@|nF&ca2AQiJr?BC5Mw6{WgJpVY zQnitNxhrMtn7cY6SZR>u`dBIcX=&m0)cqFw2Zr7GhJ!QDP zPwn?asziJm!#-y}Q32tZMTdY5#32PP9x})6t#`FMTSFM@3InEOzEbh4)&zYf-`x zQ9iweVM^l87A8)aH4N<4WTBI#*)UYdV2^n>`?oj3>i30@YmL(&sU_|H`xhW1XqCOd zaWYa?l6Nq3|4c|VLA!#oTW3Cz-~6m6mng`(Tp1T@Q#O2LIu+_<$$F|ecG4sn2K=Qu z9ZZAB-?!7%gNO-2F|jf9e}lh*^xq#y|Z>K3EE zMpI>a5V82g>=~^&wEyBUej@T;%C_KZyseRKpsk^g!40wX>B|)N*yvMurdWVfvnglQ z(f#YeH+qn;^jHi)s%X@x7^F($R6ypD!L<@qw6fNUi$PlD1#dsixjxJIGG{^|+JJ6M zVQ+!GZZ{Q2v@$(I`L3+N$TSwT>z&sHn+x?PWUS=^KmtJl@^EuSG?g#*Pu{d78S`SY?;G6?xS+h*?2g@p0GZ)?L-jQI92IE#?NvRnXN+DFG)8 zybAP4&P@m6^G#W+f1qo!99MHci~>r?TYeZErf$+D1jT0L@Ta2W@LM-QI{S+PGWg|SG zN?`F9rjC)9nB=nMwfFt#XwPfgM|r^?t^O0DUn1&QwxQ>^%VpKI>sb3N;iIZKQn@SW ziK=-2dnYsn24)%}YGF2N{k~IP{fT8qIru1nm;Ul_<5BhYTSh{$Lw%{fE(OnX#PLO1 z%2e)~EY3NnTXZjNTpxGRVg}?(?Qg}LC6Z?c`taKpc^-i^swhW*Od7##8EV1Kcy|#N z99&_j7b1a<H0?&RzU2dtW7T>sS?C)!r5(<*VBxb!DIDD!+u4$y<;Q$Y z7s;Uld|-xZ7jKGh-kle`#xQAoxWP+7=zcznwVh!>ZtO9AAMm5OR?G;6*4iRnmvzkH5Y}^bm@y@iuA(}B=jW<0)_rg)0175Q#XGmm54@1p zk&9Y^r8(Kc;nmfJ%2!e3^F_0N`Fb(!bWyC^@k$yC@tY~O;=%5oi_G+$=~Ks1!lL`R zUj)@rCN>k{SI5?*%-!Ckiekdd;5f!6XK;U0!OWi0o+z`DgO3pazCasNWGF3=GYTQL zb!og+C*MUeQ}AY3+-IIE#hqI z^(O!)F{9SYOxC^AD!-XFeZ##G9}CNd0z6rJX6S(Fn;{j5Chl~e>BoY>c;4(Hc>1Gw zt&}2oCLB+|pZAu6J?D7$C)6da4R74&G5=ik*qsPZwq@?8UvOmpmfK%z`<0yk8uNM8 zwh2nU?N^{3So))905gU&JiWOB#Jk(+7)~mKtc z;H=coDC!@~txDKhBYW_Y!=f}Zx@6%Y$B)j{9Ha)@TiGR_mrDXpeU&=%to)W2x7*Yu zuX;xv_==R&U~D#*-T6XA=cBMzYfjeMkh(+Vc@^G!_e(rh4tZX-@;ZFx_XayA^YM)L zU)CQ52{~R^wMDBfSdi!P2J{qSm+S6do4O_91mbMt9ALKgWd*U4VgiAtLC5}=KsJC| z`-0qG(Bj~dLt&=^&qR#YzvkL6{B0^lm$a4!F^JA)5uz>Y=e7@RGTnt9j%k@#q6>;G zbI6Xq&Qa4Pm^5ohG<~~rG5KSB*dc*7r}RB$vJpK~sY__2_u4s?_P}GlvX+DYy&0`C zp((uYKHdXc(XlmoTw`0bnWwz7DQ;sqaqM*X%#c(Ahb*J(r&>i>tz-XFAlvJ`;5*M}r^ZtbqQd@^Ro7z08AE)M? zPVcD==dv8GJF-y~|@#;dfv3tQB-v#=73??tZtAGytVc-v!k5R(JPzD z)=MvyhQ^GmOoibshQH`K;(FCySM=jLY=U?Vd; z>-b7oZDDl8{m*#vvWMe1#Uv(Tq1z=~$okd_RV$2T56T$Ok%Vop^8L*~+d|b~hl18B zl{j+;015k4g`ZL~(Af#)&|>)mdUX^2#0UJUY;r9a0#Hj#PyRNmWo}Y(D1S`}P0{Mv zV0Am)R5hN3t-d!{lv801z#glC1ilCCu~tA=_kjhSx3()47yY?vCUkMP`}%BXan{m&?5^ofCRo?~4q|zp61Fr-%}^dUYQH+CcUOaJKj=A?x*rBI|v3IAv$cNM_g3{xV)1W5*j0iy`c-y(Z2=P#R!>@w{a zkB8n3^3QvzWk-1Y7q1+bcxYIUc*MF^)tG`<>Ic|3qdlxSP9mk3FbUhjSo8_qLm0)R zM`>eLe+=cV0S8O!hlAx+Z300BYKq6~CD#!r8#--7ir&D{U`j_1WMU?7H)ukeFzH1z z7PiM3#jTN94m8xp_QowSbNvN7{UVehC>iCs|J2Oy8GD7h6;?VvpT-lEzIa$JzlE94 z&%+VzLCY=hdRcKbpN~}+rc~&B60e{7H;lA#@#+K=8htvO@ zIUn(KzS1WpkT~E(A=G3zoDTs_d*sR6`wuNIvz&&?2LS#5fg{?9p5bs;c6ns_Ikf+E z7hgSH{R8(+w0La3gh9VPkuE`|MYwj8r?>u=uv_$otUqjiM1b40T=WAD`h|&|6Isf# zhPfDL9hyTKa}gbvFc z<;qN6MbJdc!)RjvX3N~5qp8Gy7HjzDz~*=PB%FxH18ub)unO3kf#Xaz!f?Wl9S}ot7MM>OT9P`07yPIk%ag)#u{iN@u z>NCDj@xnno8={?GYp$}2@vS$n7wq`s1Pt}RDG6v`JKz0SJTI45^i@iV{wkr4t+N^p z95Ju~57!2G%$ubVO`N}`JyjS@)UjFphxM?s59@?`M_3?SkdSkqDt@%0rFE+Glpr&Q zsJB}k!*+86-EiiPml-0Tn4}D(E~2|g{gu7)i_lr4%;Q%7b@Wbb1vvtR-goh`ECllM zc=CaiuP`UD%L6}T$l-NW1Rkg6E$4s{i7}nKzn*8lGwi=xKk!@_8Rh;@vihLeZ4bq4 z*y)-6WcB@15BuMc)%U;J38RN*_Wf(F-{0!lf1m63ALViWj+UT%%`vVXcoyZzSZy=Jx(j;+eP#w1k114MgV0Ck;}LAm_{pX787$n1Lx>?i6z5Wn3m^mr*FvP zA`(P+e=K^b50tp^xGcSu(S(+`kv!IG4(aje8!8s}obtHt(CnvOu@e6tT4H&f8ba8Ae`F5~6>c#8)y1U&j*d7_4R_>8&cftuHB~T+}f!3swp}o&I+AgW_KB?nz-K{j!aH1R6W}ts>?dNG6-Ph zS+{%7s4?l}W*NfDAGCMNOyH*m<7xRF#MDRYIRPrZKiSC!X)HKV6bd`|dzNZ?g`8cT z3_=z)i>ytcIv9fNgAO+Npo5vZO7tld51pe&o$u9pcq;AR-8!8$cmidh10mUdueKnT zA_mu{y_MTMWwd7HqO$BIJW`Cd^vxhMH8ZR7{*x=4=bBudsU`ljIUXY*XARU7=G7wSK+GgA)TydSSjEp; z9KB}DF4w1p%DJBVa(H;=n&L>h^?TOy!zVzVo|I6m)$0?Ev?&}j@qx{)Sou`}8LaX3 zFpNztXYz8b?TH$fYWMjXNhT?||Dne(a~c6xYuD7OI8UkSgpGyzHM?SrC=o{~A*53z z71wWmk;dwkwMHvza>k~L5$-$Ml0v&YTuIR@ z#~mhj8yiIFQPr6G^+%`V*Yo=ul5IZvc*)HK9~%wpG=~z{omO^MP*QkkLe@(fyOg&O z%(W=qgAuRQYj>Tm)qC;+-OgVs(eFEkYglia6%;%7)hV49oZDpZ5e~wXS|lm}E|?h9 z1%o4izSPIYhu)s=52hx9^^i>G(Y8lJV9*9*w|5As`Xs-Sc{U%kU+(Oe%#h21bB8W_2I~l(t;Vo1^@PRF-WvuWiayEr5UH`2T4(^vQXXph#l|cDfuXQD|PtSh@U9LS3T%_eg<>;#h zO6$%(m)ej8u{kFpUq=zqyF87Hk-EC7Q+FBw|`_QJkIHp5x@LNF7VJn-3!BkB>tWn-MII0;WE zICV~b(UXmmC?FH!jsJ$hq5v2ScYe?hdSlg8R|>s;fqy|QL+tO+Sq-n^dP>e*=|4&F z8TEE=@nrGG?U`7Q$Yxqb!!gSDG{ zZg&S@FyBwrz-;pbW*c9eE9g12-vAg4nyP`Qn^O#r*hj%cB{WJWeSIL5P7GsWIfBv| zgMp7C5IeIq%oNcqSG_6#1@ z#ExxK;v-)n(#sG32Xk*3mF3s1eS>s!(VZdsPR1SN8-<@e3!yclGQK z(FV{CsTc!jhZHTxh6M;9g9%cEY)L;ILzhVl?53%bk&fpMTc~UYzY+_lz*(1g+Pw{s z!ASm)!8j2do9A72tVi0RJyGZAyVZ8e??}F-VJ_q0jb$=)Ux_gcWqP_zY4YF=x<%-9 z0i%e9Q=qO}?d^W4V+fuUhehJXYfMmH;xa)!W)P-*Ji6t?csx3$$g323`7~qx7XIl< zrZjr=gt_$Uw`U%_t3SIw3CfCdxH1%=VFehSJkRI|e^Lp0@;UKcszxU0K#D~hHShQ? zxP<^zEH7d;`4m1!4H;Pq2m8d0`ibh+NNQrHbl5EC<23?bup>{2BF7m1xHWB7410r^R5$S({!EBMc z-#SMtfdBGK?RiHc6?})bTSCk+7KB^wiw4WITpCO0>U@(^$ zqLU_R!C#YDushzKj^S?GUOD&Tf#{0G567{Nj7&Rm&2T=t+PA~&)(_iV^KHZ}!|90C zoiAyv?|?)EqnDapa&|*cZuJAFEkTu`Ch5PvI;9aGbd$;^hq z3~vz!chiDU#sjbiDm5YEmNVFlvF^iSDL7*u6jblSuR6?pxbpjuFwH$a#~m)=(cN9k zo*x?g&_LrdfxV?62JCe(B0FN868}eBAPz6Y#<-COq;SNbQSq;ZmzI9hxmruT zsV3IF2{KZA`d{;qfX?H&;@PSF{u^k^#IaD=9`a0{vz~Gn7D}Y?si_xJsZ(w|rzwi(n__ zG@8`+DZcfQXAqAO2Z`a_;*TQ^%_OneoHXCHXz?YM61@;`vqWKZ7GSwp*)qE| z=o$aMrAcXIOa>E4WT|NDj}1ob`_>KxgE>Be!C3VOJE0P%AX}H8c!wakq@xsMZK94;j3dDrJF8bfs%DNBv1=92btE5JT43X z84Q{zMVSTi$SGs{CTBe~WmHhr!`y_8Iplc5x6QriGakbLQhx z_np=KUB>(5f_~kMae(qvNF;lbgCXgUc1iEYL5|YPtB;I6i5&jT&G--?gZTm;itb25 zWOUXJcxbo{-E(NVQh#s3v{io;W<)4dn9@>OjsO`9z&!OMjl~dVJaF-Ei#dd;#P?Wp z^ygUxj~I(bOur8v7xxR~;%toTZ^zR)H&i$)Fnms?g1g1!yZl&&Jx^38RDzPhfSFLD zNNShENiw{8?oh0f``eOxn%=D7r)ajo*0geQ7a~@X9Z-k`K?|`ly=AlnsE@5A3_f}X zxg&!W8rcH zz2OQ;hDi#5#Tk#5+jz%{sM{e-9CRi^mS@t8jp@4es;Q5WUy{C-EL2S3>Fwb{1VJ8Dyzh)q?V!{==(~p3-}YdT%y0SLP5o|ySsnK&`X<1 z1PREHF2sz`8 zf;Z2YIEf!ytJ$`hNQmq}5AU9@#2Dmki5d83PAyS{)0Em|e*z-_xk$7w@w|Kc6OfBU z^Rfoe@N{Xr>jt4iO*I(B#aT6JNbf~*!e6LI?5m2K(&X2glX{yDL05Ww7mW{U#m)9 zz56?!0JJqQjLfq62_kel;aAen1JA?^hK%q04B{ul^s+ntm&mvRjV$7bP)`QHSI|bP z$U<^wF)46bvWuT|{7sdV_0x)icw7AWm!A+{L@t&gX?39O0ci9} zN-M?-OAIXZNH8jY)y*WX^4_~r5{_*p9lgixDV}*VHlBGRlnholTx1Axc`XiJ6AQoc zLRr*^=dT0|A`^76Ch12)3S6A2&1eL?t|QEq7vz9$jwl#L|9DmdWl6?|rw+&AIv|GW@)C#A~RvD%s9--*TN)}}Lf)-=O6BWGCfsR!5hYa?1C&EG> zAcHM7jX|t}JF7bMcV|urP0A!3)(2Y~0`}P!4sy%|60-Qz24WU^Hk6(7ZZr+^(xN^b zU1hgE;>9 zT6=SI*Wl6C{PE(TZ+znp0Gw<70fPlyoLvd)d0iaqH|fg_2k=xm-nZ}0SA{vwEB#sk z@AAwq=iV2W+1I~TE0WVZ59*s=d+AxcOS+wYjxotIq7OdEXIEmsyKb|s-Mc)>S67?7 z6i@T3@m1|i3i;E~wFp@tVwvhJlS|oA&FAmB19omUT2Gk;XH{o!YA5b~C(bM^vYo9@ zeIvALEOzlr>iDt;hxV2ym|AFP?EPDBW4T&rIaz$OGON_)<+imJk#rq%%6|R*vsLv} zzKhqxeQd5n3i8D;b;9tWp>2iKTT1t23jNu~o0lm6O$9qOSd5^Hx~V%dEw+B&(C1zA3A?xu7X4FEl6fh|`%+f>+1qln5O2yD>wX0*_a%2&>sk-z zUC8gRaI+s9C6BB=KPje0Hl=}M$kIcVl*e4>B3F7)yEZ0Sv;AN?2;ju`=d(Qby^PimcVRp3N}J#pZ`Q>%uB?6t4SPcfrOSo*})9|xX^-#^6#cD29Z z+{4ZP6!)y*I~AWv1XA0HVevOw4I~ODCLyDD9@F`^0I7gEpV1LpO{ls#jI{WlGfwyb z^`MhK_^n7>I^#pYf=y^jAwzB0iS ztG|ND{O6FYU2UDNAq|V|a^TRvYbjY13QVI9XJrI?i7|r?E)9!2aZfWkAV_JzrqtD? zVR|RPcLF#@Lmj-;4i0Q~e;xW@b5&z>&;|qK7nIOGP<%c!h^vGG+~xM2O~^pa>B}(n z#_!x|E?hM@idTX18fozwav!xf+-`a6d5d}v3R#te?2{8YcWm0?y3Xum`j&Cb zU3k%w%!g}EKYwoQ9oPdJr8aISra|Qrzaz%c;@Cn?J`OIB6ns~_4tCkR5vhD!m1WDy?6{;3>xq?8m^uk$mHex~B_kl(xGpdqQbda? zbR5CKgK*5hUe~3(@l6MHu+0O2c%qb2)+(1!W@FwY7jkvl5>4q;v%=(CRkaHZDHM$o zJF(iH3^A7(G%pQ`cX?wx$qBUoBtYALkUrNhEbuxUpZUDOgnO@T?#+ww*@=E7`SZl~ zm|tM2EN}yp-Du%zaLh9BkpsLVfbTRUnrho&>+*Le1H(|=fbAbB*p5B?8X%mWxz=HL zeEaiR@>(WQBWGl>e4M9}&w@Q=|FD!ekqjMdt*GAMJeB#Jp+!c;*B?GUEbN#FK*2Ej zK)7apyb+(Hw{146`7%p=LAV!_{u*?WC9?8!gut~&L>v~&eQz1FltM&}%heUt)-%wX zF99gnKSGAVsBB#ZNnG3mI3+AvhEk}K>*nNi-mI*C12E_np`VNOIKD5%SnK{!~0rlAHMeZc-mluL;5Kg$(T2DK*eiq7EaajSt>L@>xM>@hl>r2DNmlJhngp6*rA&q!O}`aXIv*8|dw9t%16Wa#sp)*uw~L zi!nuAS=ZKJsz;$@FkZ}znDel4m@jDLdH@-$$J&qVe-2XghK8a?h~r;PyFS1k$OcHl z6X3Y@l+$mPwW_UuhrbH^pm5PDREu^(jl4Fu(hDvKt(ryR(|9FaGKW;D2Ew5|2j&I> z1gQy~o9r1q7WH3!B-lVz2Pf#?d4?^EM8own(Vj$1?HD+*NS$K~_CSrgOg-87owphs zhDG_Dk!Q0psi03D^{oB)vmw;OLLU2UgNPX!qKGXJ6yLw+k50A+&|u~ze!NgL*iT!} z>7v!(N$SUS2H+Y3NxHi>Xg)|5FL$w92mWiBp~90)N_P_SI-WX-+g3d#Irt4?-ASqF z_LFA3Q~Tha`Yo~Jz<#CF7nm(wpr%4*)YlZ}|FZAvgS= zhAHC2iuH3pIJs5|mhY7R#Ri+L#in2D<9dRrf$Flm4=6qON4UX5X=y+Al@8{05OCXy79_%%^ zdz*}UQ(dgPUkdpq9>jGXx(cm*R}{Q%I{uy%^}?L{FOo7OnQI>D)MAKCbo^-StXF&q zV%wcTu^5d85{?toQzL+cmH&r?1yo|aIu68t>1R2cEeGolAIuY%io*`zgW(L;bX!Y= z)?Y^;>)>No^7~|{!2<5u2}XdAXrY3>C&N9IC)EE4dN4kGM>|S1D%MFz_P9=kb67Jg z=Wt0(^`3=|)=XXE%TH>54^-(Y4$4hc!Tw*&AOOf4wWBLHZZ7e6{oP(U1k~S{tu^VW zeYe(jye*S_cHx#b<247yq~xzt=Onu;Q7J7wXcm+{KBIcmiV}687?(UDSP@)z!W|xMV z1?J0(FFpTst9MC7POiXqUc{)uzG=gVHgCb9a?~+LivAY<(^>*>F(9_s036E*;HKsO z;ifS zvS_fPNhKJ(sgBliUSgb4$6B%=F2htT}E z7YZ#M^w%PPHd-hj9FuI2&iScV&ktJg5q~^%$N0yzJz<)*--t z%+KsB%mXo49=fdGWK>_@%8I|>Kwnb9>7NM09J4?vw`>s;5*_WiQDC;-5a%)lrw%$_GnSzY}ht4Z#uhAcj z7%%UCbzX5k|JT3%f6#gL{~ja80qv;zj~*+|zwu}P*B&cQC~)lY|NhfsHJ_vtMaP#A zu(+d{Dz%;o7j)nSPl8SR6V53l3>(W#-A9=&n8JMhAZo9r4zf~HRpiX6^e!`zN#I8) zer4SqYtS9!0w8|9aMu~f+1gtlL=`p&)NqRS1Dp{)i3egoejVc%3FE^3BI={;u%ARAzY=wzbbQrBXF`$zad08YG~VR5 zNoO!4tl}b56Vmzc-Yv2N3=ua2-M^ayzYmHSek16`a?^h>0ikg{r>Jt(u=Ft6)t#V} zTa?`w7X8HwmhERNvJui;4CPDbdS`6mNQ&{I=U~>{@^n0XL?>HVQNM%io-0YYukJi2 zVaa3mdyGZb?tatL$=%u&sX~1PlLju^-?y#-l^E$KPiSYyT`@q2K9;j6!{(Gu(d8W+ z9!*M+)InIxkIOcdUprq!i|wgw-`{0wa1Y-pXd3sOZEJRIAmRI;)qVIcVx=}9~7y4Y9 z&s(;?EW{Kcy9g#qf3V$F1EzWG8HOn4cf&Iusd^ zLP>WD)6t$yL)I!bZVcGhw5O{Ma%rZk7Sk;iE5s?g6eTe}*o#dM6m?+gGgV+Q`;ynm zI6s?;X|Fa`aRcF>08fge@p8qO-GB!CR?(v&>?5fi5*Do&coMWfz&4|Y_>t($W=vX% zX?;FV1v4@&ynY&cIfHfk<(F2q?i4~6S-7l~VYG8uA+7mCOv{^F`HvgLM{MhC&GVrb z`dD2}IEhCeS`=jNUSqpR;bcTH(q|j&E5--oT2K9EDrmwLJIocksh~&=C$xzZ7Ic+4 zX-&TA&N`)GdPd=%`?SQSyNMGL*>)e*?b(8L0Uwzc)jgko&sLo=byczbp8T4~h0~(A zk$1UMQN!9Kh#h|}2)}f{UV-EZVN!v$e#W!3ir|;*ql{}J_O$c81V3Kv7B`T6a3|bd zc3?V3_G3{Eo=k9O{a%i5}7d1i6GN90$|P zbcW8fUwOX<_caT~esrNcx>|u0I){C0+>sMFe!e@xva{=(AzYP{orJP_?SMI(1<*=J z8IgGjauHNGmZq8U4V~fUrolI+?R#rJH%%~D_bcOOo`u7o51H0VL@_<(`G~nkdr)NP zixCAp3P-^u$RFpPVSM87tUdfd9yS(M@UiLfWg#79s+%KH*t$@nc+{g^nw1Hq2dW~D zTwL_9P4^!}A%By9>qpQRORCB^oxqrmS4Z@5`ejH?t z$6dL1=!SR(_gRkQQ1`*Em@8oM)s&X{@}6?6yqmx#q}Lw*T|^BCCm4%ajI0hAq%*e0 zx{*efJ*)F=_)0=-MI%W6p~N61GO1e%Cw-p={M!;MkNOJ1)@UZYK#t#mV}CyH=MONl z`%8TQetS!DmD{ z(R%sa7PHQ~#=iy%I@lDY1>SJaEz1R57`|$U@R%ca&a|QoA|gHphScwmitlD-z6AI6 zHA&&<19NGz#44cvOvi9@HmXSx@Nv_4WuO4Wu2`>6Uo0u;QHU*E68sop=Ckn(7%XT? zg$p>z@+r?5)a9-@`U-j5PKzHj7BAjAjVi-$q@%s~P2U7}>odgv$pqa9Y^&}kI~eH1 zMwqT*S*ZBCU9+%&6!;nI0|gww&lrq*ZA{Us{+UvvThJyhus!eGRr#D-D_&2c=Z0h# z8n{nwd5F94;01hLn0q(EOFCT-hZ?x}7ZOI%*clB0wDu_+Q z7k%?Nu{_a*h`G0WP%7z*dxbm-eSjzTfNHBUd(_q2@72~T_2#Y)Jw}vbIL}4Y&o562 zU>#Ae_Z3fRug!#TJgaIW*yesuH59UmE~Z6`fF;wzeOm<}or?8U^u-wmKUgx8P_Mh( z>`+ZB0OM=@Ovk)x93cP#IYg!DViLUEc8uDPXz^s8xVb6NXXXC^j&@6zyYVBq<>6MG zoi(OZ8Id&Upj510s(StA@JKHCdKi_!BUgdMH~!{hS4-rh*jgeV#g<9w7`EXLUck}! z@v6tY3sG1Ip6i0aLGm#a?5S`^@x|mYtXeSd%zL=gV)d**@F~aj zyNOXkdWrNBlIz1<-}?%hQ~MMy_yx9^1_H}5l(3I3SZS)5crUlp-nL`Xx8iS}q>ToJ zMO819hn*ct&*tRp+h;^&0)yW*Q)``vrW<OkMoyp|x-P}u$RmCac60b6~)OG@C)EvMmID`e+Ug0rSP$<}Y> z9a&sdObb&6T=YLqNS8ghT^zoafxF9`QxjM{(@4v0wlbsa>9czQhdh2a z`5AD?-5`AXD8L~L?n`X8Dj8aWLx1-D5s;=)leHM-9txYNcMI0tQW=T!gQ0jWyV;9H~id#vRFd$p4^PZ&Gn-+ zi&lfESo+VZ<1kA0>shyX31rDmc> zTVndGIoDS8SMBL^UwxbktzIg&>oqJldw|ztmG|DXh4eoqLRPI-a(@CfW(vL77>OG`1zG&FY6wz&|mhHHOI zRZ1fN)-6wlO>xR>B}oiVd$H4jqD)|z&BtMW2el_oN5&F)K9*WP|CCyr8`q}Kgxf&J zr43=X{1SwecGg>Q{{6OU#?`}^=b$Sq^?pi439t_SG2l11!>Ik5Gda}#t)8rhUQ!@n zwO{s!s1@pD=kqRzX_;*w2$<_r2?Arz|{+nMbTof#-=}bhDzVps~*d9qa3`}c#mXrwll};T#N-3 zb4_V0@3IE)P#To2>Z6nz)sxVy(3<+){-2II1^$eCeP5bEc_6-+QmoYQiwzW~pWj;` z;Q7w@a3H_*%F2H(PEF_dCo{s$vIH~ODyFgAc)vwbh#(iF!U1GDP-A5;l$17xxK+#R ziFr20U4J}-buoF;p=Y`m%`h!a=?Iv+yM1R1<{R!qpqRKde64!MP%jD~GIqysEr&ClMRH<~(Q;X=1J^ZK?wPPb%!)Np=XXwZId9b7JK zGu86djrH-nkbGCgcFNhLw9fh>%5u@A1o~mv`mYbegDFd$7auN8&K7Ojn(iQ{mIC1S zdN$WS!UAqOE^IY2jF9gt8v}DQ-g`etDn4W{o^KeNTu*8Req*h!zn3Oprbj!Rg5({I zTQ_c{c(bK{J(3L~r=5Oa#Q3JoiP4*B)$nHfo-AiOnox|YhVjhuLGtLV@9ymL%9An^ z*)^}^F?&`urVGma(?d(Ekm(SoRrG`Z{c&f;44-YDhW)mF+@-t3E) z5hK6SuaYLe&wkBw2Ya}ExwRmT!ST>f>VLbx7P}!~BblUh$Jw1tw&K-$y$AUQp1n-F zJ7sGWT*RO}_upUWd20jCu)nNi|DGOqW~>ITUL(q0wxnmXFuT2fk(b94bHej7(@R=@ z;hCxKfh0@uly&%^5f-id)Q#x(rdQlVZE~CAr~m$_-rulHrfYcMRS41-_Wp`FEF_?` z)qwn9I28Ay@O3-dw0RVl#LHI5Y|{0mbMC=l9{53YpB)!XvrQ+d&y+Kw?pUEu>9T?5 zjaAe1RGkvOlh%*l_GKIOy_7q|Bt;t)sA;v*BWyZ%udF^75u*+24tXUDOgRM4o8ng? zD3rfX`bwN`Tutv0%qrXN8uf8*CUp{`>7aV?eYLtuxcj8!7kq=;6HDm{eao^RK}vQ7 zp@+QM4;#slP2Lqxfm*(AhSwAu%3 zz=R+63Mlh*-WzSD?D70&VAvW)%oXHfK*8u{M$COLrlI%Z95punI{!ZB6@m^Q^Sjrb zPtsBeI9KWoC^ryDkkWupTSVZ~7Uc_WcEMa|-nkoojHT+tTO$WnB@B5l(ogn|=Ii)y zxTXbN;&8ZfyEUCka=1Gr`S6mJss_`caDHicL_D4C3}94r9!y@q*%0|yNbB?85(5d$ zqFSjO=ay0bU3R;VoR!7w;)tj78<>lwM~H{zH#;*guc}tj=ZT2VMr#$(uTjvP9JKm_ zyL>+YXrR(Q*f5 z5W!XkJUO2K$l7w^E$qWEy|J)0WV%MBu;zbO$tP`rtZJ=13{znb)2OiQ{ek0l-v2ie z1tMiKqA}Cy&uZJS+T6~fiShz<27+9wlKl)UOC3S(O%T35)2S;{Uq<;7u(rv z$WJaJc(^=)*GcyL#(BFBkLASq@a|pWd<^q01YIQid49Ew@zP1`X*PmJF8-@rw@LiP`or@3d#dl!O%t;vyPrXfSDHPdHb~Vb1N5Gwfl4W^*{~ zD!s(2F|hIei63OK_g5SNY_;6IDy?#!gVjeXcwH^u#)m@On(ZJMt2N~4*C8-Y4)FcK zv_76>ux4ca%Rux>@(nI314T<%X+y%q_V5)^FJL14_~xEPeB#*a$|Q%YU@B!`nhbkY2xt{k ztndqo-(`z&^bDp-fl~+0GhyNpw&QW{JBM2yn;S^8N{IK9!o$*IQ)LrXVRm#t&*+)) zJQ(AKl|h*yDtDL+8mMqO_CytNo$ZoDh&@t4}G16I64pejkt4=f(Ko))UI(RsK1U>tkA%a=zRHo(Qc}CTYS` zDoUixSv$;(Fk>GpKi+AI8j821qO9;*32b-?p*>kZq4*Zr*S5`qS|lZ)FEFFo4LR1` z3OUQ#J05T1>f82_NTma2e-S(^KOf4wZk2ZMk0)H1$96zg8Cp}~TC4fLHh-m3sK+9! zpf#V{QN9-y8)#Oh==Xv>74c=8k*5`j@774~hTmus3oFL-pgC1>EaK)WS2QRe_J$W@ zl()ajdW@)Em9i`VHgpV`pirwoMo4)Mp(9ZvnE<}K-`KCB)eW2T`n<&mn`uo^h*7s%_CecpPMy~kB$eOSxZkpXxgUxX7 zrm^b@F-1S?8Fm_rDjWt zcBcN(apNMAfir2FzFhyVKBLQ-+)PlHG)T4>*Cr|qNXbklsQa9US zubiTWMT(ab!l%onsNB9W8(*7<^EJw)s=)UqsEG4zm%MzV?12Ywg?AVagiF=NJ6<6+ zOO`oQ_RL7dqH~)KgQIT9!aO)BpSsnJMAg-bMD;E^AX72vD}vc7gHv`a{3?XXiS!4o zlRbL0H8#?0_Alezt|EV!;<11%)k>{+V9Nnbw1A%SF2_ePHVQ-3Z5jLNVQ60<72*tg zJ0K^{Z5h%3O->zkUe#O%ueDXwiwMJ1aW!1b4_jNrlw{VXD^v@c^e9&d;(Qsm__JPZ~jN?we_B8)^& zP0p{D`fpPoEzh=@goui%3liL&P5unhZ_i73?g991AbREudW-B)({V+=mVt8ulodSgs!UuIC)qKgf?q)A{x(!u}9awJPfLAfuh>$LKiKcFDlsY3;sXSy&#- zZKx%<<}TW!|K+Pq3^_GQm9dX{N6R-v_~dRy;*f^176%yA4TS+IQs&HI9ZVxH{3LuE z+;kW0X9Yj5X@VLuIkd2E)T-+LSbYbjY`QIiSG6pd;Qp}laCQqHG3}Ec=)V4^&^To> z2N|&Bzyp>5yg}4QOGpJQ0eD7p^e2W{Isah^eoAtqQAkI}T-};V;tWv=;=vK_bQrJY zAB~QrzbFSVI*fPS=I#H^Ixa+TwRmA7V#(im!p3r)4@*uVc4#L3HK)9xd?sGr*K~M={`fBURVmi$J>9O!|cWT{AHQ}9gXM3iGd!j zB*%nlh$-Xoh;`@NBSA8<;%V$c=+gs+n~HR3qy29-!DI+l5C*En49q&|JDu@B6}cxG zxu93M4u2MP_-idQ8IhZyzGs;pzhx>+WW_u`s7IkYx0ZOlYuAW;@+N^rgx>Vuvyr4$ zGLP%c_&|C_3-{N8Ea%7CaHldBJv?cSydI|5_2m~M#+j0G6#A`{3|uWBp#L5pPjsu0 zy^Nw9QI!UKg}pN-nyH+ECazU2DJ8TnH&>!x>;mY;0zn;#qwmRrG8K|kTx3EMI@>kK z5O;H)SAYUVk5q^%?0ukq+3&^0Ya5UEmjxI~w)Z{I`WK9& zgP*9Fkvh{D#S}5&iIXi|o}gdzVvq7JL06!svyEv_sJHoR-!#4YBo!=SJ)lg%!sPKh ziZW!-*Ed~2{ZyCY?bxA1wqU}mOJxeTOLbzm+v&`#jr;5KhqbSmA^-in`|;fO4?pY$ z&p+qg|E7xl|N6Z9@pSt?&%6KTp8em?yZ`Gxl7G&-d+KJ(a{D5leQZlN(jUZFRZYbr zbTky$qrkFC${hM|0pm>jy;gG4GATSBK6}B-x5Kf-J9qvCHxaUOnQfe~-@EAWsJ6?? z(f1}iLylAxCRwGq&J$XNy)V5zfZ>cJR) zxUB`TKC4Y`3(v=vy{6k)3I2ecQqK#PoA9i0%Jl5@15(eFO>u>rRTGmY@vZNVwcfGdwU6V_kB1#DTCWRFYfUOG{sB@q$rfw1N3T~*F>wb#WP+{HUbFOR!7wE7Q@#8>B4lC7RzT|B8u z>DkwpsgSLA(%tUl+{W-Z9v6h-6k|d$ij}g_;M{C#QQ7sF0 z-FKfJDk2xXFKx3xhjCL=i-&$=(i<1IL0U6MR0RB+nj0_m(-v>9ms8Ty7jKVG&o#?e z*gQ|l^#w0)43dm>*Vt?qa~ocR3+GX2nV zrqmm8Kp}r`%zl4niq-Q)yLtGv7Pkm>>7drg>zVS&ve)ch?gOO@jY~~St@%ISo+=Gp zH!0TeNCnlgvC`%mSj(>69XIX^mtHN(oE+CB)pzO&BQFw9RWx*_ohh+g3zvKtu_RfIId>s1 z%a`vb{%V?Qr#t6|bd+X409v0(o4{h2@z7%2#G|Ygd=V6*j!9b*H0;IfmMB%iY|mO2 zSJtKNEm{~@lSu}Tz+iZaCciCgHrYdBNaMTC6ozv8~+ula6*Lqc}QUe8kx~d zuiT=ZDucU+BkA*X9>Y6a{W=Bskt91S%!Z~w`l%qw^azCHCs-uHcZbT$CJ9-!tP9~N zBVkjsH4F6#FYJ>zg1WBhTQST1F$o=s7uhLNFEDPqx^nK?z7BzeY2Mrv&8yiiN$(>B zz8*?xj6zxa^kQs4lV|0ttN%ebH6x77ollmhV*ir>Wuqt0_UTt|PTqT;EMu7pwh1i` zr9Y%!Q^k@iCJR;{P=c@f*l$NDDN;<8TD9-e_O;3n96o{kK;JG(!CH5eScGIJu3cN^ z!Irr_XZzmnLc%2Z^ZcD%TW!y4PUgjph0a0xHYht~5H}i);nkAzV*K(xXT@vJzQvX$ zmKWSB&$m#oQkvB*uF#2U8VGFY9%}Q5j;#>&(8k5w~qG?0H(G zGCyeo)@9Dmt`+9*0Tunqzir>a=$#!|J9Fpuem~(sJZ3LnbC>;etIxJ(-p+t{wNul) zpnRKeX+PbW&K6+bhDY_VQ{$l)v2k3%2h;!Hz`FPXv`W~;4?CIhbOUD6_FzPI@jM~N zXdkO`Zu-}Gzdj|;TSuY%g(u8fi%A3f_shsZ_+uF3M`tyHE{$Qf>HXs--=x2gEcUvN zmndKIe@$(h$;j+XPiJ`7e`t#5tG<&@kGjUwYnr76iQc&i0{-%Wb)<=ePi9e9ZfZWZ z(?todsJuZcpk9A-LyAQ;eb8j8Ld@UdE*z_veUpL$iLi~(yxcZS=S$JcZ#{|x>^~CI zsMeW~-rn3)E+9o$efLE|qDOD;uiB{tV`~(oG4D6gqbB5!w+X8tFP*+>sSqm^6xRc0 z176v&3=q6*y}y;9w(ULEr8X?0u$07OqTiOmD=z3Xd0o}1t*{wviW-7;BST@dBH67L!AawYpO{gNfX<1eOX5<0#>HQnE z#8%Hch~MQ-SX6rIb++(1scxr6L%Fs`-Ma346FPpkb2E~)M~)wTjU)U~C64TDiIFG# z%W7>$Lg67EUGub$5d(ZnUxEDJNX;-gDDgJ>`C!_+W|oq=(H;d6oz$WpIC10QW9%L< zUIF+F41#7qD2xK&nVA^o(itQuaFy^hUZ~=22$}?R#%=b|qaOPLmkw2EZ#euY(eTgv z#gZmNg)lsp46m`NjG4}7lzZf+{!Q=@WrKk>fQn@$1daNn>Ac$4u{fi#^KIVBb$$ct zGY)k--+B1uGy~$BFFATjEZa)8abqu&14uL7T)h#+P?u4X4FkYsS6}+ka9i8nGP4Br znTURCb{tMe7(h+7-4QOs|HUIh@S`pc|4Un`RKP9PH)Y(q$=Mn)#)tM!ZHfX*#UcsR zMnbU=md92n`u0>;--ROK#Z`Au%;!rmUzVJZAUdOJ zztwUGme9gr9C5-*UbXYtFOppQ$pwoQZZ$-qD!tZA4OZ;u%L0(Za~YRk!j+rfUy#-* zr7yp=q}I}(2)UYVF2?Q(9-_p#qo%)?3qpbQ*zl`}o>ab3YBQpUmDy-JrhH<4t5{OK z3Pv$mq4avNf1ukR^Qc>(v@^K*B@MZ|8qmzQY`cQ^{VoR!?guef?#x^nt>{G!^?baV z^};HW;WBJM9uux?BO3718<{;Gey<-p&ui^LzD9jz>e0rf8^=4&noGO0!JTn_@N#o! z>r!9l!eX|6%ZtHZ7~J%}(#@IDGhGj|@M;r0Msfa;Qt?MQpQzXH&USfYmC_9T`?C!z zuBazW+|;lh523EtYi+lR=YG?hMy)SHZnyf{g!;WXk16>SI9?6S@vuera8LdS7LDm8a#4MInvq zYQs+nG@KGJk;;pqu;l27!58Y8B|(l|@-UFHJhgfS+3Lp|^~fd6{c3;aCByWIAQw=X zyfqWXzvshmDnr#U`;u%e}Ap-Kh+ICUr%cH z+?OELuV><;fBn=4{%>R$zX-{;rDNjilGY>{GNR)+?1{{G6N;MstbHc3U<1+|W>k0! zWInC3qEa$ExOa22=!aItlsi?T)`?^68)}Ny46Y@j&osqP57)i_F{JfmSEcibp*QY& zm~Y3EbrD=Q1Lo;;V>;rA5N*wgv z0W!bWPZbS9Lc+9sa9}3iN0a0m6uVkK<%7*0l5OYp)I(y+GM65^>}RhXB~X_4inuunLNCtGg3tI-IV16B6-firK;Y zIg7gi&a$bxrVQt0AQkEgHVu^DnW!t`1+CKvMc`vB<|-Kz)r_~6+MSVPrd)eej9u@S zos8&Z%3M;8gsA$*J`rcu#O6|85X+D_xJTzugI?{p=Y$&=s5p#b6TI*fi{DC69)v@~ z#NaAt82{wpJC#w8Wit+2RPZuewywAW-4PWZu}Q?F3sY60@k-0$b@NHs12AcmP!6UJiTRD zoISKPj8oj*-Q5Onaf(ZEcZb2<-QA@)1&S92*TJQ@OOe6dp_Gs3ocBAwX09vyPBMF~ zo!nWolWb-bt22^FcUCNL?(3^;W)+K_*Qh7qR3z-lx0!`1<>#n_zVNDG;SB1=ADIXw zR30Z~|FRmbF(3_P-shv)KlE59?n~ zI?$$Q(>%VqVI!pRkB@E8OLm;nhIOEt$DBxImYEoS$DS{+m1Pp1SET2zHarN*MQ43> zZ9Z3Jtyy5zVEAU*vSwY!6V-a)A}Yu~ky$uYpgFDk8_@V$6EP~)?kbS6W-A2#xS7oJ zJ9R}q95Zm7@KPpy#)g}W%^o^Cuf3cxZVz2#O%&UNhm3JFP%fjmAxE;Rtc3NOWLK#k zYMruR^$?R>6UbJg;UVh6e`h1R&XIP0MbjUx^Ur=PriTwKkA!5vsf~c2TpF;!(8j8< zR?=1w&`ZgY8J%j|uf|7KI?5PkkzgjfDj_nCfb3JRNOE1Vk{9Crt0azqBeQ`u(n+>o zDCC~kT~~d%5tFX2-xPg5G!?tnWtt!(i04j?hb=%eEv$1!5#_OE|#KX0*D@vVjW^1fs;6;O{ z&N|2NhoS5T?uEI+J?Gf#pHQFt{rblUP1dNOU|b8MNro04B9oIzp~Ayr(4gQ-ijvWx z!ug;?B)Xhot_Z_JQYu4p5K->}{)E5y9ViVEK@Gq({bLH_3{03qwZk8_$N;jan;!%0 zopFZ=HhLGdH#T`QqhtQ4!a}6E8?`B>H2Q|*h+%~$bkUD$H$qHY>95|1Oq0`~Wqci0 z-OH9UlZ*hUd%C1L{b$bumf3yynNpv38tthmxjrt?1IZ=9GI;*6z^NzXu=-jru2(Z+tD}B`mYMIn{&d$5Z(z{U`JIm_A#L7K*oNDy*`}^B>yEwbo{?}p zcBR8Z1xlBNDDR&mk`JY0CW3R`)EWCHoB9|wYV)C=h$bu@IMDogbbY+;MKGk39eDa6 zv`sKnW=RdPNwdMQkk9F$pH6nGQdd+&sV+8^L#HzgKZ;eYRHO99<}ea~!9Ebf!p*<* zXIhtimuJbX1qw}#REyx19#Hhp(872OGAjmu{jcx!=?@+4$p+)0e!@E>=8Eg+HS_GW z!#35|x+36}EHknxhg_IqtZ#W2j;YXkr+_cKb$|eoIzV@f#^+;|v%CEW?#^}mx3LM^ zUtoz!AX6iUrtKLs0d+*b$GDn0QFHC;oeQGsL+&a!mdjFmB{M0noK<$`ol$ zYzoJEu)@U|e=o#`Lxhc55Dp}(P?5hM;wGqpf3uPntpyiM#HHoE#e%)_7b0ucq5;bjT*3BVRO z%U@x5KAb5HfDMt`RRna?At7DLrKUR|(D*VVC1%sM2S;PzZL18)?Z3c{SS->kQsqf9 zvsYpf$ZAK%<_=@R>N2LOZnwI<{PU3^OF*>`ek=&-Yr)4=RArmZi8`E*@0as7*& zfYg9~CglVvjeNsw*iFS3&5MRDSb1Lc!r*(NauP%;jAxZ6I*jSP^O z6pl-2+ss16W7ae(PI5aDH==gkDCg*bN(eQ6amHeKuvRJ;s0tYZIt(8cc)V4lOM5CS z^jI8za$QVkh3cAWqsS_ySk#Ik@tTLoQFCjtSpV41Q`!x+wMkSM?z+NbC5_#}9ITCU zzV~+<=3Znk$f%lI&5)`MHB`D&2QsGMNEgdYDSm0Us3;X-$QzTppNTogZm_+K3c`{)Zb@be+Qx$;guNtM zMb`LN$e)9B_vt>|fI;<0)RBIu(=bV{i#p^j4>_nm9*}l{utFzFdCTS9TqZYZO>L?{ z7&+_Ymnw9YXa{?Y**Pb4Q!V#>jZkl-RB&i1dB7D}uQdKQ_3Tv>AjDCeBX=jljr-ZT zk9Xg7EinJ?g3wD;#E~(|(fk}TZP#_1%rU9;d$E5%oLV<~EV|q+wQ89y`zcBUXwY|3*^?DmRL=HRL<7U1;f;jwW2#|6 z>?`^*c^y1V|uDd_v`I}f6o-r~IozcIUQ z;Z)|AGqbk)f^;!uVchr7sE*3=WJ~Aix78z62C%Kqv}JVx{PF#*4Xn2L(oj(0 zk~G^E0~kVaI8)ZRr}={xJYmWI_P)O#4`Oiq!TYD5!)U**7kN`RZirz2$3XY(E^E2B zC%uWHU(c(kyF0y$p<#v1wla7BQ8H*Gm%!Obpl|@9pXYcGFeye^e{Q*`z}zWDg_zgE zV4|8GW@t>?OYy=Bq$*%Scd^A-Pp1n8?bw>KCqa0L8scf*wtf zrq(!4Da;F73ZCzu>L%h}r~G)6U=^n7553yBCrCtFjDjeVcQ5|M*Ua%J_#s2U(K3-)c*Y6yZ0rLt?Y{({jP5rH7VD zUygpu$?MW>1NitPu-)R4W82EREA=jhJk=v1wAXK{vaiXJ_tI8^sC^i6sm)glgkCJ4Y6tC5{#8Ta3lbG)# zh2=hmuTJ@)NeuBTo2%t}2jBk&9+ke+n9a&uiS|C6!}#{%!`hVT7IS1inyaw%ballK zQp)_->AkX4Y}_K9jaA5 z%?850(y7POUGCDM(h~mT{$l>wnl&Ng-GNt%{0Bopk7y}F6K3u}fYgfVpyN4nPN%k| zSR-iG2(rK=|JumXkj{Ji6cO2lT@uWO{x3OFO@{{;QFbdq>84Y=as)U-=!NxLSBJ?| zAbbP14R%{H(N8qB%kRxG2qq{NPauKVtAM(T$DzEWO@En2>#a}p_nf=vEO)o(_r~6t zL6(MYnE3jJzZZ*Kn|MN>RX*FG+vLrhB2`f$Y7(m3ZQGhRrO=Ea#otGxa)cm-@;#vv zs?C$`-rKsKo0dgk@<;8^E=$TuqU(mUu1V&LO<%&%s!mf@$&e`!b1biGtpv840adPNV(1yHWup_^Py}~_&@JSUq zp(~5Rgy}RpW#jO-P}Q~#MBZnNN7D zReVnB(-Y6)zY6kamp8`*BK|W#n7E7*BMW6t(1PY~w9AQP2%L+4^$Xw;T~rDmR>+S! zp<|9t^Yg7vQqDtPA>O49}12)@h-QDx;D&NZZ(|U1m_8om8qu8lwrI_Hlo)f%%d8 zBERyKBecZ&Do?y?y#c58=Kdmer6&EC7H5|sfI3hppeyMc3|9^bgF{`{N2a{CGO_C+ zA3(fYj0`)!$U&m6KrF@H>xIy+$|mDher2C$GEa$tNlr-8_1DqDakNFO3Y#4NHHv(N zMrDKTlaFewOocW_IVdmsXRzchgE{~8ANZEe zcK0O{@jX4B1brj28A3nvd7e*sJTlT8PpEUrJWG|s71|>_OFU|dJw&HOwub8b&sJ!H zu!JpRZOgL6ZANuY%t?)cw9|QGcq~^$w%z)(aJviF(fOMJocyx;R)00o1kD>K%~JR7 zuag%w!Q>Jvr0Q`%O}_o)rbkNAq2oO&)lZNmqCCl$t)M&1xn#++I7r4L613dRw()ZJ z&k_|rn)?Kne~aS1DqphhDMw)C{6G9oE%N9H4 zSB<8^qVH0R0w#wi-UFyEnzkG**lt~DQ;6)%skPBt-~KxC#M{ivEGi63!6gm(OF>4h zWn+^>P~78=Jo_9Y&5?1Xw|c$QfYdP3;kL{z;i}jKLr`4ch_9LKeSKQ-t~{Q(;_w{# zhTrW>E*msgJgR9D0LCz9md8Y)FeKgYDG5rA#x(Ww{Ww#J9RyDOr<*4{dgvS-;6y7gjDl0>yEA{5RGHzohy6ee%}M#PiD+vc z-)|-fcv%YRt%c((`vqC zKRe27!pC`TNT_vkVGv>Ld?t4i+o!sP4mY%skz7d^hPEh#?nRq{B6LqYkZB*lJ*4M_ z{h-mgUvur?z_|p@l4;F_U{4T{(4k+;(V~A?zaLLl$>Kkh5g?ooR}gi^G+7{p@=08=O%1dROePRBg9_| zO=I>ngGlkeStlQwWrwTkx^+|??M-=7AL{NbXr+^I2hb<1QenX#b25}ra=rFJ)PWA} zUryz#1@<5knF;JRT7~(!ih1h%yl%``K!^dKY3ceHyF*z#8XJuv=e#3Yx}JKjP}&?P zu4PxTll+gBF$XQVAAn#wri*i5yltF{s!hd8`GrmtTDo|UWVJl~N5Z+~*NZ_ZiaDZ~ zLMT^Cq&7HHT)S0FPjZG+fv6%?3($eYB%4=tiM*K$++1J5yGM$^>K|@W;Pn1{I9mPK3cT-0j zuo37-+ee(;rj$7=e@hr3Sd&pC;LlJQpnqn-dZ*oRea$_J z`Ck6zkC-?TT2rPAntZ0h`1LA4#t+RWp`4NGj|^@(nDN_&nP1MXru5g0%}&6W6vH!e zw2HFs13zh^3VSx1!ne|L1r2BZ_4XeEGv`gmA+?*6Zoe=eAr&)9KlWc71-+UTn>5F9 zQ|?c)_d}2Pu-<%m>N83L#A@_dTC_Fw>0QMfX%l3hjkI%1Q?PM=3gH4P72!K6)hZCI zt5>Bb>U8sc&r1Ig?rS3G0;}&)AqT}0pA3murVH+~5CWJ$4zf@K(ySn3^S%%U=~8cC-)or&rDU#&=^@Jp)oCrci}wH30k~rmM z*+EqcL?b83G;?NffjNGFn?H{g+w94n@CVhCdq&18_?Pddsa63>)BiolG<`0g(%qd? zSwo((%F&PF_#(`PnndEka?k%rJ!obPlLdu_T8A028c$r!P7Q6~$D0Y_wY34RVt4OU z)8F*-sSGCThw8+I2-AryRG+r${zi-F=iR>hnGm-5-9iXsE38gMn#VAzK6WV6n-uMq z9H`guQXMcvTlBOe-wJHbtL5AIKK`e7R#$8bc^dgs z8hSUB0>3vx$t_Q1$%jWaa!?}BsuP_4@G4%lp9&+5jZGb}qh$0gK!)1~C-p_t*j4rt zOE%BI$U}bG=Z1K8thaw!%N7V~0YJP{HJX0kMY+a8KAg@qK7|$391kz#sGbyan+H7g zn3cGB$o@!^O`BQe#7~@k>VBq>`!HCkulDmUb;6{g>KTBFGBaQ_P?Y92%Wm5~BKO zcm5HsyihorJ@O^aNlA@o3R25^A*n&5`(xoZf9dY{W=}G)<{^2le5}@72wp*ymhaL% zXAYb~n#C%X|5d9TCb=AUa+Bu<_RRzeVkLDMw*S`%YJwF-z>iPD!69kY za43zH<7ZIVY0TgBB?(v>!={A3aw}yK&y+!!rM=Am&LU*F;F<`oo7lJHqgSvk8k%!4w+7@etQ}5T=lD zJ#Q4pyp#BBNG`;wzzj9LwJWHz1#Z_-*czzr#90i(wFykE@g@SQL$0b(!{a^%cPp+4 zX%iEoVU+4Z%0Y3|Juy6TL|IEBi@$Bfw#BiDJ$+0?3#j?_b{X!?jP~8hnxzh^gA(NSUHl!$kJTs+`apJQS0-DgtbSCTI0 z1Rd0Cc7z=%%LAAGsF)SXu+=WxOLl|51>Ptb zHLoh0Sic@xRA!J_5r;ffh8-WB!R9TPDdS{$8AW)IZ|>6-h8H%qV|inqzMidAClicA zMTl&wOCLC8KZKYB$cy%eQ_{j>Rhx<{43XE$v7ei^JZxKa@^MtNpD|Zy-S%o_#1lLE zk!?JuHnAjwI?BRLBr^*T1!kzb98U*fSB9hT;ijd^F>xiu(c-LINIiziLy!=B`deKw zc>=y#Q*%2nNc8jiQ3#O1p=jbPh6>tFq97EqcPngBYIM6*I7KTeuxU=hvu01-e`?9~ z{^3xud4{Be<|?)n3yRF3O*Ai%*XjHuXvV54kC@4Eh-|NaBUesdHd4mckn|N64C;#g z%@ltsm4VTiO;Wc2t?#eK^{JKe1nU+%uciy6vJ8cWc*8Yk{N$ z9`}rn%Ba1=P#Hr*Bq_I7D!6L7%|LLbKn5}nm%%bfk*t71>MQA^$DLA1gX1I9v+k&C zJ*z&>quZ2UiC!8!UNG(`oMW7W{Bv6(LVfjjgJp2U!@{|7rmJl698bo%pt&iJ6;F`_ zYjVNrklI^#;vywBgjv_K7Abn2V9(SN2CNh$IZz6Auu%VKA|ka;hnq(G+9 zmS}WLhiaE#3BxZQO!vp+IKc_2krpP-^T?aJ>hgybL7r)UwTPAzf*wHG;j@$JKt;sz zxVaS^iwokR&Zdsb$wHssWJN}O6N!5>b?90jGs6m9_&rBo^(-uJK0VsGakfVOA9el( z)OpOkyUPA}Ph<2xS^>o9AKuI)TAN`|tyCP?pA8$}&apMVF^c_4TXmosXF6oy|KYAL zs*+8P%wozNC2|th93tLn_?0gXuEzarg^^xb`e;>U+83`X`+nLPZyIRCmQ(ClmYL=HDk`~5{UDgCoCI!u`ztP5~AsT37E*%M3Twv z;`|@(bhn{uc09YXV`Ix<`xkd+aaf=ZOkK|-p_+yiE3hEewMSJ+?Nni<+1VM6m zqXQytt6BQ7U7F<|{7lpQ@451x$Tg3e4$oFBTqxT1gBInl`DzJnGCyErEqC29+iOBD+H`#nh^yS5VjYanwf=%fvN(bYj0p^V-=3DUo`{!%p5Bw3>qSF3 zemO^p_XGvBA=lS(hzH)jfGNcPU!HD=W`-zd=0K{KD!k9i?$wKv_6~O7^~h)tF(&w* z#+*3X-1rlN+?S7n>x2rM+`Yj^QF2%otmaA>CIb-}OjD)5)@Oz?FLb>=~r*|YL z2knWDCa=zgEpR$xD@PzxQ9?@-^!8gm#v~a#GHZ-F26RDmueh^=tRel{Q;@?0#v$H& zWwz3;W99Da43~Y^;@oSHBmcY6)Wf?-^T9ib^C?Byqz&gn&pJ>5thO0s68CYE_~p#W zzt}@48}Qho?rW`ZN1dbWl_d0quwXV3qVAqVJ~jry_6}Bp6XNmGV(8&e&~oD zJl9Ce=7d(3hgtZ{s3~l6QA(ff&aDVoT4%pyIG?UeL_%xInJm})_rE>oqTfA4-m+B6 zQa0bS>?05=ga3Lh-&8)c{9d zk#qe45a(abCpSc^s~k-EFXpvT5^L#~Jos{7*wQ7bEUH>&bSU9}xg?JB4GkWiB;YMb z2vu(W-8iINbe{QQKy&wCEynOsE+*Y589|5=1kz$mLphjWK%Oyb<{feDuzxxD6)GfH z$SB80u~@iNMS9>Sn;c3G6dFU0*^b}Kor`Y!gMEL169M-gJfOXdTrTRfq)Vkz_*$7} zS^natR`S;;nKp}%Ch2!ehlhZ_s{$8y z@7UMXF%a{;nQaco7}RlP_Ic?R8{_(4F$9+(bP?jAJFyJ#VT{VU9wG{kxs{r>Ts%EE3pi}p|l8v_VfV6 zy~o<8q?T~I87J(5Vy@&uZS!hDWKX`vt|8Z&+sko^w9{`(Jp-XCY6v5C3&rXGGsmCE zi>wT1h*SMEM6ktX@Yqzc)d9W3s=fa6l*en84;0RB^D0l3gWOgr2DNp!@uZ2~Zo-8VHkowscL2+{}aFU!Tu^ae%k zXrR1f3{zC^^mzXUF(RD&Pcm8ifd&$8WR=Oa_j}5nL2)O%uz^0yFujJ1#(-5-JciI& zOCY%}dEGH?s@E-O?zkuqe`*Nva*j&nU%TE4nm`5v25iuU$=wgFd=cEk4QXL(=)*j= z?O!xL!0SC_AobDKfF+yJ-hMIZ#l@-+Y=djbXgdTvrP3L(*`nb?ZKL2y^k`crP-9@2 zSA7#<9o%V7cuq;__>@u!z;aJA70%umXkEok{T0TbXAPx1!`Z<=Df$%hUtyj1R_k~B z7N5J~!{}+81~RgPqcIJ1@V@TNjG~IbBkFxv)%_75LW0}t_vcfzzB57T$87%{K1QVJ0#(`Ad>qy8{D zA!jHCp8ak9!dA1$WZWC}PTPuIsU^}eokq|Q^GE&q|J6SY!w$iMIy|MS5A6DAbn{gJ zJ+ShFjEysuTToVkGSl~x8*kwn`JXx-ok{;H0dKCZU4jR3;((YK;Qh%v9OeJ%v#_@d z!0V755=J5A_@9$M9vg8c-*+@!w?!UX`-1k92P(}6)Gs&#z;_>11X9~{ zdXp?I;x@l5f91-l`FEX%*qwu@p}*pp@!`s=|6BvBq+z|Qiw{TZ3`DWzll3coZf!RMqdA7#>Uei?jJ;7n**O?n%aRucj*y)bBMHuhI^d1!bim25*qCCFOAW=% zADO&N!7#K#5 z08)v^$qWM1sLF8X8i>;~uz5Og@@2O_ID(rkF$FUv4K_r009Y+EWFR375r5jfPwrxF z2qqr!)FTjbs<1HwxB5>zCiPrPG%1T9t)Iod2C5#H3W=Mpk)$J3_==E7zbPmN;m>B` zO)G|{jI?fVpi-ypY|=`f+$^s#AaaC_!xw>{Qu(CFe;r+sJn|JysrU6=Uhwn()%{#{ z(QFB)u5^!1qrpXB2n7pX*bDEWMzyQvJg4n3OT4=*9&sP~ zkX<7Ewdd22SDZaH>X-q@8$>+x1M%@WFb-3)h(+e%A^706RaoU5h25x|yhtF^-aR;**U2a3ixKdCiF=y6%~ zSH4j#(KZIH>2t`DKl4T$=E((jE_0P&e>3EkNf%h@^*hz-eWF{qTRXmvyu-Qbi|H%^oQJc*UJIe9v(HH*2W5V zb{A`Syzof-TH7NL)-mn_o4fUri^ff1#t4?sSs#ii4S%gjFa0%8H^}$&7-pw|7I`9P zZ1Oo$Sz_=Tbvob;*^jS6%zV{JKG)mG?=fi-@WxcjiT9C{7i;8Wgq#W>NL=(3e zq?1~1hAirnE43up66qHKu4Bj5t_(UB+3|07Tpceq5&_zRMR}0No_BpP@^GA+52I0D z{ibDeER3y|^n({0gsysgfNl%rd?TLvw+`B1Tm?6g=Z0(0?!2uJ3FN8R}Oz9xh9?-X-_3Y|%aRxM|l% z?akvD_tmW&g9rwwA>q6_b)wY_f~6e2PsK6nErhyV!d}HE}iY` zXHg)(0iF&&Ag(&?<}KauuD`HdyWkDr1o(PiRSwBMBP={ZpNSi}tNr-(WV7G6z}%ve z#D#-Ne}3!%@F|Ub_^n6G_#!J?*sCL~T~NKr!+m5_tnpiu(j@Mr7GWn0337aZ^ypQR5Bn>vJ>#vuOeEu_a;!F%`y@Zh=S{>&iwh!mQ6|vWVqg)x14ZKvr4T@X zSdr6WBhgniQk{!{N@>VYiSgfPHG?i+QL&&q{O`5Y`)^-zti4d>OiX^zv^V?g0^X>3 z@`{-Li@fQT@|xf%n)chBAq@am&PPl=npI`{&(O$NQ1Pr2b7~ z*H4K!hnQpx{N8!6wK3mX47tYp0~Cv)TJ?!Yx5$hA$uidRody81rZc{q1RBfnFPhj&aqgxlx2MhT1`mX=t=O12tHi& zO+U*tv~)IQ<1AwAny?QN!-e^#djHuKM=CyXUm|kDgWUm#zQOz8B;HU48lXR(XD+x9 zSIQZjCi)X}IQHLwr)o2ZbtXXCw>LU}WqX&Au_*)zh1MaXn{FgKnVQ89gPrJZYst;m zxvTN$Chk2|BfDq(c|s_gxY@KpD$0bAZ%7=uCtSi2_yU$}IPww~|BnPoGZfwM0J4Os zv1X$_&k_`iVn1}L*g_=K+JX&uC0SJH&expGd6<@bEwE9$(0rC!D9fz+TD}M@f`P$8 z%$UM;@-FUupDTe*Y~nRC{@c-E!v79)=A2N?=3Rn#Bi?BAZi?A)lKZiYh>wlo>i*Xi zXF9YdSvhA6$^S(lk0%k)j-4E})EasK)q;fzXB?X(znQDDi%9^5z6UB%14o#zrkV%t zN@q~e^Sr{vScc_H4=aHu0zoS&i3`uFX7u$(yh2vqJvutqBMfsM_H@twEoy#@;Y1Fl zmtYssPY>DED6ca$d#fm(^Y6%GZ6^Q`lCDb8;9^1XQ{IR(4-ylo8VIQnKz9ZYYvey-d91SD_IQ)@xeb$yG%Jzu{cN7&& z2J5*|Iss96`Y8CXdeJK^+SY3(Z7m5l>YRUD41Wi7UNL56FK)tAn%(IGT_A!dSbxo$ z8}ig*Yv#4RYA;uRkF+Ih`WvobIT1wP3i(mb=yXl?*vPuFOouv=X&C(M=sVNBtcqmp zaTMuV0iMm08tZX(kk}R)9Uu1R;2hQ@l|RATmn@$&J0qO_F``17g|NS5G#hQG@DcrLi!xZAE8bhG>YMZzjCQP!=$QoWR) zd~uXlop-y=z%oW1OyCRwvn=nI0=T5Hrrdf<^2e6j&5&8Se1y1a2sDG(?_4{nw^0MH4V8Qyk4eMJ|s3j^v;I* zXa`42H)SzqHaA-&&vYxTHpXd4jlb!;&uzHfAG1qsN}nYWLvuH5t^3pE0jGLR#Rujr z61?P5j1PoK&SKk0ZczG@N}ooqpRZ^YfY+R$89LB~Hs^53_Ga1tV%q~-m|2m9=>#E$ z*2_d+QD+T{i|P+xFI4Fj;m(wUaLrUuc-=CV*d8k&%{E?Pr?TkwWk$d{X(wlNQh76P z7BZnu^TH$4=_sRvbUY##ZAmr?_EuA`uw#G91W)O*JOH_qMF{S9#0a!!KX3vF?(B-q z$VTj!N;J`N(rL;LDA**1!#Z6g=K4fHDS0tXiRnW2cH(0$r!r^&^JydC0OO?4ABhyN zCQx3t>5?vk%ZC6libM*c$&*YY5-w?BQWBh7Y$dNd;)dR*>2Ip3B6rf9?UYQD$FfTz$kUDiV%6tF#>mn+ z20pSQ7tnf3nr5x;WZ%nAWfW5wMt-jd$&U9BZWQ>s-;p*5v+1=unJ9_P;b>HW8$J5! zh)S&A>9j^lpw{P4r`q+%Byr5Kt6`rRlg}6mKLWmHO?}5(F=pyN(-ZSH3a^o3C?Jh&s4rDH=N+(wk^VaDgLjsM*+m67KU)_`oc1s)s?ZU77WyA5P-CH#nEP3n8DipPlJ-K(Vk0 zwsm9FM60qAHP85Cx#_8>IH{mB22}5xJ!X&vLF*4V?nn80K+sy7Y4K!13e`P<8lqzL ziN;742X^w|a$~nAReII3JKz*x-$h4U{ZH2gE#O&a8Dz!lBr$;F2=wjb;#gg-&`V{C zFs^1(+sVy>)Wx-^t#n{b&2*|Uk9X1!qj9pdu#!Q;R*lE0iRYSUYTZS2+albPOv=Q_UEexv< zjc5+g!~5?oOE4@i;Hv3N8rR_gPK@Gjkm*RhYb(a0MB?wbbpNTsi34*YQ)^UD%aZJ~ zF&Vj$n2$H%u@PUh*k9f~MK!0NLhd~7!{xZ_g*)oaZn}LtRF>%Oc6EVbOI;CU>)IbP zlLrLVvx`As5R>vQ@NTG)ZT~`EyVcf9dqFg3Vgx@eZ?ErJ=NaFVM-6y=vZ%-N`?^p> zWPRq=2BDXA|JYU=De;1ZcDtg|eU*dT$9p<2s`4>*Y{TWw2pr|>0e;0hx-e#Cff0VssR2|>N zZ8J_ZVs*GcdClx8h<|kl)P0NeTft3z9K_-a-DO$j2l%$XEwKk2=)B}F2UqT0^F56l ztTfgVlR6v!zV^g3*L?B$m{Bf$YZ)wkh4d|&QNVjAcWC_P|5P~I8M1}g22A|*F^z48 z9urK>*bQPwVkmzgYK|bTnSGM|e|pyirI^`?!ToNBY_8siq9dez)!(w>I~=VTa}&W3 z{McEVcrVGCJ&AI~lXENgUBr=Lcmbvp;q?xB75Ctm10{#d#Z_L$+XqgdfStwXM^ z1g)|^;dx;zV0izzAE3#_lo5%DpIsVg_7PEp!9)1hwXR3lza8}i2rfdvTvm8{5~M0;nR1{(N?r>;3xB2k;aff_Ehe+aTIGg1K>``7dPLk_?h+alb; z0i{!=7>7XTTZnQ}qC1jtoW7ugS;E!^#M zMHDU4*D);JwivmUxSM)UN6AYeB zPOT{md_HM*<0krgj8fs6WoDd_YP*W65Utp9)!6 zBna!0%>b33_b)_Y9<398vjGQ4z5h|(FH%b?RNIQPHet6W>^zFUy0>V%HJ%!Ep>s z&y-xTV@CI-YX2k8ee6BOa0N9SFd~mVeGx5a4$eDdeBR+)wH&be`C7?Cq3B||9*I1* z&@WU#@ya-(cGGYA^{P~ri(KGsJ_n=XKYmW5ZCAu{$<{hWOsH88hB zm%752=hl0&!MUe9i%5}6L)>h`tvXlm6h6+~+UM4g=YJr?uzc=pf!5Ma-Jl;?i0kCQC{aJOURvE(Y$oC=qS*scv zPRTOHaOgI49z>)unTGo6-SA04*u$VfKIKSt?Flgb5rf z%RbBSMpk_up8|hu4UGaowdIjr{iFb|hxq2qVAZe`OeBdo`bUMn(eVptf2wXiXG|HU zyE$U(gBF?d7RR{vX{Kpbde6tiw{C6i5K2--FfKMBSasov`d-NAAAnky6eov&F&n;E zs(q1?F}pG#MRUbEaEAONuF^so<~Zc?Zm5g`L`*c7Vcwmhqk_?6fm>7zJqm#jTK8Td zIb&BQkhV&cG^$*uqdniZLiutp*e-$P{fBmET!l-}u)R)hOGJsPc3QJe_~w_lSzAMI z!)_$v7mps&167e4_Y0Vd$f0h>v->D(;@IOZpxdK3X_DH?6EB59+xKg_R?`OZ&7x;C zZ({zoUBdneywXOjzbK!x$rwDYrMaGkl0x$eC+hkt-wGRsxs#Z43*!@y#!lp-M10n` z!q3zJV=3RT72LP6b=z;d5|-013}C+ETc zBv_2UNBHW9R#)obGk4lvfX^$!FI(bact5_co>v50k_A9{3c#P4%x*4_V%Neh^$TU1kvYf7OF61K;eA-&s z)a=Hw@zTICXs5#n(;#s0)Nmt=0TcAk>h+@DPoEYdb^F~p8iG2?ydY^-Eq0|pPG59*y@&O(g(bp zJT*$Ef1g!U{2#?HTF!GCUevn$2>6O{@U?}qiZ+$V9T;|9^sC_=U_jxa71*Xtj3!C(%%;q^dP4%dkQTd?RALXzD`dR42MjVjpMCvYVMijJ1Z9isC|GEMV19N zTo~d8G5?3$`}Au*5(C-IzU>HRlf9=#H$J}G|FHVQ$pE290gK0m&L2Q}A>U)O_FFA$ zv)g?SToqmy0={CrN4tg&z+TM5XkkL4zkvgPMUyB!1$>&Ql84%cZ7zoTJd&V?m+S3J z9;%;4uTN8_1pgm%?;Iq_->v($ZQGu3y|Hrm;97G)dv7DbD=KI4SVrT1YYU}Jo#KioM6W@RL=KE*Of6nF~ zPr?7SH{XBuvHLG?zAKFlM?8*1pA`*N>q&77w?XAS_0)*fuzJfG&jDgf+6>ftNhLaE zROKX!rNY@AhHF7Jvw}Gjm69vpy<(K~QC`Wcq`$|9WA`^61EK17$A?=o4Tyh z%@uYKTunYsj+=NcHk3t^I*6&| zxtFacJBaWq)p&9!K^79(VcSoZ2h|1X>%?ZO>2PtE4TU|q+Nc++?x+5x4m$K98^%ZX zrn>eTeR8rzCsnPvoAUG!LC5SYo#U&l%|EetDayR0jbP%-cW-%}u<}6Nyx>H5^1N;l zUY*msDcQ;JMPooG@VHZ_-6$0_@BKO~KbM@3!}&*+6OMP{6p~F|v)+Fb=5+b~i8dNs2I6EfksqaAjj9%hOeOQUR{Nogc0IGvse=%#~cuZDHhhjY}&JS@RF z427C+E!=d=)x`|b)p!~!oyYbgVZnade$p5s(s1VTCUgtC>0I0WmjOgrAn%y~Cl2GnQq1dYxL5WURu z`zT}aA9p3*C;Z>+q53l{3ZBtGn`hOt#N6~ zBs5Up;T`}iP5}|Hz(KTe!Dw5Axe>;255n4bW}BMS7oHi@d~T(jtr5R>h5u822l-k7}K<< z1Kjy3*YFxuY#$%~h`U0hv7*Kd)3mq)oLXt0U@F$%Fr88J5EOL-I9vFa{pEO6TRm1w zJH*-*jRm&{>dXGy3hAU-rY^rt{O%@9NrQ(qTo(r3LNPgm9?zUPMkG@BVHWQ{RS)*O z171n92)w9H75nM#p}!Gx&RqAfw=N1sJciS$a)j{tW;k(&SlUVtuy3U9;LguIz7HuU z|C|-e-qeA@J+$uir#)a4vo$o!{s*3oEZNqxE#8<1Mbr7kihVyeZQys&#~tV1WvWdY zqtV5h`0vEw*K%>f@xQ$)a^^F(kS!5hzt+qg?V{WhB|K>SV8@0;x&y)*0$gf#0t;6T zM_`+nVC)Wh7s?RjQ9IPck}Z7_m^1841X24^wg^s7E&JC%MD)NHiM( zka~P_oH}_Cn$jJK7TFL%@;geNc*IrT5+cr@p=x5vO&CkzjU~?2FH8m^_jEsDtir!U z^Beq7dS&G-nW_sR8MI)(ob$yeauB63tuD3ki*o3d<&R(<1gRPwZ8~(@oE7MW9B(jL z#BoIWBaiv{?{o!#i|92Ty{6hLNjTZ%1H>*G+akjh34!tn(AP28g^5cq;A#J&-U9OE za|54y73}BSjFf1P?7+QMlo5Hd#RXbVVH4+hKn$p?)aclGQe+BHpxk?oC7{MyLnyV- zJGwSPZEdzP&5w0pL6HDRC|p#UoRlA zOHNFU9$n4Av~tR6U1Bq=q+=j=QNk(X#o>7P4@)dd@VBhZJBlliu-6pN2G*UIx zz5T2eHE5-;S{#{UJR=ll5!yIuqmszotF6Jgi8Ee=Xc2@0w<5;m%?^B+DSWbE@JLT^_T`XDbCSES1vhFbHjwt*A z@&-%qsk1sQBQ!B7-LBn4JSvg|jd%ad)+otF!<>Wrj;)HSmQLeY zi`ZG(Qcw7fULK%Sn$ z_gi8F9q=;}hjE+7bd1uD;_%r+HAMoD*iK0$aJCCC{`tu+B4V-$90*}3-}sR%Xfur?To%@b$?Fdfljw$VM0lH9G`ugojdnnrUIz)&0dHGlS1Z z@3Q<#*t_w0{f_sGD$vLwZC1+`@!=tPXF_LlLT7uzODg8`lX*GwVD|S^C)3^~q$)?k z_?$S3axN5ufMEB)VtQ%Ckp9<|-J}4_f}s&!44u!(v%(pl2fRvK;7TN#IBy4}M-W)t z0UC388@fUN*JxvP??GmUl97)N2#c>Lb}^f`hmaKYV?*abd$L(%#%?h*g;?PJOMZn0 zqqMBklI~24v$$0ZI5=rc9T;Z~CxZn?vc^p#HCMprxcSm~rK;yf_f}RaPTsjt=wDMf zzt&R?wZ5Zh0*61iL1w>(V}G2#8>MUv$Exj-BZr33q->bF`=>%y$GRD+uYJZgc{uL< z;axMT*hJlJq`oi6QzF}z47H#90%H-@yeVMC?LQG*Qk6qUR}0!Z?*eH>53as7=_imB zQ-mp2Ws-!RAp9M|>L+{1|KnCJBr;nq`nB#ie*iVl-HV!Lwtm#8lfwtb^+sp}^Hh>2 zoOqEJU$v+@ilZ5KjrNWr~>M;qv;+ih{Pi3&jJd60tE9m?& z6#IO!8sQ&!wegdOs(R+S25O~>B0omn@amKioqHP^5gRnyK07ttzZbME#*MzYw5%@x z%dk!1cmT4E`1C1Nq$$@%^{sj?Cim6}xigMwZCJ!~G9e7jaNU1!?v>gUa!`9QCC0!m zpWlmoMB5~fDUEM(o+$yy@S1H-Bk)7AMrC7S##LR7bILW>A#(8Ec*`!sTKvm|beMd4 zuX#`@Ing@ zEHLZktUvaV+Gtz&;f=WPiu&qVgMH^0&5#e&P5Z9oz_p-gVQmT3e_0Ops#!8RQ43+9 zOaz|MR`fwROi01`OuUL2iw5BR0CS9-qzc>idA(5)*o+WQ4~{`%S;+JJg-e|4>L8X} zmy!uMyPDa}QcIXnOpRjnqjicfUR`Zi{GceOb4oKXa0BMuY=lMHBOpNDw8wK-Y}=x% z74Q60;MHV~$yYE%h`i3$eu-=|W42_kfszr%L)nyVc2yhVDo5`ny3y#$wyrif6~f$+ z>kg^V!}wR+F)rv06lE+$_m#Ve9Qu)S^`$zadEk|189`HGxliTmu|CBB^+J0bVWN_X zM-U$yvf=Q+zk?>#M@VmK#mEQU0I~{nM=;VGPf_EjfuXE&jJv9q_$lOLx!eU*&0g*W~$q-o6;Ogp7j)1D+`;WCYLki=?nN{(0yyD3im6bmU_KPj~l&)xwBrI&~ zG%9N`9wk35aors+@ADc6*Fg%-E-&4f(j{doL$^B5eayr5qCZ&+=5isBIkXbmuIsYs z43VPunr_?D(TCx~ut7H#qSgH}M0ZWZK;8z1SrAHNAg8Ms>+B$SZBIjyG`_NjrmEO$ zG&>M@VK+}YUv2yD<;2sj_cJPMT0fYca@fqe(8{8R9#dF4xQNU0O}Ph*w4bWkAD%k# zrXs8Ic}x?# z`!~2lU+|+(2nfIK_RzgrA$7uj621kR@0c&kPr7|X?8dl+xcbosWLY^LA_e<2u8pV z8S1}m%KCw=QgS~JlO2a64%vsucy^-2&v3OPdxpyfo(iG*`9UGBbTG)ms+)YT&_{m# zuly{WnNNd?Y3G9~?h%AjzprBbR?7L-`Pi5K%&q?Z?`9v~Hk5!vpXoBKPNd$>acR*6 z%hd^D#+eNKG#4%L^cqv!>^YZ1a$k3Zq5bBZQd283nw+~f0zwWL<7)>f$hrGP zm+}u|uK$J>N>&~@X0T^||EcbD?eYXzk^*NdZaBmwCA4XBHEx|3EGZk(d*vezMyocN zykPK%ex5oA*tIuAfo|^5RcSks9q?HN1Xr!O2i)YB-Wr~CeyER@SJdioY{(=LYn%h{}WvbZJc=rZ%?2C>;^`HZ|BAC+ z;JIIcxIPVSb0C4K%8C_5V*`yWG}m59=V0zw!c_KF zf4z`p!VLY8_$w^*H)_c1;Vd2rU~^+ka697NZBZ!B>r=4J4Jcqr-fDkH1>s%?4a(hZ zaVSo$Zw({{f=jv&x{?8+HPqVyFbdsjg+4Rms;EBq2#r|JbWqI+{{E4axu-et>aOJL z|H0VOmABk26?(+eA3@B1TM{~7!||9{uUFfOgjleGxoYhPTKhOuQU{Dy+#g;SwC-(F zv&MXlb`t!zpE^0ru#QuK%6jgEF4&97XLDOgt=xll;wI-RWF*$5s~ijYpn6v?i#Bh= zO3z8$ZE23h1jHNmL!Q)u>Yj6cu#eIbN{xpb*=Jp-ykRgX!dk=-n6l7DueII(#b9~y zY9?Aiq;iMyzp7|qFK_`>2M(- z)Lc~$Wnw$nC#CdBZc>wDxjAKNl^@8~%#@hJFd?#m4li*C5? zNuQv4&(v!Un(e&^D}`gb%yWUW0|R>q9?Z-#cXM2riUlBe#0>{%{3bk5lE}@ha{Kxg zuNWziC$)eGP0yuzv&JBoos^|hr?7r=j$5oJpA03r2ifNR?{GW2TIN2!F}I1; z$JC6qXk|~`I++m|E*WR_4#MQdYhFVB?GuT!IYkAf(<^b1KI!XzEw8M;pTcqe#mAe< zh9|7J%{^laHfACPfum?+;~_1~rc-#F6Ruh9zHWa+;mzvIUsIV=C1S5frYbzlt$i5B z=Bi}am&;xA@U&K1=P2AO&2oOyx<^vqCp%u#NCy;V+i<3Y#T@%@Z0!pfjBfl+uV02VL-i3 zv*`p0h5pQ<-ctPd_6>}%G3eB8t^M{p&@@kw*Q?3}if-=C;&|H0{DO2}TCo2g7{xzY z{XeQcJ0mmefAtUI`Zuj#)x+MDh(XcF+!W^f+wq$Z5dG6$NEwDf&eX)xNZ8JuNQd!z z1{)U}5hp8?9t?xBsgs?Hqp_*ezgU5yqn)vesWXudgQBQ7gQ}^!^Y<#^-=yI`NW%Y! zD1I;z{c{N4`w(X#V)|$6|4g!f&u036_bvXvU>E<%G``RGpL1hk`DY9NTkL{`>0kT$ zh9UpXE@YhWBw~B+H5QG`L?V%+e${%q4?xN!udK)0q!&qe%@&KXOT`u2W}uB+d^~2} zjMES)t;vn4b9(O;#tVW-?hb^NnnOw$+()`J?=P(#38}E5SK8Ln6k9E+XJ4MTaP!n4 zWOgJ}q%N&_Jf1!{xIEQ@ewZqDwHi!cVmgJh3}2PXhuF!6+R^cr$X~V=)^!f}g^Ropy(BRnP>ia%=aO3Da?XdQRuS5xQaa5GZ0zf(xxVGexFnaV*bC7DS1Ra5 z2^ns`f4}rs`>KvQHc&IpIbG4@o_~M)6qG928T)#>-hJ3DFXvK!bXm!ORMV?wxD2kC zI%reAcJ|WWg?xd+ej-EBXXQT^YL^|*lnpT`9OToV zZkgjT`cA}Bh9WE|%oJ#^a5rC>v1lXiRR=uYTkx42fj+!b4sxR#NG?{QsATf&gwnPU zA5__v>Umo9Smq+OSBVM6)SnDz{!|&t)IQ!?>lK+h`Fqq^1fy}Yk`L!st~mgYak>hZ zII0br`Bc`Sr?IkhVP#CifM+DP^&D}p{S|heI-X@%4Loosx{k__=VwXkchjK`&qipb zmkIXb!dl?@Rq&iH%h`BRpH)aIAcVUS4PWAnVs?#J($WJOsRIUNgtsv`B(rPu%V!*a ze2?A-k393xs1e(y4Az#vV-6}eo(Q=F}M!L|w z8xolL1EqY{iKMb3q_AXIQqQAM^@+LGDp*M^=#&;i|DGSSOh)*icK&L8LMl0JP$D2a zFg2X2Eg<|74Qs@6LbhkamJw>dRFJmVn9R4hHsQjyxDUZ#~_BV z!_!%%*yYzN&NQR}aIh>0>f@}sO(kGCNXq#D3qg~!Wg*9dWqRPQxACxdf+J2vLzDEe z+GYdCFC97pfi~;8CuOi0HZB!x866U%y6d*D$XG%%HsK)D^V8x02USxCWOIj9WeSXq zgD@?Uy=o)rhYGQ82YdipRzSTEyyl;9JEwJ!<9mMYyJK{=mxFSG*+>!l<15Kk(3l7W zv7bS8$nLn7)Hl801+K8|TU>i+T@2?o96#c3-N@&3t9Gd+xe`Pqe_m5RNoxKs@lH#R ze01V3Ffz%)HS|o9vrr-?i9=%8IOf}|^rfs4(CDqpk8DVB;8ne_iAb&bIJ8x^3q(7j zpxfR>xh#*;bv|A|dg$>%=ZLgF9|5`y3j$UVm@p<_V73WdUiYnLf zF#zqnfL9_?4Xc5!{Ya{gXdsCPfFpL3W|7hMtr`*miVW%V6;1#&3PSf&g__KJ3!Lx9 z82Z2}40+V6k|^yrck&TZIuYm1Y?_^-HmBKlw8V9soJ);Q@cRG zuj|{kL7Imgd3J2`@JElRY2x7AM;BZVc)5H9$`kzhz2m}qgHSX3G1QlBB6bqGi0t98 zzerT{3N$sqhd#OL=kEdWgjh>*XLYm(lJ4p?arN zz5=h@d&_zn0CI^0(wEr%fR%>*dETPc4NCz59>oG%(bJo1e>Ln zw>E|deY_{&R}WB!H(Gzg&nLQc>36I)`)03{vm8DqH^OX5YjyyP{j(8SrybB@T9L&7 zPMY?X={0GXc^^~{2^10YFQ``*ucG^<65g+J2|MIn+Bp$|GsoX26g%+bAosu=67Jsg z^w(CeNalS{tqurb47EfDAN9czy_CO!!o;r$NXFppOjKt+iwWKcERQJ%8^uyJZiUKx zKoM^06K1OuM&)AtO)PK>1@O=y=khXLFs>;WzJB!S64jvhkl!{h|E>}H7C zbfGDVZ5)ZUgF4Z{VuaafjuV>8;S*!&qhlz8#$D zzcMq&`jN@DVvkMk0Fmyw?0D^Qggfd)<-NHHb39(a9@-cnxhLfYkT>Vp-G=xMRDEq% z64BOQKVQ`qbF_65AJgr}FT7TR`R$sFHhWP#Y5l;5(TUAf66h99PHMg*{s7C8z=@W8 zk+5vvN+&kOXF?aizj^TVsv$Afa3!y96hT!MF^jtMwy=QJzt)RL3USVV`Zb!!*NhE| zu4UX=WBZ5$7=p8yXurO11SLOLSFbi{o^Q~8x*oae=-^dsFykFXTRD>s{;y{R9_Tev zlqOW$M6KC=F`1d5UU_Yzs{&bEZE4DQkL|`Opo5IH>dBxPwS#e<(;+<$3?0Hi$;ciO zou99<)=D5vYX|pT$w#L$Jde)2Mmg^1UMK@)ehU`nrrP}df+lPq)yeRBSA3xADZZTN z8EhF~headk>Fig;G)M^^$Ygius2jYFlL`p21Q7nu#RGc}0z_~!PVx*E9xG^kKay5| zwE86Kq#+2M8QE>W-+fOe3df;JxL{tjPosZrD=iR~ZjcZKyhl4(rZ0a~!0=CBrrUE3 zhD2w&XM}62-?q2~+oZOCk^Vungj;SWCblD(qOdUwq~Bz7oS(gtEMbVhAcWNBzSWl3 zq{eNtAD(e+?nc7h2hnyUXZ41)-l}3@GDiV53jM}J;GK#LHj5#Gw&4i=4ufQR%47~X z_7p+I&xq8Es#jN4puQWZa|zJTV~}{@0pvsW&G~|XG)NV0*`Qz3C&r>clHObz4p8!h zz(zzFY*tSN5BQy^MslN~u~0l(p-_d90Z=?fu}}vUu~1%9c;AB8ktbuH&mp$>tjvHZx8{EkcBP8BB+`}GkHhui(&=Ris3 zrV`V6_N^k7vQp!Y6auqd_!sU&EXPII2T_L@X5_K6FciuytG!?RBIt;!P>*YO>_COe z9*+yC?6IDx>xQ1?gRL)m$l!N~I;w%Qk62|vyp@H~>*S&<67@9N6c$rD6Ikr#FEU{S1&vDo9Vc z9a?e8gr!jpTbbjF*I4_c#u|s*o)|D{Zx1$?^t-(f-vZbpD-jd#7Ge@-ps+$sx>V5_ zOqX#uC=4j6od?Cn^7+zCKDeYR}EO+S4K2uyZz~$BAzd9w5@5Tsc#pk4BfiO8!mw;L8Nv9Xz;A5{}wP1HCk z39Qyq?_7Jxn)&6q&a~`elQ_QuTA++lGr=>JdA`&!;*o21Jz<;T zt{LCWj6^u2_IXKZN9onHYEmQGg^d(fEjTB4>dh{934~DSWb1(Zwya;mkI^SY2+1{o z@Pj)=WVolPp9r%tv4NaqX^^O6lzT|t2h7((3&SC*+sTIBEg4T8zS_X8j9cw7Ham%# ziff%=C0>?cG7!kk1H~(1v)Ep*V4%^{2+<}(3oO_F{D_!s^H+%**sa=|#Mdv*U7DdS za4AhWtMd)a?o4~Ukiae~g0WDNVcWZc9r@mY2PjLRsw^3s%I6^GighK^^7YtA`Xt(&JyxmFvu1iu-qoSVTYu zy!Fp_kRCZ9h3Y&|#^l!LHF)6Ph&61<5Q2wY z#rqXc#rW~>Spv3v;ZmFd9$cO=SO%Mj$yLox#cu)gXf0m=0nJs!^`3x?iMIgjDIK32 zSdeu#NkDN@V2yti+Ys=x$FGpvnVA*0)nBB_oW{R}1`9dOSRcT7ldbW(o#~?Mqp(KU zMA;+vQLBPUSfCD4Ch=cUK&i+dwljh#6*vief~Z>9Qc*Aa(xnsoPv@YVFP6X`HdzV> z)R(I9pyzTqx*3p%Ossn!VvUW$U>s(s30RkHBFRmI7pL_$;sCTU zeY51~LF#hbYxY`?IEX`%BWCdhHVR@(S?qi_f>a0w2g_T?)Ytsto#;%+^EAFngTx6% zi;9b_1%dln4rUt`)^;@By#tb^GW|gmD2`JyR!3#fqOI0kv9o^bFE zh>RoimkdW0at^TDO6{oaMwR+Dw4+ivLMB-;u10V%p*KRb&inF9@*(I5H%k{}$BNBu zWh%I4=%z}E*%yEGa``#>~5163q)e~C%WJjpd! z^*JGz$TOXer+jy>3CGQrS$+&>zqG6x&Hd1x zxm_pANvl|%sl5D&zZzZQBIt;11%sO8D03Kcx?eV&nfC;c8q^Ri8Ft>@Om_dJSAsEV zP-VBA8ATGQd`LgMDcv^ACPo@B#z4Rg5+)BVL;b55pQhr`i)_SzjCAqF0oY((Z1&w@ zGM^i8RN>TgwD znuCm4K;q}n)K3fOQ2n%;%9SMwS~=3!j(bl~tGBZbz~tHjw0i5fE#U6q=j@NPS)o)B z_WaF32vpAof*3d8IC?>s;>@j!GNMj(dE(Ao*PX>9mkgl%hh^+}>QmjXM>2%|R zv$4w*|3-rTp8i;3 zEzE0`%_kwW$Py-myvPEhmK%F9^7E`5jK_$=q*HRt(EB~)jO4qP6nazH6SG8ghWQZ* zrEt8YkX^;}dqPxj%x@p1fM8f=8wrgPD9x`ib=>@@df-bs_Hm7v4-+glN16h1vP(JW z^gv@1nMbF;&YN;2gS}Hf&iBfU=bLFULxTkfP+%SCfcu8mQO5>{mP2pPf+c0&ABxDB zjGV`b5APqxz{X5@hBudBotU!dVcIobRe1p~mc4Ud5s@{;$$FahSdRU02;g#P;aQA9 zqGe|OB$Sgz$Q3xfRE6iA*h>%gXj@`;P;rYUwP&(T2nn7}>~e}oIPq8^#L#W5gXsw! ziuOjg7^x(?WPq*Ok|da3%M&%X#a}VU-V?j5r}-HIA&hUBs)!KX9C3y`Zlm=hPnpAx zr&jYlig!UFv@bNrPAst0aUeNB+0)_fnXM3|C*R`x%Z=ia_>df7dEb<;fdu#$0(LY zKhIlhvzupS`coE&o6Vnvxbqh(eQ>eigv3mmY{1?*n@>_GTde#J#Wc$yHRenZ9{6l_ z483wXx)dk=xy*N*26@TG;)+Vm`o7o<#i56%(^ZZ@$GplveEWmB3jn2#Taa+T4&hcy z>VC>|IRAg*to8n+=bN;h=e;6oAd_e8Q;ku20qh_LE{)=Ukz8UO;tT% zZvka+TadlGkF(iqhmC4=%YI5?`sNu!25pn6{wt4qo4tUJ=mi$oRtSC9_fN+`)K*N8 z?{5t!rz!CHV|Fnn`@Jsy2~#|LOZk&n_bsNsc=7!Rl2QA5N(WiFwKvy)DAOn7;^>?| zLGVbF8QEcfTE>uD8J+uLn(P1l^I_rsD(kNOuJ_ErF}4}g{U_iyATS#pyvLZ`uI_X4 zHw$w^#Gh4LD}ys*Obau`u+NAOHrG_^NrMb;=~hH$L!v!-2hfE{HMejq(ZecNm%2-p zv5Y$+SQ9R&sk(pkT^5}*R2bVD$)cZiKTvD_5qR;Q@g!2%WTq={j8xUOcrk2K1$Y%R z!D{T1aspYp15Qokiu&_Lqw_k^Jl4E(?WxDp;gu(=6!I`b!M1pz{`c6=f7ZPGclNU>p7_mvb`2%m z`zeAw^MGW75i2z`aT`o!vhHky8Io5~_(+qIsbVF(R(E=SJqiP-Yw2xSOK)$rOUjv; zs`K}}GT(oW&2^77Ad75F93MU^tvJV6Z2z`=?YWX;In8IA8XGun1MqCcb#Qqsc|Yze zOpWctUZ$3(7QQ*|_w*7|2RrA6^82E4`?CDi{Mz*Ce0H}G-8o0j!cyhY7PxFSU=ymy zD929>!*_STjK#-gX*Rqdw$KdnlUtFle#g(;t&Nv{h1#Z+h(;Us`NQFX3lg;?kY5x{HV02U&3ezZYT0xyE5zMtXVp77}_4MrpN|EqH@~B6DJ=ldVhn# z>IdMan!ADK#`%1|DqHDarhb2UIvtw(K-HM7$A?2>#nb4P(57jZBu~AvfVE-b{);NG z|K}a2o1@@9zROT1%jbnS>g1tS4(?Qsl-qI(8z`|u>B@{IS(Tluerig&H(IF;xR&KH<1#)w-p1D`Ufts}8E zy(V#5JArBZPhbEFB4npey2k@falR4kiSG*f7k8~#ZD)JhJPJ0w8Lu#Aqee}A)vQkqjg+Vi$N!SOw^pWg<5P5epeca2Kscm$jYkyM~I6$MScT=jQMxPA>jh0#N5ig>DpWnQ8rT9E&4bc{pv4PD>& z+phVg3`is4$i7vX@`qG9_|WZ&IsQ3FXvJElc>&_5z52V0R09CHY*htq2G6BUogHTL zP1M_3?i{Sg-K*&t-C>2_BrJRBC!X-!&T7V%$J*%Kwph6s2xyDPh79rsKMC84b`1q> zPCb)Y6x0Kr+4!^a3nr5fKD&lBvPph0xY1n6r}K_Hr~avLPCnXTrVJBoyQ$A#5MF}u z=lS7|o#-<}=9vB!_ip;qxCT-=q2X6+|N19`@d?m3)gSZSw8Iwo5M8cHQ5KW=bQ5i# z1W(p&q-6(BVeKJ_l_0MUl#+!(DNOy#reLL3j6{XG=h?F)0QtmHMY!r^~~Tim{+pMICU=F>GGUKjPWD-&L!6F zVEC=mxK@9f*ZCRjX-fVv2iey}1xPajE~35D>LM@VnuYyUXL9vHAl1)h5o zsHd8p4ZEw$!%6?Pqsj5taM#08pUOU(#uY-$y<+~~qgEPw)Q7E5$X{~U%=|46kxABz z)yy%cd?%ABAp)9lk)pSjPO!_58*2+T5&%t;Z`P2EOlVjQaz1&UI) z6iMsA(VfbtHNT|FnrvMtme>gf%FpZVhAyw`V#Qj-|B;xnScJN+8(rpTK}>_`(X=tL zibMMFwcWo4(H*RSv1{WXfVUqPR%y=8-#^|}O$~3o7*Oa7GO&p7@tBjXz!z1pMTZpL zEsaJ@yZ{>$_QJBtFB|e{&r;KD9(LO=Mk#4dk7-t66{2!)lfPDQ#Vz+9epsJ?{)+X{ zK|9NzP1Cin+I;LR<}cqmsOWOZ%;K36kk-HqU97BV8NaI0X?spg>t`}F(1>Yhy%C&K z%{Z2}b2ydz>Ke!SC72Z{XHAxd|2= zCL=!pb1YG%7}~Ad-}mQqxu+@`)31^ojt#9gk`24a`-dxLWe~yq#B?0Qnx8GHpyc(b zhbh}zjF#)ieOhvT0?3b3nbzFFD_ccRb;I*(%UVZPx$IBKA+PW!QzVf)5o-~eMeNur zvD|PEU$q^!mK~&Lc6PVutKO~oPJT2xi=Xf71aS)tahmIER3Ah%~TSrjzk_`~{M&2bG+ME0rqMg-;a$>$P!>$KnRlM?@VLdjn zr6+3ZS$&YP2d=l-qyc+P0|T;~5uKt&wBAxgKSRHGDGklG?cWA~Q>i-i&@7H03I^ZY zfARgDRc=)L>*GoUPaUwXV+Q$tciHZlU)EwP54l( zsU>i~4PVErb64q}11n${betz|vkHvK_g3oDh-Ub)Bizc@U#)q$xn9dXR-hJ|rP)6? zn_9x@3vFvXe_Kvx=4M6}zEMVHmENns_VGn?K^<>eCS+f45DR4U{4s>E$BW3J_rX>B z;qo8{gf`wYbT76O>iQ4{IjSLWraro>O=$m4i&P45uly_W$8_j zVdunFvV52BDapDR9_A0-3KKcQ!rrMVQ_#^-uJ_=vAN%kN1BD@s&ii#0b}3OQaCnZlC$!8%6D7693(y52-Bj~+}~Yvm;|Q-K4Dn4+Ynhu9@t zN%Q5RJ|&{Y?PghB4*$S^J5Lmbn}OdO1JgQicR0jeyJfiaj9PbPbo_Gqm$99|E|azw zrbBt{-krZ)xGiUhi*BKN6@g%{`s~4!#1#7(rijmb7R!E2<5qzw*tsY9gEko1 zK4+hAS|cay{e1Ur>d_Ziilgf-L-N*9MsUwtP3|&s|M&*Yw}+8$y%t2AbG^Jqkk>#h z;M5-G#vb7fZiPoTeXox$RA!Mfm{+7{3(a-j`Sje3Ye_EYz%kdckuT7_hyEz&1{`*g z@+4>1%}Z=(*G{nnoIOjHN{?pV2qoWI0a62inlP;j5OZ7NHh1_fV;g#9WC}jib&c&vp${Q~`a! zU!H*hEzMSC)ksrc!Ia^vc0iBslzOTb)5B^*sjF0McVhh4mbjsUnhwsCG^C!fiLodL zZTN7$KVCo^i&+%L7)6q}J|F(NX_=89-xW|t07MNqqiOL0gr6k+Z~DAK@-NJYRf&&Od5}b26eYu&7txEskhWjJuN@RQSrVLSM@yryh#*vCguiGf%09IA<3k?-e7^ujqRp8vx8(bWQ^4W0BfEk-sz`#Z{lI#S z*~;E`<=j~Yv{8>*D@>r0S~GbLP(|AMPlDwq+WS$cA<-6ELL#IG!?^zDwJWrRFRl0h zjl4#<(A@MM2CH~KE?h7N{*9U7sEi3m3iru8zl%Z&FSoWgw`>a((2^(JXebcf^Tp?$ zL4&UVdv;3uJ^v1O#lc-! zd(1R1+3wmtUVE#M6+E|7sN3&m0gX}PE}h9A5gMCvY=Wen0aCu-pA|ta=Jmevf41e%Z{4Pq(do2h=mS>oT zi7jD3w6Do25t%FR_p$!v03i=R*wQWRTpzu;N1NLaccxX%b9-xZmYsV3o9M;xM@$6^ zT2FohT!q~hhuXIK>~9;M%b#YKCmA>pjIoyok|l-I*l3mO@bzO!Uj3u+CVa)%D$+J1 z7!E?ZtKqHUOvA*Vu9yr>B3)WFM0MXK983BvZNNMh877-Dl;8t!)m)aXx$gM{DJ

`J8mn6^^Zc>YP)<<>A$xI2<2=%o2J7cz{Ss0=XBnWq4z(omCN(6M>r6id|Y6c zOh?j-$}1Xq1f8!&m@)@2B&Ji2!p#}gTD@zjMCBA2fKpjO3ukC2Qi#zhB^64jZr)mTcpT! z#TzA+Ni|5#7Oe#@!&&c#;APfb3)8lo@6E|P8&O{p{Xf)wV~{0JyzJPvZR3n>8$0&Q zj&0jEc5G|M=8kRK_730tukU*=?)Uqlqfd0i>FVl;s_M%8WhNPgBBKciyjgWi+bR*P z`Y73VrVxsGrI5H5JoKF~gOCYAV*FU2=eIUkt=-HQ<*!Jcb}YDBA>0O#1eg{_17;=@ zWbMRMIShK;vOPJJC-&wuvm1n&fUuY|Xc0P@G=mE9!b0LQ)F$vI4}SG}ClwPDmaU~eG z=C){QL;NQjI>X7+zl=CJ<)qtr?X6sslTG-gfzqk;b7hm-qmj_5?MHr}I|+W@C`M`4F0>&*gUPP%*YEGuH8@)Oi-01WukgC@6TG|5lq>wlV zaes$t=W(n{JGSy-Z%d`D_-3BW`rhwsyoq|5xLHrz!`QS2c2tx6`7$6FvAND z%b}H`5<4y>R%iUC5+`XkK+ehcUWEKxEL^4#_$yD~jeewe7m6uSBW{d&s&In!e%}v!dH!R%GN3q_aythdoKw3;+=-SaYCjhee9d* zKVhVgVVIqJblw)aT_7VC2W*mh`Vh>L6(tfFGBW8sfN5`E9=$`yzW3zKj%VRWx6)+4 ziqy-*sMKQL4T5!4fgl`p#_wgPrK}ABUCMb|@z4%7ja_uweiW)Uy8pmsu!l3FLKo;d4{$Y-x1qo_+G7JvL}a5$NL-8r?VZFFi01#!44vT4{yL8vGo<8Nq=&- zSdEvmwUv?LeeyMGbW~7({;IzmS%X|WJ^P8JBw#Yg{1?M#>@efVf#H4`JUjU%D`m>8 zB}?5}$^WWSc(y(z`LHLSl>-w@gUf$;D=a7l-}65BvY(Zgk*uLe9FT_n5_DTiAV4Ya zFbO13nW-np=vK+mxbxE}slJi9XB3KOLVGj#EjtyPNAa0u>ZN)7XgoSC#FS#J0hy3? z*mM|npCzft;*%0Ez=HbEQM~HtueQ&Ex`Dq`Uo;s2wLmTugOBIH!d0DyEq@kh7~H2X zj-z8#bZ#mhsPhP#JiS*$C?5BV5?b$c{=PThCQHvywz;2IiZjA(^gGLW<)ftDYQo@? zEya{~nu`7x1M=k)K_lZRGO1vs%YaUgNLwAp#*Gr)Yq!jNAH_fqMbYyO82%i5C#uz$ z9g)7v2{V89mI@oRYc=jQdw&Egb#oInV3b*IcTA8R`|_%Teh^!RRCp=;7q(f3FCY03>yNM z{JW_Thuisd$XpSl-);_$@4c79L{8EL#{Pyu)7y-yLU7buEo{F1Lg&C1n>I9;3WS!; zn$l-@nUC~|8R&tK(%Vf#s4GLbN;-Dk)+C!)Mp)*_mxc_CGR^P$ZV_B ztXutLC%qvneAJt(DbGqr30^Z^Gc_vX`InK3nMBhH5#_vPS3xF-?bZewQ>)RIO_i@Y zi10-3cpSRIOR_oXiz-dv4dtgt)|LoIJ>PP0$2lKuHcG2zwC^2eLhPpMp&TH;JfVYI|*?)kyr>iaZ z442s>kN-Y)-zaN-Ux8YS7fuEz_J3Hwx-$xu5%%l6>F#-y7kxb#8%?|%dPU>l`cxrm z_$Jz;UZ*O8K{)oW+%&SO$XX=#**RFbZIu=--}kedRh+c`hzK>oRQTwIg+?0g5~yV; z&qm@Hu9#98h$g2zOe`OHAhd@Im+#)PnA?g;kBadxySDy(-dr>HKb%}d=U|z#>0~+p zS4HCn&&dfyV6OZe12Vz}N&8xxiLk`P+2}~jb;nrCDL@wu2iOtfJqI)GGRUu7hR(6; zK*&UuuFrtoiIhW5Jb%6TjM~w6!fsPoet8mLCHp@emVd#De$YsElLF*uji+C}F*f&y zV~C+Uk<(k_P_3BSloV}5Q@~#`)nB{P;7_wKC6$N5*o<$+3#ZiispC4M8|hk3uK9p`rTSid=O)}c(2HS$7GyHUX~|3tjw%_G z7!$V0(H)l{IK0uM4Ih--;GJ|AAWErnBO1(CAbMDC0c3Lftohik0eRmC)ufqK6^>iL z&Od7e{FdlHvu+ge7&Jc}NO1^1nleTxmk^PCPyOy|MS6LeyxjB*N|+ZCQTR3gj7fbJsnG%Ue*`{pYlkZ)m7}4 z@SHLl4E}3LiDiSdoM^-QH@E>b+3$o$->31A38eLAKm8A~@whMdr&N8E{4ucU(V~f4 z7aG(6m6x`ZcU!`czc1s9qv(mGjpm}GF_@v0`p!XoRefokh!r1cf-YIu!;utC$P5v3 zh`?O{6#+Fm>32a2{ONH1q^UGwBS&y4Qiu&24JS3gTeEdcGDzSzU`txYCShnsDB^I( zlc_gvK=7>u;&jtj^4YScgXC9{2*_%8*i`zKkMck@y&Riw+EYOF^E{{rH-N}{J(X`S zgA8Z`mMb`^0(nm;rH`{3N030(BDwKXm?_Ar9B%^K!agE(*vi5J!YPaFgG-SCA;ggn zALelXSiDV$iqNdrx%UTbvX`N#N3vO2Uj_1^5L+Iv)K5v|3gAPb#X?>f*4EkYdv#f` z#W{XYLp4r-cU>VADIy0x=3nMrc zSq|zbnledLLQH_G;W$ni(K*>ld?<~S>$%(<@e3-7cR#i z{{ExVqi>RC*P9Tcw;h7SZL}#TmqUO9bLXS$PX%`VJ7xSId;ZWnwWKWV+*FiUdQgQe z{@aRv{>Bo>s=uH{!WmM`xpp-iY{vc6M%&Ns&TD8#l_qbX4PA!T>x?YEf9bIkXP;_E zX2k9sBU`#+^gF|rLo|}+YaL9|LT+GFb0%7&atSUnNc-;*skBJQ?dtS!@oZvCndt1m zrC9djysNN_xJGv7<~8BaNn^cN7{k)o^z=!ZDks5}tuZ$TyYAFjnJdjmC>9GC4!t5U zL(~&-+zx&S(>tRuMtu{?p!dq2-Em-DGvWnI4lMat?UMG+8j#2D3npb9^WyNIN3sbm6Z<3KsgbqS9%9>epWT4^3Mj(0^uuK=Zr5X*)i0De7 ziwhTK;>Hz*Ap2w}I=vDqy3~XIfB4pa$i{zYNe&hO^MCTK|85!hkICNu3cmGU<#^wE zx&P4G{a1U#|IsIq^*?la|H-#@{g81&mx^hBE z=U;L5mosMNxH9v7JCMoHB&SxucPixYT}V?tyOpBu;`O_GkGU9J!ClSv^JH-rp=`fi z&Svha-z@vH<@&u3ot;5klWphexyZ@+kZ}8)ro0-rK0xdK6~_1(k0u)K3n3c$XYr?$i*YEfPzfZ1|*;&?XSc=;De@O z(`>L{Hh@@0`Imu(nophIQx?40No4xD1}V(iWpJ;3y*)xrnOe8F}jAW|q`0zyD<4$`>4FHtLxq- zYdzYiU8!qVls8gKZ%ezcprN_mG9fpUuK(%_CaUQ27CiWhcG+O&4tBVzo#3i)>5>R^ z6AkL~-B6?O6~=J2MnK%@^U2083`_ixCK!d96^9hTR?*TOA7cC+Kp-#htCiLfe~`q? zgdbUuc}0&Ukf0IOU;34nMzxJ#B9gmKvi)

W3nqh2BDt<^o$;3S&bW>ajUgV0QI0 zhqtRK_xhR=LUcEiIZK*Qk`x>cPR$tnvOGh%x@O#;I~C)-0qiTS+5iAb`;uQiMoLcL zsLxsKQ2_@>J0zK7+6iruKu$T#V5hayFk<`~rDBs+)Kb+J4Jy zVX=QUmZ9ruk}rnJ!_KoKx3!Kh-Sstnew@VkV!$B_htS!w8QBmR^X|cOI1_0ZG)0u% z!|2|y9Yce;Db1~f<6WpWZotB{OF&wZMe!z7;P(D8@Pi}{KFGH2YSYt9M9wz3JcHq& z=0%oby4x_(+X9J2`lH))P+*cCnr06iFA;>lYrj#;wr2>qZI3=4)cdu1)?Z82%KiCd z3%+ACYrbp9XPS~;-*t0`+qb--fbr$-r#8z?*oth?ZDxJEH%j<8N5the9`j?w!al{C zEkY&exaZbh?;*z0Wev`5KDW}o$KH1G-rAp=(H6q>H#^(ZM&s+PA>hooRF*Ryp7Edg zYLmAb)wh}hpVk)=P1&tc9)C&ilu7DS!PQU;Ky(WX-zCp@0gZ$oo8^Et1S$vzCWy{j z+z!{m3T+7ST?sd2iGyKh*gyG?0zv!)n10W7f&u7-UJ|XaJeWf$;{Z@^CF?3csmDXDq5CANxL8h0zHQpo*I|t((T+^KAIY5)@p}bOUHHV5*tqoYlG6vb`GJD{Jm5dAp zgE&%aI-&LmBRP!DL;>tiqQA)|Pyvl|j;gX)qoOFFA{I=U!bY*7f_%)cwSdQseY?LY zH#WS0j$pR3J+0<>MAk3P*wjrp;ZKkc(JWtAh6k1PQ%E@CQ%s>yU-TBH50a6YxK!DP zC?W{~WwettG3wWZL}D=EzqZpih=Pg!0H|h`Z*NivP{?DZoz`=DdwV&?#GuxX(728-b@b(@yqMwU7N17#IfaoNT{e*6c*fC$4OlD@K4k`GMgzQCDxn>GDCOUU2c5@e z2u{`}*>{Lahk6ivJTy!%z5b($2=Gfx28P)g6ol-VE`?E7-BZVU?oxd1Xy;nGW1i|w z1naF2;4=H2?s3c7xp)P~f2r*08I1s^g0UpJgXVLyjtS1l#*z{+6mzCRfzCxbH{Np| z?qbypL8_I;f=tOmg=~NmsnTPl4y8v7j4|lu--zLcrfuVZ_scwR)1j@x#fAWmeoNFf zdW?Wo&dQM5^saFm-Yj10+GdhE9zufbEp^CT;Z#H%67>+K@{J&Sa?yBd@OUyS;y_vE znZ$A&l93cpYM94(vGPD7auF!+?fEhiAe4>$WI+nrav>Wp+v2> zjPuG=yKz5q8q6O;gOY~ueogG{sIfK{`sKwSO)2CK{bb%B4mdo^A#leJM^~}~hgiaJk9WJMN4r4bpp*_#?Wu-E>R&mlz|( z?)=YRE9-a313vB-og!lbY=bzw?R_;DPZBY8$dy}7^3@da!@)jbP2Otncy9H!(YQ@Hk?ZCu!1S7bVbvPuat@jtzgU)#_O7%w#vyb*+)acD2 zrMUf%YRrC6+ArKA|H z+-yT3$Pk~c;^w;oWHUB;uroIFjr57*F&52Tto#Ay<0&*3a7AUwhOBZs=BX5;9#?E` zVHXN<&qV?VqLgXgJxqyXG! zRX)~;=j-H$%+h_Z6r0ERCew6mRTZ5rdZIR%~H5w2x zKj!kLE7^V9W+>Pv56M1u5in2ecmQQSc5s#!h;*nPT2h$%>FHv2cpV#x*B=ih&86m? zh~lWA)@+?aVP(Hu2h*K{LWWVFOG`j)FXJI^q2 zFRIs~!DV`ERHS<=di$Bfc}o2%#<5i0kZGUTIOylDYcvfq54KeyK zyYvXLl(^NkM8LbGAgl)&OooF^^TnYkHyZEaS|~TXCUW7i{2^MD_&paV_E_I21%jRn zL7EDt?d6K4ah7Mw`VL(7-N7dISk3P?U}RN?vVenJBF%GLN=h1Gt)t(%Ts(txY8ST0 zC!^h~o7Xtme7PM41i`Ox#U}x+%$1hW;k7%8IUfo;@n|>BUF$}FHxZo)+0V3>00H zwqPDMs92xcsf|h?`fY#a&Cvg}Gr{ow!)viX zdg6IK4>*+(QeLOXAW(udY-oz`4GC1=xH$|#b+do)7S?JJ1_>;cXzGG?fQB867fgR^ ztRfr_{u+o;lSMIfW!QcVvthYoJ$6ZCU(E;fF6r-2v}c^Xc_f+(x)%2YOMbbc-RoHm zDiz|U$P}xFaJ2e%1(}Wjh0R*~v1k>#qvMo4)L_>`67v00M2*YZldI>!P07EtOlBR` z#6H}_2lBMAZqF~h$1pv9WtmkP&oUQF1|<)|-C|*uUHa?G{5rf$dr>@BiduHCphb*J z6F@X$*V?|lrOzpvnEJ+mWS4gg4JdL&S+wo4q8n@4W<)~U-YEq*=1g@D4a3;N=UQ9dmQNcYU>hrr!wLVW<`OfEflN1NsFd@O zCR)($$> zL!`G6{pkbA%qeK!=uDybv_=75AHdwkj3PK;` z4+14VA7bCYs@L56KPVbn5@Fa$>Ow*v87`a{hk~8STJ80A(u{}Oxdo+;a35t_q_6>M z8afsr649hg)X-qDL=pzCbLR0*Vt4q7;zEouB|`3Z2Mj@VJUd_0CQlO4Exm-dJ-RSQ z?goOuYPUJzg#7S6RVXwBTuO`F2xU;}VXqMtAO&Y~+-Jz=Ly%Qsilh$;6Q_)=)Na}S zkHL>gz9W9Mgm;Lyg+5`g954BrFEfuv=t~cQW6(ING%FJy_68m5Uh7Tq-QavBy5qzG zvt_yx(N@bvWWUd$94|Aw0XTp`wrGoG0F0-_?+M(2optN8I%9|&qG2-xs|S6pUcYfo&;*nR9Am|+L{S>$MRn%G|fNq)k?@X=8n5>Ae|*j7cE zp0o&+EVr2b@?6~NXA)|uq=gHzree{Rbjm0+w1GONvuO}P2fU91gK~FpnGY=HHFR8U z?uGsRxEOlmi<|_!-AmVh1x@9~Tix+;*tp{O<`GB-52BN0sH3owm|y$N$4x|j=yPI-`9IyZSpVIF>wgXC_YcJP-$fSx)iCUT1pNU2YUcHS8*JI(N&F+SSm*;) z#B!^@Q_|FgI5NmWsS1crkn)6rbGAs3Yl2WtIx_Cs8hC%2bTd;EC99u3xr{LyJBvVMPbah7M*9?I52nAvUTwFu{EuIA*rQPtj@)Rj89D(2+=TEO*o z9PpRrNvDJH=T#KnAol*%{LCLa*oU*wu078!uMp`6h0~9`tn-o2e(++W2>=3 zk&=|NMNmcIGzrmTVM2gu+~L^aF8#M>g|chnY4?Pc0~+iLdSODQvhMFL{Mr22#O|j{ z8mV8|QeuC9`SPETvwFaM`Eqmey-Y3Pji0hx`Xqg=?5R{!R|Qc2NGXAf9q3|yyrOt6 zjR(OpV_4d)<7)w?s>px^9$CrE9K~{Di|#PR!eon%GR10Pi`E7aSp~)3H)g9KgpS{0 z5(0Kq0dLD!o^L89e^!h4noE@O%pJ-;rx|%aS1@%%nc~L{s)>T}fz{{?n`$PY+$-3=Hze z5L$RrWHGjwoJpJUyyg5+7t*NiN6fA!!XGD1y3-2d66x)>9RaGUC6-!-G zWXOYlpyGo-)aX12Pos&{kXFTf>~IZ&`q8a%4m9l)eQ7Xc!4YXLKhh!9;Q{oL1=kB} z?i{Ywi6$=;Io>%2R2IpwZTd2<4wej`_>&D=5rV0k&6pUi!;{@zL#I8dmW( zSY<9l7a40svUbFC2+SWacPyB0|TqjOVT26argY?J#M+KnvFo=+os*RQTe>70GK|tCyPf zxcoU{2*jqoU?#pFX5N+(Ck`5DtTBky7OZA^wGO>wLLLW=k6z&)s*c(n7yqh8+Ys$F_V z`c-$&kwOp3U^0S{Lg4$gRgLF)hqJzV1)M^YQzoEfaf@MEV}c1+Uo4{Y?kl2`>_i1q z-?rJ5sfylxpKD=_NdbQ_VS9*0i2HJIb&UEWIf+L)m#J;YNzV3`JW2b4r|q&eW_ z3c`bAGd%dR62e1G^sK{HORS^s^N|!f?s0Mj14=BSbN?bBHk_p)hi1F}snG-A;;1DN z3`mAyh*=brwCQ(HnxH0z_aH4IW@`nA3rNS@7B4_z{fJ>6EPj!^_R11kU$E;ne}{-2 zhNz302-};{L~it8i4f=~?$B%y`W1-%+|1IK%XtLa#LV2v`_w-ii5pvmV#=Lk#7#V= z38HcR!k_!u(?#*#=3W4^ye0p61wOMhqNQdj!y%DVlTFgB*s%~}mfJ0^dTO7sXucyx zVZdBU*R#rjN+U%n_i}|~a9r%O({CsDC1?b+Org@75x8$<$bZ-so_SYh^y^MfQqDt% zP1ZxFX~(4ur<6AzN0M+BR)t`hmI6c-UL|K{Vjg4`PKCd`K!rdp=3mbI6$L6eii=|X z5!7)VTC!BXqpM0sP9jlKkJdV0I>TwY$Cmt!hT9*6D;Q|kA!2c)F(hKhwO5rD7c?fp zDyq#aoBD2rQ}*5K$7pGhBXMy2f#Bg4SBvatW^kd=FJs0aLJNkQrOM3L4LUT@>VLQO z#gZucNEn{yF`Q+cu<=&ZFVlQG8=NgC8=1srHwi}Gyk^N})V{~xq8<KUao*sORH zv)mI@Zbey{P_Oi@Gdx8q&lN63Nk~?$;Wg$}B{KHVml|UgO5h2-B+&dijTYPpIXTb% z8un@#E@4^Dz9Q<4r0HUTW4#sTH)FZGJ$9Fjo-fnrV$QtVUl*mhU6@WL(M$9Hva+;j zy#>Ze`K2VN;HE3bitV6f#j047BIo7wJhVFiCx#_J=8;vA?#4XbgVLA*{Nxr*=Y#$L})kXF4D0t-BWhf!!+ z;SJPcrl)cB%yncU%Zr*|IgQL%$}cs$>I_yY+v&1d?ws==9oa__ZhwyTaB)++Z)=or zMh^`c<+=Xl61C^CK{AX_C|!K%VchxT2ltDbNjq9;xP1#Dmh2!9*;3rd4>BK1W_AX> zpe+X($8zXFlmnw6BgcUMBs~WYc2;K^s_|NdullqOitngS@(M=)y4az+6j0n-n*@qJ zo=#Y$TmIdLU2GcKg=s=y z;Y^D_2?q5FEdDZ?5*dUlqass58Wre#6k7DD7>m#&2)MClf|(+pLZ2Q6H75)zxM5~& zV8S^s>n`=pU*|Fv1Kq|5F$32?*((TV=!}meWKgPYA@O(a&F_d@ zgR5u2Lz+{I5R;Oo{8l-v{S!O>5L&&U7k0Z~p=Cl>7m{wCLs#!sxLzN-B4YzbNty@L zEbK+hscES21Fx4Cc9URXW^7l&IZ3(U_tVyI4g&9!mrHv?H5{9oKiMlX_9Gb^bs@>o z0b>_aSxWK*;>^buQc#EDM1hFFiwWh4Q%B-RLx{kWi~RHR40de1EU@1p32ngTFf{#a zET$K?>|naY>KaPN)w2QBb#m-*@E+%bV}#T7PtKm9ufI%c!VNLMq&YFmZ9N`7Ssl2p)Z48j$iSA? zNWmStXgw8VmSeufnV>a5+#6awA!e?Emsa7M{vs=%;OukzILdI>9U`qQ-NB`LM0^Sv zY?_-ip;IJm7AXem9^24>fc9(Vc_kzFqP+MgEl|Elsw3G5FGLFmGs3EBdxI>RM7P_C zS^y!D+YfF73GkWKiIA3EICebi1%;Vq_)8sOluPgT;^N%HXE4Hvbp4E>!3k5Z1M3e0 ztxsrwJDSG?dMJX%9L<-Mj8i_y>B{*c4yxLgNn;`(k(YPJJ9EXSA>s)+GtfFKxkqpm z1(}yuCdv%gb$vA2O&4@GmA7nL8Z)bQRd%}elMM+JQ1ESvC^12aEUS58gyu%tlMWkPeL1E_k%WsK~eNEic+cSb~W=Gb&ph zc_+*d^V~0jE|HPV6p44LC(GCjzS|K3KvWQOqhW~QN15A!Vu(4~n=@cy_{FE2QBK%r z&1Bt=eL+;oNx!zYNo(EZs-VnPOc1>3n@RDpD093|YjxMZ(V5%7NpUTjw!p$+QR$WU zZJ$u0gOX*vg=V)i4Edv=^Y=uK+hRuo8g^b}09*lyI7GT0){%U0tP>5*6H8;5V=?V;U3HP;ydb--y!X)nDw)>xIV(AlhiJ-jexg$rXn@a0 zRqdIeReralRzNaLldflgPARMz5*gVR9XGZ}J4F)=a95D(iV6mB3QKnN1tS@v*O=1g zio$YB;d z6aRMBJmCRQli)|5{r$dNB{EvJG9Jq8Bf*W9CVmZVVUL_9E@5xMgoSB@UlGc9IW17) zd)t}b^fR*#bNNQDA?V&&NNMu!>d=U+4 zemIO04B#+@DKU^cpdcEEoQfCZ2?e#AnTqLnNvEQqL@{ZFODS3}G2{ffOcO@Q$2ps7 zm}AVy+hIW%M$z~^o6d{~S_A@Wg)XFySOmFQG={5R36@$Ap39jecZdpFbC9?ZiD(L{ z>snicej~my`QG-gUH&G%;{S_e{tJxvPx&Gn6U%?v#Q^?s&;RG@{~9FoUsDGDOZg(; zzdGLh&q(Hfls^7v`QqUZsW@DT)b8clojwR@8|D<`w&^^?29x~7cwiB_xLJP&I+n^Z zb%%P5!G?mE2 zxGL{xsDEjZntJTh zVuob|>E(Fv)q_bHAeX4Nhy|9VYlP~EUntDlS%*b4!8C%TmZJJQ7VW-h>DpA#FrGNd z5D7zsJN(MQya_r$t&$ts zc11|J1hvz=OAhN^ZE+NeK%@(}1dLCtI!D`kA90~J5$o|3Xdx{)Vskmjv!Y4Uf{Cms z7OA(QVyP|jJAwEaIarD)5OVlmf8?#9jQ8fRMa^SN7J{$^Y(H(Jc;pG8^nYdO$P=fc zN!MhLPN&C6+p0dWj~m0S+N`4tgKWmv7~_U_>`&2*ow60egWT@oaO~Srp))eglEl|e z1$%FR_=U;8jKVQdpNYC?tUdNUB9^H&+@`sKS=CVN-<-!0^OuGT@ZwrYy6&^&PG;G< zK7AawB+LVF@nrdySt7<%N?uQ`>QZbf6e$%lkm`Uef10l4TBM7t5Ky(n=_%z5?72{k zip41CjOJW$t=yAi;R>gngK+Sr9Y>?kWQ!^U6k#%fJ&x0slnQ1;rRi!}h)OkQl#L;z zgs#a_xJidKO) zq@EMeBP(j~qm$I~D#Mpn6iBWn5HnFt;NhVA2gb}uFE$e(~QS+4{&cf z(oO{(U%Z-QD{txI5o?BB&zD|#VWTl41}-e&PkZH{pE$==iNNdtGv4M;>#Byb*6CPe zyqAsrE94m&*mC)K*X8UdGzZk`k7O%D*&(rmjtQyCxQ>paM@=~*6wSsFe|9M0Z&xOM ze2IE4;5NZ|s{`?nfv)T7M8c&%>9Drq zH(v_qhf^IkkG3NwaGQ8F0G<@2fL(2}o-NaPjKSsZwLW^2OOCuPHhcHD6NyyCbdX5! z<`uNRYj{ON63Jx}75?y*wMD=baAl8$&8gnJ8r#QIcDT;2G2+ti}x;GM&)y}I`5movc4a%Z!50D}uW$54zxr;qU`9?e=I!v?_~I#awr|?+n;YqwJaI z`z;}uTSl1;`};fTfK}S?y?=3ilmH1=LW-nNTtsYE6!(wf7r$jU>`;*TEqT?wd#Kg% zO>RN9mPdz2>2Qw6$i@O%>oD10_-Q8=gR(A19O=eHje;G*H&{EJ8b}cH>~XiO{0b zFzS}+hwI`t6J|Q+5J{#aP91$yXBHwwLajxLGGI{8e@7f-kQ`AAV2Dn#mo6W#)q7{JfuL}&I`)2B zJGF&oNZbqNO?@$#Dl^afs1Efwxe>5e{8-rXbNm{73*fk*6r45+KC?6Gfj8ipEcsEP zfc2VV=&E{spGbQ`g@umvndbZ}^i2Z43bL3j+qw?U_b`9YtfOXA*Q`T4{>}wBNn#Vv zU+G7Swud45gqmN)62ZuGB-o=Tf+6yGylQqI7 zmH@j$45a3c2mSBFSVjZQ^R_8vP@?(gs&08z?+5^|D;q@wSKXzny_Q2>5}Y6&A}+UZ z^EMcsL@s08EK+GIFzX$ZdOleyE#i7yYlbK48R98A$>G05u&XW^eSrgSj2zkij!!O2 z;a6C+mLbcB)?VaewmPTRkdL~QXUEdc&wT@ndzM9n1aMsxSf^}n{XU8hF0=R$;=W0= zKW)Hc#Rq_3#35vY?i;!i3n;;1s6CHu&|{Sc@~Ht~v%B3?u~pK+znu?iQeYJ-cY*NR zaKl5M3pk}++%#!umPbNL(Xa$X4u=hQyQo6fq*ASQP%(4l4S?Wda6>|#8@k4Vv*_8J zP$<`H83Z$+OV8r!dQ`W#fY-m$iMxy`A5hJ zBUGW_tLa$<054DE)#dEF*BfzpzQ7rh=bV#^JLaLM+t1H8&;z{JsHOZ?tIs8$dhU$c zFANo;s1H^pRAWkgl0Z0Toh|k+AAN;>t?h4Fe;Pt|;Yo(=Y*J(^yJKTguEsIC`=m2c zVe)Zk*#gvw#VlnVBfL;`U+E`&2evsWM(NHWTTBb?8$#@ijVN9nflwtt6cf;W{`#jN zd>9lDG=w|?f8VaI!TfdCPV=`MCb0ti|-nZfNM_ZUK z5lS0qKhuWO(^8f)+!|!$ZzGt4rFS4Ql}Wwv8LS@s%j;YMhNw(q10Jy}N|H|VWtuWv z1xcSJd1z^_K>8f~r)Ti$82#CtnLw)HYg5HCTe#)3NM-R?{`_KYnn$PptKtd!Ey&c3wl^yzVVIq_JRxNb{P`72=zWvt8E8_}%4+uC-@{GutBtFZJ?Q3A6|w?HucI^QrfSc%FdNA+ zzBaF=9+~04mCT+&AS-C7VdYYu6w2S6fY-nt8+TKcxLE=%?Tu^9k{o%@$2HpgK0J5S zN5QYgSb%+}dixW<+IIY=%5$Ci9W~3!k@6CiR0CVc4*j~xV8q>!O^LDL3P~IN2z)Rs zW*N_Xhn%6ki`j~sCLraa`tJ2@YsLs7lVNNDx?ZYs+xi2?EBL0{IHs8~AdoJ}3w zBW6xbHD`KndzXS``dNKtA*O#T-PST?_gCl_%dRp?5r73~72rCPCotG0@jlV5<=1uexkA6g^Ss1u&u##utEa>l_rwORwsymxR z9!;~`F(thTyi7(+>qIXjH~u@DISxTmBaTBi9vG8-MU0XN$hpU?MPCKI1R?=0=~u#A zPpXTYzyiht*BJ_u}$7pYmV%Nj%pP*;s9u40q;7E7H`1lL8}>A)Zn5JvtFbKe{!+t+N_Hg4PQ z+qP}nwr$(C?c06Zwr$(C?e6K{_vV{7FXGMoIWZ9xbt>q@i-!a02BOP6k#)%WEZdU1&i!?jBjfXcq{ zF)qU!K0tK#nnVEDrMIWDm`f_a!ru%>o@Y%V1xlqdol@SQU}v4;(74ExNeJQ%o-(a zLx{M^I~;;2X*#*a6Fh!lwnblz)wK908~Z}SRPI8}w`25A&H&$CE;Klm42bGVmpI&h z4rKp|#y|%wcrd5ex@4lvBk=4mPMbb^d=3aq(t_q;avr+hSY23b3uFG}+%hM6#_0>K z?XdLth8YwL)R``irGqNLGJ+n{Qg~eQo*}BuT7yzU^X{hMjRCdUC4662JY=Xg6G;9Z zLM+h`W>xo9Uu7M!#a(VhCe8}v?N?Ps1x6FX>0)Fs>9DBVem1aKEZ7Pmsj65~j|ih2 zb_D>izo1sltM;G%a!>Zmzn)mov-n#_xhN-@WIxLik`s^B)5G5@3x{7&GAZYKyWt_* zsMk0hU!e*0riGPY4O~+u)Wd5s)>Onvww)Kq&4?RSGr-mwAMV*Tb}Lc~ z#?YoaEqBR%9$Ka}ZmWyHIiZ>`hQBCNT5d2lG0J0oddkV@(47j}a0Sfzl#U=v9Ks=- zVyO@t$9%eajw7HP^pU8~X?pztdiSiA52g-h>Pvs)dP)ynM}#)v|ch>GCv z0R_M_+EQ@)Qy3Zf1-JfA8mJ_s@l$zRDHevonQcJbQB=8^(&|lRgsR zyjXifN&=M-Zl}E9&IaS2p5!cr{KZd~N)o^Z6_U!Apv;X_wic=@xr^t_Vz;KE#y(m=iiV%*hRPF$j`g?+~C7{tSZNeq@}332|^wKJ|e+8&eIfZVB3NSt2o@ zKtA1$p~Mm>GEPsf-T6rl?2SlgATr?@Dx+a_UX=pB|GF6ds=#zgA4SY{b|3#?ks%! z9J(OoLF778aF`_^zah(rp04cEyd2d>XrAQ&m)~4|p?0Yj92ZE+%oiy^=;USY$hodL>IGt1|g$9@|~ zg_bPqizoTIaWmF!3)&P_b?EkQ@}mQ&ujB(%=hD&I0tf3g!_2U|+*z(M(2@dnSn1z3eQ`elrM!?#-4l7FSz|s)(?MQ3EB~;f?=EHKxD}8jCHBi#| z_pk?Q^6y?pL84CPWZ_(Z4neYtDFqWL;1|@n#iEb^uA|&pHT>nRamq@H zjT)D?@4XMBEY4fSPQ?h_!roUovBA4epL8Anqq-f_{ufHqvALjI)T$iOG91>~I?}C` zNN>pTQwf4=w}ocsrJybEdKjk5yIJ{R{6zM; z2!@z<9uTU~KXn20pn-b?0kK+(Y931bY?7JrE|Gzt2q~pLZxMzYR|W&!ntEl;O7}DR z@VLKC|3r|%pyAFL^DRc;-I7IJOIVNnx6AM9=5cY|(F&dTAlg&<{$h#+BF? zxY=xv`A6ST!HZQIb$>u5@VCrBoZv>3>bxl8DF$E!TiGOTC<5AZhUVp#v+x;beT<8~ zxDHdeSh!FQ@Zl_GCU${*@h?YZ+VHoE+9r6r!9}qQro{T#3VG89=_FCJr`j?>ZCOA3 zHh#ZrZjCrvLCvI;0RTL&oE+y|eSRS+8jLzGJ6C@6U?^%r(Rj(N)CVT-pifa)&rYa? z?U&XFwQUe|sRu=Z2c*!cDXP#;(|fe3qSEy8urU~nQ&C<-Dg;$X1plyABpeQ4tJ>4< zdKNlBovK)ZB{C(M`)qm+`ykrkc*UVCdZ|UlC4Bj~Ni{QeyDh8XWsB4Wj@rZ8X_-aI;YrY$AWSBXUd>;^`N5U+tp3xuazXm(w>b2v56y9h!#w zJbmgh5m>m$;H(rs;JdQRyiu>%r{UQq_VdZSdrL0!eLNQDPgc2C&462U?IEjw~Yge!KFPLp`3lsGku(F z03^IMqk@2lPoQODGfL?t;Yokd)5@2-g)5~95$LrRx-6CleZ(vyr|hR7743)G@!V>8 z-4Ye}Kmpcr*y194Oij0l>DY#=NK)5tk$BVuao>J)noT|BaN&63#}3a-OO(L-q0;HGs4nIIDiWV_F-bZ`c33~CT=i~=i=pu`YrRF z7}db=?JvUUT8x`6hG(|JI5zT`qJzR3`F_^^w`Ym zm(&pYLWq<-A>ds7Hv zE!aF{n%25Ukpq**E6E3Igt*$9MX*5&Tq`^5VV0RAi#B_P#jJKVYIhtkR*jK_t}Pgj zz7w>|_csYjlmr||XpvwfdW&fw!nG-4hY&eE@SWX|O}IdA%ma=g@X@`zARU{@^n>2C0;(SE>~bt6mOZy>6f%A@_Zr!3utfEn!wS zuW)ZnT5-ZiaqZDjU7Yr0`Y2;Z_rI>NOG`MJZ<01z$r7hR^sH6>Fvgh&?i`xd-`-29 zj_77lYJ6cy2`OE6x)1@g9u?w&Zlt*vGJo^;P}(Ti`MDs8Ayh$R9z7l4F)A@#$*szD z>CQ3zs?L?#JC~sDS6@pVgeS{ceTl?pyD!4^{iGj2Gsfd~ow^ui7G#dOPOH!R0|xCs z-dcX_sXVtK0tMYcS5`npr{*>-#@X(4BwdNzMj3^nS+W^3%C3WHLcz1BWWq1EayQCwMW*VGZ5c)OfRX|N>n5IQtZ+9)@h{d8+wlX-nP!Dgt>NVdrG;# zKtJlx}eb$`S(t5S6QgszGiL$qKRjteUCkNfD*`gM*m=4TZmk!H?4N}<4;w&WRGZ=6J8|65S=0F^K z>(1YnN5K8YUyA!MtOW++m;+#79aZQQ2BT6{eN{JshDeC?;>8(kDeZHLneL`E= z8NkD#rcz?0{_$kL;P*KJrT61&6v4-9f<@#ccSes1#?8xXU{i&{ck~Iih>&!~J5gdH zV+UfvsN3Cw)B=N&wV(*|PK&j@4YUYSDoC>%G7|@25wbSv#<~krKGetv620Zrj`HD| zs9%#xTM;QW4xIT!MV>EMHPM~dlyiiLa6X*dW&l5Y;VRC7n~3d#VN!N*hx}~q25=d% z2`Qn6)cf3Gq&Czh@^q$Hs_7IggL?{+p4Au=<8Z);^+Gjib&Hej{i&^Er6tHuTBi&B z0%&rbBJw!ibaa)^4}Tqg$@9lrSld<(2>Gip)45j_OLkKCyrUeU!$~xuK0K!EPg(!e zfpVOsk;}SDuH$$(vTHqbydtXljwL~ysef7jQOA)XmPrbIKu#5U2gQyqkzTN0>D9;S ztx;;X!0d-5y_<0N(;OrOZ3#NnhGO9HJn)KGkFldVYqJsB(l5WsHz5NQB0NX*`T|^P zyPlniCIN1hupb>sq-G2UEy;M2mmuj4gBuckW;i6T9ugZyqtI}~|9BH(s9Gds6x?c$ z1=vebJb0AUYL5ii3p*1g5xy;469(a|mmJBZwvkmm3{7nw_3RJdKEM>zH0kjLW{MJa zh|`tQjjg1gddq$i1Y@^?50Cdp|EXlg`{BbSQ6p!hd;H~;4H!~<%~9#^?M|F+JW>(z z>un`gutO-Hq&dUerOFw^)wbqf{CmdqY|~T!&x~N7KiLk?!k6-Q9(`K1YzrcNW+B0A z>st=Z`%_QJ*>$BhPYf8L*Kl*$qWT~wBqnZ1HHcuGitMPUxM^$0Bf&~CtseWCs~Z$)y8m)# zPXHkGcX!czCP_Qbr;fh#PknAl7-Rhnq}2}|7m=^s3DX?P?7kp|WB&-**6bgUmrZzF zNseI~8is<~83wHgsMmDt-7OJQ;!9|4!Wi5xskmv%cCyv&1ym7;-)c(4okj>P>9f(V z0l>Q5DaNuVyLtzCthU{mvp2C`2)|KFAxC}QpJx0fCHARlBWz8lg}Tn^~UszqG&|#1akq9rWEvd zFi@p~9Sp3*!CdBFIRarY!R0(SKe%X?QZBRPKtnS*!u_sbC~`umg6*TPHciuP=m5$P zO#-YoWh>n-D)d!U;C{9`RtY>}cZmZ1O@1oSp7Rq^#f}T>`e1F_jPM zfQ)t0fDl?HX2wjIHSMs&_OvL)1$hi(HLpy)4bWA(rw}fBau&v|CcFpox=&?BzaoEx z_=*OwXo%*ZI;niZvkg+WAg$!iZ&2Gx+n#|d&V=?HKo%RZ^{NAy%UekJGUZaJWhmoY zVl+=YHwHcb8b^5Po$NNi)5REv6}G7<%?=buNXR83+W5b5tgzGiB&U+(DNfAQ`x{nx ziVwTotUHBuRsO@(%1=P+xC^zCdobli`b0=vP-;`%?|DSP7`oOk&R-aF)_`hZ>Ia zESE14IrymrGIVg&LaB&rE0um{r71ALMznDIyFm_KjsQT zRv&hPvDJ{$fGJQ_<`6e28Ns{<_ep-uQ8vNa&1KTLsczFhBhuW(T`#8s$J6~9s;QT9 zTxd3W?>dkTC478@=eBv4Vq?{iwVl!qt1-$Qo#olxsjeL{6MyynVAHU=kG{M^Feii? z%UZ+X4#aB8rv4uIzQG8)d5tKetz{9lcZryVzplBJ&Jaa2GmIO}Sr5w8g>Irtp}VeX zEK^?m4(CWpgY&h+kT;j-3s6^n22_w5{N(8^{XQg@{f6RCpzRsp=l96YmSSLe!Ne^`EY}9Gx}=hn=fj!D9A1LZ+{UTQ`Sw`s8mZvrKc{yalF6GhlPyK0>jXz53w~-PrToA^{J_h8*7RV&7gTx%r5qZ}arr z)r*~}f_04*Uo&2HrQzMY#GF4Ag%9`i+&iJ+4~JUHXMW3=kCU7Y&|e*18dfgo?tZOO zu~4e_3v^L5p`2cRu4Xvr(b#YAr4g<$>%ay_%V*|y-ujW<3s{4AFs_VkG}l{GfW#DX@k`RCn(7L~E<~22T+d$@5O;hkz41Lk*DL<1MX{{AXCQ&l>fKt^q~EKEP9YI7aq#bQlAH7Gi>I7 zRLri^*3whRJxdr}0*&Il-SryQFO=P3utR^yBPh0oE~l#+5vfhQ4^xbD(?of1ddp_? ztO5nTMSSh z(;^FMZeerwhO$Zab>_OY;uT40r$r47$cueAg&VrM>3?2Dyx|(i`|IN3psI&N?CIz# zD$$A8lsxOUA9)P4SnKdD%}^I4T`T(rdAVl>+W^tNm<{>)d)5r9jgDg$W(rd>!m4{W z+R%E!&Uq~mN2)#$h;xAlI|Od^wSNxt$WHN}UCQ|iKr(GZjQLfDvt}fqC+T-+`Q1n! zKI`%GN-%kgzrNcDVU&n=M-UTmR27yuYee^;#Y$#Kf7>WwOzowTl}{|F@3RY^8;pm# zMsHfg@xz58gBpI5V?}QXtpD2Rb2_PWR_RjBJ{II;^~O z`FBW*Ie>CDzY#^Kxi+|Sef)-tEQ{%JQm;s@Vk_7Gpvp<6?v-4kyC|pSzk~#R;(GbR zqEHY8vQ-sdZ{1i};2U<+M58?6u936O&EsDsu`E|kWwy07G@JA%1U5@i+YihJoRx11 z^6FDIv#)tjRkUE=(wuW}VPCG>7d}6#-{R4`)!5(|df+c1vDjx4D5k?9UFxN^4E~C$ zhVQc}$gT-rhj~}+dx-L*c2<;cNUYjL1>PQX3;X*V8Vbu0#&Z^_rVP|e^;X90N&P*O(T zQWO!@G90bv-_V~pHALuw{2sD+1YWs@>`?w_BL-4V~^ zlFUaIjuEZ47*LdnUsQg~nA8rn_Ea|q5Snf4Nre`5)ZT--7^7C8CI-Y$8WQz0xu>%& zz?2#dq^)-PJ91W;N{FyQu>6sO4_r;}*cu@)b(`4-40H%~cX@d$)}N~FAFG;XNKtnM zJxv{?e(uL>xFw^G^f}%Qg(oxXGtH?=zpdIa24(kKW3;)K-y6;xyWqJNxeiI}dUl=r zJ$4dp4p~Gk5Bnsr%yCm7uR}^;CE;4^6o=G4sNrT1pbYoSNUeTGU^(_d<<=yt+PTFN z>j%rWC9h*Uqe@U-2379F>?u)s^>OI*$AhG(Jo$`7%`(pHpU3cUVV#$tqzB6S#TR2r zDrs3xEKl2(2-=ZVrqbAJgR9Qm1j??&s)JKC(#&D?2oCn;wk^IXHLqQpmv*9&(mDZw zgSvonx$Ol?d>f1L8B>G+Y=hx?>1^FqVQb3~+&II4zZN+%h4=c)Qc+?6%Kq=@vU(hxY)<2_Q_k*K9L&^=N0cuqal$a(Di zT)AEZx1S9~JH;G7Y(a&qj2b^i@?r116ku0o)}0l9uw;;~7br64aCyE>21T%PG6mF`zc-_Z-=MEDAiwUn`; zey#4lm+Up(zuiB@HFFEanskaAUWcq&u)WUw8f`DW?+>j?N*{8?yZ`F9rS5uitKZNJ z>@>AKdZ*<0vpY+Eup)<@>*=HyQXm+)5PZA0HTik^8G41@ne;&AX(sgXT_XN6f8Ukf z$?FQvz+;jD3`$!c{8RAf{9PN`VXeG9y(wVIAuIKL#iD&~nQ98>pF>9-u#s`hdf9b# zxI13-Brdc@W0@)f{#YwH-K;3_)!F`ZIE^$u-8bi6;ImTla2njr;$|)I+)pBQZvXUP z%)dI9I;Yq9L-09d-0VwYQfr46seU#;&FN9ct>ZR#d77gEIF}oHYCjo%@^kpPX!)Ke z;0F1qiT`qtny&a>;elx{`}(9$$F&sW#hXLo=8EgWp6}Nv^yMNp?cd|Yna4r-{1f|u z)2a5hQ8k7(y~-KWWkvlatA*#%o0}>d85|DGJqM~c!~%(fOstcW5=+V-V1rG##%Fhh zk96PactubD9q<@5m8bfGg-!nucvPDRWLmf9Xr(*B+6=AZRn@_Z$2#wa!n3y2sWyOH zWwX(qE#Yz3eoUUYq82+ha@=Aqklb9+@Xc;GP}DP`EvtfKM4{SI-PyXPZM9;X=-v4t zy9u1nq9kaVy-ex{(~hz&e-HqIh3?a*g#^Q9aI~>sNGwO+%>a2_Ql3>jlViBSoZHdf z8PeR*b3@T?R5!uj8-#6tg<~ue_m-oW*z3nQnC0me*D6=>we*z>>8ZS_rjZkKe}87& zd}X+DNg&%`y`>rHOIP6HNh8N`1)b|lx)gjd5F_B&DSRh>0d2hyYfYVrs$&Zu%uJm~ zGVHS>ac;M|l5jIEMe6JELHiwOFZ$mANdM+8W@2Pxhx+;apI!!frhl`x{67GY81Vlg zgHeE@6=B4u{|~AZT9N;=-0i3pSd+!kH=BOK4L6h*9ifKe$LQU7{ApG9&T2*94?(mATGoH9e~ z50B3S@Ugphaj2=t?6R17ws%%hbyil|rK9TIeHJ?A;=-(iW?Odeq3rs(qKuZhSPws4 z9@(8esaw1iHB5^(Oly;9j_LSe^nO!$+Skl)bH0t&-RWgnUaad}2&})p$hMz0$y5Ge zp(#xX4PhC2^U-qYg}0e@R=);^IR8 zLXsCio4adcwMpyh2$9LbNi}mlP^-DZ=scd|EVt0eKTDg}4x6qW?09n+4N?Q;6`1XUsHe9qGV|g1 zBCtP2Xiqw21f(k}KOLYRNjW*7HcM<6)%TmBkvNA^A9bbm8 zX+>h^neLX?dHTw4VwV_ZyNg4Xx3i+`ej#I)0ALf*cV{{gkay-Ba&}{$42^T~ruZh{ zm}j#TDz`sPs*0iwJ%Iwp#{SSp=x=;}xG`+Hx1(0@Y2)1~ed(C5cJM93=ho0F%SLij zR?R3hyYO1|87czVcklE!jg(Z5rIOrLL`6P0&f;WGA=1>y+P#HpXE+chT;Y#G`ZQgF zQFe?Wq4Jx=y&sP1x;PC4tK4`EuMg6S>lgG@DFdXk6O6~{x|1x3@N#Te4U_0|10f+T z1HLiKAhZ1yyGTxAKWbLJd0aZodWo#Qc_sA6w$arOeaSp{3{r7&U8aT0>x)*h5wH_4 zQrmXwM6|K3M%>)&AIReEUg;oO-p|JubKE(_j`j^o8neX7O`m3V;)d*=PTw{9+!YG9 zi^pok)*RmFcetJsTE9QTXc&Fj%Z%LtxR>*#7S8Fd(Nfe8X)CS1b8AD2B-==VF5<2_ zXzaPO$g^79#Z2W7($`}epKbUr5*OF4txDt49X66C)f+}M&bEvI5{S}Cpu!l#72lc9*`E{lE{ppPhot(+^=>w!ih}W1Z(TBfM$CJr=IV_dHxpAciu?VKUGJ;x9wLyfs!8B{Xzq`}N!7%^wI6?iHiocI5)R zo5@!mJ74}j{!v=mP8E73SZ2oiDU<#cVst*DWOF+b-dJOpi+HMF#C0qA(Qua0vVF5iM`L13!df-aO~^cramjueG5VXrBAb>XTEa~- z9jviErAt}$^w@OXI3S@oqK>*31q0_2$HC%e9vt~MYwit*_lJ_^!_15Kb^-_b%iWjq ziZN!+yl`w5l6e(f#m-VsI)IR#A^w7>1i4MfrYV*tl|r@ zWT!PlXq@oD(q?JNll zso_P5)ll~77{dyxrxKyu%p%CL3b7cBs=J!=C8VLt!UOD9zCI%;K$m9;`{R+wG&+&U zq^_-r>8k6>Mj3dl!wwJ+k(BdT0*B(cbqBR z-;!km$|Wk>ap`mRxnrP1NwyfutyM1NorQF+Pv&giiEUet{rZ`!!Sw4w{FLVuvzI+7 zE)tn*WB5Acz^}%A+Ueo!-B0bvMRl_WL9|%*)gFBmC`aOh*}~uZ^&?Bbs9o4*RQY2x zt0X8pqp6d=M+wBwK&>hpo?RMppKkU`N>|6{&WjB;Y87CN-weu(&2eK=<@x1ZHszG8 zmMZ&h z7sk#|4!nytvM}k@Kq|D`5*G+8K3j$;FJ~^sDLU)Kx3JNS$TyQ?7+uCFx72r)16aGe z$4D<8>s290yOx>B$*fbV2wQ~P5-kYWK0Kh+mi>+d(bX)B?g=j`$^*?K&4{)^p}DfV zmTk(G3d`e&W<#uYepc>aW;nFsKJFMT2#%y<(-3;L$8Ntoh;IqFmApn`LE(>7qg0PQ zBJiMjib5T_sR9A;ZUU)^c*JVZtEaA!d1GGc9`qoSVDN7G`~5#6c1cdMcZPxBPvg6E z@y8w>~hW0|+~2Gj+FjiBA2h1`L3Wq0>y z;2n1`Z4J>5CE94 z4!^$)Y*)mMA13_<1RQU!UkP2I%XPdh3i(HN{T6n;9)fMDnF!6Y{${5}yE$_($GrXQ zqV`IRLuh+Ah*;KH4sd2nik@?XpELs9%9Ih`l9bX3#+mRyA-5~gVemVdCmHu+L>ql% zvA#}vPB7M>Fv^&-nyJpj8V3zS5t(a?rr@5?xZmWduz!9FfF;&b6Y;t8%Cx@*+(I1$ z7S?VPS0CAXjoML|aZCfX>wtr()j?RapC;UfWg){)=I4Oyy`=%09UD!~cA96Fd>Ae$ zI?_w>Fn^!HxDav))kl8TpMHducFq5?$*6#!=^bJnIqLP1ypP4+s)id8*tc|saRXoB zO}v&>3zx%1?G{KKK*xP(*JHX=p{aQhdt`;zp6r-Y$3uKO6!C=`I zU1eIlU!7Q3f}i{;WL$6}NGYkhqmAMtC#frnH zs}~_T9EN>tbEHYMfGzA3@4ZuC&z?sE z&dO-7CaT$V73yn$?azx}C?{!qClS$FwegfJeHQFX!Td@^YVz2eO`aDZ=n^e!6&f6R zG0&R(GmAldQ(c6zNp^WY1lTADuqM<6n&<)NNTRHuPEoPU#~>&eE%@Np-2Fo} zdQ(6w)4#N?F`DoZ1?a7E2qL)T!Q}6?pd_s|tN_q@w9TzuyF2fDJ{ zTkB00*%|SsGP+3UKM{@E`j^Vvh&WNxuh2EwY5~Hw-)|&8?(6Bn!Z8CL(A(qPqrO(SE=0P zJZxLpPVnsRGUCm{>vI{hXf$%gZUW{<)n>xlmpZ*WZifsndb=^$iZird!fAh`Vx!^6 z+zn!nnRzJrd)lgxgi|!yjJ+i6T9QTC2TA0k6F%epeX+gpX5ULR`Tf;HyRm;F+=8wV zdQ%H@*aC8S+1lB82(>$_u@JWJl5iq?jm_dEIv%|V*W~^ReBw1U*=CBC=4c0U$lCGq zB8w5t{W$bo&xS{Ek~(rr3%$S9x+*u@@#rJexLAy>k;;Qo|80k)Rl`Lla<{KHsFiP~ zFj%hqUxPR~f&p`_VKyMDyxGssda3)ZK`;{he?xVOc*vvME@Bb~FTr?mA;OB;qb z{z^lkmP#BWB*0Yj~ZoiDKPwOLE1|IGnKpiB5sms^~Wfaa5VK^T2riXGhfW9XE_R26agNV0Ij6 znm|BC(Quu}k7OtT>1u8(U4J`3m7 z{<{**IJe7hsvdILGX~CBf`=T)6&z~GQ8b?Xv8aU!At^K_SH-LM1ALonT@UAPS{upxj9JilvHO|eS z{=>H*RIZ0#0?CHuUyqE9!`u(GOD)(|BbrEk>YZ`%*i1aMTLXoafU~LgS7b?Z zPvjSbEXG}46k{ZQHrrgy4_~%oIR8fW%2aRh{YymqE zm?Nv+Jy(G8FHVL63kOcSRi_UxK@kN)R&36nVDmg&2M}9|>vbyyRi0y(2W_nPd)UG~ zOP&QMm2-|+nJ%r{Z_>evrB5Ud&fi4B`d4a$6ut)w%q>DEN)IsWcC#(dqqG>w4imMNx>LDGqWq`zMK3LXk7pL?BXONz?snW+za(S=JY}v2#s?P zf{nq3q8;Q-**U?$lF;PcbNM?brHh#j&Z^^bC6SI1S>*I%v2*1{LHtaHDzy1Sdp^H5 zhAni3diW~b&tbe4oPFht%?S(z2_?@{XUAha4?KP*EdQQM?jZaQtONd>-+OYF!6`e>0@4j>a?=~#M&Ian42pF1LTOaA#;wd-LV5IF+t3BaJ@J~tG}a#!}83=_{ffR`0c}obs;m}l;86Fa9sBM{GiJ*;(1X-ZDof# zNLE+at0^?_LA%VCn#G%D)+an4F5Yd#45<0KQA#c0kE^xOCFM#khxp0(x3Ruqi1~m1k=ca(2BbZ2riFX$?g2onWQA5;=${YhVaX*$|ogA2~c}J zw8uyaT}M{6t42wVr(FGUgJACbo<<`@b;Z!nPr^qN{E!7H!gfDm0-f1HnfFZX@38DiWye(- zYJh%1XwWJq87qIBT4jHY+Dd%N9*00FjV=*WP)B6A$Xm{?475r?@384y1E z8K{~cj?wog%JWZgb#(mH7PPimF?ZUNuVL%vIfT4qLxi*sNkIZ0;^l^CJQOF>bd4mT zgneQG_I{XTVA{{qGF%xWFSY`$zLO5|lCp>kmD#pxmL|p=L@gfrFGL3meZO$+f0wG0 zm|B$D{jA^i3C_0>2sfKX7QlRu+^o|F@HwJ#@#LY4$ZMaHq-eB0Es8QKGtOFb#XPfI zd1UG1TdJzz69o7`&ciN-oI6*(De^<_M$};ic;HRyiU6p?vP9NOt@@x(yOl9Y&2X5h zR7+dia+n;mS-8l-X3z=++*bGkFS5?R1N&2{*{QbgKVYr;`=Yw7>OHz9lB{l*wccZg z^#)cAN3JhM-Ef5o(eY%BL&mm}7dEQT_Q6a%f!0+UN}CtmNDH5;E4g3=PAB5ASbwOk zMt^Fmqnt}HTNAn{UdYKi@9ZmD2nIUJ8j~!&#$g znUz%C)p*XUx0(T0>Q`-xqRJyY?)9bR#u&BHG?#I7BI_gIe`vPe9RsaecwYZpdK1py z@2Xw1%zaSk=b%+&3Vo0E@B}3-C~{{!K1kck@K8&%8mJ|S5Q`2QzI$E-)@HT`^knB6 zL&f5cj1%&KUfCq)P^6#R3I&H(T*Cp;)v$Y8R0G5-Oy&Bq5#%Z-&T&Wcqqy*t3v7`wt>_EC-hAw ztRVh{FW%X6AZMc@;!f^Y(8#93WoHc!3Eb$$eTXRJa6{0qz@}NDJ|vS6knDgUO`w>)91bbldZj6*T`U=W*VT$c4{5e-#42YWRur-8{}z(-%e!L^gHZb3W{8xa?MPm zL<6$3g82O*U|GXLHgvkl9NS857-A~l8eyCTi0CRrO6NC!CSwvFt}sWFLYsS){jKei zDJS#nG`eFM=KxA#2ut&w40DLJg6z~SLm9DNAlB)26_13y|0QF!_7~Vx?(J4RNmjG; zz70U)N_!6ebbTy2*a=OXIHb%(j-$ZK+pLpU@Mc^JXDZIHTQW3gP&ei9A}o%|O`LO^ zd4Hhc?$&*e;n%cB>Q+EvZP#@3(&7(rh7KxyCc-=gLgk(oOI&$TR{ z1|~-7duwgmnORRh@p%~9CG&3w*Xjzr)lS(;h%oJx2$In%e{ z5=0>^jIDuR<=5dQYR;*s;}d}fq<+3K?U`P(pRrajZ2k8FmF-J+U%BP(%9yvOj6TD# zDy=ZW4ecXBa{2vSPPpA2)U6Sg0#_4m@pQv%^POxyp07>SL+*E#G*wem(zaR(xvW1V6}n%!yt6&wtGSD6i&s4e{^n>PXmxGg3A<9WDzPRj^X{>k zCTXYKg19P?f_OJ7f>&PyaN4#l>fX#`ORC2Qg^LC0*tbUu z&=;ZQFE3Ii`qbs?!^d&~Uo*cN;l2=0e1H8ed!%f1sB_%aN%@w?W-7NgT6W!9ni9Ww z>;^t7hG8zw=9|>Q+_31Obsvt*+S|fozGi?GQJJ78JQ!~#>dVv$<_xGt+cY^!y(uUq z02o8A>}q6CL`^!KXh^}TvqN09GIC1t@nRF320tHDJ7D*V4aAIQ79N*ipkgMR3aXvl z)Cr59hDH769n+o`JhtXk71Cfkahyj&hR7}fPv{4x~03ikd^s#-9Vj~YnP2eqGJz|XAt_cV7`vM@g*=}^`X$41JY^z|~B#0xoTq-?+1ht?yZBWYPkMyB&7stQMwycxZlSG0prb@YqIBT5t~`5npUD-EoQZ(HvzDu^L<}f8pqxQd}b5D6VaJ zDyEmBT#oe~Dz;v;d$kEv_%3l%@G!O0X{m|AdjVyf+OFNv!Pf;Zwo(>CMUQHoqZ3ki zHCau|;u2|}=$evce{J}<)R3YBA4iTheRo(&dF-K?ux~6fM`bFus_}jge#oY_3GL?# zdQFYm0#c*)Sq21=w_YhTxT-we@k*PK?Sw_?OSxJBh8QoUMqb6H%IL#yZ|h5iny=6q zCJwBel^OV&!+u#Xe)4u2qDOZ`k+-4#M()g+`&b$=>`)3NSDi}u%CZo7UFzsWc}Bzy z6QcG5v11kpcZyTJ;@eB8)mgtGxEVj}&%#F@m z=0^Dp#~ap>U@l`(C(mB&7^gu#yXG^HWW-U9%kqdW)hZp5^Lnin?DWZj3pRZ$%24gC zSm0ul-Jao-5UZZaq!ER-Zs!vKZ>b?LHXh4e6X&CTS3{3dY{6`8Nb`A_8rP>aq!jK{ z9|s**tM#@uZ|3Lipr>|q$~@P&KLEaPqaZluWWM3Sg7W*ZAoR#P*G;CG%dH%em9e# znLo*3&&r8{6CPXK!8;J(&>=uEo+d z=MS+-;M|5?FI8p6cl=|7NAc7Aa^gsAW->=g$2k*^r~tR)^q%U++{nOZ>##xCM^x z|#_w3NWZK6F8NWh1G0Z{D!pPz}!{$HMnnq8+GLCqgd|g;r2G;Fh#n|HBM~hccU56>)iz~ z8{zz(`W^${ca&C@DwcJ(*3V@&0m4u2$^=RxzWg}A*DE_}uOqrHVOcreE6$jf`4Kp? znBY4zIP29aGWY^p_UsC)(w`A|aUYj#-+!%h_4>POxY7Cx6u~fLS&?(JcDjz?_@juA zm!067eMj@#nHk0Kjk`^Cn)t;sK_y2=IX=(3Wx?wMjE{v#?%+esC$T?7EjVR~F20HH zEp3O|=o9)3UN|YpOjlf2Y5mN&yG&?70_r%n+cePi`W>U~@#qh;-}<-fcRL<37fuPP zpYQ{gXz^=qFJ|=T&5$M--nI`OH@=o=XUJfy@=h?gb-h3i-E`7Hd^M{mi_+FK^h^i& zx6oI?ejAw^lIt(m41%EicGKm%B+TX|Xt&}Barp7U}dEAUW z3VwYwcz(0pEMO_^bDnL^BhWglQg~hs2(NVrl(?kmcE&QR zQc&aadaJ#DitACjURw2!w#%mLNQXx5T>Hh{ zgl9F?rnUx)=Kb#uPe~STb6i1icE>I^l2>OocgrQe)MfLn6&lk+n$m6bo-6aj84CU?% zIK!RW>x9bak5@<4SneGb^o_~aS1;lBV~YEKUrZW`n=kbbTJL4`MBhfVWPj+sE!y(C zHJ<6zPwr=7=WH3{X9=6yuhDeB84kR3Y;aEy=?~?Gom*K-T7TT7P{!9=7T*bZq@DPb zao2S2MNLM|4ec|LxNwT~h?Ib#k2^{meI;VIETYRn!}_;5`+^819G_LgO;NwKdVPls zZZlaQU1vCtw0@`AFZ~+z;Re>^ZbS!RdM%-fQYd(!^|s9F;I7u;j<>sjBJtP>G3 zg5%mL@~gKK*TZK;!V~xErI1V&b0&OL%$+eOn~2~~osoP@jFiF}SR_cQF{e+*_~H4O z%*hGfq-FM7Zbz-cmI(3Y-87}b32_a;EE4Po!Mlo6Hu8c_1C6_1lw8kBDYbO<*QUvZ z;Rb(Dc^P8_xzB?6U(jz}qkjM!q$HZ*fTeD#O|OzIanXlLn&T%d#hJ{i*nP%VjAYrByPqkN*Yer7HCsP5 z#aB?^)fLE9pop_QwQg9k1__cro zFOuPCjv#Imy1M1FI;uedT`()YWHjDP?c185rgy)5Gg{Ot^GJh&p9UORNBHhgkgVE= zhW&Qk$(}m1YnkE4zlG?X4z3?qw7vlyqEN7vzyNehY!OPMSlkL9UO5sfZZapq~)MpomWA6loA#^Fk(e&7p_GTi`(OoLdM894FG3 z0udasJ4Ly2`{6-9WzjI~0RG1R+%??iG6UnZ4G*#Pn0Y7<`UCDFnQmcB?9NUna5_ts zhJnDdE{e^BnwElH$s9f&Sa8#FKfb$b%vU3(jFt&`{2yB@qtB0ewy#f2Y1UF_>PSXI z(j>G)zt3&;Rt8%8a*|E|aJebB3d6gR{ZZIB_Hti%oeZY|?W9?Y6m^|S= zSJuyk{c*cx2r~FCbFn-o$ADgYYZ_;(*)6~q^$0gPH`_5t%^SsarU-p4bWuglyabz| z%8L?VVC@EZQ>|n{ii$Of8}IPoCC!gLWBo6-ZgHm!agMth!-O-u(~w&4W%npGnM*#3 zIhUAe_l`9{i7j5l24ADdkk^O}XhsVC-MA?@ zDmNuI-vEbZv-mvyK!TPml+f^Dxjz05a zGGyza3l<*ac`T)8_`8-mcEy{F>1D~g@e}9yhHLf9w~!+*hnS78Mma@Z^CvZa_5~?H zbz&Sn%r0i1^kXsdbl3=6`x8xF6IzTzm7{;WzLiMou~~++09(AKWhG|eiPcV9PP3~+ zpvdpKJ5exmjT!_#TwZk25l^~+fa_-XSPsv|#;0>q;Bib!{V7npvNWxS=zPAeGr!Xg z4^oeDvlw{5q8m(~)Ejc)9yv|LCl2=afU~5zt^df@eswlRQosIcgZdNF{uoCE5^yGe z1<1Ilk~4NET#g?KTx2Q8@V8Bxoa=okyDR(&WtW2DKvdvcfwnRQF#iZ;vVaLB4+B)c zkGav@_m>#y@GYVjxJ?%q8})_~G#Obl(3YZ(wN>=Le4SPxCi>!;%d)F^DI%|G?^wJ# zdUm;)rJ3gGZZz*Y)N;GimFjSHYUI9*#g5vt|Ha(L7-J6J2J||$l(XS@gBg82{N$5E zph_ol+psODTwV3!Yqy{6!(p&%$0{L}8ym;JOI1+XHHP2rrZF0%*J%y+yznaB_G&?_ zN`Gx(`?}pg>E?5Z!{Gi%$MG@y^jdny;n{V7#3d9tB4XV{S*u@c3)M$_)3kR~zN;TJ`4x&pHcI8%Gux zY-thNLfxKRIfA6bVd#Cn4SfCb66RG?f|qP8;-fK!eB6=>B3J}g!aY1nJYsO({rYe1F^awSIf{0SP zi17IbQm?jg-^caCXxqJPQ}aP9hY-LOvm@?jt3DmDbn0l+qGN3{7|{}VZqTm*SIlj0 zz_g84PKAfa?Suxz?8-_T8Pn1y+rrj$)rew8?Ly_tHJUHty;`NGasAIx#ols0wFyxP zEr!|pL0&>I8ft<@ z;{rS+<{=uTr#fr)fJoEG)^mZOi~*!oTVJ9XiLIR&mS55WRmi@=N7yCd`}5){;C{di z-+W0nMGG9xMM9||;>p(=*|F`%UmnmdsJux+Oaz1>+4?0tAA+z98pgzld&>aG=i(h^ zM0Sx|$+CFO7d{@5r$xs(xTh>CJO8e(A;15nt_)Ed4JTe0C5C#ZKV^SYXB!t?t;@0M zIrM*GwVuKp)8=?A)o?@;_;*fPpP3y z%q z=3KPq>XVIW6p6})*f0*VwYXL7=su;Xd}$F=Cyx zK$}HYf7-Xq`K!8RacJ$0IwSwS1cQD%^51KtlSV0NNua5l-B@&vsYLj?Lt7Bm4 zxK)ANrgmaB7LjGcbi*x@*r!BCn~+HhU!@m(5^^CKR1Ff5H{{zPGsc81{pAfG_lYD( zGHwProQDc}tUDoEJyyY0Lu$(6d^3a)^1Wye)DM?hQR%*I)M_~Of?HR8fv<0@DENqZ z%}>2jJ={5N^GU*nmLVU4yH;@Dg0zrO5O9A1Rf7RG1J3MzSP2&$b8{TWp0?qYKug++ z-ExG*)H$EtZi0f0-nM1Kdq*wA&s`9nkUWX8H0%e#VtSAEhoa1lxMr#hAvWDodueSqZeGYwZ0kT!&fH>X>J?yBLPs6pJp zrb~?p2YkQlg0vlLX_@;IjvmR*7fp@pS3sB$K~HEJSHSi7J;<%TbmGX^1l3O-@j&9z zxWr~l(TX-ATxj3=VuW~#<@h%>a9ay_m1Qs|L%!v4u7yopY!Ar|aM#%g=Hl}zZoi^G zo8s|v10HDj9#lQ_Vf(xIRkIP;Yn}`u0B2i0M6k0TFKB|B>2BE;Dml&EDoqA)gWDQ& zc&{=iT0F3sHlH|J)RZ58p{sp7aV=32Gk6m%!padJx#8KLVQt`$u5yQ6B`h^3;c(tyWnqRQQj=Dp|EA z=>%z0>Axn%2L;VZ*)c`pfY9v8dPpa<(Cpc}Gx0PTI5xw@3J259J7OC@376^+9BZwX z82s!oMQG?T05rB9yOcb#*9q202ZfbDhH(8ABDWFSq&=2VsuRIVmv3hF6GR(J5y>Y6 zBjnbPSxz{wIvD2Sw_;nmCFujkpRBrjE4*{WKBx^U84HgjA}#60L%cg?N+Edx=#-DW zz(Z;eTJii1&FteAEA($aD1Q3nuDkUcj8SyuvMK#;14A(MV;H=NJqgPi-+P8somx{v zo#0xb8X(7)DVdO*u~56-rR&L^c_IS!>94eD>Qm^sFtu(f_w6~^__~gj3}JXA8PRU% zw6^o08jQI?q@)eQ6M~M`*fi~7#FCjp0EAx-?8s+8x`U2{eW|*79nk2S1t#IN39es3 zdQQIi09tNuE#EGR2}rQ5ZrOT2BVHmj{u=!!mb}V;JBeq)>bG>2di=YjzWN_Y9gW-w z10>bd!*`9}5xW_Ab95^}ds=XF6tl;R(APZ!QFwEd5t`x|VO5g3`a)AHac8TGs$C6 zGWGBB)4c;C5Ud-(2V13AoZ?vax+?Pf55~_;tr2P}UAv6J7*}fe|DNLMbYK2mQq4nf zY0)1fb-Z-s!-J%n5B`zV?I(XE)r{w=O5+}@3l#yodP$@9GnukpPnb=R0`Q4!H3zO!A-0~C8{Tah* zL%|ReM$wPwhS$>SSyJ%_pq3Ze&d5WGEQ|AP+pEh*x83mj3(Ba!(ubfC*Q`9#MGQ^o zB1~Nvl2d>xRy>C6!8{hIU_GysqxqBn^MU-&T6v%U-HW-pq4}QVPwG*l5e zd}l}YX|hD?3L#n~RG7JoU_~pWU9z^=peTW0`7`Y=%DwCj;k8-)GE#MBG<&-aQgu=^ z`>=L{A~stZ9Wl~~ZTOyg90S(G*Mp{L_C28TRmvW&ZPvbE1Yt=cwE1!W=ohTnVzZcf zTQ-FlUYoTldB?k{{>0GKPX`JZwzI3*=u#O1xR39kNpe%kqOXMm=@{awNqmmRmiyqd zHX~485yvo5X(vvc`SVoI{(w4}(N8g(TPqVF$cKq)WoJGUVO}pQrz`rQZOjs{b{EM# zv0){Jy(6-pzR#o9_KL*aRTA(lo}H1F^S{6rtQ*f<=38@pz0A?4!{OgB*tPu4)#=ER zEym}K4&!=|yuM*qZ=qt}Ce=527j0XLmD!rp$cW@Q5oROYp!ea@@Hz|?L^jLBQL{*O zmQFH>+cJFVq3WEiYOjbT`nv6(TpZUp6G(UUW+`@+jekyhia#5~#f~E&@DrieQ68M( z!L=X$+;M-a%5nsObAwExz{jb688IyxbISdYH^tD;lT+A?S=UwuV~pq9cbCdc#0lLR z!OGmddSQ&-dNzXvBF+uPv}cKY0>qk`mbcZ8pU@AJvQG_ae(T2%`f+Ko|tg^6e@#@}{4kL`mUW3D`{ zze;Ihw*!h_G~`+jrb+HorFHfEqcwJ9=7EWK$@cl2e{aUfCvdp@Neu3bUp6Y#M{#ZM z)`l^|yp!hoTd1X!V7G9`K95g60V5~Ehcn=Os?~vP$lvh_i5{InjGPEx9E*&xVSo%~fRtStEpmkJR88r`mD z^h>V(vk>tFa;P0$n*pnN>JY(7XtzPXWT@S^VI(%HFR*ynT!Ku+Z6Hw)!EK}~pWCF~ zlql-L=C~>K*uJnZ*LH$Kg3-UF)-Jo}`)=o6nFh_US8@g(>ws`6g``I%68 za4@@%!>%2t3F)q_&d{r%U~V`fg(=bEUB^u))r?Cf`B6o^xM`*A@c_Wz0-8E+S@yuL zN73c)4SyH(#M@u42my_eisKQIG*rGJdW(SN1$1od*{KsiKh{pn$A7!$h7TF_Un4RrYZcrFh}AF3fIV8=OMu z_Bko>7cP=}qiUZwvoV~X+&52t{Zy!t*6ZjdJkSI*X@mGkfPBxV2+6nqu@k1Z1-XH1 z5-nXI@%y9RG!Yt!oSejx_;b#4wWx_#OtRtOHJ+Dxg1WDZV&v`ZdR1>PtFS#pt`k4I zWz}|_@sGLJ?0rf3B^x^KY?&x-+9V9Fn8Soj>`j_kk~y=jL^N=!6YUR%NV zr5PE#0&#%$V_oLmBD&u<4~yB5XBlY9=0hSa%FmE(?kYL*-S@-RBe=#ZpNi|ZV9#;m zRWYj6?C=h08!CbUpP8}9n1|>UWPQJDe$D2~>6xN*;5i@ls#{`0osj9mn=~z-L2VcE zde*sM^TsbPoQVzV8U_u1;0-Pg<0fU6lW{rg4Jc>lQ7D1l(O|_Ft2`4iUN=5_x$lp~ z#(RKb#AeAC*fQnjf3l6`sd)?&cRCeB?uE$;k|oY#qSdU{6)r6*VI?VH9UXBr445o& z-$sZY`7B&oLa+k+S(rJR;C%q5kL+cRa*~*M^bX7>Er629eUph}uj( zyo>IS+;p&6dx9g{4Mw>9*!na-x5oz)EWht0&BKAGvSM}B#+I^fi=^)+EVWNB0`W(J zaw^5?PVzOHIP*2SeM&TBKdh~|Uy0?aTY4?bue$V6f#*HshkNs8$2($F3WaXK)8Fcu zEKkR`)`JYS6OwP4FKU0~m8ou+FM~iksZD9MexA0YdgB9r6@eyGy_(S#tR4llk1^Ex zjn85P>#xia%&t_-j*!-wse4WvOqXT3N=cott$uR0$9sA54vux~beEG`m1{B2WL!fy zZKr0dVXCi+YLMld@Ue}~j?XX7cZ6lik>q!SJp_D!82l|8C;+WpL?1IX>>LgQl}Anx zI+Bq2=YaOsl(%lveu)McKItE75HeM!+A^Dp1P(C%IAICMUC`2H$urUb`QYG%s8tp5 z8DQ$($&~2uaY4^G$fQj2AC*U$i5JU^gCw-_Q0`AC`fwoZnpuX^rLaoUtA<|9$b_#z}C5Se8^3 zEwC9>Su2)W$3JzqbCxP+F1y7_?0f<=in1}Iql1N+!vN6@5-xQj7#lI~rZ%n!8f@6t zOH>MD?Ndea)3dC@MbnxtCRIm6tDS~%>0pOBPnaSWh-h#yP#zwCB^?Kv?{r!*Y=JUk!h?G)5>x8UxJ}4GBG@m z*RQ(x_9!KhSPNCC`+^aRon(Y4LoD_%@;XB`yV8kdUAwXEdwiD>2L>?PsOn1dtleso zm^8k?Lvf{IKGhUZi>(gG0xr-AInsIdralq}=F z#t!#htr;HPolLZSE*wV%--?dDe;z5|Cfs|qNla+>a|bCs%ky@N2_m0D$qRdzij)^b zmKVq14~=GOG#T@WoO*s`g1l_&vn@CEG-1;6CHo#+Gt#g3g=IozC7RUew+h`GwBay0 zEnzmngdN$cuUP4h>Edoip*~&3L`p7cjaQpG`o{Szb`LmU@uFt_XQuDd`yb`)-=(+P<8inV!x=yhDv& zSwSb(1P2-`OHPQE9C(eDQj|_)ENgTl3VR~tsCqIhYtIifjzQ_K*Mj;w@OzZqox3QC z_3@*NB{TH**B@2X91##yP)Cg7xffxSl1@Ozm6)-7P#L#NP2yE#-pQ3Pwlcv7Ei1UIE_-B!Et_{&ZLrA+vcO#tlcb_H)0hB`frrsTc+e%UFD5_<`~~ zYz|1*>7K2qkm2LqH`8wV18;ZG7Y9deW_w0$#zz?N8H8|<~~P19HiLcn0Qt^)xpizID*db{usgw4YMi*;H@Wel#H4MFE0<$ z66X4wu?y7~f>p?`K;y3A93R%7j+dcsPG%3u*PK6Bf_-1Dg*IE&1LMH@4A1nB<-G10WJiZf%e z9@{es^G3P*xGG_jQU_xII!5V7MyrV;JoqL^vKBB^QGEEVNP$d!Ix9q0qIC*H8@=f- z6N_r0g$~M38mCHReV;-%sF$pwlx5F8!)50J4Rh6wmpv&UF5~R=_Cpdgm5)n0&)ABs z&OP?oE)#1?%efEynK~iKy;fm>yBemxSfp;3aIA}-yyJ!9mq=h}06Z`hYO*tWWbgs5 z5^3dGyC~o{mG5{#t45@FFot>~kSvq;aFK*CgMsjwx~hg2m>TDw;Yjl*+cJUQuOamC zjUZvDQ}xIBtlaaO2An6)c<_BY-&T1>_ZTQq%^J_lk>5kjd`Sx#m$;Gj$13yEY=UnV zO%$u{NMX+;!UyZ1rJ>>s{#735;)&_vwmey*w&#tR+DV;5Or=$0-7Z6XO7osHwV zXiB`FV??QGRb8&28|-XuM&P-k-Wo%3oNvVTiI!dnHs`U9B#S7Y(J?JIL0$j75kBE> zr;qN&CLfg^Kz}wHDWOrR6mVtN*S}{xkGuΞ{Y$KR_sNy$pQmgRVp`wbzG{B?{2) zZ*+sMWIj3V$UPEW;ew(QLtPa=95w&BkUBQVKv#Cp5rxqJ!tgCZnE06qcle zKdrtuog4tu$ztkj{Pb6o_g1-YQIDDAKtaYVy2%E8LDuB+c-#=jGA<#D&p@8(pNEcy z+%_6t<5}^(F)P-pMgR7(csjo1%GGS{UfcL|N{s(#8*a-VZTmL=plv`b+-qCqgSL@- zJZKwmQfT)AgyMs?ZR**W4?)TNjcYfJ=JWd}s7_w0Q@v zj}0IFC2Kdo21n%qS(6_hp}&{4y0YoNWbFwcYdi-ASmI|5f0_{X-1{bkX#2hiX_Q9b^WPx$~M~g`^6W;a|g-g!)p7@iFp!3JWkcK zyiw)va=x4Oy68Ex9LeRYdC@2Z?}TVBb2px+x%ArUO7sAMR@k`^H1dn$AhAaj;RZ5370V(Y8H_0thz>l4HBE5QW4x9G#)rNBjhne*N-QW_hv;nslF zOsw2jM+z7hAbR6?tj=^`+Fj>w%uN^9=dK9j6q5BI5B zYU*q3v}499pXDodtxOy95fRyw+$KEfeDcO%SM4#CIPlyfEM=h2P1*8t1cVJKDo1S6 z89~eVJyH3-%O*=tegAC74xM@=hX&JCeTmiSMB#5$=BSY-cLW#78AxW~T<>m3aK%lo zGYLOn&wnYH6Nk>k%cs2#p~Qylt^jnDDXQEguigL%TjA_3@X&57RKJC>y=CGqcn>r8 zI$jtaAlTf2L+(31tyFzEhNgL!-2YFssgS(?UgCfyi zi(EHf2E5XiH84_b=cYoUf4kxYA&!<#*FrF~kaf+iO0*U1WNS(@%==905-ePQtoK1!fj$>7uSv?x+0M(YDNouwQYj$IQ*AN0d4Urh((uT#ea~HJ=R>!QHH`VTl z!<4SwqqJ^e`Znz;+}@~PsCH6sF+TJa;e7yxQJqCGeo%kLqgoU*?~<`+1`Oti8qx_q zvb**GwxJfn8WR%!sCEhDUGka0;OEUHN^OUMcMZp{v}!_cH>L^nVyc=)h%w`pV=&qr zga$u&;4G(%y_tZfU=!qB$4+L7_@p4ZXzXc&ZfUwiX>__INgren{ky82LBDDYFl8Jh zCmikmVWApqHI~{cO~Tq^+FB7egI(z3R6YGY5n+AhDT*8zKATfszi3z)1|WvoFu_jv zqKo5BN47g(HP7t!f;FeU+N#6`7M)-Pe4`2Ns7Ap-q;J0P^B|>uOQ5yS&asr8TOC$y zxbRr}>|mGDgTW)V?^Erf1=!#4P;;TvIA)Yw0jG4DlV}5(79JGh0FPN-)vzw)-ez;zrQE{z#Muq39|20G)haoQkWS+&D zfA;fvmevN*bE4N>D>sMYqcB9zf1Ch9I9*!Bkp3jR$Ae}o+=!x(7__?S;=a7;l5q05 zr!twB@Ie>~*c2+!U>$ zQpiA5BlJ%x)DkF}%%;bC#B(-{`p1g-X?auYE8L%qyQ1XVt|kpwhJj2~DeKeUn7iN}#)rH|S$rkxvKAza9d~j*+>_-<`Z{nKOzPa?M zR9i`(zjWP)lOodM2%Ex+2-e9>+nGrmm*#%Cb2S;5)H>L^3?aU{LfFwh`L6C(*W^V~ z2M~@0(qEFD$Cp%XAfmI!dmAM!A)c9n_Rk{f4$Cwer(YE)+mU3TY}PHi^H{E?%l@!j zrL~emiryb#Pzz&=ZLhmN0CkkWCP|@87d_QZ+J2?Z3IWmX`GgYgO&z;5XSB2=XV|mU zwk~Jr&0kNghV1U2w`!T5(%pfscyDdeU`WpKXBK|%7o<35gv!_55Z%o~T<(-_yH8!N z0~MP5hGuWAfddO7losW0+xb&K`|mcD&AH#wWxg5Wu6uL0ZXtcZ4~y$}xH_~eeRDI> zI@XS_OE}N*>8i_lPbI}yo+xng_H8|E+#4rCjQQ?EmsDjYus{;(9Q6D~-&gZG_ke2? z9BlYqeSLmafT`r_#liFbl6QVc<>te8@Z@dyU8-fqRl%?O3oo6Z*^W$14t^1#!8>>G zZsMAkiz0%Tn2F-9AkWI)@p*sV{t0%$+)=!I^f!vS)?1vcrW}!Lg_E`%jI)$$?w1wL z^J^cvarRyMw5CGXPG7(oxNY5?f=o%m(8zZ_(#lTDn|EW?BcrE-A)3V3Y1=QV^=EYW|b8L9J36 z;Uoa{vq9`rZrb|Mjg@!;N_&zaFK&K@zU9=)OtE(>U=grkjRB6aovdp#Sf7+m)BiG< zGC>(BlvMidHI?p#8NwIuzot{M;{XpbW5{B_u`iK{w_d&{@hB!qoQ#CUVPr?|lpQ%i zy#TCKFcuErQee8;HT!#B3K-|VZ5*X$pC6Zd{4h<$a>AV|d<;zV#mX`QvsOjWDzrE2 z{x+r(F3lx)e}ZMC^ArIs53ylGICqR4&3?6m6rTpo9s%gy3D9Z@;aoaY;ScNO3__(J zA^J%!d-jl;G9qfrfkLaE_@!!K0`FJ`=Bc#b9*Iibgip zo!(jslGM)A`=7b0kp2Lk8fiT@;qwN)QGqSn_Y_5KqvtCt*c|vshIK$jfHyou_RGZg z0oh(^X=OaXEW_JZeI+3q&le9SC9C$A2b|uXD?JYQC(8n+yzsjM&==ZzJ0fkn5~Td}guZMkmsW*^7V3zQkV}ok;xhvSyb6zlo0N@JM8`qmCfiml!D+IhH|4b>w z;$xu3&m=7Bh`ip*1mG3z3A1J-4mLjct&uT2XMyJ$jxGgx@XSz?V=+FgpCgu~Wa2{PM*feR z8eIKz6^hBejRDGX%(*mxEc~ijlOzXDT^X`tGHPhscAo5*4Btr78o^6aA>O$~tY0!V z$a2DDp*c~jCu^(56yft7>|b(<^H1FSMHp0&^@TL9O`t%~gl-Z6@15M7DZ?&Gn)|mn zo)gRGs%QEP4qJ8{Yhx9RBTjqBTb9Lbn?Cw95StC2^=o=&nU8O3GQ;SjF_kt6(rRW) z@ySM09&IUM9d?wI5-6rU(Z^4YOrABX?_@8F6Qkt;cv(CMgxVsLTyZ!QL3v7PA{W47 z7;peA=I862eC@u!afjrlxPRkib+`U2FZ=oGo|i53ZG4IRVuIoP{%+d;$K6zeI#q~w z%~~Cp^MN>x4*w%S$b4gtjI~9xj{*o8Lp1vwfRHHz2$_aUZJ(G9%|wUwR$m#5w_>`Q=Eptmmdmbb-(^%7-U%`GOoU&;G%6 zTj*`OGAzf6i)U&Hws?L4W&AN%vwHUJ4>!Zymc`DY^%Iml7%om;C{BpE<}Nj6#CM$w z*Z8#?y7t3{f6;8-xtP<2H#?aVCVUOu4}5rKq*(m53T_~AW%x{JC%gqi;hsFKaS8C| z20K1?aIcF`hk}QNz)K_*d?jt}UUC*T{`fO{6=y;D!)Xz!wjG;zt6-qIE~+I2<;w-i zJJown^Um_`-H!k0%a#6bkPNq$>EB1MBMc0-j&t76j$WK<{tQolagQE^g%hz>c}z{b zIXUu{>UJy!*aNfEM=@=70TREdz0##nc2uHZF&}h2R$dGDTdMX9pATuem#QgsO$!yI7kPJ@0TYQW3iOj+^W%7nb zKSWM8kGx?RaAV1vl=wyLyjAc4^r?z11K_k)eLORju8bga?p-S?U`aoqd#>9C_6Wk@s%yi9!UDKO<0U|P?3Oc*&_cK%^`hEccs3FllO0kvh z0-ZUvNEY3D7l6rM8E82Nd{PnAvIi4H1Mn{{+m#sr#Wg9KNh1lE{45--8+(QFL!Gcd z>^_+MN`P1K58Z?U+0=3NllP=nX<3Kze~?HB<^5og<%VA9C1YSC5S z0I)M}{>USkHoH-|#7xuLM&(`~`59N9-j^Es`?h3pygE28AGb~3jphV;6`?wZgU2p# zfh_`AV2gk~DK~cf*-PZ+T?nE>k1c&(>G5}z*wW`OjnX>>P_;I{kwF1~D94@1djJ5O z5vW3+Yb0j*K4&UW2DTTMyglXE&6&ATlIi0hLTSOm1$|Wp z{J-OZPy!}}=S+-Na@bF%z>;9)dw?*r908gZPx1e@w9sMU1QW-jClF15& z78V*;WVD=6Gvl3lp*Zc6$Sn}?SFJrg1o%4*;>Hg-tT&gU1*(LtXLc!z;4^Zs)GLVf zb(9B`X4UJlN~@YsOX@8cbCTPx@7GiF)8I|ZQve17pNqgI_wJdH@l>zrKZTIf{N$mZ z98)^AErHJE@rEaeU=ApOhSFO1bQJ1qJU*r@V1ha5Mns&`J=t`-_iX)*8f7GeK11jD zNXYS+BtvZ3zb_3i0P|%iTj>e!{VeoE)fMF)0*7YrZm7LMEUA8!Yn3wS)j*+8!lJKb zcqh^=@CU+r6Si=lyn6r&`)|qH`XPC5XE^Z!7RRgPy809UuWmCH^Ub@F7V|J%$((4X z-}fWL;=%`?uo^{+3&INXUJ3~1V20vajm_f%ivlB71DkVN?rX3n`~`crs-no$;G6#0 z{4EZbT)`}@pKpo4w3+uYSZbm22*}Y&{EWXIVlZRN_EC*Xy~pfLNlKJ#0`X}H^M61n z)q{TrR&&(Ver+^9dbP&AQas<*WSb4d;G}fAsGY(uw~P( z$YTKt>u=>PA`_8Xq$L`nb{H_xr-yaZp<(UGfq_n?1dJkI;rTJqu=QvL3d=D*mfE_X zN&!nOC5>nKC!8yXwhvOc^dN<~^c!gZA%&w8??2oR3xJoowd#MQt&#du?CNDlIJ8cO z3EGz({HxfdKjMmO95g3#kz9n3j?1mn;ebH?OBQ8Q_rSk`=IOx{bU>9(tax_6UG-a5 z>mDW)eOwdEud@xcDa4MQkc9YvsX?oD!p;5I;9(cQ6S@na?&VI52esrD6PdBGA_3Fl zzt|eFoqt$B-2Y?&Ag z==ebJ*gjgYx2725XCqNX+sv~cGZp{uVR#4Q>wN6x{m_1a8Q=#tb7m4pn`({+?!ncY zqEA>d?pFy7iV&;42Px!nR}^x}(;BYSf#k#Ha>8Z1YqU|YZg=w#2|yNME%t5C>aVmp zDN>mKD>rSH2Bg**Ju6mIv^@JQsj}j>VJA2!3ur3L>uxUuEL6F@=5cNt0QRY{AwzKV zxT6(W?6qH~kp88G^mOIQVkm#@rid8clhgm_w6H9XqR1-2BB>=u^3`xbe2VNg?F4K= zn03b>-f-O3BNP&I=GwO7(Fv6gOCiCF-Zhr=ytgOf>V;0%^Sv#(XCC{amt03Rcr7bw z4^0;n&~z0Zaz#DF;Q|nc%3l7e22keeY{Ij|Cf>LT?AQ{cOrLgm@MY zBns^(l6N)E8z~eN>Gh*G<|(*&2B9?S8~*u+F6QL!e~Q8_n|OuZq!RYzr(gTqrF_bq&lOgfevP{0G*1$88%zA;rBVMRqV51+)n6sqjqkvh0f zaI76mG0oXMs!CTmy8t`+-xGP&XK_IfL|)GJU+gr~13Rt#hn;>`c3c_x9Smisowh6J zes;D%5O{m_wysf}=9{V811^$fl%-Fp>cuv4P8w)$9u}TKHdXqQun$nC4UW2n zMwiZVgu!w(v+rHhiTvR|B?eWAy~}a;?hq#|(j<6@z^dTYOa@i`lf9ogEnB+8qwrt0 zbZhsQXUm+HBbqJmNowopc0uc2G zU`Py*()Hxeni1I8UYzIVq`xQ+UL^I`Y9}tON+fs!8g@;?Si#aJIBu#Lmw#>mMBC3- z+2a^vYOh!)_X^8?bOH@G7eLhoM(Vu7?i5ay$7CcGAToeL(TK?b!h$jHlD?S&KNvhg zcSV6oA$S7qrTwO0N0B4MmExMl-}g2G+{btK3;WMI{Lx>R8KLW@W6QetK(xx;-wMLp z(+^n9w=e40;w_u3KQa9_d7H9Uw`Wsr&O1I+O{8Q+2qT{Isat8B(2RE>(3#cGNjpGBpzg{%{^p6Uv|D}SBa(}5{^t}o;s@|($qvUD09-e{+ zOB1l7*LX6CvthWf<(p>2;`AYa$3`#aSBIx;E}eJ`TH@bA5KG_ZYb)YF;(GZIa2*TY z&=52`UVA`73muSD3Ui z3oy}4;F~9s!14&RC@kqFRaZuBzmknwWdyT zIN?%vI#INa&2d`_ZAo~T|GA5`Z&&8%MEsup$>p=#GL5q4U469jkDndx?c0-GYYdc{ zLQ~b6)DkN|3J>V<&u=g|0z36TDxAvn@G))^)mp8DR|P~DY`^hpPTX(#uv@ER7p^qW zSb?Tpof|Qxq40%!XapVdwYD>-_6^GxyMDxS$u)yXLrEEl|J85O7gX;Ho_aQZn1Gw} z;AG#AawzG4$&953gWR%wISbo0rUyf%6jqkkTi%CF#niGIF(JZU(Sj-t{z``^m0-q|+p+SH$wI>BVv0Y>nmfd4qP=hCFbIV?Z<7A?rx14*t$w%;{yOJ6!eHh(9EAUROlnxEMjt_u{L>BZSYB0Rw#}MZSQY z>sX8Rr~t>eA}dVlbJuJ?bQflzsPL;CE)%4^m?n%cn|L~jtr1$<3W_k${84n>O8FV( zyM4gPRf_(mReEOP%~z8%ufzDT^?KomqiU#7cIEU8*fbcnh_B~Amh1TEjV1KbtdV*& z)^YVKu0QNw>OQJO@tUBD=oHrEC>-9x;>mV64p3m={I#(i18i(hGsMx~Z)~T4ESVF4 zuHTo%lEf^V*Gg&GYS;fk;wcpwqDnrK2AtF0L53QNZRoomH;Lu%DzE^{F~E*YK@DcC z1&VNK0%pwTJ_9axS}_bZ4_&`Z73RlMcD|V^q>rV-e1OKQ8oPizh{g#Pq=Z6BAd|fE z3ZBY{tdAR+?HjPM4I(SgdjfA`*^&N&HhXN4t3&#RpS-_dUe=4(QFM7jrXMWesf!RD zGzWhy3XaO}zYx;ka%*iKxhkyI;Io~NmnJ9^lJz|#%3sbFK|2_AtT<9Lrwe7?ka z#3oD@xhBUEg`xP?3ZIX7#tgccXk~t_cuknmTXci<=^F!DXY~^tBzOKpBu-%&g%{b% zyH~bKcy1(CvuEw60QOoS6ehUkxePUPmss6O_OC1Z0W%j(eLFb4gOr*U?YQ#lTm~Oo z=&V}z%=7Ua{4ls^e*s%p29qCNlC6l^8T(D1MRsla^`Hsrn-&kcR|(A2-o*KViVO?i zK;HE^!|noA*1j8yiPmV7E}X*0{||F-9ai<;ZI2=)selsFAR(R74T^Mk3L@PN(g-LL zij+t@^>EQeXgEo8g?IP;T!(x=&7urmd&{D6 zw)4Ci&LYeB42Z!fdF^2Ex{v-3cpc%v)Sf)M@zvqMNLUEcXxq}_EX}Qs&LNpJ24-X~ zkF&(sDEh=^e$LV74c#?b)nZA?sQd#Y`B`@cQz_ss8QKg)WJ7tu(Y))6;TDaDGH7l4=3OF4>@q8=&mp~ zbt&Basy_E1OMOfH$EWc}Iu(`$2e%POaIBMy|7)B9@yZR>--w7dUZfk3QR3KoB-sESY0@4x!5M)UIR-b3?fUZ=a?~bY%8h58tA8KdVv)^tCz#6%5-l{D16c+I>X5$s zzcU6hvA+bq@YfQJTAm_9^&rZCCS4^nfyDfEFok6`D!-0|-rD$F&Nye1Lt}WJ+(f19 z_dG7^1LOB^tTMMHn>f9R3J<)x#$9&C^M0o3WjmAGCv?cI`HB2|%Vb9^Z(z}X*Fd%i zfnN7G04W63#cn8gtq+3V6>~cLFZj2@Y_BT?&l2a^lXiedIEVhQcnc9dMBzG&xxEHP3XbT6vo?Hys}`G{ zVfcbK2AReijjk;Rw%*Nk&938FZ%pmtg%^~b@6@Y*mTF3O1=C4ZF8GRx{eSq1p&6m& zA;6kV5OkL5ao@$W<4A88D4%xbjOQOt=ps>;qk)r*deNw_aK?7nk%xfPwd|*l^ySy& zRf@qB!)1y=?RxS40W>P+E33|;(+q8nfTaQ@)77Z>^a>;?BEUvP>#MRIdVyfE*$hU- z(|bul6UJ|X{~d8g%p_#^yKQ_KmuK+WrE?~e1bm=?b4C&G(mCS_cZt-+-@-vi9m!x= zNRe90CGQblNKQl4fH|#n?;3Xru93|t9`zs^TZ@hPl4}Th@wIX>E8qNK!tYe->P5pc z2$s0T0Z}QlX~FT1rnUb04nW)?k332rd99q#*te_&XgKKo-Sg!MG#QYP31qc9O%bf_ zSo+?l#r$ZJc&)q{NVUVS0r%K@VxEi#!&vhB-1?an%zCjaaX?P{1DY7U%C2LgzJdnE z7X(#jH%%V)hiqHne+-{Ft(4nh{?hWSW_yT58R_k%oE7%V~Va zBX3~j`vGC|lRGR6ofHXCuH&xo|p$ zC-KsPK9_@K<9`_}Uk66jrMzX8Od(WEBGs^7X6xXsXkD5aVlh}!ad0Yt0yti14~+`f zq817p8Tg>}P5oSzEXk`s%Z-hOZ5=?cVYFt0o>YaFrSt4mo7HHrOejMS=hhg@L9$ zC(i)6=!HAVQ@QG;j1{iKksv~5KZ|GwrOTsOKDe-AF0zA(7c%E+8&yvj_rLgemHSqo z?03qS^APQJJREGsl>Zot@(7|isBjS2p}j)}I@Y61Z9etV7WF|?$epXWWwMU2K8~c6 z;KFt}VloiHMod0^;RrmCaRBJHF_zyP;_`VWNIJF61R4_8mMh@FI(cEz=zryUoPk`A z%uL$rwGUeX>8l(8jhPn6wW*v?pDi_7Q*P8KCQzs{tjl{qT7f$4x!c!D*RD?JLnRA6 z>0{X#TG|=jz8c`$cq0@ln#ZjK@27fK=R1#`Dd&NGZI<=mkI@I}kF&SOI0}nBP0ZG~ zbn{~gWQ87M>`^MB$Q!J#s9-cBUiVA*To?8%B;5S;`}XJ~ut%P>1P)-zQCP}>h^+o& z@+{|+N4*Shgex%tVbUnLI{G3CzMUTgDcu57?t~PR#V@68A{bJ6(QfIr9qT3EDdJbD z?Yegxg{{#qMPs@ZxP{?K+$`EBca@xOtu@q~kO~2B*BUuuv`x`Nms@MTc~NNB?$|s7 z4Oj=C`!mF>G`)5dQW zyf_w`(Q9lGi|D=Jl^>pY>%!>(TIKcL$BXL6OLaml7*~#nbhHs{5+3}$`fp3DB~J&A zc!om5ta^-hB)F;0n?JbrbI)yGj@@0#LjcE4vM$QwBzm9=RXOSuispuP9leop6%8K7Imx>VMo)0h(7 z^WUCsA3&wglFwT{ZRsRC^OGuONwxEvkR?B6G>l-ew&!@=(?mT6l4lxW$uo$XwZ{N@ zkE;i=px(W(rjPNuTdT3f67fEnFAdM*$G>RUxh+p0H~-ixqY>O5Yj)@bQ&7mH{tPJ! zDX>ait-ClHp0AT3y2V!?k1Uy_2w;m` zOuHT(u)OfIUD*QE48aYtoXjH%maolrSfLi{&&c7%no?%?Qn!#t5&2Q}lJfQu&PIX9 zs77_z_^h2K=%Qi`WHJ7%STj82Gg~RtI-~*-c1m1zb3+?Vox5F?FN_t7gw?<={53LEmpV#Fydz=j6@r+O;@#Q_eG zLEYomZ_1xWsd|_}J>g^@Xcct#$W>Mdk*gdYL%Ci7co+CPW^I!H2afj+~EMQZOhsO4f?)ZE>^Wn#nW%s=Y8_X_?thx3c6O z!yWD980w}0_Fin=^*i3ca*@K?Yy5MTLr)fNYM^E_>1JvId9`WTkq8*SZl(_D@nhDY zrnT-V>s(h>V8l8e9t(iYbW3BZ4A<#jV`7cy(bpEY+y*ahbux}@#=RvGu^aE#p6>Mo zYO6A)=n_II)*q8cp{ee}?dCtHx;LCF1$4CGA_{WuI=c6NiS@`iIGOEN~xwX4oB9+w_~sdHUeSSJ)OekR2kCRfXwb~W{= zu58Q-?CsK*J!Ut%qIFN(KLKWekMwf5;fB80^g8 zuF_^GF5|q&F4JbJ;Z*Z7Ai6Mk5AN?0m5y6e#iqGZF<6ZO6~j;MulTihpA$T;u$aQn z!8byYrU*(I)JBp2^aQC&Urd&sG9bz-foT2t*eW4nrh};BOJKc#7ge;tK&)y>NqmGW zDv)~%D@qv-^7tfE+`EG=+AS3#H7u%#gYGBj4DM%>`+qcM>^WVe0t`0 z_S)BRqI0JuP!VySTlviby;)S!5rChHz^TY60%o_E&%lU-$Zs~KEc}B1Ez!(P|6qq3 zR$Z{Dj}If{6o=bJLS%nWY*5t4!B<3^nSlvVq$%L>J)J9Obg@@i-4tgBPk(Ye>+xfe zwHHWPGkl?2$jyj+D0?BGnU=L7$E`C*uV`AoycpRcM{&WcyJ=DIu##`E_AcJ!0){j1 ztZ_TxZ2*hgs_G0Ct^ru_9R$yDYci52Zar#}GEkI`yW6Xy`WyNsTa9Je1B2TLjFro` zfIa`oihq0l|LE5 z4dmo3>?s#^Joa|gxX?P3bK!CH=;WIjJ47)I=C-o{eWgVeB?)Vis_>K5_qzk7tb$Y1 zhALI=Ef;|Y(cOd`An?Gvn(Z?1AcMMfaQMv^Ft(XdKb$0uk{9?l;YienCvm@pBV|@; zkar?>U8K)ZQnE(ZfsU$aWC-i1A#jbC?6y{-;ooChA9JL3S?KeNu`SK&`?t4ZYo`ZhyVj5ShtBu>vd^)COpbjB&4-4&SDO+ z(R(3!W4^xR^&;~lMm`_w4Q7qaWVS{%VPQFPJU954PM7RoUHKd{N(s<^5H{MZ_nA@ zM9Zml+r;Xc6^_GP6?~l%U!FX}eQjDaE45(p0k2Ln7Me-=$Xs1#Pd1}Y6|V*4tP}bd zhr8wTQs*` zLV?<{!j7vofW4;G!9AHH`&>fjBp)O+6jQh;oax$Km3b(vq4RPbI|u$Pi;s=L%4Yp} z=8d`~|E+SiCR!KA>=Qhn6~^X+-A1%Mmk;S~cFZyLKN30?_uHwKAjX|o1x=X5sRGdT z_VWJOUt4Fo{lI#&B=zyYjGcE@?CD(MGW4=VdR8G^H;C0A**|%*jjh7qGmEWbO5fx7 zjjz?+ZF1Yp{YXJjD~cgr9u{cI^b-i3>+hyt2%VXKDXNh_n~VU|3F1eO*2(<1rl~Al z15J;X_6N0V`wHvvIo`O7STzZD4(SEDE|4fruK0ee3`pc@j4iv#*`LUjyIt-g zcA-dmlatV<{@}aVB$~&WKr_%H0fFX+-(fn4FuP3U0rYs=A8XJ^1}xlm z%yVV)8cmgb@0E#E9YV=$Z5x-Apw`|OQ-O)!1YgVS!#%&rCsoY4q{SXOd>HzQWn;|z zi22LHS#1RwNWw#(7!^!~C~pwxi`y-vBTCX}WvLokDf5%*XxWn2--%CW?nO}|8Sht8#ZT4H_-w)zWOA#F=0!Tk2 zuUxq;nQ8?M1u|4Lh5MT1m^ZcF>q1_F z66DtET(H)vV}noPX11 z1#4IJZXL%|pGA@=i8Wse&M57E>qjotn>XXwq&yd0+B5l{i6o9Egyl^{NAvC@0x9SZ zd}mJKw9xJZgXRkiMXy)PafUtQ&$XgR;wufM`qE3m$4z5IDl=SVP)T>fSvaH%V~%_t zE^s{H*sicy)?MdA=2PtzGHz5YA1tE-!Ki3R_zMi2a=eJQe`gexWYLJn(OHd?BA)Qc zWp=?B#nE1C7^5gAJ#>wJ=m6UNi|>GmcGly9QABagLlL`T6qz@;qsW2|3K;pKLWMz= z?drskqEE;7^v z_-`{PHZ9?V)x#(C=Q8A(_L{T^)NIQzm>nz7B&k0vE{xxr)`=35&$WJd^oG3&L{PKL zmUoX?eh3Y%O9U!pL~RV^+E5%+Dv{sMl z5X~d9oYDmMBnM=t)?`OjU1X<1*=en??6fDfWxlZRowsP@P)gvx%9ry4D&AHTRc9wn}=krx|~5VlxXpw)4?+VGkzLFakGKq z5>R>g+{m3wP6vQ0XFjt=kfzV=PnSw1l%OVU{)^&w)l_z&NFPQdBx7D~u1@n+g$Ev$ zG;VTOd}=kJ-=%=`L7vDJpz39Qf>nlk=PK*3OO!hb-QE%b->dmKfl&qLF+UZQ9|c4! zWq1KmzFLjyENm^fN)1vAKtS46HJ}gWP5uuvq(ZwWfHlQ6HbL=(d5FVnH)`&pXqOG! z!XW2$A3=>SirW1al>*J2Pp?}!#XnZRUO)Xa>~9%_{f++-_V)}5`^zpgS%xJ(=D5w@ zjDeDvt^}lZGQ(v9l?XGZE(F%2J!yA3d&#^B{_2m;d1LZ9j^%o;UX$aXOeJ@u_Tkaz zi|lFmtL*6~30W6uzv$br>}hevH)Z^A{R)ucexQ94mbpW!T82bU0y1}QZF>l{{VxkP z^#DbQl*CUzZ+jkHQ0;H2vknVP>O5WYyRzkm5(}*o+sZ%X`f&A5dALhy692GH4~cR< zEu7>k*xJCVHID^sZT_g%bwQ@ftqqCoDBn4xGaY8mBSDT@IkZZ2^iIXQ)R`u7Ug=Cr zU^-J0p1*abJ=e+(7lF>yYjCH3iZsgX-@*TRvtNH`{IUxhQtw<5fDf)+5P;Kg7X+XM zqGE0wi~v-=OrM6rQ7KAP9<*Ia{Mb!l1Rzo6(p?aER+HI2LqP|^{y^qmK^eha=- zZec43ftP1jcuD%CJMjSRf9|BxesTjKix^^Cuh^CNy5~|mKzaVbYx3*0w?sz$wM=QR zCr~w_-l!T2z+6;pLefq%o~MBOF8hXJp#1QNU4PxZtKWVHt!%b5yoRdRC~`&b?IZHz zQO1v#q0^9C2nwBsPXKPAgLh~3%@uNm)HPw$eD(D|F;=fdPox$qHm4BXGaYYhlzCEh z3NOf|TVTm8Up-!sC;Hc^q*R6k9p3Dk-cms@qP)%5pK14AX0-8RG8&ni398hGaST?* zc7D&CL_*)~xAjK(iy%6r-K#dF2R~P>$#anAJ^q&4k(-Y<9$WXORB=r`0(LRy5f{O< zxgoX;P_<&sP-TW_5*ppns)1)cDGvN9tiv~z==T5v(~nfu8IhHb>sqLM*?MLDBk*&9 z*3wAdpO?qw(kQ*Ox_Xh^=?$~-gv@Wy;w^E8)^M2 zsn76+G}<)obfh!&%Baqim4HpS zks(@_m9bi}D*JiYnJS)MT>mz^^U4vB_-A>zEU&Xps@9vXChek^v7X^Yi{j@w^+&F_ znDiOh*p>i^|BV$A9w6~AE0(V*JY0MeoSzUb$I|KLz#^P zFTXBY2?@BKC~iM1_Db=J6dmMhBM5O2pi7kRZzaARuN+e0-JX8yt=KD8=4OnWNDrI+zn-W-^AV-li-Lrmr^m z$+^>47So&M`KYC`?}5YwJ7JPKRu|#BSHqO$d z+?kd;Zir^FjWSi}cng^`zp&2x7+y!7dSEBBD1Qh%%#q_^`M$lieg2Emzh{#!ck+zH z30pkC)j?JzAcTNWEa&+V4P8x0Dn(YX0|LRB1V~mDOzh$aK^ewc5>=CgOTUXw;N<#f zSO*#WnD}UxDxA;~Wl#{00TnC7^fZWL-EO?2+4OxA#Id@fNi_FDajYBf$-zrz-6pS> zJTeqQZDv}o$f*;n>rf=M1|51QQ&1*(DOWnY0A%}Vg8&x~9+(C@$?Ke1!gXP?&dXAH z-*vQ%w|(<2qcQ6DeI81`h%fo34CXSDo%yuQ+N-D(=7MZ(`PrxkCo2_I0Wo#AIkF`^ zN$xJtx@EpW4|;%av1-odC>;F&pT_mGSR&M*l5I|k(<_t=9o-lXdi&~g8FZ>sAloQT>6h|poen}#3%QWetc)wyh=5f)ZGtB4G zEi-#>aBA`zPcBiWS>GWvCd1cFB%EcYSXdby9X7dcu-HN)JWSXAn9wIfcD7=ler7~~ zPhR`S8qb)0%q%l}ZnM!LA|aVplU?(Mj8?T8C;?;ufe~(jqOcnrSyP9~O}@R_g(zcL zF;*#dmO0PP;N;uQA7s@yYV3vh+xwJd(ryvsfxGae^SkTE4-k`~_~4Drlu!b~&r!zj z2*EGH5~FK&l^O%BwD{FWy=(uQdVSKJ4-Y;;bGp-|I<(Mdiih;Dkr5|O0p4Ebgek8u zvXK3)q|UwMWf{*Hp+1#pVm*+ORt5sD_U&LMKEcypdLbKKz{EG4v9cNYXSs4{E4VJ3 zNv^to^;mU(uDS{iT6h>0jcC+=BPm^xx#s9mI>Kh;?XN*!WvJ3z<}XD;Gg^T~`&qioD~S?+r74Promk85U6WuG{T zte+hZZJtkdjHJ3G1hsq%U-4g`Wpwrddf6bbQoIpm-r0AA@MmvrVB-J@!X*>gL@KwC zF3c;t$iTb;%2n-O&@?E@pe{f*$L^N2!arM zoP%rhDzSggfP%Rhc@zzZL9{J>q3(Kh(V|6w*&~kEb(~?8J{BZ;Jn_wkzXKA4ku@zb zgrq)D#*M55`jsgg`Zi{iJ>zPKDMr?G>~GK>zGEoEh~cn8@ZH?Ht=&&gyM?$Z_Z<1% z!LwD!MrD%xP^fwsXIzqm>BnV<&GLAj1}CS-_;}kf0@5xnVpq8%#$VmJg~O{X+=!&CdFGB&W4)S+4)s z=aGuqTdzMSqw75@S?`G7GCdfACr~8`0VHFLVO}Fnv(E0YrOBrElox}8^X9mdy z^Tk`4+GxomAAbFODP`%!6dNG_lw5(htOS^uLI{#lX!~0|F)97;yIGh`o>@cP*w%Oh z*3JV{-rR_ZV>U8Np;fQChVh9K7_9iVCzC`aih@Me{rH-qS>TbUNXjrP*`QBF6Bp90 zEm3^7Ke0mt4ziCzo|7RGrIA^imI=KJ_+KInpS36JqVzka!m7wH7(M}Pq_}%2l80+& z>_MA%S}-?nHOR)cJd=<+`f+)B=(q-JDjs;M^QDGne)KL-)khS)qV^q`4M7wN=j<_?e>NGMwSIw*y+A8*uvwf@_#$mS;sj`BI{?|irSNx{LPjajjwspgLN`fKQp z9zLnwm6w^XtSw(o&#g$1j@`ny zUMFNNLa#v7ws}4%7yY59?wtcSe;#^yM9JV8a0lK2b_8D8EGCmzV3VjYF>bg);F38Q zUG>0^{hH`SZLjWPXF$fRH_NC%Dl+=G-<09eMJr|qzz0&1i&lK98I)tq$bmKQrp%X^ zant%9J8&b20jY@o8z2>tzwh~9n79xb%ptr~q2;Lf_2zn&fAJ4g1x5L0NJ z`G|Qu_8ZZFq=vhv+_F%mk?Ydk-UOGJ>4ft(Ol#YDGE~xnu@Sv>A*cIOeCs~0KH~hL zKSQb7IbwsyU*4{)O@Axry|!7XB05vw`3{*!gD8K5G3AFXe^RN)6KnK)@zNz?>AihH zx9=N1YbVnYf6lgtB}L6k}#`4-Rn81~V0(31%BSG3edQopn+;$?mL6o2ePc6vzZ$>mw6ezmxRhocZ*n z_e#Or)2Mo-o-|EzE4uJHl2f%rkl}v0e{+-aG#2{&nO* zA9$Oz$0Hbe)7ky9g4xiUR%n`tiXS#H=<^|t0O=kf?_S8V)O#0N?i|bTEhLq+E8UAx zFj&nFK&GNirV5iZ@`3P-wEI!kOHm$S0jr(UM_S4vOymbT7gz&R`@GDiPCR+uS;+7MnC#X^th-3>%;0{oee*f z>rtp;5qy#BQQOg3J;rNk?Oc5RQOMlIg1`HXNT^6&@x3HCx#C9z;iFzmGBETD-S0Al z_1lAYQ|4FzF|Wp-Sietgsnva`b5LW}^l2}FtV#GGwb7@K_}laUAfB6Kn>{R)N57J^ z;aq*L>oxa&PvoN+hF~<71x1}y2lPyEQnZ2FO@Bo2OC|f3P(X+wY@7^aFhInp=2smS zN2mrU-b#fYjtoNT?^$r-uxvMhQTOOO2IJ$cLskAQe&~^qqU2I@GZ6ef*fbf6xDX{W z`NlhRg<%QYO7Y_{sb&h(sxa>Y3I;=jJ7e(lhgXsT=skZRPUL!v{QLe+f0g zrpdcFM?C!=!*5zhtObs=gvO2a*)&QqWXF}8(<90fZUML2h8Fgwl?vX%eh?np)UZX0 zXtWEyGU{5wNxt(s$yIz5(-{iYhP%m>Cz zrGS3_FLL`t)f>F^FVP2VmF_sDN*~_Hbqecduc$bP1c+$AfD8x>Aw)D{3jav%t58c5 z+eF7MeDy6Ko3hD$Chl)<&EeNIS)-YTxFBGp2!K(lxy&62@~DP^a;`Z3AU!%~lO4sB zE>=JcKBFG6K0v(=4V~fbzYw6I6KiDvJ44x?3>rH7P{Gjo0~a=Q7KsgVY2LXSI+<_B z|DLmvXtAV8PWOXgjxtQN;0wWfA@0pIf#qyWMYl0}rL6p@t29T`9)m0OE*cVrSm) z*U_`)wTE-S<3LPU!=$nN6*WqJ3DFvHr*Xs5yw(vuR8v0%{=Kl>-B3-!@B_*jhzj|# zOvabi-B2~5I~Fq)EvFgdy(@kcT#yybxccB()%AQF{Q#~XpM9+jEBS>(2^J3rTPjca zv9M(VTvy^W5x_4JQ^ZC?4+T`pz07E$H}&*pICy^zQeR7kQ7P}>odX$?kr+Yk&-){X zgk)aSKJ`0_i)PinAo(mXS+W-;^6q@{=qR??!g`3 zbgve}!b0zaY`7sEgoQ!3kLkUW4?hkr=hi9i57e+FCE8 zlpWg{PJAy2hYDV|KdQ^94se)9!(Z83JAzQ>Z3gf`#K<^38@XYvE`GR-FnDci59F(lXOqBDs= z8#L$1e9NgZC->BM0Zl8EK|&v#b~gjE*#4tW`tzn5^4h5kwEU3_xoC?wpV3hUF@zs5 z&OrVA3*CJB@lT8h@hbn=pQtdxMf_MPdQf@;)U->wI%@5ogiCI${h@0wm{+HBjg2rt z`$b8uz31Y*42I(l%XwthFE0Zdg`M>pOtG*(QYf0Hgxk%B`ii;+(;M{qf*y@B@fNKC zdK_Rah9c55Pk3U`2?+5Z$PoMz%=P!f1!F74;sMVLk0>CATDL22K7HNr3@3yWSH4(! zx#amy)b!B7$9|avM;n%Ppo&d~G~x9yijZ&{rU|d_O|D1pOH5nUiOQ>=-Seldk2rco z{bt1R1)|W1SyqKigwVTXhT()|hKzUSaN$o018RFutdmexvoYgTh(6tT=%`Yx+>Z1m z4ZX~D8K}BO@fY@fF>}aXE16bRFwSedp0E;Z4G5P!ysYdaOfKgxWM3FNSU^Y&2iQSn z4kSQ|L+qe}9I@{>ZTN?ovs_Pl<(lQFSMGCpWrn9bGE-2{*kf=o?8}pJhga-i7&X@+ z>LU44@V1)0=ANCbC`h7NJ6LWRe1(`}O2?*|@sa zgy8|NeR-}){y(2_yc24h>Ury*Xthk>`}{>km*^Xt}lUmjEmvSl;bhW zO5-o`CGf%`>}@I3M>f5tT--L-B@MC%Z`^$*b?3*fM|AA{p2#S59tnAu_g@_^@P~*a zmCQf*qrXA!O(o?nI%C0iXIG@oL+1rz+`8M?(9as^@|r&{c)uo6kU{@mcq=o6pnzw* z8KE`fF5%KFXvvgvDl%J{7+VbrDH`RA@TcKw|4y zaG!nS{00CUjr`8CAEBS87{s5?ss^Y7VZIEIh)*8?60w^3JB&ok;ZT&U+<5>lD~Lz4 zn2CU46~=f6fZ`6>eE@&!=el6fZBnxLe$*J}Nve$vmmK2z%|AIriFat(nwfiJ@U^A$ zdi!c|`r{y;(%c7lbkY>s`_3+aO0)4_do-WV#bChuWx)kZK~MT6^phjzk$w*~&XI1v z?8tce-crBp-B$_bi2F|o{rhEg3|UIO6HNW5&BYf6gFXiIO{#uWb!aRC{2((4d-nCy zruRuRCP8$D!wDJ-Zc#n)YtjH)eHM!R6X$;4v*6G+tw`T}}~F5dlZ= zh*nEaPnxgg3fPm^pQ;?HX1EN{zII16XZo)s;#(zT_TNavr}#)6w-GN$#E(6XE{yav z_kfZ9aj;Z!AvuT?DKgv7$1sSzVrACT@1&LYb&0@OnKwd}scpc-jCRbv4IOp@M__)} z&6-o`Kx;`ZO!Cg}us$6F>(emZY?AL)q{#nypWcNi?;usmR2PGE(-WNRv511QK$jfs z8Ehn;P;{JpHPR>Ij)@4OthyP*t)9x0lYxJ%RfjPCF@mC-4WGOK69ai;a2_ z7j_Np0(!JCTtSbgEdM}{cF?8Uue$WR2^jRi-7)z-3o;;80-`5buOz zs??y(CCTB{<`P*DF8?yA>Hm0G)NUaGo?)i(s#8M2fmqHkZ)j5VqDT8a3ftibIPi;p zX;;c_t^B_o6yHgqp#5h?6fyq2NB90cBUWmxbPMRp$lB8s)^KRm6)KE4h#V=K z2llM0c~`vx%%M4+hQia78lLeF&lXX!*#!DL>g^*HcPo<9nOoWNiWtupg0~`+l;R;g zz3r!tI;)gmr{9oX`;vPRAN!fNLjJ3oh)mFEx8zA~Spx8a;LbRO9}B*aQmSqPm;MnN zC1a7EA!9cZ!rw<;LD8r~0lS`@6(me(#Zx4g3-=6yF7|bg48=azg44UR0USkvjWa5V zR2#P;>|v^AS_I?wi6c%8@ld;H{T_*@PWyf`Mc?a=(HPPgQ`qaJk&M*>N5-XuYYkTM zExy#=O05X(UEECTNCftVnRlvZB-w}j$6kS^jDDXwh*kR!w+UeO@A3{j!*CdmF5XnL5I>cWY(d+P~A zoo6U$L|#zm>JM4kuF&*BBZkt1;Zt%LnwBD)P<#UJk3D}De+Wa<;+=n>>B#)6>wBE# z-BDkg-t%wZUZ#)Dp@AD@Yy?*Kk&^;Hpv>7PHW0+ZLrLn#AW2;lqx?NcQYS&?EhfL0 z8_+l~uJ{I_y7UzUJY>GH&r89O2jIKS3qftdN7R#h_jo@?;Yjumj^+l0pwxoUy zq0VOQ>C)=kImR$y_N;Apz<#`=1w~B^D{5f?JW|`jMZ~Gl3A_REA)s~sKJO29I)yMhOK&TlG#SE;2a$# zk@~oLUejw*{GLeMuS|{;1n;rlZ_Vz4DlW=+>%&&#cUpMlvo)DUJ7Nibw5G_Q^^-k0;hOH zs4$QKP!2Q1Zg2uXnO6>5nyv)NBdlX$_5*9}L*B&$!m}*zV+oLXod7bghZP1tw!kv4 zabfG8+j3$KQ2xQ<@qdBb0e?x($B8n?97et8bx2CINUSxrY!!SNs~YPN+e}&SYIwEXg`Y zO0}L=Y1{{Jns+ZNKy?Ds?Sj2ik>gD{$ojS73OJ26Couof{XPF}R&(xM&VT6>AnfTM zLi|AA&sY)ea{g;Uxrm4g!T>Jy$H6ZMMd6|?AXu>;rvO?vwpb(n#Pz(aSO&E${E&OC zQT@wQ7UfkBfgxuYj#(TVC{q*r#|?hhkF><#UMBIrf67ni5y^Wv%J46zq6Pl*sp#x7 za4On~u8hso+D0s+AjO3(VZ-R&(o6IvCHTj-lU3+OFXWTokvoXP1mim~Dq)f*oA-&bCTdBsiy;?0p?6m_m-C+8t$O_P(0iqjI?U*CL>Uo8on zMYh?v=MzO%bGn+->xw^y|Fh$DvXse9M!IGk?N2eD`0k%+&Rv*yteLKn?|7|$=`uK+ z-KUS(KZ65bVx!q{?D}Sqe6#x4$yI4l*V5Ghg~OCn+>;fZkkDv>7If2Ox@s2{jXwXq2-P(m&X~j@{U>K zB!bRFjHfRv;N@^u*lkKn=43i@-;gR~`M1?&02ZBoW~vPOQ+KYNsrNI%TViMtrnLjm zTUOaUd@>gT-k)OuLYqpr=~(O@S?g>&dvlr#X)9AXc1Hc^{l5fE=UiIyP3CMp$7v+{ zz@^Ikd(ktXDSo- zJXj9R46`vRG}@FlOl7i5pVPRZEL5=P(M7Iox@dOAJ&~vGt$P0MK%JTVl2bS0yyDac zEVKJJ?{s+#NAg4sdi=CgWVX#vLJJOT zfbGf7=R8H;=OGLJ0X~@dE-%LAlBujNh?&br349o>3V4<%w@G%QBK<8J_~Z<4Tt21W zVAb*{<%VgAQHF1OS9)YcaFw~sO@7&?A8Hnbs`O=F(uOKb8SnQPUhS*yel3*>%XY>Q zyMX-?dJoF!;elhWZ*?(UA`eKs_=k?lMgAp13!-TD{;Or~f56aWdbeN$izn~l+v7Y} zRRgZ60iNn%!f`cpKo5qPCDc&Hj^loU@0EWU__gPa?)Lh1RIIPh=Z&6L#YmjEVK0M^Ufrb4AVUnnwZii;~fnX5h zDX*sXa24%SlZYmzu}`|0rYy32srWPukwiIL3palPfeg1@^2kl-=t97j@(s%VPeO@L zY)v9?v|z91mgQSTuOfC}hj;&BHr1SnFVVaOFBmE`4WQA-MwMH@kedcc4J;Mf!XRx; zAbI=K=x7KnnW|M$9Djh10l~is4jbL%l$zoxF(M>CI`FRrY=j@|ON6N@XBPplZQwoh<((eVogT;8M9}pJ=3RXaxbD>#Mb(_pLAGZZ%-CyMPoGTiXk3Xf(ESQV$Z)xbu_D|_uXC9g{cu`$k zg{Ai4(~c9r-H+u(uREbx*PY@wvkvX1w)SqW`dYlJ-1>zV%!L0lj4Gki0TS_Uw@C$j*sC0Mx?Xn% zU4L|yO4*4pw&jkyYngBFhrJF z&mCs$lz)3XNqLqNIUeG2{FtK|*3fzdTEQ;<@VWa35eY`jNkuDLVQ+`{psfVdIY_h|Z4>-ZDJW`S`|b$|_;Mj9$PdZJbNXE~Zou$;jNoCW3{sWjo^J z$&>|DI$ECHIxz8o2mRC;9mK+nh_1u5HDYJp_#F^c*oiWR>#HP7g>kN>vpjJj<+`H` zq+G<1lI{g}>=IV;Q@E0H#kyWdxzL{dF69#VQyVitR9=R(HZ_j+=z~lAyhpN&s7F*# zr25=hxokqMYmJ@JPwq3mw1cD#u{6g|sQ7R8Jn*~SJ~O}HCTGI56Lpw*SGIUf2+ zsMltJ$8)}o)pPsXlG!&=yivR@X7*;A1r*Qu=8wN#w2m-H1!;)92^u5Ty_U`0ztHQk zud`YB&Cj`{bqM`Mf{ml<)SBo%j%xmj{p$5r)mMr6dJnN;g%PZ9d*O6)IU3aaF^XcC z5fa9q2xJ-2;FBO&efEGRA#0X& zH)y*6y~|w;hiaJKCEiajuVwIVG^#RjoJ_~fMi)o z4>mc0z>mCSDJ%%|x9?he@{l+Sd>eHc{JYtg9Pl4e3+*Xcnz|!_X!+e-^>*BB-h)N0 zy%wl)!0THsIqA|?H5pN&hnw9%bdqe^2U{?LZ+D{t2FC2mQX(p&-!XeZxU|iy59fdN zSH9z7EhwLz4Eq4or}hkGxHmpx{Vc~MueB79CIAPrD}NzD{&^yQtph9MW%{9dEDZ0yNMTO zpTA1j82Np0Z(iqXj6_%4iR%>LSu)Zma!zgaFnHx=J$Zi(^d0NDb#?z#{9U6YfiPqP zS?I(cr8Un_lS5YHw2GyWNAn+vN={U&UBNxcV06qvsTqUKwQI%q4+seAXu<}tJqB)q zm~sn{cJ>&$Cn;|}RT%kJ9mB!;D>=R*h}3U3WC$ckAlx=tc>NMNUb&pLEfY0B*N2s0 z56mwYx3C2M^DQhe!8w2lF1$#Gsmz@v!pJ;b6&+B=99Rl9RW3nx9*|ToK5e{`0j(G7 zEBGtOo`U`g%$H_!SnjUJ33`z{p7^xvvnlw{AOgGMljKh|E*1@QEp8&y#C$?B>bSwr zAM$x*P72_y$7>xy3D|r$KfEY~ai~%>17vHs2|@*t(M9vaiy{I4{C#mTXMeZ>?V-UBsK9E_<$~em+L(@SxKQ0y zzZoGUbt`h#N#PT&>(#BHzIqIXlfd#RKO@7I6_>O@;v{EpxqSr*Eu?3LHqhzzyOtb0 zC*|ma6Xob>)#VIa@3=yw+7Bn#pN4yHbb$M79zKZ*l7+6+{p~eu_(q!ug#u@#dTuAV z+S+seCS|D`J8GwH7Z1F=lFye9yd}OuiHe)Xc;af}9`o*p;`0X%giYgH7QuX za{#GRT_i{SR2p_xa(s({r6%~!t-J^IILpS|Pxlv>rV9m{JSuyS-tC>`cAtyAZ6Uq( zWhBF%&)?#Ng`&;5Y-s+(oii3XJCrx<<(E-v6ln=Anfe+h49B-FC=GvP-d@jJB8vUSfVP_K;nn~hFP;^2eXXmu@c6a z)625!4C%b;N6sbo!((~de47>w-EZnXh$OgNb|qM?fv)6o(Uk@^F1pe`rFhYmW()4Y zIYvGrcm`ewVK9Uqs0fu94SPXN!Srx$5gSlS{P@#62hGgTnOPTw|*DGwJRW46S+><8(qqh?}q8Tt*gcx z$+bnms<4Jz)+1`lFb5ZLkG(C!Oj|RO#XpaJDmUtPa#i#Yl*ov3HD;FBK)_ZIw#HBL z&Z~Sdtf@})Fih$+giGM;3!mX85&&9He@Y~K?gy%w?EIvOy^R9>yq)y zr9B?_p&^sa@EWHo`$X(!X!kIg6}3`o$4RkvxjB>G%;#_Qlr=+=Nk#r{L z0lM#4+xD*qh$_G{v}Ga&cWFLHXFode;@}Rzhsq}Xni3t)4Z(;8b zALAItE@w(OKb$rOeqnnCPbz&A=n?q;_mUfFRQs*url$Y3rQn7e_?#@mhsli#En~Fm( zKJ?Q_@*ZavI8$X}0@qB(6ygu7N4^ojKEqyod&@VCQ_D+c!X%oS0p{aQkwL72Z z@rH8swE|5{vJz+}`o8MFsC`jeIi8$1Q;G2|;m&8I@l%SGVULx4by;v;EiSH{$ZI=V za@2hM&c~@HAlfK#RUY3HsMlaYM=J zp7lE@t3SkME&C6%`A*T52t5%o45C_=QSz4S1HrXs_1Md&>rize!oAr4S0AsOAz8x@ zbMclsg}#Xhthbb8+1VQXxHewGb4uJtjhgX5I*DkCp;6{jdplplm~e79t+n^3 zsMr*UienaVdW^M)9uAtjG`bov^e5iZY~F!)6*?oXs{M3E{+X24e8(%1SMWK@zWC8+ z{ds%^S?yF>8Xz~wfm^)!)9(SJh8+uc_)l&7Wl8f@@7pn(s{>^Mcy+2W7mHjr^Zd;@ zhu(IKxfkhWIIc;C*qyibL{8iaAze(8Hi4@T5x@Xj))ut`4U{oKSgQSvICm*ykUfl| zi5he}fQZJ*v1{s%CKwfR1QjLW9IbB^Ep6mjH-udSKZi1LTejgk_`@IT*;FY_AUWvw z!z}{(8gM*t^k4i)pN6^btHS(9H9B>rk_Wd0KVK=xR_ZPkWcrK0E6C)P5~8jYWH3L{ z>w@UlHM?$IVVq5suOBMfW>DM+8wfe|;$RodKwXI})&Hh*DX&^8^qSNqx2i~X#^-}~88u%ESt_Osk- z1wy9?(OUm*Kg;&Ves%#5sRQxv{jB80em0BtazC5@_kLFYpZ%;c*v}UKy`L?;*w4D3 z33y1sXqq)}Iq;99bB{^wVsrT1dR(6UIrPh9quy0_TciL|zyJ1%Hk0dV;kU4!x<}Dm zPY0|q9_Ve0(oxxZ&UWiXqBxxlBE2SilW=~%9$WEVS|lh;`Q6jDcc#|c-BPhV>~6Jx z4I*yuv?{n4<_;?9<6(-I=XYE8E-<-eHW&ON3snsj)0iAaG8y~D?&UO8RJ-7kY*=hO zh`nT;F2g4s*)9iZi`z*G?u>YC2<;tXTbdVd+TSy6$7kOA$Wp0$PeZawnJ%Vd_Vh7h z`+zszg2~}8p@LCs&rsd00;2tWO7EflS^7?-B-`lci!w<LIRD)~O7IQA)(Kc+jQ1cGA= z6t8E>K&+fHqvE)kw5I@M!u78C+a3=g0kd%6aFQVQnI5EIZlgcEHKl$jO!lnP|4+i? zVqyFD9AyP-@vB&4_>;IxqDmF^>3UQKO7dlUZtVEgYYZn}xaN1JOkBYmYvsk?=!z)J z76X>6kFJ_~xa<7;9tscTA<;7UTXAbS2JiKJ)=fYwSYl9i4mbq$|1aX+IxMQT?b}u< zX=$W8m6C3yJBDthTe?A7I*0D=?nb&Bq>*l<8@>fz*L`32^FHtPz42|^_XjBBY%|N@ zoHge!Ci^Qp2dj3;xtnv9o0WRdrRZ+RH!9 zIC0%{rI*wQk82$1Tq2UT-`_!it$fOP~yVeB>^r;zvQyMx#0=j zblO#HHU>o1Puzs-T)(WbY}lz4Hqe%vhKtQxJQMq2AY%8APV?wjX5YRla$nb~B-wwV zo8;=03AK5=^ZkmTiWeu7}U za;rbfAg#;qK6^O)R0xJyHO@nK2Xb6+fD4~bi8&t)ga6>ka+Z`DV%~(&$c;YO#ml!( z2Hf#`U_R8>|Ag6yOd4eVKSYxOJk!|W ze<+ZuD`=0_!J=?f)%1IQO3grw?i)2jgQo0t7ZcG{%_9#$vRbIK88x)>h*f$%-_>=Rsab-LpCz6ktIQ=F6#w#9q&8=dWJ?z2>=FqqYSZ z{V`vThW!I3eRKf7KX1xX`&yRoE-=HhrEK;2<~@x!-Q-v^1dV29<)C6Qj}FjmF4%+l ztJ$0%|3Vg29#;N!u;#+)mow$Vf=T_Jy2Zqs#@Rmk|3W1XFn$LNy#I>A_OGY6F?IlP zty8dMZ9WCwQwNWOb(8S9gBP9<`T`ty`_#e1PJZg(X+3xFpbKdgp=tl_;O#dEQ}7`i zd{*6Lp?d(@1Eb~q@;`v7-8kMF{*3I13ba2>sJrXb;MhZw<=+t79NsC17Xntjub;yU zpQm`2O<_BtmXL(^01XQbI0kGy{%dTnBWxl`F{JZ6xiOQ%t9du$ zV*bPFrFx~CgF8w)z#G2;cw>NcfIgaJEPtx_%YMnd&DsZU5QhQK%)nPb*4$gmF)030qtC0RTR_i9uH$?L61k=j9guAgafMTy<`A=xj>{+p=UHi1XmmWKR ziVW_I+LQkWOe}bx-HIQwjj;!O$%jzA5Frh07XT82_f@;{FF#Ni>)hGp$@08QlPXp<4AB1O z)S$8c&8d}dii69c|3>7U|K`-xR-ZVvA-aEZY8q0Ec|Bl^wLq#B=Ch%pe?$hg)IOH* zWB>0a1_zp+l%?}x99oH`V@z(a6Tby()kqREQh%U_;%oYV-%ltPU{Sd;yOu-d9JS<} z;A;yaKoys!)$#7)CC+C~O&_^6PemRt-G;Xe<4ymFZ9?NMRd!Nk`(RjHOha#TsMn)9 z?tlS|F{(fH`K}{_cR<_-)NNH>V(1YbVSInBu(ES*dnvL_qT*>;IR$Jl+TlLsVeC^R zHNIAQGcY)Ftz(Z0E6JyB>gMnQ#S`uoBluqDC1e%FDr zYWb+c-_!_<(OUC{CODvDNbrs^iAW{}k0=Ngt4H2gF3V%-71IaR0+hLBv}o-2XDz z()o+Z|2A7T+@N0EnrQkg&h0c-$l%JZv)@uJ`ep+iR92urF1~TXiHoR~uSrj4*q5(D zIc@uO0B^5NEmp{(;M63FV6WNVME6p`WWPZp`!*qWQH(Olz8b~o=b~HvH+5uYl>Ju) ziq{Y@tSFLOEqS*qUn6~nV9j}&M%R08Y*CLw_zJ|IOa!gYR^w{g;4ZPKx4l6kZl0El zqk?6)+xW-FDNBMxixW{thxDF842v@yzhe%a6a>%SkTDe_=%Gl4?WBhybvIFX_8BHa zSV&otgcDFnM{nqSDtZ;S7kct;$$W?;j-ZD6%c$eLAOp{^12k*c1=tth)#J3t8JuLY zb6fP;P$WlGIi`qrJ zz|$myMsB}jW$P&Df*g#?y8S*Az|WHQjkCEOtH2$k{1;W$)Gcw!%cnko&D8pFFDKU{ z4o1=Z2)sl6)msW*it#U~jMLy5D*Ny+sH~VZ?Lz7H2`Vct`95c!@c5s3&_5|_5kNZk z4-8VO@s-FeprLyfULeB!nYa~<1c=`{kkD9EUeG9xKV?A~?SPFesgDTYfMbOId7|!E1__)OPSeZ zHZ#(E@yhy=l~Z|J<~i}vBsaB;Q)iK$Pq%`bF!kK z8;1eVvrO4Q{FSUw5JAdoCT+a|;>(2$8TN_Yo&U{=bzp4wKZF;SrEQGle+w@@K>iV4 zp#3Gh7$W>8Ui~b*K%o4$@FH^nOp^)!xA5Yp1}O;c`1{$|OL1c1pVT3MfZ!iCq0x$e z*@ViTZ9+)@S2m$FL?Bv05Rs(rQaZ-yHk&IJW>GE3nykYH9YXh`KSoY2A)tYDDA3CC z;fT<_1|muFGqd!ci8xRJc5CNC#v+T1LP*)-$;Kafe5t) zSB`mrfhP~I8eS_sF}n)DC-u|7lO-};tai9B@d{jo2yP^RJadHP^aaT)3`l1|U=LuR z2kZg-vl^=1fIIzQ)qjEGECfmW{S@&P#Ofl{QxXX88#x02?fz<63wquIwEx#VfOpiN zJpdw=j7(m|8TLlfyzW^d5LtE;@63Bfb_@O>yD0xacAH(yl}>J752&m<@PI|zK>yQu zC+7c}cOs2++SvFf+y3zQNrZFuha7xT@Drdj=tP>K z4_VL5?mU<2^DbcG$)W$e3wY0wWi1hUceqnH0qjSm|GWL@^R$zHVS&mCE>Qh(Pt#5S zn@|xl%AZ2BFv6cgGa*0GA7ZyylJeh$=1TaCn%S~iRZ+o9_3SF6Z2n5p#Kvbkj|jAIb>O0PHQess+JMmuB8frMe;&8rI9FN`z{}m zM1+j({(hd`k^}txY!bs#|FH1cUm@2;jJxddMXv7d7_ZWd& z?t88K!}RyY&18_QHmjv1p(cyLvIN)omehWz1uKtHZfdEa3+aNMxHpnkdBMl?MI&55f8`PM*3@A-5=CiWL)yFX7kt)8^tNG z^uT|HCE}+gu5;;@k>497LTvj}awDeMykBlX) zWjFzOM%q&2T>GWiU6314|(xZxg zU#)r?oNUWoi(7uH$F;7e>p0Y}gCD&zNt=?@wQjp~f)`GPP|o>NiVIX&eOR`jYwi?D zFW@n6%A}}W9mX_)!vUPpTx)9s9B zH4qsYN|t}ul0RPNkE|Jf$6HY`9GL?zh~7Z7akD=jCvGr}kmq4SD%9Usjcsc4)+ejF zhsfa%{!2H;U(h-KnNhdU6fvvDE+?ls21)V{jeqYLXE^&*({nPb&c>j*PiZe=1Ewp! z?2hLp8Re$BEQ%9rt^ibFc6}}8G9mSqgXg?6FxXGBFqwk_wh;0^!6+GZ<4eR{D_*3I zr)$pldnRmdk!7=Tnq_WD*@vaFTSE-6%xRLJnastA3Exwq|E?-V+zkD!EZ+BIjkO0JJ>UhcuF3x3ZSg_Rzlg}Ply$8-2J0q-9AD|9rp1V z{l&Z8VjO##l|c=$fDs9Z9q9nSqgv3%k{s;6*!(Bmz<-Pp|39#4nACn0nJ@I~2}`Ym ztOlB(`5xJhZjS-J`eO14v|`;FT68}u%rvL7M!|G@R7($Sdgkanh`9zkE#{@>$@6{5 z)s{AdtiI7MwjQQvgnDr4JxYV%l6`JCBSdt{tT~JJ?a)At~6Wo{aqDQp-gpC zz(wOP2|;aHKskfJo2{v*Ei0p%oXP@dTx30}TK1^VNq{%QE}g5Zd~+{v=@KY&JH-}g zrF?95I7jplZw8hrFQnqj!^{@ojg-Pkvf=z?eol2#+%nbVD6N8PYoX$nu#l?W9$w)^ zf9!HC{rM%mM^TVW13{A}b`P3A2gpS&r5-NAZAsblM%oUItzYN_YEgk3(9NU)56Ei< zf?i&<0iMn+$!2Bv>dG4KNjKBrC!{SaZL;|H8^&BA^zH^US@vw5uoz4TOVxAKrgyDCTj7R;17pB`>qwtsTds4X3#QNco>|WR|;_ zCUd5UKNPHQfiP?~!6Nt0b8sbdSSFcfLJ6jFiP_}$+3xrsm(yO&lU;5Wk>o~NALyh z`Ro2CUS0vpP9K&12knz;kF=}tKX`dJ$(jNvpd9X-pZX-BxdT`#Vk^&o&s_|seGjev zz6)Df;&~bv(WjhAZUk$^4k9c%1Z%q=L^Fg4H8>Fe%eg}F`>9fQ+$C37uT-%b#f0W7 z>)kS8)UK4oEKvt#%x+~(AdX<@@{RPiM9`u+uGcO68xKnl8HF8|}NV%25c4(;Ai@XKrx070QFQU}xy*UEK_PZ3kRV{H?*l7@do}D3| zrWGJ)#*YJck;{4kR;M>ADbRT?maRfNE;!p2OdNu;k!98QrWh=mIj09!&!w}@chxNt zI085AM2wr``ihlBlGy}A)#$fX6fIu@PtpN6wt9<|Ywcc%P+kcvk=V45SC=bbeA*oNKM4we#wdbUq$O7kR| zvvUpGKv4Z`qzq_NhcQwOl&T8fwl#b zEv|bg{C=KKQbRp*@Y%&lP<{_OuT|8qiWl?heP0K^&mh*Z_z2#x_xB4!MD3QkSJ1sn zjuq6|FT;JciAg-AP+6P(H4XKfk#$Vr}ysjQtx=1ql(9E3?;G)|gdL)q2^1^Xg@7KUaf*Cma)&pO+yS zy+6Z+V7o_$fWcE3F)BDvMY$>_meqcpm1>{jb?~iD)gG$$)BF8;UZ)G_cRDePbUGFh zBXcOg!+cY9ZTwabHH0-^oT$=P)T-GwM`Gb(qi`VcEmw%>`lOBo@zBV9`}hG0UbZ8C zMc>|&e90Jfe=XQ_(U%$FlpXYO$C;C64I!(a-8-Lqm_H}@Lmc&5@ZRDmfvYBx!FR`p$qSE{ZTW!Bj2|8dLc~}Z@^h!>08}#9R`+-rhTT&Fvhz4>R^pOO91pWDuQ@LBRH!EN?-eg1oqpbQ% z=K=Ew>Y}|(%yzO{%yu)c1oaRc!WdmXoqO|pIjM;hw z^jVuB<*)(%g-o~~VR~UF%QhAIvW`m3JeG9l>bGh&O~0T6XMXRGh2B-wZ^19|tQFT+ z?jKjx8=n?jHHu%jk~)v>*0n%&c2eLADudKPX+8A z*w$m!Nb@Wp({7eBl%iq;uXw;K^Zu|{l(0|Ah4hZ@J|9%&Yt9mXeuURu=mzl>B*54pVmF%^Q^=8$6nce+PPBf|eF{Gn&MV3wc*J zzRE`(?zu+m?d%^ICDpO#fsPB*1?hiG@Rx}1^* zLzSA+{H{=|uOhMXeN7tKSgq2WdsR2l>ec!qPT-q}3hx6IlU@3Qu{BG1nm>i%TwOqM&TpL&=V|cby z6J*^A5ntXaLGDf{LbhPPGMwEJyL85IdBDp)Yo_=2s45gtVi6iL0{0CFIa(DxA~)$( zN{viGo+uwENwx;^U+x(StYEZ8epNyWw1^(NY{5g~%sm|Jv7w>X?JYLbDj5&*piEw0 zomH;ch%z7XrDN&6wE|&49_jy@@oUq-f=+4nd6+0o;R~g{9vI-$_^Gt${ce6{E8P&x zU+QH-qgO9Z|B!0i@@93eYK!DY7=Jp(ap)?mqHb|}GuTE5%Cvx&UP^EtU31-^|+2k7DGHyKY!!_Q7444? zvyP#Tq=8@WVzTa%ZRu;w93n9|$J~@8bvM>E*r-gSRjli9Twkb&vYfE}g z>+7N3l+ExE-a(FyP#V|F+gGLRm#<0FgZx)X3wPTFex);P-R|VhSqt;P_XCSagL}ud z#WGIPWw?Vj-f>A{xv^wh96KzhL**{J1%Pm1BX}oe6 zzq%7;Q@>DHdsEv?uJ8^6R?rBmH|)D`%{Ee>=cvVY5OG;-?<=-RC=;5r_dlj{x|^G_ zgjNX4UPx7OJCv|~ZyWZ%3O-(cheqOHt!1ym^8H)gjy8idMMF(TBk@WQxzNfo;!BPW z|m?JRmn>}+PJB3zK5j;V3b8pf@duvG_hJb%x4{Reu^aL^A z(PE}OUO3X04e+>XAbnZ2edn+zjzB=(N|mqHAphllx7L#vv-aVGc!gW3SSoo>4o`ea zpmavh;!I4sIL6-8Qw8U4@~;eTy1xHd4F2F6Xex7vB1)`k9}H6 zr!WESVn#-m&JX9>LUxkrZqAJr4;v(BBLXx7_K)`D4?CNV$(Qyhk-apnkMF2(T^H9q zcx-+z)5AP2N8+bm++4UiL8vd_4>h12WP4O17Y5&-Dqd?pTn$#=J)|OxVB~)_lX_f! zFmjSDJy-HT9#lG{K{mHjUY^o~ZEZ+s)Gm@D3Vgip4sx|)Yw7!CR`59B;nwZ#(lmR3 z(-l-;+Pf2;On(+Hc;$i~MbF8h#mf0u%5@C^fkc~HHJbW>oYS9)wQ@3jwq!vY=5i0h zrEvGhnTCdi%E8%+i?cp3VzA1c>0BAB`WaIHJG9tV-6U^(=pwUGWT= zZ^`|9i_psD_JrQDwJ;JLTAV2aLQBXnTLhmy6=l(FPaF7dDVa=mdQp|n5v=pr_L3tk z>zaShYD=*cOrTuaK(QMpklW?@*uyxe1wm<+7>@-tZxs#Q6>lB>jhd1o5}EYPOtUNA z+G>~RZcTC0YXfM%xS=E}71n^AcO4KEs4+zum{c)Eh?OpJv$#ln8T#Y|pRp@B&w}-P z+nOq+S}zA|iJ0JS|)F@MMgL!LY8?3BSBOW>)^zV zuMK-5)(q+5sn4{1UhuSx+Y3@th7f##9zktb@AyfB{8Pe~ zYHK^dpYvY3vO(Ij{#s@{WRv@C>BoOQ&ya4LDq<{?e@nI;uB~yPF|QpNG|6eGZJwKR zu|=N{7ucUVup*{(eN*P1zUjbKYu)Y<4*wvSYMoV^>3XC1xGqEEw}I9Zu>^#xI!lxMKY3IQ>fiQ6Br?jS279&OJ zwch;i`F{ARx%&#y-%mTk;0%d0&B%J?N58v#JJNUP zu$dvf#xa{F|4^^BM%~;~>>yXm*hhEZXSECg<=MITI#kwJr0k2Y7KTmjs|jkOWSeq0 zHDWXUGT}pg1OXU0gbk&h-!CpXpAW!3IZ~_l`AOBu1sE^I{~VS0+rUS@{sHot82p)% z^NB!3SJsuU+w_Wvw{}F8Fu2kk!bSZM3my`8(!#(9^}4E=pKxMilwxA8u{Tk6=D(3+ zz=_kT?`V~a`BY~_9(6v~2i#ln_A2hnOrMYOsVG~Rc(6_hKROl=GHisED0(!}1V6}0 z)Zn7nAvbd$fDCJeb|6nvEo6@8t>+Fb-Ca_hubM3{)9YmGOKogVI%YDu+QO@A1* zS{|x|M?T(}zwV&xI%zB!I-cJwc?{bwxryEEw%oEzoIgasI`TL-Z+ut<1*8o!#wu%!xFN zZ>1^~+?75aUuxT(7p{-g?Wo<{+c~#Ah+bWv*UotC2TefNqWtc<9;x~4A;*MIH;8Pd z%uS?en@cn*w;F53k=r8uZVOen5cU45q!ZaH_2QhfD`)TZHicg@-~L1qd2)qgt%s_Fx*$^5;JZO+S1=;xOYqp zk>;=JXh__U7>9J7a0tHPMVH?X&L`BkB}+wS+@#v>j>s#hfD~jSe~%_fSH)#-|H`0t zPE!knyHCRh%iEqxUhV{bX3-*^WCj&gyV^&dqXU7yaYfCycQmx&Ier zm~%7(4Dw@TEB0y8Xm|a~QJ9M`ZsNK@ObuZ~Z7v#!{cP?U^E@QO6qp)01${O(=SSWa z))xM33!7v|{VmWEQ_%ZQd+*~8$Qock$x~z5#Y234Iyuc`wLkhsunZ7$9=9NEG;*k5 zK#y`v%pe2PoZvY+wyD5LN@mb7esXWDlO}k(hjl2D6xZ!)bhjoH?PyiwTAhvUYIV_8 z3`JZ(aarH-G8pOJ*2?#j*@)O?1j=;p>%b#_c@ZyWuAj^dFn;Hv>4Xc>xLuo@+LpU5 z;UIASqSWtzo~D%`VT$7qog^K!##H0Hj)7QJt_TtNcD-^H%lN}d3I|~=y|=$%36nf@ zzWTD%d7-unXpJ?+KwavT5~gmoW8Ab$anvOih7kVyG1)wJTa3QiGzfg1a=lsC3^*Q>|1x0^SLhu0t%SAL6j9Eg{{aM#&WFl5Q3dP>_g8Xcz;n$X#h?8cwlO+d+-V`ccp zY2GTLmFJ_$J-c!I==DAuYOT*@`AKfJLJ7xP>Y(oCSKF4O>Pq=I zL28S`=_C~}Q8pm%L^a&?GX;Dg`ICni-=`!~#9}3uQA`ssCINy-3U^9I%QHp^=ifI`RIIQkeo=V-dPz>?7`wZI!y`D8WXit)l>xxaxE>xSLQNLUFO2=$QfIfzt4a9wyi z6~N4_>x8(-N*Xje+T`KogJXA))Pd4@sA;MwH za8dKZ^{@K;r63CSI;M?CQ?*|S)i!sWx7V%e?22CQfsVOVGelmEM5(;tr^i|eMmmRM z(CGrHvsg`tox~K?Dm}nHP{b$0(oFJixKt_iMp~yERHPl?1z}!lzD-7F(b^7QNY8SU zwh)x32f3_jMkKh?O5TGEFmF^jS=H9y)B~Nrgf4neDElvXP|Wz*p4$C7H#GJ%xKwRF z6vCrv#a47Lpvn$gj)2_UO7*aUd-MC6j1L$7!*$-Owft0rad#_GJl5q0%o3$rRi+}+ zCDxYfcRXCQ!ut7dy!kNV*GDn>6=AEw1!h_>VCz4DRFsCV^34kx(47P1=$RjLJU8>T zAoq&j>w4jQf^F!b3o)AsLF_8UO{CFcV@+IyA;Y#IvAb7zdNHI^kowC^Pz1o@Ju=sm zimSX8#%e;xwG&6k(sw%*34Z$Z-BYHdt&Dd%l)rrCyMgeZ5l$58f|YQsNwm+#-uQTK z^1|D!&Oi$OVnUa(cRZZ%n(c zVbYPR*XpwcpPDzGvDmm@?qFgcw{J^M&D8x8We*k%$vF_U&Yq1h$_m;=Y4k9-?W!l& zUa(K;tb&OAV*Hh_HrpHVH}!Fy&R58pvl=?$)q$JPoP{3h5wdY-b%&h1*T?3Xeebp% z`ILoxq21XRe*KET#w1SOjUWBYRTTu%O55&s%CPOmfB}g#oP&(&G)vlOMP4XJnGv=f zuB-%xN;USl^ohdC-Cv5&ER1+9~!k@ zqJa>JgEIx_!(gX*oO-cJm#E^jo6Rj_OdTz2wU%X~=iciJ&s=+3Ptfywa~rNg=JAT! z_i_5ugdJPeU#I9vZDu9v1!ZA;jOjj-?4v>Z;8Z*iY2WnDTy2tGQz8XYE7JS4@M*t9J{=03G!Y`i)%2{aYSWok+^`@X_%XFg zbk2dKmU;m~k`JxYsD<7V>~EPXHWXBX+UpsttH!W{k`cm3-?BKnyZA~c$_lG`(|Nb9 zmjE)qeTbyYo1=*oyOES4bYT;7_$_!LgXvqRpZCs!0f!|xpVvv|to0^?jJjre)_p1v zts)_!kWv@;&{a3FVx^ci;YeE6Ah38HVu4ZwsjobIm$0GrWis)Y41V!Qi%FJQ zhFG@x|E6f43+K9z0clPfOuPAZ(oi_)*d()aGL4U0N+`!@SgY{3J4Y5yNF1DXr;xed z8Y+cgtJOR@gw5x0SmkH%v`S}~`CmZW7@XwVsnI)d_En07`A$!&em$3v$i5qw*YO}_>XmYmkYW4xjUowZ^_78Z;t&y=iEzWX3^j(XNp2Y}1uoXL~ zHQYD}<0`w3m}Nv!?#Nh@FlBLQp4Tujy({pY5LBx4b+>n$m#WmHL}+pAwH8oMHls*K z6Ua%bzlqB7eYAOxyMeSH9$WAI|R^tQ#^oPEVxZ5GxJ(oG?8w8f3I5ih8T=vbt-MOf6K%nb-0|t4Ru1 z&@XMrPimQ+)}^kUG@q$3iJ-u27sA&lKf3B*JTJ&Gh$|b?{&-64M@#4!(Z={3A8LsD ztxq^O>uajL#{wDHeHx!y>Bc}TZLJa1C(gH-7-~(ziTE%*UbV*xciBZ4qf@kgxq3)t zS3yR<+36^@r{TK1>943wF)#`8rBBcBq+wUwp9ZJJ8M4#nXt7e36uzyxJJWQ=#QK1M zGYkiyI&AQ=iaJUzA>Xs4Z$eSc#%tK)Dys6Saf2wntmhbnbt2-+R1RrDAVosiC$T0L zh#cj-5bIDatSEK9?Hh1<4V_0C&CAC6TZ-Jj%@jOR@Ucow3#-MR<);K~(GQl-eTkAb z?ZPf`9BaAorziFhNd{f}QR2-4+433Ahs(AxCdSsEB=*T>sR!?Nl5#ww1Gcltvz_~R zO!Vl-i;jMJjtF1Zy3>Y`h#8xewCKvMsnrN9a0$rmS#aD`UqdB%h_Sie1tFda#NcCL?W-#V(&cbNL%hvjM=`gSsk)En{WHR z!ag^}u(H~zfuYc1NqL=9mHZ%;As2_~OO|~!7}{YFmmGONB`9DRU$tvk?1Lhe=EF|^ zjn5F?SP^{jz5=_#Y-%F`C*`B@gR+#*{i&;2x>0O!-{*emKJ3~ zG>k*RIq+DUVocjqTnG&Ws1GcKmNHDqRWX%t8kmO11KK%}YBNdVMlKCK(uKZJtXZpGy$HX_MI*Xl;wQx_-0rh7G^@kDT#Q-Lm zFT9;-k-Dx$C)b93oUs`DP1D%e12`+SXy_C7ZcjH55vxNO#xd(qPJJ*tE^tH2wT z6jog_7}*AemMg4Y9y>){%1M#nYaPWzF%>p7SxlCGw0vVwfkobaTh{Gf?y%G9HsTyv z%cgG0{-@e7xFm@PqKHe?xa&LKE6VOi&!IMAXDIVm2rxZ`h;Hez)t;XB{TomElw)7 ziXYDNs?KS~ce#c16w18b{ts1|3x1d|sbZN52Xxu40tgbXdABOL6+@i@2t>5k!RO** zQQR*=WJ9CR#;vs^sdscYnsP3f=IBsM)e5zCkrwT{_AXbWnI~^GTPa22*?vY-8g$4? zP!D>S#(HDDb;epxi22xO7Efg|TOlu5kw3ZMl{yWN6o|%T3filofEoKBN!rB;!rma+ zWYq64FIx5Iah-XeVvYK~dDf1pbuN97%#!OhrEeHPxwEE$2>GZ)YS5>f_?5}ptS+5$ z?OKZBb$@K9!xxxDgPhZbc~jaw*Rrk(#Kbk^%A&$aPZBk?E48SYQ-cs*XbAo(Jd}hpTAwfu-fv>CMEyG0`kH3V!j4y>nw8rk@<1^$Lc#kx6_`Zc3Nc<@ z-ZBKuF0d0y+KHrOd&hg+JRt>9(P4%4qaPdJ<19MQL$z2hi(o>C7EHm?v;@piawWZ9 zv88k|y^)b>NMpKe@uf9Aoi{;Ez@d=#`a!k;Y^Q|OHqjn3djece0|t zjIVhCn2LY*gqo2r)t00=mGw+)^lajO=vNf2i9|yMZUR|PKR0HL4I+odU{srM&}CgV z5vyUcGq0vu8#P(75$66xZ&4!UMNdR#GDr!+2L_wSY7H^SsJ7fjHoxBjkr6EYg)b1( zk_1AJfiOy_SD<>AX=`F|Y;{IsOc5TfprKs3(r!ZMAa68Sg>Iv>HrSeX{O3++t-5U7 z&Kps~BLPaG+KH3*${V3?LIZ2KK~bwQN}vQ2Td!HcNE;Yb1{8E^`1$yf*#s8s@M5(W zwZ4qga6NqS9n0FA(U3&O4WjH8QnKHn4W}u@&idy*XP6REkJtxW(!U`eU8|Xk)EDe2 z@}(%Pb9I6%$o9SU)sL_0P1FaE-R?v6;nKM6(0NM)xvq<|iK-cPHI0+3b4LnAX{G9m z1gzmlB49Yuo+y)H$@QJn4auy{RQvS`62r4>yYefNv;Tn>IhS|4WFWp0$&5(O^HZ?lwS?`b@$0E5#Cx10{N^f&Rj#VB zPI1HO&@2+s(Xf{qF%^Yp^PJ|Y+4HxlU#Cu{(Zf-v+lHLu8pbOP1Mh<_zMRMd`nC%&Pa`(3g0MP)|hL4S_^#FsqU?751~Acq8N&9? zOug@QKwjQvnO60wG1_GaG)e&H!^NH=17AO_A_`E=<9K==3>ExWIHk0z56~q|#YraALp{RBsF< z>b(b2?PFyJGWXyaJ;kl{Nhas^tYtuWn61&UH)n?BUZyzp4dE|ZGZZ< z2&&Elv6pFDcNtJMx8T&V7A+hYl8zmj ze#R9MpY@~vB8p3>RTYZBB&b3e-9&^1OEjDpkz|fkE&Wd|;9YdCZUMO6l8cH^_ zX)Q&VWj5^;=ae^r!Vk&oKo+!1o+G}uVw9f(IFod1pA{uB$2xK_lwOrxeVz1 zV)zMh+@1xmh*Z5#Ooq8#+aE$ZlV?kIP75C&2KT|0)eY7+nm7%Vm?MbWkUr6SRm=zu zmisn2MjE9um+-xRihPoa>vKsk<}hKr82ns=D%u>jND%8Z)ZUlPoYLXW#z&|$Z*SYj@tSj^_AP!sfFy zNPE3g4qrR~RYF&2uzr*f7`Anut3OtiS>MTGMe)3kAEC&cj@ZW=3QQ1?{^0H;zpIBS zvQc$4jGR#OQw5O_pk7aiwOj05+!D4kz&2qa#?Dz0j0fZN)VkYBR9gmHTxGT#6w^MG z{i;7buQJBYF~;(<=cydX$>rKwSfH(F9bRNcq&G9pQ+#rLxT#$*wq^E>cdgw$A17Ta z@xp{aD*CQi{ZXu{KnveT-hR9`xKcw5n`vuyh=#Ih?|cx8shnHewQk#z4@N()0umu+ z=H~)AS8|%UvDN_3{eElcTMcw{?=EFnQVv(-5L_r#d6zQ~+)>i2CX%0(S0y7@@x1j! z!Br`+JzX&lH;9+lOXqp9{|{?#9TrvFwGYz+LpO-P&_j3UkkT^<5)uMKDIg$72uQcI zbT^1diGYf9E2(sdfPkcQ>2DABbL;cHug7;B_xn8mZ1x_mea&@VYprvgYwcl}TYt*R zx68d5lFtQ`UcctA;h59&HHn|Axa0QS5yB>I60Q5V?Rpd9AX1_(ZOWTkR=um6G!?~U zI+(KCYaEPH-|;%;ADnvEzrRh7>+DK*AXtbgDWCzgAl|@+6Mo@b*T^ z7p7*y$4?F7ifDx87UL->-kJCKHAfhZuYRU{>0s=r3g*{UBwP<$B3{rYd^$5*e0HR( z5xp;bd!1C|=g+m4Tj(pQBUt5FO(xp{myIk!{puy;P11cC?qB6*v|EPo4=bp>Uct#^ z%=|OwA!l&}ZTZfP>#O|SAF&6z!2*5Oh8;kItTrou;fG0lzH~&Vck8k^Y%bQkjGZaF z+A;N*g=ldDnPRa=4fo@# zX^l4am1T83qOnfDe4T3OP90~7Ck)H3%ZW>tJ_VD^lVr>Z3ATCk-%}LP@(OkBzu6~S z+eXEHRAId4r&cHA@cCsdt9bKfcT-wM?$qr&>*leE11bwK`Kv{^tOt4!mH9|oQLc(@ zf{&6-iTCNg6bxC`8x~2r&UJTFOD1)Fvf`-MTo6$!W!$Q$NiYLLjy-HgH8pDVzTUri zv_XEa$+2caKS){>GD}BB@W1ZyJ^hoZ}0o_+Kkj&#q{R4XFb-A}+ z)eLD96)qYnV5BN8ohZMd=GrJ7M5{Bo%VubZB140-PDpL|{tG?TKGdv-ld z^%J*y^0%}Sho)!gvX5l#jy%lKqs(1|>XUsxg{~^8$X-MkJb!8J-m+?WeD3Yh@s8Oc zXMDL|Tkr8p54-^fJ7p?x&1I(>KS?cl{Mr#dwwm#b-HRJf-evpzNYs8fmr=hdD_;_r zy|Fygm~}$tXN{^pQ0^)RI~r&N!88Bds>Z16wiiPP_K-oa?i9B z%dzY=$XVm)$KGD;zK3*H*YEn7$g6+2lu(?IShSo*Gyso&w+ay@rZLrW%Sf(7+C8b_ z!h;`Hlb3JSW71QXNG=Sp#Yte&KC|P{?DF8k&cCnUr?bTXCR>sd{JFx+hVY3?8s(?_p%$Vw>lFuGJZcY`k`?C66q|(+2X20wqHlTv+f~xXJ7XF^4r3$Qr^iG zGR|v9Owb9!yp+A0+l)f}{6s8>{Ifd5$q{CXlc)W3SW1l(bLZS(Fa(F{t?d`B3dG4R z8QxhrRE-EC{3-`BcR_P<@WlgS54q)$v3pq=&9!2c>vxI$h+GAU zwnm<1;_H;~3rh2ozZQ!!k+rSOW_@DRUPHuZ+&8jZe4mW7vI{0wd$N3+VP*if#{w35Dig z@GbvOH;unP{7inYuWk0D$c|)XEyH?2%>j+U#~Q=y*LNM-iOJ15#ZD=^3;Ad4-dYfR z;=Qfn2=%IR3S*vJ&bO)t{+o~w&OiZXVlOeQp$F)oWzbYV9vvtuN;v7oYMbco6{*5D zzRA+hd>2f}Be2)@-NF7Xn@;lUALuK1TQ^d(O=4zAE3R2jl}3Gc(%4#6K3vXquZ)Vx z8oi}QW?q$$EPJHKQb3!URL=S`<>g_-n=yt*Sf)dBJtr6iV?}mr1+q0$#=7y62IsNu z1J4y6X@9!@oLoIazq@lHeH66PkblUkHlp{%`CdcKp__PBJyKd%(#KqALR}L03nNu} zD+zYPTdC{ea&P_WUA`qmYABtt)H$9@3`JA7aKmbxLcB5sCbC5;od@}ET*p8Hsy_?Kp|2PuN(=C*x(-F-UjVt}U@H%n! zS|=y_$g&gT@+VQ>@a8R+qR+vw8VmMj@oVoy%AvrwMtzxh)}z~weR=TSxbiP?UKJMDXTX6erebgXUqALPW6RKm0ElH51HN~%FFf98ScyV zPo~C#G;`AJTKVPPu4%`9@v_lG+B0E`YDu#_ofiycxBAL_2DRNfo<2R<=;idV>iIJC z?a}kTI;)DWaiOK}^VRJgM{Yqq;8DVaSDyrpfg44aworbH=JHM`Rl zjTfXp5ZBIza_vk%+Yv7Q@G+{~lf0&SMYle8hy8SL%PmGKuJYA}(p>52+nX<!As zI|y(~%@<2$8TJUQE(VZf|xl`5}D;Q_U71xtF5x8KyU?nOR0iWB3CrhIP^Az zMz+Iah^+=rws5}N*`zKl-FQVlmH-Mtk-4SJ$^UUM(M&R-0}S?OE%GozT>$H*JKj!25mhk_CT^ zpN^D1K)DD@_dy)!`tz$gn_CFN6Cyk5^Gb&x4n9mZj!LWEl?D5(NfA8hQ z=_%WU)k*W-Y~QOTa}UkewYM9QY@);+CgWd}of@dC6l&g94;^u9a$OVqQBh-gXpL`M zh2I%2(2?qCwCum-az0P1UC}nyk6_Y`!+byITmja0yyn9vZI@V3PJz_=I4nNbdAg^) z^$kbpS|m$*Xc(Nb{=#>%%UVuA+{c-r!ko@bWhv}+lalLN*^p3f0;5%LeifKxgb-(G zw(+fzeX21Dk9;nXvx~tz$>pp9(P*@j3qfP4E0N!kp@!G}o%~7o_ChIa`NpvXQH*K+?s=;J-II%2 zo2IdiSk+Ox*E;W|KIV;BbEf&rnN=btQf#!qd^%!M#p2^!t-_B^5(7tD!&ueh8SUhT z+|A^0#BC(7KQf%^V|;3IA9?tr;rsd!D1X1-d3;%-690wCmrv0hr|z>nKbmf%?PiMX z2Ag_OH;uHVxJgeG4e{p&NEy^^f<2xo+zZsk&IJ3rbAcjTN5o#KmTEN7_k=8nm6l zsu+!+9<%JBj`aw^YX5>mNJk=frArH}*7q5g9W}eBs%n=^PFVwAlLd}{JcmRb->ywp z`>3Gc!%w;*b7p;<;3RTJ$t6ui%e2G3{Qn7IJCflkwP-%3GWfh3*v%Ob#V1LJqOj@^d z%a!2zgpE6zEUi&D#chiT?O1NukTC;0+0=z~`Wr%9)+1l=E9C$d12 zlpvo&+`@5Z`;4GMV-9!j+4{#nd$pM!3M>1=IN4?b(kte zB}L9!e6^@>u(AL4b1|!-DxG*e0p|t##swB1{ z-)RwPhh(-6bfuwG;JN|<{7_>bXIvG_Pnv1ou-Nx`1HS7*!F=S;>{N_5{K$5r37Q=< zw(*msSqiOk2i+?sGtwECH=ldo>~GZdvN|g>!j+nm>tE+V|A9=ly?InE7${GRuVTx^ z_E=v^zISeAYFs8ay_J!UG8)D+qn6I#Xn}MoEi-pBJoFJ(M{4xjcPn84DXLh9}iMlSu}*|J;%6&ZwOf= z4nq2d_Z6WxgbG-L$t)&lVTpT*NGx?#XgVpIF~@03FSIX6oDsdTwi|YSG6`psHCWm1_6#7yZQKc_TdnpGVmsu#Al?4ceU+n& zyP~)^E!xK+T21VV9Z`1v@rYE6Az2I;<(^In3KemLGe06j%-N(28!@e!Or`ALql&A7 zI@K^(M8M28G*hp+mmA49#JN3IA46os^2@Qil!kMyL$!fxi&1wjObh5HSrKqe{1Lbg zS;~c_M(WD4yCB29r@>O;r}>*872}CXanoKa=G}JHz6R0*JkfVL-~AG#*J>7@sG;fb z#QYqsyIp3*4TJ(L#;=Vqb9OSuPrq^?*S`7n=uVR7wuY`xPEM#;+wGvf>;y!Ot}WM` zn;aG}I#v69Qgq+k9-@ZEy~Z#3L9*?G{fBS*3RThOk@2b}lGqMujL1~m9&~eWt;Ogk zQ68r#3h39o_9EFlnD4Myld!pU=sQ{Q8)Z(zWAit7DxCZX4U*zJ>yvW*TkawJLQ$i; zPkXRFh+OecHNCKW@2gi|=&2}5T0+WUXkPwr>1)>?FCOyBHi!C@c>yGKX!JFA6`Rz{ve1e~qxJ$< zN=7dpX}#zC29MPe!-7nMSvVU`rs!$=(|A7mm@z)2FMAJByVG4TVn*>G8M(h(!Ps>S zT~l>^R+mGn2qRksO+A{xfrsE_^_Jo45~v1~AF|F-(^8h7G+JXAa;1b0x;NKQ0@M?_ z4f0>mkyrn0Tn%Xq6!Vz;B(g=DGiq-x6Z zGNTA9QTecl^FD=LJ5$I|t04npmX4iwzF%Dt5RWFYpm|AW%~yU&yfUcBukfW@Dc3cq`dve){#0Vy41h2}&Wo ze6=c7;2YaufgarRZ!*dcx`pou_|9mIQMj^88RyHRF;y z1PmU<25h)cgY7El^k``z!HCHg|8^Y^s%1&rg|j+j{t2nCR;Tv5da!;R}dm?!3-tr59Z!UgRL#v{IlBKV@TR*VHvG~5C-jM&AOKUOeNumd> za;;hNx4u^X@4KsS@aAc>7PSa9^kqjwxy0-MC{N1`0gi89-2{>x*Ud{)=52n5W`^2& zJv9fP@IepipH=^P7d!yP*bpkaD=eM(jepmfq}?ncXiY3 zv3du8ZPSC+g+RsC*x1@Yp^T}_NVDZCRERb#3*KSEk_DWj0s zHYwN10^4%c(T{s$VqNLzFKZCBE;!{WjH=;dem{H@-d>2GeADfnOF#(5b4ZCe_6`yY zmDO=>22D?<(SSxL+55{h`+X`$xoFL)6&G))vLQ=In**bYLZTeMi|3L6^n|>vs8Vo! zg-vZ_UV9)pPtAKB5yYS}gr5(+;f<$PhUHsatxZyt2f^m<5kokysgHfoepU~;@d$4; z2%@cv&+cBBPyBS$e4-2%e@0e>1LLA1*vT8#?e|MS#BaZl!Eq_Dh8^Uordl)Y1`}9q z;yX_+cdG*4W%fgPtnI1mD3NJ8ECFvwhRMY?tRM}Rzy$nxKB!5lChi%`jWkeNjEkyJ zqhkoNnh#a;d-*mMw;uT{LJ5s>BC-)WFzq;Y)MIOE zv6aF`q%khfyxxn&D{IHvX4O}*#Q_xY_rzlRosy?qG6#TNqHF*zDUeuU)5%vHehQAd zSs;Pk#R(+eNVnHPV!ZZaiwPW9Eh0Rm>FX3llDIkgQ4KxFTQQSB;($&W2J38r+Yf-w zBa*`QgaLDo#@U0|$6XSFA>RwTg!$+KWgC>TdfbM88_r-c7lKVQ4#i zX9Jzj-CENY=f3JN(iF2c4R;ph4_MkIxvHJt0QXKWT$6dDk~$+cCqGxW|5((0J)Mt2 zrC^HzN#gMNQ5@czT13q={{r%DeRV|9`>GL~;Rrk#^)gajcU&^T*ZeCbde!lH$|x0+ z=qFBvk}-wKnTW)g7l54Lu#%1K&hMb}F>m z9+y#hyf_=^XFl2bO9PutPHD)i<9;BJwQrnx6w!u_3wOcx_ zZw*3_I&|Hihja)!IIfgJl>tjTC9}n`EXmnWY|Eq#P1na_cuJ|*pom$Gs39J(Q$^|B zV!}%v{re)} z7fC$D0bj8=C5DR2-$)a>T-Ua8s7YhN}UHj>H7d40)lFnK` zfN}voJFX+#B|>6&-X$qAr)083RTYSAzi-`nmK9TJG~uKA@(B^1YAU)J3^nyS9TPa@ zjiW(S+HoHFi~VgNQV)j{FcQN8@D3%jX-Z#Oe&etsR}*_Ivu@2)Qg>RPhk&5()=bkW z&g1@@kCd>VqzVXwg(Te?Q7$xZ1?EEFiuwuU$`;^=oX1r&u@HsSlR zbUeU+1-*buc2Qf_7?{(JOHLHX*4LKH4Ar1UA^@4)#l#CZ990Op##-)AM*1q1)r?Mp zcPyCol1-gB(Op(BVp=otD6t4Zxu(HuKWd&)pQqk&258J4&E$O9tXtP$Pxtg}unfX- z=oAqtdx01IS1GU@!+?g)t?S3xJ3=;`DgqBZpA(3zu1+hDqNfa}fYV;*&L zFp^6oBC!8eAkgYh>B|JC&(Z=_HjHvcttkuZf|+{9B2yuS%!U)HIdan!YB?nwEWCm# z7)Z@X?qyZzIKz=a=scdva~QOI7xwf>uNd24LzJ`tddQ|xd@vDum!!Bwxg3@p6>1I0 z+f=A*#OU7p9<1#HI7D`B)S~$ymG4PKD`xO$eX0oWjWNZ`gH)+67pKg@QkV-s^nJiJ z5Q1kjfs_Hd^%9<^c!)Q>mPTWUA?n!Ym(Qq4Y+z#Z**XsIx-l%W_^UX1bIou#Eya|l z=?&yx1p+OF@nVPhb1UxoS+h9p95bwKthh)gq4(u~RR~Y^IX2y_#g7nz#8`kmYT&A$ zz9gsgL2=1X5)mZn4k0;rB4d;tUfg5#T@(zY6Vqs5<4FrV6ztcGFW}8g;e_6Z45FYY zTBBv6z~m zUN9f!K=%=1`>L?h?h$7oKN(XBJjl~Y{Q_hn-$$Q!j4ESYZ%;<~>GLSpG&3r7enB#w zV1~-?g#wktAOv(Gx_k#0C{cyZ<+dJON0WEgBG?MVY4}`o&f!yYAW`f=)xrW42$b$d zJ|TY>2+q}%9iqWk9S`}PDPu1RqW|5g5n=G<cc9H zB}_ks9Z5~azpWDpBp2g)_)%BnT9!S>A<*2o(eafY;ErkuWXaeQ!gSg< z-485ihFlbpS~s~S2vFi~vER8dXAmWR|J7QWHogFq#1HYd2XS~=4zS3Q@FsZo>LAM0 zhmL51w9JL*^BlguOU zwG^dO>kkt252gwULxo?UAy8>ZkJbx*E5eAv7R%BWng_h_HpCdB_4dBkC0@F;R0UO4 z-g_U1I`e6s3hYBWY}ZekS(Kf|`BAsvrSt1WpQ)RgrSZ%kD=z8;X(U7v;hEI!e(@cP zVgTAoYv^*S-E)xS`vq^LxqK22kvT+Moj@Cvo&VY3lRtKDKO1MpVyYmz{IF^$!y5UoR&$VL^*42J4@ zIDUJ5ssJzU*nu)NxV;_<=wK0)$QDL5^aOaX^u5!U`e6l2RSMfY0i_|rd??U@8hf!` zb&#j|czraOkN%n68)SN^pl<>q6)#R6rSZtpVX2%wUW*{f3F69-e{Go(y7oIhk}0Yv?=`e-n#HlUIr>d}cJ(^?Y_FjN}CR=<`Kvt1y8>YE@ET?6^QfU5|2qDT*D z+?+u;7Tq8WA&#(nR>DV!hAr~fakcFF~n;=6=k{-}alu>@>*g|Qz zSt#)!n&A(o|8T6{%I~%}|FX}*PjNdgCMr~DjMEZm#RSNcq>KpKW1d&X)q3aJOL`@K z$mOs7I)U@}7H%L5Uh!fi5g~WZDGW&0p=NMOI`S_H6f??AKqqCo(IKBq`L9k2ie*tv z6(NJbs$>K;Aof6JLZ9M*9;d~q{?=T*9^v$Os+g$#&BHe&QA^{g5>LTxhTs`2SFWg= zHU5s|D~syH{qA0yc!3t z>0c5s&&yK0UGpg$m7>q})LOhjEafiS6&eHuwI!*#9t8|Wea>j3rdxr6LeD5!X*tl} z^^V{4w^#-uYM$E}CuJwT|m+a-{UJRwznQ^5MIy}|;Pc$kR9R{shoXY*yaNz$JS ztqd=f3)>=nueDJF$sKD*n%#xX+8EIJbhibCy^^4ZywEZ{^2Q`Mc{=ST=yAXLJD}O7 zhibO{pg*fZ~HMoW98bldf&@fkVV7BEx43r^6SwIIrs2)GB|1-%6 zOx5G)`Lw4bS_>*;0CG1l!joDB_;T6udYzsLEFfhCQ<=rN=EKE6sFGleabczVAuuW9 z#^?*U*cY~e@%>~#<)@yG+TfU#+@i8G4#@^Omfh8l{Ev@ ziJmq}hYTIdRe;K$L3t!wZr8isya9Hv4ZtmEQ7tqZKpO)O54zs5)F=*8HQ1tb9S2I+ zv0gCq-+%vnDLM}Cq8t`i0BRm?{RCu}V5#$pZ|j3t+mmH9Gy*rHIFTWpqyh3l!Bw>^AGjV;u(&mB z`#C8N&(}C#3ph1vdm2n^6__z~JRkKM>G%O?wdL!4Q?&4r`sSAaOVe(_4}9HjZI!L{ zpt(j;_%;7!i%g%GyJ2Xb8PK%c#z}CbUhx&$jh1;Mc^_zGkxL{Y@POw)z)<<#yuquI z0M(*Wgadkv4^3V`0pBFVctz;sd;2Bp6g928y$M~tO42~!wc$Jr_Z&qS6E33y9{=;vLmibz?vlD) z0Yub%X=^FL9EU|S)J=x_MHPJYnoqeir{M^+^ily1FU7T-dSWaVLjr@U#W2D|%Pn#z z97fwrRbIvwI=r(I2QQyZKcU|;z_xDCv z?CoIe6SRkAC+A@4yzAoquHh9;h1abELDoT3bj>}HeP+W5A+4{1Jf3%c`d_>XOIh82 zYi?-qT`K9hQ@pmaHqtxLRc|ZWR4bS;M-%JLkKMd)tf4rBse9;H*xkb%xtrB_U(fPr zaF|cn+b@@C8%GW*E3q({KfbYE5a?g+lL@P`LtDg*xO?zGyxEWdgHA^T=$S>^f=+utzfH(3lwF3Pb^FWN!lk^m>|as zPF_HjBNZv$dzchpF=H{GU)&1S)fwyKGXI}RvI6>pPUnfli zRgJY;hNyyz6EB82T6Eh3IbJo_yto((?pk(UgU&oW=XW{@AifhC(##J(4|tTPWW@}A zaGv1SxI@P^`L4z|X$~%{p|#i^viMB~nV`9i+< zpMCWzF~quGj?CT5Nxb#u5esv@8a;ZeaLJoxR<^LR{I>wpq6o`bDedDnl|Ld z$u(;XU9wM#iYkd>zO5h^1xpV9lW7L>Yn8-NPEh#wo1rIi-{k0Y6=m z#(f1<9cwYggU1|^73R|j>7sYp!(vLN`0nM=@J5XU(GU)FHjq@>p}Q33(VQ(6%l19}+#KzgrnUu10fFA1odyQM&r8MMTZiTo)x=czPHoj=E1oyVn{^i)o zyfi9^_3P)~mS?G_qzufUxJj?`3z3;xV+-V5S$pWpvfJEP;4zKxP9BmDPm&x&jMPg> zMrlw~8S>J)E z#kmo@_l{J>rR=p-LVjx1*rN~eELCQ_R$)nOF`}`(Byq>)}WxV)$|Yq=?kE zK;GxGJfpDwdTuWS3P-r;EMc`;lcFUBZi|+l(Xb2cT(l2N(ZK((A4hpRgfP*JGL+N5 zh2-o0*XXS0pPd5?(a0MU1TTbi^I<5=h$n+P<}=oc9fzwpUooUSMY|60 zhIlVi5=GE;&t#VT>v$GOt?f`?KD?F2!s|9Fl46;}=i+5;%jRp)DfY1g@u;>FG@_k` zOa%h=2OU6|Kq(fKy>iY#@;DJS=;n4>i@gC+!`=ipb*)=L)s2u$1rqjSWC28O1b9?? zrryk7D?PgB(Tx@B4#i6^c_)3q)eUm->EOl0z-EXcrU|Kamp6$xCZv4+!bj^ChF79s z;3=gN|31xhD4xd}x+3NDM~F@2EQpRm2@(1*)SZiCs-^e6$rjFTfoWSLhGnWc81rr> zU^~JiHc{&=vI7%FiVk?pk{!NltQAt0bx4XcBEj5>l|pG1FrQ>Zg6NRLlk1(mh#26I zui!@U#Xr*Z-t8)^#V-1;Mi@bQ5lLrbA_0{L1ZcO?LCeaI=+ni+#9LklOA(zR5~v~kxh zQ8ivl;8x{U$~=VCIu*#YM7qe+9;-B9EWG=yjoKXjz|!>{uu}?vMCBoQ7d@Pqo~#ZY zyWInJRU)onh<}Q<{ZngEv1@lgCJcv2q`C#0@>)wr+N?hvk~|np_?({~FY4j4M!>b? zR2*#4cyBN)giHmR@vT;45<>+FRm7t#kUlu4aa}4k&+-@@Ki83;>g~|II6FNguC;$* z@-RRuHM!>P&;CBqdu599&6F{>a!b+J_)gG#tmT$D%Hq3+aNak3k{|ib_{#Ts_`BZX zOpY%bEq&Gag2n=y+73w+c`ev;Pxb!#@uJ?dQ&p>E{N<~ai=2N zs_17=5-U}v-BB;x&@@B@ZAg5i{j2kuq1@!Qxc7XWk`%J~TH6tEsJ9N!&3hC?eX3Mw zUARe^oo<}oHdY%!S069 z<&rcez=Aj4CBE|oCWTuk;p^OC0QP7Sldv+zq$*E&Bsc;6DU_cL%!N2$t*R}N2Krg( zJH;FXbyo50K1{*3v>Wg$h>~9){3Ro!JuLD_uOOeyfHKQz3gQpeIK?Xu&bk(%bdS$HCqqX(b#jy;@**AlJIK>>Re{ zuk}PGeCb;J3+3$yf+#29vf2xK$=U5B-~%&{${7N<<&z#Q6@L86DRKka4H~AOikEUu z$Q#(mOTQ&Q;=IeErXrRJITy!m$8tuJD3`3?9}gaRd!wv}eV5s64U0I^7_V!WK4d^M zrobs3y$i}O2#!J=kd!r-y+#z!sBkOZf{AMA7h;KmZ$;l{Hr3q=<{(_QKf$raP`j2K zqV;9LK=%%ikiDMGVL6XO)Knz7Zbj`t-aKMG8%8{d9pYyP9`1>{>la!KKZ{_XfGo6{Vh7#!fRTKDmbFZj326kG>ok? z=UYTwU{^&!_hFu3Js{f+0E{jaf!H$uNK3yAFS}GAZ$(Hh;N$J>lBFjheXHrB z|26VgKm%=x(&2>bUwbpQfM>#2c#bf@L?y-&N?@-=BgQTwnC9P-`K|ew3bh8u;AO2r z6(%~G`ZKmW^Fg>TCsW6xq>=VbQuZnNRF`1$VGN69N8VSuUN|HHE^T@uKh;$}S^V z3}rtOTTnI1%2DUmXF6YE4A1uN3SB(~L7Ml`t`<26It6_82a2GsD!Pi!S0o=$y0EGX z$153Vz0=->edW}*Zv@1^QP^uvn^_iE$43Bn{nh?R?iLkXr(6IMqk1SRF{%)w0f{k~ z^}J#uePB7KH*YEmgHqlmVy5pZH}3iYJ1L@{Z$|G=4J8JoCZ$8QoY%129TM=!g+0@} zEZK8LA1$FgqA$fA3iM$)0|uYm_lac{$`pIVX_L+&!M4zf;TQ2b`)uvwErS7EgQ;M0 zgESu!eY5e)G(=c=aqF{IoJ9;H86}UwR08f^f&1~6m@+*;=3sm-7sqP~13v6yl<@<3 z2^Ok^b^r(9p-!*Bm^x6@3{<1PxnbzS?0p0j3k2FJd#)D>G^?Eu?RXgIV}-5=&Gp`| zL)6~J!P(fkRzPwJ9R4N~N~9Pwu6M z2&gD^{irfWu6T?!3qAY(6i&ESB~=#YSMYvO=~4Lz-%trd=NdmiCnHsO?}Dj#?~Vjf z5i(d}ZDSCp8$*>J;R%{65;*k`Ks&wg1mO|+PVY7fw!0$~-JfGeV&5Gpq>{BCQOb@< zy}qCPgL-Nu?Su^QLiUsnEXk6b*Fc4^NA;R>Gr&zN**c6X-M^O6T->YLdDse5rY&t2 z8`u}!R{F_zb7#e_9N$t~Ja;OVj|TG6-oVL?%^}(P=ggjCcY?o?p{M1_tVyZoD+Z%9 zW}vp`Q}(1bwtF**>%Gn+)+8#EQz zPN*D_Yj9%GP)3Z^=c_wmN0U1#2;_R zI{$2|&^`)~e;qQ2O#|#sTj(910C;#Yu^HZ|GQdeZ59odI+uQ*g%ak@H%!S7gA%!K@ zX-z$N#dCMj-3f_K3pHIX%P$-t$+uE4}pjNd}#~1 zQ9#orlxT>L382>>p8EOsNP%}li1qEm2=$ugdBTvSD=X;m6$P-K_i%0CXU}HQscezfh>wDo=Tu9 z{nH7w0IRsL1XD@HF=3lEORblT<;6tk)Yy;4u#askXp+A}_GAJK-<4Fy#Ukl|R1PHhVgY zM=K(I&T)-U=OD@10y$jJ!J>sbyJmz>|8i~mC(0oP9)a$J-r%)wl(KKg7n>udU2@gi zj&`Kp&tNyb3~fz{WRAJ#5rBTxAW#Ww@d831wl^=G>du|l+TVDNRZ`Yyfq%gT%R3~q z&sX@?z5rvK29&GcDkVm^L${JGRaD(Yqh!_&^uwxy`EtmTKoj-V#zb|TpxxSO%~YUg zf1nJwVj07NvV@wq*lQMAb35SUHeqB54rkIZOk3b%x>OO$EFs|KcqTz^%as$N_7$)k zg??dchZb%Y6izRti=HiUfJXTuS-WY8i1u1FDurq_1yOJD?5ceD6d>Npu+`pvmF>2F zPIxr2_SCk+UA7Ipu;~v;-`Ttol~{_cIF|PdAkp~P`;-Y&>N@K+kvl4eOl^4S(bM20 z(=KpTCu>^5MR$5ukN0`vfO8xDE+0H7UJJ8!Zrm!VIWdwr-hX+>+w}=K?_`j)_*uf` zbh@cUnEq=yN=T8a5*I=FL*wZ8YeIKLihSXpL+^{Q8P)`Gzi8Je=a-%yh&^&8(H`s)M8v%`T7IT{XT``}Ngk zI)Tb=+OF^(z%Ux6Rj-=hv-RyE;`ftb5a#^_vkMGD(v?xqGz#_$!W3cKWJxD+UJXt)IB z^3zS9?6bWlcH0dsStgU=lKTJ|1Ktq+=KVt}GhH`Y?#2g&{EtX&Bzs)X6X&9cyoxzt zeUW#oU2!<3E0=DKdge7VWoxWDQ`Q^fSIrVle~IR4>5e>4^b9<{|7J89vK)keFU^mm z-na{dt0omnx!42Vs=pKdqB_ln$%?uN!yHW`9y04+L+6cwmB+qJ#5e03$?5%ryRo)8 z7@r4UogY8Z1BvYuZ_dE#6Tb;2$s89gvRABCG^z_>vsWy@i=EEd+5z9ejt-oThRkx(s5u?ad*=%ov@y_ zDzj~|=Qcgs(%Y9F#)Tj`1+NjjeGA}U`+&D79^|!G=zJGatR&?g#`UIU5>c$&iq_r` zV!g(6i|`)DS|@#WV=#HU#-0jQX)2|Ej*x|gMtlN-KthYtB%y$^4{WKEV6Otc#cBe4 zX0%1I#+)Jx>RzxrRg8rfY5-qTJ{^vLRWZ$cc;Qq=BG_k8nYZQWQtTT<=yClOf77;V zX2y$QXx>)HGqYB!2i|Aoj1bwfqc>*qto#Q?_}j_$N{4hdE^^(R=ZQOWNa@8_Er|oW zWhWBBnA*2Sp4Kla*Bw9K9)lRx25}#&a`+~6+0_?3m{LjbiyU<8&DnRDsGc~t#}O(K~_tcMdTkDn!;(Cq9|u0 zM`JdQ`xo7?gr!RS3i@Hf$)@3e)6gpP6oK4*O1H3tlN$)|w+G?uk0L|uL<%3wP@40l z38FLeiFe@84if-@LCWzK?gku;zN8&2483D4?>UiqS?)p07MJ9CB;{0B?!L{P{mtv4 z6mnD|=ja`9Hg5Fk=U`yehXU>54-2DTH;0BglR09A9g4DFCT(JQtckitJlp>&RrcLN z^BVJqd2F4J54As$3)dT%+0SEs&dse6z0}*FZ_IiC>fSApdH6kDdgAAUMU&S*D;P!0 z-!P0VWM)1-=IL?V$v*4mIXgF|wv-&}-8>z+?Ut|9<@x%PM2-G2>sSu-%l&?uw%gBM z^r!i-y7W#bwal&9bE97zPbUBL{|RcV7!b2@Mm}(Nv$1pn184WG?t%qHg&_hE)S0-L zu(;&!mqq^dvWyIvU*Frs2Eq@ww6g&NM>iX1cL?e(Juttv4bs6<@xB-2wgB*gsH7-F zLPXFE%&%wj;J$~Owao)C>VCM}ee0Vx?vUI3aAj3~eH$-#esw2cHYH%B>V0STt3j$l z5Q)DgRTYK^qNW4pR~3hdi(j3}$$|Nebgk~%SpPNL!AV#UA}A{Q*Erxx2)O!dC_iuo zOd%@y>vtj$K{3H!SE9hxzt#_o6a%h)&ms<7{hmbvxcWVdBt%gB*DN9ez}2r=M1Zx3 z|C&VvSj)eb4Xi~3Sc~|tSww)fNc^4!Sc}B(S%9@j{GJ6^i^Q+BhyZJm_&p1-7Rg_; zhyrVo{56Xxuol1&sQm*r4YhAdz#aoedjRZ-(BH5BvOj7h%KpC%{r5KW-}JC@zcT%m zwZQy3mQH{lpmrY2Z|Hz@w}*&;`ES@b*x9?I&aOsjTRyl4ad-0oTt(T&`aaSI-x(*3Bflat?%5qgNBBNg@*nIuSJc& z@?W9fE{oc$e~LutZzRIN*?$MhUtSFC`@cac`?=5>5P~j02s-@(7}OnCU_^d{L7DoW zf)V~3%x|-xO!XhwMeMIQ{QrWvgBDC|AAk-713(i&a{Zlvqiod)J z!1XWF{HJh!x&Ob}27m*)g7cM;=udD^_xw*ds9^i2a76!x^V>TASKY#vwjD~lH zdHKJQT#f%94tA9>|0xp5zmfcQu>a63;(v(zN4scOW|8J2WcmY`UvdANm9G;1KLjHp z@CyvU#s8HQBmT$0|M4>%9S;*tdh<^?=IWL!(}?~e`ekT0O&#vU|KlL+!x&F(^U*ZoGV0|Yb0A!EG zMSwQ-$K-!?&lQ~CTINcx|5G@>lK*c;1L*ocP-YT;GV zFY<@n{~uDb#2?}xpk{QMVKg`{8tEU&`RbnkX_x;C0sImGzwILU9~$Nl1aJkX6%8#| z4;=Wv*-YYB{Qu50SEb%Rb+TUq;5V56&^D5PivK%kfhfU@gLe4Gn7O*=f7s@#R{f`N z{-go`!u}6}M)Hpo@E05+G)(?K>Hn*H{wJLOgZ>x&tpk3MvmoGp{|THwasU7a7Y$8v z1TX)8yP72Gjw>*~UG1t@@DFVx`dbG4w?vKPA7}tbHEpO=vxG(e2Q0rt!0%Ke`R^R? z|J!kp{6m!mB#l5%$cXuhI#kIJ*ONzS`cXx*%Nq}(kJLlZ{-2d`q z_t|fEc4yvq=KFT0)8pdl0sMI6H<6&fPIct@>)z=3>7jbHw@`oPp&IP>%m;q7Z9=B`_TEp18w##p`MG_0m3RYx*BiOX!CLUzs7ef5r8nG2Olua{VvS72lL}`)PYiQIx1v?J<#+X324A{|AkAE;d$6@|7e}@~2)_Cl6J22b4(YaTEZ~&?W=-NL$ZhxUCXI_Av z_!Cmv!?Y`#CQoRrmozNjLk6+k+F%Y^HfaGQ;3miU%aYr4oST#u*%+u0ni#R~cYR1g zet_vlRX?#mSoeCf*y0~wbGR0dZQ1K)ohta?8b$%(DBF_=S(ezMkwa5Wd=7Et#zxAZW6k-=GYnMnmJK%Aqcniq%W6b0cukEGfbxCKw2|w zmC&b!jNY}3QX}D)!-L>ddJmaSm2{<${uo5dx(LL-F6E>P4Q^X!PxiEb`mE_tz`@_% zNxQzRdToTb+L+Yro-}$8t0JSySdg6FaTH6>foJg4^66g2{`n#j4AnwX4$Y$SRUWJWw2t<*}nvNQ{t4XTn&F{|aJEyJRT*h>40f z-$m^RpV9$0Bc>8$YLvOwRJSTEu!zU1fWFM5CoVknRQL7viHvoUy@>TzTk#liyrbjW z$)8i$wBaHub^mK6cP#9-`dyEoP00o{f{zv6v544Wn5sN}oyRh~Q4#*!AbQgd+w70L z$~wj}>}UZ0SzC2Kzg8PJ_g}%Pc{Faf_Z6wUAFH-wGRp1-TQpzI|1<53+W0KmW%KOy zEsOXWywUJ{5imFThHZ}+z9c4E1%A5ofcbTjW{Gt@L<{CrOW+hUa>1U@E`&KS5-c}a zj3~Ko2&dHiIy<5`0?cNzj<_GMR@^r3N0%IXyl+P#8TT`P)YpX(Ge!=dc3GZ^!Foh~ z&pmjy@Vf&gPqFdd_+w&{;;f^&P67LhdB2TNwg4wvRj~WyIbeCo0LNAx^>M}b_K!7J zAq)1-AfN1`_}(n(agj!sXGTZY8$+yovb5^;XyWR><$0O%dKB1kOx4yq6)IMLtSdiIQl-u~Om!+c{y zH&H|k{C4zE&maI+bbU7A>s-bGPZ>`;p7pYa?i@HGE|YV%cOuBloU{>j`(qy;cj^uy zm@5a5BD{VBjxd|-82{%D2=HcO_~c>|zHX4!9Z)ZEDuN>OFG&8vv-I|7b5wM%)DC z$INmO$ZM1mqHsz^eKhTmJ=NK){j?uLtiSyXQzH#L;Nsttm%t$TJaxBEQM{j&v%soNW(pT=xlQ&+@G z!dzgb8ILNCk=H67Y**)NZzsCQ9KB}dF#RD2sEqeRB&=G5!(N|JAZuK~?5P!-+S|My*^^|4GneOL=o z*6eoTzeq*$0+Hths`z&I!A0vPIV& z6+DSACfkv@)Ydf%yW+r`aHYb>@y-C6D+DD*NWIJ)SKpa%TUie3(sVAvr@08TPm?GsQm)fQNOI5-+mXir!%G4s@;QxPuocL1rWNB zYKRz#AA=*bPlw!#w8J?3a|672JXsSK_X);%I+=CsHd4@bW7Jm|7@lR%*{tBz&VCh^ zU7i3wvrK!QWD)YeMaXYt3>Td)l=pe7`4;}3Hu|@;2DoxNZ?seuL(9;gTKXQ)!zR?c z=L#L*h{hJtDlXs0M-%%M(T$RI-UifaHiNbR-`*<6uBW@51Tp`|EBB*v|MQ3kkS<_# z{nv@g<@duUYDqcBjvszY8b#O5%*Vm%0f|=jc^)2&86nOjI?v8pFfROG z3i~?p4ANv?7?@W>!y1$HCK~4;H+jnth-@@>SI;d$n(~LwYA^m zVQ_}nSGiI9QFqa?WsHe7BNc^KBRa&@rcP!X!bH$=s=8nh=Y}f9TCRs zM;84!j{GG#8T%Q;()8sT^tq(2s``WD~={QS^m zcl;psJn+aW1|hc)Y~=X-bN}BGL82edh9J{)W4ROTzwSn3D8$IB#p0x!HUJ!5$1Ov*SkK>-|4OV8t*c? zDyUjm2bVZ5MYj4FYn6-nJ{Y+_O+LE<$&DhPR6fGyt@e;WF#d7-4jUF69#^W}xtrl_ zalGK5_fdA==#-=J2(mtf05^iMMpq8bjh=GoVTeNPIX=Gg&1M`9qNg$%#6GY7iU?S# zu`-IIa9z(~eX_a^+J6f_Zpk}ac?fW}p;|E7g>0V`6MHNcopF0X_M9z=7nW=7C*l2` zklqNlCGy{fMZ0{S4Unf8lQp1_6+0x)XE*O;@M0f`AJ2S33|DYz+OkvmBar&bK06d1 zZUCTKSa3%)9KzCYy7!YRV9=fieNam8mR`Grs|q&F@*i{k2b#`K`w=O5RyjI+om zt{aun4~WNb1FG{7oJ)!71IjtF$9?9>;u` zqjJp23%Ejgy)a+gelLyoftV9n^*&43&GEUR?ZF>Cn=+T!}+Q1!mUK)k{}(_Wd7$aYk_m%REcYF^N~Dpi_O3{wl*gJf*^fisMzmqJy9^r90rRjPvj{lD`T$B)zl zb1~R3z^}S%<`|oM<2QrH$LQ>sR_GRN^tOM7&pNqAsUlGN&)jvsdh?e0b{mB%*}8K& zmvhFEr}c))VECiUtCaTN)=#Pn8Q6J`Oz(d8fBtO%ZrygK>4|76`pqH=$G)D`PvZ5s z(KBi_YCYWW?k0bcB@oU{E%_tZwdCD<$N5Aihp@K-{_a#G0m~bx0nHJ=R?3 zmSo}IXw)IJ#d77AFkKe@H@{=d`}1TfBqG&LQ|K*S$~{><;4LWgE;~Oubd{~YLFCFy zFJ~B8f0$esBXN=GjzIdVT3mF~CS6J$HZq?TVh5 z;hr%2I2>L|IZu7q>sgPba_{VZr82HlA3a%~H8Y{?Sw}{R`Yy)RqL{;ce0Q0o^l}^L zsHd`h+Cy4$NOVg$gX>BEa|QZ48_(X_zpZaUe4cFPV7!N;HLCS6f`f1czuuovADL=z zg?`CORP(sIut)deZLLI<(@;Nu`wE_yN!u>*K?Pa}Io z@^M^jyj#4KJ>ExHbGUdAvtP znNP3o27nBCGiuYz@m#w@sw*ZME99&0$=LFbdCCJ$CUWXvfu~xWkPh#U@6tikTjZxj zMFLPI6_y$JXGZG3W5mqk-fw0I-sDqJMRJ~7ZUqAY=B#oV>1G?Qoi0Heo_pj!vjifL zXVN^_wM>w`u{rnX&%l=+fjuSlXccJoekPg<)ucV}Df6UgS^!P?;n-)%WEPB$9Tc+v zOD35h8*ZCUYw}{Pn0Z<~+B>F@ltNMXD&2OBi8OIiC_=}afqid|8u0}-` zT_-?b=(l6C{qCbAfpLQzmooD zbesL7k&_#UxW<~K@8ek9{U_uqOX&v#{|)TJ*rpztUh8Kq6SaR=C@x19-+y*7-{#q5 z?K9~MGzk}?&&tQx^{Q2Xu8D`gQ#o*^i_B%m5}%f?h&5Z20+yK!NNjh&`qShxa|(&y zegMDHdP5sUt2HrGnL660wXe9v3HoGpJRySj_O?XmOC4@`&6A;Ah;Lbg%v4E(gRbSdBQZ1s#ue)VaEo-z{P4FPX|D8(@4IYC%dJMZ2J-uYm=L)B7634|> z-(qvm;Np)m71SnbM0qdBh_dwMQeNXTD==u|tybq209Av8IR=a}(#B9dQ%R)>FnGFU zmuU=31yZ9i_cc1bS=#s`EIua|2vi90C7Ib=>CmqK`Z*|V>AxXQGQX82�BidF#w5 ztcZPED^P(U9ZyHP(X7^XR<<5}(0>WS1T|oAsQ12*PkEjZcKtK%U7iQY%Z{un-Q-w% zzg`T>%0e1RKSI6}5?uK2VR^+aLcR*0EO%x}bgraXtlI_EX324_FI%<7`c6v|dwR?{ zRd-Z%>}fgKBt>X<*{(vfhpT_e(>X{sDz-M|6F6aQA?!5!bb zd5vX^a1C_DCOO9sFlV*Is$fTmeeR3!y@lv^b8DBMg_>9*f5*MAdI*(TtwN&Z&iBNQ zw&fhlL3h;Jy2NVtXp2=gV@&TSsDGLNS=7lr<{K7#3w?gpZFkg_K#GOv9o4nFnbXB8 zYAs%yu1}e6|2K$pk&FQ`6NzQ_7p|YHo-4Y2YyU?8RM&d{uX`}~{4ev4|NjniIQ!-H zf9BE{2g&nKT0u1xuLO5Brwqz`A|LKCmxKqCi^R+!!cS5Nr`C<<$3X4K!bTtd2w3W`@elPzAPc%m(3vre( zrloK)_hzYXmFFR00DcJLR~uNgzVn%MPP&RL)9Aq=rf%G}LWM;m__T)MuZ|Ni(6`)x zHWJg8GkVgU7jI>&;641VSH!jHBWsIipRQu&Qlx7e>;(bY(rmZp+2}q&e zo@C+T|DrpEKt~BQ|7#xq4P5)1lw6Z7@Yl-GbwSJK^{2|xIdKONe^)PV=_JiQh)Ded zSrXl7qu6kqORKuR6Ku*mRW%yD@%@F2?kv*;&QJvF5io5OXNp0`>FSFWkr4i3DF^qs z>$@QvG3$D)14H;(k%U0a4?ZlZDp*o`-9oF~wmfKDly|g~u21q{5$UbQ)U+ij(tOH?M=7(Cqk$_!&DSc{5{mM*Uz^>lPQY;gl0@ zBQ_|&53JS^zut^lb+3hVW?ZK+cQ}*w^k!G&jB>Sk$F9HC6$E>-EW3DxQ~Qi?0>aP3+6X2Qr}=BRyDB0 zl8lTEIs>2BIB`d!$=^X#b}9Wut$ozPsUo|XwY<7HBT)~sAy+m- z-Ca&2e`6B=mzpTbd26}%M4)Hb^QvUJ=?Lu)%rL=A5{JZ{Kf`mMs*A;mIU%;(!S$(S z?nR#DWBE+~P*GByZpwT=5kTDtj3@y%zD2>mG0g`@mO_2r2m?k5RqD4*a`4dpic`#? zHm-Po%De=z6n&T%kJhPLk9M3CVVe@lJBqyswauvh*u+yRGl<#dL{yV?Gv-i$(rTJbzdfzdG z8s>0k59xkJ(<%;VA257wB6D{-O%5>^;?f7m{2kqWixYwyulL^0AilgnJ}I`NiN2MG zy*iph(?&$fIh83!pzx0f6{>~e5RP0XE6gKp2uGF96J51DDhqw{^UD#*KSZE#*t4y7 zRxqIR`7fS5`KHzPrQS*JA51!_m~V!bO-I^>xXlqc^hxE3*@+s%rK1wRr@Mr;d^c|4 zAJ%6NO>7=j9izSr7tb>Gz61|5?|G()ToulrMAbHE4NMCw9c3R|G*eGL&RL1To~KG5 z2|={8bK&{^UY~nkNSor7k)Le{WH24e9?$K|&-5!!AJ5%8q)L2<$5|A;%4GW^URE;W z8d!V;ZCIQb%(pokoP!=uQs1_OCt`zKO|`h2R4NB~#7h3^Psc?4zMA2$7NRS(XzC%5 z`$q+wv}@!u0u6^V1GYBP2_3AL3^|20$-N>O;TyffF5}^StUIQ(dDtntkRy}a|?>JPV zaO*a<2zwGZ~_ic`xo?zlls=cx}?L{e;Zt{{(b3;Yo_GBV zvn8+c6O+f8c|Bv%Z&-)Ep(&$$v@Hxut}MUs{ww8{)6iDdH@z!Z$^2C?IJU9krcX_z z@bfTePpuNKTj2PksB11TJ!_dr_~rt<6?_=7Ua9OEU$^~UgFT5bg0dFlgM8u1OLuQg z&(~>2X(+$0GsS-MjWV;@h+6Xy`olwSE0#8K&6PE6aIlK#ZY*Isb4-+wGKs0=JY%wB z7cHX%YLp67gFqtC?1qJ&^XCn@G`&!k0)Z6RU+=7$)0?i`hz0{4$sp54g3Uex8Az6S zF@3a(V00PBg}{8BK>r(#LPDb>fg$ti7|&X`!f-DNskvx4~dMwN#N?453OMF?AO`U2+fBnn@<06b9FyhwwYBX@=?!x3kg5&Z4I7aR6F~$# z+CyW(V%&1rI^HJ9%YQ8RXGX{$?*Qee=UoED^sEGyNqe>Dj}_eIW`>NShvJE;GMzv` z{7pp;(57+hWE22uQ!#&6Fdpr<_-R8~v_w3S(>70+Y+{5NCmJ8+_1};W4>e;ax*-yj z1W{f$LI>m^>fPU7e&0ab==oc*Bc|yiPMcICdHX z0w>6ONq&C#EH0?=R?$9LmH<*AJJ!2tZ7JhY)pDroj4XoebtiOSclZHi)8)&B%!CJp9S8u}QY_T)!QmPe|I~F|_0niASoNl_#Q#0;=wTp79$%yRa ziuI9rIND2#E?(`FUnohhUurU%aXegg^b=X#|NjJ{R;Gm)75F=(5f(4@_Nn1{*ZWr3 z5KQVO;x7)@(3JBR0>f&KrN*bOjX6gs>lV7*~<<7gWcL@__#iP=x`9zWQ@Vir4 zKj!!uHO8&`g;i1NfVijEtULM+2#|mLpf}JxqnNPU)uYZfvmINOo{O_H{z-TZO(kXw z86=R&Dap#ZG^Z*yXHbmjSgxBPuCsJGi`DSFO2D7Y^5K&n>M{~h6UTN$k_KXv>o?V5 z>yxI{Z>s079$(AHcz6DtHbcj01X}_Ay6yN)mj^+w2K=gvVA0a@@&NR7+nyn5~D@Uu<)tmLpKj`sn;llr+9f~*WDSu zhb)kG2G%if!3oC+=|=E@*xps~eCVYpl__b!Id{g=<8q--FX?kQ&la}rHrf26LcB5J zR<^^*4jKC6i#h9o?seic9(+@N;`8Yek_@0m7wdd@5`t4!`&`2S$@drIqnezS;squ* z_u~l{{`-whmG-HArv_B5-XI@y|)VMd)O~ zrkA|6{Kp0BW>ql%^NX_yy2;zjt9?1IE;mNHP(H%pOuTDfgiA{zjU$Ev*#%)h(SjW* z{wy#mIhtnx*@pFbRqklu#LXhQA(~`*v7FoS(6w&FiZne{&ZpnpUZ0D%6ZTrBEghAw zPKc`h2UBct>pvah2I}F>y*{-0OipHznx5__3l-bpRPs2@W6nfI!?BT$tz7(X{F^Qz zTG|meOh11Q7rcSg5az*O=bPXSw99#VzZXVf7i+vMi1}?z>)R6VwAoFE2Be;CWO_v}02S-7#}pqJxk5CIWNVbLPLJm#E3#-tHhr#$l`T`C-344Y0nAilYdS zUVeMwyJ@!aJ}JMJ-CjJ&#Tc~sOR^gsXx^5&a#z1vdZa&FdE0?(HfPcizc#Fa*kWnY zDIR0Y{^)fnw`@UiMprMY=t~lX@_gY+BPtigN~-};6%92RpxQ##kDUR zim1$E*XjO|S|H`?mse=*EaAaGKKuRYIc|4CyfaMXwIkkWZ~H%0;&$WDieh0Z zjNFwtI#1tMInjXn&J2^}SPt0YnZ2z_seSyXr2S+-*7y2-`RLXWl6s<4f|{gn9?L@c zru*5Ei~P{xb!g|A-ePQr4`^B4ENeIG|G-X_ip(mZYJ?U1k5kIUIAp2gH^ua^plw!# zrk`Wrv6ZIp8LR7rHtcW+EwQJk~t+wgLT(I5sX?bK1jscmt2 zfG|0`@Q;o3^4@JXsK?`u<%8ZuI262nOD)Y=_)IhqmwM}n904UIt!a$RBH@@DM5 ziHq}wcdPu*(Q}JHK?4u`lG3Jq*z z(UlkY&*>ExY7vjFgA;8PQ0-zJVmg-jI?JS&Lh37Cat)e&P-}z5_nca;Ul%6dmIZop zQ~n@`awkxSfv^9{6ENuFW{AKjD`K}sLRcM>dL=0^lYj|Xrraq=Z|v1Chrhh!1+MP= zZ9GVVK~UT~sF7T+!AfF@cTh`B;l7w#3-61#wgN}79U20>?;|{_ ze4h9GO5EmEK)1fclLf$=dk9V?Uwg1&mz7wyWWBMm(Pr;06uyiqvH|<--`TzJ3W`}B zDRcaMs)*%kB^HQy`Uo>x&)I@^4s0}!N)MeCs5F5g2i{-79SK#>x5r+3`c+w*eJZh~ z#m9e>Lxj5QJ^~1v$TBnZ=rNP4tU?;@y;(dItJ1QT62=kmtlK#5NZWCU?6IE6#PAhRPG_Eb1ms$NYEgIVCCjk~yuXQDPZe6bL}6uRRj%`1tv# z#7*Y4gCv$Swn$&CQS2~r#nYz8#yAl(F+gx<1SX<+_)&CCvR?>x`-sP!k`K5I{^^tx z7Guf0^C@xa-(Bs+&b!mgUddDJVf74Y5Ez3uD^C0;?G9}JlB=Il6Le)6mFP=A&wfQZ z9>4|dx}BE;yCaGgsljD4$FdzF#{$zlgd2%!VZ)>c+BNUM(CHN7TF zlpc1Tq&Zz%X4}Fd#2G-=yGE-x4Ji3z$^M~d8$)|szhmx`osfZ0qp_fprH@oI5rtbF zpRIokdE|NNoCn%T^S0o@w^UZ%46LDLo%w9;g6+?AZ&BG#r?;DdYR#q#xL5b_Iz2t+ zq7p8JGOvO$@ZG&{wd4+R*EbLPhkYZ`ZOExXDD%6kV#w@8t1P>JdjP}kzORJ|wfQ=* z3+uHxE+M9h033Vkk_iHO?qO%0*}4rEn$X!hZ%@^sjIBa^h6Bo+&;KKh$b>e$czkZx z>xPAvQ>PLWa#M@?y;fbZ0QG3&U^CbKSI&!pyYW3vWR;NieBVmwe`1Y$6FRddj)ktvF z0IgZDvZW8n1(!(@=xQDGlziZB;IAHM{CV*0Q=_kkHF^;+SozO~BD>(2*u+fwl8Czt zk}oaX&H_N?p$0$3N;8dnpA^#D!N8PQPFTFW_AIv)ZO6+$t;#g9e7UCl*QyNbbP0pm zP0qn}my6t(lY2k!Us?jhm&tSUe@_CE9UDE|ZBjiAV_Ub!_O`|>b~t$`^s}*RH?vy5 zkjH=8^Zmh9XzKoXzLpEH1LT1@G<~Ac$gBz36JqXdnMut!Uh{_I5m1VOI7_#l z#y--fLux(%gwE6Sxr9~$Ephe0ZydJ})1jPUyDH-#Oi+3-ap-BYaP!HoqfRrwihY>Z zdI>sv{P0SukQLA88*W(!TYS-wxGh0k1}^k!swGD+hlT204cfOUayx&hP+;fNcw-g@ zGDKWQJ1E=f{OHFl-EV1zxWXDNL_x+AQxVwJbwaOi)5SOj=m3i`#0d*OIis#T?T3+j z!1;pk@kf(H#zc<6cN!9TnqN8GrAK3I`(tbexrwR!udn8>aDZ6D2(}Nb;~?J@-Rm zb2paTJH5Z5SU8-NHTz+5iho%`nvq5|&y^+?5Zu5waq{<5j*C2$wy$Q(V3nfNdoPFB zOxpW2ldRW|R?$UxU8Qd0dNn)Hl{O@dX#a^jfn4)TbrQuL!%^Ru1J(lQ(usFLC`^*sRr(eaopNhS^MvD^OG;wmpBE9PN~%fUfWE7PzvmPSu8UOQxC)@>-^op`5aEUr?9ptw*g>KH5s8W);H4#o_%hk$9s$F`OCxDy z-x!}rXD1YXP#0ihV8FAR6GU#sP8Z==e7S14X--b5@mC!SO7{0J{v_I%Tik~^G9f?J z+HDFNVH)Itun?=S>Ifes@^Y)xV{rUm6cL)0HfXu}>zXrm2WV-0FE{>DJJSvcI4APi zYOl9m*8E_GqJZtAmGtQ;F@`DUa?YqfUkAsp~Bm`$IW54Z_u#asw#d zMWcNZHF>n?B1H$(W+_~?7Rd6!`7?X#fYuec|r*W zr>9fQs0`|x@X6s6!FwvYqGbm{m<{(e;ZFP1R$0SjR?!P--w$s4C^79!QMZ9k%PB&0 zA~#6hK9Hpo-_x5`VI_*C?KTl?2N+TJq^*YB`hRRiV~FBh63Uezk-_8CT2+{4NzN~? zCugBqs?r(nAv;PDqL2x~GNDsPWzkFBW^%&4beQ%tUTZU(x0l-GzV;0Lu9Iyc*;X%C z94f`G*ySYxA!7MgTCInWZ)!~5V)^n>2Bd&nu9cc818PGbRcxVgDXCo@J|dqDL;4et zptRGlL82qpV0M?K(Y%(1J^8~tz#r!8NDfrli_@!rZiWG_MST=qH4UD@r2_lWvQ3Zq zt4HsvcCT&L7QH0GUFYe`q1RROAkv7i&$X|dQ@6AfnhoY4cOCrc7j0NVC!aKYpDd$U z9Ew#x5*Xqr-I`-8!F8KDzHPu%IrI~XzJxO|`0RZ{hC6>n%n$g;!C$&9!rCqr95L;mpoEBvhx<-N3EO_(ja5-cOjQf*#5+w=Bv5AO!nNrRSCrg60c2 zP#d%Q=u1|JV&XB+=G!c$3Fjmi-OxY^FG;&6WEMXi0pRhfD{@w!r6}Bri+@(g02zNY z_I5-(UNZOz-}qWFUAr=Q*YC(D%1?^o$@Py8r55nZSyV}{>I2KW<@cR(6;0=@-*(mW7TQe z&Dxf>y|}xHmv6czQYl{=#U0mHg)tN1ECkvyo^{XKPeF1e>L?YL(0n^Gn%@qeC@Kq7 zxJEPwhd9*h^~#%9MUuCjFU~k`hpfJzmi9a)raUJN@rY1!^I_yi(KDef<4!20yZ-C@ zjv*0EtMU@s8HU{5)Q?)FPV}=LX}JU-;5hE~cgE3HkU{H5mm0>N*ZYT2geS6SIZAMY;-96Pe2M zYFtX8$VfvQL6OeKUyXKD+ZpYFB`e(GqD&!|T||^(at}drw2BWn6=o*W{$G|x-SK>k zOEJ_-eqfA{7r3)SQm6E1;ZO zsZ_#O@xUP-KNG>GXF@>((2e5~d!<#&X&tqA%Cl}tAG{v}PCAQ})Ix{)5;@}iHCw^} z0Tagc>LbGX^l=3=Qp>+6TNOK0{Kqy2OsxGPvf|}pJBs3-FMYoyY-8VqL%hrUwLr~v9ATKZjaqshv^8o z&s0>$V_m1@HeT1I6j-vZ4MZSxKTT-XtzJvRozN1$j+MSuGLmdT3uR7vyYS|MVGq5$ zqxSshHSE_Pfv@ioY#;2*Tb2Eykxsr5X0xyqrTH0SQae8tE1k@WLPH%#h>}u|A}C2jr^mWy&MMK^x|VqD3Ye_=)=Y&m;X#pnfL54U}CDUzMDOm zM8t4GX|!(1aa!}rd3DN!vDl#)IXpAqp~KS@9W!AHsa%Zq6Td1#w3L6&3aVcLE~Y)V z-x0ACMRJx_s@}vFbRJ_$+yP3j`tS&|gCuPkb6~l~Ut-X@D&z3|!!d{%F3=jnl~{je zJ4s~*Z7?I_NON}`@6{MAEA&jR4KCw;bo66`tc%8dbnQZfiO!DHnOQwHWbo); zHnG`vbGzHnVV{9aJN97)TUgRpyWtw(|WX9dVTafE6}95 z;b`lat$p|Im%w9ly2+PhT}1@tVXZVS$a1VPNdQ9w`NTl}H{$BOeWEP9wmahWB# zlCxheZ^YtZYJF8)7b#Ft<{#}(K`7tNWSIgE$0OWbjTxDeQ_B(90P;5TRtp#X+48wC z3q=x><(yjSu%q|fzgp}V8&OB<@*Eak4|@iszp2df+N3)hE|BfHtK`yXaBiwjAZc-F0t4xOfLVy(AoxNKt^hZVuUdez*ao8DlFLZ-YA`HqmU=LCH2!g}#< z_4`+UU`eqGYYcgBlZKG=5miWTU6rG2FDgxAY8hZ^s^|vWJ!0t@7J7{Fhqjtu)0sTazmL{QHI-9^ z)MfQql}v|wtKrk_kjRra0CqUjTYn^d+?M?JK3YGuL8b-YTqsIO=XVeHY$zJ;Shx7h z*(=e(IIjLz6Nbojr()q0ed%SLiXPgp=|Z_%qOOKy3d>dYvbs#6@Ur?8)jN9%@E`JJ zuvFONuw_$|-0$0{%11bhr1nEX>5eO^U-hSWG-l88S4>24;~gGCS#m;9HZ<2pbVqQ9;4=ly%FKyA-#b_5`cih%c%8(ExqH3~p^N{5nb{qH=r8*cbrw|ONMN+NFk<1$jBrL41HDb2#;s^q;G5&!(-Ub|y>C^F<|2}(-?J2oH z(Uu`P;XOB)UL~eW%7P)x?YTlcVdf&KWftotROiA4Rot+xOH}JjciCEgCT}!*v->d3 zquaM7KXE>$hJZZg3GB2UL=Vlo#*=Gyx*CiI3C!wD{>aMv7&a(? zp}nfS{ZY%r%q?Egyd7z)9g)hcd~pAb(=2q@u=@{Dkq*Y{%et%vDxHQnw|vGS#=UW5 z{MXm~{kEXlw0Wn1?I4NI(12=W&+p4w;!5A_CKLS_8MPE`Vj`{(n)zBzuY@TcUR%}g zJ%ouB^oO0|3I~^gTAj|HHu63p;g}QlUa3-QEuG7`pbANptLg`FE4eHKxeJdKEqqR2 zlbJblX-oW=+T~8WhYAOFY#APy32?EI+SQZW>!JkYzE;ODjpe6<+QTqr0vwOf|JbaCTi|`ONe>WxpWH;11(35bkot8(|22ThKzB0s zb{P2teI*YU(gR2yCa3n;p8-Msfh|2P{2U#}|HPWLsB6pCD~M$Z3uLu^JC}}Ap|y1- ziH-#Q+Sgy#^3kuw?VR&FfZer~T)UB+X)HP`Jp}SWGK^6T9F^P^bpu! z^|LCT<26N@CJ3BR(U{$ixQxzp&J!tNNWC9$>DwkK3W-%+?#Mv7VyMYY5ZU_7Fv_O4 zMG@>xf{cNTygq$dKiEc8BrA-pKAlw%5g{%zik@^8s7ZB6I7w;MeyaFNz*nBsY)vTh z>_7R}u4|Md}>nSgZM*}#N8vB0^k0xT^LDd_vO_plpX{05UOOdW2)m}9c<2yqydh* z*!Q`-sU2^p1_}cU85PA00(=tR;bVx|hwuZR0;_B6d^5&Qf4K3Cei(p zX-FzfrB!nLY)4?Yz3bEv!)U3FY}(qAod=m&VN8zqltC0o5y{?Kg8=JpE0f^#@5H9)H^rXU=?Cx!k)kAO{T>htjhtn&K5X z)}u)=AUT9<0825jZ@2>a8m-S?IdxSA^yi<3S*T3&2Uol6wDAQ!uPhWrn}248o)s1* zcsqG7?FMRAQ(0(qF*(zuiq8v?yzcFUMscr<=6roiO)o}YP^))wA(HTb?m8ATE;#kV zqHh_5{TYy6BGMODHHy2fpqHIjVO80RgKvo(lVhVXg#B-%fH_%GyZMyS0HQE2U0K5BJ#5%Xh+*X++6$gved1W1}PQ4ITb} zXgcqBs^9@6v>_g+OsWn~`QA$#v*mmGvcWp9!ZCmcJDb#P=Idvol)4zkzp zrO)sCzuWP;*5i6S?$7Iby?oH#2sa@(Udju!@MszC)wCn{&U5Xp>4UatIhkWt{k>+vqlB{{nc$^MY~iQHvEZlZh!uLCy$>)X;L)Qkcz{FzOK=l@8PRJ3_d5{|H87Cz%;PqeGC1Rr~yhr z#or+!HUd^~Xw_PUVcGyc6$1Cl$0k#OE5>|~-CSmjju_x9gs$X&ya$=p=3mjx-0Aca z^(q}9I}oh%b=mJ!$`b(!YBLrUVF?|wmY?yJ?ycBn4;-nRSoB5p+VC2OyYLFw$Q}dI zW7se>IG!Qt@;lOwO}0lY3`Nai!)EMuet&9vluutVuxvjeJpalL~F{TsNE(}9EO`~$s-k`UQhmf3DflB z2d@(i#kLu{$=LO3+TZgc=TJe540A2M@?DMaFtqH6jo?d-0u=(%6)K$sBxW#Joa{cN z*%CPQ#kie;hw*|5{r<0!5o4J_qRq=vf8Oa0qU9~r0N0ZH6No+*z9^`Cq z2E_9X2~c~@6mLHkmjiO$SLf3up5Jd`-3tP0-CrwHsK(qWbZGwp9ivn(6a^R(IqHW0 zHPj7e0#)T1B^n5%V#II7{$$u@UG2A@b_vU*Tm0CB++Xl0Wkq48A7m4BBpdX4p1A&X zoyf?VXJ5gWA2QlKWPToBjE#8H6xgMxjxK5rA(m9MGr0rTn!Gw&IE%}&r}xI7pJ{rJ5AUmRfnRW& z-1 zc~r4vTW6^am4KkVQ)CaMIt-B$2y?V$G7oMkqRiLk(X0ea5H-AlCUPh|pc#^%XoM}? zO&?(&&3(-wmE36>^2X{1=v#^V#M?oUM6l&d1<|+dXkAjRa9>RpA*)BCZufG+ps#&H zzpc*v5Cubt`<`i|EF)I>pFx_(s)VdT%^^UBzAf_tq0FRzvxQWR%IE?^T2=SPMz{lP z!>JmR@!HtMeo)DULuCcYWf$vm>ks3OITa75^;^qdiEa^JM|kCb0R6evyCjl@Pim1@ zbiUz>I0cf6>IU~;?|Xf6z(mvAtpr^AN8X^{02QcoAn-AzNgy`7RlmfdllWYQebgn>#L$(mPduBwK!0Jmt27fY zzf;oW1KHerkBk2SM>&OH+J8V0lp^WBTP}o#$0{s@win;~=1`hh4U91l3qjEWprPxe za>KQbt19WARo{{eYIa)OEM(u&AE5M3s&F|Ic?24&%!{I~iSxFxAAi2ep|X+j`@hP` z`FkrB#zIhr&F$#c-*66j1R>PM_P2RqC(}Ju%TvwciGzjEETFSH&u`ODwJEw0>9^5u zWPHQCG%+xVUVM%W`T+J$64(uF3Ivm1!Wv)<(g)oog-|oUvU&_3Jnh$EFbmG%K z1+ZvkdO%Y0bIPz4q5zM=6UReb{f9AAa2P z+iz07$`S-fq-yd0A~Z>TNU(AIMjFD@%0O^Is%GS#&zrKY?5;Udo)Lc*{(R;e(Kol) zms|5{x0hSRNS*fDE^JA4d1c%F_))B?W&rtHp2)V!QdeRSs9?sTHIq?~fom1AHsi%{ zG)rs7fc-IDUA!IcV~%N4n&Jk1MzIrx7Eit{Cx_Re{q`vy4-wG(!g2dU$fVOO(M%6G zJm{l9$&Wh!1!&sBp)fyB$|cJ;5jgpd&p3)Nk4UC(2s>~qwL998TJg$; z-f#nww>R7%(?{Ze-j69+4t5iOEcgd3D?gDYO&x@sB5(133}$n*T+d z)&tHOv?dz$SPaGYaO$-YO4x~s`vpx;%SpMF7VWUe36f5Pdk#(`{;aOb7W?wir=OFz zdNbxK9U|rDjF@Gk9b3RVlD0<-iE?qy;S!;r(B;2TAxAi2;1xD8iG52wt2 z_{GA`Xj!mUazEWbag;pwJGM765;3|aPJK}gr)1Q8s*~It)|Q1r^8l2HF_Ow4XX*-Q zNx#qGk6)DNcA*|kef(`0&q2MGLeYgYtCRNN)!DLo$5$aG;n7mT*PZ=O#g{d00k@DD z;+-)35-@(0k1ceG<4QxFZtVIsmZ(UJ#s~|PPx0Q{2(DAOavaUkt8gzxLq-K8j_*PZTG-GXG2HvHQ7(Alw;`gG>ZizinPC zQVDG=$=s;-yUpKd@-5}&?ta<+aoPOH8L`2k@#R-5^DTQBTBa3aG%zJrgk?(r-e*ot z3`E(N>cR1ZiKh6| zn2sK$zqV`-^;e~lh6)9Z!+bdb@hq=jrxl97xEj^f-P*U4YB6{FZWSM1JShf_MUsyp z)>Oz;DB9oeeCX0q&0(r58}S}A&7qLlY~E~T(k?Q>+OlGd2N6^%Z0+w$pMiPuL6MCF z!`?teVV*lPCp?mKvG=vKW)j$RGg>O?a0Sk6V@nNa=kl9~iKHCNJC)TR{AK*SsZ^2u zI4+Lk2SXBa6c(f5>Dl#hBX=bRr3sQz(w1R&)>fp$E3KqcvrB=J7`um8cf$Qau2dto z=HwL(asg)>)%!NYGcAn8qav2ufUXFyf_assS~K+YHG00GCm9xTe8sP$M5=D;4a1Ov zJfqT$Jb%-4T!r(rw9*seSk^bS3rF-Mck@$)p$Bw&imbq zR1J;*DWI{lO!%$({=Au(;8$xc%X~m@@*7xAA+*ysY)5m#G6vt`^U71jN#WlbzX$qr!*=N4wQJ6LL*CTD8{YQ9gFyq^ZGi zJ3)qW(-g>5CFM~pBYWy>J z%j)W@aQ+g00kD>6SO^uzG0`~+@OXNZDT=~Lh-XlVeSRN9hc@1b{!!)!z;VZ*8p5jH zf5dOtB<&$<6uD7#oy?u|zYG8=2;d`&h4QF=g%o;Bs1LTbX0sdusJFR}Y&==QOmK=B zvy>!~u!W;fJZ!_pv;0Fmr~I;oWKu9Tv(Sz!v=I|QC&*3Utq%v)%pj5blIHlssskp~ z*S=5}zr~M0-Yp`py`7Ekpq_0Ewc`_q?57xW!CEP0Yx2z9frbH23!kwKAO)^r33y>C zI4W~hJSPCG|H-6PK49hsCAYl~U{CcfH|6L*3s6=Eg0Ug59vSa`&ncygSR3C2Irs%21_^A0afH;rb*LttPKACHZkVyQGxA$C4Pr z3zT7Ya`qfX%f9_X1^p(U5;5vCpuVWM(-^32n7%=uMFyA;W69>H9l;`Fdw)zaLo!tC zXhr6){ZET+qF7dSU?t`>2n`!r&g2k%JEVN83&{qXrLi85DuCV)1`7Y$>UNB&Clq_w^qZ7|2v<0 z=slQ%c+9x@;!pqZKcm@d@Btpfq47xE9hQRva33LU$PFpr0YSntxieKoIq9DYFW>|Y|GjZ zBHqko3RG)44#%Pq7mFEA|CCh4_PAbzdK0I1%WKA{ogOaq>&$V{Su*{9s^KG|zbX4iUgNBF&=xcu{iDET5qL+EN z5Enho^g@_`fD$A*JOlj7eu2<%?LSeY?p6m*a{LeRvA9;^dp!NXhcgm1U}O#RlHrha z0uKO6GJB-= z`=$VWF1D`edm~%VlbA#55Wv3Q2;tQQyVI6UIT(|&o@IaH8Zmz(X1++eALg&QsX8i| zTpA)$wO%Gfb5mFM*Z$0Mm;bqZ3SBkwjmw(vU#1+J-6joG zKp&*FTbFJDa8n6@o8G8S(#m^g?s;CnT9#Cs6=^txkeO9Vb~govnc1%Otr2G6@JjNo zW(H~rn?`k*KPT&H4;ncYThz*GyE0%32t;AoQcJ_lP6G~EskXjRl%o5wJ-59 z+EbX}#!unDFA46-=?lRCb3sBbR-Snh>Sqam7C_b?Sg$1K53n8^hF04ZV!Z;v42O>k zz$u39W_w3t9^UZVYB%Ya6$|Eer`J|V-m)sRc@_C&v;Nu9x4$9nlOPFiz;Sgly?$hPmc0|JoDY5Jl_MvB-XaF=qn2bWNG2dr)Dey^ z9owFF;pIYm@K#OEDZ5*QjV^Or7 zSQ0TBtC;XDB3Yl%3@p;j2}v?De0?46_W=H^wdbb|ziaR*j=K|s7jzs}hq)6zhUbU0 z=6=}4Ce+icI5W@v6Z}qKtirObv`$QhgerdSaEMTET>3A~(=3{F`})v)6BECX;)O7J zYlH|``f=?6}M4Ta4}^R3Ig>ETVhG^pTW*O~R@s2|Fnd6R;}!d2^bKUPK2N zHb9|>^s;^Lz5!06Wmt|PIU-j7x({%^e3>2tRt!!@wC#zRGK_Dv1-(KhBT$oF{}p6z zN_-Qr(R8OVWuYe6zBx~{NJrrK{Fh=4 zVTHjkYrD|8)|BMu*Qp-Gx)^-PMadFp^B~3~8Y6JJgz+1hXiuUSgqz%sP=d^s+u!Ub ziI+%Rx|qk10mag`XkQ7%#F%2OoVR7;Pn^bgpY(8s{O$Kp$qMayAx&}Vt)3L(CZG2O zy~_Li(}7*2RrmpY)R~WL4(`b2m~< zlH8MiOGVQ_ z>vhxG4U1OvkotbHc}deMi=cXukzwLBBckjT&v(GZgiro+$FsW`1*TGsv!rns>WQ16 zuVz~PtMefD)X_Vh+k3?eOQgbcwm9$fP@!>dM zwjTqhAEMI(8#PuJ0nj=pyu8*}f}JRnWbC7{Z_z>fmEGYe*=RkaE}Jv@u~(jN6K<{eA#DpWe> zP84{bN~nQRX6}O*kFne7_dLsQ1Z8i#li8xJ%K4d2RWn79Lo<>;JQizhCbQ;P`X|G@ zepNPm@PbI=)FoxdM7y4CNk;oetxT58D{U``hx{*XqHuxR5n&JjY zZ0|`9;jBbOO1VSchMxY&z88NP^>=5c6>sLg!iJQF(Ce(?dt~z#sT0{EtFDQBv9+NF z(e*?>av2=`jUTwbE2HC>eW2ZF(CwdpIRDjKrHeB$GL1H`wTj_1K;;YMUR2{%Xs1UA zm!=Sr28d$;_B-{{2aHy-V$}HOuB#`>F$M~|u!ofM9ngouM+K}}ZOTO!8CBK@7*@Dnc z!2?fZ(zH;Q{}j8*mlyYPWbSix5D|1(-`lTon-(dZeFPOk=u9J@vTFT(NH1con)$?( zG;THevOtiiFL`zWMo(NXB&&m>GDLCc9tpH~@{(s*;d_)dr+2EvkjU$x9wX24D@~r(W}s-L!nn zmr5hn#L{n*i68wYN{;Q-5+;QLGxhg~kYg6Nf_A9v1Kzlt*`!_I&QhfKqE|SR-h>D} z`MAse(Mw3j9ZOp!m8ejS2EpIz<9^~{LY0Ww1LLOu@W1x|Y8sdpYW@XsMHuvr=^s6Hej&48^1wb1#PrxZ1HS_|vc~kY?qn`5YC;K~Dg&=3Mw$Fm%0~ zZK+%8Z4Dhq2X%)Un8H-3YLk8Ii$rTJm+CvAB;u*0XJJ~Ge{2X|4-0PKQLvO){a(&N z3R#~?@nq8TT(yxbhDsPaY@-{H@qHwR?EJuz(ut*?`H>k`O!RA^GhM<^`mP+yN@3%R zUtAV_>a3mM=B2CP6aFN}iFYPZqZ*bLIFY%XY4=yx#Tw)P*->oicZm!USGJk{$CfUR zUb3C0Z{+c#Jg=G4og;eAB#KV8gYLq+h_`q;H;4{9Cb9@j$DbWHUdj{V89SO;nw))~ z3f&X09H9+IN$>o^q%B+oZdZ}Py*0?zVR!Ae-ht_I5bah%sTJYT+W^QwWaD@npo8rZyL+ccX%Ubp8-6`HU!(d1>R7U&Tzs<$p- z5^(Uo-q{&%hs~0&*u#C8^;(Awgjcf1Ffa8S$pM>fqiH-Sp42lluHIeqT*Tm5f4P+J zMC(!-+khlSbw1N1jZCKK+f^~0&Uh?V>28xF@G62?iT9?q{ZSy1zO{S0KGtvHswAz| z_mcTwRq!^0;h?55p~CS%>8?84DhC#Zn@4d|71LW!3P)Aw(K%2DcY$!RJraG}M%jZ_ z3Cf`e>!P$g0eM#Fl%DQl{$GVkQb))`V$=(mQ_OKh;4sqZz-Mv!agUy}ED?h+zt3?bvg(ehPu z?XG$7zzl;$N@ZosU+?!OJ2%PK@n+^nB7I^sTVtra4uMr4%FSUggQ8PwosLSKvMmTrwK~aRtim^1`xVUTFeu@;cPFDDO9z8?k(H3qauPxzW2KQe`;etB9_J;!Q= zN68W%g1sB&C2Z|_UXnto#?6F561NW9AjSXF{(gC-e9;^|W+5OL`7n{&){z8jkYFRv z0zF7MJf@!kCSdWoOr4o%U%Q^zXqCW;4$Wp;ovv2O895u?Ox*GLL!_3kC8I-r*I2fo zlcCfmL*I#+Caa^}B5NvvIP%c1;N{uYd>z7iJK&tYc&U-TZjYDJdQ}|r5p6iWFnM~O zWpNh^c=MP#h4I`pPE_yhL68;j(aiI$gLbE>M6}8F!6crS%J1on1)4J-|3HicVO;0rZ@=vET36AJ={&4sAd%oCYu64tfMU$X@BAJA{7K-v=OF(^@+ zWfe!{#tx@({qXP4$E;lh-pKp-;4J?m58z+>U=`5Z$b)v<37U#1nO$~EzF!{6m9y7W z2Sruj$I!WGTb<7u*pHPLy|*VpUf2KuhT@%e2Ua(ZU+liO#3{ZcOG;rkH>&CuNo z6;|VqO=U;T3Utg0Vp5rkm?xyFL620}{7J~7M+D;C8f$SGx?YMkr2JFI(af*2*zFBE z0q4}Akbu;xs{!p%eryGXg?}v!VK`Om4AtK;I#=p$-dt4k3_Q74$KP{tWH4kZcs+NE zU-Ax#IGIms0qS0Da9k_dAjepM+x zI!t6)Xqk{{Zt;3lqxL8#(_k}_PHuZu0ZQ5vBuKYr!U3_267IW2G7C&<>>HHbc??E; zhxB?tx@>$~`iGe)wjCorszYnSUu?oi8(&>3a-rP)tSOILzQ{v)1D%>5v_Yh+Gs7Dj zOM7luJHlS9!5>|^a|{Px)En#pBcCHr9$JUsa87n$F2=p8ukj`2#Rg?$^A=R}>%Tq- zj!e5-SA#c)C5!g=aL6)gNH&@Aed;;AXc}cs>}?jr`uDCdJT5S5YE_~_u-D)68EcPx z!^Db2iMxQ4xo2#5yfKt(Z$Vto;6udm%ADs4b?j+B==h;~X65W|k(t%b^Hi-chdWUc zWr%zXGC5k;@9Gw%h8&pc+r`JZgnPWK!4N`}D3p&U8a&hJP^8#F94=Cd+VOmE61>;7 zt9)A^XwHguqcCq!kDBsCvDbL-4zokc0P3`dM?Qhw;YpOk>Td9lCi?)kd!zOho15s= z-{40kg>_S-_##7!;(j;sWu;4&b9CMi^L(acZ1>ZZrXZZrVWaUkvTr3T+MIVwz_-+5 z4yOE`tSlZpbJEMM`e~M_4m!~(K_0?57CyGmzmxS*3dJ7gRes$)ZllZKn?Taq{|%nu zt2=qsFW%o^(?otoGF9dbci>Fc6nrp{ie?^APz3sPyI&VqBLR;SLQMJe8rw=4Tu#!> zPaOZkzkceU)Yx!OKziOHjmYv&&2H-H_j^`{K`Xj+`HG8G50W2kA1f>n=pm``!3it0 z_VNzP&+Onuo7MweUne1#EHyBMp01QKAjw^j9}92#n5#Df3>h3YuB5&byU0$4@_hX@ z4Y@BG+~l8v=Ta}!M2_;3nEi@QUB{AQrCu|Z)}0Y~HF1{6)m>|HgZ^WQ3;|BeV??r@ zFRZI^8D{e+Tf**Yx(tEn*Fz_Ky|vy~R|U!XzcypP&51m}<}@h$Oj)33Nu4=P`K`!X zSe+QynN8NR9ckAA@e{pSu3ds&ojNl$MbZ>mFG+bJT!~Q}b>s7>s+TUMo+XV z^OA7>JX=>vozIRJm{HDt^Vn3}3aRs~*w{AIv!|0ptkT7)29#uKEE9Caem*jgVN-f5 zmvEs(B1qfl#KQ0Iij{?IF?!Q=eloX<&oTHZ>rZx;*bwZ?keS`j2;$guK^V|@T$z;- zQ#GvF>itEcHS%w$LL_~%d}AdkE3rjhv}H?(kKQ~HXO8)VeD2td3}?6$O^N-{HJAOL zP~A3wXSwR?_Q9tbAWm8eB+QU`yQhR%CI^~@z@&>>gd@0{#wik=gvi1+&+z#+zDJ)Z z-@_|5$;27@HZ8Yb{(N{1C1ls0mDh@DgAxVeWNWCcH8ft% zyk65qlM9j+e?BHH!w8SS_q@c{h2a=o+2D#_VMJTnE@WSlbxjzYaC&SFD+@J0nsT_v zzZucT^G4&Ss-I)53CN$9=an3EUBCmRP|i3M^X(YTU&b!s9dzs> z$a&LmMSGb%?({5ml|G2K%kp)(QI8@7dbcE;S@dr09FMzLuCe$u#;A+>mC|4C68VlDW$?>#&Nm z1MF=#mHq9$m(N|OT6Vk`n^JfKR`pX{pS%h}yYv;^eZ^xNp;{IS{4TBf-z>PE_^T`i zpRj9NU)tTBQmim97{qzr`bXYjMOCJ2!O7Ay)6M22w({fh;&uOWt4nP?Ol%<9lo0Pm zmFfhEZ~W}q|NJZt>6oDRv%(UPoVxvTA-(nS-6+l!!O=R%F`a_}ZAS;tbZJwzs~u;U zxI=&ouso}M>)dN;oQ)=6otL^hRisRh^c^jdoM8)_Ph*_(j0FK*ky&+BiN zo$?WTZxgjYE|a1Qdkws$p1NVa*yzhqlkdiiQPM2aQ^3@w;T2v6m0B*vcpCvq%^3o; zljO5#t&Y3Pw1H1Y{;B^I4}J1h$%XtHpA}&ER6k!)0|o*H%-rBg(jIR%GCO>EA$VNF z`c{QCzbJw?2=J651ura3f^SAR_UL0$@uH#z<0wpQ4?pP*GhHQ2KjShUaxo?65FYc2 zUDW%hAcy;T$>)ugmM(;Wq>$|MH|jQ4E=4PH6LwLUMoM&q)2x`stn7p&0i#2}Vrw77 zsV$-Q-68oNpiJz5GSMCrury9|@IY*IgIrj4n9^Wl>gK$nrZV9|gF)BYk#o$hEwclG zkpa>SO`pYRaBmV{f?ehnU+9x8atjCX2$y6uU31MROqhI{CMppHlzpe|?w65C^cRN9 zOY;_mH+QXN@8CDI->LWc3Mf+m9Yb+hPuP7j8&jyohUlo8M!FWDwe6(5SB*^qep_ee zc_cQG_`7fv$@%kI$5}TmI>1#Z&KlSF1n0$Z_Yqq5>ey{=4t@42E_T;GGx*bNt-o>n zobD&06)H3j$o#Z8xkSvokCGroyMoTWEyz=>1hdHYnZ%?VDkJqToj=ta#u4%NM# zdV3hF3M^<;+_)u&)t8T@PJ=~Zo%cdlFRGZ`-{oG<_NltFF;@|Vw9qi~o6`fyd2;r5+UNf3_ zKDv7LbOAN940>s{_Mye@oTcXQk#1fP)hQuD24d^%Y0~<04kRKb{_IlckxavWa$%Mq zjd0m{&Em7m0&!+$qjirm?-ZRm^PZOW8fnL+T*wKX(Dr!$jY~ zPQA4{?r}^*GKiwCA4D6IEa{+3^GNWzyxvQXiD^wU8(>>*3;eCRs zqye1iDNmkng=uA<*!gcj=>)KffYrqrQ@$EwxQ?I)DPW&a(-f`!fz~`< zquOV}6bT(LMQ6+_4Bi#zteD@$LMRy>Qp0?*9`fl+Ei;rB8OrTXLiK*XzWm{TQ9t$M zIPiXBt<77Y;clyfws4dT--IuS$fXIrYyr3OQva~IdSlryFVpcLg^Y(TCerG3~h~-)0FKfV*~RZn43IM~CtU+`p38o9dmB)f-nzTg^+e zzEbr9zRm_Spo0}N&GygRW$wH$`baEoMMpy@!d1^NO=wk@0&FrzK&{uFE4Z2&5-qGkVfolY=N} z`X}cXX$>+3@pl-BzQ>1F*UYt-)xu{mNbZise#o{C-*o3u_}`Bc3M|l*ZFJ^)7D^sJ zrIC#FlLR~Pc=ddV@@P3lhZfNMj%*8wou`{9NpnEZhiRE_QUN>i!~+!)9FKf^z6$X<4>3LRNeXcB*_Ehw8znT_H_m0XeZtL_Ia8?a!kFH9f?ok2!aSm zk9X?`wt#HX1~&1WsTJj)4hXOr8jYCktyEq zsrj)~hk-X~AYG%Y%G(`mN)_JFgIqt6=moU-4}r94jU`ERA@l3r)Os^DChK3k5+!lv zBpy#oJWZAlo#DRRS*hg=qyp)E$c5NKe+7g$@QIyMo_2*NrLVfH$YT^Od#5F{8H#b$ zVk*n)PkZ9B-Frkw2f1(h50JR}rtMPozC&{EO-V~$hz&Ki=4$O=2?U*;LQm_^oV{Di zp4!nFFu_X)KvR&&$eh(QM2&c#s@6Aj`pW)T82CaJ0>tikGt$`rtPviZFZY|O{r)ug z5kQa1KN7_%Ki?&4*}2|9r*gSniRytr$G)9+T{%3*h1Y&OUCg6#`SdcOaFd2XU5}eF zSLDV;GVe~-NX)%tH{N;yNYZ^+i6Qp)@|kilUM+Rxwx+GS&+8A zJQdV#pewB%g1!!+LFB=LZCS=~(eR9;^@?D?kyIUEh4eZDMO+qta`coqA;Y7q%Kq&) z?W9N(u*4-~QSGePqC`zv52tVQ6AO}x_P7fzspAj!g3jPbN{c`?5BI20_ zrqWO0ZCJ>w zcN0HR$+Lj8l~is*+5B_vnr&$;{w7H09_tGZC3l3_6Qcy2&hmiYW+YIx)Wx3sjhEgP zDGjW>zAsU>ST*4+y@E|W!loi!j<%Tcp%b4oVAF1`-5VtyemLxX6t(k33G(~RMY(XW zCAIef!WJHU-R{6{ufWaP$&L*R!7_);^ktyA<@OP_7jI}w+Wz!S-;It$yBMIgyMIT1 zBpUPUEBpE|GZvjH>46s28~MyZ!$0LCT63e`qY?(kXztqDd%zGB^$(TbK#M%x9n~9X z(cN?$3NVY3-!IQ<(z3+1U+8)b;862D#c!g&p;Ldk{sr{Ki?j$rcJzv~%9rrQDlh8T z!w1vCyM{FB9CVo;QPcYR2u!n842fm%xxn8Z_kMRe+FPhj7)YL;oi^=$cH9F{+_7oL zDVdX|;fBMDhSS597fyi06-AAm-41SKltAiVsT}mR%lM@c&c6btcIg_ltc=$L1K;~- znX_jUu{ZrPokaaKZCXKa_YNnKUK1xU#(UMmdh0~w>Tj!SJ=ix=s>=Sbd0bu;eEUd` z_aXZ@=f<(yq?$@*__pWPz;w?R68gwVO}CmYYHGoo&M368=7z71Y)N#`3$RD9-Te zTwf6<(D4z&Mz;BW>|p00ZelOjQE)rJ!(GBO!Gc>%PhdLT0`PxTq|rOe28#O!AAmh2 z@mm1%Kvp~+Wmn7b2lkz|i+RB63e@W=^Ch4QS$TC8{um4Wkk(tKRh5;@ zG6)Wy7k7szm?W#t>;}K_9jX1A9eTG^45`oTuyMr2sWX4CZ|tjmYu$FYZYo1pHfW7z4v+~0o;vf63<<|0Rg38dm+bG&f%g}$aSMJ0XKb0>0h}2B-x7F`Q#5W%;})<5 zAMpqJE0}q|}491Kq!pDtL@x0AP;L4tgj zh$^gjWEvN~$M)_FvE>X=XKbJFZ**-t+ME(x^=xZv6t*}ypxWzB7Jici-N&H_u--{P z57;?lFVLxH=n49%w7jWFkYDmN(u49fvv zSW>xiiL~-J8g)m$p72_j@9nmI<4;{ntp{eWEG?(L<%sT@&wKztbz2bg?G42~*FL4S zKLIJI^Pb`L>&2QF*BElgofl6y(2vVs2~5df+%U7W$~rmSnxUyZuVZS=&wTTQk- z?`?Rqlch-fa&?h|cu3yhp`&u?l~pLiWKD??{H;$+EMT|F&6uyKQ}9$DMZB9jQFEG) zvg=G+tk{DyB=w=HloO1`uQcVgl##;t4XtS1UEt(^iiO_it_pYAM^0SeTn-iP_D{VkI3(DtsCJo94YcuV!mZz5+X5WEy!B zg>_tUPa0kUVxw+PkV=))SV7$e>|%X=pZ5U`fb*K(lC-*1Pg;oP?`iCR+_`-o*ni!7 zZ}a_Z!jXaYlQXp+SR2#4?2%}%%XSBz3+PT2c_rsgVH>Rh1b9ubX~dtux&O$tBTbRUh+`r@d*uU$)z*xal5*g<-Qq;6?)< zoEPm4x2MOkST4zNovOg_La$#QtcnE|wJh65@q5>00V3e9m~qD`I1B2?GcVu$Os(k z`8-x52zWvaGAQ=l5M;U4)*e6uE4rIm;Z@BlA>#)j+9-hDEkpBbjHBGB=&n^5*GwB` z3BZ|APA+fU>N_6E_Ga-+&f@RDUI1l z&3+=Oao@5Qk#9O51@<#&$ne#Jajq!xy_t5 zL?^d#@&79F5s8VCX#gdT1dLZ>Wj8Bx6!*IWQ^(r|KOLi6TWUoD#`a1A{af`JiuXZ+ zqpArkO@e_W-$!6F--APeN+%gHiW8>B7trbn3l9NtpzStv&jYE@GrR{z(IjQ6@-I+5(UoS>bYLBMz>M{-No4f z=f2%fSh6r~5jSbTKZ~F~hpdP4(3L)0tb*Wziw+N1=S#~sqNhY;*^&`6DZd=%fyAJ? z_&;hHYGji`lPG9B85_(EB!+bolsPn3-LlshvQAfwLn#+hMbewpx-fov`v>&4JN?x^ zx`ULza#`6#nUPQ%S2ns%N;>adNtfYFTH*-%Inf_HS1aVUh>^B#Ge8uR;8k5{dmt?MA zZrspJTGsBwNz}xNL?4V%ziw=w!r!cfZGtm9iyvGkEXwzs}nAY`C^3`9vAlY}mkKG@?* z?}In>Nm3(geGYfj+y;bb)AYvsGyuIb@hs-WVvui&m;cmVEmY|Ssp2LHMq`iFE^&-^ z@hp2~b7=?r5^}5dxS2}T7OUR*Se?1*$rsMM`TC?5_r;U~oEk$v0?NycLB|2cQ;aMP zZK${CS{HPs-NCcuZF3el6fR+wg--RmIY_Yki{wm}mZrwZh@t(|f7ao0ca}QD1T{;L zvCA|H%hLH2NJ|>Scpm06O@EbZW$xM6&hyyjajvvby~vEb!{k!w`A6(N`#YdSE^G0^ z3qJAamErMCt!AQn?%bU3WFr>8BUQR}BsU&d`6wCjZFQR`cu_Z7@_bx~xDPyqSXD~W ziHUO261Bfh&IdRxo$$&xSvr*)a|_qf)EV;0EDeI;GNUIX+v}jQf95AQ(A>jIlr9%+ z>I3(kDxk2Nj08{bao3Nqmpa)e)Q@Y_bu`rn7m|hk+OaDJ>SjB&Sxm*am_=tCO(sSQ zYuxKAd3XHJzY@M`AC|6!xj3FtL#?AOFKSFZd(0(x*-Yqc46q)I&v*BrMFIG`7u>XA z9eIQ2Jr0}~YwIV!dLY|Nm&#mtaZQJ%$ukAAu^)l|f@#YBMtR9^9I@uN7_-Luu{2^Q zW({=VwR3~yi7$DIt6+$c3D*B;E^^<`Ezic_fwQBSdAB+Oj)AaPS>t+(R=nsYf>eJT zX`(J2UyBHVa&%~oXu7K|(c>Cp4+$g*HRBsi{=&vt+ zn2Xt_YogiK%inT++4@lz(0F~|Rf0B@l4@=mNXh*c|8yG`D_Y~f3m6e_cu^8N1FM%jV~xobc3v2t>jD=8x>3zgBm4&^NV=ZrL%=_ z77a_+F-Pl|PHsqYRz(N7&1S{@xeRhkL%E&cr%$_ zhcpGgqbS11))I!}&4;bYukXaLPC48)PjK`0q`Za_WhR$o8J&j)K%HoFr5a z#ES&~E|j&$Y2?AX#}jD8^`YBzgi}Hf*488$eJw2VKA%$rl=%E7%!k4a%kWI@!iJbq zkXExq8y|S;&YL2EHgqaiUH5{Ae!BeaU#c=yk`d4m;Y&x*?)Q{0K!P5!ND=Qy8 z6wvNF*0)S5^9gZ#Z0o9hTtHx3Eu){^*TZVX%^W@pFI}?=c<@W64UGLly8G5%> zR6SL{rX)#TdH5G-pon9Bfi`sgK=z)vG1T(@{g?!xWJMC3C@$$POgIosI%QA}YJb5g z8pItD$XcVl2$L^@P)>Y3z0}`fJfh!{nca(XZr2b4dvCsQv@>NGSpNyo- zIW&?pnEPBS-yMbTJj-OI&n*F-D|}zOCyftf6w8|5@Jyb35 z({IenW829}c8K-@=@K_Uq+Q%dSz6&2-HhS;aXz@^n68}MW{nVAcT1B-NJW>$@-qg% zUa}Epx=+;yVS#u02y!dRS2U1~3qVR|9bJU@9iUQhmW8qL|q*eX)> zoo`q2s=JR(&E)n~1@)wOOh@?nBiBMhbG5&4DyDLx44HgV|8)uvf9nZY$A*TZZgjwVR`dr(iua+<(&t|Xv)i1(5zsAUOH#kCvtDsVTsx%cOwbnT5rGbAp1oFj6ZLA1MB_uRf^CrbG2=er~{K0v#H;p;q(GD#vmMtQaK zP@%fXW6hx9dyVpfm@4{B)a^3OI5XE@*}=C|*w*wGKj7lxKjO#ggRg}u3}9kOv*imN zhc89G#O1r0OX3Rm5H8imSk1BC{78`06gy&67=5h!1UwJOnhN?@>7 z-Y|QD1vE7Vt&U^v8rs%&vQo+UrL2&>skY)RwMzzf&2 zx*!SjLVjY>_WOnJ zUR0?@6esIj|Mu)b)nIGYst`%{XkArw57PB+Zbeske2pCHg9B6biRO{;U5-W%=sKot z=nrU!v-(vkL4;n&qu;k5sKuq+iJju9!-GVSrjfLISM!ecd{nzHbU?EIG-B!<#p%+A zRG-7OjCalqujy7l?xne)HKcAUHO&{{R}}qE$JzZmJak>pBM+KERX)3FCf$THj!a#d zzUCgQSWPXv|G52pJ|L{18qO=KW=iBXC7UkwrEu1C)*q_!8Qlf=Y( z?nM+2E|F9Ie>LRa*|?NfjiOS|;T>U>r}=+OopoGP-52g(MFB|x=~7XUZWvkxL8YWS zh8ntK=$EbmL^=jUq`SKY7^I~;hwh<~x(DCiy`TF(AK>h>*R!7WU2E@?{~1>r^PQbd zyHDpSs?pJ5?gQ{f68J&Bhk|ld28e-InnOiK#K`3!-l;{Ml&R3Y3?YEny z^V!c8(YT9KSpE4W{t5aBn=v7|ju{G$TT*BN?fgW$wBL$+Ii2xdhI1EM!U%qSrruiV{Z5nnC{iXdQte zKrU_=#I1{y6&88QsS0WuJk6QH6xhjRKQEy&{#>{`oLSaS7dqkPLd#>fUkSYFEonL zc;}HkmDCHf$iTtKjw&m3=Jhk)x<;mzHzmU`gl5U|ujFAB-3%FoR2nw2fe1dNb4Ax1 zT_Z@n9;mwf=^YIvGNt8@>(?$~YjVqy<)fOh8W!*yyP|B@s8S^Fwb7c- zCOK?Yyvrs7y&f7FtpiTt7Pv#LYuMUf>TY6bICn2rqFn&>%g$0iyX0;6+HS81* z0!fIv2`wqpgdSjzdWS(^$`nX%1ahw=YZ zP|=n1=Fju9KZg0Z^81?E{q|}e-d?nm&ZxOSXlFH(kF(3Yo3&HJ2=^rNqR(mO8N}*l z$$?sx{xzyHk4t09UH#)n%}N3p>AbQTc92C-`HaoIT^X+sDma92$C8pzmt0XC(1C{3 zt1GSnGISfQmy}xN4>g+;mF~BEpN}{nhFBRJts%R5Bk|YTx<@cW2!)xJQg!kcMHOIb z9}igq3ZIvKK;-&ELm&0i1$e$Ve(HE+pyYG*t9i5r0WyUxp783A_c(@a-aW%Lu<$QC z`9o7Sp|riU9yNQ=akvWj^yA9Tw~H_QYrCT~O7Bx}QakC;+#F|v`H}VkVypa%o7soL zl&%l4mjp@m_`rC_&I+kNUj6%RJ;jQ{`u;+Eq> zuQ2OY)l#0*=WKU&;5Szu7PNCB;R2X37P^KNv-FE)j~FqlBHzr5%%qP8j1mXHCsG63 z$L?3Y+j3!-NS!d%;GrGQvg3O-60Tk0^x<(Gv6Z}MaGeEH7Ue4`DyEQDG zkwW~@sd^o1sU@a?Q33>}L!xDkS8i`CoYTsDw+W;PsavVR=#-ZB?QbPCN?BLk2iu6U z53$kJP4xi>QR-WzfKBa{Y}Z^+fvjol5@ucOuNWufcIDE|2Z;nu5vgWmU$g8ils=p zT;VOFNNv?1#R=&@2`+pJWj0o*Ha}9@S^9Ne$Td$?Q$jeK|I}hIlycGj1L`F?vdv-< z!^c+@K%EoCg6s^t8afx@fE-)3VRQbX!`F$%YN2n6D~GyuFP<+WhRl_X$0wR4c5aRj z)ZU+%aS@15wJR+yt(W}HtQ}#BoivJ{w=DY1LSKOVFzOzSJHfCWO>H(11dd-9G=9452krQ&2Igrzip$67a1|1^cu z)%dI)3*tsVz;1D@yK<~TF>hDoS-!&Wi}?7Z@~)PCnSASjCqxa^X1`Qkpz(Mim*3Vk zf<`^a;VVU9$}jK~G&oCbq-mZh$0mY{2AkYy=Jp}9ZK7@ZZIUhStb3*AC{~JCzogO2 zq0llow;!i^9uZ*F4p4=oK8Ti@AiE06Vr`S+h|qKaAe2A3lXqjBSeAW#o}x`5Z*zT{ z%%(ricVPP|fuO3lbJK!`f6JVavHLaPuM#3=U%Xn zhaU>Edc|mqUe(nkr&PGKXEaX~P0crfKZ%SEA zByj#%-xeXN1XNaS!_&Z8kgtexGaj{trm+OFmRmQbH=j8=QA05$*h$<$u;l1Eou#SUmbu8Cc881rVv17+-)Nzn7_JTrTTjg@n-_G^H2 z@EiD%Jl-MF;9FPvFEtqOKg|#reFe+(cXl#TEVU<1-Q0xrEPTo04f%5a6N=eDPml$u zvot>FYa-0ujpHj<)NFsEP`UqJ4{Lsb7?I)Oy{_xgQ{7122j+*UTO)=pl0k;{f~w45 zf$|B83s^O@LWQK++cCG1k+YgMvgL5Vc34}s8}@utMA9*rp(L{H+VVeq(7uP7e^uxG z0UiknEf2S#_)0#wB)9wB^NN`fbNZEBEnq2{VSe!>k}c*kU>Z(j%B}y9Y5jXC`>sgN zDPqcemc_cSe{u+J)qj~Dn=J!*+7d@wG9g0Bx$!@K(63R*wHGH;PNf)F&x`btBux~e z!Zvs#(!$0C;mH{ zD7N14$9`wU`#aft6E^V28)UnP-j{>J&984`*ly!_CsoS*_d;14+)5^H=zH#!Pv2$w zN|0aMG*yP|)zq6O zgE*dr`J-y+xgA;$7pf03%K{&e%V2^j1W8*ABKa?aN}d+U=nhZ$;c_Yikzd`SO`P(^ zPN1I#66ByyQJB{6aK8(~a1^xOrO_dhZ2$X9+yNvRMF>74gkR;CN+#ELDcxN~udIVs z7RZq&mWz^?!vdg&SQqoiTjME$*sc^&VK7?do%MAcEj3ZJavqfAgWVoAW5Kt4DkEl`5ak1Qn#o2#y6i*S7Peo zbKj$)ASqIPQfsM`JYchaLbmnI7fY)9c|RKdi2yRe4GHOBS;O3z$7Q~EOt3x;S0qM< zU$-zOqy-YR72hAGOhIc?WXZ#%j-u4}%6uHqvOE*tDK9%W`kT;;&Bop__WB%5#f|2{ zjbREd@6|#V2$T~N?>8jRf8BUDGZ@AX@KT`afV1F0(pDLhJZYp+%tN zu=dqsJ94qQ#|3vcvgdmoI|m&LnGr7a?YE*1*S{Rl(YoEdP5;{OWA5W1^-xOzl9%~L z_HIMHN@@X=4#Uow7@R)EQ{SS9j1PlJMfR=SovxlR%#-TV?kc|O z25Jv=ioVxI3oHY(3fV`ADeaS3t_@2q?Y~{UpYI(_&_g|oHlf`QmYJ9_aP_OU22&wC z$f@>XI;}eeN{kAW;GCW15Ly3 zqw0<`K>gf0h8MLzcP*Y)b3k;aS#>i7?NYy;57OTMy5AiRbnBe9XNnajR z<*f&=w5$T-8k4C(e?iJR9l>JA@)vO03!v1>e6a2q40;HYyzCLLYZ$f9tKTdGijl+& zJWP+)KFhUGmK>wazjjn`y>kR;w=ge+Yyqk5d3WQF`HOWjOZb58HO}yo zM)vz*JnjdxL>rv$(ok=^iPh-+33FPob8|VzqTy_PTIS+T5D?RJy7Q0!cvDCdDO`A) zdQ$BWltASTmQ~uXLJKSYZI$T=82?LDTjpqm3s_SfaOjExuKpiUJq`FdP~%40$AljrD>DB=LdZ3_2E zNX~cqa~A;pTneTe3$k(VELl}GsvQh(c&yX&GAksPEA{y z;>NmYi>2vJUp#}Qb4P#^c9md1Vo$Dm=QRNIS81TSEh!JE8(OE%FrKSX|7qDrVf~M2JR_kpJ&g} zN33KgnHYPI?FReV=SL;amGU!76xK~VIZO{)5n{u zs_HqHziQ^?6Bom|5x5mu$F46;T4?2d{rjss-+l!GOMPvPPYeA=v&k~-7R@q72oMyD zzXZjl32|68AlUp8hHAS|<3;68v>9_-&(qY5K0kaH(XUNFEBt0D{8+&4BN2vV97 z8mA7BEE_9T01XyXOAGhEz))|o1(Fkx0!-|ekJXcG*+icMNzZS3!%_X?)OIJFGc6SK z?zyeKE7S5hIE5Qc!8LJ`-29b$9CxM5g664@>akfs-ACLHc*Xvp$1oSxm(nXiycFw- zP-2R0I}*r0V7#g`v8D6e229MsM+j%tDTS|XBECq)YOp|aLU2Jn1BJq=aT|+F3}VYQ zBrj9}K1d9N>orRuRAHA)kUX~ z&b7SvFpZ!tObWkrS;iaeyb86_;PL_>W4c#?)zVtdA0 zPLS>&|CUU$;G?W#J;6Ud^6tFG>z*1EfK+Ykqf^8y$#JATI@CfCwoAnh;b`n z_nwFXE>Kf0JGDzoIZDHs zB%3|Ywu9(wv1xBOIleR6nM<8L(T($#E`HvI2B(tX&Hl%0pbKN-T3ODaZz$L9(Mh`3 z=<=WJl_or*&mGVT1DYIFMdiJpyygZ^&A2I#0a^-)D!)hY^o5i(^YCl$r1h|-qQK}p z%a=^XC`x>kOj=w85qLI9v)-Yuo(;gd$^at~B|jXv;hGZ_f$=*W7Wk{s*k@V1XGnhn z7EyYb&iU@Ul$&{8t6FM{pfl)iDX_l&N6-8^g@JLwTA1JqC! zX}Lhi9(+xne<~AVjkj(9R6F+#w54sj%&fWy4icmzxpV-fSl75anOvumVUac~llFhG zG?lhc(kinHX9&nI22H-E<&WM&sIln1Re3`*_g#jD{-~Cl5yfxuQB)C=R2tf6UUlCJ{eFC zqjCDiC&vxHcUjd3lerdIsy7wb_y|)>d0Wg69T1#$-vr3-WY{Rm0zz0N==P>%45yJD zc>gp+QECcZb34#rgCfyqhI41k>ifpqt93WD4?7K@X9PLEoOh@`NQ;+oQ4pRd7=Wl( zGcfPvx0&~#Bux^saGk_GFPy%a@73$4YSBKo4dVLS%UjMCPFnw*q=S{~XrdO&MGm+k zbJ*(8csZ`97>`+Cd)P1FgV5R32cbk@u4d9260`E0=qlGVfKaDnJBTQ~)UN>q;dteb zyyTOsak7>kvy(C6Yf*`>v@|s&&zHC#FdL)yITjB(f--I>gelmq|3U(wyRq+cckLO! znXA~{jwJ%xrk^|Pjcoppn>5=3+(cTd`@?7uLDNOGk>E_Xju)_FftKUB-a56Y}AYmVfr{;QDtXoDs7GQokvb;y*`w&)67p&!x)m7Fd+ zWPfK3u1wBHc-g;kf5rI`5vkUTr;$wp;p*4pLQ$89wcG!#;sI>>Ep-!+H2ttiwFuD% z_q3$U>i?r9y88d4CDZgr?AGe|vqa?p6LNjuSWaxmF z^a=reo{B!-4`6}3_!?qlvJudo6=m;x{bTV@5KHlZlcB@1&vHC&egLOioe%xstQ*ZU zWWlXkOMBfV}hgaK8jZU8Z+p6tOmG zXy(+|8cI`biIF>M6nfUz;Xngt3$TU6TxFN4-PJ~i zFL0}C2A3xRdT;>H1B=-t-FgQKoBPg}GNxeaRx6>cfszI)8L4(;`-O1TK|jOBeZ?M(gx6e|}@`syYttg6q`{pz4KD#eqD#PJm( zjTcGAe^VlWM=n!HbKn&QY@V-^#Rs8vI2DV$cHZFr{QE|aUO=pfV94M{Unx3lWQsT< ztw-_dz4c-hKn*1^K4W6J@%YdKrvn7+mu8)jiu`~fTV{D=^K5#^VQ1slBt{iZVrsP7 za-DZ~o|bUq*hRcCx^$*Kos8k$b#VLs&ACPEY9ZaW7AIr(Lnw>D5K5#|F&efHR9Lm( zcBFIqldpe@)?G9xzB~mE1z2eu>_cAPdTvFBoqpIy63Di#*-mDbT9>eR#o_?t`TWP> z8m5mc{eB+PzPKOQXyHoy%Pur$SYKzpJ2ETpZ&#&&gp~L8(0D~cKvX~b8-0ux&YooX z+i$Hl-Ov(uGM(E4X^(`Fh69)1^G62>UA6K(JoV;;$^4HRY$}Xr^8bkji@bT*y}|^= ziSVf-H&W@|`xpupdfSzX9X;wbDjvJxh$I28{j0V3rTVe{4I(J1M*gF(C2fz0K`z2R zgliB3r7;N0sCG{C_20BWeetOvw51Qtzdpvjnjza>Azg^A=6LDx*CDUzi9$Ela1)+( z8Y@%CL;i!Mx(m_Y*l5k;lW)LA|D&acrYU{v(TGnx`LIrZvDCoyy5Tmx=4L^>LI* zZX>ubTvgL_#`Sd$#vKmr)4A7wypt4g(>{+5RJLh$JsNC6e&F_Fk+7BM`qz?%0_ZZl zF69vwQOTWVEd4ATO@(z=?16;BGKT2>O`~Aob^7E~ISZE}I9O!@o z2eCW>4fbrfo@@Q+E2Ko;0!l0yYQMYCoLK5-D&;DUcTWKTk(@CkEmK)-DtsLsb2<01 z2ObMB-{N2Cg20q8JeBJkb;)M1gwjmZzg4sk!Ziwm-Mpy>)QyYJ*4KlA+UVw{?IA9~V{|%zuJYxv5CSErLjEg?94H5HleZU}j z1L*7wan%6h8Q2^YPabj4mJB_xqRno}-!r5g8C|dGJVt1azw!`6`91%2HaLs^dMWOg z(mo7NTMQXJqt&woK*>BU_Xc%|d4JJg6E{vkK;K%u9BlDy!|0xoB$3`)>VX36?9SQ} z4Dwts70UjOTWos(kYp@#)DZ#Vg;mE9Gy;tiIFw#}{&0jOpyi z6wyjt9=a#~@b;;%{p2`RP4&bnuWV}~LchhL+HsbwwJ~v8T(2H0irj$`D%j1yUfIL9R_e|`r!0av@q&O8)D^YpZhnWoN^ksmE1l~&+vUeUduJ-S(?!r` z@XU*B^3t($0|eH@2B%d}lTXC~Kn1&wokHrW=ZxsZK4njIv!=&buDshy6@FIQB$^fK zZBW$5o}N*<9WU;%G^S)eQ_=kg2xa$)ob99ajIIJ(^tD{=`i83TAzo{FWyIw6jiL+C zZPTILin*9$0M0fH2y{SB@UL>F6Geu`NN@FudPXMB6t+{@+giapsICTG!`YC5Qmgu| zC)wGpj=0ga@I@p#ocTIxf-gN|`R%gnI_1KRK|8cKN+GzR-J6cLpk|l^6~B^bwW$}u zAvHd;IfXxw+}T$w6IO+0&%Mf$#&--X5n!pR9+^_M$p0_ z!x$ygD%w)Xv_*@!A~VoinwIJ{GB+t>tdi;Sh;lHw0>&Hf_*Zq}cH({3=BUeL4$jJV zx0`elh3wmZr6+Qp5=s=QM@v^$!s`UR?no_0G~&e8LjS+Xm!=Lh8+c|-o?ACRJv?kdQ~5J#VyMS{@GLXm4|oP4tWWKY&Dk? z{gD9zlWbBQc$Pf>8keHa8rOK|wyu2#Me&*D>*zgwBm@4SLaN)y+_M{R#KqnUu98dk zz%*sZq9LCH^6RE%<;I@eueueP25isH0Woxdd`8~1y7P;Ii8>+A*E(r+r1|!_zyKox zE`ProOBM7q!mY=unxYbt))&q%Q$($qq%KZce`24{&gZ@?c9V;VGO$1%^Y*j##YcLd zL?+ZO1thpR+^w)S5Az_Vspl?kc(uG<6x0NhFMlK-(hY6!=+@n8DTRmo0_};Ft~an~ zpVy^7M(S&NjTXm(Lw*L_@VbS*NfpCsoPzow4X*ygkqoMm-wH>#Z0)GrDhq%bQ-K!T zz_;mGWD3Vs$kJP?YQyiYqPRh4N1x{64|6BlpAdGnSG`XYE8O{jx5?4+RLyDzmI|GD zk_925`xeFT2_%R^Qp?S`%vQ+$`x+v%tHc3yAR z)ldcj*=qW;$cBZLOGgO*_A;?(jE+2i>m zQ?|_{J(Ej`oAyeiL$D2e$bn4Mv1FcSM7`jXU{ijDi@l77G`SXEzxK9YLn%_Y@=UCe z4GnRXa≥_-tsoZ_XwCPR0*GxYW-&<*}#2aj4ugOIm*5Dpl)MHQ0+CkBuD40LsZp zhx_|BM}|3>tj~$TrMlnUD~S9n%RuTCq#!8y>ffGW=$_H7>_uB=;t#HUcVj({WqtCW zjgyX*WCo0G|8UCOfNFO*g95S|--fKTp@%ieb%3WFjmfI!Y7nsvkz92l;6FGTrp}r> zi9{C;LVa?qFl4My$@myQ(FI~R`L;iIpc_w&u=&)>BQ_v*{nbzLPz={|m)C2O@0-}N;@52IMg@7C8=vVlrpjm;;x!=b`U^teC$dK%p1`tmm4 z)!v~N0E-@Ng1Aw{5o}W9TAT9AKI@mqjgBs`P%@w2Vjz^3Ch<+|1smUlb&q0PgsQ~~ zkc`=-qB+L0dFdn1^s-m?uz%H!z80d3d~LfQv1@_4thcTXj3mKP^j)K)nJYf`rqJ&_ zpUcyTC{JhsbgVjXV9PHT&Xpo;Z^AbL$DXAmfJ3!FBWB z*w5tc@(LGeSoW@FrjH=(0n`UJV7cJ`B63B9HG)mlaq{*X_f)HENKpjC_wwEbE~VZf zu>NubaEV?*<8A)hKv1FqN2(sq)r97q)MJvL&-MK)yu^?Dqlkd}6Qpic_Llk+Gr90% zB%VqrRhr^O0>f9w^X5HqxPN92zuT1go)Q#0IDIlY-72($wXOFX?tdtOk8t6e^fc69pSx5wJq)3@@TJ-aYvN#`Kf&L zm55qOzvd*cVT?1V%`D#mp~`v=l&}W-{y<$t$gXZdez4&@+=jU656Tk>vuU0>Mq(8Q z;AXfpA0G)!obr@dz57#r7)WwfY?Wt;+bwfdGL55%ljl9+x%C8N@x7kq%Js)%GlF@9 z`D~Mm_qwT#Fy=+=?m2kowXHlgdX6OUTPUT+GOWwcyeVPdmzkR8f(j-$@B&81|9_ur z3)MzB11<0qe2A3B6*u>dT|T7{DSio?%qpK4;r>Wv$qq05BwGt|WL?SF#uRKJGwzTn zt*lq0*0U_!73~nY)s5H~**(>q*8@rERYh<$i~=Wb&_Q|I9H8k6_OmMkAyO)<{cy98 zllCsXKp<@<@K9?f>J)9^@NR^*>-8X@xqy5- zX$u~^zk!tu&SrjfSCSk^#b0@8))BAf@agjih19@0# zVSK~;xIaFag=49h9L4$U+)j@d`1o(Mlhds-CylN61Idj%$Tf$Vs63OO`DE;>NEox*LCRG2y~c)AdXOu2l&D0z`eX@~eKC~4aA zB$8hbX=stB&3ixxtg8dP@`eDZ(e~W<2?Q8euyX_d;RMd*7HyB1-E}h0LOI+}(M?eH zp{y6^1;XL|kxYT#j$qsD5;9O_>ET1=Z17HSsHF1K?zgL67Df3e*8(ZL2jBW7h>pEc zBW~Ah8&DsP)Uz^&_nBdhUj-HBs!kF|Vj3DnPOr-7$!QCZx{fQ|&X;P+cRWI@PSx*} zdF$#uUGfSKqm9)x0Mz)q(Tz=GP_*(gf26!*!G1?&K6X&HSv<7)_pd1aNj%Qy~g$D+(&T zpj2=&>z{~%ocT)(uc*0~_~z5n%m)D#wQCrCXf(aK!EjKXHp*-*aCipICZ@hGS2S0D zn0wZ~jNycJUc6YDzgP|9*RU7%pQI3=9(sj4-2Y(mc1i!2C@#WP{gDJ2Uc3+9uV!OB z`yw?L-#x;>Qq%gX^4Z%DrK;~PoW1p_HS@+6FIC0s>Vb1GTwdlyeS&l7fMHC8VBHlH zaAEZIO>SB{sU32TQ(Kl|KRHjw>GH)yre$GO^J^X8?7O61v(ZPFMK!rKzW{B0p}KR= z_9EcO*?pjAl`6h8OGvMs^ZiVjHa`wLQAy8Tw`b$dTm`Fz>#aw)al)f9RmPsxuJHj9 z6V*)m?~j}@5a|l^Sq26sj3^#Zaswsx?9`p6iL_TcWjpo}CRc*#x>IKpU7^IdRO6{y z_tH|z=5H5{EyoI2dK#6Dw}(ig$g+tQ$3A?vYa`e~5zU?RCPHp9pwbl*w^^=w(T?1W z2flYdaMeu7Y$RIE+*ctnKIik!A9NFK$M&Mtf=X9+mYRxJC5xA31S;GIeMF@I53-mQ zI?x)sko%I>f?G&EL%#v#JCpeOCRzic=-$xC#Y3e~Og=g@$1Fqlm@WqC@eQqZv(zbL zve9PR`SMM~FXPJWOd8k;)I|)`paA+A_&V$%D}ZQ9u1FjT!v2v%hQEBokcoDt(YlJE zZ4yEDac0OqgexzOrX4xE8U5r-(;j+VKMr?X;{I;zrXaOI0InB%NbMm$)h1%R$(w!_ zXPP|i^)Zt*>v!~8I%q0CGv|0szeaCPjT@6ieB_xaQO~Tr9`02Izv|Fr>bGuTI!6ug zptE#_fR7L3Ea&Wv;zDrW;YJ0@LYG?%zwqP0?H&96MM4Be|xZA%KAhV;C z_lkt3y}!Mw-*)i2pwRDTzR9GwelnI}q~9mo#4*@xB4 z5tT{;PtQ|=%iFAU`%&n+iP&`?EIj&{G(OMG(VZZ+N|Q8u=K0vn7Y=dFWBo7FI@Q&hGI70$LXdbQBw9ZEt28Jt*Xf{`C zR0rT1z#z!$Tu||o-j@~Q*34JJ{Bw0a{j}oLLlK|AqFrzLcm|n`!_}W@5ViVMATJB7 z?H!AcJb6`KmJ>wgip*z(s#qB{xR!XP`+1I%-%M!C2V_~rGDMZVlKENyKYPgJI1Bs; zL{nK~o;k~sVKEvx>Z!}&_lt3Hep$>teRC&#bY^Q7%9LZf}wWiYbCrmYZILxZheYB?&=*oa%t}MfG%EWOz7%MWJXrmP1r@~4s1@q zt+(YDelBod`e;IK5|Z^%J01rR+uTf4ik?8!1@5=g;AX&XbfXCNC@nD?$@iaz*m+-x zG{yG!@9C1A@muAI_oW8=-Rg<(Wxv)TrmjR^`w_4Iin>5^;*Ex{P^o-JJG*4gWjfoh zc9ij|yr?jV1m0-=Fz`mbKxemL~yWh$U4}B-d1*L)itkN?6u1e)HnmLM# z5x-;)mqEsOsXk!%fwEB0N=U9JB41u=``)o~({E>qnNd;;gl9$C8_VvB{xMN*Tako4 ze5S&piJiiR_U>+aQ=4BIQEyaG-Kn;T^ZoM}s2XDjxWfZlp#OblkRL60_Z6?2M^;$I zml&zydCCiXrrR2l@oZgQ=(*$Fh;z{f*}_jk4yXlz^k+aj3X^Wb3AHWfb))f8IjY{m-|(Cwwl9IS0-^ z-~B1zPYdSkkGItu+MUDVeqIqf*`{DC8u{3H|5iwa|MM`5#x62U3NNNcRPVzAVT=uR zFTLr5$-c16VKiSUTc6zOzYbnppFwPbZw;wKQrfW=f&!AYx|O>^=tZ!2N)_>@qFz(L zB9T;A=z&mBRY8{VznEWqen?_C64@_ypp$L2wsA>v*?`nOumnLjgK57#^vzhoqse0(F@ zwI%Nc?7n-4lw47Yhyoy2?s3Ei{Jm(;K;mRxB2LNfQJoW~pChVNiO!@N;V~Qka?DS+ zQqMP}TYBM|7#dFQ!`gpCQ&a$Daa%H-r0j4FyQSE~x}j+R-mV`3y!)FK7JV>s6kY!F zPtWDF<{Vg>FMWMzO&K)3*w>z`Z=2`T)t&N#jVCsG%|k?U46D%@0t>AvVItAbCOE$( z1-z0|I{F!~bo$oapHDv616^Ve9*dwB-QI;iZ#mS`F?MUzOP4 zVGFf-%tP{>F(}}W3<+Yy4H_?9UlzF9uUwV##y;BG869$ETp3Hg;Ng?$ zy)`wj8|DYCtR;4Q6-Fi*+Lv-iOS2UUu=1x&dqYVdkTODZ~;7o&BnI| zKU78eBb+^d50;|CSZ^_U;O1vL=?Y!>f{St>S8d%&rD;dMjvt5f6kIH6nu}%$CCDxt zLRzT|f;B#{YNVB`F4l{@&>2Xm;MDGrv4k`c+vpK;G~eraGhhce{tDCX&}qRxamATw zy{SOMP_cQ(=$1lJi`>)Uj;`n49L`-X8aPl5H9dU3?+`UP7Z2K`{HtfHd``VBw)lbz zSdF=x=g2m-k3?}F*7aBaos0idz^gjT-t>lYGb2-Sy8e2dNv9Znt>%2kw-NuizcqZN z?xL>)DvZN6*(TrxFDb{L?>;)Fm+hgI4WmoK01~0`r-9hx((=4dqH&$PxTP~9xyVTa z$SXxluNz7rk@&t8*A~gjO%gG^qv9KjMeO{ZP?PtJG_(J(qwx4L7ZeGk6XoXA2C_3d z3CUB~xAV_*J0XfUamhw-hKB<*b@vv{fpXezAr9kZm#TTu1~CxHEf)>4li$FJx;TH` zDYyV|r<`W3^(tqH|7OxW)60ycwtG*RHicAKH8B_61H3@2SdZBE-Gc{`r%?*{{I%|! z>|Cu6Z6AElGGUP!9D*y2I@A-tysFuvus<@LOrCaRBlRj;AZA$>DC);D+vM`xD%llwkgcc|znEuq(aNE`D%(&Iy zn$R4c$^?!251xf8#Bf9N2&!t#o_4dBVc zo4*#V%TM1uOjeXI7OOsC^tNA7DdV-AfTqa4K}UU5=gkG|{4<++r{{F>8?H^^v^099 zG%Fq7^!$PVbI4oE(7iEuYXiw!(AtQBiv$yP!uvB-wxO0P=@5rM?J5>5B8eviG_{c= z4l@L4tTuW>%q<^y4h>QJFr0Im5LZSqjaSoNa~)Ow6zU|NKukLtg-cwO)S-@Y^K z_iGoBYYM>xQxkVVH}>6~*)PoorIvKZxkG7Rk~EPjaG;WkY^*PXpo5w$F=XUOA>+Xar>6Vd3#VQtpV|E z(l5~n^2}zb&77?yQRKNjCN@)g;IiQnjWTL9<>Beof9JJkoL(yI1To|mD{=?9VH(xXU$t=%L?TC% zD%d0~%ru#@#LsQ9F5LFM5+&}278x2}(j-p-QCxH7ua;Ir;t8q}Yr){*XR9aHj6;s< zwPlqt@bWtj3XmO8&_$k_tQ5|)Gto!FlkqpORuo}-H6ld06HYyIv9)^9r-qwQWvZPX z+R_;;dZ==Gh>i$V2JlNJmz`Bt-ER;pYtbLOA8Th+vQKcQC0Pe%PHR)i!AV*V80FV-N~3RB5MSLL$xfi`esU1baq z!P{4Ay-UBaz>xJXCQqn=a1wNM6iAP`P?4+BF>t{r@H(U2_(q#MwpW!a3Wq1X!OtCx z9YXBy1M6x#CboWvCrZ$76RuMDosCCOalrtN!SJNC_@KahJ9R|nQ@_6ZyU%!MYMao8(VH+(HQCQ?`@}OgOMAA{$~0|{ z@mr9{x9HalU`!brd1T|zo?>S{KZ71zWGz<4!7M$_m%PD6Z2Fi#rJZ~s3|_v;uBXry z)aj}oXN5Qm&p6j6R-5c1wZ#snNz*;2`GNZ8Lp1chTSebwJ6CZg^P=I0 zJ^^$C-^}%KJw^^tmT~;`W>g9Ngmri_KkFX8q`F*sE1KXWq8~uHyI99ihas7XvQX3# zhZJNu;=VzVff8j`rFL>sx>LzDQt7eq=`F%5etC&==@LrI)Az;Oe~*#uDcd(_T1<`M zg)4O+hlWh9OdBPtX8_o6#QVco$X_rr09QW4z&x)8oP38#2Lt2h+n z_HGsXw^+u1&PP!eM80gh)#`lPQ4Y&(PEYJUV_gXzoSXFrB1h8z@q&va26Ev z*M-KiUrQ2OO=~8^M9=HaR6-lCX-xp)z~-ITIPabx1AJ4j9yyw5t@u5km!l^YTx-|{mH`lp>mZ`)J9@2!yEf+qhSO7PAv~vPf;_VKKb_4@=0h)qaM=!iWgHmpf zc}$l$`{GCB#wh>b!GN59>|-0i{tm^Ws?l-#itN8RsDz#I#dZ63g-emnn=lrBpR{S8 z=|K}wkREl!IqXC!eLFh)7oot#{{BhpghttLlF_RMkPUT&2(TDbe=b?<0Qi6o*E~u1aZHui6zTQM zRr-m{+16kZy^WqOyP&iQeXGA!h~!Dni{F3u==I!#05_R9d(CZ$@4S+|hIb1$3;idc z1Y+?+mfc^fqC%gkWnaB1BtiYOSuo#8qgwQX$57{2utd_k)fn`@P!UPIr#qp*lNc*W z?qy*fbgCx3g5SJjRj_*;o4q`yeG$$F>AfYjs2g@oJ$}!tf`qcDC78ZpZhihD&5tPk z;xzo+y`BREl&Ji~tRqmWNkHdZ^yFrN_w@ra*&a*>V9dSfF(Qcq z@N{)Q%NtapL~%}b2lKx@}ePanii0-d@9x=VelkEl82>^I#2f%|6hhP4Lya=b9o8&b_{vdOE4nPkbo$S08 z@h8+nS0~FUJ6;aeyh>f}QK%Nuy3?kw%Dx3(4)hvWDtPy(H3QM-JDgt0Zrd4o*?yQO z*kz{hEle%!7Yh}6Q=zr7VRFzeamF08JCsuN78sZsLWnVTb*%#+3mJ5?h|aKe!5h*Z z?dW$fM)LHl(Y>MPvQU7@uo+7NCK0!4YLQXvd6Y!f%1x1{!-fP$5H08#<&T~Toi;ox ze$Y!^a_545%Ag=pP@Mq#xfvUpQr3^kzUtS&m`U1*7$S37;TMVAs}9Y_p4_VRJOV#^ zlTTb+jeLNR#*4<@j3NNWC$m9ho!}5MRTVg2AWiP-s{r)y^&mn90=U#o zTmBNhi11n{G8GVZz1bQegd5KjLM1L-^cNryCBAJSBPZdW#B^1je}}a~O;K? zmIY2ul5ne9n=-4_&D7P=~(-}yt%juWD8IH(dQ+`KihtYQjJNL5ozw(ZVymYR9^6J zPng7hdxSvZ8X6Fo&CFO*}m^SxsE3G^D^OPeIE*q)KBbNjem)vZ3{pY75|SY zhT1+x*xdF^=t-s>g)AO!jd%FCi4z`${G*msP#l2KtzaK7rV1U5l6{8y31MXbfQS27 zQxD5YFTK);J_z=Ef8`m&RzB`lC4!a;7Vaixtd*Wha_9VtFJ*vbr36b+ycU?i$+Noy zPaL5AVK@lUvTy?(=)@Zh@jzn925TIDt+?5PK@*wqkIpJBPUeL=f*k}FI)gj;}`b)Dgm{N znt7ZFpmCw+G3C>A=0{_p5Z3{zjW1xIgM3i4G~rT~A=&8X{;+ox5&ZlAprT~dGnrL0 zE8$4SLFCY0-!;s+`|#6BLyMKW*Nct&2D9n@I9{M>16myd3U;#BQ=VQL+*hj;<<6^I zJ^?5%d|9kKj_vlwv88`#E)kpNmF#^aD^q>EnYhcjye-gB2o9>_>_n=m%?+EyFsoCa zBpcqXPykonmb}Hlq!b8q9xKqT!>i?As^?aZd)t|o9X0p9`RGIDD{}7!Vo{&UOgbTe zJkQqho1W$T%Br`reSWSANNF<$7@lNkC#dKl zpLP--?jNsuTki@Gt+&0ckD0zvzIS{2g5Kwb4-<@!c=o}Ut$DXA4VGoSR5LpXO^1bC-(vhCn6?%NX*@Pc(8+i5`{k>?>LxD^?@~g?3 zZ<4RvJCVoJR*?ZUENbAFL{gkT((D>W8IoV_J~kykYu|_FljA;hH|clS^Ie-Ey?p5{^luvaJvMAaERCOLVYzAh74C z??Ka71jeb=golQ7Cfki#fos%=woc;_BT~qPBgNM4Gafv;r*6gTB(0LEAACbJw7)?HO&bpoL1X8))FNTe)ILQ8)7D#&K!Nv41T=Bb#|FKzEoyu zzYLu{pma@k)_?*JZl-;KE3@DR_AO|l&}&?zU2N7zvo(3oku>#iw|E6(|KA+eo-)|C zxRb)@i0OgH^kb%WYv$m$hwFKcn?2&%%=<`Tt8dBk`a6!0MPD)vnUQ;kvW-imz8$wh_vgo@s}`q+Y?+k4&a5k9 z#oxSrpuim(<@b`8e2shTKW0VQ)O?mnhjkz8mNiD24r?5|l2WW|Zv{)EZ!;3B(~4{F zum10wBtvjr+dp#HdP~eCV)?b=Ro)7PZMdT{!#A|uTgu}pJ1hE+%FpysO^fPU_aC43qDWtqGbf=Bxi*MUi?4}s$ z`>T0p61W{3!?~=Pf~z+fW!w=D6^6~J;bKrDh|4>5ok*(l0bR&Kg1Nv9AuY=JFkiyv zrsXhKhouP~+f@pi=7)9lb+FF^ow-Bohy3csyDp6)df-9-6q?IDp0+a*5-96@E0*Q7 z?GjmL@>!$n=AI!9FJ!Hmp)ph!9?UW^13?c5i_=GDPEJ~#dd;V-)WUwa#}15t1t$t5 z!lU_UQIzE_3R%iyBDguxGaqD{l_m!QAI$4d?uo2L*Gl_VX8Cb*9KKX%@kSJ+wyEyv zO{Ar__k)6uhVLSAzwI@`%DNi&Bi1>l?|;{fAd3?h=1}RAuBkD$M0X-P=)vK;}-0-;S`(^6qJ9D+a>BuOYGG-X-LHNwM8gIbktim2~7H( zs1xdFW}FqLwDa?kXo$=WvUpXTfz77^8 zYRU#q5`MNiT3RYHqOS{#MgpXZ(zd6P%&mBPV-x1i|$}g{= zNrUNI7B>W?w#DW#fortYw1p4B$2Zc&5HVQSWtdz^Rg&E{aGJ^#%I@dH+Imha1*|O* zyT2WlhOuxBt?&!Me69EX?^xNzKo9jKpTmAw;e^F)M-;A1CmncT*4PEUKE_Tx8YWVD zdiz@I70AMBmMKlqHAP@oP&)DYeBA#c&-2Ric1l<`b;NYjo0tbnDnSXGRvILRFV0ut z_UZH%zHe&Dq=e)pbxcnX3cWW)7Rh4Q48KL=cQG}Ns(k|)e{(Z+fnPdSuCAW*9)9Wm zjB|ZUf(%W5Y3tsI4aGn-h?VyVBKd$ljlhvsZlAT07AR&8$MSQ1+oh}?RU9kN1gyChWSO| zK!HX{si~L!ma>70RFDe48p~jogE}X{o;`4)sRez$Jrj1eQ#s6mjz}0CuHYqsyr_lk zFNe58wH|-g{!B1S4^`o3Gf^Swi54^kMdwc@A~l?&(~lwti)IKu2a%sq;pZ6(=Vd^v zAMQ`+%zyc=Vp@f^HabE={uxUwNbNi2fZS5krAF;24w;gd1-P=d-UJeu69t}p+ul0G zdLJM0VX!#9JtaC|Wav8!aSZU*+#D^{gHQ01l1v6|^oB(ogHi`58*cbM7L#FsK#gqj ztPJpjfy$vz2-9MX8HS+B+Pf}ACPv&G4hpm-vf?Z!CeFmo8@jDBu@nAdCZc`cjrL)2 z5K-VgvvE0l&`ACeE1M^u>ch<$rLVY64)3@K;kmzjaGN3eQd4{LznQ&Xdy&F|!GSW% z^#{1RTdteW>)ce5^Up?TbhRJ@BTfHPOGDc4Rf_Q2E_p0x)hmI5Cn_t``{`TJD9H|; zDnE2&xcW4k*#mtq3}I*RoaJn!;iTDcR8v?uwn3F4?TKV{pL1 z+SILSvj-<3x1__Th7Fo6IL%-sasQkmQY0M7eB>~%Ic?f^E_K!BMfmGQToPWtn`4@Q zxel9gu$qSjoBfBVU(@8f?izR1*Q`Iea#g9Ms83j9SMbwMDgXJC>O#Dh0CuZ3mU#RWg~W+JBAoy;>>)M*)ZkPBD{EE{d?KkLyeoiVdSbgg)v6EaAwm7UpKzFuVn<;(emc?)YLW8 zf$M#{fW^bc4>ij~@pM&l9bRr?cE;j_Zs#GP^xA~Y2<KkPoIR+V;SLn^G+Xmc<;P!%9S=Wd)JlwwG+>REY#`4 zjc&$bn3Z#{-jth*j5N*&zpc;bHwl5giYTZE@yzj!idqt2BP$mgb;Gp(LSfjA^ion_ zMijEHecKKpJK8$!XaNI0+z0UCPPRutlU%~Rs7cgkzjD+363>k@(v!p1Sd58ecdS~g z!k?SM=Uy&^6Au2yUXSf`@`;PBA&OxJ7VjbLe;C9ZFiHD@X>UwBF~u&B+Q~BW-@tR7 zSxA<7xow~Sr)j9kM_If-{F-^JCb{_Byg_P!9wrCyQJBORU8fS(ycPb%g+?38 zD6OcKjQhiZ@T|%ui3L+Keq5tkfJ&P2bnFUBt%|CBwn0L-yQp$ zn(KIPOz9SlSU;lcED(2Fr-zaj)LG5g2Z!|Sb3jz7ES+_e4jy_Br@6-ne`kH`w;z8= zKcm>nM=czxoUU`u*I@eSP;|SwpugRPnXpZxns+l|* z9x5H!eV=GfMiqcBe2>|E3UmP@m785Tv;;Fn3xS8j3xd>ibmJNwdH4u8uMQSeZ*3b$ zNrc?b?B{U?`)_z573lz3rBZ2XsC2M^x}QWP0^8-6gf2Z1mFQOONuKm(CYD3<`VD2W z5;fj1M&j+2dI=qqFd}8!(5i+K_<@1WosA0FZQ-cHd?XpwIU;gj=A}X8!Iw&ei9JwZ zim8(*Z4z?Vc`|zvaJox)7^NU}3{Z&EuQ&FGBnRWZP8jrnp46v<+wj+xA~8NB>GoY! zYu%~e^niLX__J%*@6y4_6deP8WzulVN1y{#n^166sfVQm1bG(2e4MRflx?atjEuw} zK1j{OR7X8xCM=uAUImWH{^=f!+iU2%?zk!?FiXDx3}x)EU}*}STr2S^FGEKv>Wm zv;JF`4}oiK0wq+m*vxgrO+!SWJ<{@v?-e_oQ@N!h(H<0KFLij^4<9t`Od$%Cph{b8 zzswn!6g#47#jJoAf9q6(IrYq_?Vdr-vdH@nG}RHdjs|Z038L8g;WW41NS$vhqVVb0 zaQ^rL)q5YOhYj*AxfiX!p*;#&%*E5x*B{39b!#DqiN-4hO>#EiMlFcRxo0HnB&%gM z#Z&{?lAl-foBMc5+IOG~G-BF!T9DI(0^xgu2DZh!=&avcd+RN4*E&&yeLrE1Y&!S% ztNElS6nL2H^r!^qP5V!J(De)*41~^Oskfc9w^Pb5lMgCp3FlD`O69C5i|Q=?AkOV| znq(E5kJ@4zJ{mUGkr@Z|Xc*sp<@4#9yBsiI+x4N7cyCB>S&xX`wHtodDjPR^P9?|N z%3hCyS8%m%k9+I-se}bNlC)yrE;Q?Eu6HbR(pW_^t2adHmFA95q`XGV7wjVvNI-C^ zk{iRVg1xzsa^F!h?r}V^R)Q+un*^u!XUktgHk`(@I$KIR=qWUc?=MHa@Ywj%5UVShZ zc$BSxn2yK6vPVz6ENfHk3`Yq9clff_c^PJN6 zOTBM8k%yr7V>KALs^}{qxa)6cvJ zozuH}j|V~E5W16aWini;_OqR`(*V$d?obHsmrT%h^Im`C-dy`7tIs z%~Uo1Y~d%PxD;NqaC91B&QIcU|}xb6CP z;f>R#-(+*8-$xUVfR~xHSOufsizxN7XkL!BHZ_dySI+OwEl zrOPWJF%4Q{vwXQ&aYzvQu3p`v6V6@&QPSq-Ub)sqJ)5X0^UwTj>4Yg4eXeTRof6^8 zq&&O?BpP9%jYx9(g$XLJb-)T~tn`6@gdGcl?m#C67%XQCCvjKQg~X~`5qdZsNO|;f zy6e70?r16%p7chQUo~H{eeR;G^uBQji97V#Vio%btvCjSFeH3cMaTQYKb!XaiZ7N4 zGGgIKM)Ok4yn}k#pQL=w|NoW0CV!j(w zC4Ia!nw?BX6Ha*Zha<}6knB>pRkXCl{yynx?p@LRgC-WxI=%MlihEl8TqkW zaQRaDZaR>5w&7h4hWr(ad@BA>TSf(Olo#bi(MwHhR|zvj*>Ym#H=-1f{(UX&Ceus3 zUT5EgFJIi}%f_+t9|u%RwkMZ7L9Jf=F$Cn^47lA@Hm*Z>HbjBs)*IWjJszq=D4VyD zf7b3L{SW)39!JzPcj!GrP5i8SF(?KFs$rY-Y{v9kU^KH|p1|AzDyK1r`7rQvEa?F^ z>lq00;aE;6eV`L{0?g?zx+Ql}__i9DkQrTOHTMAn!=AjmHl=>qUlVdW# ziLL`ixEqy^+%}IP!`9;8@aGIR-k{5>>8;BioyaVm@_2m;3`aC?_>J8hguHzhDrh43 zuV!y3AXu-j7X%J5C9U(&l;_);vWcM2nuQwgSo0<}yp@Lxk7Kjjaj!-5>⪼)?C8K?usjlQEC926ja*`OuZaI zC7{oJYm7cnK^=7305uqhD@s9BRY?1x7M$>8<}q$F{%Q-qZCVG-;ardBx)fy9#=CAh zPt=E;f5H@+j6PZ9fv9Ngyj4@^-uygv)}*?!QHCNn$$5kFhG3@hJ}KM_u3)cvG61~- zF6+w*_AKeVvdTF1%&}h&QoHHD&En>2l()s0p{*YHX$6Gn%ST~Ywr;3_+QyI%dr@cG z#vvI&&jy3dn+}69#BA$}vVipMkZ@^j5!#XT9;p^oY0R~-GsN~03cJlO&lMkg$!B^j zhQ{x`ITr*GwhYtcl%bB*v?J1vEry;YC{^eE@0vM2qFYyUzsV}^YT~4`+~QbD0VV77 z5VJ1Id#1Z*;fJcuRR&I}bnBK=J6AjK@`L}^BnGVp;VBqRIZW&(sM3$3`&~6#*5cYCGMM>c zQY|AGsOuGEC>HVvV$D?+rj^GYP6iz@a$|jk8hN%ztKIcHss&&7Pp!f<%QYFs%-6co zy!K|IKB??}!0}=jRlt;*f$GirS%tGsHj{AtO}4pFJ4yw0-0$+C6N*@=bKS%{HXEf3 zoq<5Uj~_2jI_%&NHOZuL*>Z}?3ATmT7jq`DWhbfokZ}kSnNauPe5sZ64BqSX78{c? ztHY%6tVY%vL+hpLWoa<$<@WvZwy$evA&tYcB#?$H7g8`-WY0%EwPIfEUYTyizDsPk zQhxkRED5>eZyXR)8aXv{(}6YI*>`pOt1vYh+50CE^gBFd>bF!X_<<`2-EFu<4fl%7 zWxaBw!l>aUi9W$(bYe<4njz|0Njit)s7lL`DoLd9eRw3feySwvMcP1^p0v@-k4!9q zXc|{cc$&TDaz{e~ivZCfV~RwET3}_J-b?Sdg;^TQkZhPsE+Oq_?u z_#O7&HsdtJ%~b?Wscl)8b5HO%PrpyRZ{;{Ma`bHZN}+u`U7WzEnio`1GC-2_SMKOs z*7T=S5_I`dN#JehNa<@I+@ZFuXVyc%FWQOUFTaXJ$#sk^L%gvl*{CFwUn`t$jGcS5 zJFIxp-?TMqUF|!U3ogNzRNpjbBZ8Y_{OAoFS>J|Y7L>k4p5570mO@a{K$d16m#zoy zKE5VK>gmf$3Q4CYbaA~kzS}h+yL{=jRP5m+eU=qK0`i+7M4}w{3FhkAZJtuG*>iH9 z1Gq>ft7B`N&#GY6)vUzf)qq0i&=;E&(^_EVhHPLQSj;2Cgv_I0lGfbxO$9IP%MR2= z%e_l!vk%4-1e!B}KTR!xP?oiUI?j;qGHOtmc&dn&uLsWJ>`dfB3QB4xJglvYoxuWDs+t+y+AW)cfVb4~U;HhhU4TD(}17e_y^7!wI0i!6O4(*fXsA zi~%d(<$NTMdM5JM^{W4<7K?~+tnD@=#RbZs-y%l zt6*pAp4lC6>IjkA<{40C276iu<#5R{g&FGT&(IoTuno6Ew>vP}1t@2s_kciZ@(>)j zUnMW7p4j&H2B~;)U{qCW|` zS4O>-!E;CLds;D(Mpa}vG^a9f*jpA*dlU?^0k_U-^?{Zn|YtddYcoY*2;aZ zo5$#5)~HLW*d28U;29~f*C;ne(^jS_eAQ0uwyQf7N$=`kNf;prG`nY*FOClQdK8-N4n>)|cU>1F``Mihc9QE1D~ec4pFK(TCIRkX$*I zxe=mibA5eU3mc>j2|@0`V!T!k-p+FSQ-kidAQ>~^7}cO?2IG^76trc27dH!9K7;44 z4?3;gBW^8?_|~1f96kw&JtW1NYj{*9T#ChvF6qowNq;e@e(iEJcNE!T4D40(GhZPd ze^y$DRWE}IN42q^sR1#FBgTO!nZ+qog=MWbTVTcaNbPe;am#!&y{A~} z0ZS(c$N*NA!>;h_P6gEgQ)XhvZlh1Fw$Z#JU1dB5`;Yb8rX+!Ko!-0U5U91640>@v zEe&{+#un;0MLu#?nmNGzjs25QJ_>eslxX)jqg$J)yteRDQZ^xf@ot$c=TyjaoX1BT zS5pL)jf8S2XL?Eoy{{_jfj;GT6iR_6g32(5uCZ9Jzn(EbG397QY5UjL$UmMraGp*^ z;Zvm@Oyk(1y*C(cNI~+Od!Gh(V48c;l|Niqo-E@zEouK;;%-!sWGuj}(xi34f z3V#ifD(MCiDp=BaZi&pN)P2~ZjT@V8PV_U-l6p{&f0P8NCMmFzjS5v|W3UJODD}B+ zC0&jtk0p;xNW;cD1y-08x6XK8b8;A}Y5qP+#o4C?=YiquXxNL2R#4g(*0KJj_R%T! z=;UF4xl{yxgw4a*O4fWtgGj8u@?vpW^h_}suS9Xo>3x5vkH z{rOv{>lzK0r_hso5oV7>x=UNVop6`z7MhCYdQ2T6oY;>02FYjBY_fUW1fm|7Br2N> z-BJ-jthH_%QC`E;}d5vl(j`3dt8QnJur)t7YQOg7TdK z&y55}c1=`gvb4nV)oJq)S|j@+&*P7~L%T~Hv1r@K!aeOf{h zIP0Enj0#m9m-*#D*Gb6|YbImxjcbDD-FOm$PePTU_tl#pdcg2a}i zdd|FxUBY%?rv|^j9^_runTU8Pfx<)|1G~@uQ@tC$We?lJ(;h!S_NMs1|CPO!0?^=5 zd6!Jnd^wq(>e0_9Jn7wr@x6TxbG`eZCUwB32xwLo2*{raXCTX#>@!yudV3O zaf|9*In)ty!J`v5PH^p!WZT8Uh;B~`VqZc;HWF2SxNGWdbHFr8B{_ssi%7N8D6IAR_kk zo-RJ>;Df(?fv(`S3m!r5i%})4xr}$`f9^l>+Q(x?fM2=(VVthGVR@se9E&XhgnrIjK}yf*51b$pxCD0k ze>L#Wqss%6D1A!pMu&pFEkGhk&PN4U_x?(s{kGCJqWF3rK9oBo1XL#G`RC__U9heM zcLor0amadkC5pGeHMmv7O; zn(J})-JJX?jGg5)5P!tCPI+`_P8{7yKm5V%@s$$15l|kl$Y6_0jSDEfib3vc>w@=7 zfCFzhC?5%8!DU*(Cuph!-ne(&eJ)r=M<%;Fhb$cX^7NX45b ztt-#Dk8iG4woT~PQN{ly^hg5Wh&)}e`MutLhC2SP<_2q*$ML9MGU!F!k4g_FmhX4{ zlA0b{?_fyFqt@AZ?-4y!|~#@)j;IhO?i^K;g0RO$wGoF-SY-&Av#J)n*A8*UXr zxL++F0WbgxS%`zbPTDjg@IZ)+c~wzPFR}VSL^zox*ZxbRV_^G*b?M=Lhaz}$t*1jy9|7qk ziK}v6tU6p-$E5ag@WOdf>8!3(Lm{_Q~(KFihYW#E zKlRil68yGba9x9erPTcCE29|B^X$Z1O37Q$zJ7hMU`^hmY#j%@$tM&*zv6d~oeNHy zzkp^G7rUcFdgnURk0K!VY&8Oxi-*SorZx4pDiNB75i$jZ(cO`g(`C`fm6=i zpXYFnI_w0G+z;8)pGThm;t&AjcL4RhFqsfl(!A=rZNr=SxZ2m)GMsi1_Loe8beE?G z+2f%sTwao(o`2c))_-%W*6S*JLT<5JUIP*ff6(^*)5;T%>~nKBIvo;I6?!K;WYUI-Wi{wnIgpog`CE15Xzj45D5nk zyL*pum9JjU;JB}|J8s#p)qm6LVmoU%w%8vUV1p5%-+-Lh=d&t}F?rI(7gWz91+*Qj zKxSqM=K9{P7vh2jhXO#A{J0+!T{=RvX09*nX3_IrX+DFwvxq<7A{&5GqdxY3pK#v5-IYY|ynLzo@Ck$G!p^@yg>0kU=TZ9nnHxMb7erC&28Sc1dQ7Jv#)k78P zEr9Bk-`K67322FhK-Z&UhXGh>JuQ=vCV^r<*v`Www5z%O%R;1PZv>SKevHG$0y$kX z=Yi1)of3S7iKp5FQ}htMVgzTQJY4BTN?3piW>U5Q*BKCuyc$?}Rq38%W7LMI$bpAO zO+6lV>@qq$@Bqq4k(3cFvUk}VUhj8;$fL`A`AO}o_^_K~2T0z^SUta7;9_`r2OyxC z-wAQoOkV$&Z?DC%{yzbQQa($@#=a{IwS$ z?5U^(!qm>@H|m8eR>hSqe?t<|uL~{)SxgaJyh9LcuYF)zvHw9lt7jB>J$sy={@aeU zDaMhrlT8|PJ7ds^E>Pynp0w#>6WO#ZP-dBNd+}g|uZ$!Rxn70rh9I*~oJTuGbY?Ng z!P(tO-!b=y?sb4aq%CFoiN5k#@lfgFV2VY3SBoEF|!iRyoR1 z|2|N$7r)pEFOfK0XwDiepea0LX)4Z-p?T-8lAS5Bms4usc4hS zj3fgRNgXOwU{2o6YP0S&a{-K_nWEPeNARYPD#d@VM$LK*g_x5D|ToC^nj-O$A&nDE@Xn|70{S&aI z&c1V`Ji#&4jOTRMpkBhld|EvbR-RfaA4X7Ux>*^Zvc2eLS7!dpa|>W0(>#f`LU-&_ ziK&1m;Z>UKY0S(kf?i9Mw$qDr@DyC5E8(h>m+g=_%bepFDc0|A~Qb( z9N;;!^KV8wKepNYlQPM93shAzeoF&SGz8R@f*A1c0|q!`kZ~cAX|gY+G5<&IO+lih z7CR$pN#p%j1Lk=l<2icxyKrnwgZ(x$eel=TWRDrt*8ae>kGw+CIxY)Ojh(LG{F4Y8 zIr-E>TsuN`?_ZB-TyNM1Yd8Uy zPyATpQFq_`Q_wZl;lVYK14j7!^j%mX$89V;6R~%X2Yo z{`A-vi#QqHWCg8^s4oM&ZP%ll16lVzR)2Wx4ZreB0!`t_TbOKMD{Nv5TVfVQ zh0k8d3G*KUlrf7`01~U8tZ;s-$Pi7!_a-;z?F{;I@xX4Q*Em+V7x}+mA6Sx;oEuIP zE%k_Vpgyf=W=1uTCvvKzay78q#Ag1Pvzh+k7k&_&5FaJY*C+q%LmUY6!3mE*?7vzq z?rS|OYSjfPoks6j8!4UcJpfIQeHz=8Hne3PI~6B6loVi5z>|_VtZ9=rwb7MprXz<= z+{)>Id|(-~7Ecb&aS4hzMw6roEhokX`eiww*(~OFPuMho&<}8JU5f|PWq}nUH>4i` zi!PF%21kYyJ66nL6c>cQSea{;3O;YNd<_i%)+4^nzX+%oEFQHLEgj{%bs3k<4V*a7 z2KI*HhM`vx(!K1l(i0Rt1@*sw&kWB>1jqUAd5 z_sb!o!^tef{VWe@b%w~*! z93$Dkl+JVyQUh<`j}doJY0S_Tq4#>?p#1cu&vyVwN#S+j&U(h%{~qFrtOs&lN6lKd z$aL}J61QN@+GMIbtBXaLfoju$dnoNhgZ(hjB|AN7wYhe9-H2Xr-2k;4jB^O2cEk2h zNYR;n(LBFHqFzFcl@eJvnn+?pHe#+X!pB~0;-i_ayQc~om~h#=;ul-#^KrS-0$>;o zIp;TwaO=6lWX3suQBwKIucBcd(kU|92JzT4^ad%N<~`reEvcX#%0ONFY%fZsxPz1v zrL$K)Tr^TJD-EM~^GfGm;>A{Zz|f#jM)tsoF^X@$d$uw9rr+|JD9GkT&GBIvgEFqZ z0%@)p?%}vqfBN)T(=Ny2h!EVC(-FY0)od?P*8CS82B~7hg~< ztm7m+K0X2>iE$J)GPE~TU}8xC-nx$&EB}v^P-&>U%3$t0>tsyR_F@DdjIb|Sqx9%+h8NX*k?g%$o|x$2rpdgD3#<6 zQY6X}%7tgnNia*ia)sPER2jS;WA5q_BTUQ#C`t7Djywmq!3KF;)`?y$sYsW&)FNE8B0}qMP_YFk(d9`|EulY4Ca{q^LKu@xTP&xKlV z9|N6s<1O_kXL>bT<>Rv-_`2~p9mb_bTNM;I4JEqg4<0}=B{WXqygnJ~C(`&M%1Nv)dWE-~y`;zdb9STTrKYD3?1 zBeERc!(GI5Zx&Vv!(gKOUYvzQcG9cT3o`_EpZ`Zmuj3oWEyoSwF)_jSpg)Xe{DD#eS;&H)pmVa>79r}jC-8Mi^geQm zQd;e)Uz9T~hV3j)1!Ok*#Wzn}5NOE}8b&$dzp~GL?NYW3Yz2UXo3sDzR<-=DVsljI zUk)eQhBQg20WAvxTg#yn0N9hBHIq)-x$V=l!hs<4bc4FpXt~wbjscz!eb?a zL?RzL1fI~abIubQE?@JT!?79{eU(?SPudg<5R#K+Ji`GHb90*qwqDsZQX`jh^w-VD$; zdjW5c>igM|eYhCo5||`zneVX1VaIao`;leh;5ysgs2fqi!{gd%Rm@5#t{Yc%YOp_4 zRKju-reuJPs~U~1`8kuEa|mvJG9Ftv!w+(^K;zYPkTBHJKpagpA_1kv3R1IJbz$2p zI+LVx1)B52;1?vtC!LS#XJ?VeGCHoIy3|hDrd^IQiKTz3CADKyoi+UI_Iqg#KMDpB z+a^Mh1_d|OM}|gwJx5Jjgr1iiDAx;UKM!qZ>^X6K6$i?MKC8cF!V?@B>J2o+z|m8< zA2D8Yo;zCbvw75T5b8LqAjsE_Rn4qKFM9^SL7+)*VGxy(CFG3v9zvfX&-vk&%>^g5bagjF?9aX;!be_EZHdwBl)E_t;Edx$cV@4E9n=1U;-5$AKU*XaUZ zNUc}Fwj_HWYZNYf4X%Iv=ru1^C}qMIQ`A^~1vrZWmo61Bu4_<9hw+m*@uvgJ;V3Mg z+60_krr>Q61zKvRXIwy4RQzk8`IlazDI*^87T7c}lexzaMf0_HaYr5}mI~{?zR=*f z(wilORi=m;R$~e;IiCprkf+HhWbVURYK5>A>$X!--o{f#JvHs=rPX^U7D8h+TOT7$ zKUY&aS6BsWa8BLBlD*fZ0nfi^<)^?!4;>n_KmN2>UEun?Vy-$v}k)tCXjdVM#6zVfb zkDF3W+*xn>x@m)Tk27IN4Jx#RINGHwLW$K>9REi0ZIJx)SSA^vwj0a_+mkW6Y~ATf zI7T`sn#-7TDMes`Lr(~zN&-oZLdNp$yzzMR`+Q6H0R(vf35+5YWik=C8rPw9`9On) zy4N$;7Vh!J=a0*sB;CGJWpe-*$5p9s#u%$q!^`}vKI`@6rVltdeU~GlAw`6|a#rle zI4Vo{-gGM?JQIYXQ>)VQq^Gos-!jgQZ4_zJc8JYo|dvP`kQLc80 z5Angbctzm@G8Av_B~l^lbxp5 zz6TC@Fv`z(yL-DaW{*<@pgiY~eI&ZcNxoDhJs2}p4 zhUd!s@>xZmL;EI*%^mbQevwAb~u6_9RKPzcv z<{}6;yG+)&eD!Uia2zYp z3VQobRImvxJr!Hdh~=`=+nzRf0ulR?~vi4fFYqy@E5qkAjXVkFRUvrsqbB0{p|@b1~98UOo%UYBhc-; zSLrwOW$cWKlpy#qos9#CZ{^?l#E7r*4euYf90n)cbl;SlY-mm2YYaGUeu(hPEQ5t^ z#j1IK3h*bMoP*c-pJB;GtKVv-%q<+pe0G%yOtd5m@i?ZncgNk0adx`dhOtl||0MK3 z`N2Jn%W9u3$y7?t4rW1}d3rs0#)-eMt@=GfFbWHML&xFA`tvPIUvMBTC`^hd70)ePKCzs@@NE8+27Pi*sbil+0 zr=7llR{pf$JB)`Tpvdt5pl#Zy-TmM0MhC-z2mOER2xbVSKL_^ffgg#L?E@1MfZdIx zOzd^~4;y@}{>9cWo?bIKpStCvYvtxnGh3kAmBEf4@fY)r1C7PiExQX~LI1`NJUj?n=E4T`YU7|983iOB=K`oQkSnHY3 zJ)O6>c41Y%qqC=f2x7XnX=EQLUVfV4|F`>q-R2K=lwHx)a>Qb|oRd9?Jk%ZdvX$<= zoXp<2L?cDpOz2t+v+xJaM9 z$CA7^K0WO;4hp;W7aoy^Sk_LA=+Y>fr!;G7QxERfWSnU3(oZD*M0h7&bDd>jvK$^# zWEtCU+L{S_f0S+KE;Rk1H!z|3vDH7SS3|0>hL$p+prKYzY5+s&{f&UmR9)UAQ`z+h zER6~Bzp#ID3$zo}N<^z&>VZ{|T?Aong3q(pmazIohq&p>)loSz$p=$u? z?syQ9Mv<0~lp&RM&+vD?|^PRt)pTq3?+Ur_tU2E?<$w4wrQ#Rq! z$Td+pVUvwS?%ac?!uCofZ-RSymb3iUur^sqU(@&1&`%^hcm1TsBuiX%-YLZW)hUxd}r|Y{f@8tB}wadDzbX;Cs_I)#4BR7{N@);D|&Jg z81WZoLPw%E$&-Kd_5ATm%B_P@ZVYe_xY^|)bGty^HnNzTTRew-Ns(~%frK~1rek0v|soxQeCsMp#i-?OzRHnD-oNRQ z71RGziOA4?*3kn>(!sgqJgkw^<9XF5-uQR`Sw;F`Uxkn27r)PW>GHh<*@A7X-@{gW2F!S_>tc7Dr)#~M zbYz3(AES5nZJJ|7>4lkpBFH^;F_SvW$0l)o#=o73J-1iOLmOe4LG5Avz5;oFdxZo; zr5A=$Z%(kX8xOxxJm@FE%g1g3jWoBUOjpQvP6J2nvC>nJue~v(1!}bky5vfZ*&Oj! z5b%(!+K7!D^ODEtDWn2&-V&j%714#BAOFLkb#XMFv~g^r?F~i>%A0+Xv~=|NYF+8@ z=GKhmDD5FjjMlmZtZd(!FDdHH$u10urI;Crzv1K{|58D+`|DH!v7%VNmeJy&@9w>q zk8Aee<(_#H`euS>2V-pBuiYpuYSgz;|0r;6@da&V-FVs(Ar0SF6r{|`-p@QeE_Rc&5XMPBTQ}w>_dEt;r*aMxJ zpfd85CC6zkk`8)$!wlbU3ugg^vp%kh_i^y1n=WIDWCiDX`)Y#CSR0Xa&j5xsjvrUx z#J)mrep{d;9;o?jRF`#>jy_+fU7tEG2e0?bl^-X~{$wM^US;dZ5meR~!O0?dV1+6j0CtCjPiVmoz zT(=Z&kD3OUOV7>I?#D?OBqRF~-{|_V4VMMEmd+R&xQSG~oSm6W} zqt|$NkLhH*$|(KcCi_Bc(kHHOXuuK(dtY4-Ve+2qH|P0c^}d&7W_A{#?Ld@4eNwU_ zV_lYDuUDi%Icq!?TNxU*8C;V-zkHNcU$POJC^>f8LAE~bpR&~!)+s6?A|K1%Yx*|1 z&FGOj$6}SHj>#>Gqu<8oZ-z_=40TFrNN=`Eh*qhB=el)=&Ug{PCMI=bHlzyDRpY_q ztq)+c1PLUUM`5sfc&A171i=lf9WPP^$sDozWSj5DCJCmCL*tG7F<_J1EDkk*5|~lr zp_>*l$l1#WkBR!^)I#6;gT9Lq;b`#wSKDT7U(=m&J)4yq=6fR(iAA0y9Zgla^rvM< z1 z8Q!m}Im}D%ISqQ(-RxL)1TI^bedp-D=ETtV>gAQ@hJU-;bd9 z5#XO>TDqf=1xrI!?6y+PA`O4C-BcR8E3zhT>osgkL9=zk)_d{t!Z>)nwB{>!R?{2X=P4eQ*Dm>fRkv8Vm!<*? z9CYrGS%_sURf*LYKv(MLEV7E9>Jh&pu%|1{Mz)9iW>4$sLF}n;S25zX z`v4%d=!y#56nWIrUun2tf+rDMaU3kDD>=6IViA-tIbmY>t=t~(=SxXO~kXR8_)HV3L2 zsu0`ZEls7SNu|T7FKe(b@FjxU;TMudHI zl6T@m>tCvT>4vlKaG#?C5dpCa{(L#pkzWHCESiMHQY&R0N>e6ml+j6j@!Pw~Jxlhf zzN`^cHXb}GFHebUmmQeWK4!I6M{VopA3S-V?r~|s&OF1x3Lo+a=o`$*&N^Dg!g(4@ zWQ$mY#z(%cGvhDT&xTC%M9RPtNb`FF14Bo&XNrQ2beR?)%07yu(H z&Ut$CcOTGg7?uBt=x*yhUPv={>NGfc0kduHD#!;sX4hpfB!^)OT-Jfww-fn|hih^r zTQ1+z-sYx}aLG2vyFJj{n|Sd!wz1;JPWyNimF<)T!KeX?V6!Y`$`TGa9P|KkSTUq> zxugd$$TP9GY~-e-|9>(xl&2hRk~D0rKN^377tg<$rY`Z(XzklO#m4Z{XWtivKFh-9 zCXM$7ILbvs;)c5&MX*hKxtEG?Q-S3_eh5cuygZJf$F$6HYz|!fN#p#d`DV_1l7IZD z50m*+EzA90td)1D*`~#vSiK|=s?eZRfA;**HfVHoo$>1PSWg~b+40Q$r^qkdGYh?X zO)30Fq7lc($(9^T>ZL`ZOlj*%`E5!<=yeQ*l^@~oE+)UZadY*FcK?!vzH8xzdwC;j z+p}i1yklKkv0ePRqq<$Gx-h8KlZp99Ay8-)CY_sdYR)_n63Gm8Ke5IP3Rj(pe{Ah+ zQ2pxM_MZuH!**Qj=B%ry_hpdhWm7H`HUNK5j`zVrvuCatbyMXMb@SB7d>;VS5)z?c zTb2;g&mW83z{<2Gf|VJ+N+ zBkh`2^AKMKWbsOJ^MeT~woO?ngvr$sX~yi<^RK_fa^i^D=lxEUl-X`e>P~fPr&jK z%a3P13(x{HJlkh6Swj#{h0o+UnZDqBy1L z7%K2XFw^qG*Lt15%ZSAm?HvIuypq?`Oz_0GL9vr>dD8O47>j(-&j%r-`(j1aKdsLy z6aLX4n0CU_bYEHfLWxmKMqWFzr@5}iP1)U z9;zwxKn;r(_N?5v%e#j+RT?(ZnCL?H8@VB@_eiiZ126j=KgIo*M%V*b zv)SP~^;RLWs!R%_s>X0%&Xkz=!$^mPHb6!)`z@Qj5{bRQ%CV*Blq%QrcFgzKExxQ97sq=Iv*9Y(+sr*|UQ)A&eO1XwBViRI zs4{=U!qF`mS{LJ~{(NxBg6ld?XgMg>{ke7Cl0Rl-x2*o-HI3fyc{%ibRNB<8RWFw~!P#hpGe#T{~RB^jwpPb99H zpl>fUp!iMPUZu%t*83#a!Wmo=bpt z?3F`=nuKJz1vH<^7he0A+VA6kl0%O7W9EP^+E?)qGaR8Rk?Bj^>rKE69|InMrzW5) z%mOP3W{CQdqItk;0+N>f5z(9b_$@x}hv`nXT}2n5imlKh{lYt`d<~Ayj*E4AJMwc# zqFo2FkCZMXeK~A4Ep3BD%GGEgj;}9r7VobZVl@GKpNc#L&tFjJ88tJsv7dM_reDv% zCF;!{<)p2%9Sc!Llez@m>8FXMW))b)_SsaJl|&GdT4y`i?5yRS%$eujfb(e)f=Fu zP5!h!|FdMZeRDQMgPs4eyLd%=Jbn1U`;ALOe-@g(qjB412H*qF)uodbG*1+Ao{Kl~ z^*s~AZ*a6!5BK8WD?55r?HHizlr8*U+oRgZ05LZ;O|c2URD5G%^Hufs zV2?Ov+qn~LXr_c}=M7||X^0BHvCQeszaYxU^;CwPi)qjFl&xi!^n(+t zxNqm$%2NEKFIyr-THm3ASs_k?al6;W$?f!C(R!Z)$Aj~)<8k=c z@t8Mj;*=Ms+wJXtg4Y=O3<1?A3ZJe3LX zBF+sbV*Y#+t4QfW1C{vJmztr%A$y!usG_F^D7}z!*D6W;>{I;7x=50i zijfuNZXHRS2uctI$`IGMWrWI7E!eqkQLfIJ2XwY#-{Wv~I&y}#Vk4|yJ039fDTvWu z*CU!i&+*1I>wdKBQ+UW@!3Ae-1bNh^fQIR=^Z7_`%nc{y##Gl|E`0uy2Lq)u{G2MV zEKB!c9d2>d@0-24RUfTqFyaj*h%-)C8aM$|UmV`-gSU8AimbAeI#ek|#eip=&&IvF z_Mf8w4UJPa9i$d?^lAKvL=v-R8jDssV*!mz8q768(c{~28ot|zO%R5}+_@j7cphh@ zi?`ByvT;1Wi5fZz+KPA?GP}BQP*Qpj&xhcxLG_)hJHefAs2jc4Kj2#D;`*bO?zaOQ zd7~(&yfv0D2%E*g)=~j7n2LX%JiaUx-`V*3Te%BTIIhhuyy3!g@wVJgf32LgXnml38h|Nu#{G<+YU85iZr>lho0nO;7qXvYi|S?ja#GkkPzNqN z&j3?jwS8tpweuupBUY)1UWL5inZtiY4D{Qu-THLA-^!^dBK6ag1~JmnPVuq<)$c#w zI=ZE3PdogW;ElL;$j5c*N3B(Q!By*di(x8so|_AP1K07UD%uE0(JZsbonPOFwGyz` z?Nop%L+qQxF;jqdwD{>p1OpMHX2S0AeiPuN*?Yfn(yP4>2z>J*qNZslms7zygG;cj z*UY9JABLA&G-{(5)lg6DP2EUIqD}6hE8Q0e2iCo1puc=fG$_Q{jL%VEWejz;X$EO= z-cS!tCcYM($5}&DcbiG8QrUKTgG|VPF1Nwj`R%FIG-HtUA}OrB@<*t{ulp>*2Rf&c zed`+oYz;06%Y}`5ZhT48Q>mf?k_o7@+S7^7#}M0=2ca|C?k9M&Mh@nk9?jg84qJYr zfh*EedRl*B12eTOzw1XGoX1f=^BW+{=gq5ByaS=XQ zdZszJbn$!$`wJ=Vk*JzmPH$)=%iV^1;#y_gIrZDY?VcO6S@y7?P zyEtsA-Pb7hWYOci?|ouithMT-ecI@%&@KQCj1tP>=oN;}9}3=S$K<}A9;p7UJh%i> z9=P7-7~-F7w>6;CP;@k4i8kf`I^F!=tzGc!wUqnHdH-jKt+2K6v#NIJ#+5hY1iV9y zW#)j}FdIC8EipfZQ-{K`FZPpp_>{So98^{^-~rgqJ#X@4fk@WMCepS?=|Km8wd>&& z3eo(Cd_*jNd8}ymI$<^DQV<6vA@QvE3ncj2uYq}++Q(`BEf#hVbfcEBJql35^(%M& z3Ac^`@P$7s`3C<>+THm4QEk_`J#P}dUju%5<}K2@t!WlcB@wMEy^u)!Kp>fBgY3g| z3Pou_T~tFZU%^bFqn3v?9PNQWD=gh;rk?jhN*1WrN}U_4inr-X8|AHi*@(Xt2&`yC zaSN}W?ie+2neEJm*oo5kkE9fHnaO`$O_7^6KY9U7&Waw&V=vH2QZNADdsLgHdX{N7 zb^gIpC;WQXzfr3#!D|e$A0u37Ru*0j&Cl!^xz3!zl0=hWb?=sVVp%jHSp{>sB}1pG z8cM8%luFpJ!mx7i2|vdW`MSrxn`x{*DbR-Wmr6MQLo@}_+E)EKyGra)pDEb~ORdk@OafF!D2*O_o#cdEV!qX}U|9aleYB_}RmM<)1E) ze`JzLB@-swv1`io9s%vRhcKHOGi|5Y0z;xduM@{kDt>jj$=EYAfu&ri`md!-7li@$k{ya3|GY9iU})?Q^RJPdU4J|y z70WBp<~Z`NL$utD2vz;SPV|8tbPK`c^s0o}1@Z^dDXRN|biiWNu613Ksg3Pjhxari zz7)AvSO?#S_6Hk2ODcVp+B4QYxPaoy`;4Ns**t4qJ~aElq-*wkRQ)u<$Js3kDSCHQ z=m+C^-UZssSy(viuQPOEI$bYar%o4yBksxlL%T}jwnBwzJpUY}AA{@W3jratCjm^> z7ro;>|E(WTrJ8j>mDY``M?RZTOY&0-d;HgPmw4MTS zt@Q6QchaFjCQ4|Z#l57e0+mP*1_4J0fK z_wn050~ATHk;AMF(k^X z_HKgD6mK5?T0X0ue_TR+quJfFdme+at?7!u3Q!*}v|2gCL&~%-eff*(59%v*>If`1 zQUAvy^7;-uqTK)E5k-RGufaTVJ9V6g2(r%fMB2}Mi=;M%mnVHZ^QMuJgDhjz*jk5= zzYg=_znJsq+gagSEvcEQD$X2A035Od$IQpy+Z$LD3ELasrl_->kGK?g5BLO<1k=1+ z7>GhZ|6kyn1^wSXc}q+L;e<%tCt(;ab7iJlyy9aRn z7L?v|cT_)KXlzLO95soYo33UHz$uqYgYLR=77@@Tj7@8K z{t+-eiCA9TRi1z}j2*B{7k_Fkzk~HS6%3Fw+Mto=XW#inxNyrX8x+XBprNuLRpE_% zS;FF$%-XMG=0R7QV5UIR1e8|a(n+hK!pL3SM@+S)fGgY?kLi5&msQcj{%09Zl}c(M zuicrFyaY&=)og)T!vArgQ+zz~NXMFp@vtKnNb%#c*P)30oHq6WV{M=L9uaD;Y_MJw z>8W5Pg594{JETm zm1`V}(LR^bwBr^bk82iHA0f!MN}o@5J+dp=ODLlY^_h$~r?H(l>(!2|K zql3hWR$-dh`eAzir(jTY@D+W1!-*&ICG6d;k#ikx(GPB{z23)_UxNPik`*Y&j1is2 z2mEXKvLB1DD!e5sdPt^m|GA4b@F0=_A29F%LsN^eflQ!Tn5Twrd1S4XK-)*d`d3D| zvE)@=>3u5UMGY?|fozHZcX1qd8>5AT_~A@^WFhhlBv@;!O}6aS)5rb zZr@kpWOk-57#rjSh7$Rza2Pk29w?#Jz{JGX)#BFqj%A;zxxXxI`}EUvQS+D@dzf54 z#|G2bi2P?oRbMGUp_q?GhfS%ObCDj+(l$cTz*};p{sy|Z<$bT7xCwnWo(#)mr3c12wsC{JR-c+D}iYQLn>Z zyhCiKk3TjTRNJw3!e0z+tY`FPun2Q|P!;qM3>(rccL9eF=$+(I@wCabFgsVM@dMtj12l~b%~3QS_yh@>4yKy zRU=0r^BZAqDU#Ut*Q)Cf9~c>4r_uGhEu8Zx>A^-Ks(FA~6WoVYc;?ZLBb1}(d+q4A z&w%xYX=RMrTKfHbf1JYO1A%?qSaO;Wpw1?`fI90kAOEG!mJ}n7nU*+z$Q|u@i}-yJ z>&}}=ZqC@EisPMjOjNrZo`+sl{DW%HU)UJrBHXTwZZH3#kK5Mt`u;eq$F8R23C5tp zb%l2t_S&Onar@qQc_N`(3uf_fmYE>aP^SDMPji-l#z0k7&c`CxmiBRTG)dq{nxrm91BQc3Hgm7|MZ*nuYQ zDPX2Z$9u~*Syo8bA2%+4S9@62R|}z|o3+1+>f|$mX?K(NChgwWHhAukfMvT>0Mmo< zud#J!Xl>5lzgxROiUI648-}+Y!7HjZ$W9`QYr=-B`0aC`#UM~C9SdoCE=}WxANlou zPLB+cPwsaB{@%#@2bA&;|DjcYq#ndSjkx(#xYq|J&h|@#(uLFGM;%7ij2kDU&rc?@ z9X1d5hJ{ABqD?5#;>Y{SlO4uf*JeWqL*|Kt=7|A2RLMB#Uf1*4HLgT8fwD@BY;o*% zaMm~AIAu=wQpu69DhfVixo`=h8GQapt~qZxW@Z(`pFGTFgr)A`-dd>=N`^dkCv)}d+S zq^0%aAU5`N?GBMyKobUzbQjt=rID}|`4`c8R7!=a*3tR?<=S%R5HL*L$h$j!{nlV+ zzeTpSC|E)RhpleOA%gxXet?g%5Ry?}H%pEb`tV;L+C2``YFa&58rN>ycQy|dZY7?Z zUXpx(v9|Pz;Mx;B&l% zZMrzroLMrR@5$Qoq#K@vJZ0{=#A5l_W3K+=1IyLHJ9&uZj)BGUAaxSn<;u z_M%Lgh*MiW-%&XzqpvoW{6@95 zit@m|3GK?uuL9e(BtH3!nNHL9BNxiYCVkx@1Tgi()4 zSt#$EO8$J_Y01=SsmvnZWnpulYJ7O7DB`6CPXc*!i+LW*_9Au=QiGo|8x_vSK{M0K z_#_uXX}auVnDohcg+#z!eR0N}l@Rr~g>-WG3r7vpEIuM6xrOKM&i8STIqOH4ulYr3 z15($?!flFH*aa+Jfbblsc*}Wo-Jo%Nju##yf#V-q3F;~hbV+tyYCkym2~<%fn6aoV!SQoSTe4|k8Le+qGvj_jUYQQ}u$b#rTd%1xH~S8z z^s6;9i`i#Q19!L2PNISwQYxs<=OJ=xwH`q<{gkJ^Z|Hc%B(40YLVmY>VMYFjwRip2 zt>lum{*`aa*$9JwK3Usto%1MXm45y-$e!Zi$ZamrSX#G>XUeuXHJ>=p(@#C|YucdV zw-!gGp@+e^*U<(dbgdX;v9o};xIi7vQ6xOtkpfJ)p_G!3juokRlN-zCDN`tD)WA&S z#MTj|gX7N4Xf|w<3ueppGPyU>|3HanjF_1-+RQjVIHz|@iCbYNhC7er zLdnLN$58ZVB*$uNYr^}=_a9f|D)c2y^;6YYhi_cUo@N|I+09maC~~L+p2PVu#xv|e z&VdAXb${*G_+7djx=ox$7lXx|7 zan(IjTW<>uSfm?8cCq%Fx{vp#&O4#@8mXiApIKy@JM+t5_=z++O@UMH5s>&%;YqmsP^Vwo;EHB0!hRsmuAz2h!K6WfllK->U1 zc~6sRgoN2be>lW8aq>idbUZMEQ??ysk%W$xjQjS^9p@cuu*Dqb4?Ld^IT0|}22L_w zGvIZ8A;Vq<&zw)Z>KRG}#(;(=Z%JEhCz%5aI?H^JDmwoxa4*#7=pK`H4wRL_*{kNw z^Ga|nyTI8CM7wcn1lq?eV$xGz+w?SpB%MVO$BSk`CF%I(OM(c)d^N^Z_Y=k?|*uYU34Fm%=f%8 zUjb<>DjD$EpNni>9e|4M%%cg1sEGZ=KXDB?+RU(KrW`y>LC?9;oL@*rqG=<2g7+b6 zE8NI1p8sH*CJg)wKy$Jk{Pul;#@1z$we>oiOL2VYsu3J!tNw=_Yk-`5p?Z%(;4T!3 zJ+`EY+z5(}_+r)TanlBW;%x$d@9?$6uqfb8vbbFMGnCrXjs9vyD6>X<>98pWRV%sh z<&w&s&NFZym~`XFTNZ|$T85d7tjfD>Z38^5fpK5n-VT+l*L{l7(!I>M%TDY4kn5h0 z&SR*yS1Z=g$x^E~1~=zv*~MgfsaP%F1R2ob366+EI38KCc-0svGusTuj7uygnj;3{ zm*dw~hBQn=yj+UN{RZ-^A`_}w{+LzYLBgTOexhA3XcatARe1~@gBS<10vt+oVV(od zZuS0AEqRJ^Nqxy0m|c>1{w9$<#afMqyMJUfqTyp@yZ*)0_~8Os5r@TuNubg}>BEZA$(H-soxijdo5Ix?l;g{1NIDp#edHdVWmhI`}N-5$wvy ze+;R6F^t>4l*IODXgwqMpKmRNdhAFG-&u1?4!;>8kR~xbpY?($qu1^ZS1Ve49~+nX z{j<|dvbOuJB(Gz7y7GwR4`AaHe)&VL@AHsSsr+%8?~hB=npNC_bw#mm+~J*Rg%$4c zC>;ZB%$Cx5frYc67+NPmhE|K&6!)UOn>jiYBQKxQ8mwRMz&b03Nse$1NK382i??;ruce9)s)JijH zwk{z3Dd-)pk?)ZA(Geq%PB9)jr$PA;wjGR9?kh?2gF^P&S{w7{#3&n&a=}kgSr&sc zwnbhydqGQa%G8<>2XHUB#5b;MrkY+7~v#ZV^*b!Z{C=Ob3|EPL2` zxhO43gS&`3)a-pHNGu-NB^*xR(@)Q_h)o{65O^Ns5FM2v{$_J&r%YVt-ITiblYh0|KIs%&*xpPd8w58w$v_%zpW}BO;A=u%2N%Urc-56uthpQl*rh3FXU(~ zI!HoKzSt0|+6KoB`LE!fDWgKZiQ^lV5 zR!qDQwS5-HJmVdMM7=Tng?>9a!y*a4@|`g3&#FtI$zbwzXXA%i9`W#kj>Nq9Jz2g4 z5jcgmIt;^DL?Lu=PD}_$9@UcnWW&aT~y6etPyj<+dfD7DZnn*FB@Xr4A-^;^Fe>0bu~XXuk<=%|5l22-8{`A! zPh1vDY&=aWey{v#VmivT@stbBF*;QtLAbqV&*C8NHFXk=kqvibS%cWof7DqEb#mfRFl_8N zf?lPdA;`(fmK(~7xSTTS6a5S$9Qv!?ikjP!_R&KpAD0T*d!NUTE`|E^nBUI>(~3kN z#cD+lTs=-4I2zBfZa(+ICtXWC0g=>o73L~meEdz-Z$+yY4}0OJFRWAGH61qyteMTe z684siMeKKkt39ob1HkxLAeO@ld#9e|K zsa>&!fvONj0Fn$Ee+LjUm*+f1RmJN7z|-jKPb4hXXYlaywMIkX$$i!EkfV26;y?z8Ft4(MmWIxjldV^X zSyI$hf9c8nmD`D7NpwR$!~ktIXv{X`XSd=>uLiJqFr2aYm?irk1%!J?+SBbKw2$SN z$(|$rl%7tyE&%PC!Nd<@6Y@bPnA_m_~2&vxfz`$nyg+Vmi1Zbr;+Tq(eN>y zGD3JwEgG67_ywK5&BODn?Rpl&8%q|7k5t|1Q&CVHL?GuzXF2A@AldWu8HfXGmZ_BC z>2HT$b@B6hQ`-Qu3A9We1HLFWB7BsW#%Z@hNy) z=)75xSN2U(q$xXhbqW8AM7;OlwhTMYt&sh&A&~=+$(gqfaD|6a+STOU#`H7YGK;Cl zr-+T+n*v@=O`KPXRs!*WZ`>*(^rhANz!+)~8of`RBhNP=MOoVs>}hS2>&8>8aZJAR zb~;`rN)eq|MYVh#N&DU-k?Qih637}ItG=BkInt8e zck;NL@@oCzL@*OoZc(IB}N&@oN8qs2xpgz zN3(U-5P;xm6C7jbgwrQ}DU4^pBUFVsHzqz;v==6p1slnV&?uW5Ifa^A)tqTH;Zd}3 zK6zNztqb12wTNG&Kpi=7M0eLlW8vY{bJ%12X3OGT;Wu@v@#KVWUEcJD58&euB*LXTAESi7$H~>hUP_(?!ZT_B9?#=S@r#Za!)QxFx zwV>|t$9Lca+OWf0c&QOCAq!J*b*cPhqPP7N+UFVDv`AS??zE`xk^|p@y-9W%NNX8<3!?M9ODTc*lUXxa;>iA*I-0)jrl(UhMLrzq26oIXGN4 zf%`7c__SAhuGESO`2!kkMqIBB~aDvo%rtZb4h;x~e(r4`S&tYv^+5xTD0An|pcAc`Pk2Hu^lPu%hW#Nm^X^R=V zB?%Y)BgFhs8Nw2TOUVjFd##>De(GY}G81kx$ghdoF};y(M~;Q|rEfdlYshJT(a0Y% z;8V6gp|K)Ce;noxVY~#}N9SB^i}2y~MW8ArcL*uAF8pHL)g)wEZO>A~lWWn`!e(g+5D zBmHw$y?bwk#AXj(Ov>OWZHLo=7JvjbbjT7j%M`b_I~*rYBCTjFa;qfp!{B;W#tW>) z@~{^>YXR{s6=JmC%Ky{LCJih3@#W|@6EZMdxd@^97W9u&RM5X?uwu1zyNri+E5jedbf~`>N`U6OrUpM|Jf$W5^rBalyqzeS>W2(v2pxtd8p^DDQF+U zszpls%)K>P)(1d9m`S^~jv!Z)_Xm5mxM%9W#vmIBf6yGE%9L zdrATD%Yhd=BOWZncN_TcLoN-bAKW|czy5Eqw6rRySPOU$*fgEDQaLI_C|cZP9NM^r z-WlW@Gvw_<O6(5(BB8vF@-lhuXVDfAs z-A^`*V(lh6MBk7TGx9slAReyo%EGc~`ppMy_P}|{tnv6`HSPST4EMF2+;k*YTi$Jt zjuVzK+Rr!9!N|Bv#|a;>d=jhp!sw~wP>KS$Y3J7R-o_O_Z3p(_!l-}a`BN^Ut!}cq zVR^P_CiBC>?{W*6O5tis`2Y9E$m}vOt^{r+lO+`VG7o zwc9a*U zq%H1pLUvND!;2Ct*X5eIZ8t%fo8brcoouh{@7&6plRt4Sy$IRhUBF;#hCP%9S(#_< zZZ+`=;tOh335+{-Z|$ijMPms1Q)>Vdl8!p=|8Y8^Madgg2g3#v-xpn#>|FcCkqOyq zv)E^A=X_+yA&)&iZymDF{ukG+NUQEmOJ2jPoNwfh9H6@W*MN!tYttSHLn$kSy-h;5 z6s8JlT$h>zL8A+r=(#pHPQ9g5P1sozM#eg9Y|i&k@#Z9-_}t@@4&y1icqZ!%V%TX} z{s&hwLI<8mIanLhn@gQivP{l49oPNmy-T)qhv$w?ixeAh@2~+QPne3WJutft0b-i! zADr`SeB1Xg1kP+{lr(T=O|+==7b%Wyk6h-rv{oXL+Msx!y)X~!P>qAe_vIFepTm9$ z=zF=w8GnqTs2jvWL1eK082B*vjH`-BHh-!4w}(MHHy902*Fy?j zt3Z_O=vdQV*o23RO6&@6^zhw#W#BOEEodcRxW52+P7(I5!p2=Ma&WAanm2wzHTPJ| zr^+tsUhxB`otuV8w9iW6Vk%tSAdrr@IH% zrIV0!aQCc;5$X<3su=X&Z)0vO6vW3M92n zmhaDuEeJgjj-GOCr|uq};`mxe`r3wvCvD5?5y+?ifq8klf+I*2K-~@QHT6Adp>L9dH*jM84X?v##Yv_v=C;drS8lTZ8r<3_0EN&%P&*P~zw& zlgG^vSnv;+0W=P4BpkN!5e?B=v4U3C_W7UDd)bRYV?BE}w!%93sSiIHQ;Ugr>xPA1 zX(e<%{@SRGZuC^hw0QI5JJH)@VhL*DyYy6o;|V*rM@XuFa#$whl5aCYNWE0JmZfng zBs^sbs0iz?Gx0$nG-f>@1y^;;`f`nwOQy%}XIkV0F z%;n*E%l?vP!W`yQ8LO4!nga6(p7ct55E-@UnmAylCYq$ku5dm^tur0{rY^gLUXC>X zFSxNPV?B7W567hErJd$6(HGlY>CHESjl@7yRO{FGO3egpn=?%qBT%ZNXtM+B^as$zo+XN^}|U+^VQ0@a%gKBs|8?mxM4 z-*L;;>{H`ANXh;k6)gm``n!*8L@^ggh;ns(>SUysTk#o8(`T$nkN)>EWBp7w^;|#68;A@AXAyX%bs0|w5IXe!P_{ho9AjykYFVa8a?x(<cglMwd0?O`VGYdM z+iB(@d|PlH`!2MP)Vu*tvtbl5z-%U=8TB|O9XR9{oQm)UOE$wXKuKZkPInKehaV=b zcr>laHNmf)-Q;0olW3ilZ;o_-z@K3^8o<(R**kZu(&tw!lQ(~eeV7ksJ&0BaUKpVB zFo8b}+rG$N6fw7t-BGVBz!RqgP{k2;Gq%%?!}YZ^TG;oR#%wU;jcnZDQrzasy%Nds zX7xVA`;WEmmN-m6A2lTV{ty$TA-n)bAUr$02_&A?3pxjCGm(FdL=m}GPU(KlcVBY$^P?e@6j4FHo-

);Okt=JwD?Y5YH;zB($( zuKoJ=7@$(pHGqnUbO|%03IYlU(%mt1cRol+BhoO4fJhHLbi)ieg!BwUcY}0&H$LxL z-&+2O19R?k?Q5UC_qpyBb1Ch1=yV9YM#V}NNVz&LH3eG;(y15}n6Y?FiM~^qV`@_6GvF`0CtCIQ5P>orBo2 zX3VW<;2sKy2J$pf4P_PgS}cX%uTVlSp4}TSs_hs96+U5F>WspQqLO}}y*bQrNX5Ou zMkojVL+FKxk(i0A@8b(@+$T`inBsiMTOb0;In(IvYwT1&QA1gMsUlG4zvrW=$#`_v zj*=0u>*K^Zb=c)A7yNh+Ozu)c|1U9?yvWr=00&9*5S_f`bXggicns8BGi$cT6)kMs zW~D+n(-YU>;k`$1iA$p_HpL7$OWJBvh>?qL|5I$fiE`gW*pNGp+F^AyW~e4I>-r~a zy#4`VpvLU-_9yIWJ=?zm|3|kM6FpuvDghx-TQe?VL>)=zeJSni5IOv?KI)E7?ui-YAbH-$L!3- zMEAdXek*5ee-8}Iaimyg5c_Gkz)Ee}DUiDHsOms2i*uiSvxO6?_1^R)TI={vP+HH| zalJM{tQWYK8{GW%xa_5sZD@o?#~UF(w_nO$_0n%vBRzOG^A2T-F4;d$91tqwybLvf zD8l?3z~?*1<384U54M3iRyF*%2umlRthDUz9;x$?{u*XTc{buE$i$-M4a$t)5mQi<;R9$IZt@ zbq%}aysbyJm7K}R4DV|d8ioLoT1RfLC$O7yze*LCu2Z{OYyPucx66piX5P)yu}g-E zE&_c5qOs5N1ON4pX(AL~hh^$T#{u$sIYPa-0%sAfImJOqg5M=B`jfeDTy2#-k(FY! z+4@OyCs}oGgILOZzJWan%Ae9vaIhvb1_( zybty5msMhGRi%|U`ZfZSAKWg-Z&cd)8*oHF84oFNg?j!`qm%Me1HgH@*?^@CNC#|i z!`picd6f;;NJMUpgA~~CPS_QEOu1=nOjZBr`K%`HDKHktJbu$#-dl75O-N#B9HEs3kBd2TX24U?EkPM0wl%`0JI^*}-oyaOWaPG}0 zzwq7%QJTbG!1W&qD|x+(7a&hR4Fk&yGb6~tHO-0sG6P{~w(t8L%1Bm+55-_0D&c2) z2JqZ)O>02U)_ip=c^Y03j8I{PF6Q1wo{J03wlv`IjpZdHe1nG~fs34`)3u#(?w2ZAivR z9B?#Mv5HAR>)2Vz2aI%YAe(SR@@?}~qB!QTUGLv~ROMAuj7xX-%-Yfzlu1rB7FwModt`!_ykw0|^#sF^| z*dU#F;oJL=W&9(LGGL(!r>O!%9ORS%Zr^A8+~DWk^;=b&8Bn#8F*MlFL=Q&@#kW+L zL^oH1PX8V(nv64t6sZ#qpkq?k@t+nIT$kwdkFq;`g|{+|(ea<$s=%S0UeSSL!1n8< z-k#e)I$_k4W`7>UWGz0+tElJrP84BtY&f|&!ojWtUgE1u1+VoNHR!B2N&wb3TE)(B zAkcPwg|khD3Cqo#t6!YhhDZ=<4kzh6G$m9TDw zP2Y}L*(`4O)!n=M?v)OliD$g!{NLXrKjjr~6gpLMYECfra;xb?-*z39zzgDcFG6Ze z%pdAoDul>N*)+-%TI>rN1mSD`QO*&*UGQOu-Be$1LxWwG==M7PK9J~K-tBma@Gmj( znE(a_WK`plWjTLJyF&SV&Vk!80}-2GdHXVbyTyjVyZd5O9i>#aa)jhN6vwXL%a#O1 z!j%-@5(y+~bJGaRoxtM#!+dKw`1-O%wX;OsDJ(c~T+}>C{O5@bjGj z@P&hdrBq0p;4}U=+0 zc8!?>>6rFDzy#9%4bEnJgnJa>!wg(lCw3R+n*ibv8ZO;~qiIDw$fEtZe=}@fG5x2| z%-7v5|FoQ;4NQiZR#`ST@7zAVT$@R>SKW4Dz9!S1Y(jBiTtN&PFuc~{_ za@`VeRv*O@aO-HN&SLk-2m1{@$xA-c;EHB|bV&2-t_T7|D}{M^$e@r?R7_A}g=5@$ z6fKy6j~fBD>LA+)eM)u68DZ^^9j_`=a; zv1#&%OsuotkS5GyZcEhhubgX(buQ$9fA1}1)h_+ ze-cXaUy4)iHpSUR-&tl^kSY1jkNV;(Z*5K1ty*JapZr&?0UZNG=wBl0Io~7ouRvZ# z-U{*$5q_xd+6(5Sv14Q*;&eB183LI${4YPD4F^nS^nACd%k&#mLx?r>4+b;{*inYP z+1J;TxXG7wx1#Pejwbblc_+I3#I%F#0=UG>JD9P9R|3L1t}BzL_3`5ymU&%aNe z+Qt$pJfZng@lL;z#MzK^fbsu4Oc;m};71)~+*#cy=ftq4SVv-j*YOL}%LCl!mhPsm z@YV|Q_rIIa1r(bt`(=jh^8$BgK7{a?rY3|^Q>P;vv7ngM@hop)nm0RZBhpWc2JoYF zfcqd#<)PDsPnB_Nj6UA2I*}2#Q9549>k|hF!!IM}TAM(Av(ygAZ;pzW1x6VC(=$i@ zet*h9|D`ieJr-MhzbaV8kCKM z@M?-~(kEnQ+V^qAvTP4WZ|8nhNQf>C6+cl=dHs-LYsJA=Q^)0>fFtp@^(CR_DZ`Aw z2lu+G3N@1*V>OMuir0AHSoY(rT&6TUL?VWxyj*13^n*?AM~6{ykNHH!Q|sxM95XHN z2)CFjZBBeQ)_$1WO$-?>pQ7oKU3iyZ1&u!%GjqVBpJmF=Nd{l_%tikW8d#G_rLq6a zGWFd4Pi1QJZ%bCJFnCK-cFhR1aZy&1)T6>3{j&V{&wvM{BJ3eTnlrtS8q4_snenQvhYxnG&3#s=Ga+$ve(Alg9(OPR$)b<86!T zaZ!J6Bbsml1NxeoXUm$Q{eFUIup#p-!aC8soM6CSuqlh~6&_WeuU3koyz=MW^>(m` z?P70-`{oZi{|~_64I4iuZu`dRH_ z7$L(M>GL!vC{bFki_&q=P|NVy27`b2cWe~fz;mDpHAm!;_L+cMMYvT&l(h5>YU|*( zMI6f)$juD2hiCT+(S&VvaAcR@BzFD9^pa4sj_UzBw;tih_5f+;y z(gkJ@Scghqq+Q7|guP%Wa7$*lR$b*G1VES=x%2Cwn+^o(kIjE+@~kw$v~FwC{!k{4 zZtnjg@a-IUFH9PwOzyLR+f0C)Xq{W@qRA`H9ZiaLuh0$wqaV?bB>W09ez?~^ub=wQ z_FpU-RTUh3chEO7vV3hOo)tx^n3}k(qFMJUyAxjfB&KV~t@l|;SqK56$yfe5TAvHx z-b-!M0$Wm7ttJpdwGyjyJIl}&&GOYB9!Wg0f1imx`%2%hvtGN;);zM${{?&HjReFWTmsZI0N z;EZ(I*{r=sA~tcdL6E9dNtx3)ju7$BsUJ}&?^7GY^?ivGG;;~o4LTgt$J#C14rvLk4pT@B}>T&oTlH$D5|9#K^CnPcUR zB^U3549*dLA2qK$3oavH|7xa@7aEHps_ZKW^*7Nkc{>BWotNgYP<(=Q$}c$$K2vTPEhr=wqhQv5%7Y3?HasJ#0?SmhzoRY8+i6%(1% zt?F6YtZMU4Z#_Z2!*%3oTu-<|XWLjb<^uNyG}4>Rvj3PdN=P9;rZds@hMmz>5$#L$ zYeBB)M+l{~?7W{d=a*lqR0Xu+QETxIU~6*B~@C!|1@WgQ?4Hk=L-6Ezs-d5g6LOUC#JudT^DO*7FZfec;Pf z(16OYy~)y%4xY4B)t$SMp1j_w+7iT`n?!^y_gX~u#{0snv$6M#<$KA*_7@;YJgjejAsYl;8?o!-+^>>5Kj6NS~u-`a0 zcjzKLhtBef3>kc?{)61&fbO%wZKY5(Cm-TOHDwofmfaQH>bSm40q%G>;x2@f!~!; zFQNU@PbgHngXS(ZhfUT8>U$AZ4S7QW&0yx&c!p2u88TfqQj<$dU!ybBy%!z}LmXiI z0kKwtCl04%1I(pewmTtg0+8kvJS5yGU+2-RcL#*sg;z!dDq5s98N;K)it-=lAnX2= zus80a@szT1DeSH}geF!LDjKSFz<}=`x^mQ%x<2-)B4%S6LEn=7NYu24xV@E#EtW@Y z+2Im_Ot012=0A>SGWQ6M&tetL%+(hg^px2n0R7Hxb923-9{1@QowTNS`92f=>q zDn$3VUf50;kuj5pr;|i^*|=O@NG~l#5ePHg?;+EoP>u9|G%Ym=7ZH$ib&kQfz*gIL zLaMKGkDOj~M@NjNg6!A+EzC6$gRSWJnMaoFzdQ$uE|bZuBYY_pRGq=(+f1%n%~SO4 zFzAiq&m+Pu>(b)arRA-wgRw2aO17R0%Q>hnMpVncc24l6;N4j#V;;<-=q@bp#eEG7 zQN|Cy4a2u$F^hNkm@0D9iq*)ot;@INPc({ zjMH-K?X@BJIL0UaWAPk~jq?8J_OT-36H;`8I)n)$sQu?qHQW9_i7+qc+V;h%Ju?V4 zX7@EW7CD=boqH8%p~|*=)fwsv$SvUk0zQeGI~e5$#B$f)MC#^bGNUe?sk#VO)h3yI z8-T5>0>v<;x3=otsDSH26(M8a6$;vfk^T#0B$z}Fn_=xS+Q@}7pfy0ckrYIfP}q`*+s65yXVJ zJKb|NfVy0<`8!8Aw1(5XcZMXxyp%Bmwy#M=*Z;nVBuYzXpsE?O7yV}Tr?iHxW@{NzWJ_xc!7K_Ms$8RRb{Ro$qb9XrarzQIE*GQc|rCXFR0wr9;c1`Odtm zczJt#Af}dPeK$Sb9(~XvOuGEKV1+Lhs{i5g7%gcVNneh1aUM4!BX{%M-7Ri;?HTlD zdkHi>ak643jO<u-g_A!)bS!C9JhxCMWc;RF$%o@PEWx{Tdl#$A`2r)Z|OltWMYD z&KHonatjv}UAt60H@%R=%j_>`+rL@?YL@ZDjnd^H+x?I#%{FRya4JU5v*G~yDxQ8e zC@9sGD93nxSUpyR4vKCoUP8Vd(vB7s`i!K%C*m;M*c-{q#6lbsw0G*F!S(s6>!iiZ zaS2?sK@zn@Yz})3YZl$YsXnZ#n1ODI8za)Y2+L$m9`8Ex`)#tW-Y-na`u-F5gXcqnxl4>b*Ui zm;s>4T-PI?F{)iBT%WdYmLj-x>5$EVwS5_5UW?}9{W;tjT%*T%u%3*;mbU(s>u{!$ zMd#xIWIr0+=Mx5eJ@ykfH^2Qt4a}p@K0Z(Il%UmI z`_I7M4;P#``Njr=&R*5x+1z!C6@9Jadl6yEF-=hVT1qq#EwvS3oq;AZ{1OzWht3Y0 z_)%%C1QNr~QINIuyb?}tnxq6Kh+V(2HFg({d}d4i=l^DlNBH|jv`?UFXKx7qC{LSS zMTGlntVC5l9`ll}C$^EaZU4mkaN2z&vZ9BT$s;KBDJ$^hs4Gs)?(S_D9+|0sXBGOb z!WpoZhLzM!7r98HXGpHdIp2oSeGyhmt(3FjoJO87j4Fh_nsSzs;B&c`40jO9;><$V zq#s78;zAT^Ph;pCxlpv|{j(?Aa~WHkg`HZ72K^(Ol_e&@E<&55-)v%cb+S)zbc?Ha-fU zbwu=-M;Dja-lSw(z8F%CEfS+#isXm-+H5HK&)UC zqLxg#1I>=$*Z*?jVj5+Swr=?m!C?3~)fd^VXwe`3$X$(vHMH}o-clmhC$AH+tPq1g zO{uiMHIWa>xsbfun;Q*>{O*Uy()8Z z$j1Ew?8+kX2gbxue?lr;oc%BUV%BOglDH}|@Q5cm)+Ri}$=qUgj!q&oC!z-?@}=%L zlmiYF#OO@|f8E|wFipV%urXZPv@=3NoY;f&{Gm+E5t1Q_2mqpfe)*vc~{rvmwN z%blbNN~!bxuw7q_go&T48YvU9?s(cfOjqBm9{X9|HGM-Nu$?z{}53`!4g%HX+gKz9GE?;yk1q?v@&x1t zYbEoKoTz34m-D;xTeBw}6)72*Z8b~oON-V)k<$W$lAdbRqcA4WpE~nN+RTvUR<^}3 zrKr4kRUdOq<^mhc^Z4!fHv6k)wn_oNb2%n}7@!sG^4nY4OUi#@@~&9SE9Pdvsq0Ui z{tsXUCo=Zk(rt~xXIPU4AsJ4BbjOoWo^pleJx5s7(g)ebuDgXv1cxhTNm=C)OsJQ%<;Z3(Tl@dYe_^e1av9gW)6rnufgf*jJJqbwG=W}=8;d4l& zQs*}`R~#C`q*x5eiN9*CDH`wn=8DjwkNwr*bMZuTI!R}nT)uD~eKdf~uYG!Co!~!T z`HIT6Lyg#qT$It3r6-9QnVk}cYCkvz;H~nVC|*;mH9V(Tl>5PZKi_#W#fhY6$ZXFA z7`%jG(tV6w_d~BnMSBFb*mk}>K%4-0!`2*m5{OFR{2KTo2F{d$17Ys1khagJ>1;7V zNSsAi9b&%dsTj)sN+9D4RPB4^wOH0y{PC9H*W-&)rAzHFCn^)&sC3MPy2AW`SS`Ph zmz3y(Gx?3Bh%a+y&qwDt7~d^-ZDOL8!_kGmvdiZE4rq18)qW%HS#tye?NIaC2Ea%J z6~A?eS`TXn1Y}p?n_PyT_K3@j)DUiDdKPC;*Hn7%)TVrKoVv3f9cc0gpzk}9tPc$R zwGqL5{?^LEH6Ey!9-|U@{hb0NM8lN*N*nz2`{Boly z+JQlQ{lZ1%QQom>jGA|%6W945<3%|lr7()Wr5&SH7PO}kl+XjU)SFGmAaFT#@h8O& z;DY>TP)beZgvC`9a3rW1ifRT6P>X~LqYx1Czwl-kKJ9rzjC{3Pd`!P%f=V`GJPG>< zaUqNme%ct3p&mI`$bis}&-e|iWcaxF7SZxkvGH`0zW;fH#3O=Rl$+2CB*}i8|3Oz#7@U-T$(YGxEv9w>qIY00Hm?kls>j$8sTfjVHlj>j7iF1 zQXqAJSzF$#&v${D{*#j}ko~86rpStCw+FB)S zW2^lgB&ysxNk^WhExX~0LVYt-i)PLl`T%MnOq_gOdt^SavBQ# z)5G>Bdv~Cs1a*d1&V?E*G{du=<3{Xp5Qiw?!Kp12ySp#&La8swv)U=90Fl~N`}C(- zyV?SnbRxC|+b%Xq6>YZ6(DQO2vDb@1vS)gu3v(C78MA(<0c20>5PBs)u_WMHxjWEb zi{MwJaPkl>+C(;ZrAQf*$QZHyl9_Fqj~qwQe)opX9^{$62ZbH47MqAbhm606U?KP- z80}Y#lshY`j?|eIEKx?BV#{|+K6B5ftLw}@s&)v$PT>dd*1xn{prl*)IvrCs8I$-% z(pPNLAkoQA5L#TpO|=)22Qm|b?^W4^1A7qd1~B&(N5gs%$Y?;~OvI3zN=)7DXAHC1)VNG+>!`}K7KV8H!=^XucG2=i-;{3@M|)rE_aK0jj! zOV&*|&}#Xb#;Rg5N1K~bJk(a(>!)80Yb+e74|&lUT55#7hxx!0s9-POhxWDBLz@c&G$+JFbRmn&chHJ*EV}-678ZYD8P6?Ve$Di@vc(PBVm4+F9MJKU zN@-@o>vJF_d>&3@^o0LsX4@z&$^O#UlE}jOs5>01$hMb4&?_hDZGeJ2^mm=>nxI$B zGe)@BMmp(U)LUCMMi104g!g$pkpZ-*N}9=VQM^E46Y~&Tq`CF`-QAaP)!@o7T@G97 zEwzm^4Cqbe^u3FI{TN++pb)m92oZ^Strdi1m(?0+Pi-*F)G~4Ag#vAbr2T5^^0WeY z8Q=7y1D*Q?BixFf%>f;T1;4cyBJFrbTD2)yUKCea*KEgXeIO+!c0~8lfadW42CVt$ zuuYp{-^4{@BBXMGH&)VR{EF61?6CuXzE3{^SvJ2R9!h>fxk*zowgVJ_n##5!Y`!V5 zI0y$%wb}{F>5ftvq(^Z!pSHeS*xp1$|3-RN38!Cqii$P|JKJ=m@5uH4Xq$)O&AYq%S55B7Zj?nAGDOv( zy)wunaJLc!p+hr=KrT$0G*%2s8856xd??vjgr16#bo^8cD{b%dz;M-lku#(H4e{feA}yQ@tgW=<*9wPYW9NQ^yM z-Ve#cxY^Y?m*|mZpEo1=6G{q$b6|%NRaXTovp34Ica^Gp_BZi?Uf5_{yxv+f*|SQ8 zt>&{3U44NC)B)ajRp0e7W>ht(bmiYdim#uX0tNdi`l#)he&3do%B!E+;=Qls&zI=V$4^!eB`22f?9Z%`Uqw6Bu%m5_`{f~YhK_*) z*UC>5`d9M={lZHrIZl7hgd)oj5g6H~vB3s$FYYM)zN68D1 z6N!ICRK8qEnMB9h%);y8B^EqUet}2tco1O(n(iOj?2eE zt7&rQHJ`tVqh)u@hSy&uBE)=j<3A$<*k zn!)v&?W)}Gsf$t+bNt|Gm!iQMdgHP)T7007N)EPvD^DpYUaN`L&Fe!_AC3i;FuJ`W zjE`4#LKjw+|4Yy4)ZuO^srrRCz-&48F~&r1z8Q`V(X7(e+^Q|d*YG7Xt;Q`<+W#Tz zUgE$8{^bQ-yKeh<9vWQK4r|YK$9iRHYWMWG85aTjuI;Qf-GTob>P#s4xAi}Ei?UG; z0ae5@iNrAut*zF(Z&d%SN9p%3!BUOU*)#&kPx?^eA00x4z(Jgq0yu~t-AWPlGyh+T z=pKJc8M0=Z^**ZMlEuuKsLAG$XiYGLZ4@BgB?-9#96N!Ar=!Y&8cj|OKMCR*2FZ^up zd;$vxJVEeI;e8pxpMU0|VR)bVs4O~yQEyM1e^v7KY(5G!NY`BcFMxv8rRSl+htTh$ zqS${!=#O@%_#D)(uCHh?5R@vwifj#}CSEc7vbW8?W0oO;xt_$sTR8`eX0AW&1qcV> z-%eoB!joomOVcCaqI#r>y>HXo0kikq#))X-22l&Ige|`!*c3 zRk-+%Li%D)dW8QJ?GC*E-p2K_&{VhaX#`fgE7gr)Wm?O`LKHj?oF6}@0{nixJt{(4 zmBYaus{UDkhjX%x=3d5_*4rl0>t0{IMLVrQu0TaGV*CZZwPF)RAp79Uyl}K?i$CnB zP}^X$s4t$fKE?~om2?@@&H%^cONnj_O_@ZROZfYbwv2w<$(jP>-v3}2`06&Za+Rec zYHk_7vvz?3pIKxy;j!qUM76zT9XXk7nH52*g-N6(3l*Yp_v*cUu=T>@N0` z6T(?%y`h+I`6bWEvb}9US6*fc_~;L$6-ud z2;E!*-7$S#b%Ww{f>OSh=}6Tz&A*fYSA6@8;l4j&2)W4KVka_HUO**~c+A4EkbIPu zMl{0i*1o9>it7zJMAD4G2K+g#QOTB!UY?EBy%d1zoe~>bnCq+pLMs14*SGxG$TB~m zJsGLzG7oMCvmT zMXB?}BIXA3KI%4#iD1pjN)c*YQ->HqwTp@iBF9p!?l^C{hkDi}DD^G%i#h}&B067( zJ0_f&O5@jF-HI(VP{wiJc?aKN@%w^_4(*FhRP~NJ#F2!gpO0`=Hpz~)Da;!r-@o-e zRU&9nv;sp=V^^$Wdl3;NC_;lF#}og{Q+q;6E8E@!>Kg@2)mRFU{i@5@Wo=VtU;_rX zWnAa~7Xh^Yv-y{IF3{ zK_-V{l@N7M6G;;D`?#PUe#p;n%DQ2~m?Wl_&{$5eopIShl=^Ykon}Z#!`4H#SMc3| z_GJ!VCfTtJFVl;9Jd@%$&dpE^`*%CEMTX9SVW8NE4(C5?3M^1%&Qr;d62KxG?{{Vd z38041Y{z$Q$pA;`W}8A|gqPzJgFrMp5>YhXe!vi=`J*x;WG^3n3``zd#m5h@U#Cu# z8t>_GaRhuDekHL3ypIasuMkjD+)z*| z)8};uBiWrt7l96%PJTtb&FXg0VFD)aPvEmh8M{6L2a6&a{tuL!3_(ozl_OE=GhKjc z@F^$_rBC6(V$m~a)Su7QIcnDzOV%NqpROUH<&Hi-ysIu&cE#drvcl}(xabV~2Y*4v zLt{1-EOcOe@AJb!FWShM>k&xZ-j#>C#kb0r3r(xVBJ8Yv#*Bh%#TNwfpxY*bg`~;_ z*(hM+1;uJfl@HK`J4<`QjVIB%=2ayJuNF#nPRaV0j^EZ-aWzb{hK_rdiWVh>`uNP1 zhqw!d#+(fUDjlN>34ZpP=KzFB_+)2iqGL z)4h8-=J_VvQK81S_VllYU}~J%Pv9_v92N4dI<<&go;Hc>Fr2&PjFIC#v?qtDa(bL? zpR|u~LK+M#5&gxo&>5KW_T5cGK!zYow=V=F;CTb$d^E?4J}Y?Bd<1jS=8tQ@K4xX~ zi2qN9SQgO=jyiDHC}Ab{3Jy(k8K2cm*gHMj&pYoSifs`%;?nj8UEM)+rFzcgc;H4m z=mi?=)Ulo}qAmG;TT+d@1!gRXkH=Dd9n1WRBuyi8_n&|Mc5@JGYB3OSwd$Kv9k8-b z`78UCwUciX{>@C;PcOot!-TS|42wdd-GVMtY%}FAjUA`%krz^JuM`WS^7M zOx)mVz;(lo_Kgi}wMB36T5aO`#*-&zQ3NfdfChefQn(Gn+|AYHM9WgahT+Clrx)>A ze%;2?8_2cN&Ek#Q%`AgF_k?ZQ?~A6>%;cecTIcj(+xF`(H|w~)%lmqCth7=$0hZv|t|T9;CHww$}MVa-v@6<5wJ%&q!FVN!R|X zHJ07|1TVq7$drStZ#iokXtZ>D@*}s&1E$BpYJJEOlbT1mFZ;%OAhfR2#4MVOI&iVy z!Q?k*x_2Q`ve!6R9Vq@Zzi87oUol#JsmI$1ifrG8l(-0znSyp`(-YF+uZ_if`3p;m zeaDa5FS%)AL1WeBk9c(5#>Ql$IPR3J$u&1!TV}wdDz9;RHAiHx-L5+$QDy4(8f>ZL zU+I(Gcu}IdYc%0_c`_1+94V&0#xSHRp6c*i=g}VKuGF_2Z+?wjw$M0<=wWbW=8-0kjK zmdwCZACdDZk)zS{i#_Q|sf#*cymG5-?ICkl09T*x3=O7qve4J%O8IW8VL{<0 zL!C%jXRot;B1T;vx?Jm}z4IG*SWbUZL3uZ2_Oi*I6}3NphQP0zgdH~d#B;AMOYDD? zmz!b3`Rb!xmXFVwoepS4P80O_WGWwlqb&W^>i+mai9dhr_75Sf#5buRU{fNFn7=FN z7=9{YZR}}xA4?K`Q0Fy;rLXi`8k6X6e!|Azpp1kC&oNftXK{6u0Y$k=3`J2DtOiAI zPCjCtXAn#sU?~T)^nZ9PQfml`#?$0R^>izb^P^8s?-Sf%Za9pfRdoF5`f%XH=P>Xn zX5aTmXSO4-2uxP!3T)O(Y!hF7Nab|$V5p@KI&pkcsW`S46q#R>m{^bdnsj&Z@lt*> zJ&N(B+7=hp=dMO$gJ;@$;wF0D&O@HZ*eP^&`Gj1F_}?JYXC%JNZjGPZGC5P*Jk_FI z-Z(hHu?8L)$INes znGY|KxAe3^CJEoI-mZlp)%YL*POxdXq}*D^Y`Q>lTqh<9bBRp5JyOA!{Bk5Pmy zzAaY&QdJEBt3+FrmQ%MAv7}MF?pn)i`X+)=z{>~}d5fEJcAWGz-03G7+dqis-!e)D zP>;>?3l9$Ew1An)i3cM-SUri-e8n?cJk2i447SJO08@-jRd`H@7URi-l495K zWisf=Tgog-iFVr>IgXSlEMlnIc#0S=zNs?9X1<^$E;LR`^9H9^7qx_@Ne7KNt)wiq zBn?bO&_mk~3wY1RcGQcW3IS0KP+N?Qakz8`|hIHQhs){x{N6op{ARu?QZ$Z>B>3t zVYy#taVe{8LmS^d9@5J436g0QVW(-uTIuhp1VH)fBz?yAPcjlR54n;o(f|iE#vAr! zh;~@WFK43=^e?uoys`4?+PW%MdG=%?69NoOZ`NL+fI$5B8=Lc|h3qLC)O9RAnBj_7 zroWbCJ2KK?*;7k?m63C8x{(~lIsf2$9wUoZ=TnrA*_hHw%qz*~H`ELgh-F)hBJq+^ zcMo>IY!ViPqY9e!Hv5?h(B5JAOho94{_;ZNrrcj%IyI{f2QuSwdz)e^7SrW-- z?MZfU5u$=JoHlu&t~g!{QTe8UJ>}aIKWAdQb03!l?^C;W*iya|ZPDZWe$SV*@RA~@ zwN#p-b6x@U=nY+;8Ot1V@u@7W@P{~!q3P)i$P~4bz!GR({k_@ zp%}j$1+sll2a4s|(k029*llqK=n9;~^V-I(Cs&Cp8%mDxEU%V!2WV(qWZ6j)s8t3T zn)(9R0rvKa0xAyCCPLPB4X)`~HDBL5&TlJDz~D1gciA#g;Iqme*%tTGKeDUsefNrf zHDcySc4bN6&))6;K&cL!bBfI=dX2oGV;05G7!t>dGspx}LA=e{kgB(Kc)?~!sy6R^ z;lj%HIut+^!KPJ@p+vu&*G}AyG>6NvzE|Pf8sv8eU^jTo0xmGGsL^dn^^BS{HeU-O z3m3MnkM~t$i(p?RQP;SrVLQi5tM<{w{EBFGS(As)#j*(P1b%rz1r#T0tqw@155t)C zL92ME0_cDkyqoPF(=TP#*lvae*FG>1-5^zlvqA zv9WDW`-`uNn5pNCcCgRDurfo+;x^Y9arEHe#o#QRdMud;L3_rQ?Zy2}j3=!u_2-oy zuButuj^um7)9gD-DSrVys&oocCR%htbrC~f^g8e(JWD&k0S=VZIKBla z#|c0*9gZ)IV1TKJhMS7A5xxx)Al>e%8}YshcXOUscm=WB>^_Vl?NRmv*hdAWx;9aF z3Q>Mp0HuQX(79*OpQ>dC6Yo685lz8f@X6;JwWPcXzI1sDhu#$LY;?G+<@9ViM{-;- z>ikLJXsx=vCL2lv(pPVC9zy9-l?IvIToB>x?jUm2%d!b@Kt_2y7;yr|OM{q{(6KUq zTkfzKjH70PsU-G@f_!5QbbyC)1<}Yd$d1_;>xj4nc=qkumM5KNWo+B>#}b44hb8!W z@RIC=f33YC(}{3dv{#?jVhAerK*U2#I$VW3sK=fJp@%Y{W>CFin&0^Du+-Zn=w-)e ztmaBLU7QraJJ#YyZ(KxOp`yn!e=t6MXs!l%KrHhjc`RTw`R{V|X@EnL%NxLGjIjB< zu!ad0qtA+Fcn*b0225C#2%Wg;2;K#(ZeoLDQ%A9mp#}K?_%We za61b-y!;UwBz($SIm5o)s<4CzffG$RlI8h9-M&w@BvsdcIjWVA98TOk4^|T5Gdt|$ z<-Om?fZrSvYS_2Z4>?rhA1tohW_?<=MQ~S0md@)E;gqNB4 zIJ399dEInMTbxOGoK%oWw}&$?bn7|(%FiB^o~?KI^ke&0Jb&)JdWR}62i0DpWCGNbfv`Y&Ve4JUm`17InicVy+XgXiO5x5VE=y#R(h zJW~F`_JQ4^t7m_XJ@h|}=jgRQ?d_~!P@89q=RJP^kYr|eAhE9-`T1$LvKxKTw~E9M zZS+MCnw3I~voJBw2eXuvhQkkIT-1a2JGmEuLk>Hqo#40q&yR{P1YTUUZtzr|m>Gz4 z=u46^fR6E)NlAp_gGx|BMF>+4G6C*%m&L{mX)7^~{*&gG5xuL2c8)C)9{69!P8f2|+d{{60|@`n>dADJ3-Guq&}p=|P0>yNvrZDTeB8Zg z{46najFwhYiQ3pv=&+wrc;XTOS49XUY4tk(<7vh7zso4Is6?aSaa!9PM1gW-JhesM_5f zu+o+N#%TL4bYpAsTw|L3#r{9bwikSV2$A`_n;SgkUMx6iDaGO+?oNy#P^F8JPZlbE zo_REYy-#&2rDWL%D#CkLI^%b3aLiTH8FoCV*bm8SGi6RLuO z0)m2o^b&dxy>pNz3IXXQfPhNxNbf>I4WRT60YZmJZ{hB6&iB3d-hX}akhs>`bB^&F zbMK8lJ%hiQecj}_&(QG)JWy^n*}dlE%-lzk0T#z-*BSmuXZD_MwBL^E^$^$c@}Qsf z5ByelNADEa5evhN963l}xKCr_iNE4(35uX^?2cNjn zV1d7sV2+ly_5F)gA?);byXma1A>IC$c3%sy+M~iMVXZN0#iJk^3k$*~)pmHJt~SXD z`~JkrnX^K~LcAn$?Pj@}ESQo)U`mqeq}2_uIZ;}t-wh~IyZsoDHJWGbUMM@ z5ZUH*wl%yR*~K{6K`FuO7fCu)yx>nnri00%%dw5L^PKhcoNmq?I1bJD(97JCCYFNeT+u@C+ne!~@>V=JM}pYT$y7J!ea@$I5R zUQ4zQfz#}XeGKS29`QRq5rkHlTGRg6j})?jZ*QBKP%GIr$&wDOK5wdXVK_+v_|X)> zc-pGyFY|3wKy(xh7y_QpzSd$b{9Eipu!3P-Og<6j-qP{)<@1|>DOho^q6sKE%nCOv z3il{udX9^3xaYc6PCa@fZs5Y{j-a(-vo7>5T+-1Xaqk@ML7P0BzO^YFO{Z|M;y?0?+L%Wnx><-9TR|{B zm$xJgFeWyXN>n?A{64Y-2A9b>U zin9K@U{sSQM=OoRsGwN@4t)B)o4!=ENUD_Cmb~t7csr-en8rw!GthZAf@o&mi|SU~ z=bUxS`#0g}GT5Y)+f%U!6oIUs ze(d=}bBa4!sVYVpFwvtBe4FgR^?-ljYFANo1bEAbRopnDGyExaAA4?xa#y;-%shCN zJTkM5z|b7h9V_~TI2psw><>~5Y zC=X&AshoK4u)0{ymCxLMF_|r5U*gz*nMA%o4><91aVZ_x78R(Ej?EvUtJ$zMuZuHR z-^Ad-1CLrOH1x*E6in?L zc=6}loBaSWba0+qQCHC#y=5XqMSzLth)|9yC6CANZ6x(V)b_g;>2 zrlNVYvc2;k7uyT3cuh2RtlRiuk?3#<;TPZo^^RWuVGVov(60Sf6sklD&pWhNV z_D^>D0p#^kN{DOgi6|#v4aWxiQu#K zpRg?Ug|u?9Ei9ildF#XFWQ8Ok1y)e4fU+J+=~7~&lm@}m>_Xa4tF`jItQEI)>k2pC zcVEUFoUndF7rUJ0bm}HT?jf&*)}Jn~PioL`77YmrUt z(P6OWXICxz`-dq|I~BVcQmN|pmwqBZnX1xzUT7}$!Y$)oX`-KDmId&g29zS_9ru(U zI~Ps|*pcz|8gN!*u}PDt?xQ#8vs8fDNYYzPCj`#N8h#R?0gWe=G{p%J#|;9ras+d> z4T^gI1S{MqsRGu^zoV0Z$C!d>c`4?Y`sWLp*94Cf#*;St2WcTy&>n}TNsd8aN$R{y zRI8V%eq>}yXe?k!>ecU!ERjtQI$YCnENwtXh`HhNnP{|<3oQI8M{EekDCT{vZZ)0X zB-6V321z1s#$bKz(f-OaG!i&b=>- zqB~Q(fYoW`IvR|*G7*-0t>Y2QBkoq1KR}u9r@!dD0(g>jp>XLRnY_C07m==Sp6K@G zMVXc~SAMiho+h%}rEnl#cl3N?YdDen>3}*=Z%pa+{}V@uGKRV8hu;f7Ei2Jb+h_k_ z$S@z$o@M2{B3vO11?qKf3VZaws6$Gv)TH{z!d-jt8!3_{faHSb0+4_?X_3E z&}*`X6Z2dw#hxjEnESNFR$H`a2tma)NuR?j&P~k$p_`R*Xs% z?e7dd6GNm#;JHrk>gw<})2HP#FJ>d}A_1iwVR>KjBS9%wA-}TuHB~+jn0IANYx%V9 zY_l)owzAWoJm=G?Dsl(Os~6>@?U0}lc~#jN+fDkg=ym+FK$zcR8EA5n8&7&f7((nDKO~(%1!o&bM}B zJ-@B|omGc}4nkRV!lH#Q^X*F_C)6k@!NH)yvwB63GGCVDz&qn_*%vs0W@oWjQ{F#R=wll8H||%4`rlCR89eq+eR_S8H{+2UR+SOglFmP(8Io* z!8|%Dthupb*aCVb#J^d9hPrnDZpQ2c{F-ZHC5z!=_u{&ZnCR*i$Ek}Ls^~-yF3pJNu3<)~B%6F4 zBLfNzJ8P;V9mCuaB|u3+cZ<-BPD^rEMoCRQy@t$-?emt=f<} zVoGwn^0VFYQ=Nct@Qnv$-7<}@S_rrIiH?GZAq95OgxNPXx&Ik=MA(h%2a2jWW(5+` zsQ|NN&-eq^x7|Q%E+{hflNe5B^0`|jgLW-St#mPGVg}ykf$(M?Nhwz@q)!rD3v{2Y70tJbJB@bh`%V7L&`1U0-koEfo{Zjl0g$suck zI5=9<^j1yCP4@1p1+UZiY#glsQ|5du$%}0e04_y~Tj{PiN7Vab3UNm|m=}^vJ zkkYuXB9Z@-KkzDj(F5P6R5YVgeFk5jdKa2hyuQBv8oBzKU{j(;r+n^ZK&Alwjs-8! z=Wm7){bPio1m19=b%2cj=m2woUu6J30R#a_4B(1IUYVc*L%X-U3~ zUgyi=<}^}OP>wjz6hONKL#ZeuUv=Sd%jed6ghH7dwQOQ6DMa~nqY4lWz$OEYI0Q2X zz6~MNaDl$#yS;#Awfo36GC>F-ra8U^?y^XRKg43kWX@;C6@d(*kVlHw%sJ3%N{|Lg zTUZIN{wISFUGCrj3!ztt)Dh#|N%2lP4&1r-D*>wy^((FRA@oS(M+LYD)wMJOg}r}_ zLpKq04hE=ThaLj!Bs(9cBxWC+SCtOIGB+gXm&#?m5?Hu#+H{gy`hu`x-;#=Z zNR3|y`(gt2bKCLve#p+=mnmKvS-xj={*(q~PRK`NLmqTF^tu=VQAGxXh5{MaI<_@W zIzaQ8nfVhCys@XJ z?$En34j#=gT?TT9Q&_wiT~{bktgBY!-mfQa%vULd1#etWOn)c%a4 zABttreD|9(wkg4fh@Twae{dTey0)9iI%X>$&2B~G@+?7#d}02Ty&A=Y8RcOvJ6!qA zF8ek9`Iv<2dI9<T&!1V4KI(yanG|V+JD<072v=DL&=^(M$D>2V|4sz z*SNQWYp3yA6x-Kd6|YGIQrW|2rGLI)ULt0H_4`!Pc7|ZJ3~hKBMFe{DOA6ge%m_yqbji|_3KN}DF)m6!AE!+z;J@UJJUEtl+uI;)k0|KxT{#y#RR zw_98(z$ik1it9i1X@&RqctTF)2K#H;ollRyRnhAhPvmaMpv9=68#k|<4g4ura?)~h zb0ywL7X0YM9{bL_4;PSvhBHF#lGnJx-}sufwJ{J9-eS|3TPqf>PKfHtmr+(pp$NtS zNt`D00fkttNYyfM-~Ot|%2{h>%g6=;XJ%ghe8)SUBeGwZX{x!=q{{Ke_a~>+zW_RM zOkVC6Q_rck|Ca?n(ME1_7O@7Xj6{3kfZAQhfPbwgOX*+qD_)BJii`pf8ch=D_&T7| zYq};JNr|(%Q|PhTtyhVB9Q8_eH((?f*fe82u9;UxWH-1tdgXSECDr`(CrSw1_~3XVnikVETk96FX7 zGq;pnPBd^H1{BbQ48Ge()s^Y0z=NcIc~#Uc&Wi{a2gHOYh{U;Sab#@(GF|&y!VS@5 zA1kQ{B8aK|wm`wt_JqM!D|1eP6>zKiCRl_(pb{+LFKqS2QOK^P_iM6crvlb*ZeXb2 z`-E`3HC$W;)2AQ?vjKf>rgYVIz)q<5Yu3)3mJ5%q0z$x2530IJ!oRgy=qqKgC8raT zcxAb29w{&522be3VWlyPy(dW+SBG$|apw5z`l60ei--XtcHK{T*`^zQSfvRQX%a+c z9PYwB>Cj8cOzQx+*Wc7cbu0*G?ebP9T_N^TPuvRm;h7K-1s@Yi(D9v3Jw8ONE>vRY z3a%Ki(35ZwE|zwt4QuB)`mKM`f5{Vaem~n%#33=tfSI0~<0`)4p$_ya+$lO67*W_S zB;Y;%TZ>MfpxE{aCm>%l^@UwU5c0a!@5B=Z9$86|kOLs;U%>Z`0QlG?exC-|LU2JV zQ(C&S1(yHid*6Nkm+#?x)=9WI0rd&QhrsXBh%UCL@;#jYI7pSIY38cjs6I)Px_h*u zSI5eo6NF19*I!;y`dG;a&3ou6u<-@X?-mJs>)Y}NbpWNEG(YJm6%~R(&Z|nbzrq;p zzTjFvTRCd24&U)ddNZsLwT)?g_!5A%@c%R=Z&}iso5u9}}VsAbe;J`P}s;fw6F-D&hHJT#xs2T6O8)K*`!p_6TW~$p#C; z6$lZz648()aGhLyUK=&{mYdW4DXCpE*cB;O8{Chb=M)?KfPJ5dI9WOz=6~Gim!r$O?WG+tG;qa00AwyjWREk)wv+&B4o| z1=%HvUdwX}yW3OO?lbcfF%Ev3j#c(Bl>2;6gn6=@ml36P(w)sTyN(>6HM9HEf1tDm zJ$o`UlF(gm1Ud$sj^YBj>smVhJ=HTbtxVaH`wpUry_nbd4wf{Z&1QBMNDr3+z`nlQ~petRflLoS9t2zq+y_& znyma!{OojXq^PveFu1@Gs^wzOka_IoQVo8yeoM1ur$nxYWQ^AhOJE(*5J@34SPM{d zgk@VFHP_wQ0V0SVslpbrrW&>t=H62NMd(!zS2F9cE}wLefRDb=4(ev5qdFD zJV&%3bN}WK)H$_TK5|2DcX~0>IRT_fO>MAURxA&Uof~jxczStu-KnB-n-W3412c;s87V5IJJyE zz{}(i_O=vRAlVs1#i~p+;Y5|D^)dMNby>IuA8`K|U%)5{ih)2|RaWMEyL5jr)X;cx zu58f@tKafOyHVTOp1-L+NKODWG6_T$jbJOr+f6hw}oTt+_(0D1j@&ee8r@&g^{>HBl6hJ7D^s?B5~CH&gCEepk6e()j)Ktr)M2 zT3uy|nPXqq*-?y}$ema1SGhw1CXxU<%Xc}PRri_CJ}?!?nZ6Rp+CdA6gg3TzO*BeI zNQyWDV{(?WJRE!N?ng*&cz)T$J#yJQf%?&(In*T4TIJ0#VLx7LeJwT;HkL=zx|c{N z7t(`h7)MUp*i8fZ_l}Hged_Fqf#+!{AHbo@yY^3(OS(C&IeT z8lZ^)s+w5OEvt+!i{5%SSWBwNP8bB;BY0@wBklG}d6Q9EDzl^y_wtwQ&!lAQ$|nbs zlkVeT(eL01hixi81y(_Ng>@qAS4jpQSg=xa`OX;FB>6+`P);FyZN71un@#{FrA3dn4Acy zl#lhFiLy>N7ydGGJo{&u`xfjiQn$^pB z2L{Hk9GC#O&a>5Fa23dxZjB|!A=~Cb^BY_%+1lO@C<EJ8htk-1h!ilWW)%qx8Ucf9*kUBz=F%AS&9u4uRNw_NIGY86X9A{{9X(_F9rrD*6gup?}d64#=m$jQgCDl2q@#m*U?^q3S9$ z$J8U0iEs>Rq8z|{ni^V>px}|#Ou<0Cozm52b!Se$Gr1*$)P;a3;fd?(a_e2STASMG zWEK;W4B`X#9jrp?Y@AEXtGKa@_BzDiEawl51bZ<+pU*s!U;a@*-cDla9jLVDaWFln4wot|~) zTtsN$18S1cyH>9^8Q@XAZ2lB9Lc2blG~UdMEJ- z_YNBf@JPqM|Lqr~KNWa_O4#|bA;UF2`6+9yaAyCpzyZbAPt*03Pq!SC9VNKTepne(y`R!Ys5LQ8}1V zP_6az-O>uG%&fFJ_Wmq_FOoZOcFR^5q_<4f(vxkxHpVcf4 zDsDHvRHF3U>}jlnl_$mrhPt`FuoG9E3&;=DYRBb$;l3^4!SyrK%g+yYO!Ws;Mxj)U zjEUr}nR=lo^Kst6N{Yz)Cuv1x^B2)@JTR2UwURn~afB2%L@_2$XNl#2N^ePQtDhwB z4ozcEll%h7yudWN^{hsYrPNztnq) zo61YL8B^CBCqv{bee}au#{JEUAkBTmC_}G@a?`>cUkpR<;makNf?Ey;#8DLvYxYIR zQ(HYCqfMl(E*w65`i^2tuFN5@lhpP==_KcC&aQc{y>3cz3mv(%=_T5x(rLP^r8Gk` z!sB)EpZoe3D)=Q{RmQ73ZWnls*@kCAGu%xjNs%6EX?s=Z?MbxqqK?E{Y{db%&7p6c zIQOU3=exGbF#a0~kBhetz%C`GJ2^1=1o~je#GpqGHHBIhl6eHzR!zt5<#y{~Pv1zJ z^)vLkOr-!Zssgr$WSOE)jWWG4@%ivPX}_CO^n9n^L4T*rst8Ti+W~PnjEdfRRC3;v zV7(nJx2#^0^=ZHv%}=A=cNTQ;Gn2m9&wHxajCW;feuiGA>*VlzvIO?RMSCOa z@tjb7J&wZtsGD}tAi~4(&a8q6ezvb@gLh*eo6cgfJJMDD7o?!JndaH$yog)%VOzvh zU{+YmD`-z(Cssu=w>9Dw8=3uako+kpzSL<-3;Tlx4x3z}uf%q(LlW|4E$npP zOFYQ&pH}G`@e}$%g2J{f(KiCzMyZf#3gUo{I`h!R)y-RT&(sM1zKnFJS7**9cIy2g zTAcVTi_1-PsyK`D?Y9PD^t8V{i93$@jbii6*X5SQRD{A#CX80Qb)MO^LwDrfXo~CP zCR0uj1*LKS3uyOd09tU74XeX9V35*^wk<88%*FFCesR(%b{|oioZhmxdN8Hic@&)E zBWR!e0eH~BXWRQChf7Qk*m=#62tQwPIklmo`cTD@loJ1M zg?bx^Z2N`>VFophdqDn{bczOQcO) z+~^ylDcx=+?HHD`1FO8aQfF#EC(CssKN+xGR$?%F3Ssp&I!y_g+Dk((O>nBjVeO|H z*=*n8YOmXg9rxIn`x#`-{AxhoEoz!8x8=qY5WM9hY~^vT*1L0QOi8|cV5ch?{mRG{?fE^xz!TgUt!(xzK0LNZbc`6)QN8MU5co{EJiO3MF|4WLkFLWl zrILp&G2)fTV@CgtXUK$co`8$&lh2%wD(zJIKb1zcarbPRu{9hgGDJR}nAvKiG~0Ma zWz43yu3ue32W2pb9$aXVUsLj$ z;e^OXJS{oNp~>(2YfWdWbH0If%nHv>O81reXvlJDmsX!h-$!Kb$kMYX6V}Y`=>}X)Q9@JVXsHx)Y#vJ-v4`bWunOEA*u(r-o(;kI$b|SlcBci%@&3I zBKB5T72|iJt@Kd(^7KSWuDK_ple*Gq&N>N!s%YQef<7sGDh3jn3pYGb5Dm-QhmWxQ z=3nI*nXCOS`=;>bbS|@awPvBSNHeRRnUkls>ib`&iefQ>qM#QiqbVxGZ}wxfCF2=t z#HZm(wwA%SUq$1>XozEXqEqsazLhHaBOV^*ar~5;?KkakRCm-yQSFvqTFD2itw}Ff zE$F|YgY(zU?YXBHL6V-Sa(bblvwA6Lq+0vtb2|Nz1j%%NJXQZzb4bSJ1ukV2qylj| z2@QQ1`FQL|&_^#hDMTl5S*_}46FxrB^`gV$(T8{$fNysi=i~Tm>2elm-|0R>hmw-p z2`jO?YL?V8c62ks0#uYW{_83BXUqT0dKH~k3&FiyVV0CTvZYbqr#l{{2(K{6@OKvbK zG;L}DEw?;3EepDF<2R3)%_OqAGEBf~RNvdBZX8GpFac8`V?vLyVq>?11yJ<=0))stFK5^w41>MUczCo4!@cCHZo36 z50Bz?s-jQtezFs{{OTN)%gwC+#WWW;3e51LIUy2?>{Re*A|)yBeGoQj+!5X%;K5P0 zFk4_3Jy{~Dm#piQo?f}LdHFuQRhe6qgwmOkRZwrLL)|;98h-(z_AQ$LJI!%_>Z<#C z5v5-d*h$HlKG$p=SoP}Of~t*e<53!OObBlk<)MS=VOkK$-x%4W&yyqb6l8T0f?I#- zd3%^E1&zQlWdb&tG6OAYt@)iV}%GODMmkLK(K$^IJZBzd3Xt;FOS5OKId%atH? ziaz(4Jv+EPeK3v$ewqWb|4a(#G^zWvCYMQEROC>|Ub{QiFG9}XiQxT~iJNt@ znVQ7Y)~BX9rK?mnaCoNFT&Vh6o2`0I&swef#IgUbH?!7J*2Y}m4%%KCt?JUT-Dyv@ ztI5p{4=aD+1=rbrCWZN7lyj#RK6uh=ilNp2aZlOBDbkUH*^}4naV>6jDQTP)t5Z~22+|B4 z%0Sd4BjxWRMK{i@s^_l?P)_sx(!gC6cgt0Gq|?A^ZsolYQ1>Ja9F1P$FdIx{%b}z9R1-a$PahPSVETakM_!MUE?_UI9F~ z7g&!dU|ThP&S|hw?9qIdAYdgK%)(5A^zo)iLelum_ zbwZ*GMxY(M%O}4wp-weIUN?L(#9P#K&rB$!#dt7kRU*bQo}uu|3((%_ug2LqV|&Zx z58R&RIK$+T_SqDbUuFieGG|FJ?$lvnJSk9|sMG8o_t`IaIlqT_kxiRkyx8j(raKjX zCPM9)b(7^_aDpuTGHMeR(3#Db@OZParf_njy5Xbt>WaR76ualHB|^=N4>9#ldbCs1 zIPkfP>rZD7k%}pAr?ILu2PcYtbbrlgSc+t=NJ%#_$ z>^RlVWY`BtUobFU00*T%B3zMQW$O2=UzTs(Nus#ihwes(8gu+d7E#F-|A?hG;y8W7 z$LfOpznkVdhkCc7KzwI-Bz;rJgh`~-5T(cYW8+%Ztn$D>zwZafgE!C5U$@_a61ntUXb_IUTzkxa2@n{qe2>S{mL zFvSPvS&*cq=~~V+#<-;=XlK6?cT2}%mqK0~gA9&1UsHe9Lwx9NzYlLZBBx)g-9bi! zh-tD+a){FV>)d}#i=B1+0{gBTZyKYg&XhZ=gdUw8B12a$2| zrB8o>D$ov#Dxb0vunB~c>$TfIp_^rd1KpYKB>IfTwHUa)e~%J%e9|3CQXU@XKHWx3 z*}XmE_5EjRU_nz|m?i!KriV;kZmcQo@_5?U`2W=`+Ipa7S+SCiy<$C14u)Yr88s-DZAhq1G^Jc$`?!x&sG*szUyi%k~C^ zvW0telc~B+`DB_&4Z?~hBJNq;Twj?z!%9=n7NX+ogVl*m`wY?PEBaIOe4E>mL-7dXr5WiT=$h%2Kdu40h3e$mjt-Fw zW1a){Lk5_H{+YkTc&nE5w`k}DU$tN05>k6Q+papQ%LN%{z7X=Wpu?l|+8Keq*I|Np zWec>6zV4Zv-a4vH7gBt5^Qrdy*3=MfnFQ)?CqgBg-hsL#1w-s&O8#C5$lKsJH zzh>3b702GP8@evMEef0&cq!rQa&DwsO{WD6t=~cQv%BG>N>W^4E>B!$;Z{7M-9sZs z639Coed5q79ZGj1!4ACA4CWtGM8+PY&jfufa~HXE(+xfR6sRIlAW1qsEd*zz2}585p_c2dz#k=UAWuZ=lv<4j|mXI1NLpD_#Tf$ul=aQHua(8 zQm+{}daWC%Lt8cFjtH^~#!+*lMRogH83}P-Y+#O02>P8hM)j6WV~x}g1_w`WpM*50 zaxBTo=)__uj?7(k5AKl{Y$c2TxU|xC@*;W7y0M07GPAlS=x}*Oe`EgL#&#s=cK1tz zg9NFeVdjfcYsZYNQot)L88XKpYR*zVLS5Vr2*S|H>K}{n>0F65yDs@F9qV6VXW{kx zNkVYt_-eFDZ`NS>17EbVjMYNWymhWLo>aw|b&@7SDD-m$dcdQdS8;vX2LFK?&agTw zTzJwl_;c=i))VM0!!vl6@S9K-X)5eiUWI_U9C=xD3(%2Qh0y6>Nx8t!mtDG`Jxgdd z>CrnS^clB}SI3D<#jF_ylr<2zoGv`?(H)E_x z6a%zYCezyg=F*$@DYoeN?Kp}aZ{N)P`bd?@IeL+JA^jK__hj8-=gkv|QlX-Mm100= zPW^jKCqu79k3lRH6T2A=-@-LJ?+tYe!Y;lBES6SNninoqrkH$k#{#YR5smbn?oO43 z_72W2V)uoM`8*{={X*zn`vhk?zraX)8pS}D*-0{$=U}cJC*yrY$-Pq_psOe}tsDPx zDp_DX#(RA`;KeVZr@Sq)ZbJV6dt_Ik1iVb?nXB<7U@pmuFDP?Go`&LVoo69qAeXB( zlPW|J)@)U|9rtFTwn;)<44Y))Bnw^Q);u&1cbU~t8~$`3x!B}Bq+qZ{KiU9iQd}LL zV-tCh%e6!+KH)OD)G$EkVZs{4?}1?C*viD(EeZNY|a_B`gM}u0`9Sbap$7s_WP#6VF60rcKVDN z-JzVOUlSc9p*iWB2>}rs1MfmggQ25Zi)Oc^44sLgo~bzuw~}I5 z;Zu8UXsd>%ko}v6<@TjL=5*U27DP>IPrD1Do9(kN-~hK3E`2#1;7XR%dW3qRg>5qa z&1S}y4g+NBXcd*RiF1(?XUW56zDWE~JpfeXkwnYn58`je9KL#i2W;w2z>tCRA-xS5$G%;vaNr_~v*{@_S zZeJ*`uFSFJE*B~m-{3D`p%Kx0r@o@^e^MmD2bkB><9Fj{@7(^UO0i-bUo52AMNf%E zng>Y{)SM)#L98!^`tacQ&+UWX-mBd5#kJ)OQg{WtCW$@oSqcd z+PpwzdZ)6L7%$vKJ7ZtDrQ^l{mPBqTg=*R>5N-^@hj7mdOQ?rr1pq`t5R>pvQNJf= zx}aB^liIhEKhfx!mRvq9ZTd2eN#W!LKdP4c;E5bYa9N^saHB=e(uEN)I!EeN`&L4% z<)Y*@q<<{%8UZ3hTz8TO*{lo)) z!mz8BTIo`0o1J@B|C`YBP|R3Zv|x=myt35E5o{7rmJjyKPM>}k_@bpe%n^Upj#~=% z_8Q1gS-eHud&NJp1ISf=W%p^4-v0RaIz7+j$K4g$FaQ>PF8GGwhq(eT3jrFABvUVv z&P|*|Dte|3R~+Uh-Xpg1xR;`C zySRRR%gVO@A?R~=u?U@`;|EIiazmmla(p?MVm7$li!sPFdQeLw<3u0tY5Yw;_s z4;Dw}R}SNfj`AGenc|P}$!iVAe0fP8MO&L@3i^ojkh`Oc4)gXax1vM)Z!e9SHRv;c zd&a1k>ml?bJ#!~iUs{RKsS!e!hCru&^D^0R#f-XM<96kMadzEI(%{*ZK>PrxwPm_Q zxa-R^IvM_b&V><}q?clC9n1DX?s?h)f34CbSXQqG-2!I{wRQqDLsefU;(N2Kw|c!jB%j!xmsx^k><;sT2NIA~-m{ z5aie`+swpVbJX?{P#WV(tY*f{KqHR-6ddmwevnwN@fQQ&1I?9EyRRcYt{`ZwkUzpT9l` z@~x%Zu0_Ysb7T3iTNmbQ`yxCw{ZXLjw~i#PI?$(G0P86;KlThKbRg%DD-G||`0Huk zX%C>dYk@<(N8WUC2K|m%lFG{Y6vEq2QB%_WatwH?if{FoZb~uD;7|2|8uHj?Ol@*N zlE;^elg_s8J_^v-={9n(UPA@6{Y>WPz_CjGu6M{RP%2VJmHOUcu{w8=nuMmvK3X$i z5R1rG^8Qw-=QRG*V{?o^tmL5pvHIpNO^@)*OVCUPR*c2g)B%#jfvV*?J1%k--C4Ck z3l7PyD;0HCf>7y40b9XyO`X*%NPRoZ`6fDYC^y7+8a=4S=zs<^W118<|3aI^=I9TO z>KZr~z(H+M!^^rOI53Jkbp{yn<4bg(6QE1TkZtNFx6T>06U&yPK0*lD_$jXT1U4R$? z>L7B=CraLgHERukza8!Y;;sRHWJ)v5>97jf_JNIK#An^K($l2jG_u@W-IPy&^(iQ} z^(|aAcWnNlt=71WrvDu)1k62L!IbQ}x=^lvvIbd*(^1s7`;l#=L%c6jfR$Mpdg+y&D#Gt6e=n`UhR{5~}_YP)2$&S;IJ zL~ePeu|0SLIEuJ$x{b?5h;P%fK-IJo_dP@;=#4H0zdlE0lu>eJIiKs@`5gz;CCrpW zufgh6%*D#DfZq{k;Y}J1^~a2npJ&i2$s)~iiW!id*Pp6$SZtrxxa_g$xAkoRP69H( ziN?d%Q!0c6ihFR@Vk{qXO4c`xyOwX!-Sh043k zZc7#ZBw%k4$*mlHKnbvHpKId#u_TYZ0)FwBy_uF9&Tk2U^`%e?QnUpDp`=qAJBy|t z8923&{jn6DbOV|7$}}9J*`wC{pAcT2{@UMupVC3GZHHTpQ6y5aW+YMg=xr1(6cEO` zNn9KF0GKS0HmFtrX~PP;X*E4S^q>Y8f+rHjSwH?!ilu(#6oyLYQ3BOPpWHc13F1lVt4fe<%Jgdjew_&C z*;%H-Uc!3VRS1H}7}UwM*VIrWU;Vy2u{~@Cu%>H zU|^C{>cK7$r#X>??hg9RDW?BrdY|zx(vD#z@jsF*w`O-1rGZXF@9Q53?bB?x7a{|6 zx>PqV_x$o=ESg>Pa3>o2kQl#3cYIVihY%7i`dhAC0;LP~67=!Xkt{lX?>r6h{6_4t zfVXs(NK%h3AFb5}ncbNE_16uBGAG1f(T2~m8naBHUkG2Gkq=L1-5x#3Zr{Tda%MOd47aqFIL|i8;Wx@`Xrsh__O#&&M@JV$1jvT zwGj4x0oPqMeF;{_ir!#*RjFqsNCMgKEFt@*ueHzJDd0gO^-+7j!(cPA2!i|1t*fQ; zUh2p%WQ&8y$$|6pUpe@um1FA;5+y;8NsN);h|fO5gFb7|q((ohT@1838ZGz$4)FUy z=xaL55|d2ArcG3j5=`~VkMsP~72Zf*s^^g80`x#YyBKW-0OWI2j-TAospk?P*O#cY zGQ`#0b-py$S&yL$D>YIkFBZ~F_q1N7{@qsg+lxe7=s_V6PE^yXoBnS&QJWQ}vUrB+ zT;?_MKu@_pkGP3kkl1uYG|P?xF(afj@MTL~==Z?zUnzy{IEK4km+~w-KMACJ9DU6N zAbmK1o?DuaKh4>jN_x#UN7u96!RNCV5f6qS2nmY=cQ4@QkC419T3$BStAdTz!gT`` zJ@*E=%5zvAw^>cwD?VV?`qfI#;(@k5+*CrQgHPq;1NG$9TS5i7_J4)UW(rnwo08iT z;9~8;KN&?uK1Bg_%|^t@Rh=&tYV{*P;GpZZ;0(fd7V8x7VPdE0WU##HeeECt);|dW z@f!op0(Y;KPKZR|}~1meD1g20Yb*pw4N({lIMR;m)4Igj?H< za;2HcWnt+^eZA#j`(|=bz^$B@5WriA%oc)Tne=P^H0RS?<@8IPxDU7Ie!0UAg- zx@EBu7U~#)^6%_a5pmmb{}$#7yGiir2}YOlFL@$yzXhcEm}{m&y?b{#+m%K>T8vVz z)CtYrZTDil3cLLq`hXPPQlx%S^Jf?3e~BO6^bzXOH7twWQ1*B^ZIuW>{1}p!2n3E3 zlIAN7*1ve-59J|4{k+rBu{>?sh&_vsfUAxa^2`bxPTK^sBq$C^UyD2x_^L5?h-(KPX zpeKMp)LnqsMf1#fXj-~m45lQZNvw{3i=Mhrr4fc~o#K(nX(1E-kyqyB;iY9qg$7zc znu+{qr|MXs%7W1;g;y&M79sgDV?o(U90hC;%ZU##Aiyhn2}t86Ah<)T$6pN2*(o^y zjZvjZh^E04V{Ul)>-3lv_i!V$j%RxDDOhf*p$SB6I48GPEjMlfIHmo-B_O<%xWv=f zJ(f0G1MlRNW`>ljKpbHSp%{bM)a-E2Z$I_2$^gaQp5F^7c0k|A8A9RN*1p)`<>}z9 z#EEyj=+FQPJK<>co2ae2@-)aL(+Im8a&g^C*#v08`7^c+QbT<}m6ri=H`Me^HRjy7 zb(ky|=Ft`0@)vQjEchMpl8LCJBZahIvjJbM>P~1SG}b66wcF{xEehKYDLN^Vw5P1I+@=2w<824^v+q z)%5rO52B!?N=;gkknW8JQ8DOlq&r4;52Te4kd~0{W`GPBQbPgh8WWIaARYc*^Zost z^ZS!?b~ax3b?@W(i08f6v5GX&GU&2s8!0SR?Oeplw(#o*F=c_A0w{hP+8+dS0aaWJEAzS{wdiy_r8>W|rOFrD)rj z;T$F?{tv~ue~ztqYym-e5ql1Pg4cJ}D*?>tZ|6=#2oRkdo~``mk9*7S(F%O5FJmg( zs;RzZOusUrz$lwzgwF>${fX#Hx&DgzkGNnNub==I3%OTwbp6aPYa)fA0najl9vs?% z#4_qFR~`0h*M6^5elU)%H`XO&0q;r5_hoL2e|Khg{7%GrZtcQ6Vj1{Nji0`IQw{k} z!DLssxUx7^4Ujn2!G-lPdp8sh6B6FpI`1;y^ak9%ABCjNd&#gznPyZ?BV_(UiWL9X zfiuP|NIPgR0E;T#UvzS<5OP;g>gsIAuDpu#27>$kBS5X&{N7n&9&JbfhaX=5;8^Fw zjn}r)s<1dyJA=h>;e(T6Q?dGiEe7Z!yn%PSr8=d8mVlY0m9Wc2>N#L4 z124x2?Rj%&>unQpzol4!%Imw;PYsK)tV7LWNF%r>m2`b7%JyGLUTHM3fagav0n~rx zfI2v6ozZgDV-3KhD19}zNH#k`6=r4ku>Ng(H-?GPnirjlvesc> z+$ydWKKJ7Pu@DfnuaNU(?%orRY##1)L_7da|MU2&w{z=UW#`R?Q>u~v?WM8^nZ{@t z;LGMOSs00`iPoKDrYi}V0PptXttkV1b8_Vm+pve|;u8Okss9D+7}vJ5@*Ti)L8k%r z|1X;8M6hA61jmjfM2vH61A9+Z5v_#52$=q{=2E z&G1uD>x%lvh%$X|LXB{B_KCIeVSxXpt&4M~rx^JZP@2gG{wvLoa)A6ap-y5ZIf6*g zOQ64U%~D-Ftq=*KOyBh3AapYDv|)*in%jHL;2|AiA( zq2ay4eIv^M(g~En!2E}*l!n-93Uo}kv8|VLXWyfm0e^%qy=v2!(w!2@=JkOW5b>nw zB<@AusnoHH70G9(z2YX@*0iRgi1ZXdBER4>6Dx$>8~%~td(`#$Y$)V)SX2%$cgofSa_cdInA#efMJ`y&%C~xNnKV)8dU$coLnd0GR1rc>rN8 zCjE7Q#m)IDVuQEK!98uYut;Fq>9@+b+LO8K)PQ%@;ZCN1rh&K=7f}dz;v$M&w9vnZ z!lCy{!n)$E3qwD;rVH27)Cn{OU7Q16+35GBJ=Yh5Q~76YC-lFcgT2k;Z5&zv2TKVF zAiIoNFf#4M*PW~0W$II)9@@kO6jS9eZ;N8JNC5@`Lusi{CO7!;`60Qp#~2{J;yy_3 ztH)c?W%N4fo{t)j9WnOUuOKiITEUr<9oW0&Pw(G-T zeaC;XcT@J8ITavBs&RPG^{s0mP2fEc!+3ZsqEl3PM1e4#(eQ^6|A79F`nIu|2pfg3 zJKJw@twyVBF?O3gynIb9n&ae+(xM_YPVLWihC91sp6H4aE^e>Z%!bbu#xzi5_I^W?fgGg;a#_SyZU(o;~>;QT3_+M=B5GT ze*qoSzvw&rDj}$NKHCR!bMc2toN;GXMe}s1FT@9IolFP+kbgYGyh5AoWjE%$1Bgff z-rE{SD4n-5{Nix2)h+a2j=wEIMZB!3c3p?d=)ccRxZnMaqF>%cqb)F7-U<9h_Lg&( z=f;E|j4PgFX4k7fVT1~0^lOT^O1AnZQ$|d$kuMXNMp6r)t__QK2QX!dMge8hU4etg z%+7e!t+HZFx!6d_2&}`)4}t6JE{FC>!lTm+Qiaz;5~h1yv7=qVv-`61cwGL$44*5u zQs2ZgBvcf#O1(#`T6kfVlfO@9L?|b}2R`5&%;3Y;xnIDkh*`FK)D;YDDB%AT>GfBb zmt_1PL#2uP)=%v8r{Pb;?e=Ca?yI(r31P!FTLfpp0j~3kgN>|55hG-IZO8pcn0BSD zeDR_9B==keWW|?csv+UoockH@PZ)j*V(?)%!4e52AiF6)oifcDpH1}Ec~8V~VBsmp zi;33+Qd_+JbMa!S|(&y zNOKvtj4ZI3@JoSgV|FXP)=KF~GM0N6Po<+O?#fXmr6U#v*YVckm(M7XnK|oT3cZ{ajU3k^;-1`$7axcq?*O~nGj!4wWDNb@Drh}B0wor3 z4pICR=%_)V)38DlR0C#Uz-Rfh7y!FIxq_M+xu~@X+?aRN?d&P&i{nj#4R*4|CVz1k zAICZfRXu)(35pW@5>RLRdTK(j0)HW$$=o9tN7(EuSuf3 zGifAhbL`hQ?Q{6Yv69nQSWKDsr&-V2-@x~4_FSnHCDw;EaHeZI%U~^16PcaQmK{mh z$NU|1vTOTh2wRU`IYqPeN~__XOf9D_&UTEs%%Gcb^l~uf+}H3$-+pLen5@izHhkft z4S{))Qr$Q226Uw+GB7Tq9XpUqT9q73)ns=6v?G#3m1 z={9ul|LP_jRDmit0p76TU%XLOj-1odl<>+{@tI0plI(vkIKv|T38>_KWb+y$ z@&h7l_qr5)GvOC4qrWzs$A!=CN^af?j2|LV1=4b{PSt@w&Mv6+ErYM;|A8*PpCekQ zHd~wN{wyn#vqaS17pi}B+?yo2XqKY&7v_$aLOdD^?s8oh;tR6!>>4rVEwXqdA~saR zJ-cU=Um6%3@HWs*ipu0;4dGlRUFNSo36I6&t>o_xdfg2Ci5)rNm;L zvG1+s`@QO4#HHidUibsykzSK{ix4-05`mKK5_9>uOl0?DmWP)EHhg`xBFicwBiz&b zCh(+L4p#1y?ijLa1{FMBUmBT*Fhc_yI%<=HL9#q^;wXJHojNRyp@tzReCOfu8iukl zF*dFJ@UV6Nk)MUYv_@0q6KRbNCWAJ^RyPr`86tx^$G~1vrY%ZUqq}MCHM*^#gGRIW znT+ea+00Eu$eYlRgrh;jDbmWl3(TiUK^fwUnr!d&apGWm@r=nfhztB_3q?v($|i_> zhOym_x_c$o`3T0HmZ6*aylNh=tPBdF4(h9Hg+@%Q?l=27G2Fb{%X*XcFK3o(M20mi z95+ha2z8yT+8Azrzg1UDUdD&J$Iyrur&of-bY{gf-9xx}HQ$Y|6DEEiGh)XOAI{OR zeR$r>#Hu$Ybg&P9RPmjLv?(UH=yi&dS`#825?@ez@vNOj(Z?)@5);F6pmf?WE}+(x zoLsrR5bdKuM>OXOYB_m$xYo^4?5eu;QqXJ|9~khbz&+~=QUYa0D>5{rXg#w-?kDm+ zGNa!KflSO?Fe_U#q-Jm7hwQ|JM|OoFI(q4iI9+CL@{Yb()Nrv~V;X(r%kEtv`it%+ zQT=RcuDFaJ5V|OgPhVx(f#;L?)?uFVEPNb%=_FLyT+s5ENIlDae!=ZyxRu&Gdw&tK z#B6~OPfW2RQp8^+RO?n8+(@!-b^WTD(Wqa`}ZpVXX&p}u#hxFflkpv)35N_zeYCJ9WFUH_H1q|)}pwEvG%&dv!rx0 zrBXcwHFv#|Znt$05p5E<&5?s%k?=8wL zF$2feU}p#P<$w=NFE>8Ej4?cxOT5bvjn$*H@riD#if*2gq*Mn*b8ZJB}qV zc;W{B8;>sM_)#@<&SZxD4(2KSY{aKeRj4t~rj}_UI+viA?X8jIr&mz4-(}1GuC6nl zHsK64ZO8JnltBOrw2p1Dn(s%6s(sh5uDTseAtIryo^cA2NE!o;{ErmF;1}brkl){r zhy#I@`f*ZFnV43RGJHobUOP>I z^6v!&8;wgKm95-^g-T&lk}kfG1K|ny)BOkP#QI7ywn-UVvO)a z)uGO!cqHyu=EWIVl5!70I;-DUhlk5SWMTk1+E-=49Nu_3!!2w}?L<@v`3@uRORS#s zr@KaAozRxREaa+qJ58-r4w8!xcXAC6GNI^vG1PbV0%Q?Vb8-{+xXRNXh)=$LEd?nn zuXkVb8e-1$Y5E_SS*Fy;g2}YjS1h?R3nH?4tCGm^E%165q|R2Tkd1rru3TKP`o#W4 z=jfgfx>>E5<*0kz=0Se{Lf9xp((1Iw0*$ zIICUhFM5}tcY&Ak{;yiKHFl}zFX;_04PmJ%E261Rt3IU+Dn&(_VKw2ZDrl4y`%-P@ z4LM_G_@6gtv`tp%iZh;B({B3neJD2jNnGiw-mrk#{M8^?&8^LTB<-8&vmX_XnWpf? zhsQHry;S1tM8GJd3po1#OC6f5SqUkRHoPp2!y$pkrbU2x%(?>2iHneZDQ*ww}@>t#zW2MLiRJcU4qXFys9wAx?)bEY_7^b4H^xa z^;T^e#1z3YG2m9ix%}vcGzz8Iu%1!$OXKCQR9uqvd?Zl{7=XkQ^Iex5V5ydpcG^}9 z50L5l;C_dt7JR0RNA`eTY+Ht7Rfv8`@RVT(ARzSAT+lOy#@wW>rcUnMF0-m|9;i%Z&b}s ztdEJSN;h-1*3dS(0O#gVQSaRp7Axib2_2VMJRbZ3$x?qVk%lW3yLKJu6E0?21DWso z4GNCNqT1GdzW{m{o=ehog_S>NO4o_#0lZ%}a-UqIW(>QPd zRZN1 z?e>c!Lw*X*&Bs~%W*`A-6YPW{C@fif+j0H-*ax;=<1PcAeV~E8b@-+O{5+Lju1GYl ziAM+bh z7SeuOcqBpXj3~1=G~;;jQ>x*9lScv){mgYk;mbaJ#qbdM@grs`%=QK_<2`p>2u$`a zBk~!JOV-sWi(i@oRdtaXNADDptcBctYqA1f2n(eAb; zJHXZxjTZeE^d*??2ijtasl*n3BDmiXAe7q};V?-D1F}dd(Yrl?mmN$Szj$be>gub zkzC_;U)ve)r;cV_v(_Y8!54E8vY_vsHs~$QmO{_s5ONK>3c4diLD@enm59fW|4;~VCG@Irt2jOCzmP{7w zo4^|1ASu{u0qI2RTR48~zD0MIo-ZF19QVYAG~p&<@jmIq&hn6!n8FNke~MaM@tRE? zbZF8iDj~TbUSLhdEZCc_1d~iz<(NQ6jcmsiFUszhctv3EP6e1C0c3CQV*M6?R90T( z4Vwd}mID%Vf_cm>W5b4aB!T-0g?)X4(QhZz_6Rpy?cJvb>B<|R4LHF3QY#MpN_@iS z=*B4$4rTQ29b>&~dq;$Q*}&i(xfV(V=_#%4RDv53O98Gdcb)CBeZ5`ffa*aVK<(*)&mtaQcYI&{d35qGei{|4c}Eo!)5)FgAg z`{8pC=5tLKADPy-?rtqHn+0l+AmiAvK z;-Ayxp9?i5liZE=0s~hPS@c>My5c#?K8D(u$3-K5OnblR-$@44iHxA|%@6vBmIYuT zdXgo4St;*A>qv_~+jKjfgR7Vv-CkJ}hTC)k0K{m=9`{d-9S@l4e166#xd$Q43=0Tm zTlWb#VxmI>CD;()-tpcYK>cb#ebDxKjAQ{=+=!;l5RJ`U6Y+Y;-Y7Spzq|`6VOfEB z2(>ED0RH!0;0 zoenA8au8Jd42YlqDofm z;AnEQec#d{w9BCc1dk=6AA3*IcPq;=;zKY3gomTt-qvjpg(HbQh)UB9bW+0I>YB+@ zgd@&|5wz#Z{QFS|UNq!_~76qr@9%OA4lo$ z8U6Ww=1%jRf}9^Q?GSNN6j}xX0ZnofmG!rxPl_uQ_j}H1n-IyGAp*sC>sQcqEgY{u z`$feqbsuw6?1ko`yBpzMUFzsXk&a_OjNX%gbF7FMk*Jt)HXC&-5p8;`d=V*UF`KWqz&33m?%o_G7k%SK}e z4d)!{Rsp`2Oy_H*ziwuy_ny%ZTL`HE+C*7atdxiY-|UhuO10FU4n^by=jnV7s9Qx9 zVpa}Vhd#*hp}ixNN2jqDHZy@^v$hzkgNHyoTTEJ2?94{#aTJQA@-7j=P~849Zy43!kr*2fA+={OfRoniakjIOe7$kfKEl$ z!aQ~C#S5?xn&cZ5#z%NPz8YGrsg}~t^YF<1OrYcd z5`|R|CMW_t4{>f*6q{N~V?E=uS6{pyuY+zSV7n6qAb59JFA_fFpP6#mxzf?RNR@OM z2*Hg=uX05m3HU4Jl!CGU$XuQ8kfKj9@ks-U#{p-( zV37H`9tCbN?w+!TmL7P&+gcB_xq?#~P!U{cYWutd?k}@8X<#w`$$-@k3TUFN)?&BA zqHl1}@+>W>c5op%bomplYQ@lJ&sLl>3MpVIH_`p=vBc~R+_!MB_W8lq{;8!y;OM_*Fv^G-Fu01%Mynb%O3$ANUbZdun50z zdU`LV0J<;o0nyKqbKd6KOcW$TYw~Q5l+duhNi;*TY=MBX$Q@_FNHjSz=4N_ULTcxy zAG+^|;i+YiByV+ivmsB4+-u1Cp%uD|ZS0GgI3glK`Qj(eYRU!-uNSKldvfe^A+ba7 zzWDE#&e$*3lP0pz6Z*`*$#&cqN4*?DX=wL?2XkxT**@9o`cuDVVz0toF8I7c{C09x zeiU^ch{+0aocrCB{Sa)%?Firb&x1+Bq0_HO^`kZ2d3+Jllz$@;pNtpg6QT9zBjTQ7 z`W;=!9boni@_`&(Y${e_HpU_0tMXC z?{(|c%;{hnz9^UVS!w*5j%yz6B*$=jFC7b=U@h!6>%V@IXD14Ob7s(sxaWDuQ53#u3(~Q&Ny)E=%}CBT z=sjeZ;&x6=1$PLHmlZdZ!_RPKQ&**WbGYS-#E<1qZ4#l;X??^;?|xTX#LiK8K~bHr zjD}d6Rx|AIGgJhyT`sB}6M(xiMTUUWS}ZeM{{oogKQzX#0BY*l<7-+P)<}e9%TB7Jh>8#q{?wc|K!^SyiejM@Ik9}`QCyt$n>Y&!73g@+Q-=Lvu7aP zR@V~X9C}!IL75}Up2tU%3$R<5eh*%)gln`Ec|K09k!2xkvJllVMwBSo#F9|FHcY%L z1#DR}+(0ZWa_o7nU!4KssJ5?5`{#Pr3$fPDf|R2*Vt z)V7AL1p3}28gvJ+I3VuY#w}}xSFaNFEC!U(zXOB2(I!2^G)8= zM(oE0jYM1cj`129O!YN-&?>+{gNCVAfx(f(-ce$RdxTHjW!zn}O0~$&jQDEN}K<5(f zWOtCmwQm_3M569VM1-C*rib3DrXqZyj`Lj5{jz65l{QA(!#rle(R3wKs8C%mCYP2< zRjSURZ;r3`X)5DSx`38mDAV2J6|fpF@RtOM5iM&aHv@q^^J|x?d`w`lk>r#ho>tnO zcy0ggNi9uA9r7eV9t67Lg&;FY7W0pmU$Vw6ZB7Hm0wWQj-b@Cy9t7_;<{`jZhN0ad zceP1A#vX?3FAE8&fG_|`InJEd!k{&B6!X{gJ-&e6_i&n`&}wJ30L-=LMXK86>!%FEp0)J)MI9@7_;o^5PT z6v3{&hpOP;vrkS^Y2h{AHwmaUeDh<4C!H`a|HDDeGz7Q=@a)r{z>IC>0aIgKTQgOs z6R`ZD`|dx1(n=1OyG4@L(+qf6S%hUP@9rmRWh3pgh_AlFn4e2msfG5B@yu?;p0wBb z>H1@0wK*9KVSsQ~43Utu{{41|xw#=hT&-n#&p9uFgF^|;-4{AA`aiQ(?9`PC*@J~- zKoDC147#NHy4^_4R`*6p#&zrem}E^~>ULlmzH)zvKf~+4 zC#J1c-!{vY~_{hhLSPJjF3?W5?q z3u|r63)jdPd!_`)zq@$ z|6__Zf>Pp~+ogzOF%c~MLFMr$SDd-BOsVjD1Td({mzC}m@BNs~Ga_s*$DBD>{i01^d_HdbKGXlKggeq2 z+RQ#GbWxgi8!4Z68=rCjGQnNknH}VEp4ssnX@-_mv$}LqojeX6;1f&8k zb8i&u)SCqk<^YnioxuFSp+)S7{@P`)0_`&~Vhb(6qxmT+ejEeb?QM9p;#!7t9A$tP zQRx>kHFnZ3TFFWc69nTpBNIasO#K4L^SfU>l1e@dHT^|8a!9ize)A6N&j>*Xjx}yE zyzA3fWmqaj{g{@@c08oCS%h5Q#>~+ez(W0;(gUA+ypn(WSTq3{#Gw9c6{FSSmf@?7 zu$aKPES-SM0usfIhnrdm;Ic4%Ib43b4DXVKaN-_S8nPZEtW$|4FD(41rtyYzdk#a~ zv3r48Y)wGRO`~4@U99>k@={iVGaVmO^0Bv*z-BB z%5tcPm~3{3p;*-RAo>MJU03Wj02Id5$#p(YVUmXFyxF%?Qoe%#JK4zEjT(icbsEGr zX6U|`pHXy8`U4z(Cy=L-R0HdMTfjzr6VOyw4fr;o3geYA9|ljq3oX{A7SukGoo=x@ zyg$BT#9$dA=u2$4y0KK|4*i^3sh*DT$)Z!lxIRk9%R5|)?k{`$X6V!}vcEKRGddEVtM^=q|r#~>Co zE)L$y5=tHMw;>-2ViSyfg{zDBjHiwP5jo24Lz5YXyb6b1T515BiTmua7)DQ|Y?ug~ z*L)@#MI3QjOB{u>T+p{Dt6R+P=`Nn*ESC|AA}}*9qLOME7LoM90;=h? zqz}of$BO2h{4z;pcKhK$3;dnZFu-sjB*vijxc}S?=Y1Bh{Y8LS7gX?1vHYm+d%%{H>);Z?{2UD0%=-ymrm_wRP%!PjVG*z-!qb!!0*4f&S}Dh%KYx`@Z1P z=;UZO)b}NT-kRr}ph!%nhZf`ly>`e9#|M(AkbA-BUrUPD)-9J(E4lACL)Gpf-*X1| zoyIp-A&blF@v?dOq=cM+hKh$qr)oj&tFbuNW37AjkI8NiVzm&K(qbn22%q;j|LLTx z8g7-gKhfI5r59()1iu6-u_&z^*E}Lr1890_xp;`h!Z>W(MTl}e&EnDrH%)ewQ6C16 zmW}!6qeYR%<&4|xLu=u=Q^b(;=2(p_9xBbw#t|0I@f z@LwgP7K4lpqBtGbc?0U++{TP0{^POZJH2c!EwVDc>m>eZ0%~j=dp=O@XdiuCfG%S@ zQ+b$yBeJ_bX8isHp7Y{e#04^Q(Rm2566jQluY-MnP19iO^YjNgJ?&$1R$2))b>dr- zK6A}l>+vVjy;sMHuSxd20pqGW@E?`dK}T?zrSvum56|{Is9DV4xE;TPJBcE{)}(zN zfAeTrfGg{+a6Ys~G@fWL;9GzZhFYK2^aJ807ut--yarZMOPo5Q9s;Dmh+*5Idx%i8 zn?Op%Q5}G@SkMuIH*k=Vn`OeLrd9Dji=}0H>vUNW#9$Ng!N=3m_B!n~9dMLT2FSe# zD&oa#J9zXfx$c<4typ+HLX~!A1~f74ks;}qyN_^+EmdM3#MY;6^C2HL$8qqmlIM*Oy)p}=%dbLD^pP`tCGfl4(X^}W-|0WO{h!@juN$`&^OsFEhWtLf9H+VlqR zQ;FOFYh}>dHW28fAaz|_Qcbwke_I-e?UfSn5hYgWM%%8-smyI+K+g6g??OaB9G?$} z%S|VqK1%~=q^MptB3dbC&v*4ki6N%T_v(mBViH<)!sN6i4Bz{_1L}lq1Q}Jj#c5TXp|H%?@zZw8%c5GH&%A$ti zwp>vbb{pyYCX(cU9SXRvV~n}90F~7Caz&=TOaLUNFPaBn>|smgod>GNo-m^E6J(h7 z-va~C11iJIi+h(BgV!&NC9ey)H*<6uh*);k3nMDJth2l#%-8N9<>dfT+ME}ip_`>; z4)G~^yB>AV@L2RI^X1}n3mv7hz~V*NB<1$rPVjOPEgbYJONuPKsQsPOxdfJ!I?jFQ z=LgxaCWpihxgD*M=uN7)YUQ4{rQ|B}2X{{n?-z-Ya)k*Fu2m~t__w=2-=<1ioQc~T z#bdjEjQ;(5<^9q0YGMogFc>z)IKTE+{DjQriioK>bna`~;M7$={S^74nm^u@&!O=v z`2#osvM%@*(O@uj?OMXU93?A5*V`GYg@Av*Zun#jamP1)aWWAl*dy z42|=ruEw858eX;}^KTaq^_DdMWxdAw{Q)U;NowfrdH0MZUIcDfs-+sLK)Taq$WO1E?Khm=F&)P7fh@KGO)~E{`-zAGdxs^=2e?a;S&vArG+Jvs zsCf}SLj8kzVY@`8QkVt6Av8&KG!`x_!5ytwk`&ARUVm}*DFv2&YJT+D^+0W&pq#zIa& z-4V@UIm~}i&REQ3^|;8*#!dow7vEO~v7>^GkE=I6xgLG5t9 zaCzpd_`g4V-O;y#yL?)lO_|V5U^Ql>p#^@Sgygti@2op>SG!e=YywwxS(>ICk~a09VFQKc_Yk0=4GUhFHx1qBpwa% z2{~s%Y^YNgw_gHp%MwL1ot5hF~ilOoF@5It4qzBIWunH!mF9ILE6Fb{d*NHe|Nd%0(chYkVJ1y4_^rw z=05DdX)x@(K5Mr9X~<`_Ot4>Eu!7@SabtvF^lPSr!AY+{cyK8}$v8!pdO6DQ>Nc#} z{#Q&Ji1 zjUnF8T_d|~L53&n?{;$sDIuRt8&ern;h5uR@`9Hz@1rtv?G zdy2?164xV@WFP^zqvj=^S}`+Q9(I3peT#%I)JViT5Gg8OF^3`!R~Y%`Teo#vx{uqO zj2}~56uM91^;G>XcZW3{U139y9z;rpQVUPCdV$J+^_96V9+MpA@N*Z!+qOr{(L+d^B5}F>vgd{2xfTsXopae zdRy<~wR#!q9)S-P!8i=Fvwkd52}OMP(O>l_{_ zamJENg+BsH2?QlR5*~lc5YJCK^qa6es~)O9*l5O$lHK^|#>)j}z~Q~@%E|Q7q}nYH z1W~5XPkGaEqo6Z4Rt-H8D@F?Rg9$U*-UJPgNvdkw5Dt3I*P3ZB=RVa5=wIF~aT&(OtAFWyrYh4?Lx18V1(wJgBx)H5Cu!yPkP^2^ z#@ys$pwY{}u}CPT-w7t6ut{$u@00qv>QyyWD|E)e*U0LEf5CM7Poa?TA0@~0XS#9R z&obp-Wa3)|*X>*CPK)ctm#PE9VG9h$y=El;bBhJ!!@}992(h+X=4D$NsL@~hBuO3e zN9^j!w{{zW`;q!BtYGJi%YNZ$EE?Qe)npWId_FsD`d?oyT71#?O;a_4YGWa3!ME|A zaVE&!=GyugbEGiN*c05{lV7gO*D~G-Zg!F~lq1;up8VYIV9aV*T<>%zjp4%TX}eJ1 zKvuwk!uNE#d*Uorq^PSoUM3HcUZM45cL87V05|V+41Ixhp!mLC@h3ivf^5&Xmij%O zJ$z@V>#Y;m1`8~>juU(1vN(y4akq7Eq%Phj6SS-&**fCRr{u>X z@2r9D&%GpES@dP4uD60*ir0D}v^tzS_SFB_ELn!MI^1zo(xkx;+(m zU`b7(CzizQSvz*NBBdO}aQlV+`9Ba~8SEZ;2PI6Fr`g1t3UC!qNZkl`oZnx6l^}dq zilr@V==7}~s0jeZLAEHe|Q2oy?DR0r95x?=)BE*`|?2n7pS7wsMSqb)3px z+Sy4z-Y3?R@@Iv|p=`*fZ9$BM|x3{*p-vs2)`s;`GTquU3WMAJP`61(xRU z7>&d~_mwL54Jl9JwY7SqgzcrWG7-8}Z**G6E6QL0q(Z8jvWHDl=Au|dN}p=It)gw! ze3gmc*GX5f)%~BC>gc4k^IOHJNs4 zcN7uz?ZkQp>ConCEvo7otim+R_XfJfaC^qkz1z1d!^8ZM*D%<#?ktQTgA(#J^sO41=9TN$o zq>Y1HmRwH{!li81CY)pN#2T2Wg@n{nUvGi=#%hHae0s*pYSyDC$%)^DwmWfE8kJ{z z;yW6O1Dk(2+9hVjv`S=enq1sjgYQ#2X6h1eA$Z>jMrfi__yX{$?4PYqW@V-_g?>dh zFXvnCuzx`I!}t-=PV@;y$Juw7YIxXXi3816jxwJ|%O~%iv*);mD|Zm-x&VcuXg)+p zTHmLRTS}Za9@|SW<;-CTi4JJu1C93keC9+Fd4v#77ZLzVddkyPj7}4i#t@_{mBO?7 z*xl_5?Xu+zQn)GsW$Ij6AY%GnX(k;`jm z?J!#!Z({iKXj%~|p11`lg8H!nK=Y3Tg@>uK`o{Jf6uW;`UHTB;f1X z8<{AM!|z)N0eD{udwWIr_&8_}@wIUdKSQN0r*Z>0_!ImDIrD^0ttW@)b+Yl)a-m4^ z7c;lhg?+WUmgM1q!M$e|T)$Cm0B5C1-Sp;pQ+!jD=!twX22be70{dqF7a@4TBM+S? z=L^m&#PPsy_KudpsM2T7AF_`$B+tschlalKABr ztt<1TiU`176$bO(3IMeLz;XyjvPBR(jHq3}_E>!lt+Pa2{gQBA+FKlgrg{!F4))FZND`DS{3{dj zM=P^VN}<0hNb24b@njAp{?gmvOX1}W$K2-1(Uq^)syez{?xxpaN`U!9$dH{*r=P=r ztuEaeh`-OybU8VW?N$>Qh6sI=U|fDdIJK&`5uip$$TvXL93eRTF6M|W*ezVKhvdb<4w)C2=ovBsues68E}w= zA(VxfEeZ`fEY}Y?Vb?%n{*5Kp`)kst%aZ8Ssw&4)11asa<>ghsZaDwtfdlQl8GC!S z>TvJr9k#iUh#ZeQy%Q6>namTDY*9;LQBS=cBxO#=>48e6&6G3fuoSL22h@r1dOq>_ z&sF^Y(Zw7{i=(EfBjeK{oC?X@GO7VMYu+V^5sGw|%px5cXd};xP5c=~>#4b`9w)p; zT9*RA*{VGw_qgoq2}|{rThyuvId3YlB;WO#u-o?u<{jt4TAg0aw!EX)tOv+ZSBf%? z-$~=3(>ti2Q9gpG<-_3fd0L6Vk2T#xMBVdg73dNd^UyB?Cu`k3cVFJ(@(I}@GYKOG z7ZjTY<#Vn8m#HS_sJL}Ao&c8=V;(#w)bCvVHLpsO1E-?XtfLzz5%AsdmS0OA?$_%% zO%12NhvAta^r;K|Eb`McJ1YYD=cW>Q7c~Xj8?k6OZ~jWR^gHOT(CM9j)EV7$ax=wB~;GG zKiV1iEHdNygrh`IGvYt|G)iB1;sH<1WdgTXVd`#`kK6Tb=IEF_3G{{U1#f zXhn>#`n#`U_4M-q1<}3C)^gv`jsXrY^_k@2u2sTGAai!yoUymAn*#bfO=f7XCIZoY&3*Ah#sc?f8@Cgc^H)5UoM6VH?w> zkw`IL5&3PdaQDAntl{>)Jnk|O_vExSf-3x}LjKTE)GdNmFK3-NV6$YA%vWmfsAT1mvaelF6Z@ahx@)%_c7lh*a&9e#Xh`9}P+&E#f|0{}@d;(7h_bV5w{ko}IR+~B zj&Pz0$M&WsnnWBgpDCGKhz@TM_GMejeEi*^#|^;c-PfX9?ZNGRuUDl$iVuAZ>qZNB zCWvl#K;Kw3~hdH|I!y+Z&2L8N!2i%1s;JxB>fKswTU@6tQcK|wlF zrS~p1^!lE}>$>mf`Q9O&)#d#HP>2m$8#*l+gHoqLM88Mc(T#6ceKyubLJUC`vPVj=Px|lE`PbHQD-W= z?Q>^7`Ikup8ur)+?v8FPk@J@c%V;!FEOS-%`p_>Pwg6KZK=0OUwjyyweAnhQa@LH) zAyxQOdXzyx6iz7h-Kn-YV@z7 z6+SPeF7RQ->;qYivg+NghddB=6))K@!(v2?*AJLUZ3-&j20-Qvm$A)zlX?++12}Q@ z8L%&jCClC>_yB{#{|&<35SwBZuIT*wf~*DFuW3o{5Pv{-z^P6|hr>%7MVtN1%E?Ff zA#+##aJ@?I-GE-7bv4Wv8Bs;hE)QE9-!9s0%g5XICiDt8)_YbQmV@y{1x|#29g6?b z5rqw}OH~WKAxYj>U`l(=Gr=3nDvaag(<>OcQ$EV#&XIMlg996hn)#x)TZKo1N^106x9@8PScLCSdAAG#03}NpY z&4`ttl_|cXd?TU4*95*FTas^8?QO#Ox{d=g z3j_tvT_l#jSwDy1sytKfK%a?+_J<*})%xLl{ywA5V-bRUue7^^5u1vud_PE^6iM)c zpiwb6&P9%~tQvkFJ67)FDJe2Sahh)ExB2kPwbGN3(u$NYN?Nfbidx3S*2!%+tJ}XL zP<~j(4pCG(H=9`L+TwP2U17~=7v;hAUQias*BO^eiRlFPClkQXu0+=Z?yD)NDU=qS z=bibP@wMRvJ*ch?5N|@A$M0*G;W3UN)5G?*ZVPc0IDNnQ*Xw4Lu;ALjew?C5+c^hVn z%jFaYQK>VfaNv31pZMYq;F|5cLYnj=8ZK4*XDVKygvDx`qRcbqY9C$OSvqm5KHae? z`%tc1yHYf>MaOQ-V)}8l;h6DifntJCS=1(((HKrqMzo!wWeZB^ra~JgKK^HB-=f(&Y%b8g zPT6(e-fB*R=iXMe=t2BU?lrmV3mY@O0^2^%=P;r#0RJ5c{3a-M{m!0J;s;@-l`CTl zR)9SP7g2kV4(&(sA3PJ;$tB&KVh+>18*)P{(zY$MGq$AbN>7w~(Dh27)hB*op=#Bx zg=|f2R<#(f=QmdQne~k4la5yoismt*hXL*v)QIcHiQFU=m1NdxHhASNx8vq8+gaJa zP2#fD-3wg=562S%cOH#vjDFm=boV$3V8hL}38h`h5bk)~&YK-@vU;g;0^-N(xaXcU zqm*lBo%z~^`0}C)oQKFHwf@(gF-WTdSg*{(=%Wl%;&a(6v^N>Gs?=9JJZwC38Lx4n zNxmHuun!?BkLoBXBj&T0Ii+kHOJ=M|&6LUB1M9`zV7V1(;6rk z6fPT^^`Ti$D0@}KR!sqULdQLW>cZl_O$CtsnzVk=%pbx~k49ojbg_@fa~lB6J$t>g zk{#mRs)9Obl2NkMq?cOV2jJ-?KdSl#=b1&$?sizUJNLwgz8TfB<*QJrpPM9*iUP%4Vmt#iEuv0!Y@ zN5z@W{wkU8r)Eqm;_TOFK) zzl_V^+qdUsLU*o0%%X2HdC2o7n$%=dZh7YX(=-5v`A+U+RI;=d1<->$bx$WTJbp#M z*C%%Kkxf^C;>7~_?p2Y}HTl1Y7e z)u*=a)O5>u<&!!0Paomk~J zf(5CpIz|0eR<&wyKT44UnW__hGg_pST}dx_^4B$t+V9-dJ!_T<{!?tN-=ddvB{9 zw@SuvGjWp0*W@0FR*|gRpOV_%&*Q4SNl%Yz?T!Hls@92d4y5J7nAxA2$n8i_%s zCvEek9+*VL09AdOUJ;;n=OBH|2fcqdst|l7U9=onN=i-e4#Tm?*TyS;D-&O_YlZiA zfsd<;-*dAuG-G3cD$tJYkMd9SnV0K2J2+IB3|96qy+!B-OBJJ@?R=770Bt%s(Kgz| zR2>*qark{&o>IuriRuLYBOwhAi8)}0u_-TAWJMqRLD!Ve1}kcrfIO8zzpeUE?$?{z zgAbT^GUhqS;RPjfHJ^w)$>{^;BW8(vcmtF5Hd4&rxc(-I4Nmj#mXi?oFzNmAHZ8h39ex__(`Q_JDFy#EuE> zJ)eokXX2;rbmhW67HU;sj(cwy4^~C0FYK+hA3-slgQCWhowQrzM1Rni0J^-*s`>3l9K*bvL+%nH8`cn7sBG-} zTjwu+K{n4FN_3qu0+f6(BZw33-CP2`R>ge|IsYQy+93zK zpsSlb7HnfPC>v3y$Uld@qw5TR{)3Ps+*`_`0!Nr>lRip!-3*~~AhX||qDvx`;;R$X z{i{#G+!4*ULXZKli7KAJReKG7C%<&gMWjgm2#YOOfb!rO*8+R(xJn_rjOZ}~yVk{H zOU`D_!ZF}ku-N?5jyWETP#r$62PK7eXxp#Igs=5v6%6I!1@X_1a+8XtVZCXxkgzoOeC`GwLQk0OQ&? zyjwe2V7@N94k(EB6o2d}+@EAZ^(0!FJQ=y7d+RFMT5SP+I-|ZsMUb~YPohN?`oSfG z_2QgD&q2+hL%jROwq2ZoHhG_OQiFG(OPY!r*dY=(b`|#_jerrgCAJ0-6oF;+DP)sIC;an2g$x)>33H0i{fnCx$mdraqr_+ECD60 zFNjMsm+%nhhe)m{w?COmdGm@Ac)#L&?)fxKIz@c^28~~^t`VtZ?;RPEzdEtzs?lFA!J4b6prEUl)Jc=%W z{XeKtc+7y4+|WOJoSn-)U;nsOGKZTb@VELtAj&CV`u0IlIXs?H(M-01%_A@wxQAXc z9Ma4JFWybY z=*c&#*U7Pb@ff03c$(EgxbDVXEzn8kiJ^UkKJ$M40t{#eJ;okiXL{k<%&>A zMn2ph(xqN677I$01;axN`hk$kq=)_Na59+(=ajK?v-HIcX5GWZ-VPEWKE>sg{qjY- z2!Cw;Ii!yIISYFg(#oq@@*CJ=dQYvKu@BrbEt>+^=G>9DZK-od+F=zV`U+Xwy`8kL zhLy1Ox5+uTeP?%PnqDK8%t)JwAvGH@_j>&px!J`wooGK4pBmAP5>o{kzC?jODk0-j z9Qf*!{No?>B?k*da`@B*BEV*tcO|XZT?jOBDz#Qq(xOz7s zeU6s{@(kXCHGb{7Y3V_BeqhelQ07-f)|&jenjC`eMKCnSwv*2Amq=cVB!|~~`&+t; zbFCU4s!rFLA-CI#o3oW3!*b=dnE6>c&(SsVcYrmuKL--k3KPryLm7@uy082XlJyoM zFXtdI(kF#44)CFEZE`A$?eFlTLtjI4Y`9EYc*wVZ*h&Jms#zJ?`{VJbC?G@}KC+N6 zu#jgpKAhU~fiNV5bAAMGwRr&hAF+2*ZPFPPob(y;tST5ew}#^K-OSVl>NYtB^f99M z(Bg#aBrYYod|RV<-M=1DP`T3Q=X4w&H`Tv=`&FWvFa6VBdDG#9Y-Dh*U@v%*U4L*~ zqHU^fn`3}&&}(WRwObnC_dCavoJ537PuGVZZL17lCJ|Kh&6O?p_FLV z{{JclERFKHk}(*@+TL7%Oyd(bC0n*?zM9JcYxA=G$elp^@k!101Ac1$fnAQb+Q;1) znI96g>!1`YZj9^fo)4006g{tyNh*3DP4LH7uBgUFf%=EMx$gg#kmqL+E55o>d^Des zyM6aT%!B29=L?Mr1|LCn_i!tmvye%u%Cu0-w%@nrN=FLiB?cTNMa)lMQa!pCMN=Q? ze^)psGY1@B(+a(39ktOG&0XeXALP>W(pll+kwE={aM^=e>P`ZscBK~N=Xa-L)7q>& zJKozU*SsEY;qkHtRi;O0kSqPCaZ zp{snm(=dz#@z?{jT32W}@aq5u1~Yp~@gE3g^Vp!u5D?YXg6VgG4tbPh!X*$E3b-V- z{7HYhW>#HbN}78nHTEMvEbfhGs)Cos728|Usoqx3>o%PJWQI=|_&ra^fCBj8Sh@{n zT})>VP`+Rf$Ba zZOi*pvc6=XRM=RlP3NqpIrb{!`gusTumxh90CxIT?kO0|rH(!Zw4;0-LpF#%i@ySu z(&ry%UU#V%3NgA$t?4P$PU9%^Xkag6e6rw-d>47v9R*+AN=iM1*P92Ov{Od7v}Y77 z@qzt~h=PWdz#gZj;Wj?pDhy)L)_&fSjq+h_CUNvQ?w(L%U^8j2==j-9c_Mr4W(btf z7*~Z}c^iEu8!8wcvq^1Yk4y}M7+#eY>MY`4&Gm_DgtTD)5N+kvV*3No*i5`m_sZ|R zm%WY}6B4#R?CnP0QSMIj(|J}f4mfF>$St(@8y`k$b6n!g4%G09JnVhF6J!KzTgINF zO;BEa4vHBdF|mCMV}z0eKS;Sp1+Db3&8^r46!Xrww)3>-kV#^2a#`nkH$v&+7yeJr z$aB&xk~f=5E8k%O-)vqmOm8xOE-0~rJ|S0&i>LHt0n3g%J#y8R_|X#@WVf4{I^Fxp zzT{F5*s#Zo>W~C9BKPIL`pFYpKtJK6SKdeJCkjBxfz(fEdMTnEhEL-k25n!wR147x zDZ!?C?}byMHvsH(e+XonVFDhD2;(^_WA+2oO#m9u7(hfNCTS+eKFwWw@hk1QC)%jm zKXvlerQyW=!Nk4qvRPJ>PNx0gdtI7KtjF3*^U*bdwS?Y-r$3e4%_mQYeJ+H`R5*k7 zEA|+d51O8V)$@$U+M^0qUl{Y=?Re20f; zS`9dV)qZPzGS{e;XO>BO0clZ?fzrwsO6mWA|*5t z?~>w%_ahd45b*Fcd8Uy^vjrFfwrRbGE!R#hGPlcn%-lkWvsR`PZ0J5?=Rqzs;mtKchn|oE%`T*YTvZI9QTe-#jntxPE&Dx*SZV8&u(AVc0s` znKqqDSmCjD8z^P>jaw045?$O3?8BJy5O>+SUV6cFd?kX@7lGON0TM&% zzn4}B?#RqnU7PGUTasSjN=;)w$L}|6bY#U?w!V7Zw{metytEZ!NFFy-(>yzxh^^X7 z-!$wkyu6YoM6W9xRv{Y}sK1{_?dhY-#JD(6Y4|5?+@@ApU-|%0({NK zLPG%4!ycGLp}M77q}`zsU3j_w9cR6(Ws0sl7pE1=YLc%eAJM@!nRR1{OBA~NgcNUb zQYhLg9Fs?vW+>=je4nX;N zcW&=^^B}z0{&Opy)2{9ZZtTOAecy{v(pP5%A1YLhgrXBe{qS1!{p=}>3z=4;I=*Hu z*q=uQ1)hCKDExE@T9;n%r?`XZeyBQDGh?A1gYPM(pgX!s?Q}WI;&_e`Ezfzjl%r5X z^sq#tvxgCeb@(bH3P`Lq$6C47Y8JKR|fvL z1;^!~jB1h8v;%MBWycocMq9gjiJbRK#qec{&}yAvkNq``vf0E)*KS5RU0;Zw&B=?E z*yU<^QIOAUld63S@lE!!9uTvPpE-ZP;dmKJoQihGM!LSKyq}RUa^h-0$p<;dKYadN z6t_#PY3MgQk#jiOOJ+0ztlp)Rhh(UcVp@(&3i@?KZ89F~~$+?p@!wWy+ zj;OtjW{rEye>YC0Zoa%^ zxX=9F!_CkMwkmJU5bDPaq0p7^HkI#=ypplUi0VpvCJ#BY7{a{W^>|@(zpl8AHPSja zWVHKU#|+@7E}kT$YFSGF3Zd(dyB$?yp9N5_hIcY7Cz%FWOb@2AU7o?x zlUX0{=A&qVc9Ta33)O{eo^YtSKTzc^v+ZewlmI zjr;ZtFBLR3q`FM0V9-N8zw%AwcgUc1@ShzcobT0Tw5P;a)3sp*1>~Pc=0diiPAko9@j7{76ktnxwKUslSFOzLD&tUZll@JA%yu|XXW~mVAhm} zNU2Pd?$n;46U2J9;}rKoX#g!J3I7mRZ_>nT==LzY49BQq0h;N9ND#*u~__*fO2UBTqN zg^`3Uj7FCOIKeB(OC!L;sgKRBYT{t)WN&QZfQ|gVvc0Xbnu#NgF1zweX?ArJm?O|c z+SbMq^{F%`4gcTXq`7F2!vXr0=4RofK@I_ROv8!n9eK!0!^!o}AwLcO->->^VgoOB zNuWPqWDYc(g8w=H&n&q(kl#nn5;+=aiw}+__B8C$AB-GLUYZ!&z6YiSn8wV}oQ8{o z6V*M?;a@}HVao*0YU$g8Ys;G_N`K3dN-g(lG`i8R5XQJln5rtN(Rw zRD;+E_)5~T%A+{W>Rk6x1af)7-!V4N-X1r$N#{{7YnU0SDVwKM*zaNh{Z17UodDNMs937-efR?5djxHh;)>t0$&rB-Uoo)80&V zwxfqJkDGjk2j3%3yZZ0(uUS|aOqpC3fNOOGcE?g5&228vW+37hnxA4Cw9ESf!8=K=};_~Da7al&t*V9r&J{(tX7AwOI8!j(}AFa%1rsNf{%ZiN*UCD5V%bJ?F z(_7?%Ub8%pj~mw9ajlhKvtXVJ*10-an4R6$dbc}0JOAfpQ+C2*>r0uRV_K@6W2-5T zh&=>1dQK-~XydHz&B`QZ-Q8)hvKMqe@3BqBc1^zcwi*42pm50eXkkdlW8UqE{nz`w zjVs2x*BGe!_jd602^sqM=ohQVWNesxoGROK z6G6_dkzo|kFaB+^b*wA*ON!k4nd`HWv$ne>@C#T!k0epy*7Y>W6xp@r{yHh}yzAEC zI-`rYwAYlP%4voVUoo!jp6@l7z2!On5D;SP+}EShLZaH$RsQFt;VcWEByO6$SCy7; zY5W<7{JR}zHQ^Y_Wlp*9r_5EB)4rRc{zo3BlTRc>tjyLef-iWv#VE@zB)j`UNIudg z?&u$!^uJn~YQPjc+$!NXo%5a#?n(%=O~`Vz;grGna<_?&vgyO%Yz<~IaZ`)tbfc}( zx?|X%sLh@Es^{})jiR^hybkyFf951YBhx!NqrX3NGQgD%Z*I=JV%Ht*=8vAM!yOqI zYRHJXT;uZoDkRh`li4Bvz9Y2Xd}P~tBNJ^k?o+touoZ{o%61URk35P+o7shZA4aM~ zP)%&w6dX>MjqjWBsv*OQsXrn!FExqmjgv!*&dxBG63vs^8z^k97~$8t zeN|#M68x|4$Ha-_|$0gWeu=_c`fTMyjzJ3(OF-7EIUU-4S?O5-L zi}k5li7bUCBEOoPZ?)~YmlvJ+_(A|NEzYOP&|v1VD3DrqnJp1pu=%&|HZKG;*vY*< z^YWI=!i+8T+Jrkt6P=esEr^0*@xoMlDGeIF*N`4eo-Rt!qLuBT|I~T+`HdXzU6z;p z+O!|ru?cD8S!v^)?yX!ev)(^z@BcXM&D*#iCUGN?7H#pZ^ckCPXJu&#zwdz9C(X|- zx~Ur_2jW*ejKykbPC?QF*2>IRpBS$Mu1xC0Ex&I+KIWY*^U#Bndy@OqaPe8ZE!%*0 z@#w^rEB%;me#WLinvla6frw8#jaF|S8yGqBD{*8hYjF#WBMNP_&>x9kYSRvg1}Jm`QnVNXGBg!jZ9mQ2o#EQp}Fri?d*mM&P}`7)`a%_pdP0;#3%j#nAB6xFrvbX3QTtq$wxWqRk8 zvLzSeV{CDPP3;g&o@DN)2RAuj#c-on~^e zle+OjKQ8`Jk;$DFn)oG|1=W5zB6R#q?N}8p^O&siR+goJ&p%mZ3wcenaAHPb>=Z#HFG8!K+3XjVzY4>mCtL7YZ94k-HatQjTv42jX z(ag^fi#@#4Ay-kaQuLcdr!wAcwKJws&P**{{4v&VCtIag!^?Oign2<9wA8R{So_-6ANGVuyJ)TY!Ke*_0uG1eIdjIxRnFUrw`D#b-;bMu zSKlL|FIS$oNx`J}Y8Th5%uoGNpt)&ui7;BhVj_#s^pExt_K)L7&b6G^akOt9r?A{V z_ZRi9rJiCbAZR8KtSz)FUo@qaZMY%6emQySny>DIUJKkb0JHv$0g>l9i?tnnV%sfk z@fZ7fr!cn|*(U@VMXl2OFhlYl|f32AG%_8sP^11SdP21V(lx|ryNtQM1J`6 zrcM(~c?_!D#;?;lWKeioc2$e4)a_BFt#8;l&wRhPBb<5+5(1}Io)bgT!eKTa2NmL< z-_-;w3T{y&X5J7!+$a#??}8Y*4R+)l*+bUz;e8Im)~TH%Fq^!msnhj{nW>-R0cxpZ zpbILULBqD4)z8z-ulO+)Zj4%hi!QTOk=7hvRal>hvLE>wHoKoYxd>Kvh90h}=Ij`< zZR5m!Esn_yHP|f5FR~~S$dCy9st@^mmApndH^6R@y`WHCGxP_VtJCmXK_=Je+oRc# zk(h%}3o(7aGp6oej7P&6rpNs;HL>CXR-4M(s-gS2Ds@45-y%qvWZ2Yu)N6RvEUIf~ zIgAsxzWXs5f6;-8$FoR9N{C<$C)>Zq)+Wvtw2LH)d@AE`b)V_en@18v_bp(_89R7o z%Mx*W8JG9pYs$5D+(nnXQ!DcR1zj=0G`aH2mF#qZFAvrHzn3I%Ixq)eNTtG!EIY`D z7c0;1@G~%y^uANn#zwSX)2uh7bJ38fy)!qO<}vV+XD`Q@d_rSe7ys*VgJ3MV_^UaN zOygLr4aVPhgs^k_)iQF)sOUy6!bsI#bXoRfJhjE%V5FRayy$#1q#{t|nlj3hl?Ao* z_$E(>CS;o773RZ4^gCI{;0~25ttK{m{{-=;bLmE&Mgp;%nZDPoqS#Wu76m=dOFV>( zJOi7G0#1qH&eZQTOJCUtXM}jpNjny~ToC^nOhVu1_jt6i?u0vTbo5nxb;!2n{xnwW zLB%~NO(a?vuRI#&qe^Kkn!Rw|j?*|+ztgTHpI`$inTK=K>@Q!zESgJb`uLY}s>f5> zyU@N{87E$-w%DEt`i=|J>HJPyJSLWqWnsvEJ3PA=(1Q1q?vB31Y8rM#$A!LjgVU%{ z;MU9`Q7rh~C)GFp;#`ax)_O@}bne~bNmn$Mir8Nm6mYkh!*e34zG8&}SkjCOdr&RW|5_72o{lZa$~%Qwi8>(J76g zg)+)H^7(Eb`HlD5l4utn7|=wl;=RDnm?1tTuRA=WdH--eYo?tdt?>U%IxZQPDuGry zx&Qv-os5_4jZ8183>sgsHxhJo$#F_IRs}ruPP}Jy;vY9YK1A;?G($cWV}XZId8OO& zF?)y{W9EU0-rjp-!XtqcBP;0xf8R)StZdefd{K>wgb>RpjSe}B+5JcgVV!reF)G1C zv7N`s{@d+e-Zlwh$LU&o))Ho=P0+`_0^UWk+9w>yhzEqe=jT+3DoBr2o7d6r8)+2x zf2oN`aA|K~Df$UDy;xSsP0_#M;lo&UzbRsDKVWEl?)iAhB=Cv&GnHpn@r;k2J&~&L z7h&8d>L!08H7+R_^j_i16LChBXSUehehkl*pRs@6KTr*M$i8zRl`bP5-?W$$6IK-% zs-7~Z{vu_wU?X2LWfSe3@l;=Tj(Pv%x2m9P8=9GGEw$0$@Y5)bdph?wvCZwjmC+)c z+m0K)X&SGTWDY!6G9qKBWtRU6J%5RxA8fVF69M{dgWtZYnKZxieWOFC_{F#Ua4W30 zw;JqhnSBpyw%kYVC`!=%iPCu8!|+=3qlaUz>J63}+41c43TM4to7UToG|c*^Ne890 zZ|Wm9`M8yO+@Y6<1f@F9`{qqFFp7DFFq)3;hknG31++u&YI>_aS71yO7-dRD2!PP^ zUV36+nC%E1O(yca7gtDPYBpdE-0gHaUV3pWXIsV zyheVFq-sBTUPQn6(MX_1FXQkIW)Yrtml&u04<38*h-LcRYKX-csi}@e>(;Bhv5(mt z#fnxE-|h28ZH{-u-Q@6D4OPt;1;{&1a;f%{oM}RdY-Ib(LcKhd#3GJ^)w(XdBOuc! z=w&uACvaS>W`fBkW)Mel`%YYJn_Vbwnl(S>qW#zYJ?D2vh^bekHb;nWdzcH`=htY- z&iT}gug{-d-XYwU-NnKmtFt!?DZIQ&gFWcEnPaP4gmWwd_m+aIz*KJnrqc#*+mBgT zez%jFd}GaS{i!Pd;uDc!z;rvwD2EC}CgLn8pX-6~#2r~rRgIbD-p?3cNVNl{>Cb3lD>Y3MA0)+sr4c4t<-7o z{@qWn(>sa+8C58U61Iiz;`G}V4f)RGNIJh;rnvB=X8Qh#A$kZ>JU{02>{Di=b~4)& z_Xg(I!BB;Tz#{GO&gTT~(_r&$3ta`HJfthUF8(!W+30tJTBj(@pn?~ zg{ugrN8?3HSss5LY7V|4?IY8vcUH7jsVlC1D3zPHM%j={nbt3erwUC*AG8Qgta1G) z;9!Fl$a_zs$N4U8xqo29izg2s*#?ND`eIDAqJ4hX#5matHiA08v&e zEOKNXrrCRLkDe4G?@JSH9>K4`-&fZ@l1|g7O_(j%>{Ep)l8Qk`3wtWl``aRpw+9rS zGVrT>giVxgW#RWEWp{WA-*>fY7i=h>f)a$jq2q^IG4T=u)eVk`;V)9R3z0pwwoQ{au-R9U95Uqr6;={m2DDiydo*w z>xQl4mQnrZQprF4IKNna$U$CXS`h+f8nj3kPK#!d=EzQ_ek_cIUGcL)``JF5EvYNN zoX@35C^2*$*G-<_XB7Ya!{>R-67WXt+?MA=8%i90Wdqew8An|>oUlfsr{Cq=pbs~c z2uy8Z7CDJS*YP&k$v=tC7}cNlyU%uvMrR0YCDhnD#eFnQ%i^J1E60}5ABa?$fSq zLU39#WXI3mlCJ&Q)QFM2DCG=~dR5d4X+U2T{9`|VmG}Exskdqa9pegnIZerU=3HKl z*2kC}CiCYk&gAN>i{dVxiLkf-n~s-~G%9n){s#{jyURK-ENAmtKc=Fy7;ipLo1D*Be z{f#w;HPMbf4>mmDzpFF@&VK4=wmc>57ne8i%(%eL#@mnVUIHnHZ~FT0H)*_BkNJjK zDLTFx@le&4hIF#BP-t-$rR<&&#QEu0o}X~&*&a{sD29AA$XnMKLsS?WpTR^aI<#N? zu8SUK=&z#Okae)c{R^p$yH zHqP;dTjr&`DV}hh*SbVPWObjsHhzwLcOtEtkMcX&Iik$1LWN#gDf0qa9yuDqL^{t2 z=IjQEio;xqiZgu*rdht6N6+3dpIZZmHA|T>g#CNdGMmlyxIKOrWLW3S67+`k(k~dt zK9||X42O<5IN#S#4$gV&D^+H5M~0Y~YI|Sx+~BDQ;uftWbkzU<5+DDHZAe*;N06KU zU+IyH1F7)+E7tx0AwB-T@OM3h(G^!%?$p1)>NTu}O+5EqyN1p#% zdaRmIK;#J8Q=NFggA95eCW_s8#zk09Y)G^i(f)Ah(}|;lj1K3@&usIl`MK5~a;e;7 zyds&K8m9#~G+zcic1tU6I!fVZQ7z_TnzzFz%cZw#0f)xtt4^R zXOK-*~$LP=u!?5ev|3|{fLT4#DaTyHOJ%=5OdSwHu7 z6=4QHB)KsjD-|85(`)W*?0SB==Lt#c2|rlf^Ea8@*Ge;K;R-Hw8c!>xLbToj_akF# z=(HX1u!^b?KI?LMXf-AZuVUHZ-m0aBw{l)6I(6d+hz{+PZDeCPK_q(htwXaD5PdEqQ$aPhszeUzQh@ZWzve9nreFq^_Dc z7fCsrroK50xGkHjzgRxA*EP()n0UUyDms7TIo2517F=y0TI=z(<+A_Mex=z{%(HS! z?0Qp|_uF3Y?WF$k%}SS8*@pfibxZLnyix2h({1ebxP+joL-&-WaZIc^xH;?Q$Sn`< zb{}$;*Vv|iG&o-sH$lXH`RDea|MhLOSovk>RiQ`!*Q>%Qt#irwpCXHUo(QE=l5uP? z9laB+`MI^}=9@F$o{1|?xQoK|2?hE5^F!cPC4YQ9oo>H--ZIUr-Rw?pUv-Gh=rVk6 zxmKh;l7e5FT+JGKAn0zw#g+oEc5H7Jr;~2hl6IRtX5hzNdRzRrN7pQkRyY0p)1Ie; z^SABr-E#Lmm!pO~$c$m#vElWOZS!raJ3{1oX7EIBq=Yx=Wx8jx?PyTg=!}%5uwU+D6RfnmDo3uURJi{NteT@gPWzNn+nq(Z?~^>_YhCdHbGa{ zpTsuO&Q(1R;x2LE*YG{|-n3gq&$ZHaL-5k7?z0? zQ+2m`^)1}zYiaajFy%K5yJ<7lWHu<@$M#OVF$>b?adB&HPo9 z0^vrBJHq?EBC4pZCA|5l85?zi=(OjR6fY^)RtXF3>#VrueMl;WLCGEo~_+>YC zu!m@^%K*NM?%(PWK8oMKVO1Pgbe>GC` zoF5s99!c7A4Qd$?`6_fxxqo40!~tp|0CBfh#y^0;EK(dgnt#sL*unt)tchWDZUe>S zh#_IbPz)^oVXCy&%3{u-U=U=+dOcMZG80)^5N2z>*V^&GMfVVTn(XGZmO+6SN&(uY z{d@s7xJc%X2x!=u83_!~J>)exN+sWiw$EZzhk8EhgdDvES0RT>nDFm#`(|tQTnF40 z?jwg=@P+k<6=Ow8dYU8$NGBoSy!7dcku>b_Wf~w4VnAk~Qx<2x2NP;jbPsE?i06kB zA_E9_YgQI_1y2k6Iw%)DV`_YOec;-657KxfDD?$74At_C0Z9G=g^=1Iw0(}gwPV)7 zW-I}dcTGG6rkt2F`XwMvbY0*uou{UN5LCNj)w6-eyRi7Ffz=hTLsN+BP#eUY03?fu zCI-yl6h^!vZs9mW19s#9$*Ps$BU|Eu8SzF(zkicA0T(?4Nh6|pQ3k_vt(!g(DSimj zOu)<%oUlw)o!{jBI?SOkVTj}BTBZmgRZtyAUEOh|&D^|F5faYKt#^HXSG#ky(W*N!TQiScqx93X>0%skf~3RFvfh(M?faDu(h zcO-iIK1^WI#&?QGS&h)DfCDs#YAB3q7=~;JoFG|ivlwvdR$ED7(~j}C_;#a{-{(tl zu)y=Wo)*OJF~GUfW?}B|6s(tknMXE3jOAAI5-w{RyyuO?)4p7!3kgsWh3d%m}D~mSMr<5@;Qa@Kxp82r5e=+SV zjqKFAuY+L8Xb8e9^v^JH$!GluKv|q1-`tsBUI0G$@)gi|dCOy&5gZ{fQXVBdNhC;( ze|Dt*vL-#?hz9yx$M07=N-6ngLK}S@q~AM2vewtUkvK9#`bqsF4cMO>7}Lz+U*vO` zC+E_y4Kwyhs+%)yY4yftJ^`k$Sn#t0@INwQ@}PDIZldigG{C3)?*f;VRYU(OVGNe| z96*Mr^9Y3yAHb#Z=xD*2oFL%QK|KFO9XWy^#TAPV+}@1MWAd{@F3kZK0Mmd-4D9m& ztO&vCGjM}FjZqMZhu zO|)Y4W5pgY|a+Smb#Hfldk15SfP`UV8<^+|!9% zS@au;L<3!Z9~okCA&>My?8rVgVXB2#aG(y?9y#n0Uta?5N z#84$xunTlGSNM2{TT|xO2UhcZ#w@t+`i9f>4U_B7s)2(0Fc{!fR^niT?g^rSdTtZB z1M;8`m;n~eH{Ya9z?M(JruV>>s1+VT(ohxfu60-hp0B}CRJ3t79Mp!z!D?s)p%!ncK1CFQr zL*yvn`U7CNOa4k}(_I!-aDw3GsGTv%mrfQku0+;>66s1a-e7<0Dy9T-60 z*U{H1N%&vjd~!AKgz$95Qo5RlA))&NMS;WRw8r4XCm;m?HYa@d!MmvrEXLrew_qKB zitmD0Bajq$?+{v>;)ZcBgaHuo{t(7r7Mc-RH}G|hXotwqfwO5r!>Fb_D1VHWg~%9z zO)yF5%kpd$?wS0L<YCgyDj>sJ;&0Xbe* z4ELS2K&Lyp zmVW+K93YX105Cu@4H;<2rTW?qF*gRQBfG^!#-KsLe|e*d6N!|m|IP}C7S3zpF)(FG z@aX>-D)T{l3Ua7J_(M!g(4UX)THp2#8EAk2i2}pcD2X8U;_M3 z+UHA*T+%jd!2UvA*vOGm=+J_BkVeJC`xkwRYCsG!2FsVxiG$^lSI@&j_8EJRyrL8G zMhaZ?ztW4hDXtuJNT-rVQ9L8+^2`UoX<(pbsDUE0mU=BSa9=#80}#p%-~JDRQ(^#4 zBQMX5ygZ&I#o-HuqMSAej278vBMpeX*a3KVnQqP_S!(k^b^0@QiI-?nkp@^Or_de< z>?wHd77a9qG87Wh!aF=mZ7hhg+jZ8G|7JHDYi+`ag&Duxqe z%mI3e0`)@_QtqN0#TQ2Ei?k{!`ril-0JXFY^3n*L`3UqYBETHglpF~aH)%R>CI=F! zDu1J~egq~Ew~5zig^=_|fU=e1D^%E0gnUA^q;(By9HIM)efR=MmSSA7P^{kmUr1As z9}x6F`fwqi08q_XcLPb?Zcd*h?i%e~UF;RwyGTRHqHJpNR?^oM>lL^i#eJA4hZ2Vz zseTSHU_LB+cUY!+7y&TFeJ~ITMfMW%3bYP+s_lW(y$(Igi`Ta$`d*keK$h`clHCV# zH0&J^!^|@l6+kjzBD4=3bXVYAGBOFsogzsdX|9mC^qIngEdYc;2mZ(jL|S|WvVEZU zusa}I00^|8(iJON?|_6uB9A;PO^pTb(w4_U2SdFtz?zgp1886_j{&mQj%jBb0c6ao zUT*D>6&XC}>bShspY{eu!Bz~=Q?-O#^<5AP9S~>p)E5@5r)!Id$qRuG=zv%)%}0ca z$^diJd23{Ff;3PI$BbHyd%(ge%1J;*JCT+f#rU@}sMj(ETbh9jAA!aq0xVHY|4ldY zQSO*e^_L8(P-JKZ-l9P|+1CHa^&JR6V+jFUN4X#W<{OG)m}X#0AZnokOfkwGb;Oat z?a=%jK;9>b_fhU2;8+fUp2P=?#|O|OD-&}cl#ihz_p>2ot3Jt&^O{<0NOD=N#zMv- zDjcV{n#%%#Ln(_184oB?kz0ultYZcyAE*Tkg9L2@8@4*Pja|*nxN8>T=fIi*HQBk`K6}3kKF*#8!(f_9J89@5}Mh+7}1HjiuDVqf7 z(@BvXH6^m|zPLcso)}cM*M^?s4K$4_0Ad_!P9Oi14q4Av@-Jm*t!0cPPh0)(f@A|( zz@mLUve@#?6s$rJ{0B%l0dEZGThOtfu4 zQ9L$q3OOmDL=yQNpjfF6LPafa;PmuI0+0s>C}nM0^l#M401|$Mz8sx+%;N99!;qYR zDK1)?A2{)NP28eq40UyJYx4Ypz-sk)%pFw2e^~=)2%Nx1r1Pv7t}q=c#udqS@aV%? z)z`#Bf%-1{-#D1gQ&sQ~RM_V`9P$-_6S5|jUFHF*0{k^`blpq~SyXTVI79Yn5(Xbn zJZ8?hCIEXQTZaX43!uVUQ)TJ%)mUmXkF7w3fxIUmY2zf|1U9n7(g9ZU-xBMM90lU7 z1cbC-?Z4HXBR4I$h!Z5OR)VT6@hnGPC;;)Q2NI7e7|BpTmISIhe(t5VXjhIz2L%L* zByx-rfmBHd@zxi{k(mAA9~k@o8Zre=n1Mq~^&I}jUk+r}UOx&vT%B0QJ~6lPpJK#! zGyK_|DaE31IF^nbXz z5_l-r?{7EO85w2kz2T-o%4A7;D@@U?Fl8%BNo0vs)T`ApjONnRy|gIH5K1H!+OtIq zB2u9kO4>9N?c4u6?@agJ-~aQOkK4yRp7%Uw`F_uNJm*y#mXmcW@8r?B&yPh0%lf~wf(9BOdp(w-O-&j)c_bcH z!|G21+lK9q5f>(}_OyAX2`TunM;*F2)EI|ZQJ1E2^Wwry`ymBeHNMVpD)6UEcR1VF zpZ9>hZZ_F**_w~i(g{k|ac7dcDDP-C=NFh@QMY>B+tLiAy>TL$Rd1SE49Kd^B{irT zq{mV8A339w3x$?yBhd5X0jFRoQgEXOj6x4)kw-XCVmJl)+l!+YUn>f|rXfKJZXSsg zNpY!;*!h0E5*RKlXSDA53?LyWG2o1YSJbPED85GqOH>LEU%RSk9Mw-B=#X2aj|j_M z{(tE(>4vSx$+u%!-kS~&y(&+3#zUb6;mO-sknARh*ewvsh+7XdTQ69=$6Yb%+n?Ja z4U3_F>r7R)+-Es!4pkZG&3zLP*6iw-4L70HQ=58!y8VLdBG_5MZ%JBIKP7SA8=H;~+3o%!+_*b5@~8iUx#v3i?tW_zZtB}TfR8=R zT)W5Ntx8zVXE;f`Q}Su+?Jsxde9+!~yCnBpkB86lZ?!*j&edCNE_j`+T3zomwCvH( zm%GaTNNzlSx@k*w>+g@27p)o{+9kNWrSJWg;mB7$J0oxSI{N?iW267_|g6@(sygl}99^!KBpyx{0sh}y67NcGDI2ylYWOuvwJ|7U=SF#vz0h`ieADBt zerk;cGaC!k>`Xs-g~;JEvKtk|7iN0|$wfZqRhI>bv$M^__QK2)NOq)4m;XeMf11;j zCR#!Ym+*+*?B`XBh~AR&%6)HNFWK9qQoc|bhmP)YD-jcfU(&{`2e#;?x)yoHPQtc5 zzgVV?RlkgA8E&zy8RYmj$nfDvuT__irE$mC1-TH@tYxtyTkjwJm^sqAt7psW2uof5 z5%J^n4O7pQ-7HGnZCXo&2IJ?oF!IPzbTcL^Jhka@Tvj-zscievd%U-ABq}J9D%>VQ z*W+Fl%p1iswEGXd==5qB9KpAFZ#ZR*nSW|+%^;467E$^)RcBw0ZDgg3*;DY0B4%uZ zNyo{TsoZ;?irvw^Yzww^$?&xUwB*s6vxD#$D@>omy*EEZQGE0KDL*GX!^Kgfj-@;( zu(5t6gU8UY>9+$?=VjIs%Y*S!EzCvxh%KxcR%V2`nB>{k)te6n+SvNHc$$wtvEryw z3>44sXWSfwfi{1xa0L%!O%z+{|0pF5#WM}juBqpU2neE20=7lONhUV*P`4#$2${4) zi(1fr*RW?sV|}Qfog%(Og+C#9dc$vfnV6j}RjsZ*RR5eKtXPsj=%()(&*5qy!75qe z;UqjD<0@^>?@40#D?h|!{Fv#Cjj-aX`vwC-8@cV1@heuCyY;yVHT7yjasKOMk*C0? zYgr(EMH_S1KC%s&s9TF#tkpJKD6Avw-CCx_@z%)R>b8L?zzD$%EQ-VJm^zd~taGr{ z5J&6(=%rA78|%Z?ZdJrrl1g%ZQ>{FEP_waK-k>0^SzdfICR_;~{UvUVf08&l>)>Nq z>bAPU1&`Fkt}}G`OW~u!cj|a}0x22m)ezM7X{Nc5sXE9E^}`fJy2%m?9RLf31>q?) zEXnk2yB2>*akwk4lpfEb@Y{9yPS&HL@lBzera#Y4sPjnnTd_D(tm{IkXWdLO!j8Cm zvyf;#g)c~J$~zesnv=iW@tmE;Fr0!6r#0z9)T0wbv?b+%P1|yIXx0VU!}Hf-nuPik zi9H#u#QZ=!-#KXMbw9iDIuIWbE(>r7t);a%qY*^X9L| z6GMiE<6UD&bIDRcG`7)1NyrT1b}7NCUK7!(QL`!h=th&!aLv1(hH6Atk!*Grz=UZ3 zG8$h;o1Zz3x-d6u`kvFHTX&Dd@pri?f(A@i8BB|`mK@f3`;vzVew<=w8sD@?E?q?^ zeiybxhQDh#?3Fhj`A2P7T;YLYuLcu9*1gKr6$iK0v3SOqg}zNuyz+5RZ&`lzXs?eJ z-}ilGO2@lJmUmyIy1G14Q}lbq;nHDU`vIe18O*{vG!n8W*FvLa5c}$9T^AJ0?Ru@& zS~1;x?doWG!+RGqD{Uy$lZxWyO!0Cvas2sHU2?>g?5|aold$ra5gNrqN6uE%R2d(w zlg&Q5eCEkC*GRB#ylW(cO@|i?Jb}Ij-0$(au{W+T=lMShpFOy>vB2D}DAm;)#r8>L zvXPR9KI?IF_d6DoF>eaWECu{TdS$0B|0TJo6ey5!UvXS*8w&=`Us*P=G@T5^nj{D> z<-w&%qEJOKd;lq`5=oai5uLR}vpUf!OLR&iq2H;j^s856fh{0lO~Eg$*!KKdWCX|c zR9aJWyz8mBMK|PZBYYc@z-!6k&};yAQRqaJHd_&>eS8f7_$6yxLxDPHx2NbrK9jb!UTs<2K%=+6iq^fp9A2QgUNUn(j+*=+v;BF-7o+Li3CkmB^ zfBf3jk;R2p*fJGrSSr^BOm*5^17tr&7LLP~0aVLU&EmQAewyXnke4C4=pa?@CF(rZIKb8iefD+A8nq?=r>wKrVKJvVUl=% zO{1K6KLWO_1Z2|F36UC&EJHC(8E+uL1^MaX`3a2$zNAHck)GWa@bHnI4~vMgI%NJa zIbzKD-&NDa!_LImKJ@uVQB`5P!64JRzuf>nBn-wY18A8Kwx=Ug4I_B-M%%v|nJyZ> zjaH3m@uaJTcKMKd$`%hNk%A<=X_9_2JJG1qiLq7trck+s*Io4CeejJv|^1pQ22VX)QN~!Bvk!o65gqYT>2irQ4zmB(iK0k zx6(?NYJsAktAi8`Q$&i+DWhTfq^Fen1^)?Yo5p$t1MP--1qeQUZM6M#mGi;r;xjyA zxguUVPWp6%h_LmDy5Q-au;|GW60c5ixUr2vxu3>ws{w9A97Gyle=yLUc<6|4m;{J@ zpLBnfJ8_taS<7P~@m#%sC6xw+q?tsC#JX3C^(nQrr+YZ)PJ(+aq$eS z07@S@tN`&mcBi`QPT=xr4Yl_~`ti=~1$qp_4<gcSx`wC~q)ml{9v+ZbzlvS_#vh{_ZLl;1zsd-ac%FW!9X z{ebQ8+u`jIVKD`>sKkpWVS2&Sm*5v5rL?fCl!5le%D7KSn;+2rT;G@RO>;g}c2W45 z6a6AqN4tN`H-4iQ)h0uY3bypFE11B-eO|X^$zuE6z2)&Ity}yN13k!+!>pf~@f2+$ zEc$ERN|W7*u^B+c$~Lw#)P==EGDz}n0&%6J+zg)eGH#y<(!ndVMTH-rCTx#LZVXq% zeHP)9-egtEVH=-cizsXJvE;Z;mnBMV&Xxf>-VQiSL>ru17=)M5P|$cIXk|Jf5^_YjjzR(c3!&hn!tX{X!0(37FLZ^bM2}Ab2Hyd#`qB|r z-O}Skc)h2> za}wSn3uzd%A>+qJTbD zSueN~sZ7kd$<^FmrLv#SYZmCi-^!bJR>B; zG9Fq))DZ}akx%Q0P-xdoXS_j=uO#s;atiLHOD#OPVzP(U)Jf9T$_qL>5j*QfC-OZM z7K`e0^@W1l@Ra6~c&<6g7a|sPH}{~mToYu&4@1n-;s{;cJQ6b&b)0qR7XW5_I_WVu ztCD%hFA#B;OUGev6eHm}(5?N1Z}{j^3&I|$_6PoNFS3L}E!f-afVTc7mD^4UbtaYv z;b|cu5%FBx|Lo^B9M_^&Q4dMlHq?hI*qGo;^r!_VAK7>;oth`zPE2FH66zEmk>!s( z>J&H7u%R?=d&34z;xNhRsq^UTQ3DqsU>3(UTMQfsdoac$?9+D#2rQ?kaXZd$kR_Hw z*6n`TLAXbj8Ut4u;m3-Y6JS4KOK8+$@!e#Rs1e$_J5S)$hD7x`J_icg*f$AX8KUT{ zn{ag49N^&XG1oU=t1VM>!FzRtjr9&?)E|-!iw<-l*>5c??I_gZy&FuEy8aPXM$yz2 z8ou?veaLhFKi$%up%c5|a@Awq#A9I(uEecg-zJ)=DviAlGB{4wH4VS1R-GN`82K9G zr;VcTh=a|CdtpmPe62qCeUeLY^sgR>jDR-Fyr22s!;Zt1?8oHt8)k z{r!jBy|1pWe;6TLtVNLz!r%t`yv5_Hn?erQ)=o|YAMi4 zqC0#$2%Vd_d%NO^jn|{=27PvwwVyXsA~+p;w})4eEPK4e{~{R)mHqKE^L1zNzQ)#W!<4oc~ag)8!L&=E9Jfj#_7Fy1c{8 zit(yKL7@p|q4}^BwR)aps|N)K^kb@1hBCM3n2@cWM${Azcf1LH-rjC#%*zm#4R`1l zZzcN?PSb70gD)o>%U^x{fc3XZ}ub=#BZ*X_;#wXh@&Hfns_G0x#zrEBld)sn2 zKXjT4oJg5BK76S7w;w}XkM=#ED{Oy$3)+Nz|2WSk{e8^b;Gge`c75slIcdDexK6G& z>$lVGvwwOo;=ldqv-88~yZ7Rw=!Dz zj)U{2h$mI*tG(rssCwRo%dIVGg5g?rs1YNCvwnuQ9QOhKCq8-7ZE2tLTlcfCM5}tm4~#g> zJf)tzHN{!y=(3&0*0S`OSF?ER-t4a>s+O^d&WZu|^v3Zfw2I}}y{n!FWDD3qj7}BR zLY2i61?=^V89f1Ncl)$>{-agFpZ?N!6xW%pLFS4IQBT%PaZbu(XPqsbMEB98|99~ISlG2C8c zi$z(nVQui673V-ksW#nZG&7b{=RgYX{L-bRs-0LDJ!UJ545g4~rC)gx9et>7*}w~# zx`k7BOmK6<4oiFo_h4F{mEjIIS+pHhwr={P&N^rmRMiseLhH^tGNyu(RS)V$shm0` zr$GA;-7;uFCC5OU5Kn_GCnu!TSvU!8g5y|>D@^*42bqS&4&{{O35j)^KE0Pi)}+VR zg*_~?gTqJ06>Yk^=fQ29y4lk0Trr_fQ%D~C^y;rE6l~gxLrRh1Yb?D|>eBO~v&wsE zsxwoJ&%LP>jcEv?Co>FPSNp~fBzro_sunLjijF*3!UVVWv}Xxy!is(*vOIbHZ*BJ` zF$|jmwt?K1EAn*rAiGMdL;LF3X^YtES!Y}pFkFI>caGlOCj`9p8~&RF$6u3YF;d3S<(v8haft)MU+!7YXoNsCoHLWkS*uR&sNi~pCTFd^6Ek{j zq9!tuy(2TUv&asvFRCx_3N`St}FyC~}9}f|i5%G+6eD7E+*4hM|PKWZtgJ zqd`I@6)6ZPfoNM1k1{6FLDiaynPkwl$?)=+$WpUeT8r46T{@w6Xgn)YgULP1IK~c6 zTK3;ulqFfGWwXK40L~HUObSnL_IX)ezPNG|w(k&*_r)OsYUhZP|dTwMnu@&}RBlSEf!$@?A3=eu-s6}6MgD2C2?5fWk+?wdjGp56_W1-BA#pNY(bZCr9 z<>=)@k!p=kbo_GKwRi>|v4-a^LNHTQ&GxYu>dD+#q|>dhpt!ClVsX_onH#6#dJ=`( z6z%J;orvG%6l+)ovNjaelZt}nOu=$90rA48Wy6j)Ndr0CdsI1VZ)8O-u+w?CY#qx! zbc_6}@tJ}X=>R)b5hLHu33!X1;+H5IWO(Qx1eJWM#)-F9@}dH zqhtn(KsQE-^WyBh6ILHiBP7{3AwGZLaEm20Fl?_Wj1UDzi3$C_9!e-v`h6{4xWa+7iO13VqONFN=%j?qObxEIJW4L!|$;c|&b~Y0&{7ia_u=p~x6Hy=8h3qk9d* zkA|LZN#l)|N{**2FaX|ASzv(HtdT*Y=W5vt0~yE1(eKez!zjr%WcaBhdvTmqotQt5 zvKNvFrAnf{PKq-}u-_d3n!V7Kaok$6r{gAcW3+1W!kkIOg>|c($SvuqG9mcmoz(@j zATp=fsYdjCQjURAI*&x$BK2hT6lb}ksvKuI!TvQ8!G(^Clk#ZFj0O_)G)W9k5)3B` zhBJ}phjri|63@3=IJc`I)3>WhVdCI>Ofd%O*Lm>vP4p-C^!S4y@WAUT0L+Q^_N$lP*0vo3K}>IrPv_U5QrABn-8-g zF@PA&$vE~-0g4my+{~PXJoW`CG^`X9y0b6H(5I1UB#T^G-panfq>n%-$Ry8p7Pd-k z8STpGMS-L`6VV+Y1MIw6SM@jbWU#ubGx?D?y-r>razYRPgq$9!L0VHIWvy4rS{tP2 zS{u$$k9XM-aIEVv-oS6#9 z>ol?&(oR{c%VHWH-3+wl%p{A4NN1*@-;Bhy3`Zs%xu_h4$4IdH4PEsc6!Pf`&dfxK zIhk?--K4z3Oo1*c9_hMdB-!cm5Zxsj>pN>{2vSK7wG*WB*z=*7Tf)efp-(?1r%2X# zsw}NK_IwgT^G)dO(u@N#00L~}82|1;!&ja?J&E2~<99-RfiBvSRtlRV1;siVk4?%U zJ?U(6L!K$dN-V7eH$`Wy1onJsx*6vcI?GLXuh*kj8mya03OinY!a%bdmc zPO3sFrhH>gZ1wx_kt&~^?9#S(X^HG!qGUpRA{=ZPIJN&diGDREer=&@WNf0`**BGw zd&b2N+`kf8$yuvtU$ARw!Ux6s29C9nrG<;wV{0ilcoVy4#19nu-k0H3Z&}?X!}A@j zDpa|lE~z4P^7^63o5I+x2a@vo`iL2aWEf9cceOwgWmOw_FnosNQcMspX|kgYwuD(K zEGXB>D$&U!>8`68(cRkIIKL7_!SLj9JRO%RI^{+>sw6HXd*?Ih-VkoGJaftd9SJ%w z(Bb6UA&cePp%Ba?6JCF& z^jN8RUpg{MwCVT7!SC@}arE6w?(@{vGs1z$NWrp6^fIZSpA#dTMz<9$fQU}+@RFh1 z4s*5C7euHp(B7~}wAaRokwr?jtnd5XKRi7z)at_r9}>)U@|ChK*1Gs*(B#C*kTr3c&;tLTr|ZFh}zRZprXqXZ=M z5$Tc0H~L~R3$b8gLAe)cC^@=w0jfBm$)KYqBcGDYAhUYshy1o>Qy2KMP>$Oxjk+v6on<$`}AVDTtu|`H!KvsLoEF%co#Jk5QaPlWeBqu63 z8v*4R?hZytRi)%;gsQz)(=r9asMyK*V=MCiQ5u<rTtF+H(4{L_Hc$uYo zvQMIO*zJ2M>`)2a_ay-NsW}PkzlBRH2!W)6wW4=JD`vKMwzB{#c&IjaD|c(D>LfaE z`sRI0TY-8=TEUvL1TJhwi*Gn3Szq$-FF=0d=)8h*b4dY_e2?5tlAx^ywsX&sVIygV z&QU|dXmnmsxdX_}dLpY@$UN$IcripTG-m}Wcg&pwCH2GHJ*OziI?#u`u9WsdsI#6hU&qgZEF(Q%S{;S+|>~zi^((6QlNOY1S)|BE$eJEP1RcJOI_!{ zMQq)D*NgtQ$=E2Bl&R#O=2uB*3@(IKl^09_NBH=_p#%!>8ySU3x%TJ9)+ z^cU*bURO$M2O%_fYNzH0F;-Gki&gF@3ZlvW;^^HzMS;kLZNKm8uNuc5IuU4vRtK-~ zU&OX|TVZWW1WdVFG97xXz61-hTaW(qp6qdAq>bRc&!?C9CqajIHe^QVtK+`!gL@)` zvvnyq%Hn$J#1H0pMB}~ZrfGBomg`2i+Sx#v7XfFdv8`QNw zke)>(lYw{n{8yLkDutu@dJ#pMZnt(lEIxTCvb<&eJjry~yTXhXOE;v%gmv~0qmGXq3(t}x50AkSHBbp(`SL(Zt8 zP}q0X2qNa~5x9|ylB!b|b!(w@kFImXfXY7#B?T@6H`6)#Jd^ty=u*rBy%5rv$A zLQfswDG}fVI$(+Zl#a@?Zhe^rZD(NXm%S350lwhZU_Nm0vOUr^e&Ef@LsyGOssdUb zckwKJyKupP(Dv0p9*k!tJF`PyAf~dja1`G=byDU=&&BdbvcPF}3I%Uf=iH@n1{ysl zx<*C?S=uH#{~6@z?g5qfp#V2!#A)8#gX)6i6;B<$Cu)zq`k15FY4>HRu9F~`M)#8w zEZ53)1qi!Z0{UftJxlUmS;F)e3mG$x$(aZUI1f^C5>$?(!*#^ta2>G(t|Oj28ubWL z?Ao50SA#r)Dvfpg0>7=QeYZc+tCzSvc%dcz+gN?yx3;W3KawA;dku7-kbJyKKc=OOx@^jt2MITeLXl*WVqUsZ1R1(UObO~u=-UZjBufA z=r@+!s@JGq8v;804LWU2gl`74%U}Vim*0w`XJ!|HV0zqeB8+77VFuVBU@^=@rC*+W zD<$e;2fJLfy>&m#749pC!H}4!`!M)?gbVkT(E;NkEQ+wziMwF%(Hp)_-sm|o;I7_Z z+zA6CJMdnxX?EN&TxExGzlJF@;$h*I1X%cM$v(J|Ty0i=s52gk-WhP2rxJv1hZ$v< zuMqBS&VbS6h>#E8!{+{kYoO-no3`m2%+3giHwxbk6XV)$7-AN6hrzA= z3qA1FGOqgwb9MWF708ThgL&n(i+Oj0ik=End|?Fk@}|{Z0)abzqUO~s);m$%tbo6` zmtXXjSW{u(%R!uHv-qSu^<^^)%vE;|uH_%R;-+^kM+t}b9`_9jqZ~fY7;;h`&2z#T zEuKE{$`;M6p}Wb^+dCYw7#fvlf~J_0FMNHs_a3^Ge#&d8R$siv#$$y%y0U&`*Pg7q zefsa#WD-_}o6Ck&bg1R!Q_1_=?uV6MH6*qF9z2ma(~7S{yN`QyGf73f#=bvGhC03B z@kfHZmR@-eCb%~2Ei&8EUwbU>=IgJX#E7Pb9?11($x){t8?=qHA}=>@wxYuAp7yE1 zviNc<^k~()bN4G7G$aY5BjgDJ0>3G#9Ssa*1{_u{Z%FFEpJ@=HMtohsgw4mEz@7Ji zROKeE2WldbbMLMd$I#X0GCIs}!9916IA!dLwRAhP-}O7=_L>)`#U=I&-`yr>vR`Gy zMVny9OwDHY*QQI9e3yb>7)RYFf7*gvkgp6!=vm7xlt=p$;1>EbS)7n6IVVrx1nX6g z!1c%HgoosY{b@s(R)(2no5ks>c-n1=fxdz};qrJw{Tl|zZu&R}Q%b)FR}~%|<{H6G z__*+x{=k?aqtWNi_E$BW;QlA3wC_TJEHdVy8)12HQGHP`mZF6&st4B8TMZeVd+Q7Eh~1kAE@z_AmK3U3XmFFq$hbageT!yQlV+ zVET}e`FZ;LQ^AXuCU8%Xp;Yx?S?30Zs@N*}!bDlfCVd{f80|e7|F8lb%6^oC`V~9@2njWydHDj%RN&r2Xjy~a1(^cts;6{synW*?*a@l zlc_}BsV}sqG9hbb+t|i)b;-wn*bqL6Dr{i&?>ESqVvmhTgKk=?vYBKa_yt@=JxO`= zv6#=@F&sH1m0Ke_Q%({jtTuZT$uN||TeDaWFa7<|Uo`6eU3iK!wRiH}?xOuejBwUY0u+xZ11c+F5(eP8bM=$(+tay!0?$ zIz|w}u4aJsrusQ!b~^AZ+oL=QMD$y=JXn1QtR4v%Rl|ye4`~8ZY9(AIdKy+-7k}CL zt~HE^4o=%QXfw0IXX}u_yz2N(7R*GMkM0KrZn3;WPiRwhQhIa%%v!~N;a-M?7ZV`! zxG%{?WBUaTQpwn-0(~(%@WLviI5MSJH_)sUgf%;f2vv*=RU|@_Q37;46)3%9D~qjy z;47H{pXV#1IZJ>D0nEL*^b2g^Lq@>g+^+px9~eFSo9i>m;c*}MR*?gV?l9U#4kUIZ zaJ!Cho3G7t!~9&aESL*3rMi(|D#6`4+y-Wp(Lkb&GL|JtRNRJ}l17B8;x>v{fPGWM zJ3xMay-}D@ZbO7Xgv#RQ*I+Zg{;-J)O@J{ky!kL(X!{rT^qZ6DLr&)6Ng8qcmGFf@ z64CuUd?AGI5;V6=rj;7nQznc!lSAIe<*E0iiXJzi8iVm}C6Nb#SI#ElZSNmA1Y->l zsR_!=ey22vnVi()XfVsV1e;HWv}8lK@tbR~-_H~}V!y{Lt4NHbtP%?Ek{GB-vKIl0 zKlvM>I84dGD;chiL-WN~;WLB8D13~Ek2#|ajmiDHq5qj$?iXy6nts|%81!Ootbq^0 zlwffR8t^TgL4AxAbg+M?ZtJ+{4a3b$ zsyT|hBvnyf9hv&?@)8jXf}PHjfcBBNaJ2QR{wNG5vnRvAva)Tz6N$VXPn~q)+Q`R; zl8=Xa;%NdPZ+2W8IGKVE%b@pfAZo&g9kEUncFJUrzb6=G6knCc!Xz>|2wW;d^*Jn2 zvvd+wpLC{@1kAzqHag8ownMcFfL^rZU$jLzZ@R?6* zEl_Uu>qtNkN}Un!Bg$`^lPmxlk}O3163%(ouc{F{P^(Bp%c3k=OlDCm`~{-8Xfoh? z6O^Taq`d8EEle6S; zxdL)h9w-amz(IN_Ed@`5dCkLk8rk1pJF7#ws18p94^!|oO*~CfJ-oAWcxS~y&)5A= z%#Rxi)E%`l^xyn6&|YLkmJc29Pn&fO_3ZvYc+&)wLu4Y|KFnnv=4v4`)l>Qd6(nY= zr#uZ*N0tv%C#xI`h3A7cXd-#(UKmqm+4LLfOM%hrsS(g!&^sEuWCCi9sR3wDP(b_| zR7P*#KpgfCW|k2HF2S7NDb&_K%OqcD&}BACBVTC1k);Mo@jLJ)i$Sia`fq?N8!}QF zr6pJ@zN5+F^QB=t-;tF>P?XV_GN5-38FNX*a3PI))l2fqj;4CGV$*efxpT1*!R3>_ zn`c%I3di91Op#50b9J`o2yfP>U%ns@tFBz3CT@#JJ{Ycu`D}-p!2)XH7lVPn z#p#zQ#tl#Yi>m_@%1HYE4=C5LU>+Q5nZLQ_H%S`21vDrrkVcBOlsDp?t+>}@ti*_V zKb{*dwXXL8ZZ}Ce-O^Hm98g~L&w#QF_EHLGC*~%BC6U_(f!|OJRNKJ>%ZRFwS-EkY;DBpz=IBkp07=l_3C{LRj32%F< zVHuF3b))b?3O#X~`s!t54o?5m;QQ}saiO&&XK|(&D-!TB4zkwXV|J6JHX!(ZeP#9H zvzD{>Mfmf5UXP#J!9U)aV|BTZ_+CEu0ezn1!Fvb({GHM_*HvWrZHdPM2i@Uy$5`{Y z^~B+9v6Zq=__t^pTpn$%B^Z%!%ij+@cf_0Fel*eFs!1%|ls{c;_2O6&t$H&PD_Zk= z?MJ4f$SPUP?tZfR)m4uK$3I0@;tSc@qWyJEckAkpduGY?E(*q%nBY6d^`*#SMNDi_ z&sU2pJ6QOV$@mgHsu=D$9vfbaFL5X|YbfhY0g2Ly zg{tk*dl6;>+{f-46eeXk_j)@F25QvRPZ6e}u}$(P^Fk(eTpQzznCZnU>!oq;efZ(`cD2KRlfKYN)R3u! z>{{OZA`h8$2DVNMNv?=jUhzwE{D6}wNZ!m`p>3*}8@9N~rfknEj(E}{f_CZnd|7Hf zDX6+JK#OY3QLdHug?Y(=`Kt$z^hVHqQ4-Ze?)QjfwAimK2_eAD}+N@D%w z$QOr;-fzriVlmoS#x%3X@yd^-``K-Rb;7fiPwPuOlyq;Fo6)sJ5oyY=Xt##5&T2xN zDT&f2WxkUlILh^dKGc4rWMzkBD|Mzg4CSIHEN%9=pOj?`%GhbMnpi$|u)V28^bf&~q+S$xzLD*XUqrn&GOO zEUxo2oFnU!+ULACD`QW)XI+Wnv@ex=CXG!z&AP8pFFaTkv!vro^~l%x%vKm>b34>I zBA({5H+t%>Lyw5+Hz@?=PHK|!>4{RK7NF4ccGCRHH3?ce-K=Db%A;Cq3R|S%}X*Mp|@T?n{KFDalQ5;N3R# zDPP7>kAn0j3z(Tm7oB;L^TkVOG=F|oIxp7b{k>@zJoa{6wm4czC~TV?3kfv8@klB+XgU(`As?oirG^%oWl?g3W3)94^*8>7PHL!?4Az0?s zSkHuq`;!lU0TV(=hkBR|tmQ5YJc=)c3PTbq@H8&GW8$7D=aRX2f887>gepIQF+t5K zBadM3Soj1?b7Cib;PgEe>OB|@ysap35gIK>(U0eDxN@qoZGySw>Jt{O-XF5sHW42F zcv0MU|Ct~<9z-=-mJtgN?2{vIHda5C!;c3yB*=f{d0U$f7(KOa+LFcUT{IbAXq~oh zY;LJ&zwty3(f;&}OOqUV#D%lo+23jvK7XCJv9vUExB4Pte-$jMIUroL)1Vk+=RkxS z^pz-!_8-&=AAEjMjXK$VEZwGWEa)Le`9-!U`h7$0z2aB%TuztdE=#qt1Q7n*w_w)& zp!fUY_iMV2==ibp<&2+hY5F@W=-bD6Ki|#^y7IH@&ATasYIcp(X&yh`PMIhFz&HEj zgTCuT$?izc^6R7Fd$M<@T&#X^f~ z48G|PA3UpVM>{e7dhN+x@4?)G>c9HuariBv7T5gwRiZoDuc$+%^Jai{Fu%;WZCUxL z2=D%3pc~n-REcarDDSNrzxM#IIwt^KKy79xJO-KJpUgK(Z8A+x&ut^%vKu3oe} zy*ZxMb7f(&X^E;|_ z5?wEZoKNn1U95^tySEM|Q(CJ1)%k_${9pyX$H0z96#lZ2OIld_iyr-2lavzaK6~=tj;wjE-d_8QDqSpJoytt)w%MAdz@n#9L^Ow= z4HQh6)t+%}g(57etq6h}i`A)*)Ty%+sDeM27}OPXZn=*nWuJjQ);K3BZkpe$s zP-nm+*cv}?4Rg$R#+4l0;glLbscEVx*BTg0wk6T?4g1hy|Wf)(f4 zrWL}15i1n?1Qv7}Zo6d&4{eAivU2#bLTKP#5hhI5E*puXVJ^kF6Vod7Fqa2s;k7?${RcK*asGB- zLrPPWI{&c>cJy?`V=b&O=hX;>@AkZJM1lWU7ry!Iu?%)us^>V+Q@K9KBeALI&kfT# zu4da-f$Wt290mRykc}FeBZKXr{kx}RVMS(t#S7yer0Z#(=wXap)MR2qAhF?6R&^)c zN#1OuLz`KHrA_D)6m!G4APS)-u?7skUfm6Y2*kwS&W}lR~BHrn>j}P_Xq(T=Q}BdRe^HnAD=* zX=&6+Cf;gF?A+{hJ{|4MK&X{{?Tsm67(^Ie%HrRrv*ax#JHr+DkM!#XZznc+D^l6> z?si^}Jv&;xKCOk#6>b_{J6E}XlV+{SGa^}r%G>-=52Q_%j>_;)nJR#mo!ACB{0W<% zxetr5yK=C++qTdIU$oD6xu{>aIz|f*(dIN|s@5v>%idn4*nc4VTG=H0o^64!a_9XM zv($u3H(#k!X&+x3WH*^;4x#WL+0_h|XtvwcnpBp<946DQz^ryKJSp^x@|H3Fk};}X zjY)Pv?>(02@Kq!H^i*P{w@Bvw${q5=y5~JrGdZr8LTs*N&GRPlLX{e$xoPGLb^crm zma9OF{Rb}`iQ^HRmjrWlWw^U5kzrVVroVP+)Qh!5OzoOP(QqOP+eARYK5wfo_$KVj zoEZCqbXiT(+TLaGXCh6Ao#Xo3H6RvNX&}>T#ghXv8_xhf)Ed04kVgX0== zEwX76k=82uWK$C~mUXW^@6a|^f}QGb529M6C>ZhfoC#z&E)ePdEfj~vHyxJYGfC7? zAlMoHCF=Y@-DVnAPs0j(J7@sIm-QQ$r8LnvO|#76r#D2RMsxOX#4jz~ zbf91~CYo=NvaMM&+SeTXI3I0{q0T=^>QH2iFwPkG<)c?(6FsGg&S|2@H_`u50jZ1Y zzMg~+A#Lv_A{4D^=je1=A#<(ci%H z@!Tb_E)^i8{Y9;5ElUZlOVxwVKkHhqQm1Mqav60jI*M8yGHQXkNE7T=6zyLiHCTS{ zvLNCnV8=M9(~u|$c0Fz)S`gZnk&makM(Gs|p_5URFpvl(GeLmDJ1(3>%zxhFX;913 zB%eP^2G|l2A_{`X>#gvZwNPEO?S=fRH3eJEdB|Drpo?{DVcjrv{VQVA{4eQZ=423f zArE+?knK^eWdZuZrdGk{pGDzv23Nn9HM0&r;D9KI!Y@@WB6cv+RG=ziPyPoGUj!1% zNnHcURP-Zt?SdbslFQD~dA+98Q=o~w<>pXduLK2&3>C2=7LKYMmPR5sHl?Xp7VCn~ zFI_W`uBN(6XqYLYFf-)WRf<8DDO@+`dE&WlzruC!pm{wI8uFAL(o~-e6%*p{3>1M@ zip1gn0gcx*d@GB14duxDmD@nm;*C2fSd|`%z=!ZL{k5x+x!J-BWuz*94%iCsc0?Z7 zN=RYpU;eX5rW7JWx#KUZ08^YL{e*mHj<{=A&-Cf zN7rljI&`sOZM@ZyI0j(+e&tqd+(OW{!e7ErWMGvm&hoX zme_QJlx;0F)7A)y^B^}?C$cBAaF79}-9cud$7I4VkT7&23}p$!U+MzJhY-h-YBlQy z;UxlQA7LI2+(m8dR_6y%_(3xKpkIO2xaa3NKNF&~OAGTIkDoRt;=f!h`camVeLXU$ z)OE2he`{>*V9C-g`gH|QiDX6UKBzisgDUrmmPg9sr(e<{p&a?TNUdKBir7iS%1Bz| zx7uT3SJOeNovsX$PVTSBGR9A@q`AprdA;7U*rOLcp!h25EE#;Rx2J-}@hgh`wMJ0_ zE!?Mv!nc^o>6W3gM=m149T4W1LKyPI%F6J4PzvtzWzbqE*r}O`O)n=VzSk68DCw7d z8TUa`bhEWO;w=sRk8q&z`=^&Hla!mN+=P&$eF_;3bN{FAm`lRkm@G_}{u>Q{3fq(W z+jWHS`44n_SxfAs%J8qrV7nyM7h=3lU2K;&Vy5B$!H(fZ(XdP3X-VBtkh_%Q_j!J79s2xbeJC@DoQLyknoJGMNifQ8fWkoClpONZAh6V2|D`VZB>tJL{sx=Tc5 zOAw8DI6~r-wrV9gAg?;@b8PRmXZkK`wKy~SmUOTo43g0Lz1lAV}4Xv;ZPr>k=Qgv zc(oLjNbRVl>Z^*N;Xdn$sh6^RB?!`y;0|!VjwoFKF1vDoq{e>`#8wacbJ~!tEEXXF zv3Yc;RWpSZ>Tp0*r7l5`is3Q;lnQ9}hW!HMM9ZNG_&i!XdTed)y%t1h6~p@e5S+JH zQR{-*KUZtk21TYcrsyVxk z_2OHHyQr;E#J+T}5`IPdKg|kj3Uq(QWcLS6l^{m$(6`=fItf1G~a&W-oqr`t+{J4zg}!J3Smy zr6@Wh%|CRo@%@@VuSx}TneeEXo?p1x_rbMs+41lVXuXHS?M|7cDEUZw%1^s0b;AM2 ziu^ZxBuutNpM0+OrR>~`Pd(}VTBr4R(?Vv;P-ja5g{oN4yUSNt>3>Qd9(O6kE& zKOD>`Kh$2E9MsSqWBFE7^zi%JpI2s!BtfXt_gB+6SU%#lr-4%OX5YPgQbxmtzXhtH z0}K6CcXr6)A0*Ay?%(Op4(itj8Po=KEcjp+53Ki?Y%%T7F^J8jBh_+v)i2x#B3{=+ zL)gD**!U})LUeA?`0nEnBbVbMqC7}*@g1EjsXB%oi)q{bZWY-lf#mqv2;*38oF>8G zTXk5}2kBA@>eN@GFCTS}v!I-m96-4PkS_0J!7FU#+7 zxNFvkAdZ>XB!G>{K-h#+_^juBOo^%o`EvL^sjB0GiDRIuvd~Cl`q@*eSl;f_C-+zc zlZ6NJM#x-){!P%BL6!W;6V5=wviptTPy-k&QU-U7J1+}l(^tjpDkwbVdU?C!CJAf| zCbR-<{7x+NM;vCORwEAwF*PQ$cfol4!Ur()G3tG42ujymVpW~0qp<2C(f$zK=HW73 zB&m-iee0pbzHrIuR+H;Q&-rt$om6!5~ zfzI2OGn)7O9R2yjI_3Kh3-QMRFW9z&t%lfz<`+jEpIJD}^ zSMJzeI8}W2RdTBMcF)A!tZ!zG+?C~v!YwHxoz|^=&O=A8-fRBx;M^PSbN4c~Z$0@l z_<_G@=Jc~1-;h}!b4|{D`|-U0(2ZQ<#W&xVxLYdT>H9qRF=5@o7jOFukBG+24cu>< zS*-GX`enT3=;65D>F;)KcNV|hBme6`;az^T{_&?jg#=*YkD_;!Yn1c};-g1yYp{Oa znYLQ&){KuFiW|TC@Rsj4XnS~KqX(x-a%Ge*B#&R+a_8JnrKgWR8E?Ik+SlwArTb;| z*3Z0+Ylk*nZw?v@%i>!ZMm`Jw^tt|J^=q1<4@-4tNkHwCc)7xyRWJ8EdVg?q?~$ig zigVL*pQ)%ecpjhSm@pg{r@3^EMt)7!{*bzePYUe3jN&7IBn-c^(T44=TQPCoEst4> z17<1P@*Kym6e=xUW0_ww?s&~OLM2y5HDfdD57qgH${F($M0apCschqPBwIOt=^C@8 zYxI`&dy0(hmgQv_j2w52`OI^nQ?54`j0J|XC*`cYA_spqzN~P5LTswsz5Q~zB@@Id zd5$O7ABK%x)!DUnXx5ShkHO+Nyrp((@n44DzEPJtIxKZmf<@n}&XuJzp8esnY|fb-x>^zve8FrTT7VRt|Ha~u9emv#47NJ2ZI~yrGopCsrskm-n%4f4;NBo9J zg`S#I-D#|vI!>`+zg(j70bQi4-!jMHv3GlqxE_(qZS+`{Bqyd1>M&??Hd;TN|PPIvKe{uW_bktg`;Z5JKV%9 zFJ|}WhMU^E54gTqa(~3cVc*CzUa{5b-FHlvI!;*TC^o#8413yY0fNNmh9xtO$Ss;u z(wYZ)eo~>c@f2o_rB{Bu{_)zs7iyTXZ@-xef?K~21&qdJ0%c2p&STuHhuQL zuvc#TemS1<0qnS=*-ZQo*}{%HQ$liD=xhg%Sfpm-#=Q6eqcquT&JpuvUoHGU!oCC^ z>hAlWVbC;UGBiVk5rY(CO;cINk|iWcN=zt{dL&9kG?qpPJye85Qc0y%Web%;rCpH< zts^bc;(zWnTAttc_4>bF^YZl6o%^}x+;iUNoa?^NN3{MxvxIxW9YZHD-6tt?3_c_* zdv0Lvew2vDnij-%gGhi4r2AGRnu_gd5dLxaR3iW8jQu&$njSTMPF4L3&7Ju|i4vH8 zDO7!SqEiNpbIBxd6908=(Ws;9o4Yx0L+T_lAsGyaMeB@ImCm<`L=(Q#fC-O1t!_Dy zOW^dDEkZ_eR+LDVS-#|_?&DPE@mcPEJ(j0t{db)YBJ$rUP?Jh&Ph$y6GRw)~<0akv zT#IWO4+PIZ;xBQCbMA!&u;aCl_uF?en6j=0TSSN^`Sr9e1(KVeE==ooN*;77Z44v6 zqpi;&?7ewMZ;n;?sVv?6y0gQ2hD9|`?BiVDW~VA4zYdUYD@Qj{1e z6z!5%l%iYLN5_ALm0zwdWe^=_U$`@J;3tH<9QPN~2S!aMMF>r5spaSt&PCBg7 z5irZuP~ry&}Y8w;UN3qVt7lwT)_jxh1~0 z-?Kh(TCv5|Plp3vEV7S#K!u^A#K1?kak}QuAK1j*8aZ*@%c$65c!dhvy&^?z*skZ3 zOm+8U_&v4&R}HtNb=-9M?$Io(JiKZHgR(R zR&+=o<9=g>1*v$MMt@Y%(yADHJ5tt?3LR|=Fl=>FM4SunvM&1{p_7L*lPm?E{F`i*KxDi?6U0TQ(@T*?ER_77DeM~uYh zQJJ5}9vI(cVP6MfN1h^YWh()w%xKjl@m;7iF*Iup%|6aOKS+aEgoI+a4%z0riV-azd6p3t9GzQZ!Iw8#QMlvg{cc*2lT| zg%#DX(_e}ZUs@ssWFiF!{C3}<=J2RF7zV=7$a$-aYF63Ab>K;lfL-D(ICiGUmCx>; z6g@|p>aB->;F2hcLLEtj5Lx0~8`2RX)q6Zb0x#s?R~%5lGE66gh$9@7!LbeEAgn?g zi**q8E9?+84iTu6pVaJbnx@ zY+A4XlSD9wkJ=4!Qr{ooX0=<8GVNgo;US8w^|R39-Q$@BJD3IA@Z*U{|5E`6FBM^b znN}Qo4BH?P+n_q>&_v>$bi(;K!g&;5!nKHCRYo`n+ma4RpqTZA_b2cP4}8Wr2Qdrr2SPCqEj*<5Kr2I>>pk))&4?P5utFJ zI??H`#Nxgt>AofDjRf^R1ov0;63ACCJyU}{efhv%^wD2%@y3OEe-tiM{uf+C{s%6W z;st#EA8?WX7hK$Tq~1qxaa@>PjKM{yB`NeTxHxX=<~Iey#kcKy7iNFKMOSE1%}fLrH~#|{ zW<09dpKwuJvr1a~_+m-Yl5FbPBBsczAa6)Se=OuD=WG37w|3aZmGfj)+Q;pB?Kzdm ztkIinAJ?Hd->=FOMqB6GQkjrB#au~75za7{;B_T^z^o~r2=VOpZB%dPID*&F zYX^P@!xS`>VG-y0RjRh#{g^wopkqz08gbzx2yc;7AE1GgP>#P&NjrT@ZUfAzHw9n= zp6~F&pt$B0taf9C(Zxx`V@y))e<~H2;&jkV;bMbvk}(WP#$jfg7G$`>EI$)`JEQlu zMcf>W1CrQh;+bue+zQ6K7wFC}&_&tsDg=bK*b*UDU-}C&+ESTqrg+0H{r1Gi7~eVj zOTJ3gw=X=#+kcrt99BbN5d6>&!g^WIzbM6j5#z53DgKtelWb%KMv}}nnV%w1k&ugO zAibyyp~(Rd+-?E)irzC0a;Fnyw(GqD0+0c+2_?Z@=R4 zcsx|(%=~0ReL5kaXUBbn*=I92z zePUyw>tNKL_(d*LXAXUxQdINCCT=DwUA*)qL04LI6bUrqkzTpClFc_EEQpaLIici9 z58|G7I;YFn2^r!a<%nip=|2dxc&-IC4c4@OFK>55v22K1Ee`m)&Uzlo@`ABaBl2CO zQ9|g2M~XeJbEd+JUydtQCv}Xi^mjSZI{}71e_#=p+zYwmrih{%ML>d%9o}M~)5*1Wz;3v*8rkP)i@qhUM4}FLyRf0)n z8%35C8WqJx6vuYCX~0rPv2cy;ep)o-#8S#-x3CE+&9@Lth6RLABy!b=;%Vw&oUpCC z2v#`N&(v0pD7p5LB4^jS?urM^>+%XKs;n(ZD&M*YjVnbP^&$%ew*;338EBzVqEObM ziXf~gcCvlER>oum?z0?33s1f_opCa>B(^PDM+g|AwXjbkq$&#W6oW{WQGW|_w(6sp ztvf6%@z@<>B}ocS-66oq;c5`!aD6ruVwXXBx|8TZhNqn{Vl#ymiG@5GS*8wpD%2!q z;UX&ms=boKLl`QeNIf{Wfbf^nn;wSN`3Oq9dMNQG20$@*XcboHSZZ;ELOkN7SfAN! z4l(fLJXGOryBwV{wOV~gd-P!~$bvFnh>Vt5H>cQ$?uBYFzFBh=Cqxh6!m$=gH`mp| zBq+8U3dgX*?^V*#;@W;u)Xn}ivm9UW3P)Cqod!e{KO`YLU1lL#{|^o9beY2o2xIjJ z`s7H_?&p^Gp|Uw_v~2dc@n<#iF3IVH64YZePi?B+HtVEtx$EW_WS(87St!>rmR-=$ zR=qQLwj(-P6Ds09x$(D(KNz7Ul;);`WYM$PY8Nc19c?c)Qg!wtOL zvd8)#FTeR9za3dX;?3I^g|Oz=gGHkdPpc74RBZ9dL46+eJ}TW?0-WNU?Oxr*#zI5^ z{(2JOn=H}A8mgldbRh97#cW|GLLuqY*>rny%Q~HLsDSJ&kR#r-fRTw%?PYz?Fw_q3 z6MRU{(D<%?wNUQUM>Olu1ghC+S)4?$LtZs@axvNb!j?f66eOr5B!gxz>V!86p#sIR zDA~;fCL~RIIB7!Fz+hYSMkAEpE{!8d;+j~-t!r{YtdUs?3Kzrl-MLL1b0 zlZ;x5Y~sq5vW9DYTt6MISv1h&RUCUNm6{$2(XMu$+3#Q}iDF>TZLNOEHM6e_`BIyq z7~zoY-`mIOYJCr#lRNS?ocKM|ads0b2;b5e3^y}Q(axY(p07L<*A+b9==q6JBQ^4) zb>v$s?ed7~+qpl#Z{1%l9{pX-oxbFi&(P%&(axoBD+a!d@C)Ij@Wl7g zlftQ+ZjS5LRC^)ss3&P~sqX7H*V|6Un^x@kQ4#b=D{zI*r#FsgydH(zc-m}Fjm+)) zu52H1l;tMnN_Ah_UDlymS2gsbY30;CKQ)(@jH`GiCz0zOU2^AbM_u`s!rW_mj1IVa zxU8;spKRDf_`;DFs*QR}20E%2JX_OqaAJ(IVnvUsVYX7q&((~f;myG%>#jRh2|UoX z!-Vk6^be%hrEvyfg;zEVy1%_FT4r)-r?T)1VHFC?MwIrHJ!W~(Hy;sR1=y+}+qGNS zzhPB#5(I-Gas8q@Cv`iQ>QzTg*%Lj%@Y(I5LKQz7QfovkrTw!z3{-4LGFH2t@bADl zKO5~4N+G$~j`o_uj^b$eH3Q!T|76WfZkf zlJ~dq4m`YlN`GkzMf)*Vlu`6n?@SV9UNYr+I%WU1dzBd!$n_X#s4*I$2&6o!pzX3cdpYu2N2EOo`r&b2l> z=xTCvZ{d+w#L>vrFf5tk-z-&|=}4x#U6Yokt3}j(ZF4%s6$T1>R8PrxC z<>n;!{8g%qtea+26wB&Z?%K*1ABH&E#V>slwG@uXOp+<*(7KUL4i;=a{R~~lsjI3Y6 zv$d&|ux?FGpCyNxzhGlJXkGx1b}<8WniyL3-|vv-+jna!(F&uM1n?``6bR4mFMyN2@NW~4!#0v@^@9?u(3Umnp2N;1x+aO^5>;7dcM z`S)mDyT=*SQR&O0=I5$|h2*I-_!Hpa(FrhKutx;MNfu#{WzdAC26Yp-bqB6ZV8Qk% za}(fBtWs{WiD6yeePc1Oy76Khn#w&|HyjPpDrd3$dmO4}v5M}D+Q-IGU9L8d+mR-91to|xbg#g-&zt@kQuFIlk^B`nZ(TBSU_a^T3r z6eLgz|2`IIDvY#yzk82*u(f7N?>yrPpoCYl${)o&4G%u$&}@}(S2Q= z5=fos;eMb_fsubhe|G=J%Ne;Yb{r_34?Zea&h9s5P2BFQSro8g3ha_A_?=Q(2tQm; z!r++`x1sbD%NaI)%u*)!z!w1ojdeOX@nD|T9_h5s@<`|Y=A>O8NSPFBx59L8LnwiN)=@W8gkf>6 zP=s*;0D^0?I8X-Iu*ejS=VpLnUD5NsMEC>8s=z767rT*=meiERXK1 zo8(*C=d#-8-WPERO<6l$hUNcRf`3+rzSh=&fK6bvfjTenur>eedF#U-xf3+avn6ka zkix(8H6+ae*wNv91e1OdEdg#nyVZhIyyAI(lwc{kW5~(M^2*^C(9VQY80RfCML7|c zpsHDxXkWE+f(;nvDi^#Ek^nwLm>tuu(_7$gV+uQ!Lg_>f)s_wjxkC|l%1~Z7GMT_I zpL~znqY&nAGq*XZJ(h9+1f5-f>um>t0p|A*phS!@ECE_wphTmrp3$Mn;k)o@2+RB% zXhMW28qj-X&G7W*9EQOh;bkE|aWKJ5nt=lAna7o+O0%T>a6O`0Ted?Tq6(xI`lV*}{GF{S~6#UUv z3+-x$1?Pw;aw;*Tt8*~Uitn8QVb8!{8?Z`A2Za*1sYs@Y+=g8kD)efk$l=%dv`Q1a zPgN-9g`t?|^%(CHkMAvn3V8ZH3Zoc4UYh+iQs6u8t;ZrZRn;U3vkO(mUF3c?lIZDG z9DcebKRp>e-AWUl9t3I({^*oRqwLOjb-P9iFal#5gi@sjsYMM^6m9}j--?PNnLLEY zONUT+^w8rbOhfy$2=9}ge*z7H8Ct5;1EkcmDC+M3>xJ-a%}E-)86?K>i-p5L%0s-L z!PY`VBXWk?TK<7f#1folAxq>A=wK5{*4>x+ujaZp(B63HK$L4)At+C?j!;DmQm@2B(zB+ zl$-3E$oMGBIHHE;JU$wm&v=dJ3kq{z=`-d?^V>!tqF02WU(k~nCIU-6nT#_lLlPQtulnbJaNTg66gb1Wir>b0}(EEQu#2Xj> z{ZWWeflWe5#9t6GcAroP5tWm$>0SK45K*c17ewe9_$wiZF!>uIDv9(;K!oAn5U~?OgxUXv zh_wjKenG@OXTBMRh?!`me?UZ2I;H6kh-gmgP-OX6P52c`T4llYt|ZZ3mEF4K;p@vk zyXww9upjLTeY&MVST`VnNgSp8sDFdJvb&zYc;Dw$O2y!Tr&<<>(bmeh(6@3~KmFp} zP&k>NPAS*ce|Ffjj7k#)=c8qS^Qoh7HctPUhp}y&#WkN43bkuD9abO>Px8u_=0i;d zicG!qi~}L+rGZ}qT*08Rii)eP$`$}-TvTH$e4kzR1)hIJYCgt^D@mjIgn3O893z_l z3cZWT{xIaa!U~!Gl8|8xjed1HE+cYd$-3!jlK6j42jlXyWvIx_<+;Swp}oQALR_Dvj<@ zolfOYGIw^)L<9W^Fc9)t$m9JL!Bqu1!g2$FGzF(4{Df%ip8VF`PnxbdX zEBo&I5)k|m_}-9qC&1w!<+Un9A%6kc{UD`(loUwf0WE8Q%mUQwVOjO_ z2Mco@b105>6^_mnqx27rgNkC0Sqv2Hm?{g-3zLW}TtV^ag%N_o$TyQk8C0mC2ILnr zINlXbJiF}m$UMBjJfhxS&d6ZCQO{_vESCgRl2i6e=!Tl`*cDaIeH#ufe}M^1%DxSM z%nP4m(#tY87KG)N^*yf= zRvXLl1`Ta4$yvo*s-liU8cS~o1O7r`LrQsR+z>Vq!a!~7jN+yn-rG$*6@)1OsC||e$mG<)x zv90W526b?fa#Yxn>Bno>aV7P|NXP=)%ne8stZ& zEdSf|UTec_1BOXnwGT3VIFuNz4rL6U8F3qGPrj0?fduV1Lpn=!}b;hgM#zao!l z0T|zgG#9>^7=5jvz#OGLgHGIrLMY%l`2Z2%Pq)#e01*?$AcE4IVAr7)3-;%dPDvcK zzZ^>9&Q3!Z`JpOqt`H)g(OA#A96q5l8B&HsQ5R` ztdUw7zR=f=FY?Jy91c$|{j^4~XRG!80n+L!czQmZAB5e`pxlzc0ZKxjkX5Q!lN9=0 zPtkg|=i-fr;n?pBrZk!Ey9Xi4nVWEmh(go>RL13@GR_M!IUM`<%}H?Zw+{~fmaijz-v+RI{bH{OG+8fWtuKjv>|0&Aw z;lZE7KQiNAZFqX;^9DHkyB*d=`zAM{cW?jCr>^6vPe-JiXSxf(0wl#%78T`rP90_mn?!8B;d^fMv+m(AHy+>ZAoGBx$K60;EXH#o7oQUb_UWRroTF{G|P?)BFh_#fRV zEx4r$-1tQcgw#&v@_>LwSjl;i9^2 z3Fa>W*GeiccAb>U3Ali6dNLF^{rC>{Kq>siLpr)R?l>tIXnERcCbBAyS_KofB}VcR zZ*?5GSQ25>_re)^L?B8~;SL}Q@94LJhr^xR`Q|@?wHd)ecg6EWWb*Kh>N=V2zzJIs zb!a)E=55_L zdii_tU1>p^GiE;gv^S}*SFEo$$9!VU27=4Pd>4z?;xZvX6yEzlv8I0XraHVg8F-W| zb|?kWl;IvR<^plF3iP%mI`b0SJ3>#EI2q*#1*#M1PmQ8=`j?<_W$@y#p4P0cq@!j`+zo<73zzEKGD7#4nCca!B;NLv@7fpcwD%8n}A# za5PYB&-HQ;L*(2zl}A8lp8j&RNZM-Qwf8H)t}I((q>>^L({YfEnU42vtK9{L zmGiw1bKrHuqlAJf<1l$}ttQY^NI=FrkR`bnv7!7jyVe4Eu&pXaqNWEfp942_R5E`= zqni99MAlijT+GSqnZ|1>-1~JJkhJhBFx%6Z*Yp4YV0zMNG;}=!5hy#w(*odjy4PSO z&fiBROYAc6v5s1@Xq2$jn>&2QCGzKIKJHR5!MCMXgnjcDb?e=n_%4G-4SsUKV@iNk zW;~2{j%`wURe7sD;0EvB2hR&75eeuX`FNGdJ-dM?7P?Jv)?F7h#`(HU*>n z;NAF45V5Ar)PTO;QUZ)-a<{=FiKrzVY7c=I^En%6#*KkSQxR{=dBkt$&y3`swGvUq z|77`qC7(0}N;5GHU6IcZStFA6Cg#y+9=di8tz1iW?$>&kT6M#%_jj6k-R+~yyg7)O zcL&IBrHP7CX%VUOm1n#xUwETmDs5MfyaL+{bAYBJ5}_}0E)T@)xj;g<`FDa`#I!kM zY(qZc@`hn%hj&sk zxLrZOw9%nx3B6X>9HmqOFp-fa*JYsjn@XGAZ9tBv*9FiJFAq~5;Wj^ztF{Sf@DlG) zY7JskgEP_+G1t!w`R&HBwL)8oQ~^z?%V3mv01WgG**6=3U)5zGSqJ1}Kx}hK%vU-o z#}35wR0HrxU}6Y4)w^AIO+ax3PV-BIaQC*ONDxV3zvLoC^_05IZzY8$Q1cypx<3t$*J#szrz$l)Ou?5q20z!>7w2RY;rz zCXg5KeqAuhZ9Q7-d2_tjayj-wyx2;`ngH0ovBgf{HSG~cwBrz@+Sf?6Yi5m83DL(a zWwEYGiQlS)#syheWA2D|>4}4(qrkfkGpZeMYOjFFk&OaxfDfQiK18ZLhvf>}*2KaD z&Fdm6*D)Xsw6gKu?|{T)Dxc!9TMSLLrx0BfBk>a7uY zh{)u^yegrHsU7dUEs>1pWr?V;e$9(Gvg+b8ZV5m>{>743i)se?Akc}&cd708%`|Kj zq+_16^&f=1yIKK~TI+!>dgq@iyw;=eWyq9D zMO8MAf(CSs+J1I#1P{1W$O<87{{jthnb+89kBFgW9iwZ7m}tOu($7(418)2Y8ZvvK zCz6N^G-ntkULyujBE7a}kEOma!vL!a5ts464=)jcULC&-FpQU|ysarrDyF21iPa_wA9w$Y&H=rITX?jv= zdLn6hW6y7d-XSQKL1%|I$P152BAVx0HRuGxc_fu~5$61*sb&2T{eV0bq>8x5SsRbR zAE3d^CiB`JXzKwxP%6rH8SUSJI%o8AqxK<|V@=OAw6oAZ1e5^8X(v23X!H<33$yx{ zM@^oJ`juWA4k=OB=iqeVgjh?06u_RwY)YSN|#zeA8uJ-xBpMzu$wX( zmqg%gw!b~+ilEO*(3gTfxIGJ?H}pH%jC&UJjqhro^cxUUyW34MpZU^XSqF5E3H$hV zv9p2E@ed}$xwQHNQ+Q3mI3#euA2#Mgcm$N2saOmDU^n+;r%Eh}o2ZMA%nN-sFpT-h zs5g=U%sSScMK%Obt|lZpb%KDFhiIQ^y)&E69^BDwkbvp~EoaLZY1;ugQ#@_5fEXEZlP_@9jwM z`6auKHm#k^ZuQ(J|M<8{al(d+e?G6NAS9ZJg<5fW z`w#7ufe6DiX#s6-SH_8_R^Q7)c}=09fpIDo z313){f@j&i28XPEbkha+*xd&GtMZSQ7#RiQ^xtfIe76CR+OvUpZG#FL)XM7VKNHz6 z{SfiGS0t(hZ0o@qHPn0vEq4jfc`MJM-2yJNX5N;6P>%zr^Set$*d@?*XUorsd=I|I zdAiDNDV5A)l`D~4z@T*KeQG3RQ1(hhwLl&PbE1;QT7{QrglaTRW$1@--q8-#Xi#5% z&`15DqD53bz^q8G&`!xw5(Q91P)7YQj>&Z+g0eBz1dPqyuBJ{39BR8P0e*fOjE~Tw0n?sgaEe>s?a2u=j(8dZH zL;)%J<8q)EUmq4>e}uIA%^6ulb;QO98j&DnMFS z@C^t-DeUn$fp^5uqm}}1XpjMvb7koLQp*7I6EF&yr3-%MBaU)qpZX@x#0|Tz`TBly zj37+M(c{40XecZo56k+YQ0Kb6Xy2_b7Y+vR#e+xEtWGU>_v)cnkzDxdQ482}@38iG z>4njVAn(%st;7G&4_UTJr~id3N;Bi<`L?!g$a8Lbx&>9hg?;`@B?R8k;sTk=eEn+= zN}IksZj77vw)aDXzpzB5moVl zy5gH&!~SdjQK11*_pPBwZeB_hFxUH9Kh1_(99oVb=I^Q}3v0;%i?$bu78dOa zCx!i#>idRHy1*ff)QSiLA2HNo1zQdiEXReHI1bB(h{xJci{EY)4?rb*Rj63k@}Bow zpC}KZ&IT^f*#K4d$11%$TpGw)n+?age}(?nUw%`RgXGxH4HB9%hFr*9Kv9;)4Y1Tu1FY4~ zhqoMqHvW8{J!!Vxk1rnO7ua?0M&8Fn9eg75{^?f7k)nC;r+jd! ze)ja^h2hKl6_}Ss)heH!9pWi-YTp7ccX znfkNb{3h5Nb{SWro2|F`#jzV~_lPcftb4MBxBq$hK13Z-nqRXirpyJ?%!t~8!yCs{ z2zkj-UPqWVqF>6{a&xbGAr5n+YeDRnp~b*Q%SMzmzv(d)M9Grg;9hgV|B5X&{PMLw zc*)gG_uPwW;1)XDKF+ISM-*{>!5w3#A3K1d?Q(?ONR2YyvghSW@=5Qh2H(G@GF9QD zjGj+K&;Ot?Tf56Qqgamkct&Qm;+*TF3jh)=EC5DkGF1sH#h2FW0yq1y%Pztb`SGN{ zTqjZbki(^G+HhqzMub@1^zR-UQ8(Z)!!>wI4Iv{9Xvyd0bkkVGrK$Uig*+$Fz$h&_ z3EzU>4EOMlEq{gfjDv)-wmUp_w^x>(Xi)Ru!04UzG<;`0zf=pZmsiX(7(Bb|rJK1& z)z>860iScvwE5-xPgqjhl7UW~7=iAgcRG=n%1bx+PenJ@<$m8-N7t~aIzV7XwB$)} zXZ+F8;@Ddc*1z=pAGBl-__f3;d(kWLn7|CTl?x&^b|z;B8NwnwI)xN~f+(qg*fZg? zfxDMZ7@t-I48Bo`zNT!zWcQPO_UlVaf#N1c^+wl~JA+!d|m_JmX3u- z0)3VQ6el`}6ii1VEpqp3MG2`vlBK{ov? z4{`qoL(N^k$RXm`2(XP+>OfPGMK!?LO0{dxM=S)hOkf$q3O+=X)RZvRqxc^|1)fs*Op|nW*^5HMj@@bA zW*f6H-&)(SxF$-apvKK1jsd*nITvnS?mK_|YlEY|ljs4tj%h-u;5EVHH$}i$iDJ5K z90Ps=efV-;iqQeo!hkN5Uuw5{N_#qCYVT1;Qrm~paCKrMaIOxVx+OJVBuk0+(-x4z zK2A{1j>=c**xU?v^Y@)uwIDy4N&{IMPsS2@9>Bbcn3fFl%1?4v{jMXUQf7BW_Q!#N zVaX@q6Fg!)g6-qlf3FrhATrk%21PaP(jRh44O;xO#a)&!{t?=iL^#SIF7>1aD+B&y zenhyoYbWB?+!EN#UNxK!Y~h(}fI>~!SLZ+t2BcuNiNnaZ8c0A4nhKY@nh zTYm@1lnT3=9mF6$6f%ZH-w&|>W5g3O3+bF9M4_IzOK(K=_9{R4kRI_T8{En%sG< z3QPRytwx7;gmd59nn;p`KK{$Rh3%q6~#i1#?wc zl4F}o@J%Q9A{s_Lk=Zr-Qr1M`uqG+Onp6#>HN;2+xBvwdQHYN#5T_k8s0WnLP+%qV z6Pfyo?tT#kHGSY%_w+x_0P^lAtVJ8o_rnCU0T~o7j>1S_@H%0^0)&78>M~14>XLBx zBTs?Xj5F_vQfn|^@UU346hsW)LlqqJc0mIj#I^Ou0OLtd2=IY{W~gr;U=g<*Id%|o z7NRteh7ei15=%7+2P+_K0bZEkr=Nt)!aMLm{(VDB4~obZabK~r=32lWRH=*+%>E$| zUxH<>tItI%SSyQk07m}?L#8s)!9p#PlNusVgSzyEtqhR5CZeHI?tUq0vXk{*=pYJt z7Ig7=3~^1K_>u#2UdTgphC#Hd*fp)VW(l5iJl3l^so9#EzHnu+D6tr=^#WMS2V!e= z%d@4~SJDZ$kz9+&Xy_dn=R!@7qegk64jq5v1&Afu|JPERHAqRSM4LDQCSx5!+5##L zGD3ACcMLCZxK;&TvJ!;WqFC5-)W-k~HFc|jWWp%;IFW064t@$C|tg+0Z z&4D`K66v%6bq3(=LaK7Zj-}~t5rk=5;-sjw3gcwLVH;6jd(ln{(N1Imz%P6vuR9%M z;BQj$Xs|v+A>NIrn*GQPn*E2dR(_y)bZr(P9g0@0pSI9}%#1DHFRke2w;l^sj$CjT zxZpASTVR0yI)DfMBCtcc0#tWc!#veg5#nx;|6HC1@+)c!bP)+rCEi7CB*;^cQ61F8 ztyxClBILhtLDfD!#u@^%h88ZsqGuJ?Y>6d&1J>esUfI@mc_1(&^>au^lmUdAPsX8y z4LS&z7S+7NW)%sI6+W<05;PVFs}-5Y_ofA#ozD&t173V-s4Vfb4N~iIL`Qve13>V~ zx=dsMTvg&{Vb2TX0pO{+ZhkZD0f#rCwRR$Cn|4-z2a9-Gm3SKY3U54eEbXbJH_p`i zJY;}=N-!yOaRCgnWuVaVz&`FGCR>|g1aPJvPh|Q@Yx^lP{Q!htDV9JOtccPIRQYn9 zT_HibKNhn=uN#vHfNj8Xs?Z_b|HB2bUy+MrrT<0?Xm|nxa}ccLX`(>vfh=I6B`F8H zHD0Qo8VShWIhKlIq#Ptu9)>_8)b#}Vs+|4(-&^dQurqeyol5S1akDKoB!Mc)w=h{c@oOXQCk3MrF29Q6wgKz-4zeJcNto-T$fdnF1#o>S3gnbMU4CA$S zMQ_aVK)o5n(NOIg?FB^^%YafnVEYHQO+wC<_M4L2mois$Dk*|N;!C0mgVHnRhc9%W zBxg6}5IXyA8@UGLT+vDH?pQCQFf}#nC`JRG_3dpsNA%9KTf&3M? zlZ9CSB$yKtca-7-&3Z6ylEIX*bXXX)+cL}}K~w6j5+w3iDH3Q07O=G84Qv&vMXIlR zRm&NseOr<55cDc^PEmK?!efZ+iU0!wAPlYDhj!HzNp;;B`K@3sUhsCq2w^#bDA~d;Noc58 z^>%ov5`;jY8Kd?bf8`exb9dZPi@!CNu&oy@AfBlTbjK*_RUux;j+G-;L5D}P3*36g zLlY`IDDP%!2$Kt0^J)lK>Q17Ca-L(!#WpO`UZ$$VN`b~i#0Q@>k0h1n8?&|}nIRrhjl%SWC>Mamyy|f1$5C}D@a&U0Le!TmVOk84l+=nO#J)-O~ zTu@})2LxhVVB|qnR=i3E(Rbflh+YBu?mjCu(jj#sHBH@fv=oWG^>w}0LY3t}H7?Wn z-6Kic#|DBQe8t`rq54}W1iN9}t}8%xc3Y1-NzH(+QwDs|Cv~5oMOnGgc-JCCTtDUu z1?$&sK}!SIReZ4&uz(0aJhp!xfn^nW5KIV+NI5S`I4S4 z;acS7WS@EMb^_EG#q{(m7g>mudj^nGN=n{%Wt5Z*XD85W0hL385v^FX zaZnFp$4WvW5J<`RjAN9PjEZLiqKR^ukFb}>@qx*WBBfAcd{!lr(~7)A{>U(|A^vjE zD-#SBn3MdV0GQznBDqx!I-j`bbD{qh6vUWnZD8*9yZ0CDm<#m&%CS&nCoe^f*Pum` zzWIfl)z=`sfKFYhf68$x5l*@d zNTEu6o(lzDq%jE!yhqzX`O{1@2;E*lNJixq^n!xpvAc`Kv{j+Nd%h?=usg(iwAaQY z-^#AKX^!wJ={WbfQLoFE%A4tK`JO^ehtlXdl*Mj>iR53Q(g=mheCPeeQ>x)SY=fKX z#+ai(T)vx5u$wUSpqd4QWN{!Q`!=DRS$Q*8b6u0u9f8wq$IaK`C!-4Qhm?NZ<&p2b z`=9(+cJ}?&uTPvVZWaG}XY1Um^Vb<~evaI%JNRV#$PdYgkrm6n{WRVGHF@jxf!p|X zD8z5da;;$$_prP>t7M<)P5wEU;l$E>s;^ylaN zE=NCmFqn|#6I4YLH=FQtMrX4job%a;Ep5<-_EE}CU%crJje_+!hzOJlmX zG&P*`fv=dZGL~9P3W}LwnmKggY_9k+UgPzlz>;sBv0wj*=nqr z->F{w`24VgUy{e!XFJa2eqNU7q_nJY=f49toZ$ZNw;A7JZs3OmF8-K%Z1Rcl?>fEP z=Sq5yWYII0&#~uub%aC_(+lrZ>2_{?uwl~2+}y?-az&%-ofPudH_7B?Szpsk3O!JZ z)gqcKQJvx?LU)WV66Ge%aWztPJ!_l)Krk5Nxl3(-XMrfa==Jow$>e_~(o0n7OFd^i zlegfxhcPX9eS^l^n`L+Au6)+}^{|HZoC{aPSvL24SHr-G^p{qwYSPk14hyO+X_$A} zKNNbMfuZ*1;>FzNpfmGTts^=+vYPc%n^k3Yb$fndEfwVg(#}H)H{Ki=$kMDYA26(P z;^s6#Z}`^QB=RlzWRNQk-xH8jt+icm$C2B6SDI(2O=jFE@0Qz)S6hTud+cr!nUzdd zPq**#tR^%=9WCB0yZKVFU;b5Ydffir@?D?<2{F*YhDryn>{M2>72Ml!J&{fZ{1d`s49Z_pj(%GMJmY(vY4o!RLW3 zFXpq8QnP9lCm`wMJO(S+j>S}&|1g96X)D`9lEusm`FYv4B%JT$SbFsIs+xhL(*_b6 zKe;|oW%b#xnE5W|8Swb9XD=fTeQj|v%pp4l9o?yplqv%Aw9}qZbuug`Y19omOxjfl zYy#EzTe+W|TiDmQ^UYU!qFt5PHf5Yj8yt>>smCksvK)wVw+7`~i@~E;%`r4wtr>sI zq5J|8s4|Y6B5A~n8h_84>n(ltxFB}1@>R&+cW+TDdVj3nkl|s*DX~p_yAe&RAd|kl zo1Th(U%)z&%=M14IGSt^VP3BJ_^9~$bcMXrAzF6liK#b?lr)lv8vn(bdmolM5cNNC$}YX{tKMp^X?mxfa4pE<1RRjr|q?ZN!dAnO|+rfzK(sAwMY zMThnLq|Y?f`bLJLb@leovh*XK>LFWa%PoQ}I3IZs-1XhAr_j+PEH}~R;c8?mYze&9 z*q*jzvPn9*;+CTk*dM!Hgk`f|OVLK+wUfkw%)1*iYQe$}0umSi5||~EHSHyCUoK^7 zgAFL@q^k|bIIFP|0s@Qb?*?XoxB3(4FS zUe{bVP`(eFU#=RxaZrT5(~&jVjVto$K^*Ayn*txh1t;j}BA9e`hA5q!29rki+w%s< z_C_gWJNTJIE&#FE?T6U?FQXgdwcfhTN;J~2b~v}*K?2Ulw013L6S!uMx)~yLAShGm zCtB}OHLT48HQ6iEUd;@Ijk$JIDSM?lz3~7{3%ZMn%;-*%$Ti6j1*qO%aW0+QpOY&% zKKpQE-;_mtQ-B9qQiS)u+QD;$?!in^U0Bnbw+Z50aOjou3_;t??1cKvS zA09xsqCnyXhg*06Y$ua%q?3P$vOY?V8SJ2OD#l9&{o!;ln4pIUSU})HQ+4b2fZ6i& zjdm=clqmyTZE)UC&#g0HT~ust^O>;H>{9kWVyrH(Ls|N;4PJp3!U1Qrf^C^-+CWwM zFcaA!8DpzNMxky-;SBpzvE8>!UNbkH?Mj z%qUbsVku(7Vj#nMXwSQ}1Bs=ChE_RqX)+nhjWp`}EO_kzSjU$4|Ga+bLP;y%shgI& zRGLe3MP~R6RCv$#ts0AO$)(9%6&zNt&p}>ehP)=k1$j+L9GAvOBu}1uEn0*g{sWSD zULjTiPY4=Ct&wUJm->1yZSt+L|M_BQL2C(_fG(~tt_Xsr(Q?7dg@6?QE@ zf?%K)B)#Vw3Z`Jq&5_1W4~3`SI}UdB)c1A}2cd9<1goVKVbOpH3K`(CC>X|ZbL5)! zk!F=#@ZQEF&6Y9ffiut&BCux7GnSJwmg|6f+49PeSckBCWuu`E>12;}NnKIeL85rK zDD;2{*Vl5^rrJl+%Mn=n<;_NihcJN1u{MRtV;H z{+)kbb3tZv!8T-tmP7~#(nJ)rOJq^j;H(jU69fEMg0Uc(d_J9gK8}1I2^B6zFKWHF z#D*8%;*`RjuZM(UVry~a&S$WWNMak<2&(#JuPsiE+$iI8OFax20TvQVMnc<@$mI;k zaJb$O4X@pX&Hfm@$Av4^WIwn{wSE;28xq{4V;2^~oDN)XLb7=Cad8y6e&-k7VporI zkPG-Bvzl&_OrDoa4pGCijz>~WLiT#Y7G`ZJw&xv0la41Mdv%6!a`fG5^v@8*WOfza zF`fpgTW^RW-YX94h%dy$)>E)4>kU_{*N55gIx)JvLFkr?(Cr=IAZvR7ccXFo@-vff zg~#ajN^om%it#2H>210i;SByf=N#xVXQ6D3bzI@Kf z=5U*Mr_5%N^CJ-DCfoBOS^&et7o_%Y<+hL1T%JT{HfU2}Ftr&Jm-GiAE!?pc;@P)?-H;t)SW+W}QND3#yVh zNa9frtxvwHKP8Gjh`<VQEX>nY2sww0eSgDC!T}W+sD|fq~V_-g+DVN zpuk8YzDsoKXle3CzVFa?JbNs3i{orpGQ(1Hm!%+fS2AEBR3@qs$G*0-80C@QIa9y26Ognq`g@du z$f9n>lC6Rsni?R;XmijlN7_FgOWua-l22-^U~8z*Tp#`!UT`{;<+6CQu!6E2h;5WD zJuZi$AXSE$hdc$6A;;c5tu0P@R|_KUulg&&u-x8U0FjJcumC9^g7)ahIwE_jJy&2{$a)l9^%T-A2a+0P*K}`$=;m3pz4!Jic=)|z zI2Zb`7n(upeVAsxvI8v?AOmW_Mv*2UTHm#R3N9ahW__>`gTib9RJvt`Q0kp3$KY-b zRiP+os_^@4CDyoI@IRkYCcM`M9y9Ee@L(W$!Xsl0D^M#6_@Ds64-13a9GJKOjtYN` z9ji-1UZijD@fximPkb3nF!}~Thn*L><6d~+Zpbp8+M3`(@@zm!;mOFc(nJ9j$8T=I z31F@Sl*j$@auW}Jut6sz?Dkl8w?ec@-l+?qikZvkXM2!qb@OMucuCQyZ|v!pSHj0H zKmHv{G};|51PSXX6R4%1QKv&Z#O0xGENlcM8YX!mP;KaG)|b>rhM=KVabzWIgsBkd zcGU`EH==VF9K2DK%e|UI-s|#cb#AkMnx`V#w3D_BmbV>?mhS>}-$C{bRPmMeLxkfS zLB)k&5a9(4*ZeN(@#C;i=*UIOLgE1SEX~Z?zTztI!QPk^T|2=H;jo^2d^=a4osUjY zplevOU&LYXfMQ%k3gJScUQE$?pB00ZBT9cBC-w?O3~0-NYOR$xzDC?1D@!Em4PmG+ zoFe2;;F_&kSTX@ZR_?|OwQrY<14@D%?OG!u5})n1R@}Mf1sN4v*Cb%*I2Z~kx@-I(6Y4if#}^em zU@}*;!o9v5Fk#A6Zh8}hi-*nzh(atCBZ5QaD``w)8` zL-IR+Y3|5JGr|_m!D9iqGzlW7F6gG0DdwNHTX{1&U3%(^yB%qSfbZX0xBl$^@w0y6 z%jk}gFRx^Dy?%blEKi(s?cKgWg4!2e zmOioi>9v#(yLWzD^O$d&t~ly4N(sOUGzcES8;?Ejh1qjox?4I(Wg_J*Cw#PZuj}9T82M zsrFdo`5DX8Z+*0>s~aahZ*O>-Vkft8hT{7dh>Yy9Rd?J^HS`^?G?Rhr%7ddV44+EK zZPkH0sKl@FBV9-ZCr5X^02+C5-?8Q3QWmdwVlO-_T(fFfzD9>EKv z4N|++WYA^zrK6X2LEq!ICQW|b&hH*r^*!?FHhSX;(u$9U@S-OpULw3@Pq#Z2Ki?X- zXzRBWn!}`P4tdpY_y)v5Xs0Edc3_Sy8^1Og3kI)Eh6TVY4dLD{`Fr3pPXV;x5bJVV z{q1KOP`Y@D)9`zf(OXD;C&BBuzF)6Y`G2&%3pmtk_cu=D)Ci$wl*yQKieiLlM1xUs zrc$=TOpy{oCLP!gNz-GK<{61VSlD$X(uva+jefm6NXjfg3G%M(AGE zN3XPJvt`P!4?w-7l5nUeIfI(L-6S7{}=M{wUBNeqGcUdl`PM z&WVZ|*9Jf?zEiTl6r8+7MRcI+ zWYB?L%-j0d>Lv(ORD4RyE>{m%NaxB`raHg3nRk7iI4`07Yv=;$%pD`aQKnC&(E`Xr zjp|l7D1JDU;kMTGXXf(hk56~1Qt!0$AkF;DDZ|A+;ye?&5Dyh+mt5?Lz~a#5tGk~h zIA)Bvqq5n#_hDMpTuDf0hpqZNAe}jk!4p}Vu8%qxeB_7Q_-Ftga+gX%% z1|x8bf)VI8@Z@DwlN{ksA4-tH4nWc}U_nOz}Hc8P1cP?=iSUVl`+ znKl0=&kc<=4`KD^Jaht}G-Hb_IxRRc{Py1w_D@SRN^;zYAOKQ>+P}W7HqN0?tF@@r zNUD=1sHfjP1&G^gO08C*Zh%6U;-c}TO<|CUkbTAx@(*i}urS3#ZDiq5LKWSS#ety$ z`T)<0#~>|+8Kubct~M_yjoZ-lyUYfS{qxf8ECZ>lN#|DTD<=qvMNj5 z@kBdp0dNi=t!@r1@7Gn3eR!wcPQIQYhbAQl{PVed9+p*ws=1noYcYZho?};-H!uv7 z8hsD|RsgAeehc+wKL)Vw(a}mV%zxPc41IT--DJS~0czVnzzU!pXncdqw3H)8YE!Xf zD}W1Ffv3w6s{v|XLU;+!VR%2SIV7E_kCkV@hLdien*36(X zDO7to;z>D3R~F?}NAG*8fan);ECph)D6QPPKps8uaS>a8f%uUTG<_E|eK_YAL+;QL zS9eWA$FI-?B~)FX(Nl<$-9`Y{jBb(}WAzxU>yZB}17EMhiN6jA9OVzWOAk-BjMYr z&Vibtkf_yxczVAiwoiWv;b{OLr2E99>f2ZbAs{s*0>Lp+>#a~jVxlHqD8zMS)*IR0 zivNNXjZc0>ibhBQeU5F^oBxUw&;N}S@PG$8|NjLkD*lBOop*$t2q_vj{0CB0YEdiy zg%rC@sg)Q~bp98lfK26okRrtzrv$0lLvrw!>G|kNRP7as%sIPxhlVmfhs38?|0;ed zicn-4+X7UR^FM$hCF3aNfq(X>E!0#;34~r)L-C_12>rYh9RG~fTh4;_G0^+SB8g53 zg-mf7(^K&ze0K0uZw?D%CfZ&zIV)|hP;`j z_*-qT<1FfdL9^FT&vx9LB|)46IfMy~dt~Yv%i!@2@5JDPJ(9qevL_XjWUt0vo-SOX z@amHdWgzata#>b*wilHC{;F5sCy;MZ>yd;){ zW_S6#?61J}M6{jrfxY>9n-VYEh?I*K84QB4B>YZWK-O8?l&PSC0toV=5ef~6QK&@+ zSg?)<3Y)kBJW|(xh~ble;YFwk6?ht8pykKg1^_MF(LP*u=zb^4B3$(Ch#}k2lWHyL(5A8BuA{mLF`}r=mPlcC+$fz{GjL-YYWWx82#&s3aC#7As#g0Y?Q^@00*XS zl=2fX#_jM3*&!Q}0c^#(3~9$mVZY?YKoy!HJ^Jut5G|w&dB1*a-q;oVGM493eKbgv zIVj5b^k>~efN`A*1_-1PW6TB_$H2lZx2a;lXe?6AKbZ$FDBVkj7l)1^W663}J);#V z?VwsfrDrds3DYEq2b&_(Ban6vg`Hc?%t70Nv_Z&nMrKtg6mN!_&|*8p8H&5!@ijms zh$RvK)GWXR!~jDl%Ji-jS^%Y+cKs{Rq8d|~Gf?s5BM7jpRERV%tVV(pAwSscZmGVM zB3+ckT4+p#0?4$gTyZsZyZ$fmbtgQLHtUjDt*{gAk}36;G5$Ft5cRi3PN@)?RcG%* zE#{m}l5m`EPl5F3*J^!6?J2#5@H9qL#umu9uRd2iR-SA_`(e}NjEHTJLTsCUK3(OC zjvknHaP&0A%KBDWq^wT|s}3pa>g*KAE*{YKLh8?22e8cIEjxJf4R!+|Ys#>P45Ch; z%V#{X8tloc-0jfe=rb_t`oO3wy$wcPZZsO^UsK?pA_D|7>f|c>iN3JzBC(s0K=w!D z?5!mrwP zC$Si~{pakkhpfmBHKJ(Yj7E5d1RnPQqY-%_UY?r` z?_(iT5YWyX%QD8C0LKm>s}%}ME1?I!c7w~LE!qfqdywbGl-+ph%r4)lrkqXc>C>YW zBFwkol;9ySp6@--fU@DyBzVSaQDc|;%UC;t-@OhbD@9`S3apR@tPnCdY>*X_BC>>; zP^-$W zgAim4QIaUl`mI%0gO4NU|`Ox?zoAMZtsW2*1l-7d1$fW{oLK>#^39% zRoa{VeDeK~bxz&uv>J^@`1@9w-Kq_K^7{3~ronaN-*vTLCAo*?y*%!>bJ_xduT?=U zyN;gd!~1?EWN&+^Pw~6F?7p1JCv8Dp?wk4x-!yBrjm}MxQ-8r$xDu9Mw;BI}_38b= zGWUk=ltzs&^bJ?E)|_6d|MF_FP*zYzG;|5OuvSTLV&b84B<)t^jqyu&w->r!Ak58_ zRgKdnI`@|cUq2KUj{ZeeR?l2gN$iEiBmSzjk4+~1T~j+}j_Xd?>k0JzhQG2de1Bxp zd8+DSU-_OyujecE8;x$;(40s7w+zk2jt-9J41O~o;&3bp1TQ%P=4!O1mn~fhjXT5iwRz6gowv@9tWM^r zRfLjw)o*6bpuC7{^4||b*t1|Z*JIH2Nh$~2dwxkA%7{ODBaq^GtFq_(CshwRe=(g+ zP2#Mr2d5;Az@qGLSUE>nxqEoRdH(qhgPi4hXI9W{lo-qv@TbcJWuWnl1flp{(E&jz z?4=4}Q!M$0Mos5hb<}GzXYiu$3IS`8K8-EMp8;-KSc2+EX|6{`_o;+J9kQLwzVh=1 z%%ZE)rLsKyx;G!>lI{qy90bbM*O(-V)AN*?eDV>@q{Px1gEOQJMCem%E**# z*Bg1UiH8OhPesk%3S|7MYR4&Zo_1b+o#4SrfqQjzls5XfDz`A|@0Z@0i}3`fn2PDB z%gU_6D7IIY*J%#U55IrmB0uA-^+C0bzvv3SB670S%#8_tl?$2GYX{cU&4 zEPRf1`R`xYHzI*;lUvG?sg}iw+zK5Mqvh=t9c?%#i&Y5wVM2p-GGsh_@QG4AKUJ_ILUX1Tqeb48)Ybakn6i`1+*W;UryXqOK@Dxj!y|{i!Y)v5 z)R{lOH3qX!4V^|f<{GZB!)Ym*p+w`Kdb-sOt^5{kig|vlWqV>Yoqy^sD+b%6@d4!$ ztrBlM!B?JeZ2S3gw4i_dXedu+JPf@K_WixX;vKpz=scD+e8>9CE^L+HKxcf<(WcyK zBe(cnpQS~1*ybzfL|atVMx?XNQa2e*{29Bx933W0MbvmfGD41ioi32P2s?rnylLS| zI^Y<@?9^gj_at@LqDjeU^EAec9GKYyikqWUePu;+qU)lSI>-&62_UgpD;GN?>2UDF z){>anWwN}xojPa)(TA$0RXH!tqS=67r(0NDQhJL(!J+Ar+`-FC&Opwe*&T zx&p4&y|3=rmr_434UpTK=w#gV2q#@=n;=-?Ny?F+*gju5MGy}Xsw;CWiNlkXK9X99 zD=eLF}E=YuJh>l&exU$P0os z(diom*m+Z>mL+-0!n5Jp7cj4Wjum`?WS%^KhCN*sofAg{e4z&T5DG=3>y{%x$!{r= zf?!rcWGVhp11+=@VOcfEycimPfh6IESoXxH>XXcFGc8`#(N_O` z3tqDYC*&ag3sPG)ir z2=G7GlzQz|+w8N>Ky6YKz7!fj!epZ0gcj)Q6h1aolOHhgW2l|BOpb?_%)PlUsmcTq zOz4pqdfM;@cv2+VD6YpTBCv{`1VKOWDUBZe*k~S;b6g%s2J5V}89PNVu@r5TX8_ZAKJ&U#Jf}>I6Q?V>J?-g7VvUzGoy+v6d@RnJ%3ZGF z+8fBc=OSRu*J5nPkcUk9(Xc34kUK4lt6gct^gjHYMq^VsxGl=7G_>tB(BvN?9uIO&=+4p%emh0;2msMVOIAwz)mq96mnu zK!VUd652r^SP=*YK=@NA-q^`o{?Ew+@>BhFnGDEwvq*LjU2E}UoL}BnV|%2=EmXjc zU9C0+)FU%GKNgeFv$F8{W8OQSdD_xlNBoh#qh(hfNJzSv&O|esxrM`N2Y{4jo;`qM zIr@hzKb$?#9?xn2bxLRd68I!kNOR#2rlbNb_Z?GUALVe&lnGmb$PhrFg9pv-2z-#T zW6NKUjz}x*pDCpae6WH3P`3aHC^Y^)Wy09&n`yd%(TGjkgm`#i|epeyCaN_i<&st|Vndhjl!a04>3 z0yMesCkEYL0pblt&vlc_neJI|uShV**1yvpkshFgi1f;2XQ$$3n{B!|?$IQLq^Z!D z;w(=%m5TF1!nE?|Gw98ClEX{4A5>zYB7k zrI~P91*46hQ}yY0e<`lyDdJfv%7FyS?@HF+=6;E>MU82K+)QoCfjnh+zvjfdc~h~D zH527Ya9LGXgy|A9ZDkynB=3h_GXzU^*cB-XB%*agZDkgJ_C1Z?qCz<^Gxf3pVSeD# zbraLF>Xvu4epaSz-l}}Y42Tzv))kF*(uw3vhy`?*b7eucB*p2i?^;2RawE@_@&r@~ zV2J;Q66?jfMEjV(=aSIp*+#SI{p8^ z#L4qJ+q0*BTx+@L+b%;o-IPv`!^97m?-%g{b0e6(@vKOh;B5XRWkRRF=Wv!8cifC= zl|^6w)!aB>G5kgm?bl*kdZTKdU0&;7f_@r6-*xUn40yGE;;HfVv`o<8k-7Cs#= z7P&36NW8Gv|H;^(ThRF|WWiKXh(8D#u9m&5b4@7KEo--`-_^=qJ&$)h#e_mzOSGPJcBv6sz|;N{K$7*o0J0T`~hRHS7?e%>h8`t19y^{Y!w4Qq2Tw{y9G3K`e*)g`gzA#dLq)rM}23rmqu z@r#hs=edb_FS(-s!% z?Q}?X{h$9xLm&M@2)hOB4EAfGUt-K8}tRlXgb@O<8XhKjyAd7GDw?}WUwKRP?*Oh zwWZybAh^wum14@R4p*q4Uooa!oU6dN$*yd7nKs2U z{~!>b_efWniZj@U&wb{*sVv#pdc1jB*87uT{SBJ26^jq zFb6l3gyVH>(nL*xf#_zUK{k}C`eK8G=+)CbYRt?#ZV@;gX!`DoccSj+Hp_v(_R)Lo zSS9oeK^k?Xgr^cPBdUy6Ln&A(*414;3a_xn*|ZBKO)K3#o2JiAP^GjkPVlfWzw3o- zs){-_!&T*TPwYmMKW5R`UPB*Zk2fw`(jMb^XD^}Tk5Si*70rvaJr-5Ui}oo1?Ng2G zwezmhL-_qiA9+dzT1PkSx_I1!^(Eq!{2{h(wwLZ_1!%l%NqC8ZE9rCp)$=Y%Gob7D z>+kgThz6lB_vTWw1nY-#^!!d)t-iF~Pwm-WQ%kgVx8VuflW-;PuBd6j3HVEe;EHV) zhuho6@q-ncqcoMdL_I>`q9Z`TOd7vP)DlMfV-@}qgb(tUnRX<(o02``OXSA14{=Rq zlNg>uM}MsCLD;Ynd#8u0TRayPsAmn|x)G|)@Kl7)gI0aY-!NpuDuB{_aAla3y|{fu zCB&Gb6aC^beEylN>^rny#MR(Vfv7y^eNL7&X<)Ga`>ha+uL2KZtPN?4>e zrO1Eui2+wKI|;H{l?lGnr991A-z~dOu71P!-9q%1&?p)NF3sv3YCP z$kI>z>H~{9sIMK)zOQP?h<3PDy`!K`KBfWxv?zb;b)UKM6raYRi`mOBlxTN8+_AaW zE|szIa`=swoOi!|rL%V@epBXwk1{uc=dvkBA4Il{U8s%QWANMYi8<0XsY^aP%pSdw z5iHgHY}vk^yan}t-`OS8dxqxmximkf-(k!)yCtt+e|L)Y?9<_Ut!z}j9A~$@srggo ze*g0;)Y}hkwm$9Onn3#8!(?&b(d8Kh0#Aud??%%rdF#H&z37}3LZRmHM|V!47Nnx0 z29I8SkA)Z!c$oJkw874+LNJIj(9RRcUEi7`g+I`Bk> zF_o=M%}ENnsCJXQ`l>>G-*!G+Jx2I#y!`w7H=bW}Y*cilpyL-i6MG}}U0V*3HHK-; zw^fK`_3gu3Sr&bjbm7%=i;lJ)J0p>)TMwanF-F@N9AI}^|nL9ZI>fH8ey;3=z3Z_ zgXosDaw_ZDm8*W6(8IQ=aHm&-jh2Ot7QzbQ5<6;7&|xPQRb{3o8titskGdmNCbQ(O zn3yCvo(?xlN^$?LN@o4`XqL-B{_1#G0*HXTHWQUZS%N*GTgu(CoK<9XuB>)}$JFeV zLggTqT=eD$XsL2vl1Q7MfAY( zN4vZqfYiUiDQUvnvPiUve0gPK#LU|#L_d{{1z<}M8IVmSUEiA-4VJs__v{Y zC}s7i9!k5LB16ByuFMFkO%%vYlVs_&N2XUe=JysJ>?v&HM`=?%lOgs`uiYkJS}B^H z;_16a7G|w8U3hhC#L^&^PXNmYN|%^Xw#vaVF&o)wd^#RNWY*w`^!&#PH~Z3z^(B;e zf4F*lhS79tp_UMI>fLLlOr)znkg031YG^1m^KC|@8a|y$09Za@Om&tg(*5qKp}DB{ z26#`vf9pVb75=siqcY@fS0KE-QgiQaB+Jn@{gQVIQ4I&93jbYb}Ec=+#G z>=du9JOA>MR-AXE3~uqPgKjD8k});!$whb~S5;-}6g14+ojUM>G!%DPne49# zOOz0ertS~LC7`y8r*DCHoQ*}Xu;~5pgfxle{9PXvKq;$-*NtOLw?h=6C7yWD>f;45O+u?SyXmNSFp9CH8R2Q@pXRbdAGYJp0w366`V z_Ev1FXPilrEp1l4ocB1X(fV^T1ktU`*yV~wx@`(oXEpTs9$uin5ru;*Cf{he4te5< zm-o!&I!rqvpqZ%j7b%KjL(t_6boFmuY}%pTK+RW%cm9IBcu|9!g#qRK6@n z0O9~KWM^N`VPqOK@ZeT_WxS)A2o_+kp2Dl`@N=MVmq9O_DF`DBw0^C(yNy7MoC80*;wmZX`uko68f~A>LFJPQ*`*CUsLk+)1S+UhRv)QFeYg2pq%w7V5X(?e2+s?{ z2%=E8Povq6UJ%8B+bov}f8MjxA+h0A<}%QTlKu9`xH z__87e2%>|-@^qyT4efw1csdf(IT(mH3Hy_KTNc#uERr0<(7`qXCW&`EFP*so3S&u8 zVW6Z!2TPq{r~7n zfau8G5AehWY+c!8Xjno<4WR}^gQ%e-K(wk9Vy1i(YLPJ&B5up%lwj^6f{st|PMx0& zftd9SXE9#9TmP&a+CghoYK}B(g(Isd9Z(~}g6yNqTERfm%0gi2tZ}pN-0im-4R$gO zc1b;?bfK~X%nITYp54D%u1tl@lpGnBKmu*n4|ay~Cd#@jLwTVx^s2BHvHsO^Rq8El zthYpfVF!_1)=LP)^+3&2sFwj&F>Oc^{XoIaD)Xl*q5Xu(3TCi^8)1NjzaX1t;1>AR zffYOzzCKeOquY$$LWr103QA8QNQ?S8D4PUuMPdQq!JHmQqB+T6bAkyS>Mf)T-5pt_ zXi*{b4;B^fm7yw8u_O^{N&KTlDCOgn03G1~tby}~afJl@06(LqR;Hb|d`#_JpyWxX zMHz~DLoGX?rS?xHLL`7t0V(F1{s}^3;CltP)?0BmiUxM)Z&xNUEZgo z(|5s?x(9SKY1S8Xq?@rL-Mm~V#1N?WUr><(y(&VHqy|OA(u$Z&v7vxnZ-Ou!iS*1V zXz~`DSXZIX8CakHLW=Mp)>Sg~nIu4EniLg|X%m46RJVCP>mFN}3!REoIAJbCj88)Y zZ8St1RV0Dho`Z%#s44<%Ka^AgHF7%Qz^Bu(=w8^VORx1c6=P##&`u=+vqFg>XbA;F zkU|0CU*IIntw*qGg2}WTc2JUl|DX_q%rUu4h>8NJ#Kbf@&@hPQjK$`{tYolR>9RPW zYx2C48gt|`0q##gB;k(Ds>IRG)X%?>pLD>F>kEJs^j{O4A&k%Z2{eX?3q7cXv^qH$ zQX+waeh=2{9sX_OWf@_oLemx-X6wEl8O5GDP}7yEbPwoR>ZJZnDetQWH~T{QQ0oNR zE|z2&9x9R3SP?tzW}@Aj>n#>a>V zA*L~;-GDB;6_*KFBCF+ypjW`(FZu=i49GV4FTC)`fQUHIyKnNKp495AR+#3W--CY6 z=z?G}oTA~4j2a!ju=HSWivq@(3w}bIBZ#FH5M=A_rEq5KFUUiy8a-&J(ZGwwbqtqX zMp{c9>Xd$Adc}GMYw@+jz~=orVE2z#dZg#HG#AP@tykg3U(yT*dyN2>)UC)2A&JsaS`A^@1LK3 zuy(T2$yo`G$ABRRp63&`1)e=psI%7vA}-gm9m7!5v`4C1DThZj4B|= zGPud}asd4jqTZ^J+0i-`o!P0FcqLTGH1NE9-yu2liHdhDiFGykTnaJ%D%6}?K@iU7 z-HWL#Rc2UewUWwb>WHvFz3{SzEDE=4Bd9*niLpx zz}x-a5TjP(wu!|-I8<;q7|fH`L^TgQlk z@+o{OoXIp}2s&M^4%UpvCKe9a9&8e#HWF24b!`BC74-PzgEC@E60{r13w+}d#NwjPePk~5<~Q3TBTpsBmd)Y(}z*p-^C`nUCg!U+AG#nuPOdjkGj8>dvrHxyeR zq#xFYGgu$aV(TNtedJ(TMP4tdE$6lbfLgL7H3@WeAKrRAaXkd`g*q?zvFgjD%cYf! za7x9MXT=&tiYMBj%O%x55B{aA(0wp)5KVai`uk7<|II)~p&456 zKu91nv-xJWrKMPFi z0Q_ZqIu6u&Fze}|Q2P=C1|=RcJW9va8iQ#<;}GU5GuCFexE=yK{UNYFk)p0(ntXl{%f94W%c&viBa}*>!wYIm396u}V?yv$ynabUq}y zFvkSgRBK@j?;rnegBiSyyJ9vNlE5OYD4sKYA2?Hx{~%#nU0-!ga9hq^WE?|cUij(P zu>2Yg4H?Kv%z>OWr1yZ8XdxP`=`}G)FTpG--3G?YgvKIzCAd0761OaM9da}{@H*a@ zCc1FUOx4g%g?R$`sZe#IE0CS24TX)I4dUR3b3=+|WhTvHWMFuTk>Qj-ni2$oCYNY| zomH!k3=f?vFCT+!To00+KvpktHM?;!eA^s-d-YX~dWPrsW0>beDAjQm|y(KWW$NM(wRfwPI?3tApPW|sWW_ z`wEq}f6_0P&&G*#i7>B&7k0J=tdk&~sE0`5Fw=LI$U;l5=U;CZL;?rA zq$VmQu}NA7LO>ssf=Dw0!mV_gRg3EHgz15dLyaMkPMmcDgs4cjXK^2{d( zV_$cLUzxG2C~#|+)5jADU%>v<+OtmY-rU-W&qKA}Cx&V#GAE8r_>8?Bt^ICL`*w0H zGj8L-A&c+d8bWR`Y9~j#CoWn(>X`j`Y;NuMyS0ZVKRkUu8JRKh@{H?HtntSZLIw(?)&$(H!Q;L z*VOKA-0mMY+0J~I`OPbC;@glSHSUyWPxU^NzlhHi!yjkAAD`@d_NC;k?xUKxueIcc ziT9I3)U@hj?HdRG{5JWq*7f8_Qn$^%%=4p>H#ffLo{FW757`_UKR$9iFr%wx(VkmR z;##j~FJ1eU+x>3x{P2dxQM2_n8-pgkuRXul=9&55*DHPBuZ`e*Z}z>S7HX4+|K9hg zW9OR2Fs+W^uuqX+$$mBI*Zla)7(-n(OW!VvJpG>C{if^CUcs`vRn1<0lcf7G#q+;S zv>aGtvp8cUep&OyiYwmF_m@bO7!VH*WoI1y*brS_65F(QdR$S%#O{~PZp8Ux_hOf& zx*PGEBDZ$`K1TSxnlbum$I(xEV^SM-rEk1?CunWgT#KROW3;_O9q$J#mNkEvxaxDb zIwb!Y|Csf%IO=haQL@#tZi}A8wl(j{UO?&PKR+(939YsE_@ZO$%bH9&yeyZ^L{&nE{p>?rw3aj?ko<3ilICjGBT=8h+ zb*IndiC6Q86J`%sy*BkmSGr%!ueob&=IdOLvbH(Uy7>$-@w>cNdgPHskx!4r7+AZV ze|Pu$*S&tVCBDtG7Ek2tI-Rj}tnvKVh+pih!@Kgw1GF~V9JgsLX?(ho_hj^a#)dmy zx72rEA754V@!|LOtEV+f1M=RNpZyRVJK_6z{5WY!a$?Oha_y+@YRT#2WOtj9{I8BL zKKE!asadq&_Pn@?*Ye&m)HRuT^+QU<>{A6#!tMVw?_T**_fq!U^d0-u4(~5_AL-Kl z^jgLv_ErDu_GQzL$IltIOFI-Zydt88!95vw#oY63)#tH8kxhRt`VzFm{?%2RH>1~t zM(m5b3#V^-%1Bm2eLaci5Sw{rUS8datj4D0`C)VFnpZ>H}&PebE~RO`o0=WmwI z;N{OCt`5BWq54+vOYh?))inz%HieG*?K}PYxADrjnI)^X>1P^`ElbOex%N=EGJEHk zv|jFYo#=wO^kRH_*p@FRs-xT3SIlS5-g_dJH&*)IB5ZN`8CPCv%h<7r>Swfyk*&&O zPOCi}9(~#ObX%y#-WO@_cSYvBU;U;ex@(z9>|Khck2`7XA6(M&#b%A`F?Kn*zT;5xa#Z|&t%r$3jX*xDWXQ=V-CB; z)nirr35RWd{7@CQw=;+7eKF%7AF!UgWiI{nEM(b(uBZ9h747({71R-R`8yTXCkyGB zc$enB{ltoqwWEXI6ONut(1@tLQ9`G-FO-tVZ=S#YR!4W^C-s!QB`->2?`l+B>310N zdV2!*@VABLU#`8mqo?X7E1z7`-M5B)_0!ehFZ;e_+Gp6@dGa-T?EBsQ!ZWX1ZY@{J z8GNkQHJ?a5_FAXtD>-a#`-_3#S#F1~jjz8IX=IcAcHD6L?5%}^H#YVMvT^k01o_@){Zj&+1VvlZ^?5@}cOLslF zwRD9r|N8vD{b=w%$Fg31h`YIV;onZ@mv(K8`(D)@_x;giOWat^huX=vYrlq0Vi!Ia z_icRpG<%ZMR@eS)&|$z*XNGJ z1!{lV-TC*og|>JAPo-skh?`pRV_3#l3l-w_x#1$8U{mo+&1tZ5d%2$PB3mzWtDQ zrsS{Z46nU8`n(w(*9T%=DMl-9Ed4X!EA4*!^NpnoTuaZ4-*dT2V0RL`&o7Z{uYEln z7`;ck#Hz-}E#Jp&v+UlASn5l;nWro|w_FNi^?lBdOy&o!n(R!!764b6O?N*g{59&l z!ML#GArl)Oj^*oAm52P5Wx^c3Z9uHcXhjd0uYnW8TgU$7kJ( z(~yabHQ0CjQ;g87@zY-u6Z3Y*j2vgzy{WmRa<9J}F2Z{DtP0|tm!)?m9^?*>yyRS- zN6mbA{C!>7vb)*ey+c{!5rg{|69}&}AJ5t{g;Maq$C>%8T+W<8II~-ovc@RINAvh! zp79)S-8GKPXSY+^No{7=3p_re1h=qDylwf<(~m*a+SgTzG@Qej8pDJeQ3Y2_+=vN{B!9X9Pj|bmg4&W%+jgw2S8Nbm7$@|VhKW-YY|&;b-zlP3gz5D#^uG=D^|__F}QQ5 zA#oZU+<<7XFP21MGgG9q^~iRdEK=LGTiCqdmD7*{JgM(!jlWaanV(B`^%#Y6i$I{r z6KKy@O@ru)praqIDX+FZl7x$(v)xT+$}*{i6>>^B=}V?QepJT6g<>HSiV%$;y)_kA ze7YnftMCxFur1@tD}D8*^8?QF^R(a8H3=Rp7r2M`S)p&gv}NiYqG~4L-g0oo8_sH? zbqdtBD}#7#YY3?~LxCNrLmvpoEPGKFptlKL2M$!X<*PO>hi8@5^Jrj`GW+K-^+p!v zr*ga-Ay7X%q`;NNwu0CS)iY9}1=3snBer4&g;Ral45Bca7r(5@@@T*0B)Cv7Fb?6b zfmjhZiZ)oFz*2I945^`X_hVaTk<}?FZh-g5Hf73LQ~1XfP{z99t95=^l2?+o9tY<| zV|xry9H3oJy1>|A*Qq3&DxK}I$XpVwPA)`X^g;xN6}dr&fAZBPRWuh@d$z~zOKA|J z63~`*UmT-?hapzbZx~aq&!FVR9$x!nh+g+UIthgJ5TS5u12#k*N}d5MI4^_So1fL& zc4Lng#Z&X)?}G5tv0d_nE+`!Pq9oTqyP<6G z&l!~8pxyJ%e}|}q>oN@o$cItLgO8PV-$K3-e1H#MzP%k{4i?W;CAga9C7f+phbLU3 zon2S;&@UhLdCB?D^O3WfU4oL`FBwzvtSa1Kdvx2RnM=@=tVIz}e<)N~!4XPpS0Tih z1V+>N+c=z+`7Cp2s{P$8%>H#=Tw#Q?#%#(MWegT#ZdnAUjCnvdBEuOCI7 zgNRv(R3Y;eY5YIpIp$)FfOGIti+Q<8;G>b%VucR(ZF>OeYcK#Wh;Za=O66=u(hrd^ zF(%tX@Jx%I4nk$VSiGBZ-_=LwSc=w9K z*?=e9m_dn!J%cqEIdbaRtm^%*&!L|nQ$|tH54(-s z)*tDDtaMn|b*G+9BIGEh>FIMgCMpC5kk67r9j(6Yx9>wsh_?eNUunyZf|;p88q~YM zTZ2hB2or~~wu;g4-I{0RCKRSI#a@nZG8N~6*6VaC=NdjnoyNwY&BYP0C8&$jg2-*6 z8J)cZ>vRd=kx4YR09GVBY_N8QZYE2*TOUIbM1Ybjoej}qf5hXAU|)}Kx)T~ctJ&y^ zGKEP&+a-_|Ny1fMm2DKtWfRI}21KntH~=yeh;{0tN!!9;2Ez-UQz5)=jsZ3)m=T0e zCZp}Ln~F9-0pYA)a#kUvaKSsT5ZLzPYy(Y0h&@o~0fD;D`Y%8(nR8S>fU|7Im7<4QLWvSSb)DpuZoY74c}Lz!(!3 znfBSX(r1K7N8EI!xt7@izPEzn~-P;MZs;6umVZeodm)%Yy~hngs?VPtGmku zLJTr`#d-xA0Wk3)ZZFDN=|%Wvh~e8Qc40QRH$e~%G8i#OItz5V&36RhLCh~0VhGa^ z66#`GrAuna$7c0QpLtx9X=KJ4AhlEuoSm{|53|Q;F~iTI;U`1vuwD|8*JJ_`tjng9 zS6T>(a(|J*=`{WuQB$}eYkEKM3{W#b2}IZctt%hNHUn~8uHmb^X?!&dv-JOwY#k0~ z08&6rI_#PE&|nR*!MfG+)qp`laR6Ebg7=-?S4G-?V^QTTG_VL5hIBAQK>~J6T4nui#sP z8I9i|Q};wE@8P}&yzlXGQq1ryYe*mQP~%p-jQ3YS#0Vz}h%p@zW3B`x=Z*+AAl$w) z5&8vX+1JW`hpkL01L7L1@KsUveAB<vi46A#rF3dA7!o-?Uziz+02LdVv<>jJ-^0 zGv#GXlONThT%FcV6W}*Zp;UgrnMoqToljYUB4as_-wEOnf&>Q?kG_TUv#x^D4772) zA3Dc1^_Z<34&*$5O21kK{ej-DE!Od)F_deQ6tHLvm2p|f%z5L%V0!Cf#E694Sra2h z=#?)hrH7Tj)R%efYc+eXHHYh=OXjI#`~}pAtdcPb9EOYPGI1vj9uh zGnkhTR9G!L2s~Nl3K#+^{u#T{4m?3|>2NR#HH|2Z=dckqgMt)H1E4Kf0cmlk83tmM zqtE7W*!iq8_99&hnkFI^hh(?KB_%HqDM4^>jLAF5)PuCyQ=ggui;#M__FK9hndd^M zFG}Lf?=AcEk3a&~DN~*>nU`0Fy}jq7O1sZlJTPQJAl#r(K>R+jn|T&u^mrF&58ZAc z5b|Rq&Wq=jAA>z2bfKu+l<9(gaa=4)cKhRt zJq`gP5^}yDXkv%BEoG`PfSpK-MlCUY<2alyVHxM2p(5<)ZxZykajs#?ev<4e2hb&l zyy^U4Y+4*7<_QRrfE6LL(tylxw;-Cim4)wf2{PyfA%iSyJZicNXc0t57LC9NC_ReK zKe2)OL)|!`fs|VI8NKvY)gn?YH0FNf6aj0I9w!7|36hA%(mqkK5q|sh4c;F;(AM{%f zM-&4$Co{JNB)e(I#@Z^@Timfwb8|X-Cynhn+KQIaSr)9e!7aMXU43erWa>H|=r(IeIUXM`iWQ4TCZ}0zNZIOOi|KZtK=h=e@i-m?msdJ6m_E8p z{K&!~IGM-^fN!Tl%=1;DV9Z7AeCV;TCns#sfvx&o_HZu@4PJRRgJxC#bAG~<%UZGj!PbEE3yT_om` zD+|w$65b~w56tMMJg0(LG``thZt1M2V$A}x95#w&@roYyt`8CYG zQ>MBb=@xV6T!lb*<=s(vk6tDJ6E%Ne;9z%h>8n4L!Cf#-6f}=?i~kZd4_Xl0t38s; z{O#c^&e4hmT77AKh4DDdeC%g3?}1U)b|YsBv*??%*Sl#hr(-XP`h4CQ#6PMWvUwhw z*Ty0WWiuHpHNQ(_h=gCE3AWhZ_vx?z7Oh1asL&~<*%To$0%OFv&Zq4<>eDJGL6GY` ze9FHR%)hp@AP|n9Gx8SYuf#q={u+pkMIrMLLvOaXu2j`c3=O#$Gyt-~0rtGGJM@r1 z;)=`ibJK3zzB)8dG^61tWRd1dQFM@Xf8I-;;Hd!?mSmj;C$HdwIORPx#0gd zXui=Cnwo64R3c-IZyP4;{Bmz7|bmtIcPwFyU z#dl>&HcP_Se%ql(f~zu0k+VHN=Zigr%eR>TA5`IEzVMS+a_rTrW zBwQJ&_R)>!J6gY{+*>1TcPfrh+j9l{MQ^M>)6X0_K~ldqyymE^dOQl<7tSx#iyQy; z^nAygF|zxn$wOa9i)~)a`TAP7-0w#FGaF5tONCE@e$O`jW^_Pvu;5#oP3xzJar@T$ ze;F$eZ~n8M5m6Mk?e=qHfyUcSla9zsC=Qgb@Psq^lJkp~FmrcdO}Csyo4Rh>>W^YC z;oIE|N?T(dr+qlhaFg;-nd;C=OP){?9DY8zUH+?L@DuyU&mzwWeYOqE1sex<(XnyY zt_>G5mlB-&%lh}WcGtOSKGdz9CcjTEVsM)IyVvY!%5`(aMdPe+jbSRSeW;K_mLUuBRRHWVRt-qC=p3XYmYz8w;Qj z*+vx1HZsoXF8M0kAmqZ6T@e9PX24w=x%#D*3 z;j+=VxN0?!wzy9h0^tQv2jZe)WW|`eeDzB`UEoY(FF~ZWABb4!^A8cT%`rw0^Dx`9 z{UKfO;12K!f4#`+$I^-X!H=hfa-=lTw|8hEsGm+_@1(Om7j;Y*u;me|}d3^K$OkC@39VH=pSfz{Hy|765BeXV!^WE1w&Xm=(}=W1HCN23E$S z<$?=M0^>n`$G<5U5@hM+cf}OkQ2O_g85GD1qu=O66x^f4LAqQ9D`UaeBx8y-hcLlfYwoJYtH$SVQhz5f8(_{u0-fZy8=@uXwhBab% zq69CLVWmttHK8VV3LOn^Oqm5?v&0h)9vz;6Ee;EC8_Yy6AFtjly}Fr5ea5GbGc z(MaUDT_R7o0Uq+4G*0;p!imcHD=c|woZZ;H!NJ(!JgiGqU>oGw-U9lq6@L({AFEq~ zVEyc%&%QNC7$ne3H(XE+d%4SLDzoS)a(&5GYT$f!BsWc_swP=aMP5cL+wx_Yk#AZP<(j%J&!A^kh1KGT#C! z?iEM@cV=z_b_0J@w&_vKe|QkcA=%%Q;UZ^dIs+wnE%GD~u3#o}8JZ={RN4kEa4!FdQ~M-a1Zr671Jw?zRHCC?^3Egm@8qA`ON)(}Lg7anl{a|$$c z`c_c8B?<5ti)X$+GQ^wxe96qqm@A?sq`&2kTwabmOprnicN=psJ9thK z2Oca~B}IW0>Ys4iBnZAE2u9|83o<9*-T;(&M8l9+t<94c;RAA7fZs5ZPKUQoU;v@W z!Syf=`3%6C2o|{+=ZKCV@)_iDTc(kDYiabbR8E&jOW#3YJai7+?>$b z32lK1f;6~CKxP^^8IzDr%~(;O9bQv(2^?(o#Km-eICffkQJ<}t>vAB86M%U)8bE0m zv&els!4v*SIo|ecn{DC7CwE87XaWjer<8K>WTGI8Cztg%>2urndua zOFmQN6E>rR*N-hnvQy)dz!m@x1ZJqy1X*>+#YFSlkFC0+hMA;yCibNfPo(~3Fy`b%Lv2@8iTI{^5;N zjfyjY5R!z0UePUzNi-$go~=Q$JA{26IqhIZ3y@T`{3W=64KzSR%xIti;9e9BRIEb4 z3j$brbYdTF3s$CzlF(b%gom+2WX1cE<&4S`^eC+Of;DHC-511Zk-t-TGp zLt5VhY)a7V6bEODHXmn-2o3N8{IJj|B)NhkQvc^VUDGEw!1dR`tlp_y_(LiLHqwJx z;2%VeNR04Rh-cAb8#S(*m@Mq>K=_L-KSCD>F{J1f+48T`k&FF;DP@l`av6R>S9dv5 zXzz0pvwB57yQTjOAezozKyFZE5VRY_7mPoEq5+14vcZ?gVOkM52Ac%Jm1y&O|8;Y~ zhR3X6Qor|}pLwqy&FX*g_8mY?ZC}3>X(5mxhzUp} zpfp7xG{qwVp^0=9cO*8(_J$utW5-@U9+$KM3e6#-c@eN#8R9P|cgn^1@$6+=4IIR08Ar%DGzV4UZWRY+3=-2wG6UzCi3ulEEQ301l(Pj6sm__OVuh0@h|23 z+IKWwlV!|+x_D=S31k?-5G$|}?JJpXCIq@p0{z_Q=QO~iy>5F3ei!oT8J zVff?_29EK8~=_vB<@8Gm+N!%63QC@vLi`IzgZ!&E$%Mg2rXnVtifQQ z!uT?HVHjxjQHmK;B|$!wS_XrrR5B2}sXPF9gM>UJ4~}!WPS4o)uw%R*HWFtL-W`Mk zW~98a{I_?}4tc$V0eHs&PKzcr899Sx5X+^VIUcWuUPv5FgB0FC8u}=K__i5%vGBKz zM971!fEWJZUe1Ez=QfCz!V+S8C!2NfLoB2eeK4tO5$*@xZS;KfN{r4tzI85)2?-Vy z^f~=A|A)>AC!6?xmHz|9WBv|4{;%?XDEMge6t+PQ?``v9=~Y6Z6#6Qm1m)|lf-8Z4 zmEdN$1-=}ft>E$N2qmX17+m`^K2lEt|HcA7NZ{MU z#~oW1NnZ}|kH21I%nA-?Q8ulJmL9en`X0gmhv}ZC6cp|qTrF-!IX!eX@CyovR|{;> zfdhq%1^z`sjorarGtoCA=EunIPlOMbBh@^Di@p^^#_w+Waq#YZo+*#ELv6MAq*6F*d4=lB9P9IZjPI%j#03J2A?GyQ} z&T~JXqg}|K__Mq5D4uif{lj*8E*ZWac^IIsGzUJo%C#a)OjN}`?75e*9bQ3+;ae#5 zRR&ZP=ZM@OoWxlxP)=gTg4vLSM~OA+6$Cgmf-n|emyeqOUjEH}7HtZF1AgO%$dPnB zuU3DiRr0M8T33Syu+q3e2CqI6?Q4!b1aCJFXTb)nE1!Fny-;#k+_~@t3I3tSF1SVJ zKqQI2MF7eSZ-fk%Cp23TGW@0i0br=mU>JR^K^d7n@UGJ6{vQyHL6XHEXUss`^j|S% z9Nv`+oF%;fFWJBQ*ndHpamE_iF<`SKeX{Oazi1GjpCGUM6x zjgPjz&ssReiD|aEx7wOyCX+RP@NdqH#pgclb^P)C=(Xv;G~Nj3%vtr}ZT0IPtJa)| z+qrn>Pr2%-Z%^(`oOv$c`o#Xf-CA*O=>0y&GC_=NI*l;1|Hny-^6O(ec_U>pv&mP)@a7Uy~bmKZDRj1 z;;yfMb{TecCj19i#=oBgL@%F$T=uigG`#yR_idl{qA6kG_Dc@Gmd+I?BZiIjFP@~^ zitl{rRl0lGz)L`S8rmI{TKeAq%DP~eL14!~bQy0x>%ITp&Upuq8b}uZA5dmg)kLkH zeNL>=d}jJR7JF*erw`K7l-?TG^EXkIu%*4?yCF!zv6p<6mhkJXSPGoo^M4ad{H}Dh zROE*%H-R}cf|`$-guhB%p^|1z_pB@Pc~Evk#q05)lC6ZeHw!A7%<_o20V=$1inDQsj}~C~sH%xZ({+OBLKQAd_v7tQ&G0)!oBn7h z(}nVs4!p<S4$42eU}h?1mHv*K~x$vzB8bBiBC*b5MO#5|G#6* zIOAIu>?S6!1}b)vWtuxoV2Dn^D{eeL;T5!hRl7FBuuzqi<)zAFs`3rLZkc>C5q{`_ zvaGvPr6nrN<3NJHz37&>4QhQ?vmTRak14bhUvKl25Q{sM0N*P>p9re0P6%{@_ZU#? z8b`)*>+{r8IO_Pr#TtG89cRXB;@*3p0rD156p!k+P(|3(G2JD2#SQRL_yAdlZfAzL z?WWkH8tUs(=8FA*gyTZQCRFd#1NBx=8zrf?+QsoVJeMY}6^K2qo@ULLtsaY^f-C!T zw*w8-6JiwJi41FOu5 zNnn6^ZU0 zyo%RD$w}*>Y|}Vfc5wm?2b09&Cb7q_r5R?$@B?DGi25e2F6WzVs)+p+Sz;hR26|Q-Kp&;SH=EvnzpS#}bQ#iuDs@ zC|`pDV%ViB@+nO$5>Z^VoRgN=<-uX%{0^pL_MVudP-@BxH{%;#D10Ob)uWb5{P2LN zLV2{K#KarrsI>67B~}5|^%KZUB9l?IF9kH6}T9 zcKGZY>GxHEVFL4oqW>xUiITF`?)FR=cD^!DG*lJ>kIQo)7m#paT>N7}%SJ_B@2yL+ z4>s;O>wW-4=~x1g%q$#NIFoY` zqzux5h$dsD0u&AN%EsY3Ewk5Ng?1n37dR=cY`qk%afeE)W?+kfP)J3*QVo?3!?6Mx z1yuI_H@q2ZEUH|wzo>P-@SrrfyIq%ub7x3pua3nM za5jp*f3Z=xe*%sR{0xR2*XoB?KDU2OA8Erw| zZZY%?lSsmIQt@@EHi9+Lz&S2d_+>!H;Y)-@J=+biVHUbCs^Y%?o;kw}zlEw|3e@gH z$33XsH~ClXKB9{Pq6~SFr6Mn!C_OOX(kQb;o51}SA4Rw_KimY2U*ZkFlp70LB?LBA zWA@T8t}uKJ8Xv!gK)0cZrQw4TrDQwE^o6rM!q^_Ep#d5+ z^d}W9cb;krEK(Y@a46wS4@TTpJ!?~eDN01gi`JR;Jg64N6$ZLeLT^e$v;bxBz)2vB za}ro0k_>3V_0A)aW5Q$RigN53vgijeh)@d;G&^_+DWZgE5|hK(={O@tx*-O}4a}4r z)~rH<);QaO-)!AqNzn;T&_$=!bW_|Wp}9~Hm86Pt7Pn4qWU4kXp{op#H{diMK(_O7 zvCCm1X$_I|fdbHW9{#K(TDX!E3|4Tl25m8_n|8(7BSOLvO9s#k0MWy7eGI6K@W;uuPv#YS7Yw9C;Z7?|Mwb8x`K?djFV3K%zty|+Q8F2{Y;~=2l`KC zyR_F8jjP`vZ9~R^+W7N-EKcN1*u_!i_jbyVG!mg-1^naukIg)^0Y}`#Lz5bNty69Q zRCat`ElaD^Kn%(T()F$QfpP$x7p=AeRFp|v%MP0UYgcb6o0m*8{d zd@JZ^FFv%z9n>`hNKxvQX+>k$ea`H@73|!DL8{_5SL8ViSvN9`Q6oGre6RM4pt8Fy zxk;VJB*C9_S83eIMA9>5(lfODGido&poa`jbOM{oR1=j5q)!KL2%l()w672>--n7y zwxMtvc@?;0aqQK7ISfqUDND>jOBgkfYKfDYwbANM#cA zjY6AGvO-SPEM?Lxw50ViXh~25d;y3EstvK4sq7`t#r0K9@vHmV*b2OH?&eKRy(j%Q z>hKK9*R`RJT?c^xWVNQ~%wuq>@6#U}uoDjkJ<&%LMbU1$;N*th9dbA7ofu<*3$F!X zppDt!1iTvMp3t_ERk;obC*tm`)a@QPQE;|B^w4)j=-3s)R;E>%@_Ic}{a_0Z6cWTj zpBI4|wElt;^~T2 zoD=>RCQ+BZ!`KC28rMx)9>t9TXaPRiIjNuI#u7sjR2Ck0WX)ZNE}k zF~NGqtL=TQFM(si5_2;|M{5ZM;?~kj(5{U7V?FD;VgnbJ6e+WJ?HAOu%M!TzR@7#! zi-7v;Jp96nlqi}jvYNycw)yFH)DW&z9#cdeK@Uz#yU_(Jq6eRc1GG$~w(6eww zmb!oh;$@Lc_HBuN??`{7jOda0eNQeBA}K9qx$Q+6;O61xz-v=7}%p1=SUrW3=P<=ZK+Bo9+{ZnRR_$g@W7-!z90&~^Y?6!nfv+z|c zg*FJ+JLA(!ee5-U3Yl|wbaqO#TUm5G0o_^U#_$g4-@5h$1FO)$7V^KJg`KsLE{oCx zu4H(}9c-KgGr~J^eEdH;fJcD?pkn5GA8{A_-XHlKHE#3PX$#4C;w&4y*>Y z&=a}KHsyw`bWIdN0CZxCj-LpNubUz1v^(T^45z$Gsj=)=CiP3S)jiusoDG~+^#_lk z23m9F@jhPw8fhFaXb0_Tq$;BSo+kvCV78s@&Jod;Qe{XbhDS@ELZ@Xiovpl-WuUy* zuip%MhiUo&S=M2^7g>#|uG(hlg2LG8KufaL(mzFN27jvLcGIDyXPjjVfKBXvc`+HS zCn!OO-y5gAxsNsrNV)7K7e&^G6I^MDsV{9=0mp=}f`g99Tan-Ewd+Pn^n18~`O+oL z*x$V#es8<5O%Z7ygd`<{M_{7APMf+xKQ89@N0o|ySOxVLfF=A%j?%<eH2DD?PK9bSd4vA#RGy4$b=8j}1smGBbM5r{w0g83e>m<3o<4LX z`^Glh5_?=cb^Akq`;qe+Ff25V+eU=*Po_5Zwq+o-Hf)%-*VYm`traaM#|Wl;4?O|e zN-EY|ks96{P=D|O9PCSI2?gE81m;TzBH~YZLvu5M!!Zl?jp!{8?|oh^a&Pk%D?jAA zJv=)N9RqZhf`-?yI=;A#39>>51+nr0U|;mJm;FkXEDb_(z!o`4vo&*@G>*+KPP^+j z(?s{2`fy){+x5}b5$iaX~!u8v|ZA-T=w0gb-x3887ZIuO*IVy?5 z;zk}xkpcp8+`9-vt>Z#g6G>JnH`cOqmj1SHJtRospgCF{hTF%sR$$|eyFLOD+!eKy z8wXTN)e_xLa-mV!qaSr^xL;THziqFf`8|#HbWdLPoL#&TybBOD2T{Tu-R>N-*>;VF zv$nUUiaj0@Q^yZ3sCw;{;_mSRZ-_iEwfoGIrcloFTgVEw$|K|UH58~&mOLwt8%;L- z^TlYwmhS0a+zrO;eU{sO<}e+rY2E^wIlmwJrM~~N2DrLvsNVgD@9%O~v1{Mue(}d< zPjS~(O{}JH$oO0TaMaxeVqD(hvq;FTiI%nP< z{rP6}XB2nv`|0M0?|MJ4`Hr4>b8~d$rj_&Zh);9$CXbA?j{a0S-_UVQFl$=c^U+^( zBH~}|9DP}H>)L2SI+Z?kcwxcT{hw0l4YwYRY$Dpsaw+)Lkhk&|)VR`lXW;)U%pA%3 z;utnQ>3z|H;%lP;>5g=)ZU6LayB`ZF-S*sTzQ^RecaEd2>UlDB?}UfdvWxDX)u+!t zze>vTTiajaFth6Or)%mf+>|pLa$Y}wxiu_3e#p1a` zF2LKzE1f<-_NLvUkfJSU51KtRU)-o9km!sK7tEzM(|U?uSA6k((cn)P5j}P9r&P|$ z&((^(Vdet<;}niB@5#`75#1$WZ8OulVS!x_eX8NTU9E$fsT?is?HM3@Rl7G#r8i8E z$2T6xGU*0UvmUz6i<3$N zwSDt2m;ImaQ%PGbY2O4Mx{`HutT&{U4w%=|+YGSxDn)OM2_(~PKc|A|x(gK2_sDWb zj<~k};A16mEvdKUd_w^HyL7IDTKHUHr9YPO*j=~S5Fm8L`OcNcJi@Wukr3t6b!*Z& z)hV1To>7=kA5(r7$dDm1D5PUw4?OtuP!c=ahfT&d9DA6uhrji4 zho$$s7xg#U*{*DIeK|{(#^_K0FYQ2u+2WIy>O8w;GDdPZOq_#ScIdh*x=*vr6n5lOUwsWl@nE+!J2FPN^VeRjxq<){CuJkNL z>AGRi7VoYZ+bYfVI?s<(&*CaA;3bb_-HlYhjx&(p{R8vNdYniir-8=%@{kf}Kg9O4 zuQY8AT9q{rs%Nlh)Xr?*rpDw=0_Lji#|#Y@opyYnOxk^;8k%3HDxKvecbIz1*6>5I zu1VOo0R4_JSQiVe-fY||16mB3M%){sn}}Z~rLsWSrd!w9wcc!t9}o3&WoTZwGF>EG z&>&q@CZ!qInBzOjs7ywZe7418Y}*DW69p^}pX$-NiXs+apqEa}I zbb3U$c>Dz!9IcPafDk?OG`9(c7=?Bz^7q5h87)v0g#~jO-vORJNb;a3P>+XYUwkRvy_%N<4 za%wYE7`3O;nse!ZGdu5tJ}ok^k-y-ovnf98!V>jvy1Aj&kxN01#2@a8pHJT&D1J?@ z>rf`0vuoxbNaa+GC7JTh!3>(2#4Nuw_NB8y(B3aCX=-n`<(+pgCfoNy!*RZ`ENQz8 zZ8#Kd>0)-Dyg2(Ht&*R#_4-Mw-T?V?e(%gX^tG>6U|+IwYV-~bwIuKEc+eqCd6Y(QW}MUV6S{D*X<#iI|r1^i(6RgNZ*!?@RR?H5~U_k_XKGgc*q z%ORbfOe?+ly@1Q1b2;lRI-D@BJe$IijygekQVQpcEGb+b-KnMQbfXuZ6cUq4;^Pw_ z%40p(%2MF_7F=HCdCPQ!M5oU*lhtj@2J>9sV7Xmkv~DYu5*U8NJDJfS+RvK zjw$(e&GM<24(uPW7%vj-Pvl$%GEp2S%(2_4?7aPA@l788h)r0JIRB#NfFmH^9d@2G zduUR37yRyZ(Gsxu;fO)b9D)l!H4l>J+=w!6(7B*i!Y1M4)$cH zw`;eIqa8$dkT+`hhM=6_7&Jfk8Tp-tO-+VP48 ztzl6rCjw+?LISD%We7}_#^uZgEgA#%i`}(fda%CDd>X!4-(>#UEE@`L`;4#{CoD!5 zi;>Q?OLw3aol7d`D!v7`w$W)O&D9te881++i|~g7hB+4FioIvzN>GF&#*<`r@z-@n zEotS*r(kC*va@lka$c;jHc$(;8_v~M0_#lcc$08U7>WtOrx?)J6zfZ;>J+K4&xxI_ zj{e|oZ54rHRW-4*ud}ngkqS83!k2fg0KhE!4qg;a^pbcUKZR!6E=tJ0M$@j+KeJPIb(HoPU{E_(*@ zC>r_HM2-~>tlBj2D317`<%{BeFY3p#qXV(py`mWIc@0vOJne3zro)(&vozfw?&=F4p|*|1~^hA7m3A&ceV*4iA))2GGY zi?0P6gN!f$TeKC;i%N>5+$57C6P2taJE~-*T%MvdD-r%US`H&xfR%LtJpSq|aqVJv zY{??_C9{ES-fX9LQ@pX}w((}>Krf_83gQ;Dlfkyd%VUtqo2g8y@^0p@Ru#uCIPI4y zmI1)7@`JrRsIgj$R+C8Wok->GjBt=4O#s&d2H(Yo{Gf>`R_@^|i?Mn`7C_FQTC!Or z(v*UQxDhar$qx3`AO)EXZx*7=iEOfj!j*t&%{!;B@xAqxO4GkF1lDHzKzVH-}cRb zHmr|SpiK!!>>jv;r<>S)nFDQhq7v1f64mY!Rmpu}zDVaZ;OF&4L2|WJ2OPm_hGB8w zUw|zfCvH0+L7|cTG4S? ziOs5SpO|7v6NF-1@Gu(oT7r$jL8$t>L!^REve=^g($X^AYAs_^*q$2`LoQQD;c(_E z>%wCi`Ca(J-aXr!#inE;;j5(*-L??tg5wZCA@!1JX&t)$jeI{`6T~B=o-k!mnDUNt zDPlP99Lr-OD zDSUUQH3_$m1C2-Zq|fg^QUTg+NqddQAWy*>;`shxYY`3^&App_09+={Q6=s7Sw)DQ zk~&~Pg54UjRqqa?Nv8S{rb-Zn^{k(z@Ox13*^zVK392a4T?EN?9NhxgqiO6su!Za% zAE}Gssbqr#244qYfTz1i9`f?|!6uAG>(dq*V^gsWK@u0^Sa`vMYn%2D)M~@?#nb+_ zgwKC2PDkcZmGsmG;0-xBnRiza*27$DQ5dpX59}F!0HUiCk%y6b#- z>RxEp8%7m{wVPicifg$@Sxo=f%EYElhNrJRB=uU5WKY%8tby8DqOi`{7nHigNcj4{ zj%;zq-b1v-?)j)FQ9%?~h0KK5PJ?FqEO4tV?b`|*hd=F{-pntA7|i`+p~Sz4^XeR~ zTWdwT2yZBs2876vLU6p~9UkAze-465AD>GtvG*Hma9SX)OUvmicnq%^qyf_vC;iD- z47T>WELJWasD$tkBCF-ctOdz&yyQ0X$$Db_qqzQG-P)h7v?@iZsdpiA?|;WubsH>b ztg*C|kEV+fl@>|#^jC`u9uyW{f}jvK^wtse)v%v-y-q1&{W#wY8Jde^ntzUOPLAOv zcjrvGViYr>q4VILRp18d36f19!rM1i0ZYekNvhrHbfuZ_X!#)UoF$J2y_1A>^p29~ zw{eYp@H0_JeYI#=5+2p9y$;XDvd7WX(HYqj1^oOJ4zunavSJb)y)s90AXZT%>R!K9 zQ8KKk&D0w%|Hwlv<{BQqWb50&vSkM|zj&cAZ1hn&rs=LTLoAa(F_42<@Xe3dec9e88%EN*;tNU8d$V=+$urlH;)q#SZ{r}D2aDh-jr7N z7!>t$)&Uz_{c48xU~NX2$+Li>j)E(_5m!*s0B!fQrecoYA|BL1it)3d&#Pr`lL7!6F#ZF z0*#onM|U8Uec@4>W2QKi{q!*;0EBi6AD}2W#FVQvD@Ca+LsNK~54B*~%vGW$bWB?g_^`Xy=+kPJkim!r zu#U^Jg@P*{#O);=pHu5M%#V;@#P#rkhky~{+9%G~k`rxzsFF-O*2~k*4w!?JzlyrP zyYcFZ``iq+@{ijCM-cETldkA-tq$y8I=S&H?|6!*^*yx|j*PS|* zIzmYi*B%~A+iV5V-H`1makjy1h~=}^EdU=OA|>D_c0ugND_vK9xnp87h*O~XjzwSxw(%bWNN_m>~7#HE2J;pXShKfdi7L9U6qYr*P zpe(Poq%9eF328rS$?3D-i>7J)s;^i(`u@O(&B)PlmS25;`sR=HkB;=KT_^o=c#~nR za(Tz2S3neadc*Lwc*CyuV=tufRdz(QV zx8c{>7CS!qA%FDF;gX7L>U(VlvbMdQe@crME$|IJt+hj@sG#Yo^{(v+&I9~wt?>rl z&Na(7|5!_Txv*v^59|6C)YD{pbzDZ>S-(q_&8_0PHo?TE%E;Pr%TFnV4Bff=mGt`5 zPS#lTm@Rz$y3fsTUN(Js(Fmk?uD#V$wLYF0uN-cx zJA8SQq_Os>C1ar>3*G?Tu({tvPf=j4R3 zIYt4(^l2}=DbyD`XKA9o?J(b4mC)L>FsiT4&$?mh_SK43Xuee!c1KWdG4{2iew&-4 zSnr*L@Xl_p?>L4hyF3ZT-D|B(4b#cld}I3NEwD7}ezY{8*9o8QCU{PX?w$2xKD{hu z)>;=g>){Grrn#G-P&!-lNPK0`xt%BM;$)xc|M(bMyYgm}Q0MqM65Y_tDzELKSt4=# zDg%5_s4;^|Cn#3x|F%z2Xfl8S^9D4If1*qcp;8Y|>#c^`Odu$FcW5a%r_>JMEL5gC z*Bv4{x~Y(WLd?0ckm#Hi=a$yCX6n_7IP1Pu-tIG8FKq&T#msDa+@_mytlZcesY0^- zXO;${cS`xVB0mx8oADtVp0HTy7L1pnQ|teDDZ}u*)a%4jKFZ!;kqezH*RFV!NPHv} z%m>~LDs=^vMPHdmL<>^Op~t<>&_KUB+nq7dXXa+-;Mx`FCIAwvP8BL=?P(y2n_Tz? znldvhpF^2kCxyzH1}~1Ol^ToyTz#{0)*Bi2PIVTPJb5=2mh^oIF1sj&Yej_tdaa^w zxg_U5hyy}G$PH56m8qPX8pA~H*pDV4=>A;8S@4La zgfr5hi>N*9sAx}`a7J8@9^VgsjMFTV7tY{FrV4eEX%bG4j%Ua8vi*DFfm6q&_sIh2 zCI}^M{YDQIg>7y^5Bxeq>7BY;nvZi@$A@F8XamL@F#GRy&P4@ne)ZfD)p>uu!tjW}(|SH)3fUGAWHUt{^vMja z%62Gz>I15fXV(*CsL)$lvLwE+Rv?516Q@RX$FWvQ$eO)E)V) zeACN`w{v!~3`SSWV!%yU4dpZMq2wom-h0wTG5yUWU428{7d21{goa-8^8^kVV`-uZ zqG@mox04wTD#AW_&;&y@fPn~>?x?yiH~T}q+;l8h=v3{ZxLOkp2S*vHmfz5w+kmZ+kNVc8VJ zGALEDb`h+ElW5&&jerP<4Et^ghkykNlxd1&TqU@TeLz2_Of4G2;>yAlnxGCy=S2+^ z1-V7Bwn5!qf;Z}JRS(68JP7`|XC$HuXtt^djb9nCxHr+TsAx6sZ`>e;vAD@+)D6NX zz`fmqA!YC;rHyqHbft68$Wp^KCAT3GYHM+lh#_F{qkxugg_M=I2f`i$2ytXy^>{7dP;2m4v{aHwsol%<}NMLaC!ORl~D{7lC%911v=fI`YK zUL%-mO+_YQlLq583m-YkJAb7`X96^8=T$%9a!ql`3Z&&_NXzShkK!qp>nqQAt;o9V zE#2HTZUUfJvPQIvtB{tj#21E&tix$80xXdmFTFcf5v)^S?2cv+%$8v+R6?@_7C)G6 zJyadrxCy??2!qwK&*;EG=qdp<8hG`L2a{N*QiZ`%{6OamwU@~`13+b{lgPFC`&I@Y z&N-6;w$4#77NH_kgu(ej?dxG&_OVSwS(DGZEGP=C`v_!SW05XGyLUJ;+$sjBP~TZF z9MputO4(=BrS}&u?-j6ioZu^ljLm4ed*EM62iDZjN_h;0#zgqbJ|n%Bc2Ko9n?l`+ z58R~*N)Bb0pyY5pyClq@oU3a^Xy;@W6X8M4&L7*NHzl-(#Gdjjo;y#do+AGj8A6kBIYp`L|56?7kjib!d) zaHY{?uq@GzWh)4?U8SmE4yD$HOm)24`d=;w&`lLV4YdrSWyEn5irAs9+6Q?Y+3R%x zo(C~CxI60}LWk-VxQaEK9Sk#R@c{Bk*H z3?E~uira&2bQ3(HL>n^hqRHOCCmR=j{JL<4CYVnBUN2|2TVGqIy@;`7?6F|nrQzjLvp85ZzxlNSrv*!Cl^-Q;<9eYZo)q zmJ+DtHI}8?gDlqNh?__yI&+p`Z)YO$gUzurC*OC71GH`}gAu2Jbg}JU@BuVS05m0< zP^m5T5e{>SP}4@^ZX#2`%1wScfVRMHHpP=dt=FK|%Tnv5w%jTVQ9^=cgX205ZRaml z(vf|Fs%ta+=?fg8N43O0L3K9JT)j&n0*jw6Ld8xA(mhb2KETf_Se_O9H+Jxi48gSZ z?oT9!plf~^l&kN`H0LyL9A|7Leg~qJT>C7N1w>h}DhpXS0(U1+t#zg;L_idPju`lp zBHh}Sn37SWRY~dZ0|L+T3%DRqP3#NTd@tP0_P#Sv&Pu}f z7Yg=<^`%MeO6(b3OyzT7WFpAD6NEt#{IFYE*A)-3#*;YM;jGLjAE?uM+ma8_K|bfCt1COHr+ z&ypbsWpRO%wqd{JKk;KV(M`~Jn4P6Aq#Vu8`TV$7t$KraUfFTFW+~lVl0Y#C= z#63fy%CGCGB2dGBd$*Z6Kp|lXTW4~0oC0WsuPbQ8CvfVRKtJ1ec$}kv{P&gQ1M@Vx zJnJHC9o;hC%+$s0H2joi$w+GtiXPWuksC3oYz`?LmC@%R0AWuA;^=>bEa$8kM$fLF zlV?Q!4Itsu+yqZi$da!MFs^5Zpt&=vMB6aD!y7Z!4+jF1ThYG8Ga&MZ3VS@hGW?hS zkq1A=Y5K~tyy((HfHgr6aoE}{PTg4??Uo?4YXIV>3I@YysUT363Or;88R1PbV__8Q z6dqt5u=yK6HUZwf<#Ju?2HxTT5^WE4mdO9O0Czp*`x$M3uvya(EFH|uJ_96C?MweK z(hv8R?$;XNi3M}o6dV^{EsyM;QqGya<`5;O3P^hYVXGg$=I?a@lLBaH+!k|mD}9-) zsrG{hp?sRb(0mhN6~Nl{9J{Xb)GaB17i*~=>2aW%b}_6f#vl{GdwY0C?77d^0lWUUg18YgNlek>#x8CI75KHs_nz%-+@ctz5R0$ zX9&5T8zIAB{T;Z_59iRG;peL^2$msmf%p>WMr>hF+k^iOT*#AI%1f7)qIn*Nha39l zX45$k6pn9^WeI<)in?JhP^R>g-?hEkgoiB{P>c38&(d=~DnQX#rYxiRuQesWZG*s+ zx#eL#j7p0(V5lFBj~dIk8_JqcpV2DAI^rZktl3#&{e#A;Dyq>rrhlHecy(2=htd|?0-DIH1HGHI4>*8Gr^mC zxyg#g)&0tq;70{ zJghwbx+1n!Z%)$HfFap9O_aoF_dcbXU6LtP1(c()V0qJDdp4R! zXdJalhB04iP3jn8o=6K1Tp;vw3p(VD zFxgsz>gHak=IoZ|HmU4Nm%TpJj!SGQa1ULiP%m0)FI`q-2A2l>4h#E%802?Y)Mu=b zW5kR=8m{Jj|Cmbjssvd!H&_&;TAmK-Gq`uLS(15b9+qJMrQ~lP32+ujpst8MYyxiH z=Ax*#*HX_SWA2t0X;IJhmPOGep6R%)Oa<0K!CIg=d9^FFEL)bBtmf!uJMuv%aCUG( zLT#0j)^}9YP-%nWu?OBDs+zO@nDZct32)#r;RY1A8H$!}yMJ<~rZgrzoWgyUk`3P- z26LX@G)1EFD17`9Y63;ye}8wlF=G0c8+4G(&4%v|88yvzE07h1Z~&|*5UNyAz51i? zJG-S@x4XDO4i22xtC|e>?y&M}|1jtFg0Gbedh*kD=(_fX*b3avKUdMY(z|BcfqTVi zZ73`}&_cH!Y27(|di&_$=&u(qC~rP3Paga;_F8uM&!jiLzrOn(6@4ab9ewnDAZgg4 zVf2S@|MJaQe{K{s&sqQO=_lXO@4o9yM*duvc8xxw)yu32x)5!yEFO1v^9#4v`Byt` ze{zj@VjOwQ^y~Y8(X;Q&hwP@GO$mxT^XEC%!E2+8p_U%soXIZ}7A&0C^m)t3(4T&c z7U)OP;l);YG3tf|?2@UAy>2)7 zIc6tmdaGbOeZXKOvSI_j4I;8}FPd-n?#Pc4ab`ZeMv?v>UyT!G*NQSuzkb!eQ~t9_ zgL2mR0XwS$x@jX3hfWWnr?g-khdaH7>U$hsC5Q((_t)iXC@f?Www+wo7d31#80O)rw>Yxu31AOvy_NBb^V5cTb%dA=>{l zH&DND1zU;W(i*awtnk2qa4Jh{X#Uj{Ul|3@%OW3w%c0ZonYrjty!MWLMH>jsf!yVe zNqzCr=B15eOB?Myl6;#o{mLCp?e3L3#{JmMF(L2Bckkop7CU0s*|KQZdFgU3>2g=- z3JwdV_h>pM1+-dxoqpx{ZU@UjN?>>A(5iw;%iAjsG>ZrAN*h1AB+bs2D>@k@Rw;Hg z^=0gnYkxIY(O>_k!Of3Di$2n{(km%1lH}S4AG~!*x|%Mxm06&6T7*VjTelx%RoC7= znN@DNE2r!U(c;XdzV}j6; zpiFaRWXm1HT0IELN0&QTeok5bQo}y**q`Zp%C=taG38dhI6GG>J5`H}aab_qUZmdPk>|J`{Bcf7NwZ# z#}(dEhwUf6aFlIgQVv~9_0%FW;o&YTJYexF=gO9Jwirj!B484grD&Q11bAYKWn&Oq z$G zc0h5iZcTY($si0{cvEXeTt#8Oq0yegSGy}MYId~P`gbdX;LNx&Xr_})WBbIgp)4x} z^`pn5lt2Ro>xvtj!YT4kohK6}BwMq`>M;qq8)nTTOt8N)@;X900{+FfRRyhS4m_P> zF>Q3*YD2x6GJYg%U#ToGa6m|N(0@gT>MTQmMGu+5L{FhL_zsN*y(Z= zaQmunm7&QVN0S|Q0GGTwf0jc}cVA!)o6!5V?sm4^Ih*3f1#^?8?3ODYdG863x9)OY z-dwI}Xk2N56e}9V6oJf^y-8YmYn%%fX-b%5@cQKI21v1CB&(LR=@NwcKq@O z=BY(FYGR=n&>iTSWGTf7mxm$k#%_h;Osq(e!2M`wzvttt$4PRRhRqMVB%J~LGb3g6*Xlh(tiPd`plC~X;!<+ExkGU2wHR-T@z__CJ5YezzE zLfVO)kG?Mal96Nl)1b97N$wm{7l9pG*aaQ`P@mGqC7^@j6ka>S)#e`n&0fA7RhFr{$3&hvu<%B?BF4&dn#x6q4eZ3-^;XO831>#iM|>VOtk(B-DVac$Plk8ZoCMad{#fJ$Ovk+t6@N#rNvsa8+nsO?{s9;jji9e93I!IK z3&zS5y5DX|_R*RlkFTBzCs4>j7iK>ZZmjD*UwH)|5;B9I@KHCFHZF2WI!C{wNH~&( zuHh_n4Z8(XGfiWS0=jP_W%Ezo!Mz3w^Zx}H!G?rjcS4s8q3gfGiwC%8rHijW%OrHa zNvJBWR_17{mf+|*9u3>-Lg@OUq3Pbb;i#kRl3xeclqRa6LzUG;Gu@A`$r;_NvpI4X z@#ov&V;(jM_0+ORwpP@#_z$$$oGrH*J)BQMf&sg=f~)BY3*R{=Rqc}t(o%5zMLjqY z@$kiN%l5(YqBoc8O=9nh>1w8a8?K%#mr=NsDsNi*cnsmx#ocm2al&)ya>sT)dNswT z+;KRfP*&@bSsHhz^^TwNpZv9o!QD4hSf~j`tLn3|pG>aAaX0Zu`qF~wnutv&o>~88 z+QX$<#rM}eaw43nfGM2cX99vxQo+NYUWo)JDZ%qU)4}P3=P!*{4+#C5rWJfOsNi`f zKHJ$>zzt1M9l4V^Rdiqx(Ioaj0-(lkTl^#0q|F$=0}kLeVRyXXx>V)^y5jcbiw3$c za}tr$tmBf@kS@2~P@!H%p_}cTMH9yCybVViU!byxH{~o5^L^MS1q_RBa>c84A_D?f5{;aJ zbv=zWh8ruLE4y&nE3~X@iE{GlVFctiTfnYC^z@&Ak>cQmtOj>{aU=PsCb_iHqO>sv zbo+j09vt^uY6YkPSmQ`qIDuH^+lgg`X;)23E4rqk5NS-fouqYXaLP?k?az}8QRKuT z!}9le+51QR#*2&_$ZgIvv9K$DB-VND26%PlC<5Ww@PpU2^5P2bQqe>mlH@c=VM|7| z3I3t9^2W;U$^Qu#q@$IA(m=#wfGm)y^UII}Osi_j4E{aQL#J2bCly!jBZZ5`=8fPB z&$jmuRf9p_x}Hh`yoz@Ni-iI#6pAd0tEiE97!tqT$UoE^iH>4a1AtHhHQOM{Wn_D5gsrk_&|3~i6E-;7jcNRcoV`M4p+U6LX^l2Vo08R%x8 zFK;ZBMk7=0eGlOvG{rAeMCZyj@SZ_1XsBRIbKMFGh{spIp)IO2r#=J?yG%UA5!cy1c{zV7~ww9P9565_Jk~ilN zDBxj;^IV?I!lMCscqX6Ht!?HXs$1T)f2A&h%S8d9o%o_m0z*6F>wWP>$->iNQK$WM z{2lEB9L4(kz?Z~bym!@onufkZuC$WD`HQ79Ri^P^RQ1wCukyrh|4FLossusc_7lRP zC<}#@J@cu!6_!V4uo}#uw-(t6MU4h%swNP);StsyEwX)J#GmO|Wm}{{BNJIas_#o&1O6prAc3Yw??M#=e3@S)AZtn-tfBF`#2bph91j9rPGG!IiX}n86 zkIqS#i!y+JcY%NYGa5M*--pfq9XEj}tm)%UZ+fd6VFCuK)U9_+n!~We-C?~GQBsTD z*o0J&w{e~s7zcHlYY|zv|CSmP`NQ*Zf7m{-bwESOy=cSrKnnOwo84Js*LcWY-{`UR zk6p9Q{R1vO9-7>z*g-uln(l4ps|lf&G=bsy=EOHp z*!xv-QMeLb>WPkDWEcY8VEy4Xy zibqXCmu%VKy-7%|mnF)jaS#HzX<5h< z-YdGdk8_pv+nI*jE8Jw0^iEWu1wq=v0xjrSnW4gFU9dt6Ur`-p{R)jCbQ@X7%_ubf zg|*(7-+g6o-;DZ0Q;zV?Lpb7*^i12Y+pJokrX}-ga|sy17O>sJ5o0m`(~BLD&sP&P z`N9Ji!h0hSg>Ym@NBu9;#XwF{~R5$5=`_Qxwmul9(CI3 z+V4f%4<`@Xjuwq3(yqM^`93;5awH?9f6vb0YdaH|0~1Bty|62;!e4JUS1ZyRct1u` zb@C%myPO++_vl;3q72X3S2}tRZC`iu8iYho8GCjv*|8}6o!S_pKq|p|xS5cwgPxttVnyqU!He9P;ROOT&a;ax) zeE!92nTJ;Owq&!ZJFNmQhrPXWTM+cg%4+|t$cog7{YyqyOXv00SC09Vr@8!gOZliG zD_|Q{XL|3tNHaUH**hM+iEhZxzo}={S+#*d3(caBSuPi?D z9cDMPTi2dRB({HD7~+yP*(GgLHnC{ep%RZY$XCGQ25m?=^a)n`+uY4u)3$9^m1RI& z?43?*Nhe;RQz2W}tLc~)&|3RtDB?v(XH=w7IAfd6oWK^BwD?mUl#!W~oUknhe40m^ z@BQeZG1(=#l~gpUb+VAWC+BsiI72dn_9QfecP?pH(}`P|rD~@k2?;Z(gP-5bTYLLl z9&u~Wn;$18F&(ZnjX_h0(V$)=Q@=SeVz5H^Cj_?gtgg6|KW^Wiz`^NNNvkl20 zKFKEPl1x^?x?r+P)9%_7<>%+#tnIITwivdywcIf+E6O_U(v>)aWa6acZrRE5H~nr| zsp%)TU4Ct47&ybtsHAgYf_ndqhC^0`ZI?zM3!u2jL$RrIuJ*o?+$ZKBSn}G+>JE#c zeDne%6OKSzQ>f2&2~Q6(aZ#L^t=wun6K(32M51%lW5_WZdMTFdU${AkUi2(fo;rrK z5|UsCg!*YLGFI4}O$3h~Br6MAZ+qQhk?mKx;zJVPzZP3IL%J|W6gLUViQf_@F;7GV z4~M+|JE97CEc1n-7S&oP@i8t6! zL5rtmi`w$P*;x*nfaKh*K4?wdG9cMT>7H`Wjn%e?<%boXH>83qfnQDUB5FR*l3{xE z{Nvqdr__YSY)3THbfSF@akoeh#sy<3QGK(EiN?R=UG- zzYL-%Z&lx^`+;!sbu%0luN&$xx1~NvCq9^x^L3}8<|21SZo=kcH>UsjeBVy+B`!tK z>{s1KGmR(WGfjMFkxsOQarb#z=Max!?VyuI#sw~Ev0N`VSDmP%}0NnEc=%4yQNDL%0vUkgc&Ly{F^sXR-o z_EpiwoCy)?9MNu-45J)bxT!GJ1IEv=));ojvSTyL;aolj9b%y%iB64t;+R%dK6Z`1 zyoudY*R=P8Hg@~ydRCf+=Yz5)oXaLo{$6$Xz$%c_oWze&5?UPArq=Xj&&?nf!**@E zlg#qCaXW?cVS$a?NKWcc`JFS$OqV7~@4QkED z?3;|7Fj|#$taIo8VC_x7pv1oI;F| z8lfbWB?&pj$w^e&m|~Rdm3Gr2w2k(~^1q+=Os8|czvX{j|LcEUbNYO)cTey4^E~%` zzwYIE?)(0+ZLb$=PzJWZm~IHtQnW#eaJ-`jc-)?GWMAmpwUcO_J6QYn4Vz4MS8UNe}g!M7tZSm~wR#{erD>x&5 zACl`-SZVdw2~$^Yi7Ph*kX4JoMS@)-4SRLZ23r%R`TIkr z81W6n2q=bYMmaESF^<}XIHo=frj>BY)|g-5%Dn(;z=GPAhE&F6jAMoq_TeNSWxLA9{_r?!Icmj^b$9}z#=1e*JDhI*X1 zm>dFPfO-nteHq* z(9zcZWezgi=BeL$CVtBOxrv89j!#_Jbm+vqbp@f@o}3aXJy{gQ4)k{0Q9AzBae;4! z`Y!9OBu{nM6?d6>g5=llsbs3B8+GP#R{lPg*}?cJtn)iB9Md3FKlflR;sOYNoo9&` zY;I`Z$Y5n>35=@L7t2r=(V-7keA&;d_qOGYF1fV5`r$2&MO*?J{82Vr7+-k9!8jGu zLVVwaI0CHdaF`Tf(^?ZfSMF=JwWTsEdku?S+;d!pu<72aDh&clo#2`cF*4jzPz5&2 zjQDSF*`^6LPhDG{!OC8RhOV20hDNCquJ6V_Tf{BfgZ}glA9UhAJQQJ{BecKb32NsL zI=&@vo<7j^>3U~Yr%LOYWjW4{@J{B+ixYqB3~em<-Yt6|B-|*~HAlF!-Bp@(KFAa< zSJY|{Vx5jlvpRRd9%SIMg&(lRwWQQR5;5}A61|AH>D0vqni>0oZsf z0IkXep1nR@?ut`3PTh&E>I;a$RDSo^)7SGO2HFmp=i@zO2sUeuU!yGzpM>aTsh87e zzdxkXI5iH|<=KPY+7k%<~l54I{L*sD<6>C}7; z!pv;^xHMrDaRC=`!J!BoMuB}StJ(1V!>wpptgXhWbBt5BO+!5J9`QgWko)N>>(D2j z_eM4Z=n4AYDu>U#axg{)@TDPAm9WDY?dvyJXv)^0h-T0~jk>z!&;}#I4o$+7SGBsZ zfVdU2fys#^RyXh6#F~FkRdp@!i`QNiY_wqx z3710xkp+nNL=0PiaiJGRTHJn8vp#k6sM#xN*0s;u4#Ulz9K44X`m?Ot3sFmF85$Ta z+p6k|@c>3F6A)r!y6iM1Bf2bFl^nLJUE2#~eYI;!JJxhKILro^ZnXgr8i<1@c<#7tXul zIf>;ztgL1*`{m4qxPYWXpurQTu=;M!$dn;Cl@;m`)~ua1xQP4eTemLE?RzuWRVNDU zD?u*v-_ogVcP*AiEW{?fFKB4|{pZ5zNgB~bufKed%?_E7w0%;mkFVEbvW#5cltMu# zD16vl{r?Segk47BxKJL6V}L2Ob}=g~g%zg63IpTlAQzYv7*`cBE?6~D+K6pHg#z0g zb>(hwB8SQ2NpBnocrubY+XJ^ z^p&HF&2mcQb3%5&x`sn|D*xu)E1r$4LFd21FS+j8e!N?D+#p3@r3V=zu(Hp(46qMx z+Dt{WU1ACnadboHs`&vJljB&r$mS0Mo11QwbFEYt%{3mI>xtl^R+esT_r9fwbAzP_ zI4}=`bu4m1boYmtvw>Cr3m&efZ#yO6p^L2Jpg~uz+9K}vMt(l5mEkTPg|v;~y53^Z9l z;GbI?7qiaqo2^`UF6I|{WVqRI`_jOaz-5Sb96^(f$FcHb%g0QvAT=J_?ez;jGURP= zeQ92-{9UYkHtjZ$)}0SGsO;}-9k}(vle^gT?I&zqQ{b;*UCYf3bDZ_|JByO{gBFd$ zdwfm$>;37&*3spl?i8oieb7RT_$?Wq0uEYVTB^WEqt%G*)QX^vuL!=;J$SE8J~&HN zyg97?Z%Z-Dzrk}i{y65Jfh}$ER#RXUd-7%5AqI>Kty+X=1-`5T_%iEs>~owasSCeP zL;5RT8eZ6wsIit93XWXuIt=LX;K(^Klb4@_iR$ln9$|OG^8d#~!I$~*YodGP(L@Uc z$7EW;uNjg|)CZer?_s=qdes+f1EzvA0mKRd2XXnd)MwIV5-}8yoaTuu>sj#<0}Y<3 zP4(4VJi#&KNflx$v3(c3D4=~uIIs0s?A<@HZ(A9qK2ysitti>)iiTckFr0mu0Pr`c;b#3QZx`};37%^WCY8!2D54RB|;b+`zP#Fr8R-)74RAZdi(4~ zQF60tgU`4FlzW6y&ByWvMFmCf{0dBgDPf`&+xK85QXrIFcrHe%*K#*JL94-FAHk<( zdJY)B_GDoE+H?1fGQ}YJrFda?Un_=#Mx0yZ_2eD1&)NfEBSNi$ZW(&TX6RdY=c))K zGS*9_2>7fpe9g9@uTk?3zl2iEQoo$iCA&qZXi%MF$@1_B)M6t zVe^wYFJGulOMO04%i*_Gbc+ytzC7ZB*juBp)?u$0H&UNVXOiMe)CiBV@%!X^)gk%- z_7Sck2Ik<|%-U=p8H9~A%Wg#eX9M_uBP7Bkm6OvUTC*<%!(`i-fm@oMxQd>;&*6|> z;~)-vwWR2--T1B?{H_W=wrLY5=d77gmo<5 z$_iA)(siI!HMYAM(d>QBuK5zsAmLk8*)&Ut=^%?k0TZqhp4?*x>}nClr%i&%!Cp;< zK#MdhTV=}0-`eh%#Sz=b)dA6Jd*Q^rX01nm69htd1(edp73EV{QU?zwI4TR8EUfod|2_7)!kNE>V&%SW6Q=j?j9YRAQH~c7}wQoH7X_( zUQ9OPuYh_s0x1jSQi15lRsawh7#%~OKe=ljC-3#ODCby373uBH4aFK@@h=e|ja zjIw=9j0y|hcOD&1c(P2{`(f3m+uyU5r@!pIlQoGs`qgpbYw?qgq{xRVO%u^WhAZ}s zKJ^Z`ns91vQbU4MYrNcJ@2L1Xzf&tmb%KtLMh4s}`*hu+g{PGyU6c6YYE8Akf8_&ne4jEi^1#QZ zkM`Jp$Y7n>)xk1P4fbAtrs8L1+H7Iw?XZr|u7L?oBkv89=fB!xbD`Tym<%78Rrpo; zX4ro3>7|MbbS`(iob#NYdJ^OlL6z6!b+WV{D-nG}K9_=cMU|1(?t15?@=-c(jJgu(J!gE_jfAu3- ziY-9)YZhQ(82_ zsnCyLpkjYN<)DxPC7q`^=(w?S-B-G?m%WM(B(rlP7=8&cS}=hlX4gs|j4o5BZLp%f zKph7<)Q}V^dSp2EeC3HFO5pHfa0`-f10eA9V|+LRXwdvsWIWxp_#RZ7OiN} zUTM+Ex~H2C2uU|Zs${5H3r$wCe1|qd&DxLefpRxR{(4VWK|`1vXb5xC&henE$`)?A zY$JWpxJN~}bohMUc$KSZzy8s#0;pPRy%)MD2*Rd7)!J_Jn@^xRZLJ0^cNX<6ypsUc zxpTd)&H3Uw|G@ftp;oeP}5bT@c0|w_3V=&Ru`fDI_!K8?ELqKcdYWLwA||Ts3A%?39J3O?u~CK zI}F;kR8y2WZk4ghob>MRUz<1BK$DfATfi4NsnH6mDbd(0V;#?lreCTt z4Y*Jg=5_DyBsBJg40|qAUtWJGo|~?clHRj$Km)!WWZJ|Zf(2OzgtF&8ZhHdt{ZA~G zXV0BF1S6IBd}!4Yn{xqe`zd3ng>`L?U8y3UKeREv(mVy{`y}?5-|nC=yvnwemi-Q= z?yI3)SNn(GHG|qVVVfyM^nzN_tI9?wwByca!jdkhETpq@?TgBOR=(AmnO@}oGQVap z;ENRSQOzXyVv!#&s+&NhfOJ~O>Lc!mG@|`^wlIL>1}k#Dw>1_K6Uy~F1C8#N9T2Ly zh!nPZMSXumVCb1v}Zk8g|u^-3!Sig!foo@~5Zz!jN=SDfi@TpAB` zw{04Oq47uxj1)sdY@`1HVV5Z2$)B*L0Z`JK|FM9HM9zlHKCL2^wLu~42RTStxU_I6 z9q@+7z4u{-9B`hiG*P(&`o?$^3cFJJyBs-g6Cj@ZB8C#7SwnOrBL+3W$Ql4np&pT; z{dwDadSmGvuG@R84aa3N04_}TWPAKZHR8*Ja|&5oZ~MpyyCmR{weh1Lw9UCG8ss+OgWIAjEnJAIj!k3*IHt-G!)x)Y8-HT{Q(l3LbulO1hvw5*z06 znThx;C~*(nb)e|||2olu|4bBVyVQP)X%o}y*F;C7<|`0i9_g}gV#Z5kPprYxgp`Az z!LBha5az)jz)=g41_M6NJz^YY^wLs61)>MgsSIm?!UU#UmLTRlG>%K>F zO4(ULl5)yoI(yMCh>&By=+ro6V7TwIg`_>OQ&8+1cB;+=aVhkr0d)*0F3T2=)aBC)(2D2= z2p^{cn}|2Rl+Z*!EjAxeOO>Wz_MjUg5>z}Z?H!r<*c+OJWZw2^1eMrNk_N)3%1M+r zYUdFQMgw&7Fgw_YgFQ-W#DSfp;i2C|*GHTe28;js_AY^FPas-gd~bmO3jX*7P*KLT zSZFD;=zyX`&o1Yt>owA+3QLeES0e#=TE{>ODpychjy4n;8(|yT8O-j(CK`e!y2Vm5 z(J*YHB}*G8F&CyOA+|ee!%dGvY_EcJ9pO*%KalGKynGrOTP-?(lYAZe#Ax766jU@| z<3DMFjWHgt#j_<~eL#>-ydi~IL#?QdSiTzS5d(dVK#7oky>o-jLUuk{kcOOO-{Ggy4M+XFf?#Ucv$Dn z1`^He`YZ!H)X7BcpB#{CiZTA@>nEYf7^2YYf7I;CaB<$)avS^MLaCKC<7dPr#*g?>wk8lW0ep$V1r z#o`Al$CZ&{s+}V0QyTc>NF7Q#<1EkKoErqJ{N22Xc}uZN6X`oGOy9-xOfbcCK#UGj zDpLR*`YDcX?8qV2o6^K%-96I8&ih_f>&(dPNK4h5N}w07D-k;fD%6FPhi;<$D(5qI zrHFxAG^eQ@-DADlw0B~BkfPOn*rWApuFRvcTsM7;`hc$ztNphU37d)xgIEc%pqXNr zizsXl`u#8X5cO}t%i4g?g1&6PQ=kmWy6^lpcaC&5MA+Wwyms^9U?_At0n>aOe2K`{pnRUF4>L7C|hm@4NL)!~=y{qin|pWZR#CiAqk zECxf>LD}gNOTmKRm{JjrbAMY54!1@H3hyNYx@sVL(7H_J`yMsTYBvxU1w3gp~Io? zfF@J#0r8*dsL6t|hb{*y(Dp2+%K+ZFsKV@z;$~4kQaH3j$SS1M+ zCzp$>5?kY&t!M*C_Pkk0+kGndjk$Yf4a~y~d?~gkvh`*`-w+$rH^c@FgpN}uFnfGG z+w)>x1!$&4lcixGG@_Ul$8Eu920X#DixQd0sl342qL?e@_FcSJW23g=$mZzk5FD#eH95mo~+Q%~ix9-%cvT_Bz zMOYt{_!0`OR}r-fK`aNR1AXY*A58093b>9NY!Q2`6MKKBeSbnbDx>_a1slM)lQ{Ir z1_|p9KF?SmYZ)Q29jp+A?1u0v`rxZYv>^)N^uf2n99rmz8EY9RnI+a)Bgi7Dm}x@- zpNr)Y$KK#w_PS@Rx9L|5VsJwp>J7QXn}w-{lAn9`jK9&vz{7yfHV3 z=`jzVXc1Hl$a94Qp4$Sf35qw`GBA0(FyE-D@(- z67;Ug*e5mUHT$Nt+%?R-Y3P}Ab>it+nWQqq40YOz7>80-j>#HPGK5qVRKg)f^!ZwGU-##y1y%i~D~9_}uxm`DJ!i>65o3}I(y_{(#S-f!<+A|6JsYnpQ_*Ux&G&jBPYK!8KsXmWIlcG zE~s=H{d$-Ign=mVtWnFA*T$#Zb96- z1>g7DiYCwXo6$$v*_cQ48U0xyY`@@gw&u{p&_$@K7-XL`+gon_o9&I9qCHPc$Mi>g zDLX&ZXZIi4T)yJW_NRS#yOF}FA5{imY6{~TNyeJ2Ll546t_)76&Cc)f8~J%6DYY2- zosVm8{h=DieCW+jz=}a(Ij6;U0yaU=KQ{4;wWpZ*d=iE*93IFDDn;ZSwH;rey zk1oSv-Ftl68WpC3DjG<2K*#Y)rp0bv5lRZ!R`05m%vd zY2N>BnL*Cd;e2~zvi^Ih@U^H%sr*yB1$3Lg%@D2pkzqz=LX9H_7$}0K&aB)Yhw~my zUeA1&0DBS4nwc3Lwd{1V&(fTfL}H^L8><=NuU^#Ro6Pey^>t&ho@e$d62gBNTPjDt zL36dypG>$Pw+$-k9OmKGc+236d zjol7|a@+#xe^E zf+pi-`(C=T%%*O)S0vc$LY0M@DbSc5HWXiGU62BC2iD0DsB_p0-`)pB7IVF5woq9E zUv|`AE_>wq7F8#1x1tvbVrW#T{^-8#5YD)?m!j;-?LJ)LEbs}1l6=>Pu{lmAxSP(E zL!mzEX*DWu)9lx~dA^Q4`~M)7`gQ1$_znzi*ZN39F^wwd@a=A_9-r04%aO)Z_o+P@ zP)*@;q&hXy3jQ@y-*)}A(WULE#$k{d5AWx~?YgsmkH9Q$rWPEVzBsH-^~9>?DWVrP z!`~9ToY5?2T0waRZTNHxRh7UnEt2DIg%2-@#6g$&xw=ODK`72}s@IXho#VpodHhlt zh!YyHb@q$cf$e10w-&+Xiy31wELzEZf5B$^MsvZew>-Qd2Ve2Zy~DG=C34b-Aq>Ga)H!2OXu;sV^V~92pPzOv-U}e_fx^1 zZ!TCw9F1@epKsLp} znH5>7>LEoqwy#o(y6O4j<*I~xwJE|SmzMuE52~=;^nRQijK)o8L9mbTJjSVcg>uR~ zW0@DxBze}0UL~lXQKg(RWZ2f__^H!T)Iu*veba5kdZUue8!O4KnJ{A|13~mOxOBepb~#q~hA_mpCj%&|G)Of?Ntk z9v3??tX%=}He!&cM=CWZm!fr%FrH@cHDIQ`p{!aX)+d#mE=L$z9Nv1_$L>i`YIava zP7PEwoQIK%eFjR68T|p}V+Ns850sbM24gi&1HmZU-WFze=>tMLF`{kD8b?>OD?|M* z1QAe)%3mFdEh087Kr;x0vPXtIUKv!E0@mt`oQa?;%8GiCO1&5|lg^5zBhcewpci7c zo)zoNij`*3wiw7}=LBto(toj`tWQR0s|I(0q?{`ng_)iGthfYG1v!^RxBlxrvi|2$!?h@#t zUr9j@1rI-jeO6A4_{X>5fg__ilEa%0g%(Lv_c2e8cWJP#g_+stFS?U?-=+WAthc|) zP*F^cnO=yrfWY_J+onR@O#;CKYEa3eDJYCj&2a{}vZ9t_ll4TCEypH%N}XDcP1dv0 zeA36V0y%6|6lyuhp)AW20e{{;Y*iZ6atd0Nrv$FhswmVKOxB`Qf2axwzp})<>0b@+ z=O1-X_4z=dZZM*5kU$2|;A~b7sW69>ir9sYP(8yI@hejq70!ia;fEx!4vO!!Sn;`V z&5Y@qVst}_*F})sD)86KCTSpjM*imQij%uv)+|uP#6=a&wH%x4DGlm%U9|k`8}~Hs zm=Ov@Mdk#43;=5q^%Jnwk5ze(aQE{Ahus9(prN7Upae7o)%r7a2@ePadn-VTK}4$> z9MC`dv~)?WHMN#Rt%dEMJ4G4s)!BAIXRE*m8505@DAHzMj%nyqs?=C0jfG96yl`qK z2M-mOV52hkXsKFQ_!>b)O#~LFF-rNP)dNhxOiy^BI<>G*A-Q zX*H-rm$}Wa(FY#Nzz^}CZZa4>N0%_T=47Z03uNNs(cbGxj2^4?XrHX){#nvdDz%M3 z(B|MLR0-q%aO%iAJq|twnffk*g7>w(HaJN_1_$M5*(;QtsAy|1=ZF*_^lN58#UBwPzUCy5_+j{Mn-fm{ewm9Pzu1^sSD@-CjWppTOcSah zO{i$Zti79eODOr#FC#yF1e;?rgE4^t#*cKdHFOBPsK1jqJZ&CN`%^Pc z^8Lv_cFB@Uw=o!ox)J@>Loy&U$R>)sRDD~4@@2hi9je~M+c6sjBoV#821>ct+vE&qlLRCsvC<+Dm+WAI?2@lC;Cqd?aX z6g=DFIYKvy|6%Xo!cbu_R1zXe*U54A?9u%6QGwAM#^ZG<5i*lnfI`NM=3@

    JEf!mXU^>`rZhW2CQ^bddZ7tP3wco20Nwls&r1`v2DK5qd)ECY=s z0|*7cXMw>az<=n|DG2Tn3A&|mmr5MbRG&Pl(Ozk=v8b=#VioL|AZfN^`tpDUf%IMMYY4k1W$1CT%)06 zdd?h{)CbWl-%dgMl@GjYrtjqO>0dI+gTlUA7pKQeAdlpSIu|uUFU}ul%z49Dq~!HN zi{5bD6^D6yboR-)CiZ237b)I+_eQC$HZAuHA(vRRDA7FDTZ3^DAk%=|kzcs`-dez^ zRkhUb#-`_vAlso{^5_FRQDb>r{PZi7u>kiG*exx|9Y`};yo{Pbf@9B9mNS6bP zvscU z{8M*VR8@(iBkYlTK6w;P05dEPQ24tG5II}lF&Me&4XMskH1iz9XxdELx|m2t?t71_f_J^^AHbiXFqtZt#u&tJ~j z@)9~IDy{j5URjID&dJ8=vq;;-0SuR*;d+&Jef+rfAD39nF1!yb&-*}O)z zU=m42hnBvH@6QFJ8BZr0oi={(w)^@nRCnJ{_UlHwg|x^{C5M}_^m-fic8Ucpv?!f_ z5RbYxI}Oj*teMGT{6Y8kQ5=t)X?u6kCM&nz5wfO&cy@$Gx4kdt^1 z`4IzoR18n7-dWE_FfC*sI6J&JgR_QhGmGrVb@zlvQze$m`?>8jvn9`G0K3}KL}lM7 zDd|W2;%#Y3!Tj$lF?2{Z`2g1zG+C+TOavM%_bHwc|n-=>{%jqWjx z{v{^Dwzxlu&PTy+ty~~g;4@8>;3LjELFgt*=7u?DGv~A+baw(8xj;FJd+5d@5p-}9 z`u!kMtxuPIGMXk3C@)sd;5Z1G`UXC3t=}SBV#t-JASY^NVnCjK6tD zp(KguD-I|Lduo6MDD{L0%q}N-+QRzOzsf|Fc&r&-B%>yoiOeE0p4?;9>um6iPzym; z@S?;jx8&h96pb<5_51yNp#RznjlUudEvmycxn zTu-HHXxgxnSQ@53FMm~=TxKbHq@7zmp3|BB0w20ES80|4pc_#kQIU{|8Q!7BvyaJX zW&VifQQtGy4ED}QTj|x@O3^^pai>S3$ub}B4Wxjz(H|424${MT=B4Am>uCfH>cRM?sQ@jmRg82JZ`1mT zgdnZUe=wo%JLtuKy)(RHf{vUEe^$f^4PbWF*%iu9M`cgjz074fg3TGSAOv^5b%o}U-`8T65h zRVQ)DPB=PFQ+|xtZ#d^Yr_h2g7A>)h7^DYOHv^v4RtTo0)T1uNj!qZ6u{Ibli(Y6e zLbggnIFWKJ7h1=uL`7N8=%Kj+@)YZnKm7em>X)eRy<#s`@@r?d*Qa|4j3*62-W`A; z=O62)>dve3!E-~{oVz_eUQL;>9)F82X^01@W_qrOj@n2tLlx91d*hmOy63eBxx z*tZz(O!yRwuURpiWFnJdGy89!&&c<-#~Haf-{#n0j6I(;<@8ZT%-|#@1u;o6rKYd< zs@Wr6G<6o6lF8RCvBlknt626-aq?7 zSXAskG92cCRPW~rdcn`K7mjG^hcOwgL3|L_`51OrE|z)mfh#{Ov(N99jB-f_?u)|E z`gTzWGVj(kwx@~`qahnD%Mz?9ti$2R-(2a5ZH^-Wae0v^cX8!We zL3A@Qd~SJ4_iWX+@7D-5$W%{9_Z~m-zMF-pnZVQtY!p-ldb_M=@W?{CeH*X8^jS0R zxVR!|87E3|P&~$rk(gm`CPx5{^!Y z>wZzqOkE_>`d&)eN#>p5OEgwckdyKTW(}d1_zye0KWiHpnVA1VTVnaYwGFZW>SZWe zSv`9rYe)Q_hvlJYrHl;C^aN~Nf6|rH;WM){ai9?eMerxVxsA2suP=$v_{50+AKGSG5mtQG zKaK+!oM}~M^v#V7{y5Rhij@hUk^U$74&Y>f#Ej4Qi!bF5i3K42$6CNKc7XKzn*ehe zS^lV<0G!7T_$JFAnZ2J9D?s{>832h5pON*CxX;gH04=P4>}&xNJsllD{H=zbjvgTX z)4`(LU6oVtvFEBwzrqNfK~1-Pi+A|pN%;4*%TOaSq3s+a-d-&C;x#J{Ox1&DuB z#fH!H=R*VB9Kds70{qyYngQ%KO!R-L0z4OcH5zeIpl|6%g@B?7Ga52?j35nvU=A65Yd%+E0+2pDOAq3Zy6u0P`!@<0FiJEHN^ zq<QmUy)Wk9ifG`bCkuM|naw-CU z1kv3WSo0PW%ss{wT-&q^Kvf=>m#cmYCEv1NH}e^W@BGH+Ozw7sZHsNl6=rk@x)I|cdAsX$uGuj z1wHZb$>$bkyDas24GA2<`F0Dro8#cqZa<7dpyiBjIeSqTXX1OKLXw}krRZ!jx+ob? zAH1TD8cD3b8Picq=hxC*NHu3XP|?7kX4uGOMoQ6Wm@~XyaHa!KN?nzS8;jzKG9--_ z%RBJlNU~0MXZEaE?$Wl@?BPzqiu;$%N){K7a~={-!g)zM=Z@byhaHc4= zr6DubnQ@ZP+PJ$?f2ogx-CI*;VOMl|D^)g7hs-!apXH_XKz9=(EGBfba2Is>3goj` z%4luhWW6zby%(JoeL*VDh}b3rXXLxCiq_PvuvT+|c6c_;w;jChMf%gCbbiShgX!=v ztP8O6(X+A9s#G|qFSDX7I7Is$>AUe5F8Tw9><<27{Y=HFy#?$a94w7{5BxxGf4~gP z?Cq|8NVQ{3aC7)p=+#?m_Ubx@{ep=*sy<>mCCq5w4&UfAcI~Ydf#LdCi=oMZDU)x2 z4D@4q!;+>l-A6_;%MN~5AZFLqI=XZvGi_r=vxGLHooU>`9mU}}z3eK1jitWB^72`; zWIv+S)K&61IePQcD3YkEre0f#osg&<@h)-ko-`Npm7V3Q*SF&s$-v#<$i3p-B7Jl1 z!!GM4eQP(0+k<8XUn1Vrbj&lh*aYT~#OKzul8b1{QMp$X2SiXJgkjDpR~_PUi&x^& zD=jxs7n9;`nXxMd3(N|wi0$!cL#`G_G~{w5wDbwwN>PI}iIUnhtOdA~oA)vy+cEu; z_SBDkyOJXE;Z+$RT`n4Pqd@ke%<^GL7sBv*@;G#SOKoMwju2{k;TR(_uo-Qg-(L+h z*4&KnmRH+F`+dRP$ab|^P@3C1ul##5Lc~XB%-g#V748Tc)8U^GQUe}dX0W#hY~mVf z;3p@?g9}c^M~ScaM|+Z9kh0b8Brxo4YoSWX1MCnK?j)RP%Tj8z1c+8?%|3b-`j|br z!Zl0e#K=TsE54qY;n1?b?&PE>Y8!Uy%=dYAb($G{aaKZ(SiOs-WpLv4&`P+wQDOCY zzT#!rL@N1*H~XLUGJrnGznX`Cz2g3@tNRO(fcdW~n*TBj%kukm{+Fv8o$L6SM|r1< zi8({yJ141!OJET4?l>Wdfs0L0mRmqa*bgGgD{yLdB1r%Z4d1nc^Yw>vVw;(NljJcd z;e#0AnKZC#C;KDj#g-HM98@bE!%UZMbowlR?Y<&ADVnfO&v{dR`J1LCnkdK1F5z?b zK7N=e=M@KHo$Ji@8*Q);K`^R!BNT_acLDygI4&a7CkT;lQxa#eMvhP|=#Bxkba=O8 zGm99zL))dcMJPof9N|g67Cku+)c7Cs#GUAEp*ewHVo< zw`s|a&==m68bL)n>qFHJK&DUgI1Zct0d-uC=NSOhK44_KzJn>y?;?=D>H0kP0jZkz z5;aJ}a4`nmJm6wn&<{*|aM9Ehz?Ay&Sj};>31C9d53_jTT@IlEOmOMj!USwvkAr={otfv;C0l}8+&VsvUAe6pNQf}bHY84l$L$V9ORZo|hLr0z#kHHM7%DUd|&s>1J#yjg+%G-aow=Y(=|D@7Pl zp-duwR!x#KAVtzE7%X5A9L|@RWlJ|j$d|B9p7Mxrs#6te8h=-x6>gewGggRp3dn=f zeON^jucSFuW)qCC&?FUItik^X#8z8pv*A>A8E)$}^T%F0uC(xyuU2a-8_brg^fi6; zk};{iH%4^(hhAC)dh{|ScK6~In{Ia0{uO@gFM}&;aYPJ>@0-<@?YOuROExNo0##)C z5W6mhlspSF(VK<0S*fdI?1THp$@IUal|4;ThfNcF?V=5xA**-gH`>J)zspR$a(5*> zBtlGC*WPO8C0it^Rn$DSa5a%ca_|Br%hE)`Ni=Vw;#4q+duqbw=ANilXbK&sjR>c* zt}v!>7eEr+64Ny3@OhsKKrr0LHO|kR9~{^x#_Z6m?a|*Nf1lUn$l|_;c_AJD;e0Yv z$Qx>djlMcYfUhd%z>T^O0@G~YP0FNz6_|>KWDPj_8zBZXVMF=HU6*j>Yq8_jn9rIB zJmJ@?$o;vs>YVB;X{PBP{X+$*Tnqk zA=uddwjubrmio7D<2U92%l{63mF17+J7Dqlm)kf=rjG{{I|ujZ^*DxpsRYI&IGEay zZ1v5`U3xs5oA(gfj7F+O0NRgpH8B1Cm-~Rsk|0 zVToLs++Yh~;lkN<=}3ZBWI&aT-K4HJ#7Yk7Cng_Mtu;u*eP{8sWP@2hkuKbC&*qFU z0mm?W$PDYfmz*>=yD|BUQ3!rxvFgLBPPvn0+-Svd+Zy|A^q!7Id|*??*~d^J z&ge!dc{S?FMfjj9s>3N|(LfIQg)nIf zKY7RJqaeAg66=^6$5sue%+AS&&r3w%j!?{n3o*ibYy0lo(k%tZTRKjKCeBzZV;^{h z0Tn^vS+x`6paH9=H7mW7W{SLzZDx4 zMrXz=UFw<@j%NDys*&=dHfX!5v)>|P%Tgkk(%V=On=bF(`+WY{fDO-FxuUH?%sKaT z8dgg?;rLw5iG+$rYhPR$TM0ef+IzMV)o3nU-btMLRn_TA#HFGwjO1NCb$7k>!eOyG zFy&5(IF( zsjTAXf+(#5R~&v4#TrF+bRXkn?<%-HEjZSk-D%pUce=q5hB9&z-=*~(L&!Tmh|~BR~If#cO{_qq^s!hNbSBw`ylMuIbG3 z4fuUyWavMvU4G8;pYDd8w|uyMX)gaRRZ$!<9|^C!uopy`8OZ*C#V}i zQqh;=&phECiMV-WACQgs(Ua^0af^f^6L&QIBh^U8Yz?s!GQa` zE~MVsV0U`6NGTE~1^PaDP6_Yh;HMNA+UkD}L zrtu~H*pQWF(pv)^M(}BJb+i;16dxzT&RZ!*Z@C^F+J{RSkYynu*?JITi2kg9Y=D1C zq?iM0icR_*+{yq)`W_QbD|dM_4r8QJI&CNI2)^?fItk(FTvf=7HG8NWY`LpdyxGc{p}| zuO8Va7x0nq6vQ^dLxOTp6IOViFhaAhF{IEicTo^ZaTC<`y=W-thw*OA!>q_M)E~o^ z)H7t_4C0(X*T6!mWn{XQd=bU(Cg;Q3#-%5olfYCB>d?C9+3Cn*$M}{-ndg~+!=&|p zc-{J=t&1ft;MKmV7M;!B?(qfF9ct$)$;jn$NIQdM#9QKM$MAAs5pTSJzP~VoYmmM8 z{0_|a4lPwz7&!2o0kfJPx10}n=a`?R3lTyF*t{kP)(_7XtRG1hx`t)*TAKt%Ly zKwg}5IcdxwD+)qG=zyyiHlWxRHb6C7wP`m|2Da^16wtaOO32Ue(e5>Td#%AY+SoQ-g!4p5{kvG`K(tFC`tDr(fBCU+^Rbq=P!WAjQG2+j&L@mkf>^4RL%Pr}G zd>CpRg(+=}!m`K!BI!Qv3hv_Z?wEhDhd5u^+UU-S0e^(JvUg>QeSNpKu)_X-{l>4E z`N!JjFW%W-vATcjH-2}_y&S}c zvv}TV+RJ;j2$jH8t=AWu;E?4#iF3wP0z&>%N`Ww>4*&Unr=~Tj49!{S0 z`KRh;$?Ak6lbQ<6ffw}IOul)LF}^|tD=+Iy620p8JDMI6d)3(YF{6_?oXmT171kVY z5kS;BDC#$OPM4{F(~I52D_nfYLV_r>7MLbBVb=}vw5htTLDIT_o=lSUQuc;`u7Pif zABo?B5o!|mj75_FNZ*fKF*bX|5wp&!f8#`wuPh*^AM;wje{FJ()WSX|!j&Hm9pNLi z2i7Z^8kOowaOuFTkD#N!rP0c?sm4hYSmQ*XpVZ!3rMP(KoZ5i+osm9#T!rvSPKJ&q zuhM}a+SF2L?$QGWWPul%h*V3aWv}z{+r~lI(Ky>2NjJ(-pdOJn9l9vxlV~eCeSDyn zheW*utP1T|IA;3!XjULpPSrh+ydFsF;JaoPKB0$jW*qWX)-GMt-sf{~Br;@2Me zy?)As(fwJWz!1y>w&Rqq%|Zr`Ci)BmtN79bmSOdNF49eiPhSx8s9Z<|5ZoM(;Fm=g z&I*oi<*ZMMV4I#o8ANd#E|a7AHcOH|H1|11sBW3baFt70_ubhM6w?wkU8#PRBJ4m| zM!Gq1;i)I6@;HajL0ou%kpQDFmv?PA4vpd5bWKpb(R->#VpT5f}bNDO_o(G)6|nD^jX6(Y0IET$?Cc2_xp7 zdsvifG-|)8uQ;Y7Uon&5Gkuw*U@wmQCn3#lVn%XNBOInNVhlpEDlC1ie7G%`(0R7r z?yqbPABpoZ*@VM81}ZQdF2?X+)OTW&?3D9=pkZJ@^IO)Q^Ml~&6Nr!@mG>^WNg7s& zfDV|iE_fnCZ#6Q+D{SUYYIIJ!c81O4Y~65vcj&MCvDK8u?Ql~~<^aEkX@HLzpy zAYnL5%WN;SwRn3>>0HwmT0}b2&<=aU+e}I70uqoz*!Tx$^JkKT9Z*sVIQ-Ma{E-Rx zm(B)|Lin>#m4)ShMpOYvg#4da^2$8@PaF<#-8S&UB63=UKyHCS>0h0pgj?zJXMc|@o)@hvb`GA=dDLGB;8g*L+4A0u$PuHWsGLQQ(eoc zspTKT_zclfsG}6-N}?x=4#CP5O%4-<7f9cm&kpZZDMec+D!JRxcsA-H*OsoBiy z2~;qxR`A_q8jXng5Hr)DEB~s(agS*?9Npcj;&A&^xh+k36Z;lYZ&0SwiIz$VQ6Y$c z!GMGOJZ30Oe702P^l)%e?b4ov%_!=F76E>GL>k+#jy+8+6vje0iASTrLC_egoYP1n zoTnu{nxfPNrN_O`?@_@8B_=KPSP)N~Nztp#7#Xmb`i=dTEN&kh{02Ddq3?=&l$sq+ zpuEu|t>_=>_$TAUER$QTTjX9x#^r7evU@YhHZUA}iy3h$O_;bx80at5PZ*2QkomY7 zq6!6WCsZtCnyZ9zu_fb;OC$rVWBY2jnY2s@mEB#;ffVQqFm$b>S(4;sB#V+A(oN>} z(?;JoQ2FKcX+jO>^9|2H^dFA22cD!N~DQ7$h6P%1Euw5=|T-qVK&ZmKn9U z>jlExmCH%FEyha|(-t3XOcm4?5a|-7TuF=PRj9{FD@y(OHx`>=TZW#!^^Vjodo4qi zTEv)uJ?-kmPwmc4dzEPr(qSm44)d8C1T>>g2WdKk=HpcLb?RPWKtt zt_cjBT@THJHl9GOcK_fr{tU{pvHjIa{wrPhuYJbvR&th~{fz&C!TT@sPk-fD|F*M9 z=ClU{WrH{L^5PSabB7?8iwvUUiHNhf6Ce}idgN8|MR4n{QA;;~fSt(cemqB@Q-tC! z)QR_^Na?%q()4)w?$1tknH^eUr;v*JSdJ<2hN&P(T*V-xEAoa_!`2cW8n!f{N~^~s zGsC4W@p3WFEEO)o!^V~Sw@p&flEhzsrKzb|ql@$50?!MbE62Df>Ar4D54HGVffyzj zi!?hkg$sqiqas_sNmJ?w4Bs;<^z4U0cFJ&}rue*0iZFlP4H*|29VCN_HaJ9szzS}o z>7u~3c%~d*`Nc|okgSzxF|9`2*Z}hrgs=ue9`)N-Dlq2ChD%Bfl>{r>gfJ?yZ%l2{ zebP9g>gcCZCq>;&iW?>~?_iZV*B8q_sc%e;gfeRnop%WlkDh%9Lqf;o5z05-;6boL z4x?UTMV8wPie}t|v#;I_gDp&7H-#sp`idd5d}T;@h)lSIJ!G_rE5u6F6#I3u{S3(#{AeLCI~|0| za0+u(i}Bk64`OX8^e_z{+dwKP+$@AA;_T3nupd|3Jv{ekTQUxtHEr>(ptWj*m^~Dv zG>F0@i}61o<&bcYZYlFwZtn==z};{reM`~8n&5ISrZ}buCsVfk_d(tWYuSkwbx!$% z$ho6Lq10|@@?%61x+Wu29Y|Nv`+_p_2u*B$@KaoSe5)XNw-zpPf$`>LwExsvaoJ z0OIlWkpP|xg2bxnjK0Fp3*HBc6A=PKLV-T zNVtdaf?BY|*_)A2|8=fUowb>SaC62gED>qlxlivw!N>Q0>;kWRAy?oZrvA@_$In^L z#_(4<=2vOJzn=OGe=Zjo|AtimU*=-~wGsbkxzIQ>9<_{*0&e>e&$AFz{S#2!5Vccb z015)+-gbdT`Yd)atjzK|WtvYB_m{ZmAIQ>=-I>%setdh-3wQytE%|`I;Lb(q5M#UG z%o8q;cYO19?a&!@mpj<81%G~^#>?>;t(;Z1w+a8+sa<1Yb`Vc6zntv*p7>r{G{_TT z1d`8Tzt5S!y%?+`t|^{kjFQta>Q28hFXe?!q6!P8^_dogjnC$MjqF>Qf*0NFU3ObK z4}56H8=4e8nC69l5bM#xQegtkYDV{~LP+VBN-7@|Tkl?5%lZ(JdT6s_WNBgqskv#J z#0hN!FHsAa+_PfGmZYpsDNdCBYWG=&DQ{exaZYts`8YuIHK8S#HGL$^0}P<0iLHU6u@-!$nVc$>Q?>{i55cz=a*#K5akQ4({cL8w2kH zysI*gk*!24u4Av;o{pQByVp;+rIMRlKwXV*H=Ivlmm?3Bojdgp={d|#64|spVO=|2 zu5U5C(;qbsKHtyms$2lY?^)nhkF4!3Jy)*oKEz*Tvd-___;HWluRO|LR->zQcx|ZB z9Kk=(S^lWxC3zFi+s#2~OuCVJw(_D{^Et+M!yRhANvN*ts?6!9#f&%UeXYI>Z;tTU zd%fC$CzJOW{)bWfXC#h^{jWvtuL_obJ!*gVax$^~&t6z;{}hUwlZu}H2{xyaGz3>~ z7=*qI;($U-(+z1Whpx8!o*N1WEUG$A8m-=m2|Cj7;o?qovthb{QLE;w`7G(pS$|p1 zOPyZiwA>M8K2PTac-zbegV_U&e3p$3sMSpE1$xqhQ=`=51A12fTUPx7t}YC`Q1izk z>s~9@mG4WoI5gf=*8Y>5{jT`5B?gGn2P@^aK6{;v$}C$TJNo3Mj_N9t6^^Ut@)eVb%N9o8p?;ZByH|Orf63GrCSb%-BNtOIT z$A3n;EOxgL26?x|ts=sHL9||()X?-5yn#o&pA7qUNmD9k+L@22l7WTb6*Q|I`<~V zWrG^VV-f5vW6iC4r#f`-8mR;heda-P$#`s5&~DdK8CCoc^je)hHnd(N$vRz}JzBnJ zI|y1l7Z7O}^cuFWWjZmEcaXrQ1MMvr z4+<|csq6H-JZE3E<>;|jJ=fott>1pIxKIu8IfohJgnVHS>E!~s8%4F;!$7kes|hs& zDp^Tb#(q+Bm#57J)%`}NV!Pbz*Cl-)YpbnvjSunh1fvOTFx;<@@<(A%+`Plrn+CcX zB@}E6RO7uZn8BOK?|PuvUQ(g-RVL-PV1ty9?b$U+B1zmZIF{t=OOaWIV1th^?XZ4? z&icGd+{mY%%=)**>-UDaTOA3Nz_*sDooCaXQhJ;u0Rpjfwas9ICh$AEPx(pz~P@C|0osv*Yoao7bGjgU(B%oZ4OUI$dLn01eDO;w7be1!A&W;3wG)29&AucLE7M!SSUl-`TO2 zTkGMpb6PTG5}B|sy$f_r39&Ev9djK=(yO@G06=E&sp6L$pSjguWvte~9AHma7t`n! zIopifKV4^XlWAp59(*sEf?ZKzI^8ei&dR3b=(oxFq|+(#W%61veRJjPfTeh#ewqxk z3x%6u#gpO@-n@*~4C=E$wjq_rrosnySxz7-d4$*{lrG5r14I45pE6QotCp_v zj)^!VGtAHMMVwP}I%8@nSB9-N#j$m2KL&tdWv=mZC=&1 zV z*P@uPP9p#yh04?xn^aiwVnq*?SM#J}c`xmD`2*v4I*r*Y%T)B0y1z;r!8fQpse9Cf zBe}(_>4c6LBm61NME!Gdbc-e#$KLCjlJP5dQ3q|AxfsrLvd5Z|xI?TL>gg>L6QUF2 zf(hq>BJvXxA{KVdPi#O30ck$>@NFxTse5QRTb3~JX5%tZ1D~d!A2U~#c#5MkE0V^W zH>jb^3%&B^heJ-^iBx&2eh!4&yWyDRmvB=*YMkhm&sOFrbU)dKlZjtf4b^s76Kei2 zo1N@FS{~WoB@5o^STVoaH@`nkISsvXPT9+}Rxxd2VUWKk-<0!xaflgN;!D_)y7qEA zsEx%|oGT=itCf&Vv6Pln9VsObo`)LPK$0#ef$?_KX9?o>P>V!d!zG9+nq>3q?zUv~!oIC#+QjKD zGMsG*y!_S^Lmv1{8zA#x!ptDlJx+_<7$H4u{q;dv>H)WL$=rAlxPj3go#Cw9T;5T5 z3s+)t)c0TxH5#{`+@=dxMiLipw$E-0vRW+9H=nlOcyTAjT|A4rrpCRWL0;N8;s3#f z{u!U6r)T~vi}jf|h>ZS)&vPHs8x^5sUdK1$=toZSeX+M&Y6NTG^nRpOhP$s>Io?c8| z*ErF;qGpg9J+R2(Eq!Q79<{_N&(bn_A!IM%c1R{g<$zD*vvqhP8BRF6{Tb4W$GqoT z&L5skc@UijTp3QDO<{O0z@ull98=FRha1|~igoxgFk)eK@@t`obt>hvJ1^l*^D|Qg zB+Y^t(t{wa6So%R&+lZ%j*TP5;rs*x-b?~6@3)DoR%H(O^%DF2uixc7MNa`jf~UB{ zaa+KN+T%0g4%lvILVu2rR&<4@`vv1Jy?Toa`;=( zhB**D@pm4@#cgG`&Ly8AJ>L`U4y<2okV-^gs8vNUWBCI#FQzE-7itbRLqxpNtTVGia}2BwN?>h`kd_%uE0?#<+7o zN>5A9vgAi$P%oeK64b?y>-h^ zcr!hIU2#GAocP09p>Au}mqG-txo2_{G|<1ErcM@|n9G_pl_6Y<|H7f&ba)~9C5<7K zvE5FXqD~eVNt-1nC2@Q2$2^#i$iYV{M{i+@OU20Vt^Lm(q8Ij&ODH`0>4kLIxd@B( zxONoPlrsG-*t9g|TGzg4D5Ibm&IB%q;mBEx&)13+`r=7R{@4h8)wDOhNF8zUeOh%I z3X00&@i!`o_u|9E5p0yS)uO8UIe-uZH&xV2vjTCiWG=Cql0i=OJf*>G3Uhzh>vzf4 z8%m8te3bG;a#2 zGH_ft;&rDSDMiDI;QmpX1OPo@T=5`ns zBzC_T#~B<@8zhXF$hHN@k3owwEHzG5K5kD$GO;wu%+)Dv!wl=%oh_2i^E}8?vhccEIk^!Ox$X*@;&}xRM+?#6ZfSPyzsI{W7uf#?zx!v5lb(U@ zuSmzQMuvawcYjx&vNQhg{O&(gp03Qx{KU%yw`~K@mjY`1LgQc4ubB(+ez^A<_ykM< zt6mlz$TLt=7=*SVlSr`&ZDDvQAFBKO=IPU6juDBpoXzJxMgwv8U!a*nr(d{fGkBKM zP$-h6O1>04)Rn#H>lxMOEI=|TVxfnTx>->p6sFmrW$eDucb z*`7*swWmh)DMS4T#O3+p5J5E&UZ$#1MYhQ+{sV{AD)xsRrhM>Nqa2vUgRg|5+d-L)*akBh6Aygh`;UB^YCKzS z6zZ_0%fVyKyO)VnrQeIP^B{6VItR~u?Hd|)fvKaXmXUW#n$SVY%tO)MfcueWn)5tb z{dvCgA8L+C6Nq;p#ys2d`8ZbIXk5bu8(Rt0hP1(el)33+P1dWpu?f4-2tt##vZn59 zjR|@IvI(P&sl-e?uSe+>>V-VOcssx%<=qIz#Jpf)@yk? z-*#R_4Z~p!OqO_rBDX@jlAd~uBS~RP@A9(_M6MCv?$7PQg2p@e*)#^ts&M3vez1r- zG!XCw%f))EY_w^*CqFTXG+%ZoMv_W>uPx;4!yyNLpl>%-NuD?c(yyr-Ql~;ARI(_T{PK?2e4uI2_lZ> zO$xSadR;widdKKoSQnBRNx6n5wk*a;vg<@yP4G@u$*q*q6FF+7{ZL%kEpo{;NOO2R z8d)N(7)<9-)~K30L4o)CZeij=7Zbh%D}%m4jb!;DzL>9EnQ96Z1;O$jl1`zebfSS*^>7k2+>J+kI z6h?&Q)xo&K%5KteEB=uMc?aCN;=maCWMq<1vd-XvMxNY*M*V2q zA6xLU1Iyo)?1Z3cqOH0@>!Aq3Z@TJNfJe9ZzUpx(!R6I0O3PN20F6%QRHn^-g7gw{ z!BiHi#IYcDmI#@99G*fehN+S)!KCrnji(wfQ1`6 zt!iJw#!HgCe5xCCmSlHmnyg`8s#$zs8(l<5bXXeiSV%NjW?e)hjHVAx_pj;>0-ix6 z>aO1Y;RW+&B%Pj#@h^PPU#)Tf`UUg5Mwu0$>VLJc|A$ce=sagU03Z}xw+02j(B|D5 zXw{-_buEpKu8gj!xSs%yUbR3HuvWlFumk}NV3hT)FZ|&$3kCAJ*07=xgRI23QOaXF zo!(Z7KHgdC+BYH(JFw0SSg0#+I5ExzU%NnDuu%2n^dE0s9?n|&omOnsPKNhZWXVwz zsMrSrI`))pdCl!`cn{CJ2}Fx39IJ8foi4;Lz?PA%3yrV0=lc!Y{nsvD zAajx+z0=oU0y}+|MAg>I(l>%H?(g8b5<9oJOO@n5j{({2TYL=|lS=B1KCOF)F<56| zW$nCR(LZULk^0)o?~2NQ@k#C$&IaLy((2fa6_3>#YnjjMBdiz0H| zLpJXHHw93KNz(dYEQ1e&Lhrr5?iM7wnh$DyFqxCbdVKC?_ukOa?k`_6A2>l8RKV)$ z-U2<#-b6`+ba7aoex7$xM-R*Za%snf^6nK`9KgaRL*_znA0=8&^lI_jYmW}09JfoG zVDu6(v95rQ?tzq-x8=YtHTDuv=;(%z#M{5BUH9loSWkhJ_Nr}~J1^a1BOC&@F&|ix zYFEQcwqeXpkN$~S{GYTYQJK97EC6*4gV-%CP@J8x87$Utr8agtWGmm zP{bA%tm~MA#kn@%^x4eIL0izz<3$GDVNry&X0V*V4Y1=Z=#QhOLwk4 z!Y?yuUnW}zlGVpIkJv?1i%Mf3()I5QvF#FUU1GPK!id(#+l`VX8bHT6cAkr&R7#rq z;NIM0u=P90)Ih(57m!)`gq!3>X689IO#1ev!x?-&s$bUaDBz9o($!!j&ex(b8Ge7) z&FoKSYwpj8Q^LD}8G;LUq+9@R@5{BlH_6m6W);xuF|H6~*B?CAL(JU|a+%!mxMH_j zS6~T7hFXL+Bq1ME7o&J_!Qff{DO^BZahAr;(@e@UnDtOJh6v=V%(s^A&*A6FJGdbM z%VGN8;bQW0w!)@bvmmk|_cY}khJ-5~`Pg{3Xj=8(tg4C>kT#y*rQ`>1;BfU^rBv&( zQr=^Yn+oW(?&>V!-hbcTO82?Js5-^DpOeU0^RGcgTIlr;4)M<< z4LzX!_;cc8I?Vrdj`YO&je0kfqs~`wwC=6y*$dw}gwZEle!>r!>NMtTsrx zI{5QN>W8@k8rb2ADs-AA2$R^sSD1%{^`fpU-fprf4JP5kG{H+~~lN z@%p9GWB0k?`T-ujLwlXT9az5(DsLdr zniu<|&v0#5(pZCxm2Dp-><0fvU7|3yIvV2(5E+C~pz?6P4oc4dW9^-SGhMf?;f`(F zwr$(CZQHipvE4~0>Daby+exQ`KWndlt^HQ*KG}PH2dSi<`yf@xJ;yb#F~=O^WTs9P z$%hQ-DXJo{IL6t!&dO66PetTv!R~p33h6yw^$F>1Q}rI15_hQ{)8_Rgpj37bMkPpU zit`nh{bh!AW)`)Jy)zDF0q)9Lh|=5M`q)0K#qrgn0LtMV!?N z1JADN9Zk@qlxLE8>CYK^?ni_TdK=SK#UnIk*LLiDIN`O|g6XcI7_-&Ucq@h=&aax- zaT`IKkQ*ke5|tBA7TRl+8@k0O;!_O?kBJogSN804F!?Zi9QimO0Pr?ZcZnL)Ic}xS zWA$MN!hEyrT~p3`K@KkBTTW zeg;m5Pi0&siXKWiC)IM(febG(#aN}>BR>%8foZJ86uoa?Y#crjHV(#1aAdX7XTLH{ zNCl*L+teRUR6-jdB)Z1zpUy3*AB2%rN@F2*Xk(QYRs6L4(V&30G$<`+wKuXICHVQx zRQevN*3v^n&N(8?-|to4Ge_+nv(l|1nd7V4JxTRYqD9rQ;M1wDc@33i+G)J-lA;28 zvP8vkQ73=Bf2I(vUyV>|gF-o1z7@$pZ<+D6!vPVqnjA;D&kHBAmK-(L*l@6#^WIRNRiODk9@GlCTf{!a zG?{3PUIaE<(Xe*nZIuci$&YrTVh^piiL{%sw0ar7Cyy|PN)Sx{iBtc=fjEHV7e`xH^@tdJm$^zfN6qELlpUGwTt!VnO@wPaS*U}rD$oW7p zm`)^6n9PTeh|Ztxp7_@fUc?WzF4m#~*=RRrGbOyd2_;oRNopGK)+6mDuffiwa;*Ee z_z%W-(Y0b?cVUt5uBi~UfUkRW`_2?PJsfcw#Zsg*%;RMNkMnCBc zxD_dZa6Cs^Rx(f^ELAHx@Vw1M6{$U?cqbxVhH4{2o8^}bjuA^4x7-epdRcbt;_ViF zN7pe4K~cN+j4xawPQqqbB&N8joN_cMFT8J4o;NDu8HR{jCFa<%ODhUxPB%%x*$O6B z*h&Bj`%Go03~`fba~88e8KV`S-=S>V@2{OjX%qGl^UTkIH;FGpBZ!0}*4Zaj*h;Ed zEv~ec%t+LBT#HB?g}-RfzR&y_tEG{sWA6VkY~vOU#<7`l_ibz?*I2zlr4tO}YQ`&m z&hJ|f$L1K5X*A+@HL?*6$85rHq_M1(!m)4=Hv*ySuq}*cjE6`p#!m6s9$`_V!HCBMmZ%fWBled!sq~PZg}DLHZ;IltF$K$K zB+TdIKT6?G>yH1nC>Z`NBWC-5R}{aQQcR?^{ZSO57A^j%faB@;6CPMSt!!n zUvU}g%b5bA1WY$wJ@S5Hr1iQHOe2{Nx(lHzQlXT4x zV>62G@$_YBtcAb?URI+3yBQg}45p*t$fP+K4~F;gTGzzVm9Sh3h=WKpYz<65pBjQu zZRXN13^PNGgX!q+_E6M{$LRckd;B5(@>2vy@affdP`F$+<6J6SqQ@8r%6x>iy(x2$ z(>+|s@RI%+(RE1LDL?|LiNDbp3)YPI!_=j34cl4 zCMn#5pGC>^%|oiGVYG2*+)`h)jByzPNwBXSrKI1;)Y8;2Qbszcf)oKp^2fCkL?258`p|CS9M!yB2*sply6Ya&Lv zU_Q+=ah8?szarMs~HvCGSwMrHYjF?cK!o zQ3OQhh(_@oT6&kDgcdCmg{>pEA(x{NUq?s=CMJ7^41C+!d*;8t-dPL;W%B0yU@ijbzgj#ylvmFhL8R{?2L)=u=KNZnLK#}C+f#L z*{9jtbG+qpjMq{Fyw!<}Or)Cr?0uJ-dy3jU_kAh4oDwIkR~K}Q445IJs_I=ZfCXYbR z83JuF2}9+^@n0#N;#V`YJ&Vy(`^XEuOY7Gkam-@R!$-G#?No0j52aJIpmNdFl1+Ce zUjj5X`l}w^8ym)BuGko8&X#?mqM97G1W(5K$h!dKW|O3T166-B`}ChH3x8wPA7()R zHCFvCUuO9~8peM(QT=pn`VS4`L>gaW7CrLdfrBZz3owp|S28vM*m6XB0|<%Ou*$iE zKn4{*`Rr(tXb9-Rudl%|^=?LSI>Nf@Sp9KBb5HN_*1gX;xVVKoz8~!5nwP&mz>%?^ z-XZc<-tz&pnwpkHvNi9rzBN$vot&}r@nTUH3t_6H6!^DGDI_4+A48fq&S)P9n`|F~ z6MY-&AOUyc`rZn)pE5{o=Qgmf=RF8+)MVj=R_26KbbK@N4I6snevNxQ z#Rm#JbM`=kjvtTRD=tcNJg5?69#Z@q%dGipK#iedMZGDDe0m|`}aW12k@e3+uVC&;wwk4A;qyaceWNuzrI=woox zndBX4=F>@#Hqc|79wiSU91-kjIwu3j<1g}J7*NBe@wlX0plA831SjUANFd195eqHA z4_`dmWI*Pj$}mbWX71A&qx<=-fIZj=Pct}o&ngR>2uwpc1!izj@p-Gjz~R@MNAXu# zmBe0e0mD?jFyNWQ-=X0T-t${+4`t$u8a6t`_exrSS8trm+wMg)CIDya&cq}G4t9zm zKVq+o#_0D>7O(*L#{DiQKLo6Lpu!cnj?HX&%Ge43wk9-c0bJb33kI?dhcT}26BS#B zZb$Gv4E*S}zx$ zsY(V7GdCKR`!L29SJ2e~y_Bou7R`fn0Nc{msMJ}3!zK`y0qSe(=3IU~L0U7nPW0Qi z*na5tyP8p-y?uU0=t?zCaYhh>HfVd+;`N?)m!~cWOV^_)4&8x=W2<%EajI|_r&{+$ z>8l0h%IqCuXo~d+8&AeN05~R2z<_R!^=g}4FEQq8yM*Co^ol`c+LT|k8-~du-+9c8tnlbo|oBM{vn#uLvsx=+1uJ7@&unp-= z-|h7?%kE)wkPczALxpJ#&>Fv&)JTg;-RBFJ=fm^1TuYA^q}|NdCnx)RMeCr)XcVS3 zQa-_JquyBW2#_@eaeDV&E;+BvGVuVN_r2yxkd{0Ke~~!#|s;>d9A7}2SICKdHE|~>6e!C zmBDmbetljfhuF0!Bw19y>zAjBumvtMwi2*3%`>ujT-g0EpA|}aM-^UBUjX=iJ2@@r ztnE>ch2=qLxi~6CmM^!#p7y*R!==EY>M}idpRcNq(v?`x0+boJz-u7l(@SYkSbtKg zY$e~84q2($#OA`X%o^Q6u-8&izq-IYzrZ{jS=cCdk|tYNF<600{h+U00>E~Y+KJB$ z#I`X~#e*&m$2x*(0@}GB{$5+E_lxQl@bP<>2b}>y++}M2B*+>~gh(1#T$G`nM?2kl z$R3*0)S={WkmN4{m4p6owe6pdi2rq8^S8>KiRIrp%>QgRgZa}_@;~~T@AG3ZpGX3# zkI8#1UIa*pV@xG4wF1h>=sk^#ssLdz5DIH4B#Mcj00jpm`;MX2hDd00-lteckdXWJ z4!*vJ*v=^aA)zG4jeFPuNDsH?NUkr8rTrnWjisHXliPocC_VRb0B5)XncMX-5^J2L zpBB0|{am)O|EESl;`%U<-uxv2oWN z=t!>;#B@;V-G$>wuXVDHy~EM& zy&(IrV+4dssE5DR3zADV*&j z*sHdyGB^|GIuj4aleB4fDPoBZ)VSvyQL5GI`M|hCdmX<)Yi1Ms&*-f`-;_VzSr!KN zzmCK|y*&Tx7x{15_@{r|zu)A4b|x}^`k(&Cn|zSgVY|-?KlDTX3k$$}33#_xFjXyh z-lq>ZqjuRm)yT47v7SH_O--?Vj6b~bJCl%N@?)RqqCZkFSgy&$QXDcz_RV*=y#>Eb zdrn@LTycZ5XXn=~C2AROP9IVc&rH6A-t9v7&!`BPkLpum#|IXm-o?$2dr>{eUs(== zSXVYeA5-UP!G5a7?6G9 zbJ(G_#X9?ZAgxb)f27Lc7PIq8GYH<352Pzde^(>9nDi(or@@z+Osa%i<{_~%+NsEZ zS;t%5>rnG!6$FUc*-i^2p}(`=3Cl#6x=oQ#N5Kw~Mb;_0<}V{0kdHUfdimk9g$#{s zg(Q&oT!Gf!eEP%>03$CQNi1*8qs{J)B>|}SLl^?2NG0!>gXlJqbAO6|=36o6v&N5F zNw4BeoB=eO>&-GfAP{E$nF>rq@?PD5`Y$4tn3*$_1}fMrElU9fh=7zWRlblv4QZhZ zS}nW99P~QXI+F6`~^Q%iR zOc2MA?KgoTSFeN_uBBy)$|xwsF@EsX=Zrh|QKEe-9;05{@L&z9K(P{>njlC0l|I z=-DceA5cvpD;m&`O2Ph}`YSnewN;`pc7&6GVmYFxD^p3}lhTonj2;BeZuikvoaK1VcnY zYF?2$tRZvCkVnW#iV3}CQjo>Uq5v^4Aqp+ftC7}lpIHeT^4W+XU1Ir>&E$Cw5)3HX zb1Yi_`VnBU=9KXiaB{Bji zUSuw_-!i#=%^v}~3D-~LC~DY{`?en<-}ywolPRD`x+i9_MIP zIw$(l5#L9Sg8zX@%}Wu5(%g0ui!MHWpC zke(@08d3R2Cphv>a&Iw^NEIC+$P!vR*8%Pg-%OuF?kKX@t91Gte_IkQm}b7P010Jh z+QAE9Qo4QIv?mS{2%ERqIuVp*RW@M4nY$udemct><3N;Os74eA1!s8_tV?OXW6FZE z;I3(@%Q$fXrOS~=arZoZaeh5{jjW$PH1B0P|@ zj-LU0adR9sLs*&S$&^$X1P{tffI zJYE!8hggO#!nC@I1KtH@-sq7n48IM;a1Xk+Q$fd#bH#7OXLCG}Y@)e8f06}Fk;Ux+ z>9$_;d@zK>%1yz!b8LQmwS!F~Zv|_Io|qFt?u>=2g=1%M?&kBM9@hQ{42a$9;(G^e zM39RAkCyMxljx5_k%5)z{~VS7gA?@Udcj|}M`rf_N|fmsE#%*^xyxFEV(v^r7>v2y6bZ1hgRg|o?^z_3Ob+l@0`H&|_? zMwl8ys~eK2*om|cn`-K68@-$IM*EJ7H6yjdP3n17AI!5q16Pt4nX8-8IJD9DnMC48 zK8H}d?d}Ekvl6;x6}@ke*19ut6IDyzM(Qiu#L|svFYb@QWk?xS@1d*Jl!T&vJSOek z_yG$HHFgP-&RGaDjosLGZj31pmBRe!mY$^CaaP{D8L8DaIcI0eAyojbi^ikq!ye9l zY7_5%xIIKA^-l@4;q7 zFm$8k>BhJx`dv7^(0YfkM+R4ukk z_FWZ$szigg;#1sFtF#O!rBcxpXZ2tAOuP5ElN6b<4>8O^__~O>P0{z1`*_a?`s_dT z4<^CTd?#u*9ECE{i4H0=b~5F*e)D}5ku7>EQsX~xmhSxLVsFNv&oIQ;4Px0fa&|Zk zhf;`lyFzDmLDuh6fYoRO^(022bN3RXS*UmULT70;X5{+vH==z8%1P>VS|| z@;zbMFQ&SE9+CwNF9uIBqKrjir$XyI;jqt|PUJ*SCcdyQ+N@#_;3{pXVz>rE39d7M zW^YPp`r61NTnVSRLMQFux7-hQP#WSMzuSb1#XM22x6{b(H}~nX7_6QUXpqPGt@sJV zWt}kVU&Z7#q{XZO-f4GjoN0=W-)_`H46NnUh>+M~7IJ@!d0V{BYW}s3{Q>Y~II#Si zy8er!W@G<9W&J-<)PIZ5**X4C1N_Mk{gbl(4Mn}N#Pb;*{<*Ns3Am_}&bYuRCQeJg zUbv6GrT~N{iNG)RS=5wAkh2xbs+TQa1P(uNB_!T6Z>06nW@78V3Ez?Vc9!M|aq9L8 z!PeetJfKgXcrU)o30U2(T;tpGa&d!ZPgg$I&NzqG3J#Cmj;PeyRdjN_uUb`r#oQZW zt*v4QSn53Tq*7S0kUbS zTVk5j?E0zl9#CCry8?+apkr8{!eI>RGVvbW{?@aTxzFsKjeT|@0bV2vPs4XE` zqkz_Zw1K!!h~}LZQ3v1QkTuARSl%VX@`O(|Af9KBfn{4EsN&f}d{lO-ir)md=Jgz+ z2T@Mnfk7LGp}m7x#a{9rMlo;;TtQw+$#kGH_@mzS0=loc+kj^amEKscN?nfSHBfp2 z(3u>m2dWg22A2y^Ag~v=<+{qd81Uw?;V`H|rok+83MHz-55Y=bNS2`B_cls2M)k+o zK=sYb`*i?eEHf7IE#IN;2%78EgSzXSiPTF`_%FV?Q!G9Aqzg2TIb%zS`Kzxuvjt+Z z)DG4mmk8sPbKq`bWk+5|Q{7SP#1PaaAi)k49-{DXZv#oK07m+x7^$XxWxR7=ijurgqB5-(-P+Ku6dw1cZ=OMJP< zzM()E#(!YBb+@6|HLK%|e0G-aahK>8To8piG zb&~C?&c6X%nz{;ui4*eVTUUZKwvZ@G|IV=d%LdqJ@vu=mPXu9d70}EE*m6F#p()gn za0DL`)~UbLfuEI<_@FrQc2=G+-ClPT|EL(+QTMdJ6qs`qEwvpvZ|lpr#|faKPG9z1 z6~A#exh?wsc$NSblC?9S*`~m2Ha}jjaM3td5XYS^KPCHsO+&;QI62^48;B!6{Jm`t zewP;g@D*ylhvfE18;A|zR7>U-4JtfM9xywOPmBGllkdra;*W+ty3(==^J5@(wSeuj4&3tu53B_u zBi9sh0^kkbKjM@3!?=^WX$kw7zKNeCJ+pH|au!|Ca9d%iY;ti=TytJ6d|35mv@h{Y zr9Xz2VxUfh_*Ea``l23)O(`GoZA?h!lS4A~&+1@MWKT$Cg!GOB9 z%v4(7-aZqxvtV^y<*Z)$OAki3GnLk%HKy>n1$`WjF?2f5XL#9q9O+{VgUV-;V+L4n z+TJvJ@WB0NS$*rm@fS=S7?haP9?`z2g7za~iNTwmK?BBKCg^f4l0sf4P2BfL%ueWc zvzR`vpjy}tm3(rr=pLCWTPg?yksoWE6fk7Pw9qNhhvUmOH~uV~*9w?Yy2e(dz_T-vhr^kXyWtm7nSm%r^OO@Jp8!^wK>tk=RH3sLi5S=F% z5ze^pP@>1cki8jz=k_H8X+o1UgmoapC^tErf2$n~abRbfW^dm!$9A`xDPz~bfp1_b5+IyNnb5`wDno2E(<%KzPA}_C{yzxnBBuygUx+2=FL>lqaUCD8ml|*;Rc$R zLrT7^XwrUqJ`8XYzgu-DzSQvg>?5^7^e|OMN8bT(r4YFts3Vi4-I|mZE)0rGMq4+t zL5=kZ(Im%Q4!P(vAQ)N^L*{Ry97!?lzSDJP4E0@%&a9le>{zi+KZe36LeN9f{N8;CSgJkHKDOe+H)k@=feAI36hPNZ#{W2EO?$)3u|=HTi|0Pak;Q= z-hw2=PIa(Fn-F5qO83iHw8{}{0f`PsI=`vU$R#F<5gn2kMX1(sVtpE^nnb)gbMN}CvkxK;dc!?- zXX$T9D8&V-uD%=MZ;7=2ViE78s?NOAfpx&-*s_rtadVik&c27~+eerV)mn4+Iljt5 z*+W%Z6v=hUdTQk=^>sh9>o}XJO@APYzFg!w>THbvzV!Y> zdiXP@>Obh=i9fsJFZ!IIsxn`6@xM65<4dPG*4A~SS|Alk_zz46LFAG8$I`3S?|h+k z0)e2Not5Yd+#dUtPaNqusH-+}?%0ueBu6;#Bo4ss+@FO|8`txtXCrbtZuZ?&_!nPM zSQf&N*vTCZLg+ih^HVRGG`Vk^no)xiIvNgf*3d4vfQ=Om>t1P2pD)A328d^VN0v~^ z>@0EdwN{T{|Mgcw*=Z`3IbSASpf0B$0&KJRG0o`X(#^tX`QG@^4bxU3lBgoUY$f=y!^LFTPw|(eBXlE zuAr zd=fkAmbZ&h_93+j zaL?4Srf85SXh%LrnR$wjk{MXyDN}4Lpmdcuz%Y#{$2@^y$Aj{c7%Kf_AF`;7s_pGa z0NHU=oL3TbIkBnGQDg2A4d#i-(yt&rkK9=xgv2Vy+Y8 zGWFd{KnWC7ib-TQVo)ONNbk1&>q*_49i|nRnwnQcjd;6`$VX6R{DHOhv;@S)bfV@Q zyGu&1K2x%DZF=gnhpt<;0mw#WoG_Wrvh;>8z}VCBqEbx5<2I5v>ZG_-O4zdF04O&yW;I@L(io$TGH>D1#6`iqN5k~va^1N5 zT<09^T8dv8xHU7bB@T;B7dirRmD0{;orC2x$2+>KkQ;GSB;|9f=o<-QrUJR8*5fxD zYxe;bO4Y~Vx5_^&+hns67uy3g=EOFSGClEjHA|*4EtqB$f3kOOh9xsE#iP2LXkC2O zoW#jDDEgEt6p`S{VdiUXj?>^0Ey1r!v}xCN?1fsKQ=9H?mE?hyUuf>8d37cZZps%J zG0q~IR_0iKbH_akL(@DO2}_yWSslK)lYQu3pq|4$A+-)K$)E1fIdN&?f3Chu!6#mT@eM)le;l8GgvK{t7w>WSCKc=PRG>&J~5Syw9We z2Ku<|kj|^KsP#;_%M|zXEA1H?951f#+QUHU!Wkmo#rGt)8Qa(36UP+Wnw<6{aHi^x z4pax1Go;eU(hS(yLbJ^#a5HN$TveBT$jY}fyoRnxNiV*&}vb`z4>r^%YY?jp4~`QxwR z52OmpZdVR}4*F{GGtlz337G}$Z>Qol6*N}@qR3sh7ZP)y(I?B)NNluS<(Nm|K#Cu2 zA6(>J9#8TfwFUuVLd{!X`Y36(BGho^ZG*ZSSRQJzswo)@_e;>y=&(D>igQfNc%)a& zLP^!;1`a4GNsZR&A*Gqwyj?7|SI9gonxHLo3q)*#VT`u%3Y(!zXJ88TFkfcbZ{#=W zD^dioM29w{??QLZ{4ih+b+U$@(5nai;*5t4pWjxF9fcN-J>+m|%hACR?wQ~^5P|Td zI1N!?!E=B{rUgx;P2G#@?LWh$WCCFNTUJE+h*S#$_(Z>3sH=>JO6#GlUr}JlHlx2K zw8}Se=Zv5;71loWixP=aJn_6dsH|>-XXF^SnU%4gTD1@)(H*d!-T*xJkx4=&YnU zM>hiEjo7j5#DSR|G#Nw?V8i0u?-c1G7DQ~17Tmd&!FP02DGOBtf1^K=q6N*rHR2Dr5PR&-a((TSfBo3Pi9rAWk)W>p? zB0yCbq%g2;Z3LSc%~R`W(W`bT0=4(vjvKI4eK(ba;CkcB$b99&^xW1hnt?T8jn7z_ zgf%r%62n1T9hMzy8mv$qGgNG#KR;6UZS!>YnBiglSeMNhXsy^F=c9?<-PF_qx{!-Z zZDMM!|m9!zk`%)Zj6$teRd>6P0ZRzJi89o#wdT8o|99=T=EhHHB9hJ z40^jARnU~pvoPL|b`hdQG(c_)Z`(JtMoCe1jBLJsdlzn}@=1gV&?7ho;##YSINBUE z8HP#%kc*!Rj><+IAvb5%&iq0F#KdY84xXcvP)AD1x4GiqXfYL^CX(+;yfGyu2NfpA z{H-}LvX=(HP4LFj+WorS^SEoLM5EZ?_l*?63-VUBIRP*Y3Y6EiJm46eVbRO!xI^x5 z7m3bVdMn^aFdck$9Qz0YV0ff!*)W@Bkm<8qGhyaslGnr%J*i@zJEo_< zZ6c0d_%PE$RMD4TSvNLzzH6_0+`YVY9)3K$KwTKI2K@#_{#rWtgWCLj{0DUWnaug$ zf(YZ^O9w2B|4vw8`h=E$z>+fjX85tOAP~FuX-2BAE!CGuG@X@KbBIawfT#n5YqDcf zv#)^q19V3Fiz_vJ?FSGNm%}b?YuS8sA~(n@!!>RmL~Xd+w!d@HnH35mjG(blwcfX- z(1t@fyRKoCp5`WT-xE;{@B~S{r>WpzjMrlQrx0*yf0&9S}n6_`tz&=9WAy)K)yhRoMrg z;hqK4P9_t8yZm8gb8yLN&a6^T6@`)w_67Ce8GaOj&Chc;l=j%i;joNnRfQ6qk~kdH zz2HG0uhtqd&iUpY6kY|8;Xt<}NrcdSKqla!raR$ssP3vBsEIeMbbyAaqT@U1)NnG1 zA48?R5#Jt7_=pKS!RLX6#G>OwQIB=6n;^^@LsH;P0rrIO1pzIzA()}s{hokTNFUV8 zsD6(8r0Z4Zq&brh5kk4{zNu7xkYq>R;dh}SJRHEe3K?NFXh$>jLkY#{88H(?Rf$)J ztS1sy0FJxuLdt#~F;K13bq$X8xlvCy9s#K!iFm>Z$o3`lp0_U0lt1wjRJ`My&dICr zXMAd;l1F$I9d3nO9#7M7*M=MJLF!TNap)@{wF|z}PIA^tl6ihj(y!^gskhez-ps-x zV9TEr-UK(%i>7WwBF!iTbS&Ae&O7Vmm(V@*33zGH5?D%+2L0T_C{$h9ubA|Pif6mX zUatwNSn0PYKjY8{h62XmLS@cn2%vz+-jN*;7WBmTh zkOv7a|bH%UsiWD+nkWYMxJ6gY&FakgWn2u z`lhC<<-nRB=80$;;HP<0Zfa*e3@NvAXsW~pMU(O%NHG4k>7gb?;8Jm& z^t1s%bSgoLMI~FMKq;iC7_(eW%!wOTjdDoSs@SSrEGZRG1Ti9lIvy?uH!J)q-&+YK zE=1(x$Ua4t;XZoIsjbb1Q+$sfK%5yOjHnSF4;}4J3ZNRHZxMgb-H_beFB;U6s4Z|# zh0)UQIsz9TNI+9NN5&q?kQO$R?!w*hEkv82nrJinggGIZ3B!J9Q^zIpCG{TjMzqi^ zvjPh-0k=Wy>yk0QSUQAdF^jG6*BFlV#4|x}>0CPqs;e0S7^=Vy0|JD8ntldp(rWP< zhM(U_ThIu0UM#&scKs1A5}+cWB=Aa;uSg>fVUp2SJnUAP7FQ10UXZmUHGvY0sl-^Dww@&7n`nPA2H?YEkVADMZ$u>3 z5MM5xVSY5UnHr2EI-(4l$%(z*8X(6lAQjk8QNmpU-ZG)r{-NmH$0cif_KpZvN z1(^<->08S*VV+mr6mx->d^TomF?IH{wUVt3@!MIAxf=B-+<(c$G1)1CNdPicV9qWH_!VYz#4+!D4tY8W_E(2Wb?yT9@qt7dJs*Z0s@svX?t`_9V znvZ`06f1Vo0%E3;=XHh#PEe#n?y{q9`iyIyes30J2VOgSq&ZnsIQ8qPYLYW3qaglO z&7t_dRliAsv3A+Q+{~f=M2R%8pz0YQfRIs&2Bq$3)qj3g!11tU;xPrkb5{`q~ zeNWB_|5g15i!O`6jL$bx?E0nv9k8JgjF~3dz!^B;pzk`hJv}ngY~eL&ZhFF<{6EG(0mm!) zyFCf>HuMv2sda;3Ah||snaSp*!OvL^gS!pSLTc$r9Ygz1Qt)Rtps=S_WYpu;R>mn^nSno?Q&O3NN3#0D|(E&%msqPNI z<8em7Nd-QWM8Ki5c16z2cn#GX5aSO@^w&G!i%0K0qVL7di??!ZS=>k=?x_ZA1}-3% ziuIzG5>OF{XHQP+4xSV@MJ~m&(_}Eza)d|iF%L}xIn*$~muLrllLQ}TVRqnpklt<& zbc*2+2onYedu>?VQPM=~zh{?qOY^p<3uDkDObMsWe@Fe1D70g3I+}JEto?SLE?>^p zhjA>poiATUafAgfe!zYt^py?1qA0<&lKluuX_D

    eP+E{oyFH+hJAjb}_)erN@H` zu`yu#A-%aIs@+8tyc|tXda&U*q}xoPYyH)0(uGcENFs=QeuZ1gXf+1;& zC~<|H$Yo`x9rY@xe)1~FRh0Uj#wzyb2y@KHbv;d7TAclvfkYUhn`=jAJ(w^#X8Iz5pggCMDp%RlrVUOT$SajhVihp~7Kl=~kSy|AzF>Tr7+sj67gpZSqMWO~{# ztYElvzzyQqnt}z8%!KWV9{KYpmfaM=dO{<2&8S!zrKkmwFt{io#mK0H;86-fij$*q zCDrL^NL|^Td0M(>FVTY*x?OKs7aRK$ZzkJfFUzDzm-QX%5NznuV@Xfj0ZtBF_HLO_ zZ5j(IdPX)lC!|P-5)G;}BCYycz!t^A-q4NY5QLWG(DxSmPUkAmJn>#cM*4pG75tGdDggy8K zdS0z%umQSe+FT}FSJ&@39?KTU9Vei*wH&W9TUA$;Xmda~`NdO4@5i-nU4#Br3%8lI z7Food481I3kNs-NP}TicwQBr2O27RrTh0YcjN}*%eVh=bXuSBvM0-M9+t2^pcA>H8l8)BT^1oKSN%{xV? zOPEpBo17SqJTQC<(PC=e=Hl^PwH`Tw5>=xH*7LGjX$WDMp~w{Bf;JtzD4I(#xicGd znlvQP^r|0+Lp{jQ?LWQ2Nu@xIB}=7n=&DDg_N%|QyqUj|V+eXO+);?*AnlhlgF3*@ zD0_Km5ZmH5J9hYj-dH$0l5XS>{)lf#RO4iJ3SsmC)gQhq# zgqvMUQt`-8hDA%f`WNbHAEa4j<;#$&ymh&!)%Q2|R`nQlNxu3rAzo6GCe^Jtqk3$$ z$(sdvKNh|Fpn;hfWG{UOTWyUQZ4FN&1D&4N&3e(dhjb^SeXmk$5U(l9n}GzBmMt0Y z9?ciSiFHD)W(PSohbaWSmN(MNfzyWXbv{G4%^dg3n)ht5uCc%71`}O+x2li~tWsP8 z-&u5xa3c@YsD>;J&sq*$HM&%(bhJF)5YLjGy!LlS*QIz#HPpslP>gk8R1e=1yE69M@6j|i zBvNfmj7w4fW`|W@^LU|7rlMDsKlzLGpqR_>1Lx#!C3BT-CGz2gw+BLflZ=#`X%pZc zKt-@Auw4%Sp76=V*W_o|d+CGv(h-;#<&jO!$E7ms{XWg9H}~0N8yA7aub6N1OZ7a9lAIKzxk zt}BWc22Sr!#3#CvR41_nBMX>Y)%Owby`PU`Ayl)r9p9L8R2;y?lxn}Ux}eg-xcqeV z9$-p;c+O=ptKRZ?s%X=*OZa~IlT5=)XkT%h7k!n?ISs_>KL1zUnaW{Im)vwIZ$47< zW%-oW*Ze2%rK$mxQlTgP9|l?&C};yTL?Y>=?j03Y<#lZmjLn2+#vpuJWH+r_!<4 zJsl}@T`{4iDcWW$O58;(1TRdkbc}cSqB<5GznXTLTjXWP%D@?b+2srq1G)G;8d z<_ce-{kf(kaBE5!)pts>lTb!3yG9RZJgjIauD4K?x4y5UhPqUrpqx6qdIL`N2~;^8 zS=A)=u3oi*(}AKzHHWqm(_MP8QYv<*h~QhPF%R?s^*2%FOz(Y;!nZj>Myk87?Gbu; zOoWrua+|cuB*p~figpt&!qhIQYmcCdGV|ie%`R@_RMZ;xkskGx5vo3FMikH+guC&T zi!_^fI_Gd2;P@?9V$!KD+Toma8f@A_i%{O@z!cw>}he0)Mh5eOIb>jXtcwAY|HRPn3SL$2!J6~bS zuIAnqdIQ7Z`D@qSqPbX(QY+S;N7UlgrrA6@OPeX!Z9S$qg?{6rhz+~Kz^ogrBedn$ z?T?{3%(6|%ag@<&Z8=VR$B2u!$?5yKg#nWzh6_0!u9lxdsLG?H;#M}xuM zs`;2}R(SZPR(9T6=okSDLnE*%h7z|1G>Tyd5~>~XWR}cX#6BY~?{^B*s^2MF_=yPA zdAAK199vYmRmfbc--0XMct!}L34e|&>O7`~Ve?F_-jSO*>N44x)}0jV4c|Tw?~rL}X2Fth}~j+B3PR;R20^tD2x8 zI?D{2yle|W9&_73J=dGyME#(XwrXp$dO45D8J@GO3?@3vG+zK0GIJvnlK1tLap2jt zv=7R2M;o>1gyb%dddVFEmgJbf=#}-}(9n2Gmu`Spn2+2pS)m{O8$gX4#00_O1-Y^; zU(lQbciM`sr1CCbx#YBMjUq-69AhdNX3uoWa%)+Et>8GF8rR*G-k7=B1$|}U$MyD% zl&7J&mmm#%?|35F%bfm6I4w1q*x|`Q;dF}I6|#KTPfdU zTVYvXZ(MOvkZtrqTOE-i7YAZ%?HW~JxL3N094b;n>q}(mwc2C!mJ4r0K=abT&c;v& zTtrh1g?8N&_Opdu;3Y+CfsWc2#v>kOZI!6hCRJrH8P`_p?52@ow}GirDhrD5=tQ?@x-wFjubMk>7V1sujTIZ`;1e)YIqSC5p>5;;dY6pt+wil&kJg z1Y+n&nq?w$vV9-{A9v7>B5uNA4f(Z^u)ie5aP%Y+&4 zh9FZ<*+*rXXx8*Y#Z6afj5&hRXM|48m@zrKRs7w)I=Q_MP2(>3q1fjIZ%~{4d01}Q zs+UDP5iihTWTIja=Qx}z1YSFQs0}h@5Q~5Kh@uUSbx7T*HE!B2-VAxwvGCwEq-u*m ztXDbQXG?LySVT}nmnRxYyEEhPfdtbLv1YO~TCE;m4FZf%M#BHa=g<$yWsJ${)>Qg# zpH(Iu1Z4K(0TE(4M34?n4l#DRWo;pnI?&^q;=)o_P+A?|2zVVxO8aI8>iJ_7K}`IK zF8aB>W44MgKq*jVM!T_{1001P#N04Z2~*`PL6hqxm4RP@uh$_jm&ziv8O@t~BQIg( zPlQy8ImZjx7}lWIU}+jRe;-P*D$NWtQI$S9T3l;7VAd=WT?L>&vkA!D=nSNtF&!Ui zHq0R$p&Z(^hqFr!UMv%AclH)2fsG68gTM+^mi#iP8#!JASiJ}fEI~P~5WNpog(^^r zAgeqxRobLH<5pDZ`~0DJCqJzP)smkZ1?fSl{mwqc&@JSu=REt8n}!2n=fl+w1-eZw zZhPm@=af^`$iS?J*H;*jMC;{f$d`2oBA~Av&D7v{)H-9PSJ}b{pa-V!) zG$d~R0?|mddVO&J)D9dz^bu9i!F<;cF$6EH(Qr4~+s~N4b3+(v<_`N)28ZdJqsoD;a?>itx%-H(Cc)B!qc=DP} ztO|zB1g)}U4|8%+ixp4}VEYgn$7a$y%s=D&>9UGLgV{Z|G_f+(#NI@=ZidUmO%zhk zzib(C=!jtdiXGVi?{ax9#1!7btn>?f)=@Uz*=%!<7s>D_)UjSJH}|MM_!qe13a29G ztak5v0|t_9K#zE*AjQbpiKz9WII08Dw z_}_wBeud9KnPajAcWT(>qQh2IBzKJ`PZLXL`qspil)l|cZ0cpr8Jj_e%c)3HY62ik zAZyedkuh1J7$Qi0MGVvJH2xFOivSK<MZmV0&mS-X*PsBm1L`sXyzx!fcXee|DG;>oDFa&;(sC^PsBEA)l4#~az#q55wNt|V4?yf9PDm$&zHx~Qwv(1>YJ&ZM_zI*S~VJA6xOHI zzd&eld}X<$A^Q4eMCLUuV=hl1=|HVB zu~1j$7k75BQHWs7#uTeG@anY3p#P}W9_`t_p zv;?)7OzWk5qQgE%uQ=PNjv2Y;xjej`zH+v4k6pjDxZ=4mIdaEqdd!eL3mfe>`#e^U2Q>AkY{ zfEjFZ)RghxI<24M$pqbxBJ^BlF^GM|-dgeXrrLSREYU&;O?!y;%EbE^1c3hea{on# zn?AZq=HTQ|qd7s42smjlLNetYeQ=8p`pVYajL~wE+I&5D$>O$&@2O(LhC3Qeb;;Ba z|5*QLBAXUw)s|gbB1P{5lAA@u+5)x|5ixhj;7x@RWNJvN>+(#6Ckd zh>?XJ0UIXLCe?iEoN5wE-Z z%n!j4iix?7gM{qX0}}|FuwEDL5#JEx27LwXqFrnkVP;2EBY@M zrm}=@hhw$nVKL)7>_{oxY(kQusL18{c_H<(*^s~1z)Pn{Lmkj@tjPu?;6_j9 zGBvHtqY%g~TWEMGkbngqU4g5a%X7Jm-cw<$Xz0FgCOQY) zS`4$%>km@EVw=F1lW&sanU}g~Ks=#VC%pkIc^_`xtxlGRgfs>0l>PiEo{tFuC`}DQkxM&NHeth@wQOtDb@wcIUY?DtkatFg6KHr+a zdGXu`j@Q7wn*?Y2Lx4jtQh^OfZSGE5aq4dl{7GNFYA({GCVI`3EQG>ZWX4}SJoh=a z$~|oax_4*dOSz(}sz)FV%|?5L?Gl;&ENXgCX~RHR#qlxJmv!`tg*P51c|B9&$;Ywt zQivA8Q7G{9O{Tay(a`6-XcL-azTCUV7raOhD$=*=3%$$z;(lxx{APm+MZm4sWJPdC zF;);+9)>!JTe=90zj_wmdsN-chuF$KA=wFNe5tazvt#)POBd`NqRq)e5+XJ@{eVU= zQ-9tbw*^a?Hh!{pWD#!RG?fK7S_S=@cbl**n8#m@^W?nWe}1#y7febp4F_3dxA1@sCFd}5g;{bbC4IgDj zY&v+9{;k%jk2btkW^j?X`9j~hoGz1`&-)e?7A3+R677;~?NoBYLcDrY0^+?G6qMC@ z14mPXi2T+~&h5-08pQ+MEn1q9%wasGun?CgviVk@Q>{6zasQ459(|?uJqseu%=P5> z-atPoESy+{fUT;s8dZOwv){ZkFPu~AX&SASXAfm!`QWyN?Oc)HAWWg88=a^mnN~2P z1?aVmLcy}YwApyJ%=0+Bv{}Cr37`Bpm4OU&c(vhG@Pq)nsb00cdL1VI_#oG1ch>v} zuYmIrkq}scrY!*mU9ux0LBWz_Qyc;zPh^@{-%SZ;qC`mEM_uaj^iO%ANeUSTB~&Eo%wgj z2`M|&1Qh+Ree(>@-%}fUW1@bz4+%a8b`N8ltClX|?R_+6U{eE6j8)o$%7K#Yj4-Ap@f~VVF)7|kG}T8criL4U z*-Hqxn;D=n?ziV*Y*emy)QFIO#7uGo(_uabS0Sc=#@38FqlB79s~yk95q8q=uG3vw(BXq*b^D&LP9p^EN4rRSW;(oOJO&_-%ch# z6x7-TtQH(|m@G;pTazR+Md@3*;7E(UeoyH@i}u=~8=!dREn6+CjDOM^h3{$e&6RV! zU)&{>sDkKLe|IV>&md=*IJLwC`MvLpNkV|7x^2}(hM6CMp7lpVsbB$ig&Di8Nx?f@ z+f@%juyAM0H<*SXoE_D~4gi=~DOWc{&}8i#+0`x%p4KsblTmYDnNlmT=S?}cJb0*& zGLSQksS<#uEKczg_3MN67_gE>oaEPu4y*J$ExW;ktaurs{1&9NFg+X@{gDHv^vBr4 z?4SL8cWVhqsixKA$lYsl;i`lrW+6k{p1a$kpGVr?yBztExhP_|a zr7Ecw(e_p`H%&FRMZp(DB{-tY+? zR!Vebv|g(3rhO_oc$2bTX{X#IM&GBs;*Cn;>1HS&3rzbtF=P&j=u-bCg{C~mkFGVl z)StpOncxcNY>2-1Ta9A6!J*a#*(tSOe4@3}b03t==IS zyDj+{Q|M(oo+l)k47QhezL$-ab8C=h7q6Y185ESV)@ej37r)@Jxepg^AMVV(V2Et+ z(_SJzd_UZtc0&BVp@v8bb#*Rd&fVLfYP}ge6CVeQ_}k>yeO!rVJ9(a1-ooS_=-cAR zQRH`xC*~P3uF?S~y8hqN(uXs0Ad)+*51=57tPh>b&R6G;;$yyr>lFn?y;>46G@N2k zv^CJjLl>?>7O5w(n6n1`3`)9PRXG9*2OauUVv+XVVXp=(_kFDPa4$;OMeQuc%p{GOJ0LDN@^HJxv!5ypex>Ws}d!lBN!t>O=88QJWhXTrW&+8@c?m!kP z7Hhr%ok!NmLcS+S46F@H!_}7~aBHsqJ1ZZ8ikm8uN-mV2c&RZgQ#jtyoVg%(*iwk5b9-nMI29 z?>@LH9P66m{+sOxz1mrNG!W{41I)kjJoInR{N#XgNKS5BGi=M;KGl}O7 zfo}xqc^RHee|~#xr(G|V^HpL()XaZg{@wW?3bOm7Qg?lJpSJCMg|eOQb-V;{CiZbEa;4?Dw`uov4@0Zi;-b%1&Ku3)E!BqQ$>4%_R3W~;J@hK=1CW%oS z1^MSXwZ*oBHszCdzJ0`Pf`|}xYH{3;%>{-2`6bzYVg0gnb3&bfcdpS^ZQ-Rp>BC!t zBdG9bjS63xt}bU1?mZhyn_X+@XU{7R$y08b+xF4ZISb<;msA8d6qpg(zFCW^7v|h( zMr_{MF@)fu7H(}Iw<{S_y2fB7H{@kMyprMb$|&U-TQ&}Tv0eAFdsk0mOMf8EmC&j#rmy z5;ktQCMDM9a3K}*c2{ttrBra1JAVS)gI$?t#m{i$vd*f*!2@O;$DTvnE;MsAn5efO3MKGPXW;8w=mYo~6U$x;FpKl(jN7uZK`E9E-Z7B?944_MVP zy~7#u(Xpp@U_Fqqf~Bpja?3Skww*w;{aRa(PQl0f9)*-j7h%|0BDq{At^hfK8@SporweY+UWT zoL99-Z;2SqZ2>$DcHKe*&!hg#^Y=e4S>bU1lU>Ujg!Ty$}kw7LK zoR&(Os`*6$tYYG&g;Fl4MJ`(Uc5GAXHq$GjAUU`5igP^$+@~7m4f*-n(Z?-X{|7Ym zCy`2>&^7_N*2$CF*EOqxNFsJIYpp?T?P+U%`Ndgk#>bX!gM#X~A+hb|xdg>uHItG- z8jU`2y&if-P`>7RYh90FCGg3@vewC5QlCDEUq|+>vg!Cocv2F_aj`n8uawLm8m*XL zQW4sCC>G}jD2L*7NzZxX1jrE42s_FMb}VKdI(tWuqJ zQ-2C-&UeC!PWAAvFQzta%JcozGXZh*!2hCbKVY)&vg9V?RnL!JRPTt7D#>w8m$N`F*8~7c28v_L+ zM|=&scS0g`ibgJuf2EQIfme#ySUdi>NQ43ZuT&GA2qXS?+*}^`Arln?{$I#7@NfJd z$E?5qn+>1s=d}!s_>4a$`j%!-WzpNaAB@0(dM0O5FMroTD= zzT^Nd|H@0#0hd5z5jYL;uOI%tWB@LIeHSPYX6C;T#UB?l0hhl>F);&|zmZ}AE`KA% z3S9n1iVdHc}n57b#{SS1i9s0a;*X`9+Eu$QA1^Qb3NFS$~mY26Dyv z8z~@HtiO>0a>e=^DIiyDzmWoR#r7L1AXjX^NU;F9`uPZevI5=>Gf>t)p4s;$kSq3I zq<}XEZ0q*xQy^D=Pj3G%N#MOQv;X3X1;`cqZ=`@+{XP8b$HhRd{vI;)V+rI6sB=Gm zmlep>-xCbJe+m=~GsiDdtc>_9zxn`wR~BGVt0PdE9e@w|yZZjnpr0AoAA0hyODlm% znx9Ade;bAgOve6)(g~n${mq+$qrH)y)f*_WknS1aQLgXZR4~;|#V=mNe1s-$Je*x7 zeoHrcnDZ9FoE{q3?;TXzC4oxp1eDlN4otWI={Tx_BrH+vMd(2PY+Ro#^X-jTK-t0Q zedut+G1s80Q#`J7oWmWx)$p^-F%28nRZS0WoZn3H$9iZ%-+L%IH-gYMgY|#}TGp`X z1jUCaoe zndF!^*-d$(F=fWD=BEimhTBW*gg(yXKdwp zvdbEmcN0x5zTRA#JoEPX?$VFpFj65FYL1#@*xfU!d*o6jWOEgu?jc;e#7^f)G4o~) zCF6Dxg}1&u6}dpE;*>aNCY;aB*3HQODpn{K-qw7_SO4C`F{f185`j@G)f88RnQQyN z3|)tC__lU$2`MOPQE=lB-7M8KVi$yQoH=uB<_kk2LKUyf`w-(#@)B8%XHg=@xS7TA zySSlfNLJkd+@gC6eiM@oBCX^^p-ASj?&FXgz2-60s)XHP>@zXw?u(Twa+Y+OTnNZy zx3qk(xH#8Du*h91C5w)8nj6#oYosIITe1n8G3gX%H~v8`>C}*%IHT13;Ik0#57N_G>_pb76u-xqZHIz&T(!dun1tqAjc;31X}my7~mzHg?`KO{JC@? zAXh0ch+!LE@z@nz$EDcy>QiY#PBjA6S4rCe5jTU}230JM8%@nN=FDhiN=K915dfUFVVz6gE;2ifJ;19JQ6TeGWXEIv^Q<1FiI zHqT=R%ORXt!(43LEjp^~vA(2`Rld_1cWklBgQsyJ#*#UK6n_txSgP82wbV~1l?m68 zX5}k4@x(lSJ+yq6I)#C2>Lm&3II}kGcvZ&7e;~LOFh*K8p*EA390AP!nnSV74+ivt~_ zmxey1&3LAyW{BsV49-dkrpE}^tWuljI>(K68Oz?Y#MeaPgEiMjNDtp?j_ri5w-rj~4Ds7Z z*`RGN`WXu6oplRkZxRFjtLHQ&|( zpV~H#%pG#M(lZawV=Sn}eEFL=8`~|F6W)4d#Mm>owGqly(bss;+u8kusW%6z%!?rW zl0htPDKZ{|q;5k9#4KA>YYY>dZ_M~hTDe~bLPn;)Tb6P0j~$vJO2sxo=p0G5Nk2_- zogtc{J~v&miwV@hiM(l38OS9(!?Gtm7gOvoC@^m6Sv?C^x%E47VSXihz)gV%yLyDX zd~&(TZhT(moN&zJ29}a~|7OK-xqsH-nxIJ>tAN!7*B$qLMKkEQaSM*i5hi0qMDuWy zA;1j|FCfx*APFS}tfdt^Ao6DOW#HIu7W@4QfWmr@z3&)aa7c%a1A?VXixoDT8`j=_> zD4$YD4{R42h;fq} zo?;i`V9rA7n7_?wFywb(+hA~j7h};cIn8sRwgC@^V-#`yz-CfNe^w!yI2B)E9+l3lVRfqH$g=}uI8)2b;5Skq=hnqd;d{h9 zBQewtn9ywOk%UaLJZW#06B8Xy#U6jhF*%TFi$6rMmh5;7;Gue1p$rxIP!_g%mm&-c zRy@gp?FO}3uTwP1qkQ8}gOZr;AG;(@74Y$J05jhK_g3#fKVU~fvam|vX4#{h9Xv9( zps^7WuXk9s6J?pTl!bJl60HmCY4X8Z&Y@?>;)QE0rS$tivIo+9w4`a86Y<;I9+SP* zBQ0Lquoatc&Kp4wE|aONVUHe-H+!UX2S#+Qv(7O(Et?4?mgo0QGIX-%$Fe7by`;}0 zkZBUK zTFGL=-LM$LT6hk(zS$$eypi%^uzC;A=&Z9Miq(h%{eUjjz`@aqYlqC5ctCf8Wh zlketw(Kwgmv%t6?D)#V&dvaYWIb575Pk9&e!&}g;hbS+?P8zjvt3BhKR;o96O=H)b z?^z!_$j&cTp;-k{j;o)i26w^Tbq6Eu*FQR7wZ*QaS-roua-~DSY<#~m;@HE&D-rZE z^$WD8A*reg>Ab0ihV%`MWAF7HurAzfoyS|qVwhpt-!jJmhPuq}ilpHUnD|ID-J=xR z{B%Vjl&7ruB5DXnnpFqIm7As{we_4=&YQ2M4CLcZ!R>F}w?MIuDKEet7Ls1VrirW) zaec{)VGDN;>Arb|KoQO-hX-q_VuyAp)kv}=+)#9Rcgm}~=pQA(CW_gpGwMPQ5y^aT zEq2XLiKvysg*~91i>g}11@KU8kgWm~yF74*TP$QXhB2q^Zdh)L>g-(`>*FGM>S8Pr zH-x6sa{*>zL316Z92(-vtvSYIneS2&d3LJ`M|OLO!tpHmT{uQr=eI_ugj%WhSdTZZt8=1{eKma__4W4U<4oeV+kBP{(c^U@ z>=hzU$+CsiN9p+$b1K<`Ys_Aaiyq4^w)Yvbm1C_NM{~gwHp4w{?qsu*9PYNw-4r=# z3L4IYF^kzl9h+5eKa7j%m~C<8mY)f8<`BC}fnJ78ci~s570vLUduB>L*JZG<5;ur= z!8g3k`S>~~p0SUhPDriPiaw0GQ=N74PR04*fnneBOQlp$SXttXOue19Vw6o&Yz#+b zUwBlC!$#$2I&z+!o-yIOv>6m8x2$V15#tUP2cGy(V;Y9XsuXb1!{u~)gtW4KyAp7Y znN^B7Rt18DOXfK8=~DA@$KDg1n=e>`mJf3^|1ug%PFVBas?n~DAGcr|eG+4>m-u&&7P z2M6`D(2;}vH~;i~@BOd+(?9(en3#T5KmNx64+x_CPkma6z8Sl5v;B!_<@F}CY6aV!3D7mbD`C|D~fBDA4EX_G1 zj?8BU$v0*s-D=K^kZKY&$AkPG(RZFKoAFsHgiA65eOlS2tQfmd0K=DZ z)&8N5#2H-CaON>P+ff(8q=Jt+`S#Hk+&e7ey%*2QBA&w@WMSHI28mOxo9Z|lG7UlY z5lI&wL=)IrTcyOGIqs4-A0jPJlPAvrT(lKIifr-~$R9HjJonYM{DUp8Aw$IVtL+W} zRe4`VU{|Uvc!edl{kre0M#Qv&B+TviMK0{-i3`BCY{GftiM?Z@X!AMG68|a z|FIBY-$?64c3@X^Oh)?f7ldTGX*WFRS=F@FTvglNj%DKV< zHOQk$k?v8Vim}K%9DID{7j+UOQcpG{Z;x8vw`-GV9ZGu!$;r$M?NX%|&M``AZZL5> z+ZK;EMG%xth^uzLVG+c3Tz(@TPC9>R&+}xC(AcGU!YBK!tLUILj?vwT5{`*U-`-Ck zHsRx5TBVA@DchN5ol>M4f(VmAr1UUVyaakkGnBVMT)$TGK)mx93k=+u@V4dHDaL1T zK?5$PYJM`IATJUmgi1_>>Cs!E4K;KDL)4B*;gm5d1*i>#%8<3< z;su|CFnT}Fx4np|j>99}VIibBJ+)|@)!*7KswDv$@wyu3W94a#v+ZxVYrkkA><-}PW&)X%jnq)^FeMDZ z>-hXW7w!3M@>5^OjxWZ66R1o)=#`9C1m~{0^D*>ah}&K_Gm~ejn**&w$mFKat_l_ugsXroO)|{mzF3&;WKE1a4AEna-croT zn4E&1;?>`Zk)vd8T*h5(|K_FcduPY3I11RI)y~H_0;I|01HT2P;kb~yHWzwpJ$i=u zLR-TDW4({2!(E@;!5oOfZ_HU{S4CurtR&}Xq+-X9zt|um@%GY{EHL6gR`Qt@&=BSJ z4%jaG+`i??>1SB+vP8c%H)0PBz^EMpm41wOJrJ{tF)2fP+61EjqMP}!fc7oMW+R5o zfHfT4=d|}jbO6+CB-ytS7-glXJrJF@BIq(u9r*Y|pm?1+P1tvBrh0cg6X*0$4}z_q zY$T*O?e+CpZ;g#90a@a76<%&At2op4`z}J1HkbxUb_$7o`m)kHQXg^e)SB$-pqbDw(1RE0V%ihgNyuO!ULV&iQ< z(=F2kAr~$>FUx5inj=Nd>dV6^9K0OGl~Lc*&>5g88PDq2JMH}WB$XxHcLBKjh4gzc zD@<~B@<}@$#l>4IOSv`wLwRd}Z+v?x6uBNuay=2$km{O`dHr&IBVF{}J z!8QHN^>DENGe7;W>Ai3uk3m2Jr)d%ouk zF`aQ)=rydmH1*GTLTcJ0!8BB_8UBhZ>4kE@1fL6=kN7?Y*3(QWQjR=)**S_&K>b0Dj zhULEOfNko1iF(+lr#_3o6ho!an@_o*y|(2)60CTVV|WU!UasV)s!^0*N^v0~6MHeR z9MC-h(B{GMDuMcD=4ig;keqXZ-_r zSU9J-Onp|aA(7=IQBfEe(`PH@G20^;_O*{Ka#*wDQ+EaCt{SsUCVLanme-a6F6GI( zQ?HU7@k`t%HMp`%cPxe^W zdPWnKj;ZgAgp_ri>*_y^NHpTV}33q zD|L&`LS6mg%40!2a%Dk_aqpYmW{d0Yvgc~TYTvn_7wzpU;B;PtKN!GIe3FIjHv{;d zSNhim08I7#-8~GrqyAg}zaQxNFR$i5pp)Sd<&Zw~Ag`TXiuRH7C0egSltj~J3#xih z%?iQGiX&57wYYtwb>56iH?qn?N#z%{5g{-@7$PLC44FGTCXERgKD9Y`mdqRK;ImP2 zYsyZ)>Kb=`!7u3I{Rip%1RpqlVYfe$oBvukzYZ1yLzusX^B?;hGXDX2u=-k#;DZkX z`i|AsAJAd?=@X!h9`(~u8gk9Nnym*x8)S<3DQ*2?D~K2(`$rO89HD6xw6|>1W6Uh2 zH^Cw*5g;!`Tdji+1M)#Us?4ZN#K7iwttRwGXH85{vUM;n7{oWpKA2A{7oA^jL_ISIpfzN zr>1~52pGYrXcTnc=#F)LJT1cJy8{b!7zxTqr?A82pc7 zjsr%GH5C|WfU_gea~Oxh|CP#*-~BFt-`+GoQsMtvDE}OiV`cfJO#cza0_Ls%M=HfL zlEBeIz&8ym_S>Wv@n3vq`UpXG$T@nb!P2q7*0ub<;HyNX^IHHjtz(25P?dThA7WcW zCo_2}8X3w)jR)O4+{y~Xt|c%A1|L>5GFmCrppwFcn(dQHiHgHGEn7xUHOhMj5;F7{ z_IBfls63VsGUb((b2Rk*9&y5+B)Aumheak2Qq(NPg*-{jIlqOqcbgL>I*l~VhhSRp z>JzP}EVYO^x<#U!W4dgJ0Gw-0@L_GjHmJu%q>}t}!k)DBKab1@FMNJdhxsOLmu4nf zWD{~~Wv5@>j5@+5e6Nn(yhgq`W&74e4dFSP)~h1NH3J(vu+)fMy)iQYJvE+%kgy$7 zQ0zq&A+BBMc+)&paG=g5v%rH=*HeBlO@jXfr-#VdKuN}$VwZ6hA?0Ea=gGdDTD_?3 zhS<)HTvs4dVk9<#6tII5FHub*e}m3AU&lL?+Mx%9OXMM@Qrr(w*XR|h;@l~9&d3b2 zCU^R@s?9EAle?*FmD(%&~D_EM+|s?)z9F z*->RcTeoRRq@s+4BMA-aGv)^)B|y$tyRgA>yNA3+wTQHu4N?|5M6aJMb3tWju@QS z67CXKK+S}{&}NvU&Eko&5I{vZ8KWf(=_~FcdYZ8h-FX_)Zw@9PGe-4`wF&HYsG6Vz zL+FfUKV2c57q&!;VzNgqtU_rH2EI7=>!?UKWf44Mhsr`Fgq$Ra&s83{!z2PnsK@2d z7zo3+lq+Y0XBQEq9}Y`|H(@S=2w4k=c)~af;Na_NPV)$yg_-&7bR66z zi=^+mX^x|mqY??vny15dX~Gtlk*)3$LU)bJhY?8i*9o0?74jqfx;AXNaQ7m4%41$0 z2nks`PfiI}6$c-QG!0X>cZ|K4``|c~*1+TpTUfk;;n-jib669@NaqemK%k4_FyXq2 z&mBnAf)^?l(Ic>cm6*vS_(F4=XRG$5w#>|VQ}1~bzrGGs$er{?cSg3m`PDmd($x$IJD!Sf$QLH{`JQ#Z%5@CygxX;pD{KA{clajf0X_F zYuEQrkqOi9DbWA6|7ZDQkj+y9>`)4Bn@K~S>{uGS876c#te;YYFH9WqG1DKVJFfnN zie{T5avYgA+;-ozvdgT=O*|<`n6BFnBS^=^L zaSxPeH$7dj)fWr+_m?TH2V6YHu{~-xYzh-gX3N*xD0KPB;&ts9^Y}oLlOEQCf4J8<70J1>qSJ7a5PuGnGMKq6T} z5BM{^!TRPSy$=X1q}4Ttu|M@WU2*9;N4x{&3O8WvEJea)J)8oS8i%s$`ml2n5m0wj zN7d8%4mXT}@D@9=pYL?)%$@XW18A##k?gD`(-vb2Beu-!ah--O?zdC_R4!|+h$%>m z9=Z~-$>HsIF|j`CDER>y%>#Jz%B}Mc3rKO08;1jhx53AXcnnkAWCkcs^ZWS4YcHY! zc-r>x${wjG+4W@a%TSi1s5~4DRckpVjx=8)5FtD$%B$ab=v7~u%Z{v^f z!RKK_5lh3WKimIcl8mOEBVAvlF7ebP)nbx@z<~N|IMdtuZ%V4EoNZk+49CIrJ8Yex zPKswXF2W@}Z$A;7Oy9>*_K(9J`LlmULGmD_6}T19ELeE%7ll}!uq{mqTo8rOON1m? zh~Bo4h%OA=Paz(DBPXd&Kr$0GeHxH8FENvnMfNE|x^_`C87U#645H794Tp@ z+2s!p?q~4*`+T$?Wt0E<$pPK!UmH3m_Wv_P16Zf{KXGRAPecO~cQM?8l@|&x9G20x z5SO5I{Vix!bt%4ppo;m%+mpMMsOYT@vn^#@8~m(1Bpt>S12{#W5kb;+Dbf*bJ_T`07RPibY<#2PA>M&XWkY zP4xRsk;L*i9<46X!%H9Mb8c#{3}Op-0#Zx{JUZUACCQafno(=vbk04;QoDy~oFN7s z%_0}lb5nY!OiwVF>8{PE+IL++#lZdskTI#7-ktl6`(eWoL2OUdynPW+R-UQ%uR{(R zUpNFus2E!F+GD%4fR3%LO>I2Ua7v9YVkP0BMVgM|Q&itk^A?8F?dOl&P<-u8k`Meu z!TtVprg0|9@a9|o-Gb4jcym!p65r`<)18ZitY>-hO(K0MHt1<)pMFsG^xkR9&ZhN z#;}eLymD446ya{QxZ{Y!uB}>~RCm(1p@$b&A4N1$v3Fu@?pF++FW6P+B1QUABZ$4r zxh#5Gs$G`j9emgY6mZ+uqPJt~U=gd7&T*|DwC00j@l&G7Rp&h}7ndfZG4B$y zp(JiTM(A=97>p&T0Z5^ej+W+%2ooPy;+{Xhi%;-gE?GO>4jgCNs)6Rtb)x=*bbiKr zzkwz{DzpE!aQ=Z*zxSa3zd@8({}AjcMHC`*(!&d7GC(*&QW{BeneM_CDMvHVJ3>?P z>%C;Vet=|j)qTG+e3!&Kj_9O&B*o`kJ5Hfz4<$bv1L6EN^o?=e$yS`9SQp4}$)s?t z1uhvAK{+~{F=~3NE38p%^}=Kx9WP{SD^7x;ed8Yl_A`87VE7e2{3u@k*An{&gZmvC z_>UQ6)<47#!@v^3r0?-@Zd9U%>+4o=&qIx=BDX$<(k@CR*Ei%r+TmC4N(~tvBZq@< zbO{!GrMJ;o(YZM(CFakJC3T!DD4b(n^=cPkL|@3 zA=3fy_R|MVst^qsf}bC73XaV)^hA0cx)3P4I>MaAhXStFojwOkE+96>D7|^l(%2<| zGQZMQCdQU=nQ>?sZl-vQnA%U-(S6BwCQ{@={#633NDD27!(_S6LZ7TDZ*RO}wj@M+ z@aEVGA@x(BZGdrPAK5|EQ{fW6I_V)T!mEMzp+^_n#uAmHle3$PN|5&8al$?N=ax&5 zI7Xn{&js81UYiJpo8iG|TlkpTOZ?ok`)wJ0Cu3+R-`tZz!9IUX)t8%ZlE#S74W z@GJ`$jq_UDRXcT6>w-W8M|`PsAiNxT!*~B)wSnw&_<<_LyKqfsr5S%0=Tlk1 zV5sUREwEk2U@}hWjG7x($$*ml41uVXDpC}N4vI`NgdKGeLe@oBWD9{*e~9dMC9ts^ z!pPC5w{Yd)cK$cI;p;4@VJF|1|9$*$EnQOlcNroWp$I3g|M3d?6E2| zhK?-4YoUy*N|7kl&0?q1N>m|$X;2eek7$D~2_EUX_Mli-Bz>4ZYDhn)zK|etNL>dt zYG+DP*m&x>5<{5K7YuJ$K607+sF6!iVZ#Nnt^%!Nq~XBXvx}Z_Ub{8T>-DOLOw$#* z2n26L9qrE5_o0`XmJ4#43z7Vr6qQ9MHFb8zaowy4kIi2HkF|FWu5@kIhl7bV6FU=6 zY}?L+6Wg|J+fF97ZQHhOJNYvEJ$vuB>P&rA`|MxU^GB-IO4YibyK!~*)!lB3Bv*E0 z*0>?o@UQMQFt?Aa!5oxd_)e5Y<^wr`1`ParrDXXpCyBHVdwY|CzAyzLx|XeG@Z{k7 zWAHC1wmbzkE8cUbZsA*hx`J-BpqG>3ORsDa?pfMT>Rl3NH4^%w1mx5g(&irPMp<&H zhWCY!68ct$z!-Z{uWjU50H@n3$^Efld|sC}-~}@TS0e!30sdoC*=G;tpi~3A$$7-j z5Dfg}{>gYVn{+f>eLr{YV%*SFeVYO^%-nI9xtRYeJT1VJjpg9po_dX)#I_rZN#55 z2zZkd+Q|ync1!bKM?>DYr?pXOC~%a|-IIUwdj3SeEdS-0|1Vq|`oA*IbiZhW|KoiA zWs;TYH{kblhU0^ag9@riLn&uGjL0@A9cx}5rIQof5+_!s8v&&T9Dr9^@H1`lVDwpJ zgAf*hO+LB9^U8h-|$9CX@z#TsMYlrv@QCE~iEi4yq{qgE$!&6?2zi-KusM2mMNr~9!t z<*JAZGpS@E@f$vW6T~2u%-W|E?!!mlfdLE2wVcRRKQNuR8zQF*jm#KfD#+A)BB24I z=x0tqdmz{s2C_xjCoa*V@)gzhJC5fG==*&lav`hCGlN6NW9l%G95Y$~9)fZ276(FL zLhJ;gTt;2F(7R^#2w7M0@NN26za2Nza0D_Izj~+`J+VFql4}+}`m!C`46??af}u-N z3+fITMe zM1xLI4ErYTV|Ko#P+*%|czU@x^S!!JHfD@Tr&e!w$|

    {5`S_F+mZh@u^mF9ox>gk1{&iEFMH-98}K0lJsS_sw>gKJf9}T50S#0xSR7WC7eD$AD_T<)8>oD{ zctH})vz=M7zHbC5>c7(5a$8mzIl{IBYxWgVzZb6*-$eZ~t_|8Ev{vRSa2d&Jj?Q;k zP*|tmhi#-If{B00 zjJam0EDw_e)O#UlzeJlXopmpTGK(HZj~0J!Nw3ZPnjG{ z);c^6m+BA~%W8*N6Y*|?@7a3#r_hBKTXWIpYcJ>vlq&ugOx@1lqaxAh3zO=9rV%e! z%V+Yn%Uq%-5z!8IEob&5>uqUn4Xyr^4J>`1LG2LSjCE4x%=0=@#u-M zlaK?0L_!T#`kh!IMQ$NlhO63;$2f}CwHcM^@Px}`LaoXC^pn*h&g5{lMczQYY%7SKs zrR*GKi9(uEuJa!wks5sdV5Y#N@R)o_qglex1b?cGwRFQ&hXRMDyW}{hQ}SR8Xu!bw zy^G{+-@Hm_6C+dCHDO3EQVi2kcspCLeV1QuU@%t2HGOQIHF@^y^AsNYw}8HZzY`YF z$T_aM*UY5h+6p@xjBbCAfoaK&4zlVf=)72U5&SRq-ZD0lEnBcIGc&WxjAdqKW@ct) zW@ct)Dl?XunVGT7%xsVA_T1O~G(B%dqfh$&JneLD?W4$4MCdq`d3MBFZR0-vQAV*d z?8~bB+Vs&ku-p`=FW8+&sE4SJ2fbk8L_HhZB$I~?Kh`SZWC~0g8QeT;ndLGnxpFO? zFUC*hgTBiVc5ySa*eF{@IYfClZQ?x3J6W=B1pTorEx$$dz>-|)(j8r^O5~jQb z(LTVI@2^HFcXM--`_40Ubj#rM>s5Gac9)pgf~!It_l8rpj>pDF(P9Y8)8!S9%B{Sx z0PZrvHA<9mhpEmU>6i8!L})f79etCo0024d+e(l>a@eD36>usNa+)ihVhP?qH^5|Ixj=reKcP;*gU@kApeNcp{pgUU_7(q zzyyJr7PM0ubM2(>Tn#o?Tnp#s>bEGB zQ7&3!PtITDim3?E(nof~K$qi?UozP-(Xlqs(7LvPwK23_vVm=XdQsChKG6!)v%fYq zS2h@JUWycuEA9B*<>kmXd9~P~yU;zp(Ot$j8MU-V)?sHcfwvGF#rbIlGk{AjWbLLb zhMgMphm#;BB?T1r@8SY+J>?zK&q$3QU1h>?)@?9gE6WfLz310Ir$dNQ_JSXMzID0n zo)1kpZRg|&Bw>854}7;`@=U;Sz{y}0-UafcE^}gx7ak%fP+gtsq0lDsShrT&)w)O> z3NZC+aHG!tJzzHc{24?lwhQ7o>tc1d4I0(&2 z|Cz3pvd4RY;zWp+vfbSj#!$7{7-q@xPHGHiu5FKq2OA@02vV4GTg(PIm_nvzC-7qR!d2lx|*wbx) ze~r;Nobr=M20QNZd0M77QDdU6pp{+;X7l44Zo=*$$T9n$g~LBF`S}*deiiwi$t{pk z=tKSLl#kOaeGpk#*DV8x!Y6Er>m@V8P@nv1Z*+p$kgU~LnY1`+F%LHlcGkAu zHVbysc9L==@j&Dv|02&_<{i^%GG%KL#4!7KgV9K{l;*t_%MXNP3GFOz@*-eCGMDE5 zftk;ct-RgM3mH=oqN@nKd>^dZpNnzi6kB`Oy5k2lkjoGhLh$38KM|&5dAO-i(fxGz z+eZp=GL%bk#=~_T@ZP>KTfjUm=Rj$wuCfuU8Uj%q8i?PXYVxi?5&)U#kH&?SP#E6t zuyHjoK|O~{-$9sx=$4y!B7s)Nv{+MjD0+AmDE?ZBPUHasOoZ^!surKKs08Wxvl1QB zDBcY+ifmI6EI`WJaC4~wT?tCX-KJ6j5HQ8Rq5_?i%?4wXGXhP^QLBB#rFtFFYT~~wUO2p%b+C5AwJ=K@`-(Ub(NaQD!F>5);{}7=cXjQy z1k-!&rfWQIkt8EUt@(+fRT4MHN>C)>VDL1R^+O#9`>(OVG?fyGK&*)bR9xogp(lv89cO8w5qVq%s9`t2SWcmBwi!Xn^9hM- zW!-Z+o;AcnB-fjYx8tcG-Vr2{7Gio{leV`^iC_LBbNP~Lw z$ZZEZZzKtdDQ(Y9S;4YhIyS;YD-ZbYlGczqI zwO_!S>g$D%nZO$vR1-wV^NL{ink0F^8QKzDNn!ooiI}Da`nE&Od^37S=2S-E?6p8&J$n8LjI*ka?61ud4e-*aEiq$%MK+a*K z#$PW%B^rl?gtozcZ~;J+4D0y-`&fLkg=*?L?x7y_&?6m#*{1MYCK5lsUo@pqCk zRxX}&)P7|)GQFJ{(Jj;gH$T9K==w;Wk(Q%>)1w>l71l=1RTil%^D$Z0^9%U`42wtQ zSJap(XIb!}B(;>MqqU5Nf#|3|i9aW_Z%Z&r=@zbRZuXisCK>RR)3uN zL=lR^E#Z(hRLe1CG2_`^#qY+;s{|FarZ1r_r6{Y|lpm38C~LJwxgg&z&K{U=j%!4? zsWLEC303r3&rNL1l;bm}qm2*NSf6OdB!lg{K$*xyHq-;pyvg47jTjEh&xoU$F^zxf_9;q*GrQhNXH;f0eXPOID*Cl!p zP`8pU!xcFEH&{SC%3QoqzT3xWn;8UMpBcE?jL+CkKxiNzIY-~lGuMcZ*%dw!Bd!;3 z+(#XkPW}|zPrwtfqL^9i+>n`vZ)amdV96=>P=x_oBA+^dhG!e5pAJ{vSG?bwWL9i? z!1jbU)JEKaq6#h$Yv5Snu=^Rrty+n|7Pm6E3!rpc6Hz&ndIW-(%_q zZ(0uZreZqQ+CSBgD>#*(6Ur6ui_&M)wU}gq8%=p#LpMb*oOcxo-4mY0V|N+SD5;zA-{J&%a8a`oKf zOR-|^i8gc$e#)b%mlYsiL97Oy7%&F6Cfp$-LtKG#2@aaS$BrUa?W4Y6R63vkFf0H* ztfjxfA3{+Kqf%0U>BL!zjX8-eb5{?sgm7G!^oEp$vMQANa;dq0b3iP14xct&6<>=T zI-mF3ah#R@TG6e|wrwfl5zY)Dm&Pj+F@p%b68cW&n^$exHZ=)2JRl2#mxipoeOmiBl!mV*n(poGcHDW z`Xk15$0r+F7jc>_Aim54DfZ*AUa7I}m1a2`vdCi))@B4tt(qo2RLR0eT#}>8D>z-$ zbZ`59+d!8{kfWR{x?#jFT`h)%KFn3i_Hx!d7B6q0LETW;v?F~y&rxD+|C!ay!@z>* zV9}j1$Nt*XNL88N!K@VrVbE!!UsUS+m!(}8_Lf6aGl{(bN5OF_W}Z~9Mby>$^!hyL zFe%0`YKl;?e$nbTT!(LF20h?GhR`OQAuht48|| z#4Av{=M7pJ#71MIgiy8mRwZv-b3X|7(3Bd=EOk8s^#QC1g8-rnrMuH(tIM2W7uh7| z*d!K^t~QjA7iHy>Z$nywwf?M)>tQouw22%yb4rIxyV4f9KsR49 zju_h?_H;H%vcENw7$ekTX^>k5vW51hY8ynu_*KL}J?2mQ zkaAY1{#^5xjAKcO-m62qIFA-Nx+*$8^%?C0S6#Nv1H=;0HH2o1kNifm45r;(b|JF8 z^rXBB@R0%NlQT8OG|@Fyy|a(PX^}GaUA5_l*`TxdOSIH;n_x!j;nq&Iz6$)?nzJso zxy~eLde9;Ji@{rl=eRX|5*^DYs6^dXFS$T7u>x_Ixrh;|!bAJ_gD2!1dM~*-o8WOX z>NB{I-nsN07JlLRRAzl`Z-k5|lxVc%91#rS0E7E)B(wultI?$>9(YqkIT3S2qmgyN zvc-u)WF3#{TT04kJOUoyqPYkCAaoH~qt3mO%Q1jvyU8u_$jRho3v)%u zg&@O`k-rM@IQf}5Uqxs>I^Y3#WC8JiBw6S$a_!}xI?k5>KnO5AP@X7Pl=rL1Lxvzj zlqE{+4g4zZvgBMtb&-LB|7s_S2N-_q9Xr^ zWO-HDzd~G(?<>fKK*OPtG05n}8MGM0TCFlnZ5BTOQ>r{Cqla2+c zH3>w`OQ<^xuc#4GpllR0mbqtS$^IHZPmye=&dl$!VGR*r?>vS(?Q))6K%hKcB^SX7 zTDwH+Q0;GGX$Lm3H96O8X@_%HN8RKLyG9M?54%cj6RL`_79-G=2#ef;H%0B1Lk-() zRZY$8=kF}R>)uQdi&vSU8h_#Y4x;41EuTQ`?905IFW}x*DkRP6ATJ724IcZ`h%VRV zKy|if9PzrTh3bO;<5H9=d1xvs6X>p(X9zacnhx)Ul65E$$3ep9je8t*IsPp@^&tm^ zCXeQzi=>kR7a2`}4XvC;cilt@T!Yi%_fv&&QYx|@9cn9~@Iarw>KRhVduj8)cRHF7 zu>h(OoemPjBU_g2UYm%RCkOM;wtj_PWa|9B)RgC<^JnjZJhwD}@ZSYIzHd!04)#%( zF$bzaE9G4kGRI)|UmTasLYwKOQyBNgirIaqq&9Ia>y)v5M>frMp{kShn|O>wJdy?J zd#e-2;n_S(niS$GpJv;GT~Gd{wsU$Q(RkqcSBXjw%& zSErnxzwQ-Rc04=LDnh47?17`%dN&TZPjdB{buZGJ4i`1}rAKR>b-@BleAp6_a(_R0 zZ7&uu!X^^#@$xX@E>i9SZ|#zZrR>1AUMhsRuDM|-%5p}!F$?36_z1-d+a)Y^<&YxB z`wX&fG&8ZgC#8$HLc^_atRR}Uh}NfkVoZpv9>|e`y$0ev!R2B z!X?$l36|*OCVkA~>bbFp&YbO~Zc_yV#;Z>4f(w7utDya&&_)1P$W7)xvGvcLyqCeA3w0aw63uA`4ot7T`)ZER4oTu3 zzKVvjH3}d@1v1p|5t4S3x-#sAZ2klrPZ5Ln)3bg41hfSdsf~ts^>}GJi_^`Ph2>9G z`5!1_*xFu`!uv((Uh^*bXrC>33f)`p*^I3xOiimv(j_8Baj?;d1C@&(Esa(*!uhA* zO!FL}tzarAj8Y2|sA!M-$!OE){rJnN(4>|4Py_+RSUOtlQ>+Z^DLIe^D~&L5aad0v zIp3~uhGbT(&QB0{ehlRfjp zAvxtgtTIvzG`x{Ft`#$3LPb{*0Uj*l$4BXnxNg-fF_m^>m;na_^vD(8-1{Uy=ns_ge@gEDHxOQie_`k#S$N!(uKmTF-`%ehdzg0qzUK2C`I5xv^OdB!WK2}nJlB2l}dW%>xrQDO0Jn@$Hq}F$CR3aJp=8m_vHVqo8CY-SYGOiDU*87g z_g0zTks;hf(kRYt+Ir=AP9A)g0kU|HX0^oc>P zI!rIBFs-k@+2CZD&sg(8JNulbHzjr5Pmzjm!oD+po)~v=v_U+KybCrd-_apHhen2` z9pibo;~t-1o>}RcxJN#q{W&InCB&<(bNPA(?3js<4GL>9on9r63-B z2I4uQ{sw<;{ed&{k5o*wY#i^9WLdlpU(bPN9j$zBYjt`>+z+uQG{)d;z1lNyk4Z(t z*2`Y49g#j610i-(ND6v5@8r3SJM0copW?2oNn6s1Ja<>`Y$LiXHrZJ z0%rF}*j+F0G-+C-K^jA+GvUS2&MEI+(3YO58ydw5_vROtd;gIyC+c1ERr{4mqO`%W z#ynqE>A4KK=$AWcU8hP~$(d}nLc{{( zJ;}Y%J*g|f;i=Gmv@X!%cE3$mCO;Th(%ui? zsIjOses=web=i3oV4SBnga)Z~u2dDnDeWh0fI9W&IoKvvyh9P>EmBgnq>)(qb6A-Xn4`jIlou=M43b>keULVCt_*f8k|lQYn)>KZEFx# zfzBhFeL}NG`X{WcZ^sze{N5YZ9q4T>^u3)?(lK$il#D*h$$`j|o-*I78%9_1r|S0y z+%gCUzJof4K=7jiJ!MJjJ3mi2(K}X-mj}-2}9vs-GBzNkUH;~VSVU~@W3_5H`YEP;AJQl+q>3}$f z#cv^2X+Gqrh!0lG!LkZ`N@65KYVs*+61-^}_`L+*6#WX2g|;$u@1Pm9^c-e3e6@tTXnmmyOGu{YKQsIL_hw1rv4s!tmTfk z06W$~VxUv1zoh?@=4fpm7;dgC`d%Ltb{6{Vr_!j6>}A-vFp4GMD~2t1dmcoh5QC(T zekKXr1XRim%BbAiP~gl~fKRpL3uqgN91%eb6l3s2$!=ay=nfM>ibq_9=L5^A@kFMa zx;&?q`AlN3Kjkec$8A+=;^}ajX-;gV#a{iOQS^kUj08?Xd?w>+aw}w|5bH0@guSlN|4!3}a%0v-!@I`|0OHuL>V0eSA%V$BP~K{3o3dG$gSm>R^hRo} zg-#0SB@T+FS?v|<44yQ10}(!KkP*@PR}ZySOA?T5Wv=>^1SVWQ41;r}1>xWhaY0=& zwgng|;SE3;CnaO&CKjK%9ty1UF_=0P^e|~N{-oI`y(&hk*{Du_LxHus&5?JlH34Sz z3x`3bl?=>ecj%%XO3z5=UYn%2MLYKQQxZ^_^1F41T4sgga%r8s2xFBcuGX2Z?ynII z&JOKJ0II37Yo~?oduQa@ChZWG&XrTTW#g84ArgWLQSXTd^O?OiITrmhl!xRhEU(n` zS}joOb}kND=bsfcoV*4V_fQ44UqPMd@!N9ZfTFy$>Wr z!a*%h5kUtCS&4JG1T<> z6<=B{8HBpJv5K)Xv@TyFYXHvzOlZ!{LLX8cEOX-E2iP&x%%|bTg6GVB?}riVm-S~7 z46f8^W%9ULg~~L)TCt;#ia3>81-KXkjOJuflelUO3ysatGE-d%uCh~4LC02fA2YSc zn7)KC8B`&p!}O&iv`j@Owd-gD527m%4b#jdr)zG|&*_%=h-_fbEG@2Cpiw;>EogO` zT3MiJ&4wRIh&alPh*sT^rEyYROA49SlBGnWM+B2j$~s&zj0tTRlBp)v1spR4Pq2{Q z+zlF=d^V@X4!9$bA#;<;#(Tj;2%C*NYOiKHiM_@j?Y1KKSFpRD=MQLU)utSo*8n&< zEiB)s|IkqpAZyqArPaLB_VTp%cKySr(Z-cuU`Jq$J}UiOn`oxjSijc3{HU>>8p>VE zQsP}-CI_aujXHu8VA8dyx_6BOfn5eKn&8LEn25WO1R8a@k?IkEbyE2_H`)wnnUYb6 zB}*{+2=}QiUcn%I%-xD19``eA@ia-1(2BV`KuH#3>p+rjbR$s_3F%;M%WMO~$am&V zl!QcZ$Kz54)S0@B1Y4LI$-?D@%qW6`+>*Tn(!ruC=}?&nm;PsZYgeWXv#+220qvrn!f`CFH?nT17~pPV8$e zW5up@$SbRBpS3JiH%D2N|8Y;8<&P|#6v8*09SNG{AZy+Bl_#?hnhsptN zER?AC0wcYj{$>>|MUx@Kh7QNQ%;1&Uor7KvgOI>J9qmJQqhL~4*xB4cs-3x(?V9=4 z>IL-{_5HPJXz!5-ClZdhBkjq1H$@D>ZgE+W-Xz3T1AibM!WmyrQM261Gn5BKQ3)#O zDFI;cr*4(pE%o^Bq}UYhd0J>0Vww~+IyP71cJC1eL#ZeHR@uHn+z>tU!uU`jQPB#{ zuV~GBFF=~?WX47^D|QL7EOo{X*YrN_o}ZkzhZrhL$T>^{F=Co7FO@XhpXX&0VrZZj zmN!n8nkQ`vP7N?#K9hBMOEwZ|s>%<58V@sVmaAdE1hT=hR~ip%No^I4SqnG^T*!R- z){SuT(485gbNj<#QyF%x+sQZBrXG$L0u*UOedXZ;fGP~l;73vo-`L00Ip2pZ7twQC4hZf9C5 z`X=C}9j>Uyp@%RhFNzGA80PEgU<+h?i3>7zj>cmX3hMmVOi{BXQC3wm*$L?fz z879e_S}QUOXrm^fWNO>kRcp`G)a5%FB_Q7+kn4zk6rWmPVy>yHY*j5)T4$B%7z$L_ ziCE<(1qN#L{J5B*nM>hW581Or(u$$924dQ!n1)PP^D70iDM~BUvBr*9>LtyTu+e%z z$4iTPcl>6u;voq``A!WpHA}EBk~oZRw&T4I#-|}3M_Kqro0_Wm+kP$aQVu6HAx~54 z9bdX~6!rwzE_Dg?rUdyO;yZeVtYN@A^DG}0FXa99h~XLt;=`K%NSXdq8&FsQv9(sN zt-2ui>TJZxI{Bzof165Ji%BE2Eg=E?s51z7mxmffUcr(8|psONhZp z#A_>vfSSjj)UOT@vDj47x26ul?xMKlSzj%pSDpAm1inRZAdIBW1`=T!zT%^OzD}Wv^cg zn=f(;kX^V#=))lSu!YV8=gGB^ilF4tip!uB=7P$O`H{8s%abanErX=|X8FALl}|v= z1Apod(*Y>f$Uq{IqTG{Xh_h4ZaYU}t-9^I;5`_-W0*Q^xQgNZD$SQg(stMfkVfQqH z%*{rq!%@1?Cyn&nNdjDf>F>(6HVkWiOQks2E~S^oprMQ-rqpd=YQP|-z@+;?;>!LfqN$_NE7?se=Dh=>c2cd-6a(WB=e-g$TRtdt*fbyn=-b zBYRqFj~VTe$=d+l1mi||lf{1pq3##pqwW8&#phNc8jAtT1d-z#3xhOEllxBIIGokz z)00zRhrUaF6}CNf?VbM38=4cR9S>~=tsCx2KOLl#XVhmTCX{I+X9uhdnG;n5RmvAoMH_Yrch7dxlHftb`_8mFJRm5p4-=mu++Y;nA~uSf_@|+LPC^po@Kb zjVD$%aiPOc+*qjjiDuAw#6B+owy<_qtL}Ocac<6cc>+!=!c_-(io*;u?ofls`&{X1 z&}pq@>ozwqQ=B8%>8!dEn0eS%l(i5%a2|MX{5QlMuwJ-8Jn$~Tr=myaSl%b!D}WuK zUQz^Ze9u3HSYYT9SfC8SiEk-TK5Qs^*gq2f3DKYGEA@f|LV=^f{|K`M*a7@1BnUKl zTYPQB1i!-v@gf7tksBm!Z-;s*jVz9sLnZ;eWltOpO@gT^eq%1?5p}p-WJvNv+tbvqyTIX!(tGBo_M7J?dAEu zo)BXbX6Dxn=~$B|++|5kfkbs*{m#Lf@Rq&9&Qr!JaENL^;r~RiAUf|~fvETGD?|n1 z;JJA3zReIK{FQ(NV+8MpgVw(G!pB?gV@{#(QXiM{L-QHbIp}wmqw>wYqxcO+naT}^ zY4h(>(}LePiUiMsS*^le5nqbsi3Eq0%N`DD6=<3aK+`7k|Tu5S4{xq3dzj(CQZ@_^(2%KX@ zijyThmyBoi15eU>bD9NU_cAxjVVurXG3R3jkB4+F&|TqW_9b&=frPdPb^~n27A3sI z(d#SAX%`%>%u-OS#TMV4iF&JS*?Ok@-TB)1u=t2`31qp+zzRn?IhQ>&k@5v_0rvgt z_*!lG%F0+zh@zTWUtBrYa6GxQ^#gO(#kz4|f1~;b0sgw}$%gp-V`-i441Yh|0G`7) z%>&U>hnEB9eiPh-A{PgA4QaaAj~eQ?HbfIq>}%aYw8>IzG2~G`S+&TfKMl0$Qm-W+ zZyVq^#;_CkDJScw-vi`9-rP2u+5#6&zzzbEqn9q<+G8SN--1Etm za<0f))k(hdkkzDBujlWSt(QXU8buMlO>$_>xrSB&%B79_Ig5*?Tl1I=L92Dxc4D*1 z<|2Zrzm0G|zI?c_K$AkKYyjuwnX%Bd!fjd(X1`XU-$P4jl7t7TM|cP*c!dxy6Xdqq zGhM63z6Rk8k-F|O&rzOp?jEE|myIFaGR4~0@l{x>i_SCk4t6c_(fJx}^ z#}I2&Y%A?0b6O0h+6fX4t6!{{r@p?Ucg{iU0Np~=Zx}|>*PCf%n-eV$jA!1;SP_Xd zvg}+sExMa;+&|tIs~4FnEo&X`L-S(q`Y6<)GRA;gSoDY_t!o#KgYQ+_RNt#|_bMxi zA-#DzDd*0{PhFZTd2!Rf=bx#5sc5I=pq{8nN75Dk<=-=|&euxY=PmzzoK^&Z18loy z3>DhB_WF0MbM&&SSqZ(~l81P8)yaaBS%QG0_-f{8UW8?hzHjA;6Ki3TmI23WLDlvuzwVSe_PHOA*2Lw`VWnH3Dw43lJ`CjywQh2@pJR29Vlu5}yc|ewIH=2M8uMCRTU!bhX>)*)tBh08 zv5?g96{SLLxlwYPaoM-c$sg|AOiewJZNU>K_1CrP&5F$&4})ygw>z!7!gYuVim2e% zu(ec{JC%D2aPhZ|*=q)cEFRYAuk3+f+x?hDr6P;AU6%oYi=6o3AEFD9>whTNV&TXg zc#(PWb`hVQnf;cH$lwllAzad=@s z$t1aW+J%|{gXUFOW07A_71!4cBO_Yq10xhkC~opfTgn9)H|h)#2m1-Mudy=r@7CV` zmQpgYveEy`;``tIB{q6y#=nRE-t6QGq;qku>kN>4T z^1ls{|34Zc{~rvGY_wlpJ9)@|ZIAvn^milgpPw85zSH~9e)NBQNc`34Wn=zNon9tp z+JAT+>FAhPzC4e#|Aps~`j5cz|H<^o_P?4Q{~G%HVE*f_Bpdx-r-J66T}ifoV|wiP zV|v_2Ibz##XBl6*bZ6wCQi|L)EK%UJ#q(<=s;0v>LA1zX9n$ z(B}IMVkI}xXs$kOZ<(DV(6tI;+|$us0L2PF=3&lmlJ)q!FHdD)1Iq!b)n+c3G!{`f zhsd#Oovbjd1LFc#*E+Srb;ALG^AGxLy?joQb_-KvN=te=e{xmi)H>3GZ&f9DEn!bsQaP7u7YwYanbwQsBG`OnVRlbT`CZ zH|%(DiXK{fKu`^&b{|MX3ZA#&uiQMl#cvxUxax0;I)Zl>IJOpzv|^8HhsLxoIr3S%cj`SD;mOoN=xR ztS^za+Phn}c%Z7^ul312p@)t<1(wMc6I-wkdd#1Y#M{N&De2IweDR(q!a|OT=*M%t zGIrQoQk-!DJXT=s01WwvB?CvMfm8aFpMW%oa0%6D<9m!8AJBHlJ^;4%M4nyz2{g&p ze;f=@noar10u~$@PuL<*1+49GKM?{3+p=53N62W&aL$+p?FiO`TKj6`We8^-4LW89 z!PT8Edq2?Zxa@L-y$dn{Do>|b2lDjXUa@%wA;J#mxdJ`x>R{9*oq1Fd<6ko(cLUF6 z{IW&Sgv+V{Xx%p3J;+%`)*h(drQ31X33+lT#B(^3T;gYG2eye{=S&^ASwZ6*x7mfe zRe6SJ4O3}}GliR@4xYi7D%gT<{iKh-Wp4rU23pf&xtno=?bg}hdJ2{1f}|NxdV=Q; zBE9xNH3m`x(dhM_(El0p9`O3a$eqyq)&CRz0Q3>Ch1v^L-{I41zN%srWT%*lunGHQ zpktbeZQ;JI=vN5iA>9;xi@00iBOUhZnHF!iF7T)%k>-Z=Dcs_A#e(f^yW;i~R0lI1 zlB60KVFj8R8mqy%?7nwp*$kQ5(@9PGrN&-iaDS%n%&<1}aBJ@kdx-!UsGldCN~lRe zg=UQ!am*sruH`AYPGXG~6UHNsYnA~xT2TTi;{~sSt%LCC(VK2d0!kE2td2xFd;uDJ zchED?pYR$+8WZdMhWpU#@VoXC(x+gq1I{M|ZW})9%Hj!OZaM_u#b{S|wzA9>LOW_H z0r|4;^;&N|itFppBdT`*-Y7exFSkRU;NGA=gND#e_)zuPs7BLQ^qbPXS?b%CL7U<_ zB;D(BaCB{I3x4KQc;eIS796Sc4BgnZ2iP2f1v>V~tXCmbAy<8mwn2G-^g;ZfcvAqD zL+Q}3VpaW#VuSP;PLuB9EDk`f)5_l}r%q`V=WfXNFk*BAb5nWqeq-~yi`+~+x=zh4 z+*;0;?=4MX0Mrw}`RcX?VQELwQ5TGvA2XuYCXks~&DFQkB*?Ojk30#A4(N8P2M0S% z;^?=_?#2}`K_-xe9kgap7lBxpuY_0|XrFLr$k2)_Bj5-jE2Q+z`|pHJBI~H`9aaF6 zdaquceze0XgI{O-oMf70YJq6mKOPdN2@)Yi+qJ|1j!-`&$O3$>jo!f32KM<$)qYbT zZ)-ZkVw1k19><2eS&}L465;l{cY-qiZscSnqI6sfTM3#S`ts>hIMb zvPa*9?bB^dg%9JNCZ%UU7r@2e>I2w`kMn}?0i)Hc^_CI__w?|@_`vu(++oM*#uQP~ z!0U;ro$uo=rVs`4d7TH`=nA+CF!~yGu}^x3)1>?M#G5>$2nsm|I3+ZyXtByHTi@} zoxFvJbmKrGjji9{a3D9-UeB(skLeWk$tERfK{wKnqi`bl>&Pd6J|Xc1LtL>DvJ{Yw`UcL0Z1z=Pa3p&dgbNxLPs*6&y zvf_K^)t^diN>G&opncL6QRU3#18-~+F8Myt=_m))7##_IySWMj^!DWz`aPNEd*F20 zDhxJ!KfTojq@0Xd*I5m1p(_p!HIV}{?dVkIiTo%WGEx;$5++|fW36T6rItd?P-92GVQ&aDUnhF6qmy*`vE zbS0gHl0*pm>JiJqP(LS=$SErT(L?43jrS%4%>v?=X_eNB1{5M|5a+NNM?GX049rz;H z!MMgkH-nl%-5~w1U&v+W>kO1wQJ`(L$+4tq=3V%MVpbEd^Mgb4$zdaPGnlZSHivh^ zqiPB6h(nQTBrj&_C*rj;Gdayu&uXtGCG{@0?A5VT<|dQJM>QXomYmO}(b3mzt54C% z(QaH}OlbS2=F%cGMgdAV5*F&x5?!P-E*i#`Z|6~tVFRq`j2S&GS!}Lj4O#4)^aj!b zG#%9q8ph0ya!qOr^i_5yKcblK%)hm+j7{EQQcsxjQO7Ge(hXpbo-F_@rWW|G=rtWa z;-`9z9&-mib5X67q~5(M@gaNEJd24*ClILxb323J zKb|sAnhDm8#g7iHUNz%y6U4629G4*ig=s+yuR1oHmwFM;^FeWK_$!ZW_02hZ>n>A@>7?w`sW|uRgyFe6 zOdfjD_u6cId}w~0AEB!Ipls|)+tCc%jJ&MZYX@nY8DU!A<(CXA6~bP}JS_b>nQv`q zy<^|9yxiCf>Dbup>_*D#SnO!(*kox30}AQDd*;5ud(6Jdx-w~5{}D5GDvlJ)=5(li z2vD+p)+6EAoU!TgqkzWvA$;Cr$9loara0lQ)_HjF=MO%`S5>T*W%rG^nO1G-VQ51o zV|zHtb(~=3iCBD%*~-?LjSvMs!s2zT&~nlYQQecU;--&1k=&d4xZ=@p1t}D38Mg9w zDGp*~rurR-wry#oOx~+X|}2ebaqC!=YZ-(vn5|{HQa*^Ein< znt#YkIMkNRRL^`8Y)Lj`M(>=3YUFKXD<* zO1QKec2tI&n{CNHZRZgGVUxjY1h;FNqp;n)J$LR7Jv#txJLL^TcBGpS289KiL2 zwXrBhP>}t7NX$*lrQ|N5RGP_aoiQnpnb^#PsG7OnHiZSH@!mjQkX-DS#5%E9(IAOU z_LPcz=I=tz8%d@3+t42J;@8r|qD;T%h?!ICOwXD72rN%O{S=3lg}K3~Ut}B~UUqw} zhdSQx2b5nIuy8$DZFSi>J&m$i&}7e1c#c$Q((1i{s6;-UpJ8!()^w1vzxmzzct~tz z^xtEJo@Vq{!V4FxxH)OKVfyLepj0``lUI?S1XZz}ARZ#@8@`C;XbDJ>$wJLCo@+MF zYNa_>yXSZqtjay|aN!wcin$JeB#(kFUE4#YB8sdU-ylk8BJHklPjmT+a~Xu~ z{&lseV=~l_bx>=2S_+QRwVDMXG)P#_7i|SxE203x_mb#X#%OF7Puvx$nKF{PTm{k$ z$&Zw32iT}^6HN)mlZk}cxk-KRehKj(B@NjS{V!P4F=&$NcSk`AB8{-0yYR0yRJcDW zGTDx5T`r>Vx=zb{_6U0zPxFPQKD=jk5sGjZ@jgHBv@dx+Ty@^I1$1UO3@mmHcD!e= zp~-x`y=wEr5R}Ukg$K#^2qF>(;!s8>M!81cJ1a-U_Fp3}?K9*3<1Oz?M$E+ZYzvvS zt*@x%)wxpO_tp28Mp5@Ghk1$$I%5d6XYGu1jlFOWs1-*LQ<(ynBQvhx?RVd7pplLs zLxG?j?0(@01z%JJ-`l1Fg4e1%!dg19!DE*jlo>?rNr?1*XLG;e)S@1@T$o!bWDr%E zJ1S zznj42aTmV)CGuz$?&H|ksMGG-T(_>p!`=QCURKGmMw4?Lh%oUXY`5+7KiGT6AVHdN z-*ejTp0;hB#^n;o;TEte1Y2#NqmOEBDZV+uAwl6gB zFK@qQT4Wn!pINUD&~Sw$sge$DMQybl498JEdi!!$p*?*%>>Au)j-oK+ zO5$pOIhAlaCF8w)e15N~B8#Mv&NLBcNx(2xuy}^NqXUU>9T!{Dw8j^LeSW}s2sf>| z!f(ive$ci0%`lD;UQA4*r?slA=InV1!R&h4(a=wfs2yQ*xvRWE}5Ao&DR*$cU{n|lj=s#~OLqx~GW z(f7C_JhK4)se}C14TImTgX^mJLsX!&c#(IFk#jzI^UR0+!zi10|0du^q~@@sx5*X_ zD;bc0(hMWnlc1bGh_3QTJHtJlx!yYBpzX2QBjv6-%}A?;%T*vycM$yEX{Zx2#-|_$=+5@5EO(L(_XfbaY8Nvh0 zCM$IJo>$5#+}Hk!ieI#z&SyatT{v^D6TKsZ)~ooBp-J*kSH~TByxvDfj8L9B&=|}x znX)|Bx6n=60e#?D>CuVph0^!x-%d}VsMELpIBB|WctlQ+;%Tv|-fIhfugT{h!aN;aK z5u-9>?!5;AEeTO#jxr@(u;X;I6GOasGaURTd4c$nPTrjtGseA~{Uc2G8gZPxnw0e& zN6(y^$kafJ`zqD32vg*TxkG_k%^{+6om0d82pBLSA+03eTDd>%M{S{fl(m)Y%L)og zvde^tky05SeAtM?E#=K~f})$WbIW6_{a`gIvFny2Ew6sZw;6_W_kymG#^b6}yNr6A zC*=_L;n*Jv<{DLNIgG9kUf}kbjLN^!7ZdryXi33S*B03RdFMJ;)voW*~7gNVHi7sf}L|@su{}cU? z(UO{5;Nl##y82X0ri-`F{JJ`4<$IB$vWCENSi6%9x@p+=z@jP5T1`#wF4)MS?}_Ui z>+X2cDPa$RWTDxN5!!*T84VHkGBr&H7ONaDqgjPof%*sz;R}c8n5(TuP)@QD8<5j? zc;p={SiOdQk3eujRsud+VgV>$!o(wpchCDFaLg*;{>$UtF#e^Xp&>g>qptdOk9_Ej zoRlO`ffCxTl5xRoWBPX}aBrzxS00&KKm+|+eUNqW06yA%<{zCu zbmB-DPt-Q6~Cm&3EoDabfSlPVLdO0qzl7fZ+ z6TIV9Z=4@~TVe+t2m2n{-UJ&*gtA7?w#W<>+dJbaQn(0ET^XL+Bx8qD;Ibe1k| z@&l!&b7nxvloW9+GB!wB6Vu!W{C+=tvww^~fwBaKUJY~_Zk|24^}Vd$dlQbJDirZ8 z&}}04U`sArMRPZtU-OD4Q)wdmaLn=;d*07l1Y3W)&t=qrunxF{dNFge@;o>_hTQq! z`sg8#x`zRNRR0QA5IhPh zQ?tN62sbULtmm~&2=wTdJ>}uj-Ii8{#8;U%W-t2;IqxVng_fbrmwHXYh>la(T>&4XS(d{-Nn2+6Y8;`8Wa$N+ddk_$|i3158A(>N)A|2;9!{ey1)CvD>{h z+zkImw!j=IllPd5poOkx$LH%InFUQL(3dKc-R!14kIG-c(j5iP=P}nCcet$uPtU5f z>>9}|O3JVl8IEobeqdi%S2`bXf{&yl3O=$)6b3=wI|@*(&62iZGAB8n3F2TY06V{j z+YXYKc~W`Dagtolrvz$P&^=5D&QLb0QZj>t`}6tyka0yGVqU93tXB)kD3Sr7{KR z(*?_${$r0d$m#&6V!{VK+&;K>ifkwA$rS#EU3NyAAHf)9? zPyRxX$S~lwUktrpzU^_?$I=Hb8gxMCktqeUJ0}@{DY*;Ndq*l0QK_G;bV^M)G$U;p$YW_M~oAj2NJHRpVc`UZJK}^oY#?-Z*Ge9;^11bD8N@!03CJ_1KIB1Q`h2;VgVX6BN?@iy z%fE3{@=cbD+a!O3FDoo*qXH$L&T95)LL`fcXqEnwWKw961}Q4za@fV)Xy%V z3*BZ;AiJ@dbX!*P>!j3xT69BTIqtU1(9^ASi0UBG&}ip9#E`3BLR8Mr?G;1>PR3!r zda^e5S^WLDnl{_#g55=25AU<|SBal)<}F26M?bN7P>0aO-9YwsMmR0 zH+FiHCi8i1^p~slL&S{1;L_(#(wno#hHuz1Eai2$_@a=S|?+!VS;mT#_TzL6!h6bQ_qrrjcQ@xs_1d1}hhy z3%6MZ*aU?sVya*@WF2WtDxBAu%aPRA-QORIfJ)KSF;+@szHIriPJ zl^2RHk_R3yoCkmEaciT3J>{RMaacGV?T(vND#?AhF)Ekj&h&Inz4;RJu>Xk8iv`4y za_%NlS5X8B93V%~RcGN~(dB9PaDed3BTKXAU?~a}21`#%aw+s%E2^k#Pr$x2bk_o* zm4IfS&Uvmk8RsN<5K^b6&!-sB^gPJBPE*}|gIj5dDAv}ZFmFkHAN>;MP5a~>;ciIj z$x9MuErDEO(?q1%F;4|C6jyAH1?bk~jw~~cjW9Vft&SZVy@OI5ODi$~9Th!5NOW`) z6wuj!n#H#?q&+u|X;{-cS0_=58#YpnBoVLJr&VN57E}`Zvr!|;n9qvM$T5*&)7Mg2 z9_wgJtbA`N2tq$zfEUKev@ToFM&h=}Da^Eli%t@xfG9E+;FpCevohgy*#d)GYu#hReI0gWQuhwX!!EF`6udo-+~ zbfCqVQy1|2^?~flnN3{j&7Jcht@;hu%~LxtjN^jibzoo6gfA2o81U7sFtt%ea?t&p_KQJ*_M5PV1FpytkQa&3bOtYLJaJ}h zlp?#R-%EZB$TWNWa6YU>U7@_ttgC8d^njyWyzo77;)mXh)q4Vyc9W&wV?^g%q2e~o z`#8KC&{gkBGuod0OWvPNSmmMyXMZMxC=v?609|SYL>D4UTCTvSbIp zP#U|Tx=T<5;6K#6GD}Y=@g$hbDUInZ(sq3#MM1C#g zhsYK0_n;mg@W;OiINE4MsgJWX$UuQm^Rbwq$@f8T{v=w>GD=gN7K=q-mNY@aUHSyp ztgAn*@L?8~93Mhtv_SkJfh<%3Zt;|d8meyAURi-$qA)r(&O3qKH0(qro$P_#taXs= zMH#XPGzDX2^H3RI6Z%96c6>@S9)^5Kxe>BB=gdKAw{0(b%b`9KRmVwB(d45HN_D=; zfL5fM-TSn|mCJi<;mY75&my^lwdvz9@XYMZ?#KFe5ERdR`mI`)Q^0}nXVwQ)8&hVy zyQFg$H{30@@MUb2hFfFBN{5g(MMa<2b>5!v(pr=(77o}`&D()TX79@_H-kY0X9gL4 zcsJIuqdAROelb=dcM^`LW)pZ2g907BgZNQP;R8Y$LK=FZVF8Y{^dO2`Dlp(UGVAcQ;qgL|Mx+U_kW~G(^sQY$~p2QiZ^84*wHqF>*eUjTRI|VVHKk# z!aiz8SOq

    P4?s=#6`kV|(IR$0@LEN+xu8AiOR$U*{=rtam2|`$;tsukby5l)qY| zs*Zx$K4xgQs}kC*t{~nuc1ZLp>=ots97l`*U9N+lc|QGYV{qXM06WuMY~3eD{m5n; zj7A7RJjY2}iJQvHc%I}Bs$bQwQ}%fh+|Z)IaV2{3Uvlh+z39leNV$tUeQh9m<419x z9G>&=xbiG(EMd41B6bvO>jyhX?r3&!4sgbd`OU#Kt<=BQ=oPU`C9~bSk#s$?-I!F7 zC$oISTY04|m-r_y0HFnA%>0Ob3Mn%Bbr1mA(O@lbhQigpRFEujm{0hT5Hf$}D%?-x z>Ev;Vz*&6P$gsr--B&n3DRL%xBrUWyGLNNk9?&b zVUu%wcU^;L04J;~u&mS1bTC!Imlw=A4#7Vyk#9c!Wzvk-clN%1g4ROHPRnR;9Yuwn zIwZM|mBoO|o~jddZ;j>Y0V|VMYhYVWuEsX&u^BU>cy%^xu|K!D{wUCXH{rS<;ld{C zJ?C_=yTfV6xnTk%98eYDS)E&fYMG$tI+{ybBWrV?AWJ&ntVxz_Q}~W`kcSBr?$sEyJGH!GlX5c_OcG!*3hpnoKm;Y3dpkGsv29T z*JVb+xK?0_FPuP9g;3X_TA`##ot#4Kw~7Vao61vwWn!Z-rtI=h%QERYyYwC>n~wZ} zn)x1VOZN~L`|l{%;Rx?v9rFTH?C#^a_e_^yoNN9DBjbJ|VX|L*x6H=-FUUn*#Yp3h zH(hie9s>?Uzzx~U8^$Dt`^|mq`z2o9gp6=?fm`jN=neSdEsLR$Nf0kLJF?MsKF32H z1rjztzj#=lheq%Hvr_xzgJX$2h^xxkBkm|vXu??5=z=d(pd6~z&8o+1)hVBac;q#J zQSV@vfiYjS;*5Uc59cUVbC_5z7FlZMgs0Xo|>mhV_6yIv(s$>vIClqU7>;P_> zwjgQT5Nr}q#T>4EZ`n6$8gz_0L#>CLQKfHNw@=w;KL8*M4SCWf4^uVs!HXuKv%`ij z}5IyR59af zI5^idKq|oUh&DA1ryr!WtMX8V+rx#QC-EFukWaa#9YR<#*m?@%qUj&&<1dc8hL{B; zRWDd_uk}1uf1Lk(i(65{)#%A$z^jdYBpfXf1h zM04JmSkZ4^^sNX1SYnp$oLSOY=6&ti_?_z$8MX@-dl~5|kGm5WYR?9KsNh+r=6Jq` zZ9tQ7r~v71FI-0!iOR-VIYZ7bYz7KWc1_WEn^b$%{Rocr%XO4NgU_~gu$9FVWid1B=p7jSCQ_H*kGCA-@o`QB?oGgeS#TH-+Q5Bs4)^mzZk)T5mM>vXCZ7JmGo{bApH;pRpCK?}kU7+bX;w}oIP$IKgeO}@o6E(cv;$~LA zIDAXt`rXWD$#zJFcoJ90$+|*B zfL?T6>DqGJW#9qY^!dCpD6T)qv5AvvgW`#?Tk;5rIrrrtjsA@a6Jmoq)y1&;@QkB= zY0YGOz~L!uD=Ap+)-sS7H=ZaktlPA7o)FIqB({j?8JBXXUW-raXA!Nju;j=F`~%TR zv@IuYgx%xr2kiT!a-MDP$Z4-zZj7-#X|=(TI#c$v@|)M&5iIK`4IB*KyuvxoHD7Q! zJ4-}^k<}k7h5$F7A9*39Enc0b>to((D%0c|9zGcKVu+C@^;TYN57*=ECkU*A5aL)l zA}1s#IxSFrB6WrDkTgl^0*7IB&dTnzGqSHi zB}vE(Wbg1~4bTcDQ&s#$z5?r6t2(anVsNU{M7afd8uk6^1|X&BBw_t5VfQkjyY`*l zN%i=nn1^Ab;3|Hd^ejp0g18fdgLtJV7BB+MSm&J&9X@f>eiG6pXu zCnT}Q91xU-LJ(L)mYDzV97+RwfFmvQ6Q?;Ao24n%Ap-BytC2TIOf*P@7A2r5N@TFS zPGeONl`y_O8@@*F?JbMF%3GQgmM1j7o2$A8j9jY9sA}_Qxt%x~Wy{lRTPB+*r996? zC>Z>pJAR45@BQs^~IHtg+j)g${`>V$$ua1B)%`1 zcTXfCNnnHE7B?I#^hi{TUeZSAlp=OZ7rU=VFw!R!7aU3ihbWFi62~P)~d-$eld&@r0!}`A8*zhk4c27l)udOc#V7sz=UGzz>{%IcFzP%LST% zsp!`j1aox5wSyi+kGbCiFd*&KVsGxxmwfqx#(Xh((b&@{f`$#D16V(9;P-K~y}~xL zjfX_!dLLU>>;ud@vW-IsI}d~jiM+dbGR16(XAi$AaBb4&ux&`c72qRyCHM?UISD$1 z9tX5&{5z@v=H*Z>lc`VrNpJTP za^(ltotlXA zqi&QoiOZ+frDZbbR5GXNaHg=miPGhGGUwg?B!In1V@8oHlCm9z^FSF{SOGYsBA8^39ox#DfAfDS9o^GSa?O*cfCHE0_$|Y8bTtXN z$ED5{lcVUF`{1$ai0k0AkFx!#SJCPYBf?kg$*xsr?`iK+T_;6-WrWX+Neu2e;<-f}R3I_fSsQ+(u!2gx1i1B|?75(o^i5OVf zz9DtYc+AW!|0FgxcD%n59QyCTenv*d|483u%-;aKf7*VR{ms7<^**c&40wOjKhN~^c&y)p{C)o0`5&6zw^M%yV*7UBpSIr){B8Sh zyZ`FFI-4|&gji-NwXk?jA$p!`2+iT+2_;(vcF{=3_M zn32)5`X*xjqb2$-_(wp*_$>nZ%ccAm70JT{=OvfY{vEj|>GzAnRhyZSW4Zm|dfn_9M2#Roc5N!Sd5Hwb~ z*dG8u41|4j95m3A$0g0t{%4%>+QE97VoxsCPJnE8om)PiT~|*|F3Op$%34lW>{D)& z4nIY}OG}XH#j-hUM*W^1t1>_ds|W+SvRfVPOeJTslL&;O2>X*K)aV>G&&JXk35c=+ z?=X$EyDULDPgaS+)wg+B-HfHP87}zFbgV^8Hy~X_9;nc&l}oC6+`ux9Cexapi_m?> zJ257=0*_d=d3{b3%%bqGD>+BEUmfm>*6V-Q{o&ksM`VNBpflRM?~-EdX<%U!J^{Hy zrAG0l=JB1*ynly-LXpv-<8XSXWb*;SXNNHWo_WCA|NZbg(5w39`0E)zL=S!lDvyY= zY{YV=oTaVr#NMNw#|MuxM9!Hk194;`{4n3IH&4w@JbSjb^8h(fd4ais3>NNqiPvuB z!08oP6&8)n^jcXJ4xPi&^&=z}CSN3)-(aTs>kjzy>$Ccp&|nJVvxOK27fC`fS`RHB zmT#Nh+%7~E5Fs|eu;2%S)c0YRVuC7$g3i}}5L;p}-C|H*VsJs^P#`gEz8SPgBJ5;- z_VPGkd7fyLe1Rq419J2f{9bb86oOvz>}7n3C6LPb7)nr2{T{~4a1Wvoj_9pe3;5Vt zzLi2i(|ki-zpi55ZopC#1WJp( z?CqPAoF=-b_rNT7WoreX?yfi)yx%zSn2XLQoyI?WI^J?h8_t(Jd+toyCLg<;(2~!uTWW zR;`uW3+oaxhbS$uF|V%~Qb)LVy};4y6XrE!ze1d<x8E9iKq{{--8cN;qA|tgqG-y zflu)iz(1WR6M`_M5-}0hBPlpsRQ+NUHVl4 zS7^@g9+*u@ZSh|W3n?5~uLh^tC%5++-D{Bb7{*=b+>n%<0Wx`t*B@wJVeI9)jGlsQ z5kouaJ04J-P+tgF{cW~j?FTUL@ZMPcG}(&L^f3mnFXo^W(NdS2(^EHK_QM~${?&6(?r>-3k{M)6y4S_}U zs3PLY^(*z`#vP66>mpWq>lv#UaT&51j#BQfrRx~dCl0ISSq8NSWQ>!mqic1lb!_9C zmzt+sQ?rMv4me-FP|5VvtxM!2+B5jropjW&rNr3j>09^;8)BQ-F@G6?)u9 zaEEb!OvTSI6=nTU7?EkuD(}Z8N^T3il6~ESKZeEx(diOujCCmBRg4bap*Mv+Nq57z z(#;MM^!uPb)sOC8{rn-@8LE0iz5h#F_>GRBTk+XN3LVoxTkwh=*T8qfchkh^d{Aqj zya+(nx8-evll<0P`FY4f~{X2KO2sAnXS()Bvn6;q8 zNLvZitt)pcuB?GcUYk6Kwr?k&tbhuVJ?ph_J7SlBah+9v6z5Mpm!8vIHdMw8ppB^b zah1gBCQR>8%4aJdMql~~s z2K)*9(*-YP4xL#rT3$~P+;LI?ffQ-bO|9X2rnj@V=5Wketf4;MWw0+ZZfIRjQ#l`? zT=c0E_(J1$r*p(gKKi@GN)TnS7Qi31fC!~?A8Gn3SV02Pf9513Y!|1SN#a2Z9l9KMlk(1&-)|M7@Gl%}h2(K+1B zf3Z2t$KmfxVl$m!b^()*0S0p)+$T*uaN*iLKkRftW^dF+Y`pFVidJQI1Xd36=!tFfU1s=)?#!S!T;ZiAJ%hjF`$$i~)TP1p4S)ONR;9^VyHeQtg^YN?x2(2>|t zIrTw08aj_~!a?9dVSjYEypet4Cx*^1PK*w!_Nk*exI$k3PX64+n#fpNk4)ZKCL>+l zDxii)?Z&M?!R@?V3JUG2b;ek2OO_|}N^^yS_fSjFW6hZweIh#7R7H$o&;We(!9_v3 zB$4oHiqsp@Rl-(^7CS7@OnT3%Z%iS>=gww)4(W^0QFC8S4sIGm^N(=A;31A57e|DO z?jp}z7q}s5NTjGapi3SXk80%|B_RSrXg}x!*|n`RR1=YCFNk7?0jYt(Y;nJltTJY& zs*sm(!Dhd&&gW;GY-cw)%IF<%1eRW&@H?0m=Vj7P67xG$Gxm-X7dI(UKR2-eh+NPR z7FTFQXy2*Q#&}Fsx}48neOkD<9lVxPhO6ZfF$Mis(>y#mEzhSW8I~+)HP32RFMu%6 zc~5FWvgs=U$w;-DQ?r=LE-Z20U4iE3I6&a#%p$g@x1B3qPRBdNVS~T=c%6!-rhU+Z z!+p+DjXk=Sr>5S%&fiVu6xYyF=n{JL3`iRrMtIvm<;L1=#ijs$Mh~(C2?DLounmuM zJv$XeFV0qsY*qS?flg!o@JY?hn#J{w}W zBus%e?W{Gkq0zM9LJ|;T6@kDm^uH$(lAWf54qq1)+WU@7!p>FMqp*NRJ5uDNXBh0? zq>m_ajvG%|G|5cz8Dz+`OVOFaofvXoJ{j)s1JaPF? zBiBJ_6ZDY2b5U9Eh!WbH^Z}^^&$&ll z#7gvr$z(z2==lj(<_YaG$))u1d99s}mlnT@6-ulcdAL+HmyHk4b?wJN_mesoi40Lq z1duqEjj$XdGZDGpVX`v+T?&=oA(whd!iJ9jCb-TN_s!JXtfwBRA6 z{R$jK7Mq8$+O6zhA~1otk-ZUzbZqOU74cgvXn!XBsZp0*fA@+NONNo!fOnkewMfI_ zKD`ARRw%Bin6cO+p7=K&#VqxvLD96P)KE8ZwazKp4X`PYBkbxy!JnftwFW+>OH{H_ zoXHVRnTs+yY*~e$qvsx6DJvEm+Y%P-NRMaDJT-}{H%?w$_qs31aLsI~mUe4|%E*tl z%FEh4(-pnwdcBi$h&93J9?Ljc?0QRMf{I(avk<>Xwm%Usv#Z}4^p+Fdy?T>wN|Q;b zdOm^*y^jWDZ3Z1!_cluD9oI5e+u50Mcr!6%Pp>t*ry@^OU#x!OgSgA`j)FCi9p92% zk>I8hzrKIU)G9Z*w!=mbo!xL!YwK*KT!60x5&blySSg~6m^w3}W23M79c9wzid$=? zlZC)0(3po7W1>^*XtG%>BbAfd(r={w$1Y+as1z>*$ zZSp{U2d!2VJ%}9GqsCGO{xC5}EMG0*(8B;P$zoqOX=$0*QyZ>}Y=msMcT0C3cK2qO zUkiRdt~N191M8foKPCbT;7qo7*V8d*o>8x=qMG2Zu}8=*pV7yOT^V+JS~g1NPf7Qw zQ@QbcoYV+3Ri#yjIt0cf-ENO(DK*(~^ySCvaqrz+4NG&M@9&>RQj3WDvh6~P<9$H< z-ZlWY(O`PB*oOMi9Fpw)OxpQ2^K=rJd~-7ZXQd`ZiwDYF#JhWoFS9beq_Q`5zeVdp z<4EoJeTGWAW5wCXEL?0e@fkg8Oa6VMx&5#0N4gW+9pk42Iy-i!C9HlfY|klS6c7}n zSmMq=?^@uEa{{Z&wRgkhJ9g9->SYuHt6M98TaDvFPy1e{aaq_mZTpy&gpU(~4vJM=FT+Ww zieG_&2v<->`Nd5%>B*2Z=u9tV_=*JZn%Nw#R}pPC*bN>}^QCa*uC^a<1}K|e2Nzuz znjb@N3LcoKs90Jxk7<$qsm%Vy3oz-P7u^|p!W+uZJrL=^OxT&QX7uo;Ok3NJC6_{2 z{w+4(`YOTKBvRK{S+z_L;6zZ9)pkK)OHcIR%a74M1)aXdA@wEW!RMME2Ei&~5PP`A(K z={{ZWa8F5b)H|rYYQgs<&FA6YxmkSfw4Y>cm>R6yc2rBxm5xoWvMc|96z~zomFpSC zA4Bh3K?fOttqy8hfD+H`@(B;_aANaXS>CztY~- zi^eoC@Ir1uqTZ`voM^+0WH_jQ-Y$reEM`kZ_GWK)VX@a2TJ2_gpL$=V&%2Pr8<#RF zj%4d4;nb_(mgx#V8gz}1#+f&os`#qX-AsE+^HCADT9E*>GOzZa!(iRpz^dp9QQc*H z$C%mc4ux|m?UUwoTP{kkBZ@VxQpPT|=?WHAFJ@nWu9LLJLy!TmxL|qz6xb7k^BR+z z)!wu3LvY;zM7wjt$F(hcT5)c`-s)pBt~{wTe=)kVIc2psy_g+1__MO%vV?+z#^&T; zbh9CRSoW|dQrTs3+#KoEK7E@PSQ36QgahncwNN($gYd#{XuS=r-3p-c0u*dhLP*HJ z?y5Z6Pd8xru_B}@z?mtQGg~KDIQd9DRwn>ZtD=^Btp*{}upKG87g?G_<9Q|HzMk3& zuzBZrO6ZBn;cLjW`+FR32mSkaPMiTLzn@flz;O^jq#;$vuaQFWGoJO3R$u`(s?O8E zyy;vX8fOe;M#=mi8bNPwNy17&Wdk?P1!VAlJnYW8Au< z2U=YK)VpKcHQ}lv@OJl5x?!}Pd$b&lisM(0O6ohIJl6qrOCdR{@B*9fx&clL`>KC| z)tSg;UE({|hK?gW;W=|^ZcSbePshYMrHW(o*zE#;&`S95@BYREjbsluywrh}1+ADaG^&d~hs ztzd3rDa7bl-|}{p6J~}*N=)R0bW02zx*%2}I<2K0wvWmg*#|XyDvTAJpYC7^C8AU~ z0kAnOm53U^UO8$Bx&HToG+>2)mvS19dwyMgzzKTUg;Yll>WJPJY z?hlwaU*lJy{JsYrDSyXE(?qpoS*ALXy+2d)##XN?Z)(>+B;vn`;eXtj%-sa|ta%il z6yKcrZ0XfBD*JFh(zL1bL2rrGDWhsC-^2acXj0UlmUWJ z94N4je62Nvf_VcvA74oLv1ohD}(1gvjy-f+pXo}k&1k3b}| z+9Khj0z(Pd7nk*E;zII?y3>9#opV#ec`8?nQssQrX)G*P{FcP{#%M}rGh3o7S)$Op z?alY-hOqRli`(C1hka{YTe9Ys9{8FxBs1di7(b}&vgMqjHkOO5cB)oQfJ+oDw2z{v zYIN}2sFfrDrY7~XUss&2bFo5%4@iV>LWHkptTj344=ag?rzb_R|KyQ7xBTGF&tivw zJBnaCz$*UKdDBo|9rpmZ47rc!(^E2b`3g8AMwBQuH|-KXs&YJDC(WC~h^2ujkGK7h@~qtj>BOo) zfsC6GlupL|GxtHXh-=rdM;FTj0&0C>B(^yCpGf7*P&3W2H*jf-w~0};OI9uWU2|#F z%L|^kvya0jWClU79V2)=aJkZo!t!G5tTl|FX+LFc@?DFr@@*Kki&USEUhimaX>V!4 zX~V041Mtn`ITpE8GmW*ae_40+Irl%NUNL^5XTRp%wr4&!{7xzaVg6h?VHEpu9d4U| zr_q^H9?JD)-hBiP?5W=kW{Lj_7(rg*6gTt~U9WFJ`iPZ7$rr>)l~Qs`@RYu773TB~ zs2(XG*4NN#&MhZO68~a|?3f)aPE&Fn>A|R5Jjx+*61bQNe0;WVW?{2(f-H+(B;0{6 z7aqsfQe8?ug&wuY*Y7d#lXxfM7zDo?s@%3SsQC_O?e$_3%%<}}&vW?m19ywd8vE9nXJlKFWV0BvicoCBOkJ&06Op#cr9-x&mZX#<_QkYS zESKkOx)IF~dfWUG5=Kg0SK1=I89e}6T{sFM63vC&GMPn(6t1Xt!=x;@x_#X=lC`{% z+!uU=TREa&jX+^}|MWaG;RZ5a^lYyz?NDoq8^_&MuT9n)Jx!><{gO&e!#}$+o>!aN zy$pF`>}Qr#sXG;yb4VF-q-I=f;pVk_mPR*%wp{8v}{TLPGIhPWfipvVns)Veo-NSJX1NJ?HB+2kgF zwJN}y*h}m}rza-nM4S5Qc5}B1E+jUuuKrYgFx8xZ=&m8MuC`J{n@6s!R$(Jl`93c$ z>2hs^u*>zNFiHtI>mAtP`hA*IffKKk)ny7~duA2)Fbg;eJ9{KU5WMeI%8{Qi@~2nBzIz4JG=(>@K@48Qp3P! z!bj8T+Uc9Oe67d0Fpmhu-e^K!w*j^Sve|0)_ zE8>&XsT)-IR>28Sc~S83eR+Jt%PNIc{-AlxH}*=L3(buQ?&h8()I@RE8CVq`Y7;uA zY{P`-nwMDeaVq{mzm=#oF93@kC9S>}wBFV|bD4CIA1RjK6ZB{YMeY}`B<3(Or>QpR zEeJa{AerxRvkp6M`{!cR1}2Fm*|HNW`@+Zj@Y)r7*ua2G=7$%n^}^~U*XLNpo5*6z zun%v#1_!y*Wi;(p-4$Zl>M6dx%yv7KQh?}VwRR(j$=*mx&!=AwEO!-zL}K(6neoPGyWzK!ebV;DJwEIm*{nln?;BVmyTE|XS1PrrZ{4U4%eIREM` z6!7u6=&cMXAcz9ehe`B%%L;2juv-)}5xm@`IXp={WRtAoFrhUis<~khJ585`7Sgt( zHq{pOrf_YCw&Sz)Od=N_D#BSj#5Nk>n&2DgB7f#bp@bKbHyF)e#lXfD_-yTjvAmzZ14AGD=&(d#z{mvC(sBAeX$J9}O2 zZZC7^Xm=U4ueD=cFS_Oqr`bN{XRRP`eU#UgTjyNPpMCpt(wWgb)h2Lkx{%kI;U18w z(|{YE>jCP|@Ue-%$4pU@;KwD(%;5Wep2o$Bgc^rT5zxeaLPZ8F%aTZ8V;$}(F)yM6V<~72QvL-?-}Lzb z`#7|L$x>`tn$5Ab71=dN%Nt?p0tkK&2)IG!Hwr$gTP-O3sf#-ro6|Sk%;RObgExf? zY*my~pin(Svz;>|+)wpW++U2Uqc;>|P&hm|7nPixvBQNPb$e`|L)pD;&NXlDch#MK z)AgN707Ye`>$`yHZ96@Drwf6nF+p+UWdH2g4);~Dfo{fbaEkd-S?n~G+| zdSxZ^#)0?7YurW>P--ph&)XS6G9?K(;#@N6Y+XGuVSYFTJ&d)tZAq?OJ@!+1&Y+C8UOJZqM}bL$rJSJ-id#_B6Y9esb?BpKr|Q3E z)v%~FxX}%+HSP7F%+1VuXH1oiqBG8v0l`JiqaxDKH3m9Sf;ikT!B<0rXKU@C*m{i`HsKz>=-Kk`yK<1>S!RcX! zO8m>VwzOD5Tc(WSj(n{b9w5b1C30FI6lRzWhKhRmLWACDd^E^h^P{)j|ET7AcCa%2 zdl^le?G@er*uR_pc%e~a4~COZ-KFmR;d^x1HmiB6K+BT0``Z3ipti1IOjusOvHBvSP6>5Pp}>D`(RTBi19iG@!ysFM8KWP_?NCfRDjj_Ul3aX z2w_Dymj6&>KwAdOWU%!xWwP0QmLigFugDhj^fsYSvE(zmpAa0d9H5RGjG_ku>4+R) zxK(p$XVKus@Fs!?vq0Tv3iutdGydTM+O6Y{wuM+s88i=I(-y0?ub7RdG&)m5(5G+f zo8>I*Uzy9HX$a`K&~R}{HqJ0439VNp8_5r(?m%=x8|^s68*kDRaBELH$#fdaoN>QO zu;?Z?1$a%knEFJyG0m&AHq>D6wdEp2xCCK<2SsPF!<~8QYX<0d^1l?C+BOlOx(T%e zRCJ++Cy`?M5tHKt<|gU&pmlxo>~1WK2@UK7#!2r?qpQuCtq-o=foQXl{k>;5;f;|V z=nA$LeGs{n4ZL6dNB9gUY@iU#(hjg_WDR$Rk?#p!Jjd z)IZ>+mo0&FRC9--EVj?G>#kw^>SR!}t?n&1^Q&=Jr8X9%Fe0}J&v5{)rdnTS$Z4fMoE#X?jv@1A+3>-#+5}QHcIreJ*hztpP$0l$p8$um&Ke8Z%br;L@lmGcf1k zj|NFAz`rm0)l@O&^LvLV>cj^qxRy78_%sRr5tdhIKSl-1DT_Ctt;m|UeO{5X~!92p;(5m_YNF7dN{ zOSlCRWIuPC!sojr#*<$ZbY)4B-4-k=Dl9~StRVO;I~cSg(G%rWDtvh8M)e9>EO;9& z6g*Eo&x`cwyb){OL2E6xgrj9d)S>nKwKdklIn7(aFx zLCzRFHO}ZVeJ)DI`cKM8XZlHkUL0YZ)OVfD48?$IV3ck^=n0^oc&RlGfxrgRsg{ma zSi|M63!1=8A~;-LQ%xm6Gy-W@UPBq5+FM9;=WB~*%v`f^LhElfq<(=fTU|SJ*x*|q zO}&rjT^k)RvESIu8&bQu!%c^#U;AW5{*l(1dz+e}svn|V-mBkeC1=gZKDElhYc*g|ic<(H3Zr07VJ8fH;2hCdpJMrUWr}fWf zJ8~eO=FogBWadZv3gU)jC@F|*7KB;9J*YDNAS-E6%TN>zL2dVCyQ3iyiz=Os=nYPt zWGMAmvYzrK?0fnYtKm$DK(YlK8p8{*n`a|Ax!^ckZbdok7NWE`5~ssP%Ol%y?6TBv zKkG`p^UxkV;MfPaxc`e4$9}Qvuao9|zV@+C3F-UoPrt!8{OL11Zto|rm2AKFm#J^> zc_H;x+Y!pRj{^5h1VmfFcc1B{p=>-r%%Ib%1uaN~DN8t8Hx#@9DoLh@l03$U0X>6~ zeA!vre+<2U_CoLbUg!n?6?#2(@*?E=mJL{@S2KP=+CpGUS2G@J^S* zWp^>WpK*t=%?vw_7zksRYz-suVk}k!pVc@?5$bliUC`zcz-L~#ygCC==mEoz;vb%w zcuUjbMI)Bqb7D3tb5Rc`%k)L`j^cAbf#IQn(<%f zzLWXz{AZ-SyMfNT-|p@iFo$`N`-dR+^RQj_`5k^IY0k&jigs*ca&uAG<|cV4NQ~qR z(K%quyMty1x+e+aXntNURCHKZeluZ6rZMcPzH}vEyk|tfsJ?#sf3mPOALnO9Lo$|) z2152mr(Sa*mFuoYXywyFXdD=n%g4-M8_gj+veJl`UwkA9ef4_1li8zHgmUgRKyc$AM9Dj7)g< z5Hf++eRgLh%LFBPyZnYsWR4I;gbWS|0+hUO41a_1ovs*FPcy0>ZFoIK<-O zGl;W=U~m&+jN1)QLcf;r&fj{y^G&aJ&goi4=^5VnCHr>MCFDC`Qscf>kaNkVmW7G# zWUouW2X!+)?fmj^&1mbWAA|a0t|CyTAyYWUa0$q5oTw{_Y}4@1*j!$G2VG(4o+N zl4fdx!YYxV8?XZvxMnJFIZ(JP7=oxJ#01D$56D?R$XTj3->q%h%aZ|PjgvF`RV($^ z8Ql#AubHitqGr}AC2GkUU6YV<186=v=mOIf=c!v2 zTU^X>#97@Dr*1xw2c|O~yZC9M55_GElQBdEHAMvuWB3WyEyu{aSm=I`+?qz+=gvS{ z6q0wkpF95m`TXk?n?Vg*1gPQAJYB^En1Mqg?QJ{BQ@TYU%zs=G&;6T>;QviVe4fnI z!VGl7PMF@G0KR|U4X=0rA>INr(a7n&B;!;Oa>HN_(QL9BtPe>826*Tjy?|P(jb7#~ zDkC9GL`g9tQ6jR!)A=eIoudMCJV@_SG??7y9X%`gzIP?(Gb<^DIi4_fkXlENYHz%8 zl(vkqSSDJ?pNX`dEf{&q8+*psv&Nn?_98`Fgj&QXwopiDl`>!K6lG&C^fr^PM{*bGud1kFMhPM>BN#zS5p*#b3fVkUvAL1ChFxm9$-K@aDuff` zrfTy@GK?80=wiL;O0)a`d63y6Y!P=dPYJw@Sj^@!jzG2(Q8k&$I1x-y9c>wnb!bgR zQIZwlL$g_;UhcH+LetV(i7S63-mISh+5V+F2|PH1Q)3joow zK*L%m8UK8UYvx)xpjfhVzm=8@FZ8WvlL-&t%;;Ic_FXtRorDe++@M|Z^+8`t6MfxE zqmhL}-!gQuVf__S(?0iZJ`7BsO_d>Dx!0!j)?d-1y2v<&c35F*i3F;X zAOhWrL|_FPP;Sla59VQ&%E!!R&y|PS4LCw1b(IF|)vQ zQuF)07w8sWk~$E=3QTnewm$qaLk_=uJ_P|=&8~uAwf@vf`?;y0hCk%qLT2Pguj&mx z3wCG@hu`h@v#iEC6u07McexLmUotapx5rOHS-N$keWY9WHFAy81Z|vkvVEd^vS+++ zg8xqUgGBQN8O9b=Bxf`P%>cyOpfjOYO@ML6;A1epHW&kpvj!muG%R6*dVH-}ILi{H zG2}0y4sT#;Zw~;Tmz{7gsc;EN|yhj;!SXT9@2_AmSDop6&CqDQ%wf(^F@x))J{+T+7 zE3tn+R(_HC41D$|RHSY|w+VUBKD~Ilb*_U9(}p>&(XMf@iW&rlL2gf`2eL&)nXX&Z zdbqczD-eAlABLaLWBR{sjsCr}^IlrUj5;<#YcZTd9n;&_8Cn!1zR}PI3HT-)wt{`3 zmnDVw)?a^b(>JMCQtR=}M;=WM?|Xad4(_noHvQnd7gF8b&oX$!s!6vwO%yqe>ASga z069)nfM@BOCr7tMiPux(BuaqIrd^67+Y#Z5xDt0P+Mi3f`$dOy!`(xoNp4)EF*={S znOV+lU^Z}@(N^Yh^bGT7^k>&+=ri|c9$$cqp(3t7$0oUZJzJuGj4GNMkYWL7c*n5#{r&y+e zuu}-rSlD}!OAS4bM#Vmq_(DakMWiSk1$I)5ovM>+Yv1mSjNqkv*F^K#f2>;=fAo>Ze)n?f z$ewmQ=oJe18@fN=Iqw<3`Ki>W*#E(-N!Lt&BpF*5zxkS@c+z{P@U+9fNj>r2fz-!$ zl_np?@qJkSMe3at+?@JDet$2-d2#r*ru4VtvWCp5C_j&S|m{aMk>b+}*a zInwL;5HRx0LjyxYW0XmOd4Yw}P3C2mHS&7P{ia=(PRp0(FDx1;oRHPxuv#rviz?at zBrQVGrQIEC!?Ihj|Ktp8hkFo<`%DW3-?= zT``{wz_VC+TdATE&q*`#_+c(rILiZA;qGs7uv(_ST+@$mu?0<1@ zqB7#t^QSUX*+tA^md(qrV&Z`T%n)IC)}ZWxxr6h^FipawtO*5o*v%2p3P!of?KSdx zjcBit-)lsSP-Je-78JIVybYf_HWL)0$${f>N z^9;vy&oX7XX}M*kwj_5^-dd(jxx>_Exl3D4qQ z@dCUF^SBeY>-G}*O8RP;@lYv>3UMJV+h#KvS4gL3qW>%OmBX5F)J7#6y=3bJxs77k zVr2PPnhV~(Q?D@qovc4iX-@+dJZG@s*-Qb~x|6Zfba$4n2w-fb5(`WaQ7GAR5yilT z_L?B6$e=AhnwuYuR#jG4R{$!3A%O{br^D@H-3CZ0Uq&ZAZ<_r3mGhq-(=e%j>iSW$ zXWsJNeUJUHmOE_Oy{mmkycVBoY+b(gr$=5+{ry4wj&{Rc6Run|aL~+%drGY4vFY=F zGi~i^L6M$L zeoo0CQ||kgg{|SLurq8W_EZ+zmhy9^-MfGMJDu$gP<%NsTL(I&%TY@s+bF&wvMve( z7wG0nw!b)-9V#xhJjs1&5mZF1o#cfMUUEc9uO=rKG&#}egb8KAfYDMWLoOV0HMmH# zYk{lP#kfq-kc{Qt7C<)WQ0}Eexfdz&1v-@3o;ET=hw=qFl#@;>$uH7jEZJ4Bfz~q| zkx_t#!ik|IuCVqfzbcTw4wnmnVr83;O-uds)*n(oE;x48?v-yJR& z;7q6KfNpXhvOpyMd!J;(^dLGQo2Jfn zF6I`iH#;qyQ)LjgiI8fo0T5*iB4!a5l0C0oeqqVhZDu1)8lYDJvLR6f=(NlHmho}gfy!|)2ud0 z6+KSQV$~q8U`ib0(JU585=8=Y^4M%vD-wNfw@`oPaGXacS0OZ5P(&)T~|yIOCwt2D^}c7RM;XV#7% zhh5@$uXX7Tf^C6dTPY@NvIp`vgMd63EV_sQl!1;JM)s>Zr`Kjcu`mgMux9{ax52?) zfg>0Mt$^{PsheN^IM-JzWB1p88W{N!e1N0IW`^^5-#M9Y1;#_66dAe;0yIiE2;6~ex_O)!A&?awYJH_X1FR`zP@38NRr_68L zzF@x;zchbtD+U?)wQg0dP=sA{uZVOHsrLPTYV_b-QIXT3d1Nb3b?2A5nOYi;hzVGU z0Hh)8G{#UKGmuUKnk5NCC1MyTG`H=Rz&80a+^*w(22n&;6OUUg6qO0_ z_@##oDvviA!Zy?2;@TSiDrP<(mx0Y>cDWOFo6CKf2pvL#VZnmlZ3z!#aaHzg!elTyFH@|Z~90XYB7?rsu0liHXa?(0l# zBIn7kQ|p)1HB7*3y6eyXK$McIhF}U)Cgppomx|{c6s3L)+aDJ9#eSQc0{aWy3I}6X^XDJ`?ECmrS3KGvtJR`{})Nv^!D-Ky! zc!rl4DwSPylnD_Gg$whl!efv^Sm`8QU6N(M71U32C-LZ#Dvj3VR+#{D4(KLDQA3Cs zJ(6rR5OhG7fK45}os+H@@<{EGM4$GEB;q+}GWVd$&;V2kfrQK)KBr130d~Tgp}=*0 zVlfe_8b?uOSnJF{Y8vc@wY!0=0zg(BqAIEE;q+O=q|aibyiw(ejgCo@07N2e0Gp5Y zdTBG%bRmpk>%{na zD5-mGW(qrt$y#|ezDm4WVq{T~ddr(xMP?ILf+|#|AP;HYov7 zqAW+V!j%Ow{sAOc2(wU=Sh+w6RARcL5#A3+mPXo6N8vAAP~eFo2O+uT7w`d?7Ypw9 zBcV*xL0k+~>lCc`%ouU}DC9L!C`sp3P?QQu(RbxWjl; zo$hA2n80fciGiBnInaU6u}q!-u>6}Y8*h77dT1iN4%uLrqYaAtu(jN zT^@FLCKt{xB!z)ebDepkd7C+H=C+yln7=hMW|gL^nKp_vgU$5CPEF&-nN_Oe&Ai0| zdvkzscao6mT` zMk>qVN8@CB9LL>sZdcGJy7N3arMWNiCwY?1*YSim)0OfDG4UQcAK*MCvxgdOrpx6u z`f|Q+ZEwQ{Spn^COoQY&M|+qH?M$LO_St8&i#Z*u>*_uY`CZz3e+!(@e8-f_C?Od| z1X3x6TJmUn8?CCWuC6h@Rh9YCNDeRLUrs8@T`srF>2$c5c;k-sPd8|iJLgEieckc-lgj7Kp7qOU)@|bkKeJ}U z>JbjJ$(Nfa-%xT{Q;VnN&SCnLp?z*T^V6EkYVn5!0j;3E^y=noMqYL!AbKqzIvcV^ zLs__0e+YA`C6}w>260?nc6&C-&dv!`1g;D$$ljFA_p>Kl3Eyzna9>hPni?%h*R{Sm z;`OFkmK$6*_>N|uQr~mG=l#_FH}~JXf6e+NJDu$faix}0M;TXV(YfK425tuTUe-U@ zA2n5Tnpt2;e*h||>R@-1b67L4Q((9lIGj4U!S7Q%-FLuyZU~llM8iRN*%7$di!bH8+4I`(u?u&z6 z6hQE^#*L1YmadkCs3i=1L$iF=7r zrYg;=EZCx89W|f@h(W9^pa`A-t6;NJ5b5d#WA%bcS1)LE^@4%$6K}mlXWgY8PnOfI zZ<$}eN>XulV1RvqdyIXIyV>6CeuzB8Y&AWuJ?>LQlUJTYW;1iRCF%lGtLaJgfOJqk zpsFr)t@>BOm~$pu=37=-7z+l9(U+AWI;m!u)+V$aeS*$FyI`>>=;9m$F!fxsXz){x zAJ&(v#IiwyK)< z$s~Vr;jl50VMdpPX_wTs@NBGWp|N&Ic5A#;OP+=w4I9;jP1sFy8(AxD5IUDzh|*|f zQkY5X&HDDa_fr2{_|+Y|KgizWT{Ut2(~sXi=We{l{rpLsh2>{4S-oe6fA00aee>;O zx6&Fj7-IG@WTX``a-9CSOjuK%snRsi#8o+}0u#tsd9-6pU?!QyO_!!Rngd6(-{Ssk z|IquH{WHh6?*H=+W5AdffAG=0W@ z;rbDuHEY<(m=(AI1nR*<~zcI2DjPLa;X>_cMZMiQBCxwrNw7}93jT9ImXh6>3 zX(5<_q7gQuED1*S5xl|5hD$On*;3!teQDAqG^#L9)0idbb}`Rdl8|Oql#79kGXaB~ z!;Xu2#?($fzUt3Q=Dc-V^On;6-JxffEPZ0<%{T2>`{;(B9@~bQwoz9Q^N)jx?e$lG z^U`~-AEz-J1}qwcJaaoCbYO~Yo^MLvCjKVpIdaaUAs4oo-0lWfvulBi zaRn@!wCx(vG}a%G1$3A^O%dD6W=A0#!0#Gl$7VZZk6VYf>4OgHQWK2@eYJ_!f9g`B zqmuHAD%(xi&=S7If|7$$8cqkgO{?rS;b1!Q5=aY)sCUcV8?i&QJJOu zDF4H0?h`4_zdUkz{_y-w#oLR8>Tq>oUGZRLaClJR*znlG*}~ND)WYWC*5dc_zYKp9 z`8MC`cJaA%^qJ>bIQAb+QQS=5h1f681Ud{yq7I{!kK$Tt2io6Or&*OQ+ zjWxIKZg#i2*)H6n9^Hp#3l50 zwoCgLhU-3k4w{y((=>lh(e&l$?5NJ|Mk}oKDlzm&fUvz+S%wEl~+D(Vom# z_57UgPu}zlQ}oB9FTVcUmwrpTuXPA9UqaP#;3a`d zRo7q+XJ9=j1jzXWu{6~TLZyc8HnKD$D@FQh#P($9j~P9Ph>@1Wkenl&S2gsAi=L>_ zZj-hYmncMI7+5fok%Mt;%VejSHw$@YUiD*>2ziMhF=ML{BsGpzWK^Qd<+MhuMi_ag zbzR3TN0&Y~tYgXChPx6V3BS8H`S`=#lgW;CH;=h{W%mn^lj|W+a0yhOfKKSwO4W1) zBc)B!cBx%DDt#=Skpv`VOADk{X=sD-^%gkM7GD~KXnJhC286=QQ2ooZ61BrksgiTB^5s*be zEK68i5JN@LYLVJkmu?{S@7DHDHZh=m@UL4%skB9Gu_#uwsEyh_N~<)<`_7pOMC<$g z-ktf*_nr0L^X(u18BY)&<&Q#-=QFu7xq`pIH=gm0SLs`M=GpjR&&JavobrsPMx;_6 z@-yYHa&H}QkGipRKMN5QsLHQfoA6Xs=5WB3yLx+j`Hx?J{d^7|KYs$;`p}-i*|>(? z*+y?T4ZIK&Ci0cSor2((1wrC@!V4aRT^ixMI&TS@L~p2xQrI$ff=BoJ!75!bRo$uK zh?drxG)|*8s-`#6Ojen&LP29SrIybs6P;d4lUYr|Y+DO@yuT=zI?}3{6+>-MJJW1f zR{z0Tl%7Fr0=Si(x^O?MvU0mA*Jdaw#7u4_&KDOb zoZ!m<>M)@U6JW|`9FuOmg4?7LTa0=Nk}?!$J$6Y_p+y>CTVMkP zY{1zDBO=cWyjZPF1yP8XsLkpoZi9M)dry=e6LFCkmtt~CoS>v#O|BNcMQoN@lw0|m zgol-v#NYA9#na-4(m%z|Mlru5D#_xD0;iwOo*8rXHNJ3u%8wJVfaj@w#T>PD%x%wOS3jEk@Y7K)$xaBw9)`1ar< zw+RRS{T%Kb+&Hk5L~b6uit6R<&{Q*feQ%$@9F#!QsOkwxhLcqpJa$|L7seQNVi0Z& zAtLM&-VyjFXg(9Th_FWJ5QYREytzuajDx2qF-M;RnawVQ4?&qpMtb{yUG(;OBfNb^ z)|q@v&L(3Smj%QSO90RX--TxKU-n(pc_k7V_r;?0y38=;%1y`)7g+D|WP5mvV`HwI~;!D^N- zE>v&XDh9VXdLXtlghTAO(1qhd>^SIu;#l;!5Q~x_l^z$Ow#0&SNT&xw|KIH35IxU_ zNM%tBA4On$yAg>XYJVKFzr6pjKjv|fFB^gXJ)9$-b-XMTXGG!7m}GIR58v4HRS(R9 z3REQKh>`vg!MSZn0@AZ++RGOapycDPhD;;D9lYJ ze#kr;I29NQ@KM?8_WEqdxv=PSsV=wfE)K9fE5LFtjb&RJ%eJ%&*_OtPKr3V`D2G|L zrLk-a`k!Y6s$Y&Geh++SXo_DOllImV|w26rO(Y#xxIu7%8Z>YQfwSng#LqYXJXZRA3q6-D__4{%H^d=s#L}p|LHOY2L1=)lx zsX=|JI8B}}w#e6tD`Yuo*4Q<^sz8I;XgB&A0o$*~efk|{+cP8#EoH7S zSGh*GM&W5}qDwqxH3$vmu%ZZ!EQ;zMz5B(tu-81p4gTvxhoZmto(!DK{eAvv@9F$g z(V?iXh)Q)8yGV@p|Kgmro_xJZyI4o0R{2XJDh`4vZO4xBxG zvCM5-3sOw8oS6Aq)J6j*TI(5hs=gdAp#^P;#f^~O_D5^(zwWN1D>uAz%YysHSdVSI z@n?^(Z|@phA^h^&vuEEs^w3WS&)@Tnnt}7&qeotN^^I2#ze%ld+TaTA6v)s-VLaa1 zp^*eB3rr-9XFT&6D|E65-9w*v3^J`8>k`ZqB!@ABq`HOVb{!#oJi@2*5K(>!Wm z({PMMMu)-YI~uSvpGnWKsV6k3$7RN7h?MPykIk__N?C9CD6grjU>3-hr+a;gO>)&8eo z&{}LUOR|zwIQ}Zg`9?^E8(sF`6~7$(boKAIytsDHK*7&%Y=3O;#tlCmTtVcCGw~QK z?H;`Su^nGb;eK)C$n!5BJN`0_aCd-cUIM9D=oM#Txd)p(F5;8?6n-whoL|q2iX|(u z;__IE3vn{mm`Na2Dcvb!xiIR%9#Uv!5;22IMg$s>IifFR6ikPaI3mfP zX-|FO%jGFVmeXe2*>$IZIg~Xi__IO|nXhbj-}(Y&)H>WYoD=vZ$`=U&#T|Pltw>*W z^`yy@CtmH%=i`s8omTUB$<*|sbpyvJ7t%v#xGvzrSkCXqa4mJfB2KNSE4~j-G5?md8C&)*F_XSDtmmr%Q(2J)K2B~`KauYk4nD{6Zdf3DS z(>&knOJXK=x0S?rOl#h%JdzjAk$CzUbQ2ICFacA-@lXNt;W1hy7v~lS9Zw)xLARGv zvkL|?Ewz@QkLpM;N_PgMbXSlSjzJ%b(tYHrZmGBowmuzB9WBFU^euEp88uIOOxduV z0`bv4x~VLey{e$3EV-!SPz6a>bX1TE6JuPA0-1c5sa!O}WpM43pdUa9CrCdcT5K=} zY_QKWqFE2|g%f3d!_6Fn&YVKwJHusda2$=K;D*7-v#z)wzy!2zCQFg%(Ava|5jk-` zHenD1-`&T)I3;4dK!fMHLf#2t~|1nr9_U$jUMetw0lHiLG0=q7m*0x2;>-=Bf5& zTun*5WDKcFj<3!H2(U4hi+TN!oB8ueCfLW*-NxOwY`(E7_Pv)LZmOG5_T9O+{&IoU zskg7#ywc|@4{ba6;QSRY-TL|;@ulJG*Db5Rv?vg(n7(!9)SF5piD|c78<^8Nr@APd z=TVC*>o&J8*mdR4sht)N{hgEv46MlnK_1_8Cx3()>qunUj|7AxrMX(yGDl z+&l2S8KZM4k8THFc8ZU~+ne^IT;Pq8<4=;P$Cotd*H+rzWWs}sWsgtC9-juz+yXYC zN?$DCr{zR0t5y80O7Yv20e)6?QV#f;`}SX`PyDPt@l*HC>JvXJIQ_IfaZ!E@`SBq? z_Rq|vGRmQ)N$zL4WKHhw+|JygTs~KiDHj5Vf{_wcjw+`VUKtJ?%7wt8us16zeXByx zXYoQ|wTVLLyOo(iT6g>7q-9=L8Pk?klMBEvWj*36(B4oq_sz^{|0TZE^s-IAv zIC;c8c?490Mmzecp=YWDj0&xkO8vXbN-0ogCS}?X@N_+ZaTddhKR8N$K@ych!}3n0 zFqT9U=z(w8+iMa=e_ZkXI--qD^=cxk}GC__%QD#>z8QN4BFI?9ic-Y0#J?;B@QmsKKdQirvBXEK-)lDZlM2k8%;H6wvn!-?lKLgyImNQ z*naB^+s6bbYJmhKk${S5WYLS-S_*Kb$LAkk?Wx50zXwMwTqe zG0BLzX&L91I2YDquD+q;PBryXF3`R*R;#(N*CVQ$6;~71JbsSaYOS(1Ti>=+OXWcq zsW>u%xyb4^me!Uh&cdJe&C~RkiT>~pIbKc>z+p*(qN*~~D5_~$;NKg21Y|?bGTm9O z8t&-xmLx|d%eE7O(b zBvI3`4a4n5s`s4f!i!vMTpcdX)rTK<)M%56SF5+FMC~J2I7*X+S6jDP#G*aT6!0Qp z4Hy>(#`XBq_?+k5a+VSWXPj+o3qW22e`;iHfe$WNmYMy*)p6G9EY@zHG1B0^j6f^5 zyUiCQw^>Vp(i9pyBXgU3T~R$so*Oy^IqfOr9y;2K#u`x@bQDj>J}r%%$+=*0^3c&P zX)I;~1#=raD`ziYqo=y0XlB%g(R?-v2knDY5Y7f~b+lU=OHb@Z6Uc$gn=TxFVV|Gv zvxZLfs8K$O=mfY8Jf)SLc5I)WLZbn|z`HytxKT?sn60C(gyxD+3NnYn{E5d;ok|f` z!r{ijXAeA?<}07vzpLufeR~IcpM7%Fo8Vx6c-lHlzB%yFt4GN4^C!sWr~myrcp3xZ z(BHt*nD|fGIFw^xP2`Cp643=3X|RmkC{Hk@wEQgo7&bNvgDH{5pIO1w0^?A@Pv(s-YN;FO|!AlpI&CS%qt~t$2qfm)lqJEmDit?0yhGq&}oQL;CbzYlq#h znJ2h6l;67EGT&G2VdK(tWLrkS1&M`7y_=g-hKPs@sVV^*p^g_c0o)KpA!oTLa+0hl zSQHh3=OE=XAfCIhVYo~Uk_zI|INel5gBYs$5_(A?W(+A_gcOdrUUFeqOy|71&Z&yR zaYTelK-ZDlWMg}}Yl~i}8jD3`i=#qhc*YTDi5(&*_K_)$JIZY#g-yWA>DFdesJ5NW z1Ph22=KJQ^{tw!|q|Y=~v}Lum?N;*zL_gm!w#!UQGc6#hy0vm`Rw;VjfxMJP`K{%p z^g@4%1EBrxf|N;pyqc53g#{_a38#i5PD|Tblz(abNfA|?eik-DJw_NC^b$9OQ>EVd#$J_k zTn-2%S~@mq(niu1+vf_{C0dDI;u^1ycU8F`wzN{a)HBW3Vz+o&a#q+YJS%c;5;wYT zvTpX?oO6fk+t$7Iy`H{Q$4n=>zYUpKw&dX%EJf4 zB-}^RPaD7s$JKC>b;fa3JB%s?>I- zKj8J;;B5lnZJtH?&>5r+oxvl0jd$qnebp(ousY=iD|*kH*`t!fuJGo^p&2mb?_Z$Pga^t;@%kCqu$i&7Kwm%6z^f!(I1fB$0EA)Ql zTn@x2QmT}jDV$QLQHiXoF-#!*5e#urB#VUvTv1)@ zLN1zuDlD2O+$!nAMlS?IL41~6g%FZp(nlwyLf39LMsCNw$lc-o%q_U-zG6D%UXRo* z81F^639Uv$h(iH7jDm)_zJR)o#LTnc-D_u<{pJ8APAAN>RJa3BrX*_3_n}05-=Z-; zn`)Zw7ZM;t771872GV?b6pqUT4|7kZyoBDbo}pZ%tYi!E+7{f#WG{pJFenqU=*%;r zlp^~=m(t{{+n-`(m+DIqFF>yEqGPG7!eUWDRY4Ax##a{Pl#)l=n+Kb?r33%7`lglm z4@(@c0 z+JFZGZMEhnC_STp+*l9PRnPr+aJKZVe{F%Yt|XW6^SKSkhpuqI6nS4r^ZIyKG@(xf zGr~~_n5P`K2FaosRgUTCm^aGxk!DBNU3xCOH|Sq`FMCa`d9D_f&p1198a%W~OGJ1X zYV%Z$udMK8YP#wikrjGHh2oVfe_p%o=7xr%x_ibux6i4)p})ylOD;KbV^K2Co;mSY z?fCG@YI;{tX@ovFbKs+eM(sc3kc{ZF=e?3Liub?Kd+v+91J{~J)}gDg_4ENOO&Xku zrkLo9y@PQRU6>hu<_{Aj1@I=inr}xrQXbkQ%ty_5J6b@VLYpaYdC1{^j@H4tr=VX4 z_yFAl>#qQK2cQ;UK0t1EYzDw$fVs2}YxmQ=aK;*X4#mR!p#gZ$1H#K_IlxbV-ow9# zo)A;$2IxNu>kra-*#Cg|6nY58egtz%VC*M=o1y;*(5|0O z&ntgWpVxx=64$M+pSe|cqx*LEOU4h)Yc1Y-!dC5No=ZHP-ol(qbH3&G`~MoaGpOWp zp;UNB`2D<1`Qsw9qQ?uKESz4rrLeO&7?Wdvjz3rO!_tOPmzEi2cPDa2FCP8QCI1|A zqWsyhKOT48xQ{CjCTCYg$Cpo7n%Y>iXW|1BpR3g~x`O6IlSUhnga|TGIn@r{rBbg# zU{*z2vibvdhK6;Cz-l2&^FZlYS_In4(j3YG%Ys^jr@E=4M3yGVjjFOV593p^vdF28K?lJ%?C-4va*ZgovGGlSNl6=*S9h0q+d z3|)&h0J#{gyEr~##Tv8}Ek~C@X9-#l4s;#52}RK?z^hRWijG*xH~`O333>p1-7`OX zQvtqS1{+k72E1^=4GrL>g>2+OUd9JM3ZNj$MIjVMc_<%6;FSdk%25y#%4p$_vk0 z)qpz$!%s`Xv0-g3WYwxZ!XG4psRr^(BH|BXT{)>CKUAO>;QrtuFhrWi!@&ROwxZNu z(K0feIi=<82Za5=@Vxf~1HbDCC=(=j2l;u;XMK6cLjQYg5jH+- z6~Z?(fcDbB#I}fPvCknXAI!d_gX8Z=Pp0fpHO;9{V(x&+kPdykuUmIg27J$tflxN% zGhsF5CcMGNW#uVh&-s^!A`v{7O45|z;|eVC$ZRQ~NeyF3Z?Y~*Z$Th4Ac3q%PzP)W zpkuHF*vXIhJsI0od#uN7Fz1+EHjJoUz)2tQV{=!}Q@Af(o!o8n#)UokwZLa1zZ31u z!*mL1>E0_|682UCfg6wp4U4Znd{QXv=Je$v`G#c8HVVDtKD|R`<5Xr{$pZ|TalGj1pdk2j#Rjp+(thb<~bS0G2Hb73CK^(s}BDtFMFisbI zIU2Si)mChnPDM5%X-|g+ao|p!QKf;D?7tP>iLWB(ao_~m4ANK&bDRcmN1~sS4dBRX zoLooyo*u-*FwxG)3!gxp=f{%6+z)_k7y}nM04Kfh8f!zK>vBP$3PLl4`8^<`-NxlJ zywEYBlpYmC@9At`;Ro(baR6~b?z_n3?rnbG+XMn#V(jAc<_GKu7~tTl76k5R?m5ig z1_YQ7{QQge5ci%ukgt*vj}6UJ)#b4;%M`8)u4lkG1^x%A3=C$ZUyP^RMZi}!@~<-7 z#X{V8ta{5a<=n?hchd8nuLpc$>3ojgpSpI1hQ=v7Dxa>}MDRR|I9|>3s3CZQFI^_t z)A($#K{LwA&y8S&zq9-L5{x6`@R!zu^6{nLtR=!8AeZogfXm@@+mERl zU48rLYtUj!ZwFjUfgPecB3y6GGp)L>ooo`-$8C)U{n!4_yNjQlv{h_b+>nB3Dn1&` zHA%r%+NAq3g}kjBE$WlOxsfzbnpmhP%~TZ?>0or_jJq*wq3s_xkzk&vP4kiFq-(fO zF^N~?I$zX`C*&C1q>G0h=4v9TcN(_DOG#6fobi>zUZu3Er5oI=BBh7G3Wgo2yB3U# zDR~`eI%zvA+s@5nGl$_O?^{(Mob5_LfPGm)N|2+jA1>N6C==cPb1mzVe{v@1Iuu`-%d8c#22hcdN0{WH%P*0iI+S&6P z>)7JI6H!U%{CU9$;IdOT(YH6Y!&iT2Qe%8)_+kMtssZGkW$m{x{U)daP^>YtzB5(* z!OI5VW&zP{J7{5=Ht* z5HRVJ4If|7st0HK1%0HetFv-Ks5l2sCDX%c-~Cr%Nm*Trx7Pyi94l|By`BxWA;l-^ zg)gu+AQ3S?Tdqov19VnU=0{j{v=1D=sSey1LPy4GZup?>-idp7va5Zri0WFm@KZWC z^~%eLXx5E#^$p%-V_ay_?u5D`aRu{Cd_{X!gW=n{yIA@;xnb-tKw%&B{j=<80wKiA zm`8Xs)bbi3vAnlImsWLL7AYEUrpM$k}+U3lRC7qy26zI{jT}L zaKG;wmVfdy{;?SUOUu*$w){Uy%jjv}Ngsdj$A7NHtg_oT9t`8W*GC z@fv;pn(nWBLuQi9(vy&2i27(D#Qq|_-#~y->Z^h$$Z`}+1gU~8^5qN=K~}R+DQ^iv zCrvG8$&2btm(j1~k(rXuRu*BSJUz9a&Hz6+%GGIi+FZ%7m(dIj2}Af?R?Aj=y^jS@P5jPL0=2f=SpA!`2jW-r@Ah~O zb&b@EXzpi*-aBxZdTJ0~wxA`jMAvvP{UcFItwz_)(1kCx7we$$`=x4ZHIG7X?-G(oV~;~qem*OIT)18u#%t~sB(`Xf|C~0hi%RyXOSl$n_>xZL!?M5O zr~3S*`8p8Nm9J(9IwaWr{Gz~9E}6v7Qi8@5DFOzb+Vb| zIiN%t2O}5{dne(aiv2fSoS`KHe=bGU|NIWsY>jbZ1f4jY*d%t zIY^30A=K?g`8~L2SIHvbEu@>av&?hyJw$Q5qX$!&w`3JoN1rq00Gl(4;|7&8DYrNL zwk&5PUbWaBABZZP1nuX!$F_ z{ihRigvjnx1*xtl<1X~@{G2SLz0EO&xlNLlkk)e})~~hLgLfBt&oG@`R_HQxHTJ*s zw~Lz=$Q?o5zH|MElw})#9Ug}o?s4uWLWa^Jz_*_&IYmA#tdVw>mOzRM!F*s_ubQn| zts1FXKBwq4$rWu;1b>LP%0wT=aB)HwI>TILU*ciUYKI89hWj}L4R=0$s9sE&d)FRM zXbImINOGx(`Rf~-7H@{C|A_VHHIa-q*v3ui>v|r)iRi>v|K2)7hT0>u4u6yya^}<@ zvt{Y!`ggTdfNx}Vcc2mz2vtFb@I}&U8lx@+%=W11lx9IyLY_gMK^ys76&vf;?IR3^ zSxym2p`nGC_2Gr!h2mkNVrnrz&!Sss=(P2s(|eLj#{S3$H;J5~v`DxWuNAr1WNtZG^H}|!x}XWb#>=x zz3Su`h62e@my5ZFp7}Fp3LUR|Xp%A~4F8s0U8Aze4psz-#$aFs$-eNn&y0JO0mC`M z&KPTmQdz{@a8_YcN#Xp*qS-z<8!pu=8xV!(WSm50eP`X=O5zw#SPxpE+p71-y8$Gl zgOy;gV9a4TMI!e=%sutT6SM+*_tf{m)SnW2JY>8ZyMoT;*-;h)kHXmo1l&U$Lfc0J zLA&}gr%uJJL7)NwNJMIY#emK&NtutHE@KO!xn&?T zSkDXiCS z2w!~J55gx3DR0SP&{I_)6EJn7Yv8=^FZVT6vR;sJ=Ms7xmQa%f9yX*-P%&-Lo>7>4 zsoOlR4fg|K*xAmuPy*QFBrR8XP@-d7e!0~qPQ_dC6eq60vN1_#Wle7EAXm32C!^{c66Ad#- z?&3asl>!J3+f8&G5fcv$gDOPbkxou?8qU*@mb&eubovE0?_9Vwgl;4)EiNf4B_>hT zKd4GoZ745cA4iD+o7070Yo_Ty6?$kXE7)i@5H70WSRa#f10on!^1b9#7faD(YAh~{ z%0u5}tW)K&C!xbG!C0|vBR#HkrUm)NI70m6rZ1akPpYW~uprL#g#^xer5=M$VJtgY z{TCdj&`>I|ay2IpVJi!9SlD?qB+Zi&%czVvXpbp6Fg?iP;fhmk32I@Ss-2~2Zt$99 z)ck^GQ`1=mWms(=a{ajmYFVsb_hx3(>Em5_8Yrd98exjoip8i=mLRi_j3w1-@z*AC zQXN=L!UrQ^|=_YVKO}w$bZ=r#N_N)^4be1Kj5>n z5G(BIUt8lqaTvsY3n-V;f&gIzzcEO5plWwuylLO*dlU*V)N6!zCSQ}1CwC`xSKfT7 zZ#8W$Fi6f3YA(^hX&+JBpUt}|p>-;+<)Ip5DN6URQ_F80KC>-BIjHz*7ST=v?7CO6 zntCWNM`M{Bw`>#v&Cx(JhX_n^6_E9!ks4`l7kRjsaYcEF(oCJ}EfdciptR*Ql@aPP zs|C|;(XTHg4OE=i;=d+Rh^e?bZAP{0x~9#fucj$>hW7Q-2Ik{lf683&K$6J|2OT#C#!lfF*Y*sW%X6f)n6Kf72=a?wE2nz4%}mZBUY*5w=?swiA|MbckZy zeT`9Or8c>Y23D@b@RZ-rgVzP;UnI=`YZzmX~L16nI`X{poqm(Z7z#?}S{N^8C)AGhh*7B|qH zQaH+d{_d=ji2$dugR4!=2ph9)(&6=q?~08IA0A0$QoUq=&kxiF>NSQx1VGC~ z)eU=+9NixHaeHU2ksm^7T0#@-v%5$HO`_`Rq&r9qijW36aBB|YW$2ZpKBEamc@l%h z-WN(dp+*I39+S637~NBPu#t)gqVr1>KQTQk!N_*hDX&8*e;B^m$wH9pa>+NU%t;q5WI?F-aI( z;rkeLz!xP&23s{nsr{+BZvAWfP4{^Uu?W4vs^OIE-PHX_daAspBn3fr44|mcsfD1m z$zy)s9ZkDTXS>%27;`~MV&S+kffpPS$ zFR{;v9V0AAH)@bRSW$OYg@Bof%Kzsh=Dqk!TXXXwl1m#yInkP`(sbHInpYcxuoSOg zzl>l&V;*ru9@zGlxLAQipkcTj3g%~5Rn^lnR%H!d4L(FKqn}XQ{*`!{YBKXH`>7gB z>pTu)D6mHbp{G`BYV+TtPbAvi^=HnXjUUk986VCbu)QQNev&<-sUw7B@;sqhO0UNL z2+Y^P;vh(`s@9vP!{Q*rsjKFi#>HBuwrH##n>NH!CoQk7w%V~mT(EU<0a3@N5&1^4 z7}tepUK3|t)93w-4T~bppxQ8yq!Ode5Jtz3W@7Ghd7)V=es(!)x41G%Wk2GPxKt`; zD|o){qBt69CBAuqd}>O~<#1J^{kn>{fu7$yh940h zjAnntQ`i{nbQ?<8qexMuD8-pGij70llC)**XvC{CDt18(e!t&3^Rf+UBxt36D0$fX ziI1O705!MO;5J(bpbJth4&*b9ipJs9a32WTAU*m%k{L_K>A z)pdAvef8sN3M47Wn}8;~x;LB2oz$|=_vr9F1>wk3W4X9YWVc=VCf=Yp$JzJ2WMEjq z58wrJX_$Z9hl0coN0>=m^+MzLws{S6P~aZ!yYFX@M+PmFro(eDh@z9>!GVfgSjT-A z*mm)_5CY|=TcQ|=Ar9rUuVW$iFMf%c|9XtJ6PqY$<=Jh7zWIT?Z4+IzfP!;9l7X*R z_+mBpe!NupCTOk=x63-|6=~;NSE8!!7tvA_>PC$Wr9_M_if#6blqU7_g1Xt{_Tt87 zDgYNt^Z^M&Zz9fT=a?Tc3yZ`Oq`rK07vj^s?F$K^H`tnf z?IU(hi04CIv{az0E-LLO7qnm#5@K-Oh>9A$1@c6af$Ae>-h&Zu-AC$LtVU;~qS zENcs!4Qw!I{vog~z#PqfI+C%$tdSu(=DI|9?!;wbaFsU{gl|27HZf>CEHtE4qk22R z6&0}FFP34>U1&EW;hW(+4l~6vsCP3-a0MrrCZgkTSUE;y zmyBUq$f-lYnscH)WuVZo#fqee3m+)I7zo=`!}c?M*DyeSn-rOBSk&fYI3Gpq+CW{7 z?KJ)4n#e$t|GmE4=E3ggtID-V7aHB(u7TYvXm#s{A!yiUh6Log_PU2}dkHTbLU5-= z6~?@q)o2&=4%c1#^Q}U{DdH62JSVC99rp7yiMP6!30Pjtj|Zu_G-K!s{Z<~%0^l!L zslOPmtjbg?ouCrMg+T8m42M<;3toD>>N!JNjJHL`8Q(e31Ay_&U4~Rb=EdiFjPnU;+u6 z)28c6xNk->(q~L@ZL(eMfW39-M0P&2-H<#CX&LT6e;siViI?N2iiA&}@OH|2D{!+zmz1C1WXLw6 zjMs;ARqK5{p6Wn>!YAW~;$W>V%!)Q<=YXOxZqU&qDch^vveIFbrJWvw3;XuP_2SO_ z%W|I%MG!VLG1D=E%bV!-j*R(THCixcp@`?urPm# zPorldHlHZJ5)#Ws5U!gsIuj;YLd&3c6CRWjEr>W9f) zL9!}`<=C`D0(A%@M1UY>T?A@FP(N9aEJ^8ui3|J`tjpNPpE7ChCndhK`f?r+yqa6v zK9aC^q-&<4u}~J~wg=JU{ws~PvYFCc36nXMo~f)Ok9@S&wwIHefoI62j_pmxAm%&R zUrY9eW)=$ZhKOB}H!XKp+7w z_AwGOvZW5co8IzZTzVDfXBS0P<{n*Cqv6jUx9IQp)3QB_X5R4hD@7R`E@UdF&<&<350MRY} zC85F;&N1#qYOk_tegE0u)-1hKfYa~(({1W241~gf42KLJg$>08rzuMn+O1TulX1Mw zF@EzPuLG{^hbi+ML4nke@MC3EF0m`S- z;vZ$}H5X3}x$owJM0et!I;i}ecRNnB_}XmO>wFL@S4JvH`zY>W3;@|$Rl$Ia+Ehqc z6kVRjet$~gsbe$Q;<_rVA#s-PfUkAZtO60X`k=8=T-I|ar-dXGwJM6@PXxm{IdGI+~u_JT0aB z;l7;>Mdu-A`nlo<#xbn}r$pr@Z5lq}!3UfIT6PIP>;hm)1!V*(LUsX0iM&r3!>*iE z?zLL#MdN>Lgbd@Pp&}Ziq+niU{Sde7fL%dUu2k`3+V~5Fw9x}Bqk46&IgB1d4mEmf z$H0W{Q2Z|;G2h(Nu+jLgUTMa(Jxl_NevmohEyHZ@3$Ea8ranzX+WDUcy__21#E0C2 z4gr`Js=!u~MBC6^?VAyf>G$Nj#~qO-&Kk8vS1DgkH3oshciV3{#+RhoxEq4dyQ7XL z*kAMf1&*DbqZwQ8IJ6qqPn^Hd5Xb&vbAk-Pk+J{D>sGyeK-6*oZn`jcrZTwbIe2&c z6K8(uCh*p}cb1FzfiklB^g|>DqgI4Rb?-dC-l>zqLZzc~uTntUgy*2XnlCAgopJS| zqlcVwAooUF4ua#oZGL%-b_$hb>}9^j@E5i`8@ZM^{J{|=HYMiasTxWw16f1*p^wkF z$D8X*V7Z<+w4rUN4(0}JhMUrKFFbnIY(>o38M7=(I_<7Su!m?Ahsi?9khy!5GO!io z3fo879mQf)w=+S+78Pnc#mf1CrOzJ0cOOJbYg+jrC0`LtH5}YpFttzc!1|h&S@P|; zFK#7$fbxhnwWH-eY2zx(DPQ3zis#*?dC5`tY|oV6M0nm5+W<3Vf?8-~X}x&n)=csQ zXKo%WrSLw-)p~o$kPS|l?%B4>Xdyw)1f7emMxG{T#^4B!7Ph3P0Yf!{3XVfATR<-x z$a&SIc3~_E3i1|MCSsuEFNX}BJi3z1%w0<+Wi1m^Oy(|M6H}lqVGk3hj!LYOe1R0U zPbCuNwaiU8B5~wM)?aWyk^pVB)E#781q2C_xO|Fjok(6OpCI0F!E##|aJL;DaE~$P zd^`B5CHN+GoA6-?b*`b+R9&O~M1bm$Yu+iZsJlOOdLDHHHk7h+~!~w={-A?i{{XKjh`MrZ<@bGU4VOK!YKM22IzDo_6x|jHRN%CDvHjX z+HyIMqhMx@3N9%J2DH&GGPYH4UnfF69zAa=N*(&sN{pabpnu^h6Par43l(M`? zJ4GL(o0JTu?{dqkNUt}O{3WwyO})MKg?nCZMdeC2^>f#g9<5qqCS}SLzbMb|L=jEY z?-ORG=`IBbHv0k=?JOz=y4GcWkz9%EqfYcu=Poxem*9Ws4FzUV!Kgulz7=F&T5 zy|bq2_5x*!@HG5Spv61p@D5Kf(*pn|K!x`-077~P9^R8|42=H(`sm(~p}(LE1s7`r z{ND%~6pg%tuKn*z1zQJ$_W~%IKkzUi0QkkHV`uOVwBZAwI!hycfY|-FfF3;)0Oa}$ z(fbY7(a|yh@H;w2CVV;u05V0#z{rTt%Ekylx#(E%8JXT8J=XUe0PaP{@Q(uvpeEh> zfsyg|fdPt+mW}znH3L2~0OWg@_clySjQGs7fM&ET^!RLy@4d0G;i_Z)ICRyni@L3oEJ-!S5yKaDM!0cFAnf@G|`S<8_bO3adj+TiLij5gCcUm@D zz@Px702n75<9i7`6FwU~+k46T6*Ch)3t$951HgeEV2Ahq*_i$q3osj~KW6tnL%QEa z{9Vra$5>1N3jn%!Z~m_K-|WBySc>05OAF}X-7f#H<-cwJ=b{53%>OyX0I(cj`Jvv= zf1k}?>G#>bZ;!vO|C{r_SmM8_^FQ_RKenf%{k^09%i%vy4X`)54dao2SgoE^B;)%@ATggbpR6o{>=IZqR#a1 z>c40Gr9PmZy@4&@acHh%Zy;ds9=!kvQ!ubJvNr}S9qoHK1t5yyj|_mG^tA7R6#oU+ z9aHwOR+vTMxm&xd9}YC<4p9wgdCtiVMTVRcwu22$)F5{a2yI8>r^a^rl+d&a*3iHmtr!WEMt7@rQU2^ zCo=9hw9i)DPTQ^4J-z~U!KHU~$v09>KlX1YM&<5O0oCMGcm%!bc1IHdDS&>6+ga;Rl^GvI9Ee5m@{VL4Kg?|1i0-%o$gCk;_p^e11cyk(P|k}vVW6|e zYG`~loo{-yMR}eVYRbITuIF{HUHWvdX9*A~gy9bw&Vd)gnmhCSuCcj{T$FX!pl}I- z;ePZQ?>*dhg`Lx}h0)#h0$->5-rZ{^HA6VPq0mDVhdqacPm9$duJQa@44vX)UDNIk zI!6&D?I#u+|0Y51F^L(rUyh_VPSkE>uxSrrLPC`n!5PXXOqMH7YX-e4>{cu~QT<(k z5}u;?hg>~IErNy#_!kv|2}e=|y@`73GX*8yGeofx5m*!AsAw(hUKjdM`{Z9g<5`c= zJjZ)tG#@3_$a%sT%gSUk=a5IK{6H47_~9rAO57KOQ6igh8@fDDSEK5n_bv%oA=|AX zBfnxx^;WuMT7TjWq+sP37HO-<*T+x|L*_FjY8}=}i>fd)=5YyyiTTOe!7}`0PohLs zgi(r?yTYHDM|zNYP4)N@Cp+^e4F)aN@{sAG>4xrB|tTv|M>hk>6@h^MFX`>SHW z`mte6Sf>WV==oPhQA@Li>PR>{x$>pM*c!#_y(or$-uA$AH8+!#^ECoDh}W?v(|$W2 zeg)}G7B<8(P>u?zW~Sv*qFsq*>I=`pF_n3S619)Q4MiMs)`*=FzSw`}p0db;>LAfO z2R(LyNQXw-kX;a70x+GQpo{hxop7d$w(J-!ek@LW*je2{)!;4U9K{X%{N3klgzeso zoG72j&&XtRx<3q+I!T+BW<8zdE`g0@@7E}^Ve@q|gJsK9vIqa%^=SBY-3+quWgW4b zuCJs#imGm$_p;G_Z%Z;3bG}Ay8D-{?5EyK<8H|p+aO~S7x;(tP&f`rqZurV|gh*8I zwS*vIPzQ+8POC#^cB+*dtVeKJDO66|x|zSd-Av3`mHwA`xfmIn=5x5!#M%8>NyIsi zg3fljLufZTZBDxE88QozAt!!Lf=~SdaT1+Vn53_|x*uoGC_?2YW2_Yn12%7xcLNjQ zyY<;RMA}tVQLbImsg=prEn=qGbLYTv`y|F|`LbpX2Nc94XAgy}wsyZJ;yPtUIKs1K zF{c?-vK$g&`I3bBJL7$E`E?RF-}cfGUFLp=;8HWu-@fkuQ7-qhGy$ws{vT4x|%65~3K3Xc6|5v+FO_=pNl9eGzC zaVZeQutl4MthL|fcEUO8Y8}RBAw7F>bTkSM)1E`P`H*9%iQ)^MuL06gb6~KE&C@3S zglkya2MC(0bSkG)Bb9eMt=o~vbU!alhLL=^4%D)Bei(@=u_jv2#L7$F2Z!6P5Ef_^ zZj1N^irPUvvQVCcKrCPuC$O?bbhGZMm*`K28iue|;)v|_|Tt&tfNw-0` zPA#tv_Yzx^oM<$jKH?Or7AhV_MA2~l`Qg-&;aeTMJ%hJAcsy_07X<}x`BU2q#Z%17 zuT@)1r-i7!y`qQ*`Y3bMcWt$n}q7ySGI-reLqDNIpI;PF?o%+ItDV5bj z#L4TMmI$8i?(VJ{F?Koe-76w>Bgt5ijzQKY&-Y|Pxt{!Y!o5>Nlg>uv*&N}x2q-^n*pd zbn^C)Kd>mxr%x;}k2lG=ihFQ(%6HHJ{hz6Lr@5f}{(3J0=)yZ9ve8`mmGajRuDOX_98c1-KL0TBM5?co*ac*a~%) zfVc41YHt*fgpV>{A|OdXTg}iHL_1+ao&2v?k8@md_y~ zBJIQ+Z$b4BBR>c5}qSy-X|YV&_O1M0rl$7cfc@s}_%0$g2s zfZst+5AdE@e#`GP;A8%Kr0;wBU4H8TaH{_*WBoG?xQ6iu7y|Nr)X+WP;}Zhx)I|EKnQe;WXB zV+Ab%t|Z`%+3$B}yq0z*e`WmPp8nHUe)lQ=h?W0a?D`*BfBC5Yd}#c$*&p5f@viQ_ zJ|TX)*$n^UXHzi&V(6(D0NyKLul{~R!}1Sbn+*`H{@(_#1Kv{n&D92^|K@4~T=ai& zwf`*ypPu&bA^88Zs||QV_g`FXz|H+{u6A3a%QvjqW)}DH<0auI>M@m8;Rb#28md77 zBy1x)KA6R0uunz={^iw@kY8=B!QkXA4t&@2z+iH~5W< zaz(SFx0}c_0bgl$XkKMm^X1llEnZ?4b+1hZy+vTKmZ*P=IE=MI~1`&&Np7I*IO zhnWQW)%;iiL#_z6U9MUF9@cjIZ;!bJwkf=nzOS5ozNg|`*We4E{Uv@n)P7KUdC)5z zgIl*JMB}rJn%{#5k7| zShD^xfQ9y&Ifu+t=p@)20rrew0mt9;Y1pjT+g>CJW@({5^J8+fAVtVfsCjT&D5xdr z3U&Ev1m{qg`%n}JSuh_N48!+&(kk_1O=}tfohHz0u1N^RH^{p$P3x7EMVH3;Xzh`=J!uuamEBN;#>~e8FhM$k zcHgmT_j#|GTM%Hgou)(=f-J8g%>8UyCV@s9R9=9lE$!WIAg`x$rLOs}DLX)DcrnN( za;H^%XwFI^dsAX&^=2EhZmF)RBbDy5U1VJ09r1k{vaPzfH3B`gKE(Abm`!cp3q1pu zHRG*z?)WC)-l9EY3Vp$GsW)MwR;)dou zH)r7bfR#M0prs+#g%d(T;uD^3DzJ z$)E9PuRa|DSriDe^P%mlUdy#ab){`}AaD6!P1a1%Y3|r&H>;8yO*_%ca zP^tZ~s1;@X!@S?U$uprRMrY_-Fb@HmB7|~`X||XGDN|63e+x>5ThaDR>dce9x0bL~ zZqTM{9JfH{7=EBmi8+JD)dI)DVDtPvF;A2>#q(Be$nfKE$1snAOuw?KVsquC?ymIg z?(EUGSGpN*Or3&dodkFga6G>w(XU$s*HHH<>n3(D6a}=@lYmoEIoZ+2;Rs)S2mD_IhN5Cq}diN0b2pT~bwbn1FnO$d_Jlmae zK)RvejDtmB@!6qO(^96?^+_#272-vb*03`CGpMZDgT+QgL^G*%>5)^g*O;!~J-@#a zydb`SzrY9TPD=zHd`n0E>P%QInPGARi+{}hpIB~`}Mi-{augp5j@fx%2 zDm3}{hI^JrlTTCWnV7-@V4CYMks>=*)pTU^DDq=p-_SPl|og zz}r$=2q|5D#z5;~3eVV{5K#o-nOS&)&|iqs=Ew|s$~Us2rszHW=5o2mcKg1No@PtQ zkL=^w3?6_CbRl*M&Ff(r0dxH9gnEQh>EcoVVJ(MV*kNhH;QaB@b!SA*Ll{2R(Z2@F z`ox?LUYQ_haL9D7;{^J%4Vu{n%el@7*sn2{@&>4-nmv3T^o$1NHE$BV1IC~VcwrjW zZW;WwM{pJx4Uz5AY2z&u^vG#DYKln(WCT-KG?247_5j525aP8RwBn`+re1h*b%pnq z#hA{~?<$@=3gpKdtR@)u9?!-T_YClrdPv7up3WR1?G=98g@^&J+-3`Gdmw-c3-DV~ z$8AB$5TkC|H}oo-`5U>YzS8a}nriKM4n2#SGVRT-5Net)jyHVvAJybMK<=Hy9e7N6 zmlwHB*-B|$HWrjGWY2})LeGHKGJ-te-I057uZ^0%nq?;++ZmHyacqps-Habvb<2}> zBk@3T)&eaYiCxnjFLSzL?~?DyK9$(*1E0%~PCm>Img+#B%_%J(c!z240r zzg{`-yjC#dnyuxkCUeM&46K_$i57|)ixkmw-hF77d3`(<$z){Djz=@u{Zwx5TSM6k zEthDtZEk{mC$5=m*I>S~ILm_-xNJ1(B*acL$C5Y2-3}ZIP8QKZ1o~9vMluH#*IMd< z7XXGNVLF4ByvlSKF-pRKp0B5AHWzi9w!X}?Of%vEdmy{gOkLxMEI5u+Po{=8Q|w_F zcZ9uLKOvGBGn>Vc=W%e6?i3kfY|*K!fv4K}t7lEtRTA$ZP|@dX9M?hH>&KM~3V5T# zt>xR6JWqS=h+VNj35SzqqR?I1`cr=)z39{9w{Tf<=0dMM?ECZds(@8-;(JsFGwn07 z4is*(Xov4ivr0}jd0}mTQ3}$8+KF{`CRPHt zR1ZWRW`+>AY?I^A6>bBiVrw)!CL(7@<@FY;Mhy}u;RQ6P)Z9-J6eg>p#ZSJqPocx% zgjc|Dxzp?)4X3eunChcT&7`>A^NKz2W0`9YJTp#6b8w z^zBIo{`e%N;}*uegEDrzT~9*LESsL)-P5X^I=lY$MP!W3BUgdPg`WGRuQ{9(Bg517 z_U09G6*5t54*`S6UT((frY`S=u71PN;_F7#?Ko2*bXv=8nQzH(S|#@gVXt%zB)|fB z;2@oJ1~7u|GcZgM%I#(LHf8 z(nChaNnsDXfS_hl?4WXOIYm5w)4$Ep4CVKJrlht#52Glk*vJg$3z?lb#qCG@$M5+#~~#EwGZhE54u zjtFMFLWpjV-;sJlb=D~vqu9@2L>u_3O~^IBC_T8I+Gk$IQA$nG0$Np))|6!LIP+57 zAb6_mg<v&kBF0pcd&Xfn=lJ!oW0zo zpY_v1)J1Dun7|U2@zYlQRCXe`!uV+kqHHsq3)+mgIIB7DhjT)Tw~yPTG=p=ajWv-0 zE?6$|;2_9r7SB#0o7)N^Lm!t`W8#+nKnrRB+q&8@E&s5AzZ|l-S+%xz9|`+BVI?l& z2hSwpn{e!)Y;3#F-(A54vP4Jub3f$Z`k_liC^S!yl54Mo7O zzoypHtlIBRRcw75`6Z*rtR_)EK|MMmAJq|srcG;hHxl2DrwF(DbQ<=i>EgBCZWfp^ z(0_;5K~9lbn|BGx2E9?j`n~l`GU*d#Q9g`uuX|2cF!B!yh2VL^!LJ}M9d@rv- zQeZu+zE6y~NLjzDCQ+hhSZ@J78(Vd*qrKRROIdzP+t!CTz z`4o*MQPeuSaACrLWCa3gID5UP4)u=Ktreq2IQSLK2kXI>@Z%fRN{7KimKD5#Fv?!sH3_cv7lJZz`D{c^!##(_5?`rb;}Bl|Ty4 zK_zUCuwf~584Ja*)Hx2B3Kbe*9D#Ox1Hn48w`uOlC&4#`H9t(4|BcwY2RGPui)wRQ%8H z&ioICOfmj_c%u`MD^B4bdZW_)jOdR!*jZa;)~8i#09I zOtgi|bDtJHD>Ba}EH^7IHFxnKEh4qzo48$|ol@T#CM#B~pC%YOC*09rjAoWeReC(r zHAn+rsM%t|2r+xceGwz49f}+5BQ49sQK8tC$qyV`iw5%W_x+<;BYWBJ8qw;GHDX|S z)io_61}Y};0sZQHhOS9P(=wr$(CU0p_(ZQE5-{X6G<@40L4Ix}7IduQbLgD>GBnELe6Q z7WY+=+47S$9{2O!0o_IV%2^3q;8fT3QbY`OM3ZpvF;zEZiBb0Pjuq>#wf?)J8k+V) z$mNoZ_pL6Mn+++<DyJOz;-w^pMr{I>R@QU7%IU+{RPlP9gteCks z7(aIj0;7r0MvgVWUDI4LDCRM;6qw*}ADBle*Qt5Cmcs{@;*;uMl>u_Ag>LmD$j|e* zvADVKjLw!VVDb|~nDIZxX14Rgm;$y-$o(#+JJr&kk0(LU$oca-%W-S=@0~<>4a`to3tcY4SF;LWn)1{TQN_1Q*;i9_Z(X(e8BaI~o& zs_k4)K6Q6}JZZH^bK6f$*Ff8qW_nlGKaEZawcfw8xh}4~!{zvOb;f&s%tVi4lMhq+ z;9~yjceoDo;eA`NayxOl({}MmKL5p2I}fN|f@7;7Ww{gI%i;#0b&2fur&cnwxQwBk z#|jIt3h60eZNEcZlM3KSaV6O*j&@VioHa}i4T_x-hmS+y?1-UB(>2fuO1to}=BMh_ z)GQ7v_lFXE(}wW-|iD$mlzaXFhxfQdn%Bkti&kKeb2J5Ypj#(RQ&|H<}BXU!h)HY$Um4IUyy*ju=WW zJUgMHjq75KQT;r}tCD7x1R-=GK9@&2_#wH0{}78h$Xsb%GtjKHM<=Fhn|maNZxc3OU|GMC(LWyE#ka^e?Ua;;Q1aD&gHTp%mk4!VWe zMS3HD&+yK3`Zn7IOUK-q^dTkG)MqS2dtP8Iaa}8O5p}TwJ1fQX>fr|kQp~P8_lZ`y%*byesGlO`_C+h929gR*W{`X)MW0(X8KOl6&9=y%CSjEQHkP zh43ApYRmqP&D1PV;xaxe2x1YdZ6PZfO`}O?EFz3m3K#rkSbT`gG)RsV;UD4F2Z?xZ z=T1=|Ef}y&E^E!k=7B;~Yb}D`s)ktVq`g90uNA{;!qc1W7hEh)f@2kG?AZDPM8Zgi zGlXciav^iTToVe|0d6t1;ZE?`O&a>gwfe)ow-^J5Dv$f9LZL9-k8EBFw1)hmP|M7S zMBdP26pdko+d*UyrMApFpPy0bX8R1I=Ih)CNPzz5!{c5_g0hT3gZ#e?3~t-jZ&R57 zyb*ogm+Ex3yr4W^U2R{_aXk5-<|aDqz`C4yf*edH?AdW6nu6Ho)nXM-z}4(Ln>Snx`vw;I7^&R`SS^E2n>I3r(X8`enCs~!5)G4v+!%@)nqzi2PH&Q% z(eN+KR6SKa)!tO!IF<&}6+Ya!8dhpo8do}p7!j}_;EloRxdPW<)@0Uxx2QK$$Lx|^mPHs;ZgXN}R{{LT^NUhMuL1v?=_HF!{V zAW0uNkjRHl`>d7hk?fK3`kGERsgv4MYi}?yuF7OXUw97YLunYhYF1c(A=FIx7JUr- z4x9$wAZI+BK)2MBAK8*)>zYa4_%cg2-h?RKLc%dYwTFc*1n1o@)9ZnisZ9Bsz{BP(`KglX*dY!ypV5Ec zJ{qsbE*;2^18LG(h6GLvs%+^`5(Y3-kqo}#G^Z*(Fldp41j2~X*B}^`le%fL0z6J+ zb(Py@83ddlIb`)Gz7bI4D9#WNk>z2*;z`AgX@<8^_jLo+wuoZ=h{ccT%)1n286c%$P>kAwdjJc7NgORag@M%C(> zUCl22b(H}v_c?*O+|=e(k9QwZ?=>y5HkFfhw%NWj?m6Xi92iaG*ZH=%v31;IVsaPk zd`zBkrS0)#2eoM*Ot1yUc^xw7s?ZGV0gYb#sGxi_w+T#(gCtP-@n+zZ>Ud+C+b%t( zN*$Ik5)-p0t+Z5wWs@JNTDJ#Y;0k-5%qX zeHS(UwY`Gxmh<=#$}@<&vqHWy(uRL6$BFM+2Y*MLjJPILjhNQ8(6-r?)+WnG-9V*7 zwa#-pv|hyB5q^cNdP2we#G#>bDIj%%dX5yGw9h?%m>F=Gv4WAZn;R=g8bn?@8}OD)^|emg_)6-qdyQk`QQiNe0MRm`0BI|)=@Glw=jR%yr;EDge8@r_2VaD9Rz)3jn{wB@UBSzW@OfhSQaL=^}HVDvWn9+omP=wry8VURK@Vg)_qESC?NijRU8 z@#TPJaaROOJ+u*7+sUq6&-gE+B4Qi^BL*F##+Ul(&7!IdY=2PTVnbo<$r{-iuH&v! z%q*elnmoBA$#ieZvOzx1k6%X8^cbOr1XT>ilYV9ju&mfk)JH@*xt}4xgEoRYk*6fp zOeGJ$QQc{du(2S~NO3!XcCmQH6q z+y>P{uf&-sfX|&!m{A~9>J>&96F{i!C`RnTC|3`oVtNWF#D>_S5fu*9*f4>(oDyv$ zpqnLjcI{6fHwbxbl&rO8m)32L;RbL)Y0aIQB8qm93ZSWt(H(UZ)i(NFni`2Ij(>Ls zo*wSme*7AE7j(5UdwX|M#h_(aFYgfXiRi0e_}LHvm7P;AOiyKuI{O;tdLCmN+ z0)G-dT$pE0V)fL7E^HJNr$~6hHW#04!@xKU2cOxQ&WM8ky~eV-A4i&D59;Z`3ax`t zO}UifqELJ08er`D0iCa5@Q5`r1`pqWtmNbsB?KPVwzD@2k%0|QbmH%?$YEuBpnfgDg2MtF@H-X#7?Az5tt z{$@cedcbRsCE}=^E|;p6iWpk^xYV4?8a@x{;kUcbSr)wu6`UVfDc}jPa-LlK!}pAc z>5m~m6QnyN`Yo6iKa9Q;F3E+q7=VRkWGnb@1qw+ouMZhv;vE5I2wT8kf>)pMuqk2B z0)uGq%zcu8apX@Cnl7W-)=Byo`l-2v1YBiUFd{L=6zw~32#s$r`bEKpR`L5DqYtrX z1j`n1hY>xz>Si$1=p?Fy@O|=DGW-s*Ed@)(FX$~3Wg@a9$&O3=7@fdia54+)HDX#* z8eT#a536ES$%%#zl*lnAqON*An~}aUSIwcKW+T19#V)^mJZ{bpY@qGh=1xCWO|oPf zYIN{6>hh{-H#Yxd+Ntx#L*-PgYq~!iV!~}M%(`ry+wD4rux;pNwqQ~ByjnbPf#Uru_gJCDeRd&b|4O(Tj{eY zQw=E(u!SSP9dQP0gU7*8fGu)OOt+pnzsEJv{skI|w!V@W5;}mu3e|{ z3vM2$b(ja?G3NZ~?Yoe7ePb|Z%sgzQ8imk%JtA@PXW=P2ZW__YJqu%GYY)x={Dm(B zlXcUAvPJx+;)G_>=HBJ-?Oq9pFJxijH@7*c?V=WpfkR81^R~(-P7tI1QVo> zFcLBZ@(Cdp9v0>L_6VPhXP7S;rl>--eAr*w`9esKCp>`r4;YbvfR+ZL$+gSd@VNv> zDF}f0)nmj&Q2^JzH;j1S@66EyUyUx;CXpM4$PGHGR-8F0Oz&W}@ZLY@`5Z-;c;IQ- z#&P?3Fg3I7S5sHNF10M7LrI(_5P!W?Fg?Mww9JF!ryU^^11F4)`B+VToCnPweZbAo zIROYk_j-;#+r5E;$-Tjkk60L>lmsbc9s;wgP3G^t9RNZM=u5#NagPb;zXQ8~H^D&h z@dY=mPvi za)IWG3_bw^kDP z#UGx}N;@~hMXNy0wFk6s=D5oijC_VvIZO|Tw< zvqX&S49gF}Nf{4($VrixCSxDA4;f+feF1g;rna+%fRi|F)s(8A2=x4Ka z6VW&k>O%n_v%lypJ7<`4p`W0f?m@?j*u(R+E&gMaL4hULZ-c?G%s~^5B%`*e_9@~8 zHcLYcZ4uO4@c~U4WpYdFqf!U# zE`rCU-!YvrH+~m<){@f@8nAJrlMhFkUl+Jm(^kLtpy5A3oX9u(4|O7T(^{RR*cG0u7&t;lh?PiwBz473V}pRBMT5%6U}FnM8@j#t(=#K z+)v_Q{p9!wnl)e!kAp{JVJ5Lmrtp@}&zmm_sT9^Z7<0?K`_}jSatHI%_K<7RJD4nI z*T5oBb}w)ZC)yrjNg}*f6S|}djj&6`Ev8B z(&J-+_eciwSrX9p0+2`w7Dez_<$V_Ptzaq!N*Qm0^kLH>=|hw|-_hd3DxG7MOU-@d z?M>>EL#Hf#{nX1k+^MW>heL^*)pf^$Qg*;2TWJeT5BjwHm&lsU$FClGfQq#|&$zF& z17`Tn-VED3^Nj|5A)MzWP?~m*1?`R9Yema4TN)POi`uJeUh}W)OV3w^om^YxFQrqt z1&KY(E+O_Qqe1+mGJ*JnE^I#;Y*3ZXB!}F}35jCM7F3&xthLOJEOP$eTpv9K^qJcZ z^lbT!Ps@c(obZ8c=Qly#(z1bHLEDnA5oqX}`>Thmpf{tKAx7%G8V16-_5HLvf&3Bv zl)aLH3Oj|pkaFo+FslOgA|)zXOO@%Klgx|}reo4MtVT>}VQ`Og>zJpRyY2|m5oF+y zWAMp@82~CINDVkdv5LW!_QJW+tQ-PqzNx1GT%bar0fEoWRj{zh4rW(?a0cO6=ktY$ zXtD?&jvzPJEJB6m%Glv#t)PZE5=gSKk;G{|s&~uTGK=?3>D{XH7A%XERGcDqvs4-p zWUYvG7jzcs-QB!gM`XGMi##S*tcF(%X&_|Zf-6sMAFmfwb~X@PKA*it_@764A-ZYn z(K-4eSMAB9Y)VYtb?$fVR=8ZBp*L&zhM!I3Yn}x*r!x9PN6LpYUr?JyVCgZh$8U=@ zE809yBly;N3IVO*tBO4)kU^(yqL=<$B*hEuZi~fB6??!HE({%iGB#S=Tu@!6I@_$& zo@^qner}z)+uvX~1@dh3Zo9L5Sw20N?^VcFb9RkiC1i5cZJR^Z7~-R&H734~inNSE zzmKk2G=!ryjG51zIlFWSk^6Et4~df)0mFqN8rfq=k%Y*P$gkqAVS5sajtpNHfO{qx z#DdPKu*7vYZ`|s>fTksvY|c8mi3E3-n;8S@<_ewxp{Ao1Le@|pJLr#KpeetR0oozw z>IWGF0zK)IU*Z&GC>OZ;@$L=rY#PuVcxAt`?8Zjx26Ar4W$$$z?cL2=OyuLwYiy?@ z6pA#4PL&%lM6j8-nz)*sREF4VEs0Z*Yf-Sy4M^Q6*0Ul%8&SN!`yS6g`OM@87YXm4 z%J9=k8SRkB#*1{UcfVJY=w{-GN0Y~prxm8%2a1_7?|=uzs9-zXj#U(?tf-up^VA-l zQ{Gzk>QB}%UbWl7Wfu%#JEfDLH@AXm*97E6seF}dj(O4&6Pl#3iY;S2bXxk<21?0j zw1*UXm`9q&6`R*5ZR+bz7$~Rz%BBEUWS3qN*K2oNY6Irb^J_QD@mTyA4Px%W@@Nh=)@wV?29zy-U%csAh(xr{SN&0H?!Oz z8EBIAuH2b?#VjO>+B9h~fSeQ#KHucRsTBE?4yN3&eogv&cOcP^AUz)Qp^Qd?GU-rL z`2tjxEeaq}==>;Qk&>qsX1T49JtYR{Kb=}iiP|KL2NzAgLsdFTnoN11JoXlLJDkVc z)sR!dI8J(%0$sJv2X#DbPd$aH7STmC<198}_!&HGxXBHEetOwTo6al5Io)nnEq$8X zYDY;#F0|@=Tw4wHHfq(kPtwg^A9vu{;|KV!#JqG5zq{9B%Bf47-tMW{?DU^wiFKXT zNwf1bvMjd!`+k1=$@a$0@aS|YD5F0vqVz(m+Q)gQO=$T_*ZtCr?ZCrm<#^KO?v@sP z0C32a-)&IH*qHz4!h8B9yMI2wIe`KeYXU!G!Z{&DGS48EaYx9KpNRRNz9m`D7sPs#>Mm6`$% z&CQMz%0!G$_9!nDg7Mnll)YPC1>k*|ELtoEi@ek@qWHnWGL-uId8`cnodn>0Knspa zP}^+tLNc{PBm3ohsL78kqaq`d-z{+#l({OR`K^4M2)JRFVcF63ijCxwWh7xpK<2hn zR_*mbvG72%}C_II~m*=waZ?^H^FP~uCM7ce=OL!{He2l+bdBUH= zST7)v1^|NaUUL-C~pfJJ265KV4(>>?omyvJ* z0yBY2n*c1cp0Vdse-6Z1r2fRHS+xKzK0ml7HVsbPF!yyLo2^&0;c$jB+UUaE->j9L)*LR-&`eg^W%CNtEb;akUvn1vX%+A}k zNZIl}Z2(ViF1+eoCud4?6K!ECSrtF~?EC96#MDwaLJDY6qyOh`Wt{y>rLe?fr7?}R zs?}M|6q-rdwZ4iA!fXYqIkIpnR5jNNfdpFir}Jc)n1IQ$dzW|{ez-bGmmo93aU)cgz|?i|zy1LpC>qaowYQO5Ad+mC0(jVm2 zr4($$lMznubP-HSVDo!Kk~BXIpsS$xvZ#wLmt*ls0eu~_wPEoI{*_xdW@KLV?crsS zKbvp!2_2mW2I1-~ghG*FCytjIQju~Wvw)XNemNbAML>XMfkry$khZj;Sn!fz^%p^Y zq2LO`sxtv26>2&#=ucB)4a3>WqCAs^AmuZnrc_C&(3syk-TpYz)HVy)ZqWuWZBFU+ zcrD%27mr~}rI|_BzRZ*ga~QOx$xF%wNH!DAyM9Zvs^v+IZD>`gs5-6mouy`oES=`Y zh(Ty!5v3yf%ON<`@A;pb-3*ooOt()h?!(uwTBrw|Ou~FF)JPKIU_oO_O_h6{)e&Yr z;G(kLq!&F9kL86w_=!Gd5-+II`G6shOCzvoiq%Q*SjGXZn-|iI0CPbQjKT#Q(J86i zYGKX+Jj#MfD>P3XH3dj5oa3y>G$rp45X^2m-<T!l|(Z^s>Y7>GXl{Hev@OS6zvc*QSwP7Y6c@plg_u9j3w2! zie0Vwxj^A7(&Rb+ZT>bfg^@`3i;Z3*nsdS!G-^z|R*=SOPO(AXK?H)7IbM?lLk1Fy z6cP&`;`^+oaQ}Tk46iL}cvNlS;kXEdU3FcDMZTd!QQC#G00w0#1fqn;C_FB$D~q^C zcvTpB6I)$o5if;kJD*d|8Co^M7=O*_+$DqsJg}yq_@xDAokEDTSiCZ2LOv1nRq396C#Kp; z*JzC<{t$7To>g7>GiX7-KR(SfO2>3CD56l-zyT^%+%QeEKx~@qy1Amzt@v2O(9ZJq zMS{r;tNEH|ie>W70$H(8Y3RcKW%3{pf;N%Nq^D*kLNX1&6myyLsyI=?)MyQx7vZ#^0jEhVxDHM@5*j|_G;a`|dZ4ZIfr#;9!NhVTJ_f)^yM-TyM^ zWf3Apdl?#@7U73;^?AFzq#{XKnQ^u?3D)_2lBN++y<$j@EaW_CQ6E@xVZU2+d4S8N zTCIin=>IM?NE30xq6OZg3Yn}UP}|scb~86}_!63>z`t5@OssE)hEf=*l#B*|S^9&s zfb1wTeG(ZKOxz2m4)-<|MVZUOxY0I}iwt8BqugB-wnvFjRLKRag!4A@{w^-%14M~; zIFE430EGpV-10VR)*0Xjqx7gbObA3Rvzl-(Xt($q1WcvNN^sV*!!qIW#IwrA?DiVx z)FU}hcFCDzmHlS;)xt$>=f%;0rIR0|E6}-1$PLw$npYy$@LkZ!${!5JW2nK#2*7X{ zUfDUk;v7tU(Nu+CBVNYxYk8JSR>k2dd9xNV4mob7Lh5u1WSM22bnQ8wRj1E!ui=?@ zF9Xw0P%6(HvEfs8!)-XVkud1<^AE(^+25f8aP2R^H^5)$<=eQg#b_V|mVE+RlQ<=Y zeyzO2Lse)Yf&PEU4N~AF@$e*F5-1G#V8iCOlVekYgrNx&8~E(8Q;%i%aWBtRs??O! zoR-gO*!1i=mVP!Jo%+gOQWZEJ1=&*o&T8HdTm;H&nNh^Yy^3S(k~u(t5J4h^HMX9y zPo2~vO8Xz>&fL`Kb{{<0)adz)E$LDJ(DuH(tf_iAEpj^Pim~1D955S**|?$8`xs$q zkc`=UZWzaZeBYnU`ap12{!-B3=Yv+4$|X`^3u;<`NvgGM=*~9wh~}5Ls`HE!E;+{n zUn5N+oAT9$mp)^OawP>KN+3HwE;KZn%)HXrR%L_a;P+-8o}}@@$(d2du%M2l&qc;S zOI!;SZB3V&S_pOd_5F`tC`$pMOS)!E?%}B!I3z;G14sg%;=-9(18crOVLfFvxTUuhsrDCnaN2-;92EzksTu59v{N@gDMlV`@`2;wSCN=U{eszj3x~M zmA9L!X5bD=#O{@Qyw!Wu$~G-?PW~Y(dZ@Ubwv2_9QA|xZ5K;rIEyx(gJUwJ7RoFzQjGVyybxXz*D>@R%#_3Rm$mF;!ysn(!tQfU81g3Oby)v zaZROOl{M1x>(Y3}sT~Tq*P39!E$e~m)^d^<_0tO;89r z>9ywp@qtp2u4OBwi;82)hxgk@^bMGI816FUp>n9)!j5vNl<+&A6os_duOHIMs9~Ty z+|y|v-Y9SxkmrmPkz(|VF(Eg)(nfxjKt33DNLf6xr=Uk?Q$6e!CE}V{StnCASjbcX zLJ?$SNsJEDgP{<3Tx607ATiCmiFS{|2`;V+xGC~;a1xWKarXUj@s@vx8L^)cdY6lH z3HyDYsh84ODiO&*h=kKWZHuh_0E>cbH_fDYIzm(aer(PiXgGa*Y59cW@>V>LX+gJ@ zt)zoJf4Nj)qAh&#ZqIAsK!^wnCKBJGLi>7Xg148B2bvv&`36dy;rF;1bBFN1qyy-N z0BT75vWHR|^N1pDt6fW<8MNp}9#)0b&kXaFvtr=C!2K(o8Z zX&Cp|+Bpq)%uNAT%S0z@Eom(^6Gn2yFvqVtA|A*EcysY3|MzEFanf<4PfYC2?)4?v z1}kn+03bKcq%yH&1zKM`$EOmpB*nW1w4lTey*nD*atSYb0zL0|Vl*yQ&SI?hPvk(m zWYhAMe8utuE0~(4y~PK1X?58Mbh`)=q`68r3I^;G*ay`R62oDp%7ONL^TA=>bWv{M zzzU8^$5bnrg-Yev+cGAC^(1U8bhJ3(qX%baxhQI+Z2%{U*xLcTS1pA4YSc8U^>z<*| z8tPz%TeF{Zk^9dC*-;XHwQjL(7yM!cro^R55dbzJ2&sgbY7`~_YQOq}Ju=8q znQkH_sDG+Ms6{Nb$ad3Y(AjK@rZ=_Ot7u)BorBwdCX^whOkv8T&p6Oi&g+jBLArd7 zJgJG2P#Z@bH_9UCK3UN8PGMf^n6|zMu}Ccz>=akAvk|z z)=V4IN4=AL{M6k`G3Rs|c0brDlawu(^e5NMfmQMHq*#HNQBOoCrWe=8_r}isu48bE zfT~2=lAgI*mM-Y4e3#jQDTA$AANJY;1GR!Nf11SXCCoNo-j9ZMHDWKQ*WYrwkO^X-QHBBbireH%D@5kfFIn! zNgu~A!wlz2RS(&*PwqaW=#K`o@enHii#p`*#ZHzmAPFw#DuGUZY|sWG4kU`uEE zA8ogb|8bVAl%S3GrCb!sL}S6kAxkjmXj5RYLydWKkA0p^3%f-?BcGC97}u-=%Lk5% zpGp*y%o#rMGp3{tQ;mk#IsiCS6aS5F7{0f2wr6eUwua(#I1T?z#u9=n-E!i*k+tJ`>TCA%@g9R zwT$FuKJ5vOp^9GN_M;F)ou1x(oOpi)9jl;{X2(yF0*v~kNhAq=!OJJ~rb(?xe~LeL zbfT7Ni1k8`hO0(3Q6?2pCUt$}*4L0)3^XgFTqPZkHvBYR943032i#Iu2C(_sHk;^q2)jds4T5#8>M{eX)ARl&AbmnQ`=q4x-VV&uF? zZL$*SI2=9C&0r2OBhQwDMjO?|7~^sYh;44gnZ$U!5=#Y^V|9O5H{Cr>g!cqr@IIV) z-~WjnhV5ukb_Z?39`gim?r7P*P3kcvAPEd+UHe>jrwE>X)w_D6>`U}(ZF{5=4W|~! z!Kv~PR3mkJWEH`~s1B5_lIKyPkcN`_|KKM}S)hJRK`7YZYrX>&Nc_N4yg9oje`cm}N1e zc=mTs`in`~-^o*oD2I`tj26phQ^@JV?SFQ@Kp7)=k|Z>at=YdIYR}!38!;f zjr;#uX8wv5>`iqNtxPYr{B03VrCTcsMYGMOb>q*rHA#Aqwj^xq=3->`!KPVbXV)oL;WXg{Jo20@te<;KVE=IKze$EvP>&ps;|36W1f1hhd5!jYpf_hV>fg&$R%O z2b4#myBG%;xn{yO{-V8`^lbf&Ya-ogDQYL>Jv1;7%`t3zpHcMiI=!Wh_&_4r67sn| ztg`woL*d~(NwS>W>MvG-P${fi5?N^JBKFD08mvcaVf=Wp!d!!CQ>np{S~JogB)bfy z=40%DhLHTFkPI^cBcWn6+aO_-g&;TZ1(0NR=CCbNcl~!HOXPph6GoMEal%Q71c9b^ zh5D_>5TK!qTBVxK6sW;y!zxEA*^XhsG>BHWB1IXRw*fn`d!jM9MR{t5t#$PlOwa0K z{*)Pri(W7TW-)_u0qDFJ+2}1?m^;OKFKBN9Jz@qDCiEF{EJ6HW2my-FE|-V!uY7C@Br zbO=in{=|9IY!8PIj9X(TdAs=7XDh_FpNx$6wKNehCe{x)wO=wptmY1yQzr}N3KNIc zu^W!dY;l*bBC4Pft=3M0O58ZpX#B`0qu7Y)3I};>Hd@6@EC1@D@^-G*!R~?$JGrmSgZJ}i?JlnrzqlG`UCZp==*4h2&jTRLT5pTh3;wje0 zbpw6|x!N|i=U#g4$PtOF5thtSfuOQAiiYq7mTcA0kn!Ch|l3yO`l z=U~rn`RdyGX|Af-9dG>7A@F>ZE+|&U4%QEKy}0-%Z_WOVM+0YfKweJrbZye41T|0aLe&xey21K@%Hep7Dt2^GNTVpN4!?;J8D^KwQnFYG4O#*$ z#eFL&MrGlM2ypst+=#Mkcf0(A$6waiHvIEwW0E?FkxIE!%5fY>2bN4f3jj3=< zETPkXq6twCs|z{tzNMOKG3LtxSTU#`&Y1OTrkAz<5Dh_AJhgDP0V4O;XVI+O!zlRr zh*hD|l!%)PNBO~OHFQ{K5uiwx7_&`j5*>GZ5aEJ4_k-fNR&kka&(wGi0lh8z*TAm* zKEn7>=x+cCBK?7a-l(g@7<~Mipt1tPr@76exSm(n{i{ZM zljB`K#GpJa|;*ElLq&+h+T_X~%Z* z>NV?L`NCO<%=T6-3{XAr;ufIK#dvLaYiwnD5gt#I=$eJZh;r8 zP60R4a;o5Qv$%sT00zPy{nX)p<5AXRc9$#zbmQdzLo03Y2L>ZJ^LpV6Y^W&_JqRu@ zfB*{~iG=FQ&VJ##RN)n!#D^=0 z^n}-$_2bHMgNSp~4GsWnqSWJZ<~^U{c-E{w5Z{NfOtU^Enqs5UD5P%By#2G`De!c3PidF+CrxBLB#0w1cZEaM{+?tFo znqnn1&hOK8{)?YVT*QV}ViiR_PA-5yQ(ZXcGv2$mo;Z)Vo;r?rn>Pd(U?3jVm*e5h zn>*$15VZhwS_&;<9)40D#>_)O>%5S=Vb1u!b0vzPfL+$^7{NX=6GUfD_cBDPxpUYE zcY-tnjb>U^SQd&M3#pEiB_itDaM*lASB4U8i!Qz6 z>|!^?sLR1m=td3q4N=OMJ5KT_!PrTnS8aic+jc>b#PKtj1h9wJJ6#=@n%nMAW?jjW=*rxkkZUt6P7g0jZ**J622+fsKGVsisAC zTCuVoCas|#CZ4~i&jgth`lRpzp?uQz_zsOKtdwgBrsPxNL|&1hXM12pUGv2QQlRYE znNb}D2T$K_5q*;R03T5YcNvt=3#DHF{B)uui^|y^xr^Id$v+_sFLrpNPxt4B*9M^l zM3cP9nI)hHi3I+W8H*(k-3SGbzh|U=RQhKowuv7K1BkjYxCiLVOYk=3rE7oS5B{zR zJMudQAH@slwe>ahwVH2E2#p)N9X?pZGn4z*e65*Z&iGxzb&6k(FU>Q#yC?Ycr~|M& z-i}3sppzIC!V|zxfDjjmRl>&0ShocM#!rYXN-7y&p=}!u(GHh1T^-#5os|q*xTxHs zj+s}T<8@kRTXYSCRd*IMGuo2iE8!{G{qyh0xhT3sfsUq`tPuMe0LM$N;Huw_Ul#DF z=*u$}+!xW{27GqJveJ^TXSs5&c8t{wT|^MJJ*9y)CP131%F>C)2cI>?OJtn zsA_j_uG`JeEr70tM%TWVW0yHoY3fe4!XTqQt3{_#r(T1nnNw?U$@HxGVeRn`+#gYE zP;hJTbIF#5t+tV|jpqZ6qe%9(Ox530qWN#nU^mx+&cGkNfW7{Bu66nYwh3UAZrg8Q{%qTYkDCp>}Cpw?i<<$yOl5#IfDrE{%6`QAaRhGC-%*U{w!R5gU zQdPQVHC5%fP3G-~%jJy<6Y>*o6D!G1G|AP;$`ks~HRyZ*ll(g3_0x3Et?qZ{@?BKz z!k8l`&H`}2=m4F+39S6U2td^n9mHigz^)kFVic%mK-C#`Q{6iGEniZv;U`jOgtcEZ zGxr8#(j(9tMKf+jFMxspquXMLyp>Il8XZp92lY4Icps|p-IU{dE}C_n0_#4&)nKrJKUJ3}il3mw^AMUeBsvkx z%6vo>SI;ka$V8Q&a|E9*P?!WInh4fU)U+GYQnLT1-CHc9oP<%cN`R6uRGYL%xu;|5 z#JMlR^oF}{!{jZ^GRR?gku=IlPr^5!O*^-<4ifp3v;Sh$>_6O_(wosIMlt!moicf? z8}~&t%m@4iddngFdAC3|0^5K(_hs$9F86yIOqs_T3}0~3jDn(q*8+^s_bC^19sacr za~%qc@x##XDShWf)0uNkIw^egVyL8CT|%mRH!Ibh%FW%@ejO%Ufk8n(o+a(ID%agG z$t+dYrasg3^p<2oB1LJEP=~fX@;yExRf<-ZG{-ok>}rU*S?vSg{0kxnja=5pjP{P> z;)993r-}Y+P&L(P6<;>oC2h5Bo8&HM#=9nG$y<zq#xJHXCsw*M@zs34%O8sww$8wRw_n3?VvD zfm*SYW~s$0Vq2GeOx1X#QHzRvNb!_@<1@I&6W7DI` zBk3dPBUZk(Y{1_EFG2qRHwqXJvl{Fe6jiM`+ zAZ6RMB`Dtyhbs&wH$jO;HpT*WfiRXRQ7-}pi=O&bbqsNg=w>@tY5K1;YvLS4^f9n$@f^~Z&CS%Kyta3%FjVFkTQ+&seM(!PEULz6*fTXA~S<0`Vq+GJ~ zAxYr76+t;XI8wSr>@%_#ReI#yLFH`bSd{(T7>bnUb+bq z8BR_r`5=du$brm7{*(vWNs>Y01Ky)Dhp(r`&t1py32nu3nby(b>DO;@NcRzmVIF)) zWbY4*+%7zoZ%z{u@p?-)4TY5he;zW+wc%U@c*PtD$gjV+U$MSIjFT_i&5Z0iX@tJzbxdYhXC7SYQQAe5Zq99(lu!pQCo{z|7oS80 zLxsxAG?GyYwsMJ-LfESDDz|%vwVb1Pa^O1-@aWhT0wfK$3|4=X%%1@INs|F^#QsR? z23&94dqXFJBeg?$_LVntNnav(y&d-U4h!#pQr^(DqjU}4gKhjt=JKm*U?#~Mw7HU^Tx zRltAPwMyBU=nOUm;H(8O2d})R8g21?@{u_>&fAHZ<^K!W4f`4TnnVA95HCK#%&~(t zt=QSu;045pKh#;@7c`fC_R`OpfFH;(U=NCbrMJ8LDy)bpP<7kiHPK-9v~$yvzUz>$E7@f$B-@Shj|6Gesb8{+X7lEK9O zt>nt~tuXo>{-HTAeS-+Tl}`Vu`wP?fF8>SnVEiUJ{4M*NGO{v2{j1OaQ~KTZZ~OlM zg#0HcgqeX2iv1f#!uqX>%F6hy;`%rIRY?5?r?7l0p#D?-ef^IO|Jm+u9sBp#|5*q1 z?{?pP|K|VJ|EnMSH;m<9`M>4=*!^w8-}Eo}<=f_e03qLY{Kwq?OZrcn|MbVdZ2vpQ zzj?NA-~8u%|2_YI+4#5qU%vP|_P_G~jKT8l({H>B^LNVfSC13w@BRL_1JwUP-@oJj z|NZ~>egA*#zyA#dSBAeVrhlj)|AwUeQ}q8uHVoUhHnhgKinC1dWL@s zx&F60uJr#!$MtXi-#V_}xB8!TT)#hp|F_Bf3o6CU{dev9?~o}B|G|s-FUS;ze!|Ce zNUcy&*Zb8{*GdVPL(^>7QrXmrh!mkwQ8ulhIatjqiu`j7h0{>}b9^2?{hBtpObDFW z!teR$-OqB(etP?rbN1HhPXXaC)~Xj9lvV&8f^PRJInS`^u7EIjQsA1cnvvsm=1U+h z`}y^Njb^7UPFnIQf{?z65*Wkdvp3ejR=V{`O`NH>%Opb{jn8}dFhe&NUhRRhBxFm$>;>^4S%(~`P426L;Mb|md$-%^^Dg>P_cgr#H8A1lM~#~tk}xp+;N!Jq z~!4J+(g`z+{5BP zd#PWd;T7gIECR7|5QHzWoufTq`@p+Ry9^AZcnJ82a-kM4sjYh4B)l|(LkYXUyOFJ0 zILJ@oM?>?wMus`;w6^F+gC&FH`&I`DyC1vxrQ!3tJi9`-m_JC0hGL&X!F~A7y));oS#xLRPm*1gO4&;G z-YfOIHC3IrflP?W5Iz+)6(Jo@l!>{CtQX4*vN#sKpVU%JxC*|iy-m3dy)xW3v5;}o zbrap7?Ow&Ej{ByjBbr3Gd_LwSqGs8(@g+`kOKXx-d zD}gWxV;?#CP;wjGLXwlDgY+yZ3rG*UJA>^WP2v&r+6)jn2*uDvMi?&X#qE8orOr;? zOoRZDI4HX~RML+6^U?`WbX3%FaWKhy#&|?tL8Vqn(Vfxrs=0MuNo)u8<^`QYUJ$WtzV7RJ+~amV-bHj>jZX=@HB{-UKaWm% zKb2&^rDpqpiaKu-etBI}bY4Bid@RNA-;)Tu3di`oI|@7wn+e<;hxl$OW%GW7ITHFF z84-G4`NeoW3O{ThvVJghtI4B|!;@_6iB<(vut z)*BYJy|21e)O2e;Kx6mk9ZpkZZLa5QHQuWWPc3wH>1OOUYm9tsTufP06@+6ac_gR7 zEXTw)jG_>4-Xj|X4Q({YmyuM{65^b58yN79-nubu^ZQQqtQ;fpl=;bDU*wm2_aL;2@Y6${E+QKn>MkL5SvN!gC)A12%!MiWTU zBm1t~dh=<&w(iU8kL6gLGWev?Y7rhXfH4!F4H!UhH}A{izRNMv3@SpLaP3~UVCXpv zDN=Kdy_C?2S4>DwT^pA%gk?(p0=bn<@ixr!*56&(J0HK393s>h$U@wlwDg_SkU4Mq zIr6oyF3;AH;>iG4Q>XY&8ado_}UXCC+~m%RrDBZzUDA`!)N~}^$X*s zSAbBFW8$FAM#DGs>;pt`<5N1$D7W-QGAvvU5K4Dp8ImCqHdR*$3`ut-=ErCuEfC(E zs*-%wn#xS)=ryF9=;jSUoa`nub3lfo<2sD#13zn`3t3KZp`#5I@tC44g?j#B|O994`qKAqNfUYacG7vK0GhjFKM3zE7?=|spup2N;%0MOqtsv?7rjshRO)HL*v$@sMHZ|Y69^1V0 z;Fl<0^@HSnpU?99zS`T1Nj|#Q4@r=!#5Fi^DkJ4`=1GcW=vobHCy<)~d!ESNziKaK zANi!Z)UF?BKQ<7{xBE4t%a6xb5GtaTZV-hY8k6yT6-VwT{W-lKeU-&=X z!8@V9ZNhzn*e%OPd%M9g7FD4g5I_)(YvdL>J#|*TopRadV>; z;LHW#)n{-^%zMjZ^W-F#k673Fiy*-YE$L(zy@U0Uk{~LZ%*K-X7x8u;jyA?3o9P4T zHsA5Kj**~zjA0)mO`bk+-|^~*CC*&y3rmqJww3ei_)?db%X z>Q&z@b_>=84u>ZS*z+4>=;au!0TFMwA(6u!qcfS;LCqL{Tcu}w4GzL5TWUM&Uu*`K zGSXxwKHo?y17v)UFIe@5%hZ%VrIzf1B1jF2T*!+8x_pGYIvT-o*>nII&V52$18m@I z()8exT)NV;VEzg%3W1EPP<=grkq5|gvyx(EzwT&wWOBJHR+Mxf<*x2io9yY`_{2W+ z+@H@4QJ(lOXA@>ULJE;gA4fpcD# zLq|IqR$7vWn=G5rK}$kg`I})~?+Jc5ogdw1=^9;DPBkNBNt2x;FYk#Q^Zczxj_y(~ zA4|V41q#eoBy$cJo#n+&i6$f!a5SL9U!6`joA>|HO20X_D7Oa8#5$-+cQjC!`_|-Z zj~TvMwI~ah`vxRtr)iB$DB4Q6TNP{sC)$yf_=YI+uz6~*<~>OyP^#115dsrKh?y-I z3PgdW1|Qk`ifPHLH3SPhv9LhNrzIdx$%nr(4ci!LjWUZHmsP<#t|DD5N@}u>JJp1|PaaSx*}*+ftFPbS9lUwic()P@g4Js-b3$mQ1OP#|DjL&2u#!@(&OqDT@6 zCuz|EjUk#lw5j$XT#hs6J~KQ0gH8;-_qmi?fJDt+(klZ(=x;6-U;LM9W(;chPvsr~ zkPDciFg~vGQhyTr55>h_e?T*l0Q1d=$pL6v%!f5p$d!9L8|KW11*uUi)Nt1fpY-RJp(?3TU}$BkQ{Wg!r{!tqTL=_D zFyRzLX17z_4%50Dt`Vt(0|FxB*~6`Aq8vy}v zmSdyJFA>no^pjY6-I|V;PEg5QoWBEffQ)7dRTG*`*FETLE+ntwD&~ zK(3VDp5J8SNxP1kR+E=Uqs3kl+I|wD>HGNCy!3)qU0Nj}XbJIf-1W2#Xrlmh|%u+G|pTq+ZTscA?PFd+ufaInNXD8upf zyGGqYz+8#FedvY~iG3&8GzC2rZ7M!P`hN2scWAOdI9w1Ot>* z$XCVojNxPYxX0T3OqG!dl~8B%!s8%)_6JP5m9+kP-$G;m5cq_?S$86#n z7*0*hvrcV+jRON|Oh`g|Hh?A!hJ~>$h3taA7OWa(C zr2aMX8sw4Osi~Znkk9vqtoH=p39M+&DcJc=N1OL1g=gJoy~EC3_^W!sbk^GIse0C1848Bl#|S1MX>_WdL4~#u4SI*N z)u!Y{FGV3)WY^R>qsh)|nU?G}Xgtl1q+iK#kJP3~4L^S*yR}nuuxD9$e z`nz~*;cjhCK2ZUXL`-m~y7)nMS#;&IE?JX)hY;R_?17}0CZS-?O@V`LF%=5$T#F}V zM2RVSX;OcI^CyvB{_LmlpCE@n#8AvfYcPht4f8v9_Y?2gL|O~*N|KFI?8ugLcjy(E ztU*Z-DMNO20vks7Al?VA+>ExveI?Gpmx=hC;+HO1N%N zHRDG%Xsa<`L8q<9lTth#iLUWpn31@KZX8ApU&ey=eIBXvniR*Ch9=u)Fv*uD?JbH& zH&_yLEGEB-7W_rB*s}+$43P_=@fY(@x>U%a%~EnpqQIdE>+TaRR zw^O@E)sYm(6NeudqN&~@4Gi{)Jyjnn`B0hwiz>6RqbyseEx8_@SoD)`M zqlol)<%OsfD|3%>1vIo$9*Z=3e<@XY@4$u4D~}~>J*8y5TVI#h?EK((=2!o<8Tw@S z#>>5_lkpCFsO7dcrAgY)IPN~NvQnWO_B-t_h_W?P@p?pn9H=U_%Z4Q$Key{ep*eBAK(lJC1SGqC|@HmsXaDC3Ich_W|@K-uZnj@8o@LRmwISvN4Wpm_sA*NJxN5> z3kJRzWMAs5!RNN8|ST0xSBAmSEk|4r>9a;J>&=@kP^oz71`%7WVvzUzqX%I`uc};U+?qj$I za+x|mUPhhNo=5Vp^mj3`^S(D1DWT!y(YkBKt)L>qUW({w7sV*jN-MD6@G9Pg@*B<% zWmGzp4^o~{*oC(bF7rn84|1xhz`C9w+g>Z!UeniyAS<#N-w7H!yExOm=S4{(-gNY5 z9Tmu@1^-ODObBy{xb;~h6NWnOJA&W!2v4LDC76u_#Nz=v^+!8#TO7L-cOvEYaW;lkv+kJfq`Sec@nxp!Vu z9PLj~O#KH(zf`c$vVK!gu?z;bVUNI4rZRiP{?1vEQnKTU^6=wpm$Bz}hmg1*yB>(I zlsz%yY}xq$ICq{As|+l|IQ94ud#um!@5U}o@(R|*J67Y2TaB&+ov^yNHBTR zBGs&or91$?E6VuXNSW!FYyFuHRD{=f!9-}}mI+$)eSHnezETO0QuOy+v=c6ArljGZjwKa@{CU&Djx|`vyz}U_kySn_`xpT z@+-~0)YxpvB^q>n2I?Rd7t0TOx{Z^(Pt59+ zqzXJPXg7q4dqZ@afYXQBQmzU)ld!ZY)wKbq9labN`W53^A)3BjWz9M=LZAX%}MR{ARPaM z;VeON)LPFkQ?Dhkuxx5Ch{|OG7QEtX`K0CiSMZp$Gzda9@B;>QOA$8PmVxY1_f-mm zvKxW(U$!*zAOpv!y9hf+=F473Q&P_eDotH~JMs!`_?(bTonQ5FBemfbtWR-;I7xz< zmyIUPyj;i3i@Yi0%mal6TVN6)r4PqDD-~l<>%;(sQ7p}#zrhUy7)$JyxtU;mS{+V? zQ3U9=+r4Ols=z}b-h2Wbi6vkJa?>1@+z4imZQX=w$vea2E?MUP5TA$?Y~y>v|Ir0A z!OqV_A>hSlBD?H!a>Do{d-qd7>-&n}dh_~?*Bc&q_Ug0(=c(3{Cey)d+4d&J)urhi zBblWjVaZpWp^1W3Q%l}-gfGir4eDRQJ?R&N>j=gO%T1Qe>R78Q>(rsFfgwH2;6O_f zV0PKp`7L%_ry@~C!`(aoEf*x~5+ZXU@6MGiM(1Uy%b=cQEw>)n01gh;$7!i-OK#zEY?8w$(EH+eek;R9EdY2}EOA6rh%mB?#|YUyM0E*_f* z&CnHqujg|Y{w-}$<0WPnF>`|)#vwa6U<8%Xm;Ux9bm>7c^El@;kOc$1b&>qAc`tsL zR{FOw3%w1i#9aD8`5iw=MUH&U461$Z!&N+3m5`lQwWR^z4hXQD5&h|3$+y;=x3Y?Z;Q$-g!?CVE6wZ3} zZye~+(gU;Bjd4z^ARZFt0mKf=4K-sRohBU&S8xm1EpyLv=dI3q85}*8ah@^P2XOi( zZJZBfHtj@zmpFXdUJcQE;%?bhKpZ#V*MbJyefjmqS z?idMba9kUB(@3!Ng5OK3R5S0(WHnvPB8Hyi3#XN#!f0{muV}t2m`E|Yl$k0KA?F)X z1t&w%s^?|PTpO9WlVYCmjw#DR#2;pbXBjXH#Lvn$ljRtdx|3clDD*>p3PtN>ZW3v8 zsQJvftF~%pk)H9c=>3t0o_?*TX>X26-Me@fwmfTjufdVaFa(vMErEyo8Row?Kl#3T1-mT&CHz{C z`+{TglUs$3@!BLCsgURL9jNz)K=eI(|r8r-`(w!z7zF+u}weJ}_y9LJ5AE(R(oVGLv*8r z6>#14SoV`pO>3&heJMySjUFoP z5-vGu{ z7dF>4ch{FtKCMjeechK}{2O%E!X&SH6+S>n_emFG=hub5yR1^4=`~#4e-Xhv5apF* ze#lQzO<@XF_;85JjgEZ=X$ZyF2ZNr)_^H*8axMgQu5HU5Gj7)t*agjAT3DS|KCM#x z+;C#}4I{#@m%pP?N>GV;pkS!c}uAv;66CYuJh1p31LEhXYbs+;tjii2}GK7`>?F(o%Oo&Y2TSv zU;CD=NGqIFU)$SUf7Og4ti85_=!C$Be*mBQicdzCr0LVP63%%T%T|u#ZIJ@*hBYzx zN3^GQJqv~(kuEu9La4-}bm@^n6_H&Bt>)Se{GBrU7o!i}W2QRBH8#IH4AgHfcme}q zM%}5<%@UWSQK@o;ciP0gCt5_rWqL|U{bkFTz-)hHg2=nJ@lIu)0ZmPxCKoX!YdZ1s z-)ffjK*TIL$`;g<+d(OxD)tjTmpRNcH)XMPJMlNB8|)s1O=zV9T=BO8OFDbYraT9T z`rCOCK0|Sn>GGcg9?%7DpmLvbbxofxRq5+>&(Y2s9CN1EIas>Y98s(CeMBJ4JDm$h z3B2?*AD|T^n{0`fEKpu?PtQXil4DX$PA|wq{~$P~S|^92@-mk6__pSh7fA>wZ<@I= z?tzEK4%_!DmB4z>Ezd*lInNR)2%jKF9nEa*;2dK*Z!Mh)wFk;Whi#ZpEg8I=#y(;a{KozekO zQ3bP~XLD-2PO}o;U-pshlbPjw{!w@#?W1LzT~e55kvBqevKoHEcr)nXpv#*cJ>#g3hzb7aJcHrtTbBD{m>RE__%pX!E%8> zLLhiDKeGLf9KQ9!4X?6;X2oIs2tczSPE}Z4H_Qpr2-;QDgnLj1B5`lpofm~;5-R0J zJk~+>Io4TL?$iP=>SlV$SUh!;`Y2iEv2H#UKoMmRbg6+^M?>hQvq50*0mnHtX5aq3 zE?sNwkANyxvsUy_4Rbb%kxBSxR>%5_Uhh{_#ldCHbN~kV)^ra)qnz{BoN;};H$KQ$ z_l1&^%C=mxcS?AXR!ZNY<8z*ZAhv&@o0NOU38J1 zxKnHWX|*Km@>Crchp!S5Gl*^LD^(syjP|hf{IyEWA08x1)n{7SgzyrC?r#+!6MQLz z-lW~(c~n)lEHeDLch{=wz!n>FlU9Q$#_;BxKdTlI3@)j;pA*NIr&Xy~;`@kI&{#Dx z2}GE84f1BY4qgoy3ZJ=Xd3#j5f)1Ji2nP4n$9|14R{T}4Wonb$^|9leKg9Xi7ScTK zj9pliqAXOy6_6SZ@_KBuoDp=;gcvZ@kHm3pfw1FvKj_`9{@kX|QsTqA0u!Qm4q-Gh zNB1Xfq!x2zKVXg!<~_%y(!5SaX4z4+ySAikTKn3RF5%CBNR6NnMuI#^tZzAFm?qHx z%#fX*2(I6&(s}oS)zcyX9F#NfU|zJHz&!w(Y9K;}%U{iU_QD8VF+cGvTc|-Es}W{T zh}~cE6O(x_XuMyo!27jKbgrt%!Fs4iBdF}4)EQ)4S)m(i2jdWbE(USD8*kO{+@hcT z-gJU+P#C+>Tk0-_hOD==DYWhV6RT9DnrNdk*t|#%9!-A=iGU=f0<9ArX%~K472^7` z0ZHeMDEf?=Y|k-TN1q&Eo4fi^6)kCG(qGxRq||B1WGW&6-0^-oA!L;l~^RX?rQjU%^bKj z`t73#N3diIsaPy@fe7t{I1vxI9)B815XCY$sp@zZwDead3#RENLZUanTZCNmyM#`z zG0(7Z9?vwR)E&iC78BE~1Y2!lQ87Xd1B$=@)GQTT zk(z)11Bp^A%|8d$uK>d=2;P}%Ph7hrtZ^?yPGe6YC3E90z}2fC=D7vNTc5ORo|xS= zc_ZndDiq^rtzuMY0BweBD|TSPXa*k?&E5|#oa5IHIcyvq(J)*owP%5lFs%ZrATuWL zaK){wf*4tVy?ID|kmvDX;Z%$Y#J0#Wcv#R|6#)exrE`g))xHMSiP@{4tmNNTU$k zLW$91hBnXIlZu}&@?{{-%nHG9_WR9}?t!wE?jM}Vp*%sB0fd{8CHOvfs>&rmNK_5Z zq7q57KAZM$#os)(eNR*bP216$fXQHhO%g!K3y;Kzc2mRvF@)ZQBFmZwJGqC6v7Zd& zQ4+>WFTy>fwBUo*ChuhiyRR{$3zKcq=uI>Vq1z03RdnsO0*TKeh8o%gFgCq`dy{1TbNJ?%Z1p?EVUyYrK1tfwzm-CL7N0Kuq<6aw30D+Q8jYf25lF{jlwLp zr!>Jz&!Wu6AHRVpy;QKWMz9t4w@9fVH&(w%BM;%!4wH{29_z_)P!<6>nKB%T4pjd9 zmzF^&1)SfM^F-4qq3MKNHQ!7QY+^$v0~EyRB+4<2>-+n^E-Nt?@k^Lh7%eVGJFAIP zOn28@i}eM`q3%uZqNN|@U%7WgBtm!q6Cu|Wa39I?_ChFm#ipgz3(|IJbB{!sm|2Rg z@}q0qXcr?gj`Ek>GI}@X9no-ej~D>iBTBq_>_LflQ$NZ?NK|p67-?MoieQ!J>L-EI zz?1`|?{NEqS50T3*%eGtp>cf@qg~}6e?3O7AhK7m%3N-0n z3)$LKvTk?4Flm@hL05*g6ZO157Ea6w^zOK-P0IBxbxla?>0`zOZvu0KQ+(6{Y*pou zs)YOaCHxS+mLcnL@YNt~!;M>$I31~=kztnF_TU?lQ!Y!aB zvxVGLKwz$d0OVSks#7Q~634gLBAM7l)1di;+RueJXBtw4XKi^hOJARbi_DMa>kKtf zdSuitOMHMaCp*#$rbYpV7pHZYSg1Yx6Pwp1$2^sPk!_je&!APWP|i(k5fEOrQjW%| z8F&D2#OjFax*H49{#u*m)TZaAJfX@D4~27=oiQans8Vl^j5hVA#X^pOWkgaiiPQr` zaXhMoq?;gJ{>bIT(>;(JontYzjwY!V7}a`=s+z~dJ@S)WAG!rI^I|~67G0DTRJGdo z%P#yjpEp7~%^xR+7>c^+<3cU7!F#(KDoroW?r9c(q)N=!1>psf3~@mu35uQM^+U_! zAnbO;=L0Y~n$$ZncllyS?sMD&NhB3^gGjfoXM`;o+QMk|A-biM0}FI>zt0`I;$oiT zMeYxqQOaiZ>Br|#hP%-;MJ$1dDz%1p5-GBVS>lPo?$2hV@7a)4*;}JJ1jQI&b%ud-pwA9N;ocb~}fzD3|ch3zwS-EKT4tNE~oLiwYkNNG`DV`xTf=5E40e z>!&bdStkCNg8Dlv<59=?H{x&Nc>#--jePzivaHI~?C;=y}IM(^vd6{Ad)hz5i*f?0lXOV)! z?pTw5<9Y#u$azH`Icv8+zY;LQl3-euYnN5MI?&sqD}AZVvc}{#_1{Da+dWFLnAFwP z3oFCX84ox%;1zM3A$w~^K)jY8mWd)EAmx+l#PTcsK`6CovCmWquT>=#Cj7VTN@n;p z!f5{>dlBF#Y?TJ| z!`6hHWXK;ZTZtxe?VUKzuzH+ZXxeS%xLBq;Pn`(AMtGJ+Mt2HmqFjt^Oo7^2l){V~Ct9s?P2}ve^r=SB12O8ehfk!5c9CHD+CJ)p! zxk^+LxX%@<-VQu6->Xv`U}W%($+1u6Dy@tf`QxOQrv$S|4UgKh2gy9XvY6=#`dTq_ zI^nDX$68^G3WApf3=-A8LQvQJCVG$o@2t#Zl({pynkGWYD;j$Ufu}VEK+Lh2XXo%mLiMd2 zMwX;DgxH)T3cbkjz|X0B`f%-5IJHBhHqZXeqBQC8SfkGlc=pbSO=@}MD(=EQsT;!; z*iiTWqx>B?UpeX7S^k4|ZquwRX7xk>{w`VQt#yC?*PNPwIG;72@UN>1A1I@D7^93! zM$=8ALNOU$LDDs!@dx|cLA=(=d5AgYOre&+k!PGHK=?B_M~{g!TELWGUW3T@DAKwu0up|jZ*S6zQgmT%J$S_ap~ek%?#DlRNL zvuuUHlpv&l+jgiuDZ)i}hNt>#rN-V03)QvMVXAgqMO&8kWNSpC2$8X(8bH$~^|^ql zZQ#QB@F(>Y^;5D)&Ajt!1-;_($+N1hGe(JQlq95$BBI|&hz+?3n!tC(8}gFa38Jqq z$pJbs6A1Z{$A?Bl^G!cWQgIX)C_N}*a>4U^MQBiuq2lNh7h>{A?S=xg?3%u$m=?TB zv$*TbiDZ2)nz+6?@7@nQ%!Cu=@zYfK$!Ydfsc~7j^pMK>-Mqm;ree(1@$*lGo6jBH zqudZ3OC6rcX*rA%@=2uCOM_9oWRn7Ryg z!M3oZiNH+}qo?@wDSqhOwh5THo4%-5`4L>v1VnTmD^!B-9jeaaEI~O`rg@75gsvS}v|Up9jY5D2w(^OGzzw z^TGp})r{kzjbGQD27j5+nQ=(X(qhA{R-B z7g_`OD_RF-^tg;8?;No)S^#mbFPACrWotxlB5_o&shU?x?e z0xGFPE`ubNVNm-j&Yc|bGq0n0bGi!-jN$&z0k--IJZf>`R@hw6$cW(c4Y+ZB7iC=|Ge)fMdYTVt-o(!V2 z6O$!_TYiYnTcdJOG7X61?|}(fqpfA42d8tkl}>C7m=cQBdpBo;nvp-(^)McAg6w{u z@7+-z`puj)a?8=sU!xYTXYHSF=wNrLl+OOq=Rx~=I(QjB`qdHDRfX#mOckyzq$YzL z2&aw!SJn_MeV>1N+dYv;6qK8Cry@6vxSPi1k0y2x`bpMC6+UuH>o6Up*R-<;e(y*I`gvtcf+d$lLEmByfu|baotnhg|UsW^_!R`;Wvp1oW zf36?KHlHWo{N=H%Q1+PKOTWeIzwfeu)D2|ZY9uMWcGnr$uzAowj6I1Pf#*U}7{20v z_hx%zVB)KT0Idar4Y^@ajMYHXQr-}4&=ml?FIj^2VBf;aRU7HVTr zd^|77IMy9@FVZWU&mN;(GkCp5-z`6McwPDy`ow>2dYqKEKFn1lly)$jq0=MVqSzwa zqPg5`(gcpB%tXoT=L6I^Rc@^A9z?fO00GrWCNZ!@L0V7!8iFPzO6^&!s_3Ah=&Tl1o!FEYODsRrUF1$#c1XM*SxT{e?w)_%WQ=FfyoM3)QO|YPQ})dsyLGabbPf zyg!b-K*MYC^1O__(7aKI<_muD!A$&HHeN(V_>}g9hY+2EYqHb|7?HL5(nfoUVdLO$ zT_Yp4OaCY5_>aQ*M;|eL>NmFk;vE06IRB)xa4<13|F87_%6pXE0VYHYVs^GpunbDh zhED%FRCaJS`6mOGLC(PDpKNxTPeb%?=_n+kDypPH^WQrrWjhsH3nM#Y6Cx&NCic%p zDE_wylJ(OusaY61nL83`u`#m$hjaQDcKX)?Gb{7|p7ft$>z_9N!ZeYOUc zLDI><+QLZC*38=ElNd4x8vrCsEX>TEh&~w?EQ6qi@yBxc+D9e`BnuI9a;@M)=^%Cv>30a=kE7y?j1wNuu0NHDZ*FD~?PEs}R~&cb6V~ z@vPh_@pQst!!8HL5bu~X%Wt=aOlrXI*6E~yPTUG1&fS9PE$Lz$w(=r(H5-c*z{tQH z;?hCu#gflde>&WKK<1WQ{_CeQY6f;vL5*{mfgQIfP3I^-b>5a)1Xq*816%Rley`c`a(*4`?3pX4H{=Te9GKx;Xgzc5k#`?<@2WI$C^Izb3#IPnBD-E$T)#X+`>&N?+}6Py{We$%wJh2?qxQi0s_6+vlOEqjV(i|HOwYRrJK1AOLeNi?U z*1fEcXK%8f34Eb8efRhje)CmJAn^pM_>#6%bo3cFI#tp?e_Z}b*EjWT{#u2E_QU_j zEga0Jii6!1Qb<8I4RSYVtJYegIm1NwrUn}lnAdB+9av48fhGr2#Km%fet}7VM1V$s z@)=ky$P8Qj1)HwQe*$f62(rpcLU+eb2LygCbzPi-gcyn#HZ)N0rM$*KfRc^!V+ZD1 z*IspvE;_<<0J=Y6C(p1;9jz(iW+!217nB5oxhAleRPM*Vu6OJ5k^)ItOw;gFzE|Id zqs2W3aSX6;hwR+s$~wWY+besC!GD@K4$->DTr6Zgj4lR#V*Vd|6rI#wdTuJb12CZA z#}qd?FI6u&ZQ$2q@ayl_R`Ig@RD4q#?mCvJx~RH|1W5!aSqvSNQE*c*3?r)pn1Syz zWjoS4r!yqIa3H>!$a*a=Sr4%dh6!mz2k&-TFIfl42UrU#%G4mW{FmjiDG3n(0d}sY}*7HafGp53~hTMdw;AC zv^C-(-Nk%_JQN6$b>sEobd&XxHez)@ncXa?_BMQtVRdiFr0TEgbLXuvm!gM~ZGvvn zMxrh-J25+{i`-k!$Is}a_EqsXq<~}3v0!nZaP-&6)bP~U)Cj|<-SB60UTKyB!{d?} zx8wJjl9{{$3MmR{#Kh=ipwyuhA}|`5MTJkzr{_`bWO6(*b379^!&d-NFeLo|yiW#7 zdrG%SPXV)N_>`YDkK<4^tW5?lGslw~s%sZEmu8+FsMUu9qo%-xhuD z!t|cT83f*s^*(n)?s|k1_&Az+JDcJKT~YWx=lt?|H9~x!6ZZxA#bi8Py%9cdc|Z7U zy2{i}*TDm*i(QAUyNkMxXGd{mh=d*wZI!K{@G(S! z=Cc{;dp)n|&JN6r7X63HOrA!&)mFwX6Wg1!!YEwR!XomBpx1skdLDLe<_yWAcwrbE zyrYV4+_5tBS_X9k|cft%?S$Pt5HS$P^?P+H(DOT3IS-DLNgYx)M#? zi8_U0bUlci02<3J4%pwq)AUjNM}Ypyq5G9JX8fP>+jN9OCH^XH+y z{TMBTmPWj^>5rYVlF~b-cQB&wz3OtF`=$2T+*o+UDt* z929VEiMu=M%*lk8tu#q#hCo-e>ll*Ea^0efq$y`dRqD&~ylHS=wUcJOgEF9Uh-M@F zAh}E&%%QwU$x=y8A*;qC^Y+L0GcqzLidyyhiV0uq!?7GBEBc&*kn=IkZ>u6)eK(#8 z$wvFE2sd8$IDp-39nH}5$KcuZR{aso` z<>|9YEMQ?PvH7y7i3vADNh#%G6H;^(4Ux(>_9POx7%ijZHKL`0X?(4IvuS>_&x^As zizkJat(IKxCE^%!3|DNbCtoC$J;&W6 z-6dB>Qh`zCIM*$6M=71fnko!d3k`%P{PVunk891-#zo7jvmFQNbS_#pO>1^<1F)Or zxAWnf6|ei$o0a!>rJGgs)lgv#q2-dMHbPd3nq^CA`wR4s?4+B~N2~GPp1pQT&*$M? zHcw5y`uG$Jj>bA>3$EswMGKzR>xT=zSNIuT6ylrH%dReu_*p(hLz~x6t_W8~KOgOX z7Gq_Tgjmt@H=Qljk~vr2GGx1Qi$LpYT(>*|JBq}HCb@MSQ}-E)%qoP0q?{t@!hnsU zOl;K(5_hJ+?0s*gPs$rZmrA!V`mW}-83!P1HzfDtq=dPa$5F|-7dy1@2Ee~@^)=e|mW8rcIGqKeB$iffEs zo0~LfWzQyQU0{2Cy$ypw@6z5ZBe_;%?1>{clVjKzdl_vP_y^bp}qFSc_TAb zcMX^!cTs-!j{V8vyskleS$ir;>zHn?lr1ST_oOVJMGn`)t_PO)+#IA$9vIvndOIRK z6EH~`O3&WOE~#qo`9hzZrEK1F=*(1_y;%f@9Kp*Y^5G=RjwE7tVHid%7H*9I4WXp~-_C zm%~li_poy#X_g4w@}2I%M4XmnjaHsoEXmgRn(*6Ai{~=y1ORJs?-tv}db5SSk^^l^OYMu!;8MK0#2J=WT9b9z z(JSV`sUAE-s~qRV<0Sm7BNRaBKyqMm1!qDBzzMvT{zFCdo}n71yS4(&a>jD{MUDA{9Y9-~HZI zRuJzZ6+ThD@jJ0iYP6@3RpKA~R-!{5RGJt34ph3yi6Nne{XK2uGTQfL| zs52Yg*&pQd$w`TYMuk;)-DiBlxL9tlPZ$UN3FF8hzfO0p7}Ri`+CBo%7Y;>Wd^i+@ z{si_P4vA&{1LIWl4~fXFVB*Y+0%b5l8;IFX23Ckn^F*M@WbnFb6i`iC$4M=}jvAg@ zp`NOzr&!n+9yEk$ERZj>urr;m^jlk@)>X-l=QtOOQ26ibf5N!rHKGIU^b{)_!;6M6 z=L*^JI(DYZm3}WP)SD_<+G_Tit(ATuD^$2D*>c?P%)ibB>1XN_W-1w@6b2>lzj_!) zTN~KM!a_C+LQ!!ATTw063*wBWLAlFC=9}Go!Z>3W`+s2E`Sl-*>$?T%d!&NlgB+(H zBJ@&4>mG2@6_r0!rjcc*TpZ!d{>sV!;=y}P!}V#JWo#)7n<|0!T<~NJ4j`V092LAd zfkwwuK0jO-!>sEotg`X?+u7Tri+5WgY($9gyqLq(xe@TjiM0?krp%*S#4XQ1>|#I2 zsr{U$l(*W^+ari~HXX#3j;Hh78iDAc$|=EFyfUDc5{Bq8&m%M8^NAG!YBA0s^^O2f_p%2WFS5LEaM}TS3rYP+zo_$KFJJ*3 zA-cdU?AeJf==uE>vb`m8SN@y*Mf zPZ!6OM>V)E`|08;ISNN_H0b$o^KCOz<_j5`O#>>$9N`Ie({R^H%T-Tpch|xj_-i%- z-09{zOXXh{&`!P}P^YAhH4x}1RbV#Y!Kmy@n9Fi8hXvSnN^3CBPD0Bj-G$2c0B& z%Z2o=KONyjh1%jFlWK1I6z1+ z#9&=8D~mUa!ZMx0(0FM2`I}JUNFd1Is#e5W*g|Vr3AKYN(5QJ}%V;a+5 zqs#JUzn;5S7`M{Cs!jK569NTBq0jq_wq9+X&Uw20gultU9kx+@#Y_=3ae zrNx>hMHS9=3+|}rz~w@Wu_3ZXk<(&uZ@DVg|8(NGQkyA^y{!ON(@^quTMo0XUZBo} zKlm>H)6Y7^MCkI2?|`$|$%dwnY_xt!rwr%w*ZuBq_>LzrQ|AiG%42QzG5OrDfT3Z} zY#fDyH6H~F7|`7#guSRF`R42K;7971e4Tkee6PaftW)X83B;_^3-i}WmMyawbcB1- zv`j5W)oob!hpk&`B2398o}Dix$Zb!)|0`GE7oL%a}WGZoHUhrnpmQZ~lp1F%GAJLCN)kr!Dn4?XZSw!ex+# ziNKbH6IcUESrg+!JH<hXdxF91`JxR;l}rt1(&xP?*q3&%J7MosWHB#v=y1= zv5>RQhFTO+2y0NgV(0eb`MlNe?Sa*VU{Hh1$C1c)zz+cri+ze#RbF0rdlY1mClF4;x7|sAH#INZon2UR<$Fpq*y_HcAorRD^Zs! zUVd_6R^XB8E?K!>q#2FMMq)Xeram<>XOYu{yL9)aNbTV#4hD<{sdQf|_3X{slGJZ9 zZhb{&qv+1i3n$~kS?(3>Ag%JynBlGA;ZB>W)wz$``u#$@udm0G^}s19)WLjxKYg9l z_j8NA-rmbCVY;VDaN58mno&NCVhAMrzvD*d zVO$KAsz5V~Q46)xqp#8r!M(SeK!KlW_RKE9SE+}H?ER^#JZ_rOi?&1^{1+KF`t3HQ z%nC8Op^18I)u`7k#S>E+5QD1n0p`(gu4s|QGjKmn&ih!@evNBrSD%Y+)iCY$*n6v> ze=r?P5rRV{aTYmVv>?%rKn`34wjZz z#8$HI$rtbLM2OvH;vvjCu1`v&F zO5&4Qwv3UB`G%r;e4C<)e}r03=B4s(Y{9rvt_5=J^R%cy!ARsS(twYS48J2=3-E`y zd5Y|D?dpX*Fz(rS5USY9PHd|6FDS7}@(N}YE?+D^L&`*zR;IPtANz}QzfwKETPgg? z8-YP%>aisb?`>QW$o0rGv@Yv>D-tH!V^29~5OUdv#kh?8xjpZuT<*NLd}wXhXb7Wc zp){KGtyr$4i;0!0^CrZWB84DsiXZWIH$?*wjf;>1qH*EJqPLE3bY*aLcX2RUlZ%kP ziAXU)2!uA<%?XMecokq?WNj{|7FU#`wN+4CFEx}`*v9tORxq5gvPB8>W=`?xVagd@h9J#C392W z{<4$BQsg@uyt(If`E`SFLL#lDiy3fZL1y7@p|48?-5jFi811+!uuEHQ-tQsUQqQ)M zkxI+UvrcJ6P@;$n^s9qC+wGoJ6w-7dHN1<~^Va5QwdYwaMftww?Xt1Uxsu0H24lgCy4xtt3(_U=3R&6X92jSE#Ui14(*$kpLz22V6PJ+~nT zvT--$txVu6Q*(&dxwmeq#i-Z5etX#d_YQz8lZjlUbXU9z!O2W5_QSFZ(*~(Mm2tz3H6I z=(7wSZ-j;_YVFEnD77AZbco{9zz+E$!e5kS*tCY|`J#<1(3qWTH}7&?|84v+skf7G zlh{awSQKB7xCEMTYyT}+!2{|7Zer$nFa%+Y!Sn}O>>e2qJNdeyR0$3#Z|J%g$U zzx?U2Jt|AVIJ-3P7G)|N=F6uKcD!)TvuLx~L=~Ki;`~9~9tM~FJejQnn_~>f-g#Jd z$vv~-@r-sWYQ!zHSnB*Wx9(j{vsYqg&r>ko?`Pl+*dKJ%I8+N8pql8l7ZA=ros5}K zlegP~uX?$2t%_OPcdf2j>;u^D5Ab$Q*oEw&!B7_lT@>4E)V}wK<3~EH_)X{R9ElP8 zPC<4dHZngQ;BWDXNuV5(Ud;v=Ly(5n`}_=aZz38OK$77@v!2@$YC30`$(R@V)+?IU z^^!z9aJv)uGheKU2=ZKN z?PDRh3*9EG7!RlaPe=ar$aD?#UZ*Y>Yj-E+59=Zwz+}40T~O)u@T)twc8ue^M7Rj1 z6k&=uR=VqchH;a%D*&Sm*-h_eqH$@gTn^`>j&NKPd)}A=@Ti6ZbBOyC%;|@k&+A7W zt@w723DXbi$6J289AT&WxN=N2_uw`IK8OHck8dnBZ~WK%P!7sNt)>n=#>jq_-rBt& z1q=3TS<^?$;E0_=tx`fVu0x$KSZpDj^3F9t=xTYsJKHIPho#9S5pcWf5@*J9(wFMQ zfXCdC{C3)xs(X8KD*}8Itt-03dt|N4TnLZL;B)WT2;B@1q-)S=*QP&OF=%H7=i20| zU&R0tBER;8sO9QpC|yXdBa@o)Z6IP;THM*rLSS>RvXHMDJqID-!=IOi{zl`-M_bc` zUQPJ{Tx^{X{xT-y7@2}q?p>*v`>m5MTpz8URm~9n<|de8_sf#heRRQ&NjKT*`hC2a zvdewe;SW`$4{L9Ici`uJIN)4xi(DX_V8P<%d{ljZYBQ|T-(Kw*sDGTF_XnfpBVe24 zaOEx#uvryDy6ULenjZkeIo{E~n`dFPeDoX>RwEuhX!4t#PQ59z?G%a@K=9(lpSh5t zRJgG~rJw?=<+Sg*XBRhGj9^*R@vB__zqr-Dy%VPJ6E#Q_mz~-kjxknJUS;fKVpVBN8d$NYrDKDg*fn;HNik0PTj-d zojm7{RmO%+!&t3)v#+XwAIbGdTj_#|y;=_E{q{trGdNUgbgC&C=`DW=8THac+c~%g zA9C_Q8SJdVP0`;YaEju+J=12;PTewuAs{~g1nBhrjmFU&|15lS8eXrjQE_SQPF$sk z<{h;KLgOYdC-xyfSupz9)f!wjTCxm2-lfp#fkotwi+x!8_Du{|^~_Ltz+)F6z62eQS?`OF|`9eut6ehlyE8ZV(!0{RfRpHbP3m1fg-xgheARoyv$HG)|C* z3W&y)kQSgRWH4h~HKS!&wMTfofehyO5IJpDU9st6iD_j5&AB5r=Ad~UnvOlf_wn-- z?;}|RW*Lr(D_9M=S|hoz$?Pwf*-e~AxG%zPW+ffkr4~`D89g90E&;V8`c~8lzV%7e`w*d<#`wr7D}|utKp)S{2NrLAaX>14@(j!NLpP)vawl z`1K@$d4aNM!xgb;rXd2+m>hz&Cn>kEV;TTtKqttVmXH`Y1xL;Nu}X9H&?jqTM{|Q% zC$CGX#l_uN<>Q}GNN?F(PW%V6)ie1 zHr#x#_kQt4p{uJC{K3dmVC3P=ri+orz^8`?XdJM87S{)rZV5K>Uv=xM2y|28kG$D= zU7y@shi*SeVvNceQ9M&eR2h_QX*@7*?@2fnOiL(awqQqKn8-lEsr_!dpk7W%(G6>G#EdO4g!R$s0Aj%xmVI=HMO^ zerfw)$f$uCu0=@rO33KiWQ}TRoZ8$kLxx{qoNFXI!8-A{!wt1W=#R5Kc1i`<_-^Y( zS#iHgh$#9{P!+gB*woIP5sm#pXj~T%jpHBETkwCt=@T|!S|{*)XLt?2iM-n#Z$34! z;T~g~HzCJ1gyFB!4n2~+Vit3mXHJzB#y`eH`KckR2Qr>}$Q(blkAGF}ifEOF(kyM; z?$&rI&wB((Fwe}c@;X1~SAN1AlY)@y1Y?BJ*VMbXi44MB(lpkj-uH2GXZ^V)je;xj z(YQ)gI8a90`&yZ;c=4~YPp2?y9K9oIWLLU)rh`E=Z8`Wkd%^jmUo()B?F&)rKOut9 zI9a=8W3KXPiBgQjBr=`u#XcPt0J>=bW7v;FZ6uM|TE_in@H}lTj(oj1wk1H6*n^qt zh~8c!-V-KzM>7YyO|Jd;G!X}>m;m3|jjeoEDOJnpkN-G!FO=NjMx;6G78T z)8aAMoxw}x1HooBdJscD*L-2m`P;rQ_(kJvdr&4nv>@lFps0&P4Em*gED6r-T@Z7&Fo`}tX>>-&DN z;TVrx;9#Rg``QEujpIM=vlIoPaotj$7-xH9cCrieBpo^%kNmR(_fAcSkwgo@fu53^ zO*FZeVqCW7r_Yu1en?lp(Kyb|tDftgdK=1KmmEK>iY5LV`CBUp<0)UmAXNib*}gM1 z+gOzA46ztzLJA9?Ca0K>bTMBlpe3vI%3Ab-=5UH7PQxP~j4!rAnqv-a_zmZ*ck7?N zUB(J8ZaRjE7D^L2wd{F+N;-8}=0}P129a?oryQ!HoTYFlE_MlF$DR*1{N|h~x4wpK z%mcHns{xJpUurh(4;K!?VWwZF4@I$Q=j2oDXAwgl?WCV#a0>RxyYKN1!Wh^30$9|W z9Mjx2$1pc+jko7h0+7%u$NN?gcJ=TD{dgqYdhCx$y46Tt=eeqGkAA7@_;?=95-yiN zql*zF9bWRt>s0sv9(XJ5(zUs@ou5`-l3c8CF_>(yVSZBZ0SCYqKK!#7ud%;a8lLq zY~klBq8{Nj9O|x2QY#B)-eDJVk}#yHIk2l-v5-ttG;uJNWsL34V^U@xuDba_G}GD7 znFlf9;4B~6?Nms9wG#CVv#?vjcca;`LzrHlfs6Q&5+I}50`T6Ju=ZKwe9i%%G8=lG z6@H*DKv7h~?8y}%wc~qzCn60c zX@;XFkd+ZTs9Xz8dAfxi8zAW$D&t%dqY=7I)aE<;oko*xk-(g3Y}fLDOg3~;Qxd0K zO&Q3=S)05Ar#=b*a&e`sB#T0!v&rgnS9TSd>R(skrq<|N)qTuLFH%v0CPy6$1wL^_ zXd)jvi0LfEFXIau!VK9HQW*PCGkoAV7HpxN^UgxqW|vxDf#_2~WR+z6n~UQHa&c7l zRAJ+nZd56r|KQ?^60>TDe@L<}2w`(Kgz?N&`8&XIlK%iCs|O1yV{iN9D2T2@7*7xM ziy2EZTq6Zbp3w%5Be0^jx6Ums1Q?$LJpQcn81lbRkxyWH)O;A<|M9}-LP`~8`&9#m zyLc~*(Jv`hn7Q}_R0-iZ(70RvJ~n2AZ7#^o@P%A%sT}~Uh=yb znt(U0Llbpy7`otr9yxX@FD=bNS}%PzR47(_6Y^D60ZXaPWHLX|VAxD}xVX036ue$e zB(7{lIO;tI3Nel~5T!4tK|SWNX_tn1-xNaAKsJtzrwxHOxT z+v@dXOKA#c~Xp--byqs3g1P@wwaC*ieo44$mJm2RH4~qE)W&VqHRW= z6)B9}fh^yU5iz=ngG2Uq>1W`&?$f*2wDzw4QDsRp%Hea#^^daVyaszUS2!U{DpSmuD*8;NBSSS$V zae&4Zyj0~nT@-_l3dS_JMp9`&gD?F;Sk6(o35Rr}vDOWtkop1ooDDh*qog3F=m>L@pxh_VV}#bB?%)^%38w5?%L zVs-tv54pK-Xk&Bj1y8J{QAEpM-6O#QX^-N!Sg4C6A);Yfhsyj6%K%&<#p?;Ce@ z=XjyPSZh(hi;&+%JAFu`V$?TIzY84Llm$kvDOTR}cS>St!=6YYOKJURkLRBV_PVVS zf&Wbr9eA*c$F7!$BrN9nG;OPC1Gg)6Tga=00$a;qDZXajfpAw%e$*15OcFJ3z#W@X@0DaguAX{>1~1avK^2Qp37_DBHY(u!Pgm>r@m3fuIj zA!oEG`p+;yK{&-(-!6pAlV|Ux(mnZCS9<~lBJTO3aDuVWqPU>vu)q2YN;A=Tol;iB zyMWVaq9>Y$axA8A$ZFU9U``~@$^k5)_)7-)QE!*8GWPgm$QWbZK*##otL!i<6~*kY zQg~o5%^9V|(0L;D9wILZ#3%GPP*WCDG}>~#AM+&N!)=0B^rvMnbPu^bg>8ktg&uz1 z&!G;~CHQ1bgF+A8z9gswqZ$OCVDR;=@d}u`WaK&l%FR|Y>rg1HX{eYfG6UCC`YbeH zhz;z4>+_}( znRyu&f01#L%nFOR!X=-H(_=*udU4fD`R7pfQeh!3eKc_%?JW0(_eYrax7C>6Z5|Kb zW*dn;BER7eib~l(-Mh_iDw*oHN+t@^?TKSN?{jhu2^KOfA*v=lQoP=So5ggaUCT=d zZe~SlM-W97v<>+rq??8A@u|@MzO&mN4l{k351sbfGQwqt2#u@YO~?l#HZkf6Llmid zj?NbV*^ycYPM*Lm-oD@WTYqJOtM|}y-1~heql$%>f$(zO^`k#!@`-cHr9}D3wmxeP zW2ekx#8YBm2^xINwW}8F)|Tx?LTL08lFFTgtWKatYW&u9R+j$8D|=GfJ}eU~5J^Sx zCOh^`!Dq3{4t!NRL}~>!e!qy1u{{!&Oi-z=TOo<@CDs2R&)+DXes^xvVV?Rdjep)QQqp8!lP zxv{gv_&vHB3>vnnCE;Y!@p=tMW06YqZkU02uHP^RQU4f?ZTQgTvogNJSg7`pxAPB* zH)01bIE+)JEDl&ghql(V!8Y|PpEVi{%47`hqD%HLyL?9INrw29eJb&(kYrz69d2Q{ zX7~o`ej0eE1<2tXqYAwga))WxOO~$>s8Q^QFSci|DBcH=aXjFh!zNBp zKr*hr9!fQmbe6mkhj@bb%JM<$-8T-LFQNut=mKZTWeD`qodPd{dP++$V2}dWCLIXm zL*Z}TTCpP8=zwILB6R_aQo)j}kowG_( z5dl8iqo^`tBF4TJhM1QX7ihlTv5N%kdZc zz^@ecx%)k}u88(k4icHi#zL~h1D#h%1QE_QTM%Svtz_^9^6Z35A-|KvZ8^aWbM%WQ ziq(6;s6K#o^7M#$iNV|LX2iC|u;pW2f(nM`LwT)IbJKG-0U$E2nP!nq5}(>V zi3Lc;EpQtN|7CRFabHz)+-tdI=;R$j4+=|R zHpMp7tu#I^CBCGyvq_wCxrv(xSfP^2i_vf`1>c8c$_Zl-81#PsL&jO4a&oraRZWZLh}(Gh9U9K68ltYS$Aa zM>>kA<~7@jJ9Usk9@=VNm`dV~GOI1TQj&AMn?Fj@BHnJcc;4Q1`bpeL5kS=iOO^;J zu_@?H7sywi&2xO`s55`DtGqh4&99MS2#x-TwJ8c)YR|%aK9U|_qCPJ|Dov0;rK~;h zp)Imfse+HU!&x=ozI=di&Y60bGSm;p$EeEh;@BH7=gsV_$~KR3Q6`X1frx4R)u2K` z@ZEwKWtiL#Mf4OOG$KeBhsZ6w@e21^m+Gb9H`cJ{g%CDSuvV&(+(FOy#=LI)18!)? zb;pE-=t&RuV>T-z&KDJt8+dc?a)4-DQ`*3V-!fF~TObJ{mO330?ZPi$Qh=b|svpQOE?Vd=knT-k5MK&sNM0l$woJx6R^n6ZTT(=E2c_c2hZ3|`zz@tj0EnRKK82LE#g^?es$Q_WCHXx-zFx3 zH(5inyPn)G7b2C5cm7EOosVNzN$UvV8*gT|`(_R%uqU#<&?)vkzS}Cj_TSzS;`{>V zn9Q^7CE|Z2pd1`}9q7r3Z+3rB6WHdt%*!qlSG-Es|14pp-^Ck?%P42kALZ&o%SE!j z&9_(yg_WCgj=K;O0iWwm|r-4krOFP)^E|$7`BtXW1%6`l7e81X;yAOvG9JPpPj8BVj|w_*qihMSE8{FM?7eypyrE$&5us4G zO-gS_ll7Wu=7?Lez8A-|bt#d*;J63X9K}CnDrlraN%8W|n%;OH8zv%D zeWPIEnETK!@>~-BbWeb5W_iJU(RT4o-j%0Zr@PHMtff2ym=k6@?3YB^*i0I=)ndmN zQ*HZ>+g;+ZpckF<=IRWs0**ezoXqgWXtPz_ z__x#Cg%K&nYi~b4zHFa!O7&<}O6&1oB>sANhK56wW~hC(IWl1{KqruIRhFQbTg5jM zXTBjJdEG`oJqWdT)o}n6!MO4Ub4C!sYjD3tECPRT9_ZM?<+WxsBK=dGwb+%^YiWEq zvVC}JOIlBjsMGtiDPQxapZi2d;VdTZKRxc&9A6^U`S=`mZaL3dKksmr;cd9S3> z0g-mGBv9VB$RX$|;VtGF`>F{wbFd=o7lVh1cy^|;*+X)btA~x+ew%#u$f=h=J`vt5 z&wrPE?|2G0I72VpE3a%{qiPv-qa)&aO?H%!{zz_~u+V{zK4!}wosOwWvGujR^T@l= zVPD*Dm2&eyJ&IW6)%%WaqeUD|YRB4-Z=6_|)rL zQt;;XMbg8@&hv%p7IIF~t3dZ_Vh*yUj-x`82yl0cScz@QtHS=;ZBA8{(uYDJB>|de zKaydp{aiYP z#+>ZRcip5`GYg02Nr#pV!dwNFCI%`^sydCu?q4+Yc1}Otvfp@1>Iq+5oLFi|z#jctN7mD9)0HB;3@Yt>?K15A?aHgt zUUkW|`{FB1XsH5vd&ZDA=D+B^jY3#})6%#Lu~TY_m0u;LrgvhiESy!1e6HSW6|1y= z27U#k8L@WVSel)rXkx$4ex7e?!kV+!J>|55aOG+wC5YyaQA)uBre`M5haGg=(P^u z;ApYa*1)=}y*cfE43u^{YBtHIFRIFJuGcT2U!3quocF6W>4rjdZoq0Qq)#>#w3P0i z$iKkV3en^5q>o39xCYlcfs}mtAll1CT-7KLGli6$9;0zc_`*okQyI z;g#v#hXDKkfU^B2(Lm4|3mZ4{KPANc&7*yCMpjUwNp^M;c6N^6#>K@=!ok5w!om$q7|8}qkO?wi z9Xl7`ueQK*kPpxh{`mag%D>zHjsc4E+t@h}SeSw7>p-1>j1!o}5@f&6fhj{dft`TP zLC);V%)jeF9dQ8%@VhN=0KfhIZubwrzhiI%fEh9Wj?Dp#_h%5G5&+Eb35(F#l8W7x(;M>@*O$ z20l^$E1mqi>fc`)|K;}2$o2p7hWO1_bN>rq&A<-Cx*6D5*?|-|7b^)jH`hNBU~;qn zD`L$6MBM)gvgT$6UjG}i{(bxp$eI<@5X5i;;b{{fUe3z;?>R7kSN*w-|1)IG`foWf zfusF*yjR^rTV)~R^<})B?Y_qcDTL4HoUoF_z_d>v0!{=bIVV{Ws{0hFek`I59iILz z;*yH2();D9G-fi%I8oziZ+Hmmh{L$ioLIOt7Ew|Y93k5f;q2hV&B9nm&YwzL*TXUi9_8^}il? z9!i~`DNx>ybp(JHm=AG`;Ot&L#z1GkU?;fTxEF~3{LnadW8P`dNqQ6N8k!$xhj7;o z6`>gAgXv}#Y_KT(2z$vGuu0>J6Wy!U1S=N$1*>!7DJQ{MoARbNS|9rJva}skN7%4_ z5Wp3DyvO>o>JE(&3ei}Y0jUe)p6~u*8TMna%aqcdA3jGH#XWdi7+-6DyvcG2cQ+LL zr83v(ywYlM6`Yg-GN6kx> zAw$kI8wdBO0Lve+jG*T`XhuM6NXFPlya~-1{+`*ve4ouaUaSMj#?RuiYYmV}+>85w zsqG_gPwvdhYq|C0hQ5(+Vm3&#->-nwsH6*vPYQP5gOXZ3NZKRT{<$t@;@W`V88L?~QOe17Q{V;luX1pW!=#E;cVN-;N>4vei~$ zP*%VD-kom6ZKauhMkb9lP~wc)F`>2OKRHIQH1yEufhmD}Vq z>c`vsrRNKq+tx|h*W#uq6T8>Q6+3+T?T&Eb-a+PJ*E`zfN8tyG^t`yb=(XXX2aIR@ zU#-uW1iO0s+|EP;i?A)k4m@8%+N^Vh*TYLgPyBQrIHZHwtm4(SY`20htsNi`XIkDv zJ(dyK46r{fSD|i^Wkjiz`SBj6Yj?kyA^C~2-rL^$b(5p5XT1kuhQu+o+>ThT3g#Rs zvoOx(>s>f@>k{oMk~(lB5`+y?d;>xcFtG>58Kx`QIwSw$v^(O3buV)5=L61kqinMP8hI|4aK5fCt*xj~4TWoT< z5M=fiHmgsf3_g&yArepVsdqpnjTt>FG8)ouRnF+9yFsFEOD_X{!b#O*H%GGRxcW>K zIJhxotb?bLA`%qb!5)UQz>wLyITGE^cnCVMz z%Be97?K?iXXv1ul*x0Lnhw`^W2s{@-~fLr}Lp@ zHT|^gdxYo_;*3%`4dT~0`cU|9`W#cVWL$hwIv>=2K+7y9_u(C`iy3ZI|X`X%W57nM)o;tcK*<1xS z(7sAmEfocx4j(&@(!v-=Yc`hi?!q?}cZR&HRx3V}aO5HRYDPLQl%86ABhKu=j)ydQ z6EBVvGK`Usn%l&0(Xc%)tkBFSU!`Mhb?vs=%#pTnq)^=;yHw_paWd?#97#jP)Ip}y>&=1Gfvb0VO0w!2Vny6zxwk}8o=IQQf{QNRD0 zR9-E*EIen@M#HOSm~+8mrg%f%OF=799UE>g_QdOn-V{@j#Qot(!O;I$fv<(qF1U=6 znqA7}Fba%8LQWzOxwqjeonRr}8RawI=c4|?#n}?=equ*GY{}rspsi4=q;&p`ZAX!^ z;_9UcdWldr$zg|-D2=pkT)T`PHpv-sv*b$ilN<_r94Ta1G=Lb^499rEqVfoRpu$!@ z>#P|>#Gb=}R+D(*-rjC8QcL^!kae<#?xK@Z4?V*2yW9L|cJ0%C@|wPJ=SZn@tLDd| z3&fm6tgnXJ)-S4%cM6&mydR205Z+Wj6NAb37i-~ zt5~AUj@zn)LR#_tj%vc*qYrGE>Tpg(|vOwW2#rmd2aGg*Q)qh(;UF z&tr5t+oW3#EfExb8)Q#}_yKcl$O?3sl&$J~BAjFf>zzcL9-JT9#Y-CxLR6;K#zgov zb3%R<`e06L1+x(`gjVsNL&JmHXHLm3V;s1-uW4+zr96D+n&@`QKCGnBjb@AW>~j@M zX1C8Qbm|!!6?rb{Tm1bvNFkzoNgU@~jxl3~8KPg^iV6i0OM68py_kJPkYIjDU zpazNPC61|JG0ZMDk#Mfq3MopFTXavDmamMYC3ECVh0CtG`YKqh<(tZyjS4!lY(MX< z`&o;ju=CvDao_I83qP8UwQ9ibm-9Mw3M-Uw|On1?AuM@lQwjG!M6_fYem zO2ytu;ZryLn2xCvZIR}X(Xvu$rdPsew_vbJ@VrS9)x|UJ9mS?NHdVTgnbN@#O^?@} zUdT_7%QdfFcT{Ro#EVIk4&oL6zJ^@Ah;U<}q$xI@h@;ClJ+K?F8!&x|FZ5te%10T{ zh`41zs!bXo9dJ1&5M`3!gI6{KIAF zh+ISzEAH5>^$U!=iW6W&zQP&CA;A%14*N(9AxW&Uhp?e&2q0?MxNcwKiG>+_-78Ht zUWnwpo{DXfn)b>iNtXVs{c}7eLlQYin=e?JGv@bH5o`BYjcB@4^vW7 zb2=|Y&q`Hnssyj%=orXg>2VG~eW>%5BXjz^x?AR~c0#$_-eT_i3lNf4)1bcix$x?! zX;|u5<(h?Ryt})VMli8(kpJfjp1jN8iQr{P;FxZG7^Bb|!OKbOr8BCvuu`7ze)KuH z!T3>0jbb`(8!WC;X^9X>a}LS{Uc2^yd`ezMI+0EL}*6P2hp0R_(9H1D* z0u+QmLIi;OFL4SaFtKs}Lp}R*jTP7))CN=ng`)qq_y7`>ehW)LUywh@00002sQe?C z{VrL6;u%Od<6tA960ErY^5x)W z{ylckH4Xqf2`4vDpaaGP#o+?R{T+|}w;=a>{GizX7!x<(w=X+T-UI-BKx1HI1*(a_ z@=qIfPM|mjybe77J^sIsf42e68R$A_K0#vzaQ`WRak+qV2ApG135vx56wiPLD*vbU z|BgRU;$;PJ{vHzxNW}d6S>R*`&i_9d3o~$IJ#H?b(g~c5ze@zb-wzFFvi?jEC;$L7 zkwB&JPu-vL_YQra0Dm2@b0Kg6braB3ftCpr_4kqjmkw0_=NJ_6e`9|=0-)=_Wd|7- z$bi0}9zl;O$Pd)vU!DG^TL2Cfw8nn|aDoN{bYcUly#L95-x}!l{<7a|0P<($1d6pl z-#_-Bd_i^p>fhfA|5+sa$1C7JZa>HhTyPc^;2i?j zfc+oZ7#G{WYGePh{UE62Kcun0PX0?9V+A(-7j5j{cU5Hl=kEpnFWT5&+ZX=x)_4D5 zOTu<_H&?Cx`uCsqb#+8P)4L@#J{+(04a5?Da%!7P#D)&(vfrjx!is4Nrss&NZO;P` z&r)Fayp7hZqy#{$&dFwd&EV)snU!Ck5iF^7+20yF4#5K4O7?;g)H z&#k?@tJ%baIpaJ($=iBN@HZqaOH#I_+kr#!6Zxow1tXX$vUk6yKP5(ee5KU}yUP0T z%!CDwrDXYy$XDkp6X8sqwHFZN0Q5!~&$P3SqEvLvfq3Vfe}dP~3+VI+{=8pFo_evCsRI7PvCEr1%CXA7PWw$U#sQxTrCcOg4z zc`xr3YIMj?-xqoJ#lV$7uKP>x>jz4Hdz5rh`1e!ZP`8sZICov}5A7eR1i)x6(fA-I zx}`4}$GgcNz+ZDYN;0N?C7ELO@KFakO$yyOJ)lH-!2AlQd2ZEAY!LTQ1E$`Ddr=H~ zdgq$psUwD~ZbXN_+iIjb`E@=ytB7MJ9*?^WkG*QpbD~|{DeZx+ZtZNaQ9nsYZNKdBD zuFB;8!%lB{$#u z^f9^EDkQ@cdr1$@1p5Bc`kC&T`b$dZz?beX);YL`oKr5#HlGxR5cyJ3 zySU#)2}8+EGkT@W-xpp|J_l|8Bm|0+n+Wg3qnVI1K1TeY?$ql^3!R_lIqPa~LWR9# z8To-0^)_;gJB(HAO@*nqGwe$DU5)=KvqyS{zR@XtdBA{d-CGoIw)<;#Pr^?B`z!GW z&d1zm_+J#!mn^@Oec>^I${O7&RX|{2pi$36fF8m@U$bF84w+KF;?>}`M}e_&gLzKz z5=N}d{@VWgWFuyX_x_|f?N@O=u#-{3m&6aSjF(=gNIzKUc*E}z-VZ`(`qDz#gEjPI z!5c9C@?#iaZ^K+r`gPuqq7AzN6cNokFvdf)s#7=(@BxUyTWnWUXc)p)$!sirxvqhP zfs8o%Y2PNyy=4MS`Fdc?2R(61vK5B>YRj~_d}}|F@-n=Dkt;ByxN=uAuSoFpY>+08 znc{nc33~gkXZ^UbDcASEk#$9#kQ{~6i6_ow(F?NRdn@gCAo3njABESiQS>6bqn~&o zvronuoFo;a+~l@yN6mbqR{4^Oi=dUP4Y_d9)&SFsT{DvNVB+TdERSi-;vqt|@V+>u zgPi|7HT9TDRaGrMi9xr{uKU@|L3$qpyV(?bT_py%H^{ZE9Jj5QjhwSu0XaE81N%Gf zVH@f6O#ONpHfc)5O{AQmTzdE+3-1q@*9lrSH1j6!B*HeG!hC4sEQV)UN(h*PhLy!z z%#8<;$=A@y*W$>h7}W(;?PE_Bjc+4iM58vB_XM_4IjFrg2ss~CkadSR1CA)TG62GN zTrGIUn2SO4?AuSaj8D+p|ddpWxU^ru07s6&i;4y7A;F z)yBg_rxpVa(NqLR(XEuz5`Xd`FUb{lHc9k9spX48=gZYMN02JmHYv*9mKZn1avpDW zL0&6NeLUAncJY~pv`4WdTYL4O421}#- zV*6N_%cJIR!K?YUBArZjag@^9UQV|7{>lpL;3EfTSsl%SJPJ_o@}vF!Eo*pj*80t` zOzZSycAH2&tWAp93s&KetaOYi#-rMZ^B?B+$rgc@CEDDgnGj5*eehK=6K!-0K|+Zq z)=!eLa$ihi#gE!p4|Nxj*A_Dttu5;t_uDgXmXRF1Ih+xa_4kCNEaV!T6SZ^Eq%s~ke?y!eC6>cZ|$A4SqeI%tQk z=0})v$MDu?or*9qCiSJ55Tzuj$!t4hE3x?chIt zAY$bSi>1O-2~)poY3jWU?H*$9k_( zc4kVrJn4F=cy&%-J`eA>na^l`sVtn?;2(1}$mhm%FUz$sJ?jg4$nvjm`E4q?2Qi-iskkl?7(Kp|R#OQIEmbLnPZ48gyr( zC(PCvs_4crhBjBTD~V*NC4qP5KQFF=Ltky>TvQQs?-5ZC&U7th3UBVu7&)cMoBIKr z3CXs%DvXc@yBr;j&2E*D3Y#)@htC0`R9$UIO&6Fle8CwvRhEkZ_8wRq$-yR7W)FBL zI8joj*LqKepwCPJ6bW2ZsJZElQ6JU|WBOh9v8`wsUNUxGuX_|TbLgP>w_e6j(dxiP zwHjeu(&0~nm3BDYTj5y9QB0~=;eo&qCEjrs!xSgP@4xeJ?1J$n)S?C0e|HxaF>_`l zNb_9}41hyW!Rsq8^KdM_tCRpTZNTch>Ij{l9$wTddRj~{ViZ+ee%T7kT?Sdd&bvy6 zDb5a$iRChSX)_+ARMeQ6DGx?^F%7OUo3<$Bn}>U;Ggbxi9cx~lC_WLcyH+NEZUbc0 z^$l2rNHytsgkp(xORhDWghe6dP+b5ojUn!3f*c&NGt;K7nrRYm#+)U1la?tVaVYJ~|DhzpW zh*g}L<%}~AU&=1BFo?vVoxG?y)lu;Jaq-@(Cn8;h8XGru0oG~np?Fe1XByV`gX5ek zsh2yk7hkSf9->Kfn^Dds1d-}%wncumIK*UZFMWZ6eeJT96a#TGrBU6o0rJ=w)WKZv zL*r@zwRGvQRe3^o)32V@l#SYud3_V3YQ#Cj3&V{AwuZ6&2$2;C$C}YHG09U8{$Ri_ zWOl{_q+3A!E4A`uazR>x_?zr0cQV%HyVqT*e#Mq$%>3xM7!c(hF&>#?F_C*uTkXX6 zV|Fu4#^HI|=R7HEIrgw4a3*d5DJwMHa4eLC z6COb3D;S3O8{9j)+l84I$)NeG2kT~->j&32Xf#eM?@4+ApoldRpVbJksWPLnEc=@YR z)+_j06m?OpZ^&Dgs~61LNWS)fbeJg|CD$A9p_Jszspxl2-dHD|FEdP%0C5T ze{cVjY5mpz7aRLeVzIwOVqd)L&ujnUV1IDSAN2Ai)cSk-&p7_<|62g|NAdQDx&2u( zeDShB{r^3-KW+c?@%QpCHuopqSNWUO{n`I#{ZITq^f3^Q-yuaiAPnZ9H`+r`e|1X}uKg92gHvO#)`=5x#=>L%h;omvf zUseB84)%ZZMez^O*cUYaL#_Uim4lLjmE}t`M)M^arTv4)Xz1wwMaF2qbg%y@GY2K@ zm*DK*a&xf$TW*d&>;Hkq{=D$t(byMi{=cf<{;K+WK>yEZjQ$_mxqm}rUmyAZA{+bd z4DJ#?fB)F>*m-#tw@j~YG$}!pQcfS|@6Q7gi;Lb{NhA`VQ4KysL{eKKB2%LF2;8JZ zNEYYc?cWhvv7**xMP>O}8KY-8rD-fvgOd~@a>}I z5a9baXbTXKkd{%Ss^$mVPnf+o03XbZHm3&W*{8ktZ+A}Lp6?-*C^UqOFk3Nr2)_ke zQ)sk$`Ck0C7XEhR1-X^6LhJp|j=#xL2}lCFi>inM$sUyfUpzuVXhhsU~u_EE)i~6XzTK1*mwxuPDgpwQ!w3_|GAp%5Mc?71IYt zcRDl%A{ zZ5J*Fq%%CDg?~kJ6v@SdqY41I4#e4SM{m)Mfp-X!1{eriSpH~nqdpe7hz9naR97tZ z$`KDS>77n&f66PQa^EH$sy9UEN4OAPuJCRITCzGgi5+$s;HKV=6mB1l;J&D>j4>Q~ z)47qjE0_}e*(D=e1`TkGEurQN711APyjK2jmD_GC0D~o3%R%U7z9BSNYPxu52w)SW zy#`C-UW4d1g-T1JWW(2Ewy-}Y1veq3!W0?^wQH1&B_gL=Vm6Jyh5B-W_z*dNQA^zl`ljSRVjAR1UbJZ_{Z!3N_<6KSG@a?Oq|w4YT(P62-j^ANHEjvegs5 zm)(J;tc__;9}wEGI&?QwgF9tgV*4d+J<@#Jg!3f{_H7p!J-$?pyh=71=P_#YZ>2-^ zTWQ5^?6Z8j*N;*TPVyHGFDJ5|#w^VfKbEWy`v^GKSwGX?mQD#At4m3eJco3WA+n|l5cTin1 z3c>|bR`{2t`u(0zZNS;js5s|im)2yeB63t+Dr6ntGo~JV2kllC;|IK}qdnG%@ z6iK!rp&P{GpIyXR@|+E_-0OngB3Zdzh2DDP%baJr3!`3rGkih{VX|+jznRvolb#aJ z?3Q7kRh}`Q=|i=lkf1x*?zFzhXFlZ2>F>B%D1525O4<0;2YF}A7A_K+u2 zp_J7vTp;?(?5a-kI-r+eB@EMw7`$9nR|+x#aJ#wX0JzTzA)ky>dT1S)N1hyRqGH)_ETdwfZm~ zsgE9wz>?tLxOlp>fv|7T+JnvAGd=<@a6Ny8bMO*vqu(S0Twl`<6-D)WGzNk>(7&f$ z!L*B;A3-Tebi$LN`VE^DSxyOY;X}A&t_gSHaWyAd46J+eaph%on>}F+PvJF&IYlcE zPl`}QMAwvK&fM|SfhxzrW`!x`X3x7s8U&ZcIl!v(U-LCSgv@A&Wa)mCiicsRKzE zv3=x{H^7qe-?fuLSnZOHkwVt7V>e8MgB9mT7OC^WeU4>{(7u7srLlTPN+mVL19CIy zD$|nXc_9kf3xz9I5D=79WXE6(OoZpYLY=<|AeqK9lhQc~8cL>*ZyOR2iADR?y3+}O z28bMghytPKo5t1#=4+%5v;|*+IJvcjMLBO|&h(|L{upoXZvXl_6KCOsk<|y+RPWU_KX}{7gbDaMv(>Dcv1@a(+5&HB z*bLX{(V1{ocmSHpV!WVdEr*7Radf1?0eQZCB+)x}--#WBKb`gb4O4Ps;<)0wcI^h5 zN!ohyqGjMj$*KD}c-8w(V(gAp2VU9u1?IX9Z{E9??neMq2|>uM-0d9X34w>8ulNsO z(Mt{L%6vv2478rQXZ&pY3oyh!ZHykRVONttK~clLaw$*B!`ly0+G1E2_AU?xcbzM0 z6ni6BdjsD0#qKanOq8pD^TW|$5du|(ep@_i9{wG4Ve$Ks*qsK_7gwEtjWD2j&^YxP zb#+U$leNQLrS%lCZp?B5W@*#$g$|m*Wx$YH5%t)K9m>JUCKakf%CyNQjUz5{pR0A^ z4m%1_!J}U7qDe+Z$2lU=i!Rg5EKBQ5WRpy~y!q8RR&$l6@mcCp!&PAo6AUUsN$83( z(ID};;d~{5oiWJX_L)*q2;R3*0)k2LVI(lY5dQYv*ca8^I@h$3!&mOJ_z{m`chS8z ztG-c*1tF@+sPZh)u=84_G37PjWN~e*7B)S|@L?E5 zSe{M{HZFKk^YHD=Kx$~+-k>ue2)My2ibzg;0CgLcC`O~od0K_~^vkCo{zy*CRn64e z*{%|RzzI$SE=Jny!x}*peel(Eek!M=ll5*jG|`)V z8;FzHq!WQ+MB2~KNG>fcE7g;ActWkB$-OseC@d85iVhq|$P9 zVuXHDbjKPrMFTI8MRH8}gcW^IZ=1$YnV&+cF5pLFdw z9St_Tk=RtWp;X2zTkL_+-xT2F5kj_+SOO{N;*PM>SGnvdG{f&cdfb}R`kjP50+N-p zxN25ECzr$IO7R7X)^Bo$erl~g^39R#SzXN?^m$d`X0hJNOl|bA{3phdM{Lg$TNO&9 zY^+}3`_Bf*(ThEO*!m;eHN3UNHD$>|6c|r+5xS(D@c-VJM)W|Di2)vL7asg&`ZFoQs@(<<^Vm98G@2*P2*qBwU{hfapeEa{?3qqsx-3 zj7MBcYeom4T?2E2cw`FW-xKKwiYAuKQ-u$OsM{gs9P5(;SB*nZ)YDTBUN8%u%UAi` zE;!)FIZWxr{ZTO0ZwPoZ&S8G+nplo`UUVXyJf)v6ts?nUx!h7ejaNWVIxGENTHOQ| zw;s}GhJ@Zjf_qFVYJ5LVdxlt{nC|@qygAbB?;HBW_za54$@PlFUYfOw!-D|4TzR84 zQ@B!5+SC{s;_2sQ4X&T1RY@S9G3V9j1kYI7+^uY@d9b168m2W{BY~+E(Mu15Rz&fZL${isVL=^hR< z$?Ngy86zk@+MWF|_U(k9TS1wd!N(0(1MP_#>kaZKDEOnn3e7TkBUR=kS?!9Z2$#oQ zU68dt&MB)3Ug8kxIwP+?C0B8t_T@%~9m#90*0l#q8CZ|Fay9lY-kLS$f_S!wsxi=S z4+!tH(a~bHa%R61KrY&*h>#yFCA0PW^vRXj1F9LAEO zrEV`L7N;b$?wpcU0CxCQ;k{9xkX5;l(SL%uidH^`%rTXZNWX<*^UY*9Gd7P zvPI#6-xUoIv{2#$OT$Uuo|lX)lu1o0z7R}aZn*^H&+Bt(RFM>4)X12@ zY)l0(ZpPW~ISU(fu-BMI=Q1EwwJF779L`14h8d>XtS+gqVY7huetrX~wLQL0m1PO! z!y^x1C2ugyeJ8QQ_O6k{bw2EgDsv}=H6$nyF{xDxnzWa(NXj6)(&Jk0kCDb~$e&vl zhm!g~YqI-tHd&}x^vCl#?+}!2hW!QOkS`Rfw44WLQryvhN|&#>I0@IVV+ND;+b(EV zWH@TGyA=VQ+#%Qk6!VA^5&dK}v-3+mD&|A*Bg;|i5Nps}qk3h11(YY97aRAOM^B*9 z6htl#P9AArG;bI*6hUxQ!9|D2&DOykL6V@HH7iyF$#sz{9Pr%o6M3!>vRoiRLT}(J zku$Hi`(TqhwTllL0&IqZ_AHGeMf{1Y;!LbiS`7iDsxnv0e}`rzp`C(_v3qn90NE znsbHk>-}XRhrE2HY#@*yo7sf-3=fX&2j&d@;~f`O2AeuhI)AoWQx^Tlw6q0vgROH; z9}Zif$X;s#`Ra6|fB)WhWSZwvaD1)@QMaSvw<47FI+hIe{*epp6JqBOgo$+z{g)tv z<8upBHckg}y*Ih~!OJOZuP0HxmNDz{A2iQ?O)!IiNH2rdd?pG zv~_TsBLHq+?Me8R$ynqKj(ssJrm=0DNnzv(HJi_Lr7yTU=l&^SKl7dDvy8gF=FRdw$FgFW03&k>PYLVCX1*9!6tF*>Hb8X}A>* z+|3!G)eZXHaC7t~+!%`Bbr+uJI$q`M^~7VVS<}YAt#m9;&b`(|%gO~RTSI6s7Mv$c zlh>(rB9Ce*WVY*)u2W(ATEXZgjufUkP+ODu+ZE%cv6Ok$+4Vx{bLu1d)wEIhRlq9A z9p^ORaUXkvU3XZKr2;uEjuFBVBy^=(g%YM`lw?S5Z(FNM*)M0N_Z^q*BWJo*u0Kg8 ziS#rY#$XioM-Kx~a+7T1#Ow`a2S#DFrg%yLoX{ z!g(>3Lk2S1P#w&-uOw$+^NIxSI2eSWcnCY1$9bnoOjak7pSg#_#*wjw9ayG~=Eu#7 z-0GZ+GSp6p{oJMDJhnC8Y~d>70#`0{Kq| zZm^Wyjy&SQYaVfhEe0B~QsR1*;+%#fPQ_f@{GmK>=scr=;%)^*g_%x4G+|h`0Y8^h zGSNY=sLL)UD|mWeI@Fm)zc|LBYyaPWZ?y$AJ_KZ6$NIX zP67aj`5=NPspCM0uS=*x#S!2Tx;eI;!3L?6!Q{kE9+#(&qTM zy*s%RmJFzmXy(>%@^t$gT-?;zmo3!1Vi&Iof@jU0qU!S*%K>W9 zw5`rkFlv%3;+6+Bbfn~WGzK}nb41!F3h#SHK`fo@96(TKyuVZM@O(q(nZsKs9kvg_ zAwGLOyF-5Mc)(d+erQ*Ryclik+Cw{}Ck*oE(%0Vbg`e+jZ*Xk_KyWl#FK|K@XPI_N zL~q+PKs&dA$J(f6>%iXo&C0q+qG6}pxw=mlp{$HUX`e7MAkU`mFr3b;UZKsVA(fMi z2#Q~+H+8!H%xmxERoz;joOp2Lkf>)y=}3Ab?Cc_FZe zW1|W0yrJpl49*SQ650NA5lby*5|S5qfoI6-?l9To_u>b&$xv>ZC7UFD(~`bo5phTi z#hB)rQNF^>mtsARlfx#W6ti-h1RKOlP+O~OWX`>}D>_O)r@uMgfoGl=XbHcr{p;Sp zBCwBpMo0}L5JW1A!!uT&c*gEUgMdzE0ekxqdBYG>>`e=O4mOjUqtPOLg-Xy|J1#7E zE|zjc&{K2$0Wt`>i0_Qoon4m}yR^nBXpP_A%BB&Es?4QrZI~EB)z~YZ-#%3+j7?gQS)4HTU(DXP&o!W}woo z{eGY?m)E$e3fe^l4K>=n=I%PR7PYoc6U#lLanH>6sUi-O=Z=$#>Yn3KdsZx=wozH^ z-^r^wHm{yWUA%{ntXPq?*^zEEy&|+war-q(>xzaH##%BV%sWfiZW!D7Crm5?Fj_(-W7%4a_TP5m=`R3pNVPzVoxHs5@U9D<@%ABM^R?agr<1gz8po5( zZ4osyiVAHNM_JnN7_0~D&aDe3Enc?0EzdpoD;W)29(XdF2|QixKhj@kz2D?t^74+m zP&xBGJqR}U$VhNM_zoBD?C~KpaYrmg=}utpl@rs-e;1#R{rL9G!O?}pP_-xpzRgob zZ+=pROTRXEq^!_NWgBVVaG-gt2KMS<-S%-IhqU)TCy3H)<#_H~6CD=+bRRe^6Pc2h z6o>-@T)Lw&cU(FZ%^@f7%gVP|T%~1HXM)-$z~4?eU>HfR8iI^-kcCEB=)$ ziM5_8(KK?Z`Sk|5jzNv%=r^87ybDJQJB|yTNPGw4ewipr83`rAxlI2JxJLqpm1bj? zZSD=&exE1^-2(Qv(PWHjg=Ciz9`OOVWfmGQ2+k6?9Q}^mTD#s(~)Z@1?El`%FXg< z>N2aGSqkIm7QVvTw1j~R+}TP>X4|{m$EPntwJ4?Gb#Z(k;=7Clb#StZZ7@)`-kWd# zF8-?rz?BAdyesp4+&T{Q+G_L-g2x5^2j(w`nUtmCAw}dlq=+DJ!6ZSWZoTI33$6=E zYsBuw2GX)!_bSsha(z*TuX_rKNoh@a{x!csA$av?S{clE_vP5fj`;aLvdIk%kjtNUtG8kwEY6NaC-&0f8CoAQOA_><<;MKN7lnc)|u!ERaVqU)D! zx4#ddBkU-c4X8@=)rm;5j2a=(Ubm5!GN?+*`rnscAfRYUZocQM8|QYuOZdMwo@w%j zZ|X*L>EHYH;i*r!2EVHtSS~JFa`<{xWz;vlCYR%eAw`B=u9M!F;lMf>*vV5d#cU7q zbyFM9Ze-B7M$9L$3$xxa-y25q#WB;Em}3{=fo`&2uWY1^8dJ)`HW1}bcD35(H6?HG z-yH+Tm4#Ud3DOKPfG97sA*ZRyrQ-G&J6pIfCTKZ2mr;m(W^*_l-ZVheWBZN;?U)B6 z;+RSkT>U7KLqjwQkUxl1g#zbfjHCXRkEV4Mm{ZID_bB}WJijnRWzD|YnRk(Ro!xi zx+CH;gW-dE_u?~Y{_xyhGPdF`3(Hm2XQfPrGzU6D2S}T5VjT5Bw8_ha&P>w?3l9dj z<#&4CV2ibsgURIg&8ds-ccDX<0lT$v{YA} z=@Bj16xSIM6-%hsmBGd-uVMi~FYSh9<**y%43$a{D%AP9s5ViE;*u=6kjhRz## zkwxB=5pIsT=wgcBs@eh@mv|7(*>WsB>q@Ygei2<)=JctxN#vM+BF^*p&U2&8Sk5A}G?0If}NqJ&$75coqBsazjnP))2($L`XCZz=5} zcgCv&a0OZGm^h=CdjZiPPUPWLBRa*LI^gIdg70&?XHIgTuM zX5xx02Gi#3jKU&-VDY}di<2<^TGa$H6TL`T2w(#}xlQrvORy9`s%h?pifWNl?m5Yp zyl`#Jbs>YA8Vl%T!EH>^Wozdzy@Hz4WMT7i1)-hkif`4INm5jo46GWH?DsHw;x7zew21ZE=(Z551%)=`a#($c~!%0fSjK=1jDK_163NRM#7x9ybkB#&3JiOF6+Z382sRJ0-SmlSy@+&(I|&zxu19; zSH}%AAp^%z=ATIq;*_(6Lqna}3#HJda&xnsP^cep`(3_0cpOPtS}q~E!ZBxMLn}$B zgkk9Bo!H^&_}Y1#r}HnG$TxgM=r zmo`Oz2}0Q~I)JSKFit+`=RCvj2uB#b>?IBP%EFY1C=wFDJ->7lGfQ($;|k5cukbtC zSJbpwC)@%QZ9vZPguyW$akyxS2Xg5{^9z>`=3c;Ceu&b_d4TnNxGcVOoA2wF1u@BlPf=af zBnm|>Z_)rCbohu85RjkvS>4&hCijD21^Yxj5X6O`$CH+sQ>0LMRo#3dZ@U)|Xg8Ds zy%R_NNIQ=F&e3JZwewnF0{r;MKC9Di=`!xbBKoSMnKv`6XZLZ!g*SLu)kgt#Ff74A z&uy!fA3r>m$CgL(MPYnY@3upE&#jEcgjr=5A8=GD2}QNVj`f3qXa+wp`)?rDxJllZ6`bz)6+*T7UzQau^??r?02mFPD}j`RHiAb z$LArNcD9BG_w)>>4f}fBbqM4ZNn%;_Cc!t0?)o2bDDwD)K!xJ8mOTy-vm)`{icc)J z=GVqHMHbQrV2R#@9uht+Jfj*Zs3Y`?&kM?osWllMvywM1ZDUBU#cJD;Zjr;&IGyir zP8ZyXwVT=(UaQ=D9aMluZEVZBArWFK`Pq;X@vWIOAd`B{^2KEjxJBJQL6^(-ob3ov zhAVtrtG^BCdQ#aX^*NddP)i84Vw%<5dD9ysyQEM*yb3+^nGFqS^6;DI3npguS zPZ=TC=OA5MfP(IASL>4W28j^rnK2M#|47x@;Z4qDoq)M#ViZIm&5w}_dGLqKdzbUW zM_lI^=!faCl5nT(2mzN3Z2?6v3_8*x=?)sOUD%8}jdv?>8;HcBQivErb_)~By^NBr zLGnoV19xRUW1=9zmIpO>UC0!MCZmjNR#@R}%v;gV00H`GqM_bW- z_niohst{#k@D8{Tis*az*>Yx-lS^dnx&gQ=7^v&F3)yJ@fjZG8f*DB%guF$}T+#69 zC~<>yG?=CN@DBx6inW(4vqZu|6$^O9gfIT10!Uy-b2(=xn?FTYe%4--tJ zamg*CIK5EkWBc#Eudfcmk0}Quc+RV1pd)dPHQvCQAx#~M0vQju#81)NV?%gsU{FM) z)Qp^0ACU+}0kIWu1l^A0x@|D+mlg-khREl7Nl}}I99-cI{8r%DkO`y;LHC2Mh?S9M z7gWCo)UOH{m{ujAV)}=yyQS`Vu$mF1nr*k|_EvDVO|s=S4iHlGl)D3Vr_1C$cIiX> zppCNk`Mt>M#u)cicJyy~&j^pIbS?Z<^1P2jS*>ReG^w{i{}b0J?h{yn!W&A<6Vhg;elEL%e(;p7qSRsPz#ZWNilCQ)Pp+fw>YiLWy4lNM)*b|>YOo5KGYQ!za!Z_Ve z2L;#D6X%5@Jo0Cf6$E9(c7yZ)s8@8!}UfaxRr9&(y4ZYpBK6x)4mWPIn{NXp}NO`kII^%=wmAloGcSK zK_fWolO5xtKcbI0>$~9~_|c7>(o!awx&Pn?BGQe-&m|`5Yu6&1w?`DGTzr#<PQ#@Ox1&U)kCrJD}R+! zhjQCeS;qOi*6uu$TZ4YR0R!51HB`d=eYuMrRUagPue515$=tN^llPw2r#)fuw*@-OoT|%_z9Wcs!LnFM86&V7oOawfSLD ztOIqW8o(e7Vw4v%>BG;x()I>EW`mL3rK7J>YsX1m^C11xxMq zMLTShsDP@!?CqRD_r&!i!G1`c2DJgZtwp)QAmB8UR`qsHxlO`7z&^NiMie0C58g(- zA)cRHr$ZEgEpYWT2DxznBB_WMbK)pNxp0*(Z9hAb2o8HqenNC?yW%Iq%UtmU5IpIL zpzpad)5(6+gqCqW24UZ>#bLG4Ys0Suj+}`lbEw;jn*#u5BC(9McROa5)$uog<|Ao% z$(2g>-Q%Vp#w8>0d+5X+#)bxD+U8J6^Z&-koc1l_Y{1^Te4N{Zbtwg$(J1&{;vR#+ z97IkE<=_fI*2lS)Jghu|GK?~mwh#Uc=9#VShS>n)N0`Ne4fa?5lrW9Ax|Y~S zMrm`&3)=?5y!L3@21a7)l!J`r6=~)fj8yd37K_`_i2+2XOs z@Ja@TzhcLRFNcHOSV)J{5$|Ulltc-*aRkY3T11+-ae!NI-{o%OFH-@R4he zjDxk~?q82;)|<~GX74laSQ9k{OA6D>wUr8=8cS&UItJw~y?TE@{>|d?2|t3U4#*P` zbvY!|GzHLs9r?LHSbDhys#yZVp*a1W9emHmv~*Blo&=~@p`LgOfn^6$h~o=fqH8ME!CQkQpG`s zZTT5kS#4hLCy4wC#N|5eY(O2hJZJssWOlT|o4 zAgKRm4lAtWU%GBSU3{`c}4R7USF0}ZO)X?< zXYasoqHFhMH32~_q5Jp7m+6ACseyxuJ+Atnxfx%E7hkgTKYjd%vBRGz|8fWY8vI}5 z`A5~C)&D$(|7iA)FjBgfhX0$x75YmK&-_mlhyQ^bp6N@}{tt_0UMph@L)`xta`^x1 zbMe;+`+Hpf8#(;Hd?xH2>*<0%Ur``f1=g4#^LSN%F^e;lyBCvE6JE&QCbg zB+PZ_!9_#wE+zF`F-Wc6DbpoejLz3Sx8GFHr#it->lnyJ( ziZdX>QLd}=!z+uX>nio%WCBSCfh(W-F5XRD+E~?sOrx?uFA>)tR5L0%K|bK2g~VsO z`gLKp!(mAI`|^SO^jY7^d$m}BK9XL7+%*7x6??XEZb27jGYAPH@DZb{W+(U@zcF)B~rc1;trtGxz-7a_E2X z-+d1Lw%MSg|2L~{`afs+e|Sp&i}}Wvq0N7pVKDz?zJaLN$bGnRLMR?L(aBrNmSBaO z(ZEiC$1|hK=7W~VlYA_c=nn^$CIA2dBO)Vf0;eH_$SjnB7L#4#pu*-eQcS-~=P?#8 zEtn;3D=;Suhi2#^*1A!061>H1?Q!|K!Chsw?%ue$KEc^^qQ&zqIDl$v%T#k==KGMm z$gS`<36l=pg8T(eFYasN?-FGw4ip-J^st+NaQlEXnCi_A&6zGswFlc4JR}Z;N(1HU8rod)OzYiA>i7QE<>^@)jO6CqkjGz_KzZh09)~> zNBfSxEsQhZjd}a|N^6n#h5$zjyT8bf?(_0}U%DQ%Q>ep01;DOZ^PRkk;L6jF^=3(z z*`g0s1+>WrMdOOx1u2mSlz|U6Jiz`5IULkihPhYds{32M4&L7qGtldVrf?G zY_|@vh0kp@#D>4p6DR66uLD0!ynK9wJPKd#Hc));xlPu~r4GE<)}jrcr!sUO(3hdc zmP-0W8&tv-eKYt%uad-X4T`~rt!&!zK;vzaI-&ET`%Iv?9B_|nxCd|%Pjnr!yDg0N zM7NtBWXu5J`3~IaV$5jRd6kj$6TG2c8YI57GQb$yC|BRw1Ati~Q6S%=#q7;|y)b`~ zCPz$`!TO1q!jI*~SOs8JgKGIL%JdT{4W&U#2XOo1Ri_Iz!!&kdToDTGPTDX+UKjN# zp6E45dxn@L$#Of|Ku5S^tx4Cp!^3pTK2bjJ0m1Z@xNv78DWPisV)S~$WGTqcQR77p zumP@Yv#G$QnOb58plo5W0HetA&=2fFmIrtA1%XAO%PGoSW-G>J!<7!Jmu2lWn`RkW&m#&~>?Ci4{T`Iy$1o|?=z&aRvX z80&ERZy7#er2AD3#f8~IKJ0kCfr{%%*D?Ex2Oi&$_ zXLE^GIzeIqx%~17fi~JPX-B*4{$|zpY6IFCg|c*h#^N4zxg~8I&_$8G1&@r;h$@AS zAxXK7wGBEClB)T$L6gr`UX;rsPvtB>*(Lc6)!*-mBjOzAit?E-#wg8C-v+&MprQGD z(=PS3C6h0u(Wok@Fjx4f2g@cwFkjP^@itbUWFV!k@KP1B4>I#KBDB8A8kd;8?)Q5; zgaXRFAZ!-CvU||b6=~Acu0ZP&W}#EeFX46H_E7N z?XuGYHP;6lEMzI(z*g>@&eg0(8}wlWeR!HAW1(vy@whkU5SEMU zR)>uR3o8c)2d9VnQ}v0wwT!g_j(nCvmXiBi-iy>eVp|_cCxKXBj*pWR;2Y4v)KgOT zJ!0JVX2^@eJA&~X*oPi*L|gB`u5R}$u7cSs@QO3zm-Nm+afTv>_?qOM0x08c4h<#r{MQUrDw?pa1?#Th_f8Mh`&maGbr*fz2)AC(yT_&qCRZwcxcn zC}jQty%oKpePB1U9({U!RASVq`r%;_oC93D<^$3aLbG7AK(h+7))KsNV(bN=7de`4 zzxjVOVF=fno5o{m8@yg=aSZ(GMPmYbcR>J6q~4Pam;N)#O*G@&S8+V7(g0*_=ZlAtWI1_0m z?>wV*)Cp_9pwUlfy z0!yB@wcSD?WK~f^K_xota14u8uemQ1-*}aP)_iGdEc~v1E`Be+-`~Z24Nlxb!XbXy zfB`HWZ_joA#Yohaf&3SLCJml;+&;2gy+)3S5`o;jc7$xc5f+hV#2uVn4{UTM*o-|| zh>|Hq`1oX8du={uSzH0!gvH$tZ{v)!qscGGjm*k9wZ$|YSn zoLiQac?WL#x#s&TjYn@BM-h>DOo1$fiWf(}=fvjF5>G0Q40Rf(vg4szCd%?h9aq2* ztsWc4lna?&+8tAv(zv;$dsdWOGXd-(;1B2UWXhcLP|cCzHX`ap(x5G3+-@U58UxE| zs+Q5%wKF&AMvJbHyB37o<6bJ{<*eBf9y&xKi057|nrBjn0XOOeV~IcjoJB^cF+7#k zEY*%56R*aXN-S^6G1oJL1I#Ym6}ac$l~F#sb}T#vGrI@%L(|nHlBYC| zGG)weqc75&*RsoE#Bjvq^2DeSqK8NqhQyl-sm|Rx6pf zRdniAs~eJDF;3Nv8gq52&C(Uqu2g9I4Vd-VvUU!AU{$eXh*?!4qZF#CiJN#n6?Us{<;~2Bol`&g%r^dTI@cw!IdxnPI%Sg zvri1~K3z$icvBezvrulkT@Bkl!DIeP4=^2sf<=XYc8mx2g-o5((+R-WO|=x30aT68 zY>`8?cEs0BSWOT!nXfB)d>~Kr*5OdyT=fuxe9`cvVue z6PI6URb%&Z951a(8{q0l%}KRPG?s`LP%a-dMU~m`!TnpSLs>StLkpvS_UYK>F`ODd zMQ+^*o7>I(F5t#EY&GCrfb_35!07n9-*9*zaFsy5=dgT+V|cA%M0GD4&a>$YkXc!5 zfQrWDRfs6MrmDxqvD+|%HiN~na!p~K_d*C8>oUpuh@s7dZIHmU%*Z1Oq8y zx#cAjBj`z=KH_bokwxB5uYtfaP{y3g9FfFC1B65n6D+JXxWZJ3xpv_J$E>6Qy+glT zGCN2)`#m$4plDA^#>T>-=q*BL5JQZpI1HIQdkNB@V^S<}j0}RVwsqXx27bIjvpl(p z3@}LZEfC3u#|f0k?8pUTa5MM?hKACa7klujf+Y}G?4-Nu$%_lH9nmIUs5MfKvo62P zTO2NlF3@X3YoMBQ>T}XByXih8&?!VTn3-lT4w#H=fm5)40Bu9iax;Tr4t}>PSM39LN*|zxu*`-g%Ti7Qgw(MGlq^eg)OfaFv#mULZFAbFY zY8w(KNOkuLKT>*OibuWw5XaG8Yl4I%P9!pf<-q%BuYKP|iE3D%VaWlj>$}|EBX#YA zX!2}%_&&Dw%=46&aXMj1j+w|zh}nPkmbLLt3jjfWA$$28b;2>##KqomwG-4tor1yq zU~b>4$_>JW7nnJJ^r8F^-fn=pWY(!em5ZPgAGxRx(rp0gzHNL!m;{y7djJ_N#9U5} zv&|lO-qRN0)a)dK3B4`i$(LS~X2qT%iK=pJ#r(h6JLf1(mUT~exw>q0RhMnsUAAp@ z*;bcr+qP}nwrzW=_c?o?bLZ^2cg>oA=UXdQM#dL>Gcs4^oB4afN4`O@L)gfJO?%3G zZ@+6j@;sPkke+Rz7y2474KD`F8NaXGHuAu3y(k+2#Jp9-E+hCdbeyFD<{EHqw;uLd z5Dg#z%vrJ_1U3bQ()1*js9}vnLan`YuQ`ZZEuPBc%}chKPfS!J0ei}RR7-key4mI_ zm~na*d4xRIQ!^&qC`20_x;&in_tV(eY>~cvR)CskNfCNw}ZPb6;ifvj3Kkhru6*>MDRBcxJWvU?}bl5 zZa*sdLQ5p~+_m%60{NZE_F#sINimFR+%LKIs7Xpm^q&b6&x^6+rBVB4MDD?oKYarPf`)Xd9#OI!sBC6B1t5y*4 zWHV#9Y(gZz_u$VOMoeZ!OZwOVr=7p=!P|uJJrSHNO>nL4{ zbR1Sq*ckc!Ot0BT+%(H*>rCU%u7?Rp-@zCp1Zcy|K7!Ct9GiD4BUj9c!O&Rx_MLl( zk>50X{kudj;vU+CXywAQo%H11{4ucY+ShNjW~t;`G>j}TtH(;|`Y$Yw$?mgtR3&RN z+jPseYgMzJ!qBcZr%&gL!F&y-1?~}Vk#EQ?+LZ~>a|-986=*6lnq4!eMYEgj@b@+? zR=$>#2#aHzRl(NTOitEygSx$V%wxwRTrwFB3GqAx($&gL3$uEt=` z992E5OW}A4nAmz6t`i-fbhmmjFi zphp)y1mlpT9=WBPiILG=($sA#>z&rry-H9{7NtNGrEn&UhbN3TA;%ENz=j-|6>ZH; zpg!J{ZyUYQ7ml}$-eVoQh8(IEtfq*kpo}&s*Ds2pm_sS;wW;i6X``4P(NPTodf6uG z`4ZFF?OHbj6iC5(NCN+Zg7mNuPZ!4UJ4(q+5`6Wjup-c&#c(&y9u7n@R+jP$ z#AXA|j#LmAqTOyVt{WR)a~)Gjj5RY$t?HxcARqgb7H*`((bO(ul?WY^rT-WQsGWuf-_k7gr|k{U1>46mre;d+3! zGcX5w_3k5iI(_=sF3|(l2iGZN5#Fb^S-0Q9h5B6a&B1K!Cd+n5Eu^xYASI0B+(b_^ z4fjXLds(CM4M(2A4O8dyju^1;xm%@3#thTXU8RJfK1VT%{ob|V^A#vIEgf*iW#%qlARi|eRyY=DPXaN{U=NljFK}&0cd4GwJYpz8(&dCK?SG9R>{^C>v<9uh5f| z@-c$ie&*RRZZXup#fo}*L~DF*w-bC5{p|FN#~V%s8?dCz@_7n`^8FFz6)(gBH`+(9 z?;^IEZ@JKN4h-TCq^|x?$O-+0J+IKik4MuKTHI;_9_M8zMy8D04|jT;Y6ofgM^)|P zp6G%Ab@AFrv!?i!caeErB+Uyam8|fI!gXH_7Xm?7ptE5Rts6jdXlAV1*0LMQm5}U1 zCjr*j^3@IlwNKghZ;{b_kR?wNXFyBVHHu+|hH!4})5GwdlHD47yL z7>zOb&RYBA*QN|C7RIdk5Rn({6d?xhcpo7ph_#AZ(8D*4!y2y(*x$lLOS&kQsm?7m z*~HyTgACk)8mRAxYgP1YDNLuFyh>UwXE(=v=)O;8?#kwol|>KjDsadb)yxzv*s>`J zpqZ%Yc|Mkg9@>$xuv4GNm^R3n_66-uM?Pz6%}c*kv}_zn+On*@9kaG)F?W@Dy)8D$ zmPnWjs2+yaLVSRz2i8LWoon+?pZzCp`!7%ar)T_6z!Wnx^Ir&cy1y1D{IAaO|85yV zTAIK0@Z<9_<1^Fa^U>k+)8q59;tTTP|6s%yVEv0a_3zGphJWB{{*TW7PlWQH&i>yp zoPRK||BC&c3C-@OB%`E=~Fvi_r!EHt!#;c$L) zp#C@i|9@FU?KAIRsOUf9f8lUGtNX7woKG6}e+=*6*|yV@(5bgui;}V=HBD=33TTru;*`1pg*kj zd*0A7{Tra|k0bEsZ2BL}{U3|geZuws^WA`>t9I_*=O9Gr=#yUD*N4QfiDGue_oGL| z9X{`>#|&c(EGb$lJE(%S4es ze|4zxwF)w70^Emg2M?P2Tq59a?~i~f95)VAS(V|#2h$2e-b?oh&V{nRG2#5&W zYQA0`EXoSJF{lw@-(POZn%kC~*8o?dSBZ&8uz|24#J!bca+agz7){3i? z;UTVlV107idb%AfxE81feFeS5yX1Q@+vwIJ@_p!67FPgIwMdb4x;$>eubM{~vf{_6 z>j*2p7eUm)FjM#}y)svl3a{mlxsQdn-jB(b8e5E444>ZyZ0~G4 zUpZfaSgRo9g7q=?Rfxbm#opox9Y7aJIB7VkxQM$bJ5}9QGf=hSwBlK!Y9Ty}RRmQ8 zS_(%%P!Ad9q8m3nHs7YUY9%LNZQooKUosBiuMz9#2I?cX<8pS&cu0zh7T-29;Brum ziAsSV_!Z<;h`i+KO^bx@7GB0)7TPsjw!TK%2|Dqy5r&E>iRN_EJ^^f9O^aPq9BlLI zs4P;aMW$1N{Jo#eLbq>m_Tn+^H68OwG|Yr00-OEKeFEnEggm(-iYJ8?y=#ow<)6- z^5($jCT*jL5UUv6h+L1h|h@8iq(qLir=^2Ix@`@5?c|w@uqp%+jyO}?YKIbNdMTT@3_B3~<92y2)u{J>*`!(RE?``wI19Jxzzb#mMQt1cp(50TFSqAN0=C>f+&`6;q5PG@-Fo zCim1r$-Uixo`wt|0t^U{N5;aA1MByD$&|V2sdXZ;>`0aSUXVFkB|Z(w_a2p3*@Qi> zUKmE3C4jxn>gEK`jPFASHE>AwT}Z%klpu(ZH%p`QJwXynqkOtsr&B#a2HO!UVG%;Z z*bP|sW}F;)uYF2#3MV_S06c8IO)S~GuAk{!7X&rB6mvQ0bMNVAR)h`|#E7=78LKX{ zi>Wr4Qg+P_qkOaPmqX4yI{syh9eOdMrPw~zO9sznp^f1guGC&6jLnP_%KbcGt_o3~#@UjY z*Qb0O|rjwjJ~ zHcd7lnbH`+wZ>jH*6)=NF=Ia!iWYJ;qf|L90F7txrIrZqg>8i&2CS@99j%rhT~uFG zS7y~0I0m>S40vAvwe<2Rr5X03Nl~E^LR2W?_|Tu?RdAk*4Rm<$WbYbe5!B(-Vbr12 zA-Hq-7Jc)h62&6I(L;(A?y3znUb4>R-Uj?9!Z0KplFb>{Oxu@q8|EBF-sZJRFULf+ z${yE-waTy0OtdPV-;M!IBb7r2llA9`Y6Xkxg3DAa&b%*puG0eB@s}KlUSaY!iXPeH zJr5vGCY2+Go9*TcY9-6=ip$h2--0jrZq^Fh$=4hUUa9If%AQ;1Js-Ys1N&lw`ublv z+c@td*p8H!sm&aSeY}D+h}mt$#U+ZFt+~3`ou;nPCuqFe7|v4>Sd5-CtT^@bd(aS(qNAzbt$!kW>F9gu(q~y>tVgOQjeFbJe^ahz zUt+FDYAA|(L#3-pV`yYa{wBUBWQj1tm%O1q($UkRwvTck>o;3Je11XKf4@7vn_iXo zy?zZjwBq_*V90$)Y#{!AnX2g2GfbwOwb_Zm-ubv0V))|fJWh#HGj2J7O?~OjeVx;K zZM&@KM7BZ6;p3O1KCC_*UR8Oa#>edB?Skq?X|2~U9!2fVMT|JL`UXO>hb)3IW_VY&+Zn~ zGmX`hW*U2Ig9j;Rr85;w(Ysu_xU~dlM-vxoHpb}X&+gE#r)8+6N|{Sg$~%0dI=&!; z1j(~7Dlff3u74k=lFY9zN*X>VADrIrqbypQRX2E`c5Y~}tfEFP7JMXMAIn8$&Ydm_ z8a~&u-QSPFh*=qkH$-7x?(>o`rnIf7wo@{rjla%yA)EHhSr833HTYrhV2Q3LW<%CQ zQ_s-EqR{m@A?}?~TV&Ve9R;2-Hls8~^Ihch;?^v$Ep*(fB9|k{6dgMHS~NGZsrMv7 z$XYhWM_qnaxzbu!PXu?k6C-M(NfdybF?Tq{Yw1ab>l;ne*XuVdks&`lv|jAJZ7xQe zSJiaiVLNrulM-v_iA`s#FMS9ZPI6bWbS`B=_$)X(&g}P%6;;i~51(_>_RsI~*$gaB zx1M!!R3`AWlh0L?-s0d=9dK=C?9VW=h5K~^9*ZJ-}NU~zOO}Amj&Ko z&&g6&y(7w6m|PIBi!I^qnZ-V1<*Jv6xMRzDm^fDdWZKJ)3jgo+wtA;qtj z2YUG^j~8VnC1mPxL6lu5BSn3g#<}B;JkE7UB8WEuqc+W%{opGGlEu4;CdHRg!>{-N zDuS!4!O?RL+5!wyw&WcaIYR**%{PlZ-t1%)Zc9rVlZ#&l;*Yh5r#ot}IZY*F&56YW zvxMSD=cY&6jfkzjw9Nd8)^9mm+eD`KklpDSnG_}iYtPTyjfK-`8<^c0w6Fs{H@vim zVCPzd-yf~w8c9yP<0wZacq%?I+C!;|X>j_n_74rOn zz*p-AY1z!&-eR1HWb$cSxR^U{;d(h)B8=W;>2G(s=5V&s)jrWE;~R^Ge$`y$ zL2O>t$}h{kZ1Y5hDk+Kf=DPKDLH6YmqwP$z1IxXsriivj-^rGO^qZ7J>GxtVdRI*m zZ%QRbTbO7!mU~}K5g|(@C>oinCYO7=O%X#%CB#)yl6J+k)A@+!Z{IjO3byrXJA8MdClNLtCZU=RqO}y+t<{K34=2 zi@Zc#j+QW>Y-?=itR#);tJ{D(I=6d`Zi-F*tRzi9V&ZXyY^c)*qqo)-9FL3?BYlh3 z?PjB{s5f@EW}wDv{j)8&=nj;)O|uUQ3IBwo>C^=|V4;Ksuy2vg4U^LGi=WHC$N>fwBtt!^tN42*H}|Vk&eiI#MXLt%d95SKv!D z`=jqsN(b`eEPw%`<1yJPJM(aTbZtf|(lg4_SxlUn*!q^wy{*!ZQ7 z2F6;rL-g!(7-@DZF38l%72EeF*yhQ)&TZM-;df-`rTHc$+0Dt@<)3lQifum<<_<0} zqf@4wRb}$&rRLT9QlTR}5nDBE0ZT59^0f{1F0pBMW1=pDZGeF2Om zxWI!;+ZL2srKtGXR6Usrro+$Q_6#*}vlB{{ths+WW??kf#$f9#(`5}b);dP_>S>fj zWs1MZDmw!9LTFk45Zl90RqlE=kiOMnsxtjPLx^VDW?Zk>>edzvcDvO0Jx-70_+$%ny`*0~=t^jEj1%*F zX`1Fng&gP&ouxdQK!YA%Zt+afmEh#yIN3Olu8}4elH*K{a&m zsYk}T+AzrmTR@yosF1q+pyHPS#yjW>V3I{jCJj^-NT$d8kyh$2N*f!7_dug61td*J zEPcx(mf2^L$pB<*X8}gbLo54nWg_~binpd5Haoyd;Sg;mU8Pj`ldGv1!0s-kc+M5t zKCxnIeU8tyNVTPt^6D-%2FX&=ka?Lj5tTG+pOC8NaSkfy(Uz*ThBYddck|hVlTO;Q zTyU+kkQI!u*%l$7$;Ugu#zJ-$bAQ%J^A`a82rZg$=f!?z!)*W1h{7fhawUqi1~>!x zLzkRXPC>&wiVQJw)3NwRslmr`Rr#Y)Nme#~+H~YNG65oVSOH1QC|Ibw_@GHS92Jsb zFl#2gC`R836y|r)fu=I1d)#n$Fx`oYx$F>c$KBW5+$8B=J-a|s)bUHH5!o+Mnc6cn zYnmqoF~N$_7r$(c{Tt{Ni7U;N&xJ~>n}&>vrpGj;rFgraY!4kbfg7sW$r1%^jQ^bOqz382#tf0 zvXSI%0D>if>vnpgFbM2vnE9t3I#=Wgy^m~gN<8hR3+N@lwfH&ZVR%!2!2JNfybR+8 z6m_IA&pXWBWsdwQ%b{cj}Jufu*biR45)VS`A zXi<-c8^Bv`+P@E@y!j?QMoVLT;Ss>*myl)(qFvvY`Uy znVZzcs+exboI`A@k=zE`9j7;kb*(zFMA+O`xQ~OKB5nI%XZm2MZJ@YCu60q1&`|6I zX1*p3Ct|U)q^2~PH*q-q)gs(E31OW>XcJ*xZq|)mE7lt0w$ao>UWM}mh11o;z?a-V5P26?9?j}=iF#lamwJg+LiTr{m>n4 zClq~;+;G+Bpo>+##b)luczqi|@G9ZF2 z0=r)LK7^mESDl&k4s?OWL8Ukx@kZacm83*X>+_a?7cp@d;#r4Bu#IKQw12#mm@V{+ z6j*tlplD@=H5SAmp%rkjvdJvR=s=wJHZ_tl3r=weERKQ66ff)whTYI+$gwKzAlb#x zi%3c|4B|~$mn+11Yc$a`Jc2hkVJ!EM6p_l`@ygqKm{Y$0C=-FbY3q$T%;E8$#uN+%$3q*88P_!(ar;%|~ z7xivMK0UxN?Iv;mEth?gf|wIYzj#%7LKkYWZ#GVCQiIqwSaMEuT+`$AuRmfbH;9hS%%;_xTrqfLI{g!Aigan5y>L& zIF*gbH)E6_qD0IB+)t#AUAJ-c31OY3y$!apz&RDxkNn7XO+Bb6nr{*=2_=;w?|dN|aK?l8=dn(A>E`c;MxHYd=E&}XkWQitX0kA1@w(rEIwe4%%3`hoQ4 z5Dl$9ev+R%+TTcNQ$Dj!gH}M2fI6< zrz#g&R9nR4trW>18STL6U15{4E%ed1ge}$^hUmmC!&0OGl0}hmG*-qgQuztEn6HVP zUPkZw(0=|UZ##GUQYI7d?4;H?upB*L@zAb1%KlrK9*ZO9TM)TSKKB{frD>_wIUqRI zs*vD{X|Dji`ID!+3;W_m57L}1KHZaoMtQG>8f;^`Pm}daxtg&L=17n)*Tstjl zg6wAl@Ik($nMV@a%9VD!7bj$QsXh5L&hs{&q`s z65fJ}lSuAt$qsJtTVWT1^s4QXnQ}_iYn5R98<80F37JpcfW9*@#g2ASz3$VI>LgOP zq4zlhxW7az7u+h0_jg8M`$D1P?=Z3rN0*u`Zb4oLW!6q&VgcA?Aei|iciFiitMzpO z<^FvISaU8w+8oPHIri^PKpohYzL1HpRMagd(rSR}Fj=M`4~Tc?bg?Sy4S?q!_}?{a zYFoC>Ey`??n_gnVo}d$pSb#h6@18Vf%S(|SP1KUM zw@tr@n>-we-dav%6;biUF|KRepnI)6?2xF%&?@6;tNYCiU%lb0W8PNjif;?Fl}b%& zN|$>*);RBZs^1nHTnp2V9Su(xUze-2lUyfoYTMUU#5*gja&|2AU`3>0XPU(}Z|DFC zz6pz&G<#;RmnN6SFH55}RU?5K5zZ9nU#1y4r|w@RHn|%3(6uzn+3_770Lv?7(LdZc z)qPv{aoLsq>0y>$0GRc<{ZcwPmlBr}hb+2&LC)$sbf3D8(Y-+4S-n98@DfU&QACKN z37!M48X{6n0iUCqZUtkqk2TItVcaq2yDjQZRv}lfNq*W>Z3KiB(lD&p#x*8GC60qX zGi+fiTZ?He!7<|4fSjF~J<}=zN#C^lG%?l=v;Hi_rMAR4AqO>cio*IF(zDV@R#(y! zGP*t)YR(~4^c?iOS>@)BjV=AUE2UvYf|3j^yfGE(iZ5+nW_vn~ex`hVG1ha%G3*TOPI{zN)y&jxjrBwz?;{u ze;)f?f;uzBoub4NUszp%srzy_Zt!*%2C)$MZp#vikSWYmupEKllCnf+s*~Zl;H*C@ z?wv77%2S;xeTs@~7e0|742Tm{7@0xpJDNaq@iKxXVd*Q$ZbA{1CzATvSQy6)g-y%i zN3f9QSdIu&UZp@gpMw(S%=4|Ul2kl~fMbJk^xLs9LU;8ZH4{_nH8``8U7$zJ4>+cB z!C=ECB`4B(>7NItTXawhdfinv+&O;Y46VG(K;m=j++o@i^WJ-pzFOM10AK=e2UzOV z>{dt42u*yCW@7?y=1frUE|mK$8(g+~kiV>Jm%t0v7-v~>Of<}fTg&*yq&;&`>;u>K zTX@!Wap>i{i|A%K_euoHE*9A)ZSQ!f!m%3+!0c!O0%O;_piN}ud*vV;?Gcpe3OX@-KN@|mV z%O$%I3%I$#KO9Oim(wd@r;yP&*aZ*Gan>G>a%gRg1F0e;Xq)&-T!ke*bj2#U;?D2N zW7Or_tT&s^@VtvsUJH(sfvIzW>~fgeykEoMtW@!1p8{V8{KD+Inv$)Fl3+_&N9mZu z{Gi%2Qr+POeucZdve3a+-TH8Ns~H`!t%uIrVe-c+aj2!9WCBBf=Hj^kFo_m%N(R~U zqbr=c*dG!VNZS35R>cXWt+NdHGekLCV=z;LWE4r}>3ibkL#L1eYP~#T^EbH;IZw3K zy`Iiln{PkFFk^v8zS%7}yB(hz*+Q~FrHuA$8oQu*I0^tkHhYzS18QLax-?>k3hm)( z%=4<4$M*UXvCx0%*_O1w3<#ZM31Ge)Z|!Bq$0zt`bGwkiur|DKd_uwlGc!C^Nw=)s zXQBFpRH~l2Ak#xjbLm-u#mxtB6J>?=C^CO=z{3UniW84@GiH^)>KD?ay_V9|`|g>T{7%}wK+RTbOl_8tn zZ5sPUt>{oKEDvHc8n!KrK4&LIESI*7m2&5E7pos1$zV#qx1gsM(l#i>rPidUe&`-%dP}WkJELCMhP9drqw$#iu@t4wzEUME z&5mXQp2GD$@AE3~dCTP^PAfd8mI>to0F98&aE3HnS@6`iJANl;_p2JL$t+G} zwdXAMus==LtBzDs$uV5xxZo6ypGf>%p^9Owqq_PDMAT%T)%UBo>(ibzT7=T zuhDc_hZDmMjB*MD-H%{#{?5e4r}%?%&>hbt)`qCCvC67A;noO$)=HURi&H+6C!M3J zJ)$1P)uJC~O?a?z>WUbRX4UG7=&=glMndu! z;>9o)cEzcH=Fmz63-}bQOR}`Aw<_lMyU!TL6_&@SZlx!EDjz9My+IfR^&Pf;Es0&9 z^1)Dr>NHU=j8IvTu-m+TNjow`eMBH?jGVR8JMB)h0*HVK_sU21o|n&!cSpST)c7FV z?)L)1o?i#03jOgcw*Q66&B>Lb8zreCJKwTQ>10Km*?c}-i8tq^1R8aTu#l3WrUii8 z*G>ZhBjr&tgxQkbb7qmwo?QKHA<j*^CHA%R^0cy{CG=@CVF<)g0aNEF(Psdr@g0hG44lE>zx zI7|KH_G-;LE{WKokhTho?P{C zW{nA~^6>Lvl?p26wRh%}l?|yM`uIkXsb{XS2odi~- zswUu9Yr#w#2}Xd54q8XX^VVb>?FtxY9v-~Gv1ju{n(WCa6MIxl2)RzwmV&0u^)Odw z&*K*bOzjmpTnsMi*h~=lzjpFUhcTwS%c^cV6d~z)QS+cOo?87zQ5C;r-O-`9Ln^G= zjGbq7R2U%=r2x$8xgZP7@~>LlVWH!EOoC5a{OXl}s{ojuf!M`1<(X4lg9wLu8W-gVETK?&Mk0#n+tkhXJg8^$z z9*RIUy1?#A2JElxGb~DvP^dBc>RYsK#}B(naG134Bq@uk=MRE zOgwmHJnwbZ+9&keC4OJ-CXIn>#X>}4dafv`s#Y z+`($(a2C4qokfwNv>rEjyWDw%7x2*FHcA362gxOKp1 zx1jgR@Psn?Jyy7YL*Y=h-O>6Y8#Q&>4if%CEB(eo2KS)>yS1OHdgrYTUJ(yK4)X8? zZwRg&G>{qNyG$|WRdTqxJ|6?yHTNAH@9~sBNek%KLOL9b4+!R02A)?-PX0tb1vnkV zZ9tw|I{rd{?GFZjxgv;y9;gEco?Axf1GPH1uZCIjRp5yfXkK7Ph6L*t{DBI%PD(acUEpTyJ7^zH=xm%t1y8*rq+7Um?Wod~G&UAO+tg_PFlU0SLQuHeL z)Ldz^(yyN-7s*uqG~q{*dgE3}MvP{o==K_NCYHcQw7imNIKf}D>%SZof?X*{zPy17 zcXC{Nq2R=;Mv7ctB8NdnL1T~GB2_yFvCFvm-+i)aya~^}fC49(#&aBAS0&P=qU%sx zylqQN=%K+_BJAktq!Jz!UT`S_ma8WVgt(Zg^u}G*Ykvb?wIv=i;jkTj7 zsS&Sk6m0zPa4Qffb{ksgc4W%9IB0@wvVJ5=GVl#iEmz)ougR>#75hRZc^MUI<=${H z-$Ss#^fr8%k5St|HyD8%3K(O-#Z?E|LfW1N-!hb~-JAY-!vO^f+qJ2QhB?=6VKFJg zUBw=Rm_l-iNI|AkZ&)ro!TQ;d@z*@E#d`OBmf5n1@BJ{n^9x0<7`A9A0*Th)P4d_^nhVIq^pPW#zDwBIMKt ztnnWro!^!N!6 z#ZjGxmm_+pVmFfb?BgCyw{Ax3vH6`lAsxQFBc)5VXzMAO0#?C{iz5M@c=W0lx)&X0 zdOgFj9KH$nP|coV)-=eqPC#|tE!J+Pn<9H_yCXtP<}F_?2hlDI$)x{sv;i?LZGJo@ zZ|^5UGXY*whqW05J~9SCCOgiA{0{wC-DK|_q^3xTVvusY;8fI}njcL_MLQH& zl($(#%_MB_Ihzf(Ai?>h*8=DC0>Q{7`H{u%Du&3GePjYTqrpH7=)fi5$_8DcfaI&P zK+Ban%uBaE6242lo&MN)h`12%ASZ=D+A|_ONEjAff(O%U!6SHKksqJmyT8hpA>rk z5InIeGs>=GCv*Z=)u>f|jKOrdM15axM_abohh0GtRxgtjo*8PQr}Yu9MYKIq55&iC z>uBdQUO4lb9#%Yi;3SIMUnD}dd|8`7p*dW!BQgvkQHX9*`=s$&afPaCwfJr!*#o3% zwbu`sHck86v1`7+I6}^YlVpbaM1qpiYMX`b<^eUu$s~YAguJjo9UFfwNWlBIV$S${ zlJ~wR0o9Yv`5Ur>#c(2ZjbaDzDw401-UumxKQm3El@28z~D{W2(MGJNHO#%FRj6c8&Y~xKXjjo&P5!?YL)RWz& zgn)FqzmGzBuhV*9-7Bxk!-jw~r6~-EMctNrZ=Lv<+X|+9m0Zg}UfZ?*YO()=9oC5T zMrAm12Ru=q)cZ$k*ouH%B;HVJa667Hf!7R6C<2*bBh%0kenEacdR?~(nxN(A-Ij6@ zM}Tg$sP&8BCH*L~V!Brf9AlBJZE?WTxJRYhq-Nx(C6@p6Vc*yK`2Aj4g2+!Vy|iB9 zELuK^NO)Lg!t~J?Zx-xC94{3IjTjT1>CdjA{amVC-gJP@0l&}ZUBN99d^#_HFsVe= zVp4`6U2c#HUUUg7)^mS(W-Lv2buAp3KzX#;jFK$Hez{t?5=@wWLtuOmP%K{q|0Y0eptVMJ&>{EZ2Kbv)`}n@Or9Lmd%4;8@BL19`FN{bjXjQ4L&DHays(#7mT+WHTI~Z@fky zeB=P1xS=JT_j^ystt8C~hTg;a7r%B1h$0@?(_1bahC=eUzEl27X{^B!(X;?E$fozoKhYMSgN)nE^&a zwP8 zAQ-o}sZ>>>F`MCdJqf7!@Dto18g!;T4pS`zfAGc^GlS`X&1T^ycm;*iZnHcvUAUQJ zgzPB(V+e6N-_ac0b;y+!xIh9qbd&Fae6}~Pj~@0(`xSnBXPaMcpq49CV6(d_@0`s| z3{QAxp5H3lXT*W(>NVAeoO3WOe-NI}mJX0BR01@N6zF(hqw1SedVJfr{PpxYJT6ts zbWxP*|G8+v_$&A*H`vWX^1fdF6Kv3>mL?i+6LkAam^plYVpDiM_-orCGeV+H&Z`7F ztNE;8I1B5W)1$u?>z1=oPgYv5iULogc5gZ7!jGwkV+O{bbq?a@!;WH~54tz0y6t67 zu(n0EVu|~Py%RaQ>=u#Wr0kFCq%madF<$h>tCP!L=m+(k$}$)8A0rK{mbn7t9&tL@ zvJqcHhwJ3<^7bKgE>UdJgVSei%d_+`oS54c4ipd4cARSVjK{Yt$Jx0d^6?o2ufVPv zn?pzVZMP(2m^~5s=+lEYS1*&^kjRQMug>S4VN+T;n`DC5%Wvjs2If0mO-r5|V<1ON znW~DDE=PV+WYE7X74X_MaN*jxFUnw(vEu){_OdZD@5#xUU|G{~SHH(Yd6D^rTeER3 zmfs-~#4tPPHll0_cCNY1zj}yZ3^6*%z{+MFAYmJSgOs%2c_YWf4dO{;jNf-bYoxCE zfuK}coiPzh$J$d6fk)H}Eb8o!hxnCY3hlthazx9ES9p5zo5M9|n56E;Uukd$sI;2}^(@&^*S&OqtuA`RvU-izZAENhv+ zIub3wDiSMo`1rUL*DM2BaF?_wZOtf_x7zn6Gv`ulP`>eCSKYwbZebrI%CwbuFM2^< z0}?)1n=Y~fnLU9P+T%6v)0@$#?zLJua=08&lkzOc;w%WH%n{sXp_ZSE7j2!V2Ru(C z>8Nl(@FM_7mpC19NS>61Ud^0xN_XrkAuTG|?8I_XQ+!<@{8N2~98jSxbksUWBPzmG zgjU!3qJwCG)SD%-Qt60VuEoQR^V{Jj-167a{P0knoR{7g#~uuG9yIsz^z(6!isS`@ zf&xkUjpVZYV+~P$+cTvmxR7w!dYcwy*6IUG3K#cO4lm%84yC6L=gY5UQ|b_)^*z5DjNhqBDQVcPmEvwtwC$~&&_;^& zmVOz~RPzK|z^?LH%aVAjXzd}qeJM{emF_Oo#46Nh7obU64^pk&S}}igh}>E4 z1Cp|*E+O3yNk-pc_u@zU+8vm?z}Nw7K#tKBN45nFO4_T$2hvo`cF*)sPHw`6%5S6Y=ZY=iSg5 zlcSXJ29;7xBa(8^=4p46$+N@5$a-^349WgyPA}mPenZ#mN=7t@;8ix(7K47)euVP~ssbyWpINA1dyenMiFL&UlC-fD;Ng1}vtPT(1vf|hGWRp&S4))5;Q zC&$+>uUtp|#jxCulA|XNFFDW5MsDp+vlll{&D*afCU5s@Tw0}&8M?F?5l<8eno*8o z6%d#XIzHMT*)x;`a@hp={h-S_tHL}UKe!SXJ89Qx!UIou1p|9p(*|BG^m!FGJS0y& zFK+qrX=vTwc8Fgzha}pHrnW{j6*3xiYz#T-j9%g`j+U_OU0z%t*4%m| z0%j zy$3+Rk*liywR9IKZk4;lsAa~V3Z6mr1)y)rI-oe3O$I~z{jGNo)^ej|# zN^Ns;G!6vyz!E+e=EK0yV9BC_d{J?^-+b*h@V{NHRz;ClnOs(-(Lgyh;#D*4TB_EL z24Po&OecjjRGwUyy}U`H*Mkf)-B0kV?j|8;Q6D9Q+1>e2!SUX)>l! zfS}U_4(U)UD0w`XI{5)f5W*7icfzdSx~kt2ELwV|PwCuejz4zj{!mx_j?yzTv-~A_ zq+|Sdn%lqhfB&tv@xQPOd2Nh!%>GFzl#u&Bq6z63|4pt)$IOEBJJ2&S;xK;p;CEnQ zVZ~u$V#c9mrN#NpF8m{WrZKWG{3GvY+CR%d{8{FI+Wy(j?>hbpj7$);G_;I=H1@ke zW;(h*!tXc}EiKNcdH&e{UHDU+_(#4!I`|u?_&YB%3&bDg{+aKua-aYGjBjTSmiPj2@X^+xNPinHU)@2 zA{O-rM^ZfygXlSb_xcfuC+5s>Xb~@`XxO(K6eW_Gd zW}C0gs(%&lEMpEVTk=<6PS**v5`N??@3I1f9-Jn09l{d zY?IrmWxdf_G{WE&u7kWNW1eUNV#-a z3s!Ia0KGUd98csdXbTnZ{a*k+K*7KJN%4K=EfZ#b{l$iQLi$PA{uzuhBKRT11%A+@ znE-|SuMg8&P9zyfo3CE{Sx58+qseRm<9M^#9ZuqMqnANE=^0*Mravn?CpSPyFfY_8 zpL8xL?9w&dt^5Ce_Wv)BM4lr;&pNOwkpSsVipgklTYI}}7v4JKt%{6mZ@*{-#cUJF z#P2F11jMynk-DD8SY@gTO+WuFvl{64@`-Pwhs>(kb*| zI)h$EtA9byV!#Rf8p&^H@K@;Ff!}6w8+!MUCFBnLUZp-#kKZctJex@ta2?KSP0t?H z{(B8iBf|mWxehR^eHCV$Kui%{z7*8YrI)xDX(nSy6HZzsd^`PgAy2ZW*zIgOy^oA# zi^(Q>ki5#CBtNq!$aHo!*_fIpPa{6oM0C*a9q&n58L}Vmy~vBOvnXxB z*Bvl?|l(E78msx6S!gZTaW^BMV=+`}i5 zVf-8^rI&%WLiPk*0%?ro{mD$ajSM041%323@*T~9KfiU+8EZi6ZS9}6|AQSutZ1#aBe@|bhf1xKyDJg>8^kIkCOYAx5>Syrq8+IJpSwdziaV1Vy z%kvfCV-xXxF1dl+j-9qjW63@?mMo)QvWwQIsXE`2TFDSTlkVpqNhjDOn(~-ptUi)H9RZ1iQMJv!{P` zYyhNlJ*3cqM?xmQoFjPtZyAtZ9s9Mlzc#j!C#03qN}hmKbS1~hELg*E$nReK;`9iK zk_zcM>AL^ha=9J98Kf5490E=naNbhLV=zW8ed>ONnqQ8HXFS$UA;ahd*!FZHqPECr zza#fa9}@>nAoDJ#Zn7MCe;xgV#4x9?T1Y-eUj3L1#Q2@mfL|u8dlI5`8&-~nM{a>1 z&4s4!1%-E#bz~VC1)bav=mDGh4eEeLu+uhp(PcRCJ@OtKfc~#M%CpJ?#HBdo8;MPN zL|V(I;N-LI|7!nx+dnRuDEy;Cx5CozfL!iDgxU;B_8^v<=^FY3IrLxi=3g@JZ*(N6 z+JXIAe~p^|hfi7i%i#R__H867Ux|9AnUv$?ZDc&HfnRNIdxsR$RXDqW{o&l2Wib(=LxKcxG~3e;6Hsp2O7G2+`kGDW_Ot|9L#`{^XCyNcw| zOtH!Upp6OGyECc4=w1J9Zjuc(vY@3FavKawejC=l75%ZugFgO8)Y^nT z#w*$F;O#3c7=|yC(!6ctA0gMBfDJ7H4@*=|dta&RY>7`lMyw|03Bx0GhT!>Q7?EaF#`OLr3!=!S#^a zePla)W~i8^UX|AGOz=Jtad-h%uF(1iSy%ZvAp^3VdJv=1GT_B5Cw)c*_I`jWs15D{ zRkINt@8{p+lo4bxL;V_!4!?5H<(Kt|RFs#M_AV*z)w8Ha_io{?T?z|2=XVO_1p~P` z*;)QfpVyP&b~zn(o7G}A84Y@!s>l*&lysxsn73bT&6Jq0Uqfs_sDH>7hz+>v%+R73 zarlEFd!VFfbocbEm>iA~=a86dSnX~Str#6s!oQqyRgCA`z71mWP=BB%CgtNF8a!@% ztZ;a3Fl2kzfBySutchjzs|^PIF_w@2mH34J;BkTRG21YV2mPs$D`R9>t$^0{&nqxU zDuScY4zG>nbi9rp{cA+-gG3LXC$j`ouA(b!y9fCC^^XzPZZhEW7;%e9XDX0SDr1FV zkYPhl+lv&%sO#Gpb;hWBCn%&+!cxBzh(#&tPChV8!rS zdkMN4$wOktuc_T_H1rGgn_$2I(FVwFgApS}F@P;*?xq8-pjw9wsOhtt5uF*ra|m*4 z1WbuVS2my%>JO>mi}S*l*7n2qT>Ob(Sx3*A>ZOQ?Dg9zB7Oq%PBS;)K9@M8u#)k{^3y<)JDd_1Ji;mC`8KLO_Cq~Eh zADtdbPq1z3ZB2i_#(j+S ztG)cR*MA!QA;ZoOQ!nPMC_3#=$!N&6p&{3#te~-@X&8}mUv^&en3|ri?RvuNKY{*$ z(13;&D+YuD16DMw7}wgq_{LDc7Fw};$dDB?YZ?NY-&2h5U+IqxxMy_C*3d}%K*_@1 z1`HRP84ze3mx>Zqp z_?p_(dw|^NkCSLo7;0+}Uk-PCagP*V7I%C(zq}y?HF<|z`@fj;#iqQ%9&!XCMVjcf zI6FRecm#O-sUoJUNNd{Jua^5+y2t!n^bBD{uF9AvtSuBWL4aIg3k6;e#cbi2+^_bq zzjAcIW=CYB=j|Z9qp(oh>!CkV5kHB`7NeChnjyvs;;9zYxu*gj&o2zrtY}DEm|zdO z(*zkigkP+v5 z!)q7%Zy$|{if~ff{*hcDzeRFT)%2!!NB?nq$%+y-ve$%OjJchZFQ=4wZIpUE-fl?4 z-7|7?yBZBfvmub18}N8Cyxz=gzdQG*~DBX6AN_D=)T+#a2X7NWjU13%b}z^hq9cmB%hh+z>G;5^D;Os$QYHuSoe%# zyCIP8_h!=OOq!V~=eO7;LW^5ury-!r>z+~M!n#7Nvrv+^%;PF>mAeMIqLEfuH(I3zwB!23lA%rdb9SQC76ftD{6$R9|=`fZ`W0vE-5KOx2VMK zh(s<1Qg!;J;;Pe*NKsu~i9Iz|Usqq}xX`VyYqsGf29(q&1{u49lZOLcv zSkSWInkS~Mu3CMRD%+Yr98ve+Rlj{<@6suWLCM(g1qFY&VgGY(-~JvMW^4csC=iAR8{rrIje3~z4+J9>R_LSIz{ox1dp&!4R{4hD_i)1$F84P-gGb1QCH{8aDW6glu1i%^py@m z-jUyWJ8Aea`L|@=tF#l{`vpBoKM~UDOIukZ>R3+f&(e(ycOh0}FDW8Lr+f9J!O|dW zZ2JrAOk1D9+$!8A4DugHCix-yi0Y$eqO-!=WG>&E;dNzXcz0yL4JcLZ9y_x!gUw_u zo5bgFCTDQ3xj21bLi=+(<3(7iaM$TkK4N8V{rB9t+bMkldZ`(@u*(pEv{=Z&HzVS$J_QoB_ z=jXI9nX#tj0r#K&^3UJjoBZV4MPmqIxM$&Z22039G{ym#VCJYy#+q&0ZA@pQ z2CnJ~bOUvxblY_@wHcf`NiiKX`=3^`sFP%65%)4Ba~X*Slsi~1xgau|2piz`^xLO} z%mm{Q2dQfNQ-_Fz>0cB-QsU8m&MRn1u_PSdLe)_3D_DoPI1QDSjP;y5{wJy*x_Wwq zKWQ%=IrPR}+va^+Oq)-fkixHg^u?3cZCP~Vto!Foop^n}Q8$%LekA$+*{@F!)}Dl2 z*2?>dN~|;^n$t&5RfQU43+1X&_-{7RF-rATE=d+6YMAJ#!f@FHBhr9zuko1iOQU48 zz{8gi)rH%jMUs}tic6Liy+vXC{wT~?r?XmRRpEqENu~t^j$ckDk#*!4`H?7kVpHJ& zl8h7_Erm1U*kGuFwLdTtV#cD#w-g70JdddPS9qVl*N<8~cv$8KxC> zPl=G_thzd{kZ%QIcg5JcStX>XveH&rRSB_Ij;+fa+J;^P#bMa8&~^mat96LI1Y!@X zvaNFA5!F^%*{i28)H=u>COj(`;z1swB~I44Af%{VuKnQ2woO}3u#z_(*wZ6R&MMkU z4<-A``_Da0KU{M219v8`6!~;LZ15XM)(R^Oktd=vAkb#xI*9B?qr~nAQr--coNEP! zN#Y~2P-v|!s@K`p`g&%r)pP$^okN#PEgo}$d7io1yv{5$H_0cA6AbIzl)3NJnZ4#h zGq)0R?m}+!FVaQx`3%-cH?_m)+A3|Dt0KA8l?X!uOI2{mFwsmhB1~*-U5Aws_%O{u z60y)w&>jqkOp_lh7N*ui8!0XmMi=CNUUBywCtqxP4H3-v!GtzHz2e5EiIY~%OnyM8 z9a+ESt>ly3)b-$k1%DbR@Biw)hR2*4V{dA_DdX&x-`+Z}2?}p)|5Ba@5A~7e=-5K3 zQDUsnOwDH78oh)7=fhIYrI*-pcukW8H{*0W z-^-8jFS+DfOSoxm)acMTypCE2*E3bPg~w_uQ&nnYj)kgekumC5!I*LCMT~(hE%J86 z+g?5E(izklEY9I>8|gyJp+*KZ@=$Oq{kiGz)60e=UrjD=JM#kV)39#i#DdAomrO{$ zD)0ACZvE#I$>);wyS!7rJvROM-(6`F`D_d9`D=}n-$c9W*D6s)m9^fU@><3XYms*( zokV24VG)h$2(=S4nSokNBNBwAEV0s#s;cN>^Xt{K3$+K`!4ybepWP?rpZgsTpL>Tl z$@_ODqq~weoDC@=u@Oi<^lj15$qRmN3Hn;ETT zlnrE)7?i=NRZ^M5i0B|o4oS9JiQZvU*v<9ep^=*#s3_09Tq`uFr->Qz-= zpx>)MrvFhd8xV#q#7(9%lxo?;r3aI=!>$lZQ<8+O*-MI1!TO3yN?>i3m58n-B_e=o zNx$xVB&nYz;%qZ&U{L~VsZ8^`S%|0h5XDajpJsSU%F1a_v9wCb>vtu`D6KS)-q1%c z`Ag_n%A{A$mP((T4a)n^e*09nkq`27DNB??nk5d8_Gm@$)T5ADqUs?(J-P;jXzGB& zI5^dVdA?YVn!%SzzTh&&w$sJJU#hg^5NYm_Cb7OQn4+wNf+;z4tc^*nFR`SwPg-;q z7I=1vv<~7d>vH$1Ic{(@}OzqQ@D1-|>}$@w6{9$C~3J z(YRzai`Viy2`U|10qx`a+PGK-60)G9hG(tQ`DF{g|72~v)789q9;BH}e5uQtX)8)xq z5xHJW-btHj*(cOZlc(POFnN+@$ZsXbCZ9;|Opc?wXc?VMANhGdt)Lmm6}^*hCXcr# zkBYkMVfg7eXvPFXnicKeENKbu4lBLgfk>yL2zEMZUh6d!8W=GcjBW%xqoY8fD5@;X zwoow}7n!2CC)kNcnZYtlzwlzgsOHC_j;cGI%3XplB9gU;b?HRG@ zjgYK`s*PGzTY;_IHqa*7i7n5gTcnQ$H6Klj=3~uGMKY^M)#I~L^(9QaGA%FMuCxkV zGnu2p>``XQD1ab4WI!Rwv8fthEZl(gz~;h7HK8i1JHta$l>qX;9Q*ErDRU={{PYEO zchjEbSHAhjwM))DOE=%Sw)XxV$zJsOhiB!q-6rqPo3?GtwwL`<^~-cy_>Q6D?-3Dl zO#7FpjNT&oltyDsonRROsw>Qr zd5we?k_u>=@U?l;Bo|d(9#?^@+%?cO%B2{H3re&(vvpah|8vRf`I)bqmDh7!uREg! z<{7NB^McF0i%|IXX;HJMDhWtWD<$gEW&HWyFKT(M_7JFEyC+dmXee%1hDS49R3HWA zIjHnSnboOtX>VMFB#$JS;@cj4Zq12j|GG8#*0;%5k|*@7<{x%F_QyAq_PI)lVe?9e#ZX@Lb zJ)=B~su>>K5JzRq%aBbv7iC?YsIDmY%9PS9U)B;rQQV{2^tqSH4Ani;xvZ|GvJPFH z9=U6YLGLmc^g5r{SLoxZbj7UxK|E&ITv>yUX;NQ8iN zHWE)U3JuNF>qL=1^rAF$DI&t~X?=$>>SyVZm{8@bRMh*Bid$r-5~o9CDW^IwdGr3{ zVV^2>Ngh9vyzQmWyPKF)=%Jo(UcV|NxqE#n@Bev#v}|;bU1zuAI&j6o(GGrSIRB{4 zpZw;#dB^!Kp#uYA%wR2hZHr!8pq8t9anq4FHR_FNK}(8WSt3gi0;+`ddMbJJ$Z>jZ zB#O+r!$@q+xIQti-KFM{FCkSzn)Osq7ey8AB4t%&kIal*mP}@8k+>pxZAZn$s2F#k zNZrv?c&I2wD224N;7|`KY8hKvn7SE>jwT$vhyt_(sk)5HgWFnPY?+#PwY%e=na_8EOr!hT{g=s}w2~${?jyky(q6^75>KS?^_inI$nb%ac`*#dVGP`KVu1ugdiF zxJp?j@5&kXgbTuKuS~fdYFTgDVQIA-w@7Rd(y+xMXKZ%1WZJs4;9lSunL)XQ_syfr zNM2KJMw8Bio2JLpd6_QSJ-3l{(@i|@*_tzJw*}j&%6cu`RGmJV781%IVKTynX)3^u z?YKDA)pZm>!BFP{C6ovEEvuqs<-L0___$hd1^haPW>Z%NFI6P?JltFg9?Ud8^X85h z4t%*|?B#}DH{UR)*%!+`^XBu3F7~7MCL5#knoT=a+_f{yXU({#-=J>S&GkIC zb=KxPzx`+(U0GdJzUIpMM@06z8Eta<{<^7oV-UQucy#c;o%;8fxX-g5fV=e zvl;9yI;YuzSb=!qb**>pa7h-Xlc|rpmdTmczNRK~G*_O?a?BIXUqnwH(ek&Jr-V== z;xD8o7r3mX*x?q{NkPbsddyB!S5FZvb13aQe*cLdlBZh_G)g=6Q1ima z9=vPmBYm6ru2so*&m{kz{PV-OKeT;!?!x@(22xt!p@nv8dDPxwuw`!Xv~Xu(W<@4TB@AxX zS-cizWtmOH(qwimQ=(bs$;_`z{N;61OTnj4;-6x4tf*B4e-?4WEbT3fA|rL*R8l5U z&i@hm`Qb0mt@+FRtzY|h`EMJ$Zqq}n=QP>rj8_iQqMu*;&x9u)_fNg~-NQ%TX=;Mr z2ZO6G(t1AS-y>B%g}+@85NQ#Lb~wJ&WV znQ*0C(#UcyawQv-{tJC;E+Pa`f8KE}{%JWf7%C0He@Lm#QBv$dA%2PO`4>B+aYtTE zp8etFLpMo#l6`O8`rtkHtQxwac{45j0fyXl4YU0GhZl~%@Ym1w-nDlOBs5HT2K4SC zenj0FFI(yN;C&vW1cj&0graniOe?&Dyi7&5Jtn;;Nk-;xnTIl%QEK$I*lp`X?e>_| zVsv`lh3*PBf6qcIRH`o1^+mzvUPk=5keO6?QUT>=MweNl*<>=yvYeMjny!YTfV`9ppt!8>Ewtw1m&$c+tPoAX}6ThFlWz`eSuznkY#6r|v8DvcKGG{<< zDWm*Bw`4Z7*i@^@QLCc`I;vBVavAZeMvKkZRA{O&G1XLHYBsGiZ8yopWb%6KscQ0c z>`~c_eV<8xwo;xMYVp|oR%>>P9oI*XI#1;WqHZ;|NLgxU=(e+`b3te5 zHsJ4TT}E_GVorg|vryA_UgnB+h4FWB{Z}5j-~y+GnuQYpv^-Ilt_kZ?=_GxLOWokK zfHJcV^3S7}5hvpll7yCvA|Ht)z-Mr__(}vq--m^4&Zv3^g-@X6b ztwRp{Ub))vUT-JTKx6jXvMlk=cey{@6ao+Kl8>PM2x%} zwedHICQdRt8dB{Z`*!ewT5 zD2T60tru%Co029%lmb|89(glr*4e#wrqg+K%tr&Q?O#RpPH&mGl2jT1tBLY<6F<9il%8q|rYov7NWywjvkxJHU{uTIcz8~R;l+Ywva(eH#Q zhP9HpstR4m1qlmRLgBz+LbR_Pji!`Tg0f$%9u&tScZtTW6zp+bTRUaLo^hk+wCvd; z-TcPfb$PeG@%ZEH;lY!yd0<=HdKO@JUtrWHfsd>oK=!m0k z%2seB9NSkm#`0~Ywi+9kU2a#ti*waQb*TceQIQ5ojW9ohjheaDD7QFlCMz*Q9A-!( z>JvuigVA}7ml_?)4^jkn!KSb`;cc_VYK2?M2h*l!p=su{a*YY=o7*^SRVA2;Y@a@2 zYn_)hJ$Cz5_bqLEPRf69)Tqk&%jXCi9E2Pb1}{c%b8~daDAOdRT)R7h|D#<8H zNq=TEaz$CHo}{9rEJTULF4U4as3o)iyOx}lGU6&&Zz`&4q;;>u!FEtIdbL@nZa=JV&4 zS!{Z%>QD(a5@I)~O}eN}C+szCsxQ@RMH9lKHt-U1t`;YWX3?n>d%+3W(JT5zCp7Na zDqXj7j3jLOTx1{{dt)Q_A)nN=Y7SK?1lNzFAosX`=EexhdRGI!LQ?_2F>rprD z?R=~=V|@aH15mExxP#T0C@VEluFIynY@I>ZoSW^+&CT|BsFzbVCHMAR#<%C9RL-SL zHnf$hZgn5QLbbLxWLU}3N#D5++TnK@a| zrZ<(Dg=B&Vg1NeEgJceprh$}7yuAp2i#7YqX3wlso z=|s$9e>Tl+oU~{{%Z}}JU%l}17nupm-3xBLv(KpYpRaj4ap)~KJ2z_7fPPhF1>wH) zrrh_!&Yk`l({CEsvrl$@*@mf2PqsV&xrExkWd-sE)MF!~Wl~SQz09Vzs3w{U9nB`m zWHwkcTn{p*br4w(=Tyx0CY@1*XYExuQIw8Stnwvf)Q{?3BB;QsDo@nawN;8Zo)7Xt zu_(cCcN689xL=gBf??&i-t1kr3|TMOub>OFUAy=ecGF6#o0eR;vTg0KemYSHG{bIA zN%_Q0?uhy&to3(CRY*6}dN(a(Wl$jVk$LJtdi>r_-rZ#jfF4GrBD$C%#MikI4h=ob2)XW(& z5>pvv#3&&J>Bu0moE#^TsIqaX;9eDV^dNP)D&nVnT&2ypDd1|s>5rTqcO)>vVKICFoKCAnr|6cOEH0DDqVH-n8Ji7y zm%*UNE@ZnR?v0!(MuXMjBG$dmV@_70%xe<2 zi$Nxd8gK)9AF;-1((4#?xKyOjQDhR?PGlq^A<*}nwAR_^WKN^b;5F158Vx%PvcVui zMy^4xGjP@9F)=GKS*#|fq$mM*hSGO@&(;hIDTQf;-2)-RoP>-k#@1;P*ZOrOn!HO& z>g!UWA{7+s#2|)6A9@%XZXPnccA@|F(H-iz7RjEk-5(;ArpVXauP0Bylx{B>v8 znIvK~sESpB^*Kx!z_8JXJV0nRA+7C)qnSo~86g%`QTRZK4w7mmHb|n&B}$|st?(!* zDJrp-6lq>+FM$Y45NI_S3P3+4uJaO7b+#kAO07L|aVzR)h(}Mco|aJl?Z?S)l3#y7 zo01zo`HPE6?kcZs znDQE2{suZJX>`(KPD;z2+ntP9ELNw}p5ZY!+i9&t=`o4gtR7;O%toiqQfq0nph&hj z^u`C3EK!cJfmT{z-EQ4$ea|Y*mna*FKml=3k>1%nLJLU+*+E*#`$T4X4{{uQWf15i z7PBNZJLw=N)j4tJbn5wXq**IOCk$}bEY0X3#p0q4OVe(7THuC2OHhFeG1k@3qC;Z( zYipw!W{;Pn>BwaDq7F)3DM=lj zrKgH2u8~C)NuaF!Lfkl$oI+`zjjMXrIC>Y4AKEiH?S-E+e3Gx=YnbF8nY`yS*Auqs ztEGarE29(UOlFG+5&1z>i=b^JUu!(EP{x29jRqckOhFjfWFdfQUw?*U)P?*xApQd z(g@g!{ub#AvK4EdQ=TB3Ft$aBkOquDoc=yWy_fia4Oo8_;BJYKVZeg{!?4Za@-Gx~n)Z2-0af*o|DxE)7#&_iq@JIi-S<z z+rt^>3DLNeR&S0*TV5gu@?+w$i5PwK{#| zlTR}|S#Q6CX2Bg9{`v(o7A#u8s}@|nfGxPg_r{wTzjYp(X*1E>JOjf))fsnTmx>D1|qZppm5+Ru6j&e7Tc0l=q#GXNQHVG;!}(v$WEDZR-s z0H?iaY24+`+DD6NNwf^TIdjoWnu2EHWHb{RUH(Ols~TTx{G?IpIf3R*pc5LKW@Y+j zXWZV;7rYI?_O*Yi;O>C1 zQbtxP-N<^tQOq%rh|&`)yD5d_aixIl#M>U=1Hi8U6s1D(#aTGos`%q3YviEfSKN?y zSm~}f;yfH^RWjl(FUHFi56%rMUd0oa!Xv8niZGG8(LSVo2`HXKkDd`M@FcPWDeQ1X z!kAb}E(0)3b`q+%urUi?SM5_Ac*|CDlw4v`EQ(dJ0e4ZlDq*k{QaUO5#7z35h}{Fg z0rEfM4qv3&p)ffK8x1RZ`D@rsSpH`k|D48Wql(OMP$uRQ^0+vVcSR(?qBs zg^|;Y)j9It$Xx(Nu9iO{^#CUSUH(QsO-%BK@<;N=@W>+hLqg>r<)7sL5VQO*`CIwl zz|YEi;&S*%wNu_h-UcwzRo+ZG$xo8rfMN0@h*FP`nE-`E<$VcvX2f4@z_p-Cewgf$ zcarY`66q;#NVt748+mKIJPJ|CF}X$1EN@NYhtha`8n?)+p=B&s8+ZF7Fg$r}!tN3~ ztV`Hjk^YC|b&%a{@)IEX3Hd>QfmamNG1pU<>c`~U3 zOa&|etOUrUvpk7hCQlfn=N9h&`h6z&L2E9x9KN zuaieX3j^eV@*rqIkw=0`NgjZ80!HGjk>qiJOkP3zE=K<@&Ev7NbVPho$r$? zKJgzCD;oitSx$oZ*B#qmHs7InhAW{&xZ$Ynwi`SCy~ z0(a^q2T3sr%018HUeKZOpxg^`>jiVl(~cWm-AfLU$p9vImwU)XkWY@BD+hohav%9J z@KYl9mP^5pLGBLf_0kz~yYwAd0r;z|CqKv{8JFU%2CxC}d(3ziqyLdzaPffjeIhd} zA|H}|!0H<5d(AT3i5|To`szNi6E%txYPwanlDlO$dVQqRP@)xrwnFhv_@5gFVt{ix zi7H#9Z!}vqr?ClG_@yq5l{A)tMWExUdD1^qtttKG6qBj+3$31G5K`YYbU zvIH#FF<>$OZ6y4LY^ctX-X~OgA2g^K{j+pL z`}(f*vbM|1m<{8SUP`Ze4yOrxNLw9f1%kI%TcqbPLBWS-)5q^i<5p=mjH{yBj&~|0 zq&+CKtf*{q0fPWsdO>;-zHXEBiBRW=RPB@=B`&}#fDZtF2b={cL_#|Pz}gQ>k0u=6 zh^^W!Z9(C>g)9ba1W4pC+SdW!0l2hTdW86J)+79E+>*PfI#YU>tO7g&cpC6L;5C4P zIh!!L38LyG{f^uPcpJdmUzgS=^p?mCSh^m=>p{u0h<9afF8`OB|8C(Y?B^<;8sg{ z@vgbX>Rjo5oOwS4dq24huo18mpjy34K$d#jK@d4&VX69)Jvn7sdx&6FDd?l=6rd0$(WI6z`HdzS<_;fvI|Wn z@ntUbzfGE_>HqOGUI3f}e0v(-md5j?dGVaw{xH6IpnRT23huU0_6)Q}w0=Msz~PcJ z6MA!GYIUu23t0eQWJvmMbQ*9LAi;uXW24#NV>WbtgLE^Q3ShA6>9A^%z=$*zwlEdF z@zNxi>m>BvMtf50-5^cGiisGXi1qc-WKl3kjby(h64%wzZ^;b6BLJizv_*i`fad|9 z0AvW@Cal?rb|*l@mKzh+%*f@{g;G5<)BvLEA*lwyI2=_ER_eh-J(kqN03~S*m>2_Z z7(=#7b5Ybr4oijc!Y&bn#vCX+ z4-(D=&IJwt7Xb%>X9MR0cLfdscLDAUYyvI-78)x|VUV8}NA-D7%7YmU!@yz5l|I6N z5pjeOSR7#j?g?y8V=M4>U>k5BU^}ol$^k5nasrE^TrezmuJHg~DbDL5>Uu;tOB|tQ zAO8>k2_|B#p3eVGto%p7Pb3%pA`N~3{0R67g4zxpZpW1*z<(x`f6u=oZvGR#x``3r zDOtrurl>-DHJ~0a1F#XW6M#^}Tk$=E?sg&80#-`9Cqk0a$5WAtbg3=6NItqxE_qN zYId2(r5K3D^9!^u(RfC7q!p-NL9mroC4d3~BP1OFHB~rt54(aK0WeIzBA(&Z)?E>Y zR~%%0SUFrJ%p$BD`Lbs#D^CK%Z4x=x^IXHZ)#s#heG7v| zuse$Q0b2ok01_LX=+`|Mu6D5DB0iy=%ETpL4;zU05$swr2e1>s*-$YR0vMXGIwC`= zJ#45bN7!JrowVr((Ec63*fp$1*dMzZSklx{BNTOzm9gHMG)q};NYedjOn7`S`Rh$ES5UJ`P>B@*5I|7>EqAY!I0(QW^6Ce9)2? z;3LC;hXFSLHvrEBo(XIq-_ese=Mnk^q4W#-S=^EP$N~BpUY?@6P@}FoMAvI}fEKD= zpU@d`E<2FW+k_?T(=4Gi+6Sf({Wh<2HFN z4nHcoo9SjCvemY=Ve8DTQgshHyn#R3(8CYV~nLLYUYcu^kTie0O?OK>{0E=;38e7xj7H&tl zVAVM+#-7$_d7ACgEN2&cN_&5b?bPs-z+(J~H2!@W?_fIs zVaHhc{Dcnk1<7)*v6ko=G4#t}VIuKtZ z1MQ11l*82qdM{l9OA6C_=wb~or_HFu>krUo*ikcGhz;uxQBhb_i57M=CGOA01(8mq zjl#`nBON2CrB~BR+y`?HQsJyoT7&hM$D4|CMVPrPo}Cv-b&xJary}0BM(dP!bjlLw zM4xBRVqq6rAUL9hv;fpZTWLX}xFjNQJSPv~t|01#u^v6b!mohE2LRFgyLOA*zm|tv z+Ycw!jGr9Q@aVb}9y(Isy=$tkjI3E}5ObnE)|Qq<*0#{_eJyf$(?fDNx-mO95`75G zMvOcJSO-`Scn~0#_*!}tMWQV|dZG#Bp#d*fuccQ%M3LEk$2Vz8*a$3?vVm{X4A#P1 z_*zY!4*-iV_owk1zE-H~Ap17$BmZJ&z%3HTnRqZ1sm^2nfYXQ%+t{OG2lhCyc;A}F zj{(DA*p@WjoW_r&=ReFI6^4r)AB~qsBGoy(n0M3MuNSbOsVA_Yr6`Siq;Yp(VT$22 z?#jCfZuYgGLB}rAznA;8nI7&-Wn*6=Gbh5T9bC^GV8(my7_M1aSHW z%SS}-RGr20;Z*r>vwY3B;4^i?DZLX)JNzrolSKS%#YRs$Gb;a$~vd>|j732XrGr!iZT z#{GeX5c&cO!B?koG>xm$_zK=HPGL3%x`eLQd|)xKD7+5SJL17knycIqcV2XWg4oB_!cq~uo$o!uo(~o90t4&&>;srj&+YC z4?Iqu2mBMz4p8uAEB3VU$Hcz){ut_m$B+ShG@Be3a>8lFr5a)WL~(g!HOx`u3_|@- zl^@laA9m-5%+H_|%JIjuI;H2^y%ADfk)DYwBz22bH725TZrvc9cIJp{aJzxf45nvUd9iU(rZ=y?)P?a}cT&dAvhz}cr(g+UKc)HkZnfycA!A=uBC3ND~jA{fvhn`8R!i(Xia7@%kAYto;am z;YA+)^hNpwM!s&M;g^7;2cw6g&-3RG8p4MF2N6~7U1ii5-RPv5``mli^2O(DKX2Rbj9dNXM<*8Zf4S+rr$5u$@v8gp+HS=e<>Unqo&685 z9r3`?58XO`&RMJWxNp~aJDig(Ty_4&yRJI@+O@9y;lNIB?J()o1;@W})%=M!-gorO zy+6F_+KslDzW&hBV_%apd0oBU)+ zzUjeFA3JQ=v9p>_JhsieSGL;yl1!gb?wX%EWXXr`-+HT^HeLAWip%!- zzym8+ESf*;iS-^>d2PPMDK<`w{E=Q zg55vA@3C9nJ^r)zKXvo$`QM%U>yuu2>)LbPm~;P}^xBV){_C-;?^yVa;ah%d$iUQ} zjyd9rd#0=&x_HR3{a3u}%oBh6kC*)Vw2uzoa_dvpJ^Z@0-+lU`6)&AK>55s;9lPlI z!#;Gt#tUz`{MuccKb*Qvdh^mNK9b%2wfE22>w_1~d-|p$um0(eo4@T9@{SY)9QH>_h0qPn{S``(b?Ds?DeHR-@pGh+x=ze26u0@?KWTd z%DMM_|MEE7x`l0JQde9GF|JarNHw^5w-moG3TCBci8adYi@Y=VOPJ_y7zZ0&;QH5L+3oSVyg#U-D@C!<*}zXp1)w_tnGh1 zbKEmu|M1KuCrur>`KY@lo%r&yfh%7;7nuN&O(+U)zdh5GzW2VZ~b1v`xT>(t>7tu^wSKl<3FAOFUOE`9UD zv6qg^m)`OCA=eK%az=jgqG4}ta>kNjhwidqqn8g{e_-d^e>rsg+@Dvc-}LGpFO7U@ z{^m*{`hJ@ZQf&TKKQa9z5YEdtYF`++kz zn>Ty&kLIW_|GA=3aiyC9iBh{LUYJ{$2BLzG(fM79IG+ zk)sb;{GKd1x}11>di0eezH`-UXC8mS>&qAQU31h|cKpDBqfgmu<56#pIB&|p!@VBc ziq~M;?`suhQ4M-*E8c_&Jk5EQX$_v1@8Gd5p2!(Be)@!X!KQ!2z7uBcb<%Oi93QXL zOq)Jq^yKl=`r{FukB{&EqxQ_!+s|kfS$~o7B2-oOm;601d3&hji7oyf|HVsDdCK2; zA!^OfR#Nn31usVZ9sfnYHGQLh{Fk-T&LdMf?*!!q&uk@~XSLe@v&wl!i>IoZ@jO=N zsj9pgotph+((KQxD*k_8-lSi_zjMA3&tH{1zr`CtITJ3c&OmPu2v#LU=h>@P`w6Vh zGg~?R8$69w@H|)iZ;EB4V%>MSeR+9Wr&uf(hAL_oY)&Be2k834a zIcU1guF{KFv^o!heRBMy3DbG0YsyJzuL4Ci?wHR`??1Htm{@<(`GKsl>VBT+YyW7! z&edOaz$!jD(2u$Z!k`u6Ur8hF{>-{R+i!C9CuoND|3^wYKRe$_(hgmdwDYwj?T(*z zzt`-34-M4r*X(`|&Cvcg)Z%Af(s?4k)Ze6NYYu#;e(hh=291o(c@=~KW@sT zz7cJ%>+cLY;-F(D%$hLmlhYq7PKS!7{^`fsGxv!byp073<4^wyZ7j*EtPxCI$676n(_k$Ao(3DopKf3NRwU9luoQzC z&DOL_npKfD1Z$<(S5`f^T`Mmeu|+kE&Mmf~h7}pgLa{1|Z5-S#OR_xoIk(dK_gERd z>fdW)Ar6DS7g*k`(=Vu!gjm+wB?(4bu~v`1E#An~b_EWBVWnZsw9y0ewPg*SE|l+O z4OFjUtxy~B=k5JPkVDi2t7u_ZsI+>!yeWGQt@385JZV=7Pe!}aX;m~soz?pm%}@b? z#e1oS;b{t1CZXu-eM>mOuvVxMY1hhZ9cAI`E#vJ|?OXXCl~t(n`WRIb>Rd6LPsOq@ z2huJxzE7*7@^<0e*84Uaja+2`EUaN|40gAvyaAReotup;)VcHx$62w`=+|tNM!#l~ z6w13`p|a~^BrP8UOKIcsIh$VsW7+pA(L;?!GpS5g;YiyfFw>LGG%Hm0!NRksucMiZ zu4CM0Vfw1sDnvgg8MHS(rJeC}tCeNSe_$d<5HD%i{4f*`ol84s0JWpu81 zPgeF9uRKxO2c|RtYbu@DjUxQg!E*sC!@U!%(tSgU;!s;}mlPOp!z$&qgm;2%ekoJY zxuhj;-^%xzMN92v0;W0wELWYFpmmjp0y7!}JBz+bGU6UO7ce3ZbsErB^< zdx3>pAAi>P2Vl9%FV0`Zo8t9$V5*;SCKFvRQqBv@+P8xB4NK$5P@7BIYFRrlwI7^y za)L7TzUXI_^At?{(*~I7m$aEzYEuG>BUNpFsO(C|WhyHB?djF&haS&bYJX{mXIvkH zDVORq1V*r{HW!>Q<5MsOv38mA5bX-JDS@fY1FMvG15;Umq>0+lz|`Lj(kovD7Dvg! zbOy`RKL||u53Er88<^@zuu6F{FqIihccO9$rstjpSdTept%j{5<7lR9%U~G8%7*A{ z#wb;fH+jHZ^>|=$bgt76V=MN9cCfy+YpKnno#_p*T+ar9$vF?Yhr_R zwz=RAIR>{`UmPsMTIxSHQ|yt*FIevK!GjMXGpz!?lD>f{zqAO~Hnd%d;g|Wfz|>xX zwI7WiOc$8h_&zY@Auu~16=lo(XD~a*(<)P4LOav3MOnq~ov7_wU@EI%mFj1_h(i4m zFg!8&UX|qPQx}BW#RukNxGL7Sgo3s2an{0{ukR%;L)BD%VR8+{$`qOkp9ZFTUXogf z(A404D>3IfKQOf^%mu9@Hohc+5}~!)_ps6`TL_Vz!N5vAisA zx0dc@V7gwgTy1>CK|t*)n8g%093{$=U|EE{f21sx~SxqbWKAYP0|DR!%(oy1;=DWlz$*P7%Zg~uyS@fr`SS`8$) zHZC+Fu&m$1Yf>Fn5ob`pJuu^gh&|NT)~GU)(Kj! z#}Duh+Ub{?Y=N14ga|4hfQkO&tmS@FU}`VH$R^0zz--Tp_Adjf%Q=|Zb5b2m^(O<< z^LbT7fJ4V+FO}~LOqBS9WJDS!Z$(C~N598jDz6dt@pgIT?aIV#KtZTp^&h{x&F5TV zE@Uoni^(9E@g(X%V|WqK(imQa_hPyj%xGE?1hu&|EzVGtEjzOZrv6JsmRfxkuqgDX z^F~RSRrw0c=vM+NO~GuB@Cfz%hAY}m+16wR18lO2!BoEq&)Z_E;fU+`3+CW3nG$ z#OCTcC$OfR*}+;cmX1pn+xl|$tMdkZNln;X2v6EN;5A!sC>R~LAPS&ZsrgIBEpux} zbW&n1C_mJY&Y3C=(QBb3HKq!d7Cr{{P1olBAAOq|g9773t+WCYexY4f*&c-lqx4HK z47zV%wr>$1_r8V5Ipd;Y>$<&-NxTV);veW9fr%}nU7~R_F!B{j1LArTgQ8utC3*v_ zg%%Uu0F(0v?Qj`vj11GIee+W6Dt#&HvvwGe9+>1w=o=>i9Rtr@_lUfttpm*Xs+pwX z=Y-)VYAIthX{J00Ciw;WqE1!bz7f?@fUukb|052KnY zNlce;s+Cw8?FcF8{0Os}e1M6M6ctQLH)i4VdU_%z;*42bMGl`bZ$VB)LrJ)$1gmvFoC3vPtu&*>YdFddhae-BK2i|DJq zMH@4{!BJQ2YJy2A?vy)WoF3$?Z;F2!6Td0eLpN>}T&LJ?~|9t`@4e1KW} zIWUQ3(AV;GZA^X9PzWmfY_Rz>!7ys`0#h4GG$(%NZH)$jDc^%}IFNQ>XS8qF8R2IR zmpO&&^)V>eQ-7CWj@b_|PIcOsB!S2!t{%&?cBIDy!{Vt;;h@_vI%Bm|KjSc%uM(L0 z0$?T|30|?B?J3J(KZJKF)v)+(!cpATTjI3p+DePxCkdXJoXgsn@esjli6>HMg)AuT z!6aT1?MyyMh9o{^;f*xHAUYQ? zYL5c5JtE(&G948Y%9CKEy>#5Hlw2zQDk&RV2Q>~hXX01N2b@-&rUg-79hV@P=ph0q zWOuBc#^IP>*_2pSU^pS#x76CQXh*Qr+S&Ptc9qmX(wDksqaT?F$9mA22$q~R(k7<^ zr2&}6JZPsmG!9HyLLHZLl+>os4l&ogj9SSanEJ&O8YF51q83Nb@nEF+gr;EX$8sdr zd=K-(l-WASZtGrhElc8h^u@e+JFJ<`#p;ZrZx5PM&SmT1uwfXt9hC2}DC&FTg=xN# zabbJga~wdMGhK}?Bo2CD>cbI$iko~jdmfmNL5ZRGVR(tD`V3%NAImxl9Bmx~U2N-! zDgteXd({I|--oKwyhmR$FZMmMvyM5fDy>gs9hN@|j5L*g4}V-~O6cEo2@xJWA3;#_ z?*sF`s2Alc%6HXgr6fyho|2TzvF#<79!T7 zd`hK(Zn|=(rUDJHxbwg+vw(ueJlzcnjc) z&Va20IoCZR(_wxN?xfL_5TntQGDg#X#2KWXhPfc;Hf|69nJQ_gDfKEUACW>+z5>&j zR@~t?9|X*N5WF>$X)xb6mZ5x~v%$8Pgn^CrQS`2Rp8Ht?72&ie39d3om66FHr#F)~ zw2<#FWe+yLlCYc354YGcq|4grJs|cRH^=sf5Uq_%xXH$#f};m!{yn5JUj@u;Xw*39 zoTJ9UF@kQgHqu)f7p3&bVsnAneo&%hd;n&AP=v|UaUr47ipnFi0bphW;<~BwDVWrm zlWpWQsdNT2{^K5y$t9S{WszFmfM_-mJ6#*^G8mmXY@mp5Lc zC{=YfSs~N+9FJTsE4}y0!JaIx?k||dc%o)Ue_LVU`C2vs?$5`*2_;`)F~~bzo+D;6Ag1QIoIy60WWCADHPd z%$w#VIY4;4Bnr80k1~$HBGXaBY;-23V0(@mX7l3+rt*PgS-cL+e8MPAG2S4&W^?Hg zdm!ZI-{Z|6qbczSm6<35^>!{>c-~T53r`l56C9C8= zY(KcNY-8}?gUK|NWVT0CgDLH4WpWN?a!&Nc^fQ?4Ij3%$9~@-!!`rY}I3ZB;y$QFQ zeg-rBTt)C&Xb)!c8%5SegRmgl*YihQ_$JVA?I>I~Oz(bCz}M6}>21vPB-u;z!@zux z2+62iMj5T{c^q|nU_NeU?{px%T*T0|QQl;9=6Z_Jg>#C^Ow=7(U*5hl+UE_+#c=wt zvkREd4`d zup$;|drqiD^%c=SJ=;@i#ntHgoCDK62Q$4En9c={+VY%%sg3~CH#tZ+5Rb6Akm(ef z($3;=JS<}Qiolc)z&PdTdgCOZ7)g;HnEIGRH%JyqUof>%QG#Z4js&5u7ffX#Zfp3s zgf>N1X=gQ+fvN7~4ll_foiqARJl!oaY2`-&9^)5hQdOx&{Dc^HT&dx`H zsr-VGjnTQFCmo{##@bnp9N*LXF3ivFuz_(+M0pZSeJR`OQ>0F3e_t5 zMig{r%e`GobM#T^qGyA^)JLLF2qPeK0qfDu@}~6laZx3Dj;Ed8^-aLI^kd_?o(xs2 z`wM3K%N0eVJ($Mz;^e2X*TBqHknh%53+*h9)C>i!`vIo5n|6999U7o?Y;6dL$`Qh# zwSM&NF&C_(>LwbhKgmhg^#Nfn&Bf4;1E%g#6YthBpC2M)`|GuwQ7Pnf=Dxkrz}`HA zeiXi{Eu%ER{1>jJYCMO&Rwomf=|7@>8egNG)gp4R;&y@3C8zM;Fn#BQb#Oh|=uF7N zzi0U)`Xbae28APv#Y0MtnY|=OZ@v$h`5^IRi0u)zIBIJ#dFr3C9hS?7S$IBB<`+-? z=$yf9e+fluoeba8cWmM&iu*KRW&>aYjbjFDXif=?V4uzzd#QBciC>K&bLh5v4q*CT z0dwwQFR84s{Q%Q5B7LpS4UFJ}&bib(w~R|MqMSLw?93FH>ADQJSa}!BX+?;~=i+)7 z*GKbU5Xy4fU_54~Ya`cd`@#9h_=;PKI%nQLFrS7@hxwB^M|PD#Fq1)!znYt9f|-rV zv5mS8FumIn8B)_5U>ZZA9nP)Lg%G^2gKO5RH&AOPgQzvll@p+F+d~G(dM$P@%w&ezcwa9i4z^yxX12f7e5x$KrJ7IX29ec;gXtS|+^a%$strJOnl1s;ybx4Q zOvj?tG)6`{%Mk?TJc%o6d=SZKl?BRQj0P;tcnurc12bJmZrgMYnLC$NuG1N<(8a2E z<0^{HkFtN`UGA9lzeLErbL zFJVmaui^%vo>v3YxBy1W>e2|P+TALgSQ2KkjtG5;uH%rRZ$EJph1kE+C9Y3i|JO+=~fwZ05K~BzgCJszxi^QVEP{25t)yE*&NWrpW%9Ff)U^#Fw%TdLf z?n=|ZG~WXS%+IEs)o9Yr;uhiMs4o?7DXTsROnoGRq7Yiv%gb`AH{wlC)ro;=T%O{( z9%GPBkv)%SvC%Z1j*&gbGqYGJn8mmeXp237@j!^IEhZ>Bk#?4I05g9mFx5F6yY;P- z_B(tk3$(MiGMLq`#cc?+M}esy7RO|@wSlQVlf{UEDN|gl literal 0 HcmV?d00001 diff --git a/Libs/Memory/hdl/bramDualportWritefirst_bhv.vhd b/Libs/Memory/hdl/bramDualportWritefirst_bhv.vhd new file mode 100644 index 0000000..09bf83a --- /dev/null +++ b/Libs/Memory/hdl/bramDualportWritefirst_bhv.vhd @@ -0,0 +1,54 @@ +USE std.textio.all; + +ARCHITECTURE bhv OF bramDualportWritefirst IS + -- Define ramContent type + type ramContentType is array(0 to (2**addressBitNb)-1) of bit_vector(dataBitNb-1 DOWNTO 0); + + -- Define function to create initvalue signal + impure function ReadRamContentFromFile(ramContentFilenAme : in string) return ramContentType is + FILE ramContentFile : text is in ramContentFilenAme; + variable ramContentFileLine : line; + variable ramContent : ramContentType; + begin + for i in ramContentType'range loop + readline(ramContentFile, ramContentFileLine); + read(ramContentFileLine, ramContent(i)); + end loop; + return ramContent; + end function; + + -- Declare ramContent signal + shared variable ramContent: ramContentType := ReadRamContentFromFile(initFile); + +BEGIN + -- Port A + process(clockA) + begin + if clockA'event and clockA='1' then + if enA = '1' then + if writeEnA = '1' then + dataOutA <= dataInA; + ramContent(to_integer(unsigned(addressA))) := to_bitvector(dataInA,'0'); + else + dataOutA <= to_stdulogicvector(ramContent(to_integer(unsigned(addressA)))); + end if; + end if; + end if; + end process; + + -- Port B + process(clockB) + begin + if clockB'event and clockB='1' then + if enB = '1' then +-- if writeEnB = '1' then +-- ramContent(to_integer(unsigned(addressB))) := to_bitvector(dataInB,'0'); +-- dataOutB <= dataInB; +-- else + dataOutB <= to_stdulogicvector(ramContent(to_integer(unsigned(addressB)))); +-- end if; + end if; + end if; + end process; + +END ARCHITECTURE bhv; diff --git a/Libs/Memory/hdl/bram_bhv.vhd b/Libs/Memory/hdl/bram_bhv.vhd new file mode 100644 index 0000000..f0f92f5 --- /dev/null +++ b/Libs/Memory/hdl/bram_bhv.vhd @@ -0,0 +1,39 @@ +USE std.textio.all; + +ARCHITECTURE bhv OF bram IS + -- Define ramContent type + type ramContentType is array(0 to (2**addressBitNb)-1) of bit_vector(dataBitNb-1 DOWNTO 0); + + -- Define function to create initvalue signal + impure function ReadRamContentFromFile(ramContentFilenAme : in string) return ramContentType is + FILE ramContentFile : text is in ramContentFilenAme; + variable ramContentFileLine : line; + variable ramContent : ramContentType; + begin + for i in ramContentType'range loop + readline(ramContentFile, ramContentFileLine); + read(ramContentFileLine, ramContent(i)); + end loop; + return ramContent; + end function; + + -- Declare ramContent signal + shared variable ramContent: ramContentType := ReadRamContentFromFile(initFile); + +BEGIN + -- Port A + process(clock) + begin + if clock'event and clock='1' then + if en = '1' then + if writeEn = '1' then + dataOut <= dataIn; + ramContent(to_integer(unsigned(addressIn))) := to_bitvector(dataIn,'0'); + else + dataOut <= to_stdulogicvector(ramContent(to_integer(unsigned(addressIn)))); + end if; + end if; + end if; + end process; + +END ARCHITECTURE bhv; diff --git a/Libs/Memory/hdl/bram_dualport_writefirst.vhd b/Libs/Memory/hdl/bram_dualport_writefirst.vhd new file mode 100644 index 0000000..8fd72bf --- /dev/null +++ b/Libs/Memory/hdl/bram_dualport_writefirst.vhd @@ -0,0 +1,55 @@ +USE std.textio.all; + +ARCHITECTURE bhv OF bramContentDualportWritefirst IS + -- Define ramContent type + type ramContentType is array(0 to (2**addr_bit_nb)-1) of bit_vector(data_bit_nb-1 DOWNTO 0); + + -- Define function to create initvalue signal + impure function ReadRamContentFromFile(ramContentFilenAme : in string) return ramContentType is + FILE ramContentFile : text is in ramContentFilenAme; + variable ramContentFileLine : line; + variable ramContent : ramContentType; + begin + for i in ramContentType'range loop + readline(ramContentFile, ramContentFileLine); + read(ramContentFileLine, ramContent(i)); + end loop; + return ramContent; + end function; + + -- Declare ramContent signal + shared variable ramContent: ramContentType := ReadRamContentFromFile(init_file); + +BEGIN + -- Port A + process(clockA) + begin + if clockA'event and clockA='1' then + if enA = '1' then + if writeEnA = '1' then + dataOutA <= dataInA; + ramContent(to_integer(unsigned(addressA))) := to_bitvector(dataInA,'0'); + else + dataOutA <= to_stdulogicvector(ramContent(to_integer(unsigned(addressA)))); + end if; + end if; + end if; + end process; + + -- Port B + process(clockB) + begin + if clockB'event and clockB='1' then + if enB = '1' then + if writeEnB = '1' then + ramContent(to_integer(unsigned(addressB))) := to_bitvector(dataInB,'0'); + dataOutB <= dataInB; + else + dataOutB <= to_stdulogicvector(ramContent(to_integer(unsigned(addressB)))); + end if; + end if; + end if; + end process; + +END ARCHITECTURE bhv; + diff --git a/Libs/Memory/hdl/fifoBridgeRxToTxBuswidthAdaptionRxbigger_behavioral.vhd b/Libs/Memory/hdl/fifoBridgeRxToTxBuswidthAdaptionRxbigger_behavioral.vhd new file mode 100644 index 0000000..9773ce4 --- /dev/null +++ b/Libs/Memory/hdl/fifoBridgeRxToTxBuswidthAdaptionRxbigger_behavioral.vhd @@ -0,0 +1,73 @@ +-- ------------------------------------------------------------------------------ +-- Copyright 2013 HES-SO Valais Wallis (www.hevs.ch) +-- ------------------------------------------------------------------------------ +-- FIFO bridge with bus width adaption +-- A shift register that connects two FIFOs with different bus width. +-- Many IP blocks nowadays have FIFO or FIFO-like interfaces and often they +-- have to be connected. This block can the be used for this task, even if +-- the bus size of the two FIFO interfaces is different. +-- The Rx side bus width has to be a multiple of the Tx side bus width. +-- +-- Created on 2013-10-18 +-- +-- Author: Oliver A. Gubler (oliver.gubler@hevs.ch) +-- +-- 2014-10-06: *modify introduction text +-- +add some comment +-- *change readRx to a pulse +-- *fix bug on shift of shiftreg_s +-- 2013-10-18: +intital release +-- ------------------------------------------------------------------------------ +-- + +library Common; + use Common.CommonLib.all; + +ARCHITECTURE behavioral OF fifoBridgeRxToTxBusWidthAdaptionRxBigger IS + + signal cnt_s: unsigned(requiredBitNb(dataBitNbRx)-1 downto 0); + signal shiftreg_s: std_ulogic_vector(dataBitNbRx-1 downto 0); + signal emptyRx_s: std_ulogic; -- internal empty signal + signal writeTx_s: std_ulogic; -- internal write signal + + constant ratio_rxtx_c: positive range 1 to dataBitNbRx/dataBitNbTx:= dataBitNbRx/dataBitNbTx; + +BEGIN + + rx0: process(clock, reset) + begin + if reset = '1' then + shiftreg_s <= (others => '0'); + emptyRx_s <= '1'; + cnt_s <= (others => '0'); + writeTx_s <= '0'; + dataTx <= (others => '0'); + readRx <= '0'; + elsif rising_edge(clock) then + writeTx_s <= '0'; + readRx <= '0'; + -- fetch data + if emptyRx_s = '1' and emptyRx = '0' then + emptyRx_s <= '0'; + shiftreg_s <= dataRx; + readRx <= '1'; + end if; + -- shift data and put out + -- after each write, wait one cylce to check if full gets high + if emptyRx_s = '0' and fullTx = '0' and writeTx_s = '0' then + shiftreg_s <= shiftreg_s(dataBitNbRx-dataBitNbTx-1 downto 0) & std_ulogic_vector(to_unsigned(0,dataBitNbTx)); + dataTx <= shiftreg_s(dataBitNbRx-1 downto dataBitNbRx-dataBitNbTx); + writeTx_s <= '1'; + cnt_s <= cnt_s +1; + if cnt_s >= ratio_rxtx_c-1 then + cnt_s <= (others => '0'); + emptyRx_s <= '1'; + end if; + end if; + end if; + end process; + + writeTx <= writeTx_s; + +END ARCHITECTURE behavioral; + diff --git a/Libs/Memory/hdl/fifoBridgeRxToTxBuswidthAdaptionTxbigger_behavioral.vhd b/Libs/Memory/hdl/fifoBridgeRxToTxBuswidthAdaptionTxbigger_behavioral.vhd new file mode 100644 index 0000000..c6217f8 --- /dev/null +++ b/Libs/Memory/hdl/fifoBridgeRxToTxBuswidthAdaptionTxbigger_behavioral.vhd @@ -0,0 +1,75 @@ +-- ------------------------------------------------------------------------------ +-- Copyright 2013 HES-SO Valais Wallis (www.hevs.ch) +-- ------------------------------------------------------------------------------ +-- FIFO bridge with bus width adaption +-- A shift register that connects two FIFOs with different bus width. +-- Many IP blocks nowadays have FIFO or FIFO-like interface. But the bus width +-- varies often. This block can the be used to adapt the bus width to your own +-- needs. +-- The Tx side bus width has to be a multiple of the Rx side bus width. +-- +-- Created on 2013-10-21 +-- +-- Version: 1.0 +-- Author: Oliver A. Gubler (oliver.gubler@hevs.ch) +-- ------------------------------------------------------------------------------ +-- + +library common; + use common.commonlib.all; + +ARCHITECTURE behavioral OF fifoBridgeRxToTxBusWidthAdaptionTxbigger IS + + constant ratio_txrx_c: positive range 1 to dataBitNbTx/dataBitNbRx:= dataBitNbTx/dataBitNbRx; + + signal cnt_s: unsigned(requiredBitNb(ratio_txrx_c-1)-1 downto 0); + signal shiftreg_s: std_ulogic_vector(dataBitNbTx-1 downto 0); + signal fullTx_s: std_ulogic; + signal emptyRx_s: std_ulogic; + +BEGIN + + rx0: process(clock, reset) + begin + if reset = '1' then + shiftreg_s <= (others => '0'); + readRx <= '1'; + emptyRx_s <= '1'; + cnt_s <= (others => '0'); + elsif rising_edge(clock) then + readRx <= NOT fullTx_s; + emptyRx_s <= '1'; + if emptyRx = '0' and fullTx_s = '0' then +-- shiftreg_s(((to_integer(cnt_s)+1)*dataBitNbRx)-1 downto to_integer(cnt_s)*dataBitNbRx) <= dataRx; + shiftreg_s <= shiftreg_s(dataBitNbTx-dataBitNbRx-1 downto 0) & dataRx; + readRx <= '1'; + cnt_s <= cnt_s +1; + if cnt_s >= ratio_txrx_c-1 then + cnt_s <= (others => '0'); + emptyRx_s <= '0'; + end if; + end if; + end if; + end process; + + tx0: process(clock, reset) + begin + if reset = '1' then + fullTx_s <= '1'; + writeTx <= '0'; + dataTx <= (others => '0'); + elsif rising_edge(clock) then + fullTx_s <= fullTx; + writeTx <= '0'; + -- no need to wait to check for full (in contrast to RxBigger) + -- because it will forcibly take several clocks to fill the shiftreg + if emptyRx_s = '0' and fullTx = '0' then + dataTx <= shiftreg_s; + writeTx <= '1'; + end if; + end if; + end process; + +END ARCHITECTURE behavioral; + + diff --git a/Libs/Memory/hdl/fifo_bram_rtl.vhd b/Libs/Memory/hdl/fifo_bram_rtl.vhd new file mode 100644 index 0000000..83336ca --- /dev/null +++ b/Libs/Memory/hdl/fifo_bram_rtl.vhd @@ -0,0 +1,165 @@ +library Common; + use Common.CommonLib.all; + +architecture RTL of FIFO_bram is + + subtype register_type is std_ulogic_vector(dataIn'high downto 0); + type memory_type is array (0 to depth-1) of register_type; + + signal writeCounter: unsigned(requiredBitNb(depth-1)-1 downto 0); + signal readCounter: unsigned(writeCounter'range); + signal memoryArray: memory_type; + + type fifoStateType is ( + sEmpty, sFull, + sRead, sWrite, sWriteFirst, + sReadWrite, sWait + ); + signal fifoState: fifoStateType; + signal emptyCondition, fullCondition, empty_int: std_ulogic; + +begin + ------------------------------------------------------------------------------ + -- read and write counters + updateWriteCounter: process(reset, clock) + begin + if reset = '1' then + writeCounter <= (others => '0'); + elsif rising_edge(clock) then + if (write = '1') and (fullCondition = '0') then + writeCounter <= writeCounter + 1; + end if; + end if; + end process updateWriteCounter; + + updateReadCounter: process(reset, clock) + begin + if reset = '1' then + readCounter <= (others => '0'); + elsif rising_edge(clock) then + if (read = '1') and (empty_int = '0') then + readCounter <= readCounter + 1; + end if; + end if; + end process updateReadCounter; + + ------------------------------------------------------------------------------ + -- memory access + writeMem: process(clock) + begin + if rising_edge(clock) then + if (write = '1') and (fullCondition = '0') then + memoryArray(to_integer(writeCounter)) <= dataIn; + end if; + end if; + end process writeMem; + + readMem: process(reset, clock) + begin + if reset = '1' then + dataOut <= (others => '0'); + elsif rising_edge(clock) then + if (read = '0') or (empty_int = '1') then + dataOut <= memoryArray(to_integer(readCounter)); + else + dataOut <= memoryArray(to_integer(readCounter+1)); + end if; + end if; + end process readMem; + + ------------------------------------------------------------------------------ + -- controls + emptyCondition <= '1' when + ( (fifoState = sRead) and (writeCounter = readCounter) ) or + (fifoState = sEmpty) + else '0'; + + fullCondition <= '1' when + ( (fifoState = sWrite) and (writeCounter = readCounter) ) or + (fifoState = sFull) + else '0'; + + + fifoControl: process(reset, clock) + begin + if reset = '1' then + fifoState <= sEmpty; + elsif rising_edge(clock) then + case fifoState is + when sEmpty => + if write = '1' then + fifoState <= sWriteFirst; + end if; + when sFull => + if (read = '1') then + fifoState <= sRead; + end if; + when sRead => + if (read = '1') and (write = '1') then + fifoState <= sReadWrite; + elsif write = '1' then + fifoState <= sWrite; + elsif emptyCondition = '1' then + fifoState <= sEmpty; + elsif read = '1' then + fifoState <= sRead; + else + fifoState <= sWait; + end if; + when sWriteFirst => + if (read = '1') and (write = '1') then + fifoState <= sReadWrite; + elsif write = '1' then + fifoState <= sWrite; + elsif read = '1' then + fifoState <= sRead; + else + fifoState <= sWait; + end if; + when sWrite => + if (read = '1') and (write = '1') then + fifoState <= sReadWrite; + elsif read = '1' then + fifoState <= sRead; + elsif fullCondition = '1' then + fifoState <= sFull; + elsif write = '1' then + fifoState <= sWrite; + else + fifoState <= sWait; + end if; + when sReadWrite => + if (read = '0') and (write = '0') then + fifoState <= sWait; + elsif (read = '1') and (write = '0') then + fifoState <= sRead; + elsif (write = '1') and (read = '0') then + fifoState <= sWrite; + end if; + when sWait => + if (read = '1') and (write = '1') then + fifoState <= sReadWrite; + elsif read = '1' then + fifoState <= sRead; + elsif write = '1' then + fifoState <= sWrite; + end if; + when others => null; + end case; + end if; + end process fifoControl; + + + full <= '1' when + (fifoState = sFull) or + (fullCondition = '1') + else '0'; + + empty_int <= '1' when + (fifoState = sEmpty) or + (fifoState = sWriteFirst) or + ( (emptyCondition = '1') and (fifoState = sRead) ) + else '0'; + empty <= empty_int; + +end RTL; diff --git a/Libs/Memory/hdl/fifo_minimal.vhd b/Libs/Memory/hdl/fifo_minimal.vhd new file mode 100644 index 0000000..915866c --- /dev/null +++ b/Libs/Memory/hdl/fifo_minimal.vhd @@ -0,0 +1,63 @@ +library Common; + use Common.CommonLib.all; + +architecture minimal of FIFO_bram is + + subtype register_type is std_ulogic_vector(dataIn'high downto 0); + type memory_type is array (0 to depth-1) of register_type; + + signal writeCounter: unsigned(requiredBitNb(depth)-1 downto 0); + signal readCounter: unsigned(writeCounter'range); + signal memoryArray : memory_type; + +begin + + updateWriteCounter: process(reset, clock) + begin + if reset = '1' then + writeCounter <= (others => '0'); + elsif rising_edge(clock) then + if write = '1' then + writeCounter <= writeCounter + 1; + end if; + end if; + end process updateWriteCounter; + + updateReadCounter: process(reset, clock) + begin + if reset = '1' then + readCounter <= (others => '0'); + elsif rising_edge(clock) then + if read = '1' then + readCounter <= readCounter + 1; + end if; + end if; + end process updateReadCounter; + + writeMem: process(clock) + begin + if rising_edge(clock) then + if write = '1' then + memoryArray(to_integer(writeCounter)) <= dataIn; + end if; + end if; + end process writeMem; + + dataOut <= memoryArray(to_integer(readCounter)); + + checkStatus: process(readCounter, writeCounter) + begin + if readCounter = writeCounter then + empty <= '1'; + else + empty <= '0'; + end if; + if writeCounter+1 = readCounter then + full <= '1'; + else + full <= '0'; + end if; + end process checkStatus; + +end minimal; + diff --git a/Libs/Memory/hdl/fifo_oneRegister_rtl.vhd b/Libs/Memory/hdl/fifo_oneRegister_rtl.vhd new file mode 100644 index 0000000..244749d --- /dev/null +++ b/Libs/Memory/hdl/fifo_oneRegister_rtl.vhd @@ -0,0 +1,37 @@ +architecture oneRegister of FIFO_oneRegister is + + signal dataRegister: std_ulogic_vector(dataIn'range); + +begin + + writeReg: process(reset, clock) + begin + if reset = '1' then + dataRegister <= (others => '0'); + elsif rising_edge(clock) then + if write = '1' then + dataRegister <= dataIn; + end if; + end if; + end process writeReg; + + dataOut <= dataRegister; + + manageFlags: process(reset, clock) + begin + if reset = '1' then + empty <= '1'; + full <= '0'; + elsif rising_edge(clock) then + if write = '1' then + empty <= '0'; + full <= '1'; + elsif read = '1' then + empty <= '1'; + full <= '0'; + end if; + end if; + end process manageFlags; + +end oneRegister; + diff --git a/Libs/Memory/hdl/fifo_pim.vhd b/Libs/Memory/hdl/fifo_pim.vhd new file mode 100644 index 0000000..81006e3 --- /dev/null +++ b/Libs/Memory/hdl/fifo_pim.vhd @@ -0,0 +1,118 @@ +library Common; + use Common.CommonLib.all; + +architecture pim of FIFO_bram is + + type mem_t is array (depth-1 downto 0) of std_ulogic_vector(dataIn'range); + subtype mem_range_r is natural range requiredBitNb(depth)-1 downto 0; + subtype ptr_range_r is natural range requiredBitNb(depth)+1-1 downto 0; + + signal mem : mem_t := (others => (others => '0')); + + signal full_int : std_logic; + signal empty_int : std_logic; + signal write_error : std_logic; + signal read_error : std_logic; + signal read_ptr : unsigned(ptr_range_r); + signal read_ptr_next : unsigned(ptr_range_r); + signal write_ptr : unsigned(ptr_range_r); + signal write_ptr_next : unsigned(ptr_range_r); + + signal used_int : unsigned(ptr_range_r); + +begin + ----------------------------------------------------------------------------- + -- Free / used + ----------------------------------------------------------------------------- + + fifo_count_proc: process(reset, clock) + begin + if reset = '1' then + used_int <= (others => '0'); + elsif rising_edge(clock) then + if write = '1' and full_int = '0' then + used_int <= used_int + 1; + end if; + if read = '1' and empty_int = '0' then + used_int <= used_int - 1; + end if; + + -- Simultaneous read/write -> no change + -- ignore full_int, since it is valid + if write = '1' and read = '1' and empty_int = '0' then + used_int <= used_int; + end if; + end if; + end process; + + + ----------------------------------------------------------------------------- + -- FIFO status + ----------------------------------------------------------------------------- + + full_int <= '1' when (write_ptr(write_ptr'left) /= read_ptr(read_ptr'left)) + and ((write_ptr(mem_range_r) = read_ptr(mem_range_r))) + else '0'; + empty_int <= '1' when (write_ptr = read_ptr) else '0'; + + full <= full_int; + empty <= empty_int; + + write_ptr_next <= write_ptr + 1; + read_ptr_next <= read_ptr + 1; + + + ----------------------------------------------------------------------------- + -- FIFO pointers + ----------------------------------------------------------------------------- + + fifo_ptr_proc: process(reset, clock) + begin + if reset = '1' then + write_ptr <= (others => '0'); + read_ptr <= (others => '0'); + write_error <= '0'; + read_error <= '0'; + elsif rising_edge(clock) then + write_error <= '0'; + read_error <= '0'; + if write = '1' then + if full_int = '0' or read = '1' then + write_ptr <= write_ptr_next; + else + write_error <= '1'; + end if; + end if; + if read = '1' then + if empty_int = '0' then + read_ptr <= read_ptr_next; + else + read_error <= '1'; + end if; + end if; + end if; + end process; + + + ----------------------------------------------------------------------------- + -- FIFO RAM + ----------------------------------------------------------------------------- + + fifo_out_proc : process(clock) + begin + if rising_edge(clock) then + dataOut <= mem(to_integer(read_ptr(mem_range_r))); + end if; + end process; + + fifo_in_proc : process(clock) + begin + if rising_edge(clock) then + if write = '1' and full_int = '0' then + mem(to_integer(write_ptr(mem_range_r))) <= dataIn; + end if; + end if; + end process; + +end pim; + diff --git a/Libs/Memory/hdl/fifo_rtl_minimal.vhd b/Libs/Memory/hdl/fifo_rtl_minimal.vhd new file mode 100644 index 0000000..6fcccc8 --- /dev/null +++ b/Libs/Memory/hdl/fifo_rtl_minimal.vhd @@ -0,0 +1,97 @@ +-- +-- VHDL Architecture Memory.fifo_minimal +-- +-- Created: +-- by - uadmin.UNKNOWN (WE3877) +-- at - 13:54:33 11.07.2012 +-- +-- using Mentor Graphics HDL Designer(TM) 2009.2 (Build 10) +-- +library Common; + use Common.CommonLib.all; + +architecture RTL_minimal of FIFO is + + subtype register_type is std_ulogic_vector(dataIn'high downto 0); + type memory_type is array (0 to depth-1) of register_type; + + signal writeCounter: unsigned(requiredBitNb(depth)-1 downto 0); + signal readCounter: unsigned(writeCounter'range); + signal memoryArray : memory_type; + +begin + + updateWriteCounter: process(reset, clock) + begin + if reset = '1' then + writeCounter <= (others => '0'); + elsif rising_edge(clock) then + if write = '1' then + writeCounter <= writeCounter + 1; + end if; + end if; + end process updateWriteCounter; + + updateReadCounter: process(reset, clock) + begin + if reset = '1' then + readCounter <= (others => '0'); + elsif rising_edge(clock) then + if read = '1' then + readCounter <= readCounter + 1; + end if; + end if; + end process updateReadCounter; + + writeMem: process(clock) + begin + if rising_edge(clock) then + if write = '1' then + memoryArray(to_integer(writeCounter)) <= dataIn; + end if; + end if; + end process writeMem; + + dataOut <= memoryArray(to_integer(readCounter)); + +-- checkStatus: process(reset, clock) +-- begin +-- if reset = '1' then +-- empty <= '1'; +-- full <= '0'; +-- elsif rising_edge(clock) then +-- if readCounter+1 = writeCounter then +-- if read = '1' then +-- empty <= '1'; +-- end if; +-- elsif writeCounter = readCounter then +-- if write = '1' then +-- empty <= '0'; +-- end if; +-- if read = '1' then +-- full <= '0'; +-- end if; +-- elsif writeCounter+1 = readCounter then +-- if write = '1' then +-- full <= '1'; +-- end if; +-- end if; +-- end if; +-- end process checkStatus; + + checkStatus: process(readCounter, writeCounter) + begin + if readCounter = writeCounter then + empty <= '1'; + else + empty <= '0'; + end if; + if writeCounter+1 = readCounter then + full <= '1'; + else + full <= '0'; + end if; + end process checkStatus; + +END ARCHITECTURE RTL_minimal; + diff --git a/Libs/Memory/hdl/fifobridgerxtotx_rtl.vhd b/Libs/Memory/hdl/fifobridgerxtotx_rtl.vhd new file mode 100644 index 0000000..5c20654 --- /dev/null +++ b/Libs/Memory/hdl/fifobridgerxtotx_rtl.vhd @@ -0,0 +1,84 @@ +-- ------------------------------------------------------------------------------ +-- Copyright 2012 HES-SO Valais Wallis (www.hevs.ch) +-- ------------------------------------------------------------------------------ +-- FIFO bridge with bus width adaptation +-- A register that connects two FIFOs. +-- Many IP blocks nowadays have FIFO or FIFO-like interfaces and often they +-- have to be connected. This block can the be used for this task. +-- +-- Created on 2012 +-- +-- Author: Oliver A. Gubler (oliver.gubler@hevs.ch) +-- +-- 2016-04-01: fix bug in FWFT read when full +-- 2016-03-22: +add FirstWordFallThrough (FWFT) generic +-- 2012: +intital release +-- ------------------------------------------------------------------------------ +-- + +ARCHITECTURE RTL OF fifoBridgeRxToTx IS + + signal read1: std_ulogic; + signal read2: std_ulogic; + signal read: std_ulogic; + signal storedData: std_ulogic_vector(data1'range); + signal write: std_ulogic; + +BEGIN + + readControl: process(reset, clock) + begin + if reset = '1' then + read1 <= '0'; + read2 <= '0'; + elsif rising_edge(clock) then + if (empty1 = '0') and (full2 = '0') then + read1 <= '1'; + else + read1 <= '0'; + end if; + read2 <= read1; + end if; + end process readControl; + + read <= not empty1 and not full2 when firstWordFallThrough + else not empty1 and read1; + rd1 <= read; + + readData: process(reset, clock) + begin + if reset = '1' then + storedData <= (others => '0'); + elsif rising_edge(clock) then + if firstWordFallThrough then + storedData <= data1; + else + if read = '1' then + storedData <= data1; + end if; + end if; + end if; + end process readData; + + data2 <= storedData; + + writeControl: process(reset, clock) + begin + if reset = '1' then + write <= '0'; + elsif rising_edge(clock) then + if firstWordFallThrough then + write <= not empty1 and not full2; + else + if read = '1' then + write <= '1'; + else + write <= '0'; + end if; + end if; + end if; + end process writeControl; + + wr2 <= write; + +end RTL; diff --git a/Libs/Memory/hdl/flashController_RTL.vhd b/Libs/Memory/hdl/flashController_RTL.vhd new file mode 100644 index 0000000..f8f65c4 --- /dev/null +++ b/Libs/Memory/hdl/flashController_RTL.vhd @@ -0,0 +1,140 @@ +ARCHITECTURE RTL OF flashController IS + + signal addressReg: unsigned(flashAddr'range); + signal dataOutReg: std_ulogic_vector(flashDataOut'range); + signal dataInReg: std_ulogic_vector(flashDataIn'range); + type sequenceStateType is ( + idle, + waitForBus1, waitForBus0, + startAccess, waitAcccessEnd + ); + signal sequenceState: sequenceStateType; + signal read: std_ulogic; + signal startCounter: std_ulogic; + signal sequenceCounter: unsigned(3 downto 0); + signal endOfCount: std_ulogic; + signal readDataValid: std_ulogic; + signal flashCE: std_ulogic; + +BEGIN + ------------------------------------------------------------------------------ + -- memory reset + memRst_n <= not '0'; + + ------------------------------------------------------------------------------ + -- address + storeAddress: process(reset, clock) + begin + if reset = '1' then + addressReg <= (others => '0'); + elsif rising_edge(clock) then + if (flashRd = '1') or (flashWr = '1') then + addressReg <= shift_left(flashAddr, 1); + end if; + end if; + end process storeAddress; + + memAddress <= std_ulogic_vector(addressReg); + + ------------------------------------------------------------------------------ + -- data out + storeDataOut: process(reset, clock) + begin + if reset = '1' then + dataOutReg <= (others => '0'); + elsif rising_edge(clock) then + if flashWr = '1' then + dataOutReg <= flashDataOut; + end if; + end if; + end process storeDataOut; + + memDataOut <= flashDataOut; + + ------------------------------------------------------------------------------ + -- data in + readDataValid <= '1' when (read = '1') and (endOfCount = '1') else '0'; + + storeDataIn: process(reset, clock) + begin + if reset = '1' then + dataInReg <= (others => '0'); + elsif rising_edge(clock) then + if readDataValid = '1' then + dataInReg <= memDataIn; + end if; + end if; + end process storeDataIn; + + flashDataIn <= dataInReg when readDataValid = '0' else memDataIn; + + ------------------------------------------------------------------------------ + -- read/write sequence + busAccessFsm: process(reset, clock) + begin + if reset = '1' then + read <= '0'; + sequenceState <= idle; + elsif rising_edge(clock) then + case sequenceState is + when idle => + if flashRd = '1' then + read <= '1'; + sequenceState <= waitForBus1; + elsif flashWr = '1' then + read <= '0'; + sequenceState <= waitForBus1; + end if; + when waitForBus1 => + if memBusEn_n = '1' then + sequenceState <= waitForBus0; + end if; + when waitForBus0 => + if memBusEn_n = '0' then + sequenceState <= startAccess; + end if; + when startAccess => + sequenceState <= waitAcccessEnd; + when waitAcccessEnd => + if endOfCount = '1' then + sequenceState <= idle; + end if; + end case; + end if; + end process busAccessFsm; + + + startCounter <= '1' when sequenceState = startAccess else '0'; + endOfCount <= '1' + when ( (sequenceCounter = rdWaitState) and (read = '1') ) or + ( (sequenceCounter = wrWaitState) and (read = '0') ) + else '0'; + + countSequence: process(reset, clock) + begin + if reset = '1' then + sequenceCounter <= (others => '0'); + elsif rising_edge(clock) then + if sequenceCounter = 0 then + if startCounter = '1' then + sequenceCounter <= sequenceCounter + 1; + end if; + else + if endOfCount = '1' then + sequenceCounter <= (others => '0'); + else + sequenceCounter <= sequenceCounter + 1; + end if; + end if; + end if; + end process countSequence; + + flashCE <= '0' when sequenceCounter = 0 else '1'; + flashCE_n <= not flashCE; + memWR_n <= not '1' when (read = '0') and (flashCE = '1') and (endOfCount = '0') + else not '0'; + memOE_n <= not '1' when (read = '1') and (flashCE = '1') else not '0'; + + flashDataValid <= endOfCount; + +END ARCHITECTURE RTL; diff --git a/Libs/Memory/hdl/sdramControllerBuildAddress_RTL.vhd b/Libs/Memory/hdl/sdramControllerBuildAddress_RTL.vhd new file mode 100644 index 0000000..c2ba793 --- /dev/null +++ b/Libs/Memory/hdl/sdramControllerBuildAddress_RTL.vhd @@ -0,0 +1,36 @@ +ARCHITECTURE RTL OF sdramControllerBuildAddress IS + + constant addressPrecharge: std_ulogic_vector(memAddress'range) + := (10=> '1', others => '-'); + constant addressModeRegU : unsigned(memAddress'range) + := resize("0" & "00" & "010" & "0" & "000", memAddress'length); + -- ll,10 = reserved, + -- 9 = '0' programmed burst length => burst length applicable for both rd and wr + -- 8,7 = Op mode = 00 => standard operation (all other states are reserved) + -- 6,5,4 = CAS latency = 010 => cas latency of 2 + -- 3 = Burst Type = '0' => Sequential (not interleaved) + -- 2,1,0 = Brust Length = 000 => brust length is 1 + constant addressModeReg : std_ulogic_vector(memAddress'range) + := std_ulogic_vector(addressModeRegU); + +BEGIN + + buildAddresses: process(ramAddr, addrSelPrecharge, addrSelModeReg, addrSelRow, addrSelCol) + begin + memBankAddress <= std_ulogic_vector(ramAddr(ramAddr'high downto ramAddr'high-memBankAddress'length+1)); + if addrSelPrecharge = '1' then + memAddress <= addressPrecharge; + elsif addrSelModeReg = '1' then + memAddress <= addressModeReg; + elsif addrSelRow = '1' then + memAddress <= std_ulogic_vector(ramAddr(rowAddressBitNb+colAddressBitNb-1 downto colAddressBitNb)); + elsif addrSelCol = '1' then + memAddress(memAddress'high downto colAddressBitNb) <= (others => '0'); + memAddress(10) <= '1'; + memAddress(colAddressBitNb-1 downto 0) <= std_ulogic_vector(ramAddr(colAddressBitNb-1 downto 0)); + else + memAddress <= (others => '-'); + end if; + end process buildAddresses; + +END ARCHITECTURE RTL; diff --git a/Libs/Memory/hdl/sdramControllerRefreshCounter_RTL.vhd b/Libs/Memory/hdl/sdramControllerRefreshCounter_RTL.vhd new file mode 100644 index 0000000..1392f04 --- /dev/null +++ b/Libs/Memory/hdl/sdramControllerRefreshCounter_RTL.vhd @@ -0,0 +1,48 @@ +ARCHITECTURE RTL OF sdramControllerRefreshCounter IS + + signal delayCounter: unsigned(delayCounterBitNb-1 downto 0); + signal endOfDelay: std_ulogic; + +BEGIN + + countDelay : process(reset, clock) + begin + if reset = '1' then + delayCounter <= (others => '0'); + elsif rising_edge(clock) then + if endOfDelay = '1' then + delayCounter <= to_unsigned(1, delayCounter'length); + else + delayCounter <= delayCounter + 1; + end if; + end if; + end process countDelay; + + findEndOfDelay: process(powerUpDone, delayCounter) + begin + endOfDelay <= '0'; + if powerUpDone = '0' then + if delayCounter+1 = 0 then + endOfDelay <= '1'; + end if; + else + if delayCounter+1 >= refreshPeriodNb then + endOfDelay <= '1'; + end if; + end if; + end process findEndOfDelay; + + endOfRefreshCount <= endOfDelay; + + signalRefresh: process(powerUpDone, delayCounter) + begin + selectRefresh <= '0'; + if (powerUpDone = '1') and (delayCounter < 1024) then + if (delayCounter <= 16) or (delayCounter(3 downto 0) = 0) then + selectRefresh <= '1'; + end if; + end if; + end process signalRefresh; + + +END ARCHITECTURE RTL; diff --git a/Libs/Memory/hdl/sdramControllerSR_RTL.vhd b/Libs/Memory/hdl/sdramControllerSR_RTL.vhd new file mode 100644 index 0000000..2ec5bc8 --- /dev/null +++ b/Libs/Memory/hdl/sdramControllerSR_RTL.vhd @@ -0,0 +1,18 @@ +ARCHITECTURE RTL OF sdramControllerSR IS +BEGIN + + setReset: process(reset, clock) + begin + if reset = '1' then + flag <= '0'; + elsif rising_edge(clock) then + if setFlag = '1' then + flag <= '1'; + elsif resetFlag = '1' then + flag <= '0'; + end if; + end if; + end process setReset; + +END ARCHITECTURE RTL; + diff --git a/Libs/Memory/hdl/sdramControllerSampleDataIn_RTL.vhd b/Libs/Memory/hdl/sdramControllerSampleDataIn_RTL.vhd new file mode 100644 index 0000000..29bf09f --- /dev/null +++ b/Libs/Memory/hdl/sdramControllerSampleDataIn_RTL.vhd @@ -0,0 +1,17 @@ +ARCHITECTURE RTL OF sdramControllerSampleDataIn IS +BEGIN + + sampleRamData: process(reset, clock) + begin + if reset = '1' then + ramDataIn <= (others => '0'); + elsif falling_edge(clock) then + if sampleData = '1' then + ramDataIn <= memDataIn; + end if; + end if; + end process sampleRamData; + + +END ARCHITECTURE RTL; + diff --git a/Libs/Memory/hdl/sdramControllerStoreData_RTL.vhd b/Libs/Memory/hdl/sdramControllerStoreData_RTL.vhd new file mode 100644 index 0000000..d73bad4 --- /dev/null +++ b/Libs/Memory/hdl/sdramControllerStoreData_RTL.vhd @@ -0,0 +1,14 @@ +ARCHITECTURE RTL OF sdramControllerStoreData IS +BEGIN + + storeData : process(reset, clock) + begin + if reset = '1' then + memDataOut <= (others => '0'); + elsif rising_edge(clock) then + memDataOut <= ramDataOut; + end if; + end process storeData; + +END ARCHITECTURE RTL; + diff --git a/Libs/Memory/hdl/sdramControllerTimingsShiftRegister_RTL.vhd b/Libs/Memory/hdl/sdramControllerTimingsShiftRegister_RTL.vhd new file mode 100644 index 0000000..352ef4a --- /dev/null +++ b/Libs/Memory/hdl/sdramControllerTimingsShiftRegister_RTL.vhd @@ -0,0 +1,24 @@ +ARCHITECTURE RTL OF sdramControllerTimingsShiftRegister IS + + --constant leadingZeroesNb: positive := 2; + --constant leadingZeroes: std_ulogic_vector(1 to leadingZeroesNb) := (others => '0'); + --signal shiftReg: std_ulogic_vector(1 to timerDone'high-leadingZeroesNb); + signal shiftReg: std_ulogic_vector(1 to timerDone'high); + +BEGIN + + shiftToken : process(reset, clock) + begin + if reset = '1' then + shiftReg <= (others => '0'); + elsif rising_edge(clock) then + shiftReg(1) <= timerStart; + shiftReg(2 to shiftReg'right) <= shiftReg(1 to shiftReg'right-1); + end if; + end process shiftToken; + + --timerDone <= leadingZeroes & shiftReg; + timerDone <= shiftReg; + +END ARCHITECTURE RTL; + diff --git a/Libs/Memory/hds/.hdlsidedata/_bramDualportWritefirst_bhv.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_bramDualportWritefirst_bhv.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_bramDualportWritefirst_bhv.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_bram_bhv.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_bram_bhv.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_bram_bhv.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_bram_dualport_writefirst.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_bram_dualport_writefirst.vhd._fpf new file mode 100644 index 0000000..e69b3ef --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_bram_dualport_writefirst.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_93 diff --git a/Libs/Memory/hds/.hdlsidedata/_bramdualportwritefirst_entity.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_bramdualportwritefirst_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_bramdualportwritefirst_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_bramdualportwritefirst_entity.vhg._fpf b/Libs/Memory/hds/.hdlsidedata/_bramdualportwritefirst_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_bramdualportwritefirst_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifoBridgeRxToTxBuswidthAdaptionRxbigger_behavioral.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifoBridgeRxToTxBuswidthAdaptionRxbigger_behavioral.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifoBridgeRxToTxBuswidthAdaptionRxbigger_behavioral.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifoBridgeRxToTxBuswidthAdaptionTxbigger_behavioral.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifoBridgeRxToTxBuswidthAdaptionTxbigger_behavioral.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifoBridgeRxToTxBuswidthAdaptionTxbigger_behavioral.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_bram_entity.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_bram_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_bram_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_bram_entity.vhg._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_bram_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_bram_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_bram_rtl.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_bram_rtl.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_bram_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_entity.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_entity.vhg._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_minimal.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_minimal.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_minimal.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_oneRegister_rtl.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_oneRegister_rtl.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_oneRegister_rtl.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_oneregister_entity.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_oneregister_entity.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_oneregister_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_oneregister_entity.vhg._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_oneregister_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_oneregister_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_pim.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_pim.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_pim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_rtl.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_rtl.vhd._fpf new file mode 100644 index 0000000..2acce3d --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_87 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_rtl_minimal.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_rtl_minimal.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_rtl_minimal.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_struct.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_struct.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_struct.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifo_struct.vhg._fpf b/Libs/Memory/hds/.hdlsidedata/_fifo_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifo_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_fifobridgerxtotx_rtl.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_fifobridgerxtotx_rtl.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_fifobridgerxtotx_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_flashController_RTL.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_flashController_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_flashController_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_sdramControllerBuildAddress_RTL.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_sdramControllerBuildAddress_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_sdramControllerBuildAddress_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_sdramControllerRefreshCounter_RTL.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_sdramControllerRefreshCounter_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_sdramControllerRefreshCounter_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_sdramControllerSR_RTL.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_sdramControllerSR_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_sdramControllerSR_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_sdramControllerSampleDataIn_RTL.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_sdramControllerSampleDataIn_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_sdramControllerSampleDataIn_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_sdramControllerStoreData_RTL.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_sdramControllerStoreData_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_sdramControllerStoreData_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/.hdlsidedata/_sdramControllerTimingsShiftRegister_RTL.vhd._fpf b/Libs/Memory/hds/.hdlsidedata/_sdramControllerTimingsShiftRegister_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory/hds/.hdlsidedata/_sdramControllerTimingsShiftRegister_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory/hds/@f@i@f@o/struct.bd b/Libs/Memory/hds/@f@i@f@o/struct.bd new file mode 100644 index 0000000..e7ca3f7 --- /dev/null +++ b/Libs/Memory/hds/@f@i@f@o/struct.bd @@ -0,0 +1,4408 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +frameInstances [ +(FrameInstance +name "g0" +style 1 +insts [ +(Instance +name "I1" +duLibraryName "Memory" +duName "FIFO_oneRegister" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 530,0 +) +] +) +(FrameInstance +name "g1" +style 1 +insts [ +(Instance +name "I0" +duLibraryName "Memory" +duName "FIFO_bram" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "depth" +type "positive" +value "depth" +) +] +mwi 0 +uid 237,0 +) +] +) +] +libraryRefs [ +"ieee" +] +) +version "32.1" +appVersion "2019.3 (Build 4)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/@f@i@f@o/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/@f@i@f@o/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/@f@i@f@o" +) +(vvPair +variable "d_logical" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/FIFO" +) +(vvPair +variable "date" +value "10/18/21" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "18" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "FIFO" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "10/18/21" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Hyperion" +) +(vvPair +variable "graphical_source_time" +value "10:55:04" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Hyperion" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/Memory/work" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "FIFO" +) +(vvPair +variable "month" +value "Oct" +) +(vvPair +variable "month_long" +value "October" +) +(vvPair +variable "p" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/@f@i@f@o/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/FIFO/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\eda\\MentorGraphics\\ModelSim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "10:55:04" +) +(vvPair +variable "unit" +value "FIFO" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2019.3 (Build 4)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 148,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "35000,17625,36500,18375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "36500,18000,37000,18000" +pts [ +"36500,18000" +"37000,18000" +] +) +] +) +stc 0 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "30500,17300,34000,18600" +st "clock" +ju 2 +blo "34000,18300" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +) +xt "-1000,8600,11600,9600" +st "clock : std_ulogic" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "35000,9625,36500,10375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "36500,10000,37000,10000" +pts [ +"36500,10000" +"37000,10000" +] +) +] +) +stc 0 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "29800,9300,34000,10600" +st "dataIn" +ju 2 +blo "34000,10300" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +) +xt "-1000,13400,29000,14400" +st "dataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*5 (PortIoOut +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 270 +xt "85500,9625,87000,10375" +) +(Line +uid 40,0 +sl 0 +ro 270 +xt "85000,10000,85500,10000" +pts [ +"85000,10000" +"85500,10000" +] +) +] +) +stc 0 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "88000,9300,92900,10600" +st "dataOut" +blo "88000,10300" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 49,0 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +) +xt "-1000,11000,29000,12000" +st "dataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*7 (PortIoOut +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "85500,11625,87000,12375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "85000,12000,85500,12000" +pts [ +"85000,12000" +"85500,12000" +] +) +] +) +stc 0 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "88000,11300,91500,12600" +st "empty" +blo "88000,12300" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 63,0 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +) +xt "-1000,14600,11600,15600" +st "empty : std_ulogic" +) +) +*9 (PortIoOut +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 90 +xt "35000,11625,36500,12375" +) +(Line +uid 68,0 +sl 0 +ro 90 +xt "36500,12000,37000,12000" +pts [ +"37000,12000" +"36500,12000" +] +) +] +) +stc 0 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "31200,11300,34000,12600" +st "full" +ju 2 +blo "34000,12300" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 77,0 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +) +xt "-1000,15800,11600,16800" +st "full : std_ulogic" +) +) +*11 (PortIoIn +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 90 +xt "85500,13625,87000,14375" +) +(Line +uid 82,0 +sl 0 +ro 90 +xt "85000,14000,85500,14000" +pts [ +"85500,14000" +"85000,14000" +] +) +] +) +stc 0 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "88000,13300,90800,14600" +st "read" +blo "88000,14300" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 91,0 +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +) +xt "-1000,12200,11600,13200" +st "read : std_ulogic" +) +) +*13 (PortIoIn +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "35000,19625,36500,20375" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "36500,20000,37000,20000" +pts [ +"36500,20000" +"37000,20000" +] +) +] +) +stc 0 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "30500,19300,34000,20600" +st "reset" +ju 2 +blo "34000,20300" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 105,0 +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 7,0 +) +declText (MLText +uid 106,0 +va (VaSet +) +xt "-1000,9800,11600,10800" +st "reset : std_ulogic" +) +) +*15 (PortIoIn +uid 107,0 +shape (CompositeShape +uid 108,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 109,0 +sl 0 +ro 270 +xt "35000,13625,36500,14375" +) +(Line +uid 110,0 +sl 0 +ro 270 +xt "36500,14000,37000,14000" +pts [ +"36500,14000" +"37000,14000" +] +) +] +) +stc 0 +tg (WTG +uid 111,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "30500,13300,34000,14600" +st "write" +ju 2 +blo "34000,14300" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 119,0 +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 8,0 +) +declText (MLText +uid 120,0 +va (VaSet +) +xt "-1000,7400,11600,8400" +st "write : std_ulogic" +) +) +*17 (SaComponent +uid 237,0 +optionalChildren [ +*18 (CptPort +uid 205,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 206,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,13625,53000,14375" +) +tg (CPTG +uid 207,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 208,0 +va (VaSet +font "courier,8,0" +) +xt "54000,13500,56500,14400" +st "write" +blo "54000,14200" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*19 (CptPort +uid 209,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 210,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,17625,53000,18375" +) +tg (CPTG +uid 211,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 212,0 +va (VaSet +font "courier,8,0" +) +xt "54000,17500,56500,18400" +st "clock" +blo "54000,18200" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*20 (CptPort +uid 213,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 214,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,19625,53000,20375" +) +tg (CPTG +uid 215,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 216,0 +va (VaSet +font "courier,8,0" +) +xt "54000,19500,56500,20400" +st "reset" +blo "54000,20200" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*21 (CptPort +uid 217,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 218,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,9625,69750,10375" +) +tg (CPTG +uid 219,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 220,0 +va (VaSet +font "courier,8,0" +) +xt "64501,9500,68001,10400" +st "dataOut" +ju 2 +blo "68001,10200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*22 (CptPort +uid 221,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 222,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,13625,69750,14375" +) +tg (CPTG +uid 223,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 224,0 +va (VaSet +font "courier,8,0" +) +xt "66000,13500,68000,14400" +st "read" +ju 2 +blo "68000,14200" +) +) +thePort (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*23 (CptPort +uid 225,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 226,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,9625,53000,10375" +) +tg (CPTG +uid 227,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 228,0 +va (VaSet +font "courier,8,0" +) +xt "53999,9500,56999,10400" +st "dataIn" +blo "53999,10200" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*24 (CptPort +uid 229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 230,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,11625,69750,12375" +) +tg (CPTG +uid 231,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 232,0 +va (VaSet +font "courier,8,0" +) +xt "65500,11500,68000,12400" +st "empty" +ju 2 +blo "68000,12200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*25 (CptPort +uid 233,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 234,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,11625,53000,12375" +) +tg (CPTG +uid 235,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 236,0 +va (VaSet +font "courier,8,0" +) +xt "54000,11500,56000,12400" +st "full" +blo "54000,12200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 238,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,6000,69000,22000" +) +oxt "34000,12000,50000,28000" +ttg (MlTextGroup +uid 239,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*26 (Text +uid 240,0 +va (VaSet +) +xt "53600,21800,57200,22800" +st "Memory" +blo "53600,22600" +tm "BdLibraryNameMgr" +) +*27 (Text +uid 241,0 +va (VaSet +) +xt "53600,23000,59000,24000" +st "FIFO_bram" +blo "53600,23800" +tm "CptNameMgr" +) +*28 (Text +uid 242,0 +va (VaSet +) +xt "53600,24200,54800,25200" +st "I0" +blo "53600,25000" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 243,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 244,0 +text (MLText +uid 245,0 +va (VaSet +) +xt "53000,25600,77000,27600" +st "dataBitNb = dataBitNb ( positive ) +depth = depth ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "depth" +type "positive" +value "depth" +) +] +) +viewicon (ZoomableIcon +uid 246,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "53250,20250,54750,21750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*29 (Grouping +uid 289,0 +optionalChildren [ +*30 (CommentText +uid 291,0 +shape (Rectangle +uid 292,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,65000,74000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 293,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,65000,74000,66000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*31 (CommentText +uid 294,0 +shape (Rectangle +uid 295,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,61000,78000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 296,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,61000,77800,62000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*32 (CommentText +uid 297,0 +shape (Rectangle +uid 298,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,63000,74000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 299,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,63000,73400,64000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*33 (CommentText +uid 300,0 +shape (Rectangle +uid 301,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,63000,57000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 302,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,63000,56800,64000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*34 (CommentText +uid 303,0 +shape (Rectangle +uid 304,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,62000,94000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 305,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,62200,87400,63200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*35 (CommentText +uid 306,0 +shape (Rectangle +uid 307,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,61000,94000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 308,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,61000,93800,62000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*36 (CommentText +uid 309,0 +shape (Rectangle +uid 310,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,61000,74000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 311,0 +va (VaSet +fg "32768,0,0" +) +xt "59000,61500,68000,62500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*37 (CommentText +uid 312,0 +shape (Rectangle +uid 313,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,64000,57000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 314,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,64000,56200,65000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*38 (CommentText +uid 315,0 +shape (Rectangle +uid 316,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,65000,57000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 317,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,65000,56800,66000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*39 (CommentText +uid 318,0 +shape (Rectangle +uid 319,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,64000,74000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 320,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,64000,68600,65000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 290,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "53000,61000,94000,66000" +) +oxt "14000,66000,55000,71000" +) +*40 (Frame +uid 427,0 +shape (RectFrame +uid 428,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "49000,34000,73000,58000" +) +title (TextAssociate +uid 429,0 +ps "TopLeftStrategy" +text (MLText +uid 430,0 +va (VaSet +font "courier,8,0" +) +xt "48650,32500,62150,33400" +st "g0: IF depth <= 1 GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +uid 431,0 +ps "TopLeftStrategy" +shape (Rectangle +uid 432,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "49300,34300,50700,35700" +) +num (Text +uid 433,0 +va (VaSet +font "courier,8,0" +) +xt "49500,34500,50000,35400" +st "1" +blo "49500,35200" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +uid 434,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*41 (Text +uid 435,0 +va (VaSet +font "courier,8,1" +) +xt "65000,58000,74500,58900" +st "Frame Declarations" +blo "65000,58700" +) +*42 (MLText +uid 436,0 +va (VaSet +font "courier,8,0" +) +xt "65000,59000,65000,59000" +tm "BdFrameDeclTextMgr" +) +] +) +style 1 +) +*43 (Frame +uid 437,0 +shape (RectFrame +uid 438,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "49000,4000,73000,28000" +) +title (TextAssociate +uid 439,0 +ps "TopLeftStrategy" +text (MLText +uid 440,0 +va (VaSet +font "courier,8,0" +) +xt "49150,2500,62150,3400" +st "g1: IF depth > 1 GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +uid 441,0 +ps "TopLeftStrategy" +shape (Rectangle +uid 442,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "49300,4300,50700,5700" +) +num (Text +uid 443,0 +va (VaSet +font "courier,8,0" +) +xt "49500,4500,50000,5400" +st "2" +blo "49500,5200" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +uid 444,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*44 (Text +uid 445,0 +va (VaSet +font "courier,8,1" +) +xt "65000,28000,74500,28900" +st "Frame Declarations" +blo "65000,28700" +) +*45 (MLText +uid 446,0 +va (VaSet +font "courier,8,0" +) +xt "65000,29000,65000,29000" +tm "BdFrameDeclTextMgr" +) +] +) +style 1 +) +*46 (SaComponent +uid 530,0 +optionalChildren [ +*47 (CptPort +uid 498,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 499,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,43625,53000,44375" +) +tg (CPTG +uid 500,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 501,0 +va (VaSet +) +xt "54000,43400,57000,44400" +st "write" +blo "54000,44200" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*48 (CptPort +uid 502,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 503,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,47625,53000,48375" +) +tg (CPTG +uid 504,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 505,0 +va (VaSet +) +xt "54000,47400,57000,48400" +st "clock" +blo "54000,48200" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*49 (CptPort +uid 506,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 507,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,49625,53000,50375" +) +tg (CPTG +uid 508,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 509,0 +va (VaSet +) +xt "54000,49400,57000,50400" +st "reset" +blo "54000,50200" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*50 (CptPort +uid 510,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 511,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,39625,69750,40375" +) +tg (CPTG +uid 512,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 513,0 +va (VaSet +) +xt "63801,39400,68001,40400" +st "dataOut" +ju 2 +blo "68001,40200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*51 (CptPort +uid 514,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 515,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,43625,69750,44375" +) +tg (CPTG +uid 516,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 517,0 +va (VaSet +) +xt "65600,43400,68000,44400" +st "read" +ju 2 +blo "68000,44200" +) +) +thePort (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*52 (CptPort +uid 518,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 519,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,39625,53000,40375" +) +tg (CPTG +uid 520,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 521,0 +va (VaSet +) +xt "53999,39400,57599,40400" +st "dataIn" +blo "53999,40200" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*53 (CptPort +uid 522,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 523,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "69000,41625,69750,42375" +) +tg (CPTG +uid 524,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 525,0 +va (VaSet +) +xt "65000,41400,68000,42400" +st "empty" +ju 2 +blo "68000,42200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*54 (CptPort +uid 526,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 527,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "52250,41625,53000,42375" +) +tg (CPTG +uid 528,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 529,0 +va (VaSet +) +xt "54000,41400,56400,42400" +st "full" +blo "54000,42200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 531,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "53000,36000,69000,52000" +) +oxt "34000,12000,50000,28000" +ttg (MlTextGroup +uid 532,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +uid 533,0 +va (VaSet +) +xt "53600,51800,57200,52800" +st "Memory" +blo "53600,52600" +tm "BdLibraryNameMgr" +) +*56 (Text +uid 534,0 +va (VaSet +) +xt "53600,52800,63800,53800" +st "FIFO_oneRegister" +blo "53600,53600" +tm "CptNameMgr" +) +*57 (Text +uid 535,0 +va (VaSet +) +xt "53600,53800,54800,54800" +st "I1" +blo "53600,54600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 536,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 537,0 +text (MLText +uid 538,0 +va (VaSet +font "courier,8,0" +) +xt "53000,56000,73000,56900" +st "dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +viewicon (ZoomableIcon +uid 539,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "53250,50250,54750,51750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*58 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "37000,18000,52250,18000" +pts [ +"37000,18000" +"52250,18000" +] +) +start &1 +end &19 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "courier,12,0" +) +xt "37000,16600,40500,17900" +st "clock" +blo "37000,17600" +tm "WireNameMgr" +) +) +on &2 +) +*59 (Wire +uid 29,0 +optionalChildren [ +*60 (BdJunction +uid 449,0 +ps "OnConnectorStrategy" +shape (Circle +uid 450,0 +va (VaSet +vasetType 1 +) +xt "46600,9600,47400,10400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "37000,10000,52250,10000" +pts [ +"37000,10000" +"52250,10000" +] +) +start &3 +end &23 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +font "courier,12,0" +) +xt "37000,8600,41200,9900" +st "dataIn" +blo "37000,9600" +tm "WireNameMgr" +) +) +on &4 +) +*61 (Wire +uid 43,0 +optionalChildren [ +*62 (BdJunction +uid 447,0 +ps "OnConnectorStrategy" +shape (Circle +uid 448,0 +va (VaSet +vasetType 1 +) +xt "74600,9600,75400,10400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "69750,10000,85000,10000" +pts [ +"85000,10000" +"69750,10000" +] +) +start &5 +end &21 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +font "courier,12,0" +) +xt "80000,8600,84900,9900" +st "dataOut" +blo "80000,9600" +tm "WireNameMgr" +) +) +on &6 +) +*63 (Wire +uid 57,0 +optionalChildren [ +*64 (BdJunction +uid 461,0 +ps "OnConnectorStrategy" +shape (Circle +uid 462,0 +va (VaSet +vasetType 1 +) +xt "76600,11600,77400,12400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +) +xt "69750,12000,85000,12000" +pts [ +"85000,12000" +"69750,12000" +] +) +start &7 +end &24 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +font "courier,12,0" +) +xt "81000,10600,84500,11900" +st "empty" +blo "81000,11600" +tm "WireNameMgr" +) +) +on &8 +) +*65 (Wire +uid 71,0 +optionalChildren [ +*66 (BdJunction +uid 463,0 +ps "OnConnectorStrategy" +shape (Circle +uid 464,0 +va (VaSet +vasetType 1 +) +xt "44600,11600,45400,12400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "37000,12000,52250,12000" +pts [ +"37000,12000" +"52250,12000" +] +) +start &9 +end &25 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +font "courier,12,0" +) +xt "37000,10600,39800,11900" +st "full" +blo "37000,11600" +tm "WireNameMgr" +) +) +on &10 +) +*67 (Wire +uid 85,0 +optionalChildren [ +*68 (BdJunction +uid 459,0 +ps "OnConnectorStrategy" +shape (Circle +uid 460,0 +va (VaSet +vasetType 1 +) +xt "78600,13600,79400,14400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "69750,14000,85000,14000" +pts [ +"85000,14000" +"69750,14000" +] +) +start &11 +end &22 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +font "courier,12,0" +) +xt "82000,12600,84800,13900" +st "read" +blo "82000,13600" +tm "WireNameMgr" +) +) +on &12 +) +*69 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +) +xt "37000,20000,52250,20000" +pts [ +"37000,20000" +"52250,20000" +] +) +start &13 +end &20 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +font "courier,12,0" +) +xt "37000,18600,40500,19900" +st "reset" +blo "37000,19600" +tm "WireNameMgr" +) +) +on &14 +) +*70 (Wire +uid 113,0 +optionalChildren [ +*71 (BdJunction +uid 465,0 +ps "OnConnectorStrategy" +shape (Circle +uid 466,0 +va (VaSet +vasetType 1 +) +xt "42600,13600,43400,14400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +) +xt "37000,14000,52250,14000" +pts [ +"37000,14000" +"52250,14000" +] +) +start &15 +end &18 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +font "courier,12,0" +) +xt "37000,12600,40500,13900" +st "write" +blo "37000,13600" +tm "WireNameMgr" +) +) +on &16 +) +*72 (Wire +uid 363,0 +shape (OrthoPolyLine +uid 364,0 +va (VaSet +vasetType 3 +) +xt "45000,50000,52250,50000" +pts [ +"45000,50000" +"52250,50000" +] +) +end &49 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 369,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 370,0 +va (VaSet +font "courier,12,0" +) +xt "45000,48600,48500,49900" +st "reset" +blo "45000,49600" +tm "WireNameMgr" +) +) +on &14 +) +*73 (Wire +uid 371,0 +shape (OrthoPolyLine +uid 372,0 +va (VaSet +vasetType 3 +) +xt "43000,14000,52250,44000" +pts [ +"43000,14000" +"43000,44000" +"52250,44000" +] +) +start &71 +end &47 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 377,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 378,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "48000,42600,51500,43900" +st "write" +blo "48000,43600" +tm "WireNameMgr" +) +) +on &16 +) +*74 (Wire +uid 379,0 +shape (OrthoPolyLine +uid 380,0 +va (VaSet +vasetType 3 +) +xt "45000,48000,52250,48000" +pts [ +"45000,48000" +"52250,48000" +] +) +end &48 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 385,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 386,0 +va (VaSet +font "courier,12,0" +) +xt "45000,46600,48500,47900" +st "clock" +blo "45000,47600" +tm "WireNameMgr" +) +) +on &2 +) +*75 (Wire +uid 387,0 +shape (OrthoPolyLine +uid 388,0 +va (VaSet +vasetType 3 +) +xt "69750,12000,77000,42000" +pts [ +"77000,12000" +"77000,42000" +"69750,42000" +] +) +start &64 +end &53 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 393,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 394,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "70000,40600,73500,41900" +st "empty" +blo "70000,41600" +tm "WireNameMgr" +) +) +on &8 +) +*76 (Wire +uid 395,0 +shape (OrthoPolyLine +uid 396,0 +va (VaSet +vasetType 3 +) +xt "45000,12000,52250,42000" +pts [ +"45000,12000" +"45000,42000" +"52250,42000" +] +) +start &66 +end &54 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 401,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 402,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "49000,40600,51800,41900" +st "full" +blo "49000,41600" +tm "WireNameMgr" +) +) +on &10 +) +*77 (Wire +uid 403,0 +shape (OrthoPolyLine +uid 404,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "47000,10000,52250,40000" +pts [ +"47000,10000" +"47000,40000" +"52250,40000" +] +) +start &60 +end &52 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 409,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 410,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "48000,38600,52200,39900" +st "dataIn" +blo "48000,39600" +tm "WireNameMgr" +) +) +on &4 +) +*78 (Wire +uid 411,0 +shape (OrthoPolyLine +uid 412,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "69750,10000,75000,40000" +pts [ +"75000,10000" +"75000,40000" +"69750,40000" +] +) +start &62 +end &50 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 417,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 418,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "70000,38600,74900,39900" +st "dataOut" +blo "70000,39600" +tm "WireNameMgr" +) +) +on &6 +) +*79 (Wire +uid 419,0 +shape (OrthoPolyLine +uid 420,0 +va (VaSet +vasetType 3 +) +xt "69750,14000,79000,44000" +pts [ +"79000,14000" +"79000,44000" +"69750,44000" +] +) +start &68 +end &51 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 425,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 426,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "70000,42600,72800,43900" +st "read" +blo "70000,43600" +tm "WireNameMgr" +) +) +on &12 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *80 (PackageList +uid 137,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 138,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,0,3500,900" +st "Package List" +blo "-3000,700" +) +*82 (MLText +uid 139,0 +va (VaSet +font "courier,8,0" +) +xt "-3000,1000,12500,3700" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 140,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 141,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*84 (Text +uid 142,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,31500,1900" +st "Pre-module directives:" +blo "20000,1700" +) +*85 (MLText +uid 143,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,2000,30100,3800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*86 (Text +uid 144,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,32000,4900" +st "Post-module directives:" +blo "20000,4700" +) +*87 (MLText +uid 145,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*88 (Text +uid 146,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,31500,5900" +st "End-module directives:" +blo "20000,5700" +) +*89 (MLText +uid 147,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "115,553,1175,1415" +viewArea "-4400,-1400,99600,86850" +cachedDiagramExtent "-3000,0,94000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +windowsPaperType 9 +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 620,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,5600,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*90 (Text +va (VaSet +) +xt "1350,3200,6650,4400" +st "" +blo "1350,4200" +tm "BdLibraryNameMgr" +) +*91 (Text +va (VaSet +) +xt "1350,4400,6150,5600" +st "" +blo "1350,5400" +tm "BlkNameMgr" +) +*92 (Text +va (VaSet +) +xt "1350,5600,3250,6800" +st "I0" +blo "1350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1350,13200,1350,13200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-950,0,8950,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +va (VaSet +) +xt "-450,3200,3750,4400" +st "Library" +blo "-450,4200" +) +*94 (Text +va (VaSet +) +xt "-450,4400,8450,5600" +st "MWComponent" +blo "-450,5400" +) +*95 (Text +va (VaSet +) +xt "-450,5600,1450,6800" +st "I0" +blo "-450,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7450,1200,-7450,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +va (VaSet +) +xt "-200,3200,4000,4400" +st "Library" +blo "-200,4200" +tm "BdLibraryNameMgr" +) +*97 (Text +va (VaSet +) +xt "-200,4400,8200,5600" +st "SaComponent" +blo "-200,5400" +tm "CptNameMgr" +) +*98 (Text +va (VaSet +) +xt "-200,5600,1700,6800" +st "I0" +blo "-200,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7200,1200,-7200,1200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-1200,0,9200,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +va (VaSet +) +xt "-700,3200,3500,4400" +st "Library" +blo "-700,4200" +) +*100 (Text +va (VaSet +) +xt "-700,4400,8700,5600" +st "VhdlComponent" +blo "-700,5400" +) +*101 (Text +va (VaSet +) +xt "-700,5600,1200,6800" +st "I0" +blo "-700,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7700,1200,-7700,1200" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-1850,0,9850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*102 (Text +va (VaSet +) +xt "-1350,3200,2850,4400" +st "Library" +blo "-1350,4200" +) +*103 (Text +va (VaSet +) +xt "-1350,4400,9350,5600" +st "VerilogComponent" +blo "-1350,5400" +) +*104 (Text +va (VaSet +) +xt "-1350,5600,550,6800" +st "I0" +blo "-1350,6600" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-8350,1200,-8350,1200" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*105 (Text +va (VaSet +font "courier,8,0" +) +xt "3000,4000,5000,5000" +st "eb1" +blo "3000,4800" +tm "HdlTextNameMgr" +) +*106 (Text +va (VaSet +font "courier,8,0" +) +xt "3000,5000,4000,6000" +st "1" +blo "3000,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,0" +) +xt "-550,-500,550,500" +st "G" +blo "-550,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3400,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,4700,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,0,3700,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,1000,1000,1900" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +) +second (MLText +va (VaSet +font "courier,8,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1100,14500,-200" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1450,1450" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "250,250,1250,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*107 (Text +va (VaSet +font "courier,8,1" +) +xt "12000,20000,22000,21000" +st "Frame Declarations" +blo "12000,20800" +) +*108 (MLText +va (VaSet +font "courier,8,0" +) +xt "12000,21000,12000,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,-1100,9000,-200" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1450,1450" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "250,250,1250,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*109 (Text +va (VaSet +font "courier,8,1" +) +xt "12000,20000,22000,21000" +st "Frame Declarations" +blo "12000,20800" +) +*110 (MLText +va (VaSet +font "courier,8,0" +) +xt "12000,21000,12000,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2100,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2100,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,5400,3500,6300" +st "Declarations" +blo "-3000,6100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,6400,0,7300" +st "Ports:" +blo "-3000,7100" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-3000,5400,1500,6300" +st "Pre User:" +blo "-3000,6100" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +) +xt "-3000,5400,-3000,5400" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,17000,5500,17900" +st "Diagram Signals:" +blo "-3000,17700" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-3000,5400,2500,6300" +st "Post User:" +blo "-3000,6100" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-3000,5400,-3000,5400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 8,0 +usingSuid 1 +emptyRow *111 (LEmptyRow +) +uid 150,0 +optionalChildren [ +*112 (RefLabelRowHdr +) +*113 (TitleRowHdr +) +*114 (FilterRowHdr +) +*115 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*116 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*117 (GroupColHdr +tm "GroupColHdrMgr" +) +*118 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*119 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*120 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*121 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*122 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*123 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*124 (LeafLogPort +port (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 8,0 +) +) +uid 121,0 +) +*125 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 123,0 +) +*126 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 7,0 +) +) +uid 125,0 +) +*127 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +uid 127,0 +) +*128 (LeafLogPort +port (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 6,0 +) +) +uid 129,0 +) +*129 (LeafLogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 2,0 +) +) +uid 131,0 +) +*130 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 4,0 +) +) +uid 133,0 +) +*131 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 5,0 +) +) +uid 135,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 163,0 +optionalChildren [ +*132 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *133 (MRCItem +litem &111 +pos 8 +dimension 20 +) +uid 165,0 +optionalChildren [ +*134 (MRCItem +litem &112 +pos 0 +dimension 20 +uid 166,0 +) +*135 (MRCItem +litem &113 +pos 1 +dimension 23 +uid 167,0 +) +*136 (MRCItem +litem &114 +pos 2 +hidden 1 +dimension 20 +uid 168,0 +) +*137 (MRCItem +litem &124 +pos 0 +dimension 20 +uid 122,0 +) +*138 (MRCItem +litem &125 +pos 1 +dimension 20 +uid 124,0 +) +*139 (MRCItem +litem &126 +pos 2 +dimension 20 +uid 126,0 +) +*140 (MRCItem +litem &127 +pos 3 +dimension 20 +uid 128,0 +) +*141 (MRCItem +litem &128 +pos 4 +dimension 20 +uid 130,0 +) +*142 (MRCItem +litem &129 +pos 5 +dimension 20 +uid 132,0 +) +*143 (MRCItem +litem &130 +pos 6 +dimension 20 +uid 134,0 +) +*144 (MRCItem +litem &131 +pos 7 +dimension 20 +uid 136,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 169,0 +optionalChildren [ +*145 (MRCItem +litem &115 +pos 0 +dimension 20 +uid 170,0 +) +*146 (MRCItem +litem &117 +pos 1 +dimension 50 +uid 171,0 +) +*147 (MRCItem +litem &118 +pos 2 +dimension 100 +uid 172,0 +) +*148 (MRCItem +litem &119 +pos 3 +dimension 50 +uid 173,0 +) +*149 (MRCItem +litem &120 +pos 4 +dimension 100 +uid 174,0 +) +*150 (MRCItem +litem &121 +pos 5 +dimension 100 +uid 175,0 +) +*151 (MRCItem +litem &122 +pos 6 +dimension 50 +uid 176,0 +) +*152 (MRCItem +litem &123 +pos 7 +dimension 80 +uid 177,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 164,0 +vaOverrides [ +] +) +] +) +uid 149,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *153 (LEmptyRow +) +uid 179,0 +optionalChildren [ +*154 (RefLabelRowHdr +) +*155 (TitleRowHdr +) +*156 (FilterRowHdr +) +*157 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*158 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*159 (GroupColHdr +tm "GroupColHdrMgr" +) +*160 (NameColHdr +tm "GenericNameColHdrMgr" +) +*161 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*162 (InitColHdr +tm "GenericValueColHdrMgr" +) +*163 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*164 (EolColHdr +tm "GenericEolColHdrMgr" +) +*165 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 468,0 +) +*166 (LogGeneric +generic (GiElement +name "depth" +type "positive" +value "8" +) +uid 470,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 191,0 +optionalChildren [ +*167 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *168 (MRCItem +litem &153 +pos 2 +dimension 20 +) +uid 193,0 +optionalChildren [ +*169 (MRCItem +litem &154 +pos 0 +dimension 20 +uid 194,0 +) +*170 (MRCItem +litem &155 +pos 1 +dimension 23 +uid 195,0 +) +*171 (MRCItem +litem &156 +pos 2 +hidden 1 +dimension 20 +uid 196,0 +) +*172 (MRCItem +litem &165 +pos 0 +dimension 20 +uid 467,0 +) +*173 (MRCItem +litem &166 +pos 1 +dimension 20 +uid 469,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 197,0 +optionalChildren [ +*174 (MRCItem +litem &157 +pos 0 +dimension 20 +uid 198,0 +) +*175 (MRCItem +litem &159 +pos 1 +dimension 50 +uid 199,0 +) +*176 (MRCItem +litem &160 +pos 2 +dimension 100 +uid 200,0 +) +*177 (MRCItem +litem &161 +pos 3 +dimension 100 +uid 201,0 +) +*178 (MRCItem +litem &162 +pos 4 +dimension 50 +uid 202,0 +) +*179 (MRCItem +litem &163 +pos 5 +dimension 50 +uid 203,0 +) +*180 (MRCItem +litem &164 +pos 6 +dimension 80 +uid 204,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 192,0 +vaOverrides [ +] +) +] +) +uid 178,0 +type 1 +) +activeModelName "BlockDiag:GEN" +frameCount 2 +) diff --git a/Libs/Memory/hds/@f@i@f@o/symbol.sb b/Libs/Memory/hds/@f@i@f@o/symbol.sb new file mode 100644 index 0000000..c855d45 --- /dev/null +++ b/Libs/Memory/hds/@f@i@f@o/symbol.sb @@ -0,0 +1,1860 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "27.1" +appVersion "2019.3 (Build 4)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2008,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 299,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 300,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +uid 301,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 302,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 303,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 304,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 305,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 306,0 +) +*9 (LogPort +port (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 307,0 +) +*10 (RefLabelRowHdr +) +*11 (TitleRowHdr +) +*12 (FilterRowHdr +) +*13 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*14 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*15 (GroupColHdr +tm "GroupColHdrMgr" +) +*16 (NameColHdr +tm "NameColHdrMgr" +) +*17 (ModeColHdr +tm "ModeColHdrMgr" +) +*18 (TypeColHdr +tm "TypeColHdrMgr" +) +*19 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*20 (InitColHdr +tm "InitColHdrMgr" +) +*21 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 308,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 235,0 +optionalChildren [ +*24 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 238,0 +) +*25 (MRCItem +litem &11 +pos 1 +dimension 23 +uid 240,0 +) +*26 (MRCItem +litem &12 +pos 2 +hidden 1 +dimension 20 +uid 242,0 +) +*27 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 261,0 +) +*28 (MRCItem +litem &3 +pos 6 +dimension 20 +uid 262,0 +) +*29 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 263,0 +) +*30 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 264,0 +) +*31 (MRCItem +litem &6 +pos 7 +dimension 20 +uid 265,0 +) +*32 (MRCItem +litem &7 +pos 5 +dimension 20 +uid 266,0 +) +*33 (MRCItem +litem &8 +pos 1 +dimension 20 +uid 267,0 +) +*34 (MRCItem +litem &9 +pos 4 +dimension 20 +uid 268,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 236,0 +optionalChildren [ +*35 (MRCItem +litem &13 +pos 0 +dimension 20 +uid 244,0 +) +*36 (MRCItem +litem &15 +pos 1 +dimension 50 +uid 248,0 +) +*37 (MRCItem +litem &16 +pos 2 +dimension 100 +uid 250,0 +) +*38 (MRCItem +litem &17 +pos 3 +dimension 50 +uid 252,0 +) +*39 (MRCItem +litem &18 +pos 4 +dimension 100 +uid 254,0 +) +*40 (MRCItem +litem &19 +pos 5 +dimension 100 +uid 256,0 +) +*41 (MRCItem +litem &20 +pos 6 +dimension 50 +uid 258,0 +) +*42 (MRCItem +litem &21 +pos 7 +dimension 80 +uid 260,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 234,0 +vaOverrides [ +] +) +] +) +uid 298,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 310,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 427,0 +) +*56 (LogGeneric +generic (GiElement +name "depth" +type "positive" +value "8" +) +uid 429,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 311,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 270,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 273,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 275,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 277,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 428,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 430,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 271,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 279,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 283,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 285,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 287,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 289,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 291,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 293,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 269,0 +vaOverrides [ +] +) +] +) +uid 309,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/@f@i@f@o/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/@f@i@f@o/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/@f@i@f@o" +) +(vvPair +variable "d_logical" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/FIFO" +) +(vvPair +variable "date" +value "10/18/21" +) +(vvPair +variable "day" +value "Mon" +) +(vvPair +variable "day_long" +value "Monday" +) +(vvPair +variable "dd" +value "18" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "FIFO" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "10/18/21" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Hyperion" +) +(vvPair +variable "graphical_source_time" +value "10:55:04" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Hyperion" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/Memory/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "mm" +value "10" +) +(vvPair +variable "module_name" +value "FIFO" +) +(vvPair +variable "month" +value "Oct" +) +(vvPair +variable "month_long" +value "October" +) +(vvPair +variable "p" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/@f@i@f@o/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Favorites/ElN_local/ElN_inverter/Libs/Memory/hds/FIFO/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\eda\\MentorGraphics\\ModelSim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "10:55:04" +) +(vvPair +variable "unit" +value "FIFO" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2019.3 (Build 4)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2021" +) +(vvPair +variable "yy" +value "21" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 168,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 169,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,19625,34000,20375" +) +tg (CPTG +uid 170,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 171,0 +va (VaSet +) +xt "35000,19400,38000,20400" +st "write" +blo "35000,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 172,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7800,17500,8700" +st "write : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*73 (CptPort +uid 173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,23625,34000,24375" +) +tg (CPTG +uid 175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 176,0 +va (VaSet +) +xt "35000,23400,38000,24400" +st "clock" +blo "35000,24200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 177,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8700,17500,9600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*74 (CptPort +uid 178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,25625,34000,26375" +) +tg (CPTG +uid 180,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 181,0 +va (VaSet +) +xt "35000,25400,38000,26400" +st "reset" +blo "35000,26200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9600,17500,10500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*75 (CptPort +uid 188,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 215,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,15625,50750,16375" +) +tg (CPTG +uid 190,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 191,0 +va (VaSet +) +xt "44801,15400,49001,16400" +st "dataOut" +ju 2 +blo "49001,16200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 192,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10500,32000,11400" +st "dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*76 (CptPort +uid 193,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 226,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,17625,50750,18375" +) +tg (CPTG +uid 195,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 196,0 +va (VaSet +) +xt "46600,17400,49000,18400" +st "read" +ju 2 +blo "49000,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 197,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,17500,12300" +st "read : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*77 (CptPort +uid 216,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,15625,34000,16375" +) +tg (CPTG +uid 218,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 219,0 +va (VaSet +) +xt "34999,15400,38599,16400" +st "dataIn" +blo "34999,16200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 220,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,32000,13200" +st "dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*78 (CptPort +uid 221,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 228,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,19625,50750,20375" +) +tg (CPTG +uid 223,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 224,0 +va (VaSet +) +xt "46000,19400,49000,20400" +st "empty" +ju 2 +blo "49000,20200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 225,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13200,17500,14100" +st "empty : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*79 (CptPort +uid 229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 230,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,17625,34000,18375" +) +tg (CPTG +uid 231,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 232,0 +va (VaSet +) +xt "35000,17400,37400,18400" +st "full" +blo "35000,18200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 233,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14100,16500,15000" +st "full : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,12000,50000,28000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "34600,27800,38200,28800" +st "Memory" +blo "34600,28600" +) +second (Text +uid 12,0 +va (VaSet +) +xt "34600,28800,37000,29800" +st "FIFO" +blo "34600,29600" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "34000,32000,45500,35600" +st "Generic Declarations + +dataBitNb positive 8 +depth positive 8 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "depth" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*81 (Grouping +uid 16,0 +optionalChildren [ +*82 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*87 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,47600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *92 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*94 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,1000,15500,3700" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "1966,67,3594,1148" +viewArea "-1100,-1100,86010,55320" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "600,1000,4800,2200" +st "Panel0" +blo "600,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "22600,14800,27900,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +) +xt "22600,16000,26500,17200" +st "" +blo "22600,17000" +) +) +gi *95 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *96 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,5800,6500,6700" +st "Declarations" +blo "0,6500" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6800,3000,7700" +st "Ports:" +blo "0,7500" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,15000,2500,15900" +st "User:" +blo "0,15700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,5800,7500,6700" +st "Internal User:" +blo "0,6500" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15900,2000,15900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,5800,0,5800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 522,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/@f@i@f@o_bram/symbol.sb b/Libs/Memory/hds/@f@i@f@o_bram/symbol.sb new file mode 100644 index 0000000..413b3ae --- /dev/null +++ b/Libs/Memory/hds/@f@i@f@o_bram/symbol.sb @@ -0,0 +1,1848 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2008,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 299,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 300,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +uid 301,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 302,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 303,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 304,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 305,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 306,0 +) +*9 (LogPort +port (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 307,0 +) +*10 (RefLabelRowHdr +) +*11 (TitleRowHdr +) +*12 (FilterRowHdr +) +*13 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*14 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*15 (GroupColHdr +tm "GroupColHdrMgr" +) +*16 (NameColHdr +tm "NameColHdrMgr" +) +*17 (ModeColHdr +tm "ModeColHdrMgr" +) +*18 (TypeColHdr +tm "TypeColHdrMgr" +) +*19 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*20 (InitColHdr +tm "InitColHdrMgr" +) +*21 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 308,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 235,0 +optionalChildren [ +*24 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 238,0 +) +*25 (MRCItem +litem &11 +pos 1 +dimension 23 +uid 240,0 +) +*26 (MRCItem +litem &12 +pos 2 +hidden 1 +dimension 20 +uid 242,0 +) +*27 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 261,0 +) +*28 (MRCItem +litem &3 +pos 6 +dimension 20 +uid 262,0 +) +*29 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 263,0 +) +*30 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 264,0 +) +*31 (MRCItem +litem &6 +pos 7 +dimension 20 +uid 265,0 +) +*32 (MRCItem +litem &7 +pos 5 +dimension 20 +uid 266,0 +) +*33 (MRCItem +litem &8 +pos 1 +dimension 20 +uid 267,0 +) +*34 (MRCItem +litem &9 +pos 4 +dimension 20 +uid 268,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 236,0 +optionalChildren [ +*35 (MRCItem +litem &13 +pos 0 +dimension 20 +uid 244,0 +) +*36 (MRCItem +litem &15 +pos 1 +dimension 50 +uid 248,0 +) +*37 (MRCItem +litem &16 +pos 2 +dimension 100 +uid 250,0 +) +*38 (MRCItem +litem &17 +pos 3 +dimension 50 +uid 252,0 +) +*39 (MRCItem +litem &18 +pos 4 +dimension 100 +uid 254,0 +) +*40 (MRCItem +litem &19 +pos 5 +dimension 100 +uid 256,0 +) +*41 (MRCItem +litem &20 +pos 6 +dimension 50 +uid 258,0 +) +*42 (MRCItem +litem &21 +pos 7 +dimension 80 +uid 260,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 234,0 +vaOverrides [ +] +) +] +) +uid 298,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 310,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 294,0 +) +*56 (LogGeneric +generic (GiElement +name "depth" +type "positive" +value "8" +) +uid 295,0 +) +] +) +pdm (PhysicalDM +uid 311,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 270,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 273,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 275,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 277,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 296,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 297,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 271,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 279,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 283,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 285,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 287,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 289,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 291,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 293,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 269,0 +vaOverrides [ +] +) +] +) +uid 309,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_bram/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_bram/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_bram" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/FIFO_bram" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "FIFO_bram" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "FIFO_bram" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_bram/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/FIFO_bram/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "FIFO_bram" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 168,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 169,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,19625,34000,20375" +) +tg (CPTG +uid 170,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 171,0 +va (VaSet +) +xt "35000,19400,38100,20600" +st "write" +blo "35000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 172,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7800,17500,8700" +st "write : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*73 (CptPort +uid 173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,23625,34000,24375" +) +tg (CPTG +uid 175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 176,0 +va (VaSet +) +xt "35000,23400,38400,24600" +st "clock" +blo "35000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 177,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8700,17500,9600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*74 (CptPort +uid 178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,25625,34000,26375" +) +tg (CPTG +uid 180,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 181,0 +va (VaSet +) +xt "35000,25400,38300,26600" +st "reset" +blo "35000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9600,17500,10500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*75 (CptPort +uid 188,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 215,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,15625,50750,16375" +) +tg (CPTG +uid 190,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 191,0 +va (VaSet +) +xt "44201,15400,49001,16600" +st "dataOut" +ju 2 +blo "49001,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 192,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10500,32000,11400" +st "dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*76 (CptPort +uid 193,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 226,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,19625,50750,20375" +) +tg (CPTG +uid 195,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 196,0 +va (VaSet +) +xt "46100,19400,49000,20600" +st "read" +ju 2 +blo "49000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 197,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,17500,12300" +st "read : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*77 (CptPort +uid 216,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,15625,34000,16375" +) +tg (CPTG +uid 218,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 219,0 +va (VaSet +) +xt "34999,15400,38999,16600" +st "dataIn" +blo "34999,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 220,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,32000,13200" +st "dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*78 (CptPort +uid 221,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 228,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,17625,50750,18375" +) +tg (CPTG +uid 223,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 224,0 +va (VaSet +) +xt "45200,17400,49000,18600" +st "empty" +ju 2 +blo "49000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 225,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13200,17500,14100" +st "empty : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*79 (CptPort +uid 229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 230,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,17625,34000,18375" +) +tg (CPTG +uid 231,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 232,0 +va (VaSet +) +xt "35000,17400,37200,18600" +st "full" +blo "35000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 233,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14100,16500,15000" +st "full : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,12000,50000,28000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "34600,27800,38200,28800" +st "Memory" +blo "34600,28600" +) +second (Text +uid 12,0 +va (VaSet +) +xt "34600,28800,40000,29800" +st "FIFO_bram" +blo "34600,29600" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "34000,32000,45500,35600" +st "Generic Declarations + +dataBitNb positive 8 +depth positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "depth" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*81 (Grouping +uid 16,0 +optionalChildren [ +*82 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*87 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,50600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *92 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*94 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,1000,15500,3700" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "81,31,1365,900" +viewArea "-1034,-1034,74634,50619" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "600,1000,4800,2200" +st "Panel0" +blo "600,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "22600,14800,27900,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +) +xt "22600,16000,26500,17200" +st "" +blo "22600,17000" +) +) +gi *95 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *96 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,5800,7000,6800" +st "Declarations" +blo "0,6600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6800,3400,7800" +st "Ports:" +blo "0,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,15000,2500,15900" +st "User:" +blo "0,15700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,5800,7600,6800" +st "Internal User:" +blo "0,6600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15900,2000,15900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,5800,0,5800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 403,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/@f@i@f@o_one@register/symbol.sb b/Libs/Memory/hds/@f@i@f@o_one@register/symbol.sb new file mode 100644 index 0000000..98aa032 --- /dev/null +++ b/Libs/Memory/hds/@f@i@f@o_one@register/symbol.sb @@ -0,0 +1,1828 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2008,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 299,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +uid 300,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +uid 301,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 302,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 303,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 304,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 305,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 306,0 +) +*9 (LogPort +port (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 307,0 +) +*10 (RefLabelRowHdr +) +*11 (TitleRowHdr +) +*12 (FilterRowHdr +) +*13 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*14 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*15 (GroupColHdr +tm "GroupColHdrMgr" +) +*16 (NameColHdr +tm "NameColHdrMgr" +) +*17 (ModeColHdr +tm "ModeColHdrMgr" +) +*18 (TypeColHdr +tm "TypeColHdrMgr" +) +*19 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*20 (InitColHdr +tm "InitColHdrMgr" +) +*21 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 308,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 235,0 +optionalChildren [ +*24 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 238,0 +) +*25 (MRCItem +litem &11 +pos 1 +dimension 23 +uid 240,0 +) +*26 (MRCItem +litem &12 +pos 2 +hidden 1 +dimension 20 +uid 242,0 +) +*27 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 261,0 +) +*28 (MRCItem +litem &3 +pos 6 +dimension 20 +uid 262,0 +) +*29 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 263,0 +) +*30 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 264,0 +) +*31 (MRCItem +litem &6 +pos 7 +dimension 20 +uid 265,0 +) +*32 (MRCItem +litem &7 +pos 5 +dimension 20 +uid 266,0 +) +*33 (MRCItem +litem &8 +pos 1 +dimension 20 +uid 267,0 +) +*34 (MRCItem +litem &9 +pos 4 +dimension 20 +uid 268,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 236,0 +optionalChildren [ +*35 (MRCItem +litem &13 +pos 0 +dimension 20 +uid 244,0 +) +*36 (MRCItem +litem &15 +pos 1 +dimension 50 +uid 248,0 +) +*37 (MRCItem +litem &16 +pos 2 +dimension 100 +uid 250,0 +) +*38 (MRCItem +litem &17 +pos 3 +dimension 50 +uid 252,0 +) +*39 (MRCItem +litem &18 +pos 4 +dimension 100 +uid 254,0 +) +*40 (MRCItem +litem &19 +pos 5 +dimension 100 +uid 256,0 +) +*41 (MRCItem +litem &20 +pos 6 +dimension 50 +uid 258,0 +) +*42 (MRCItem +litem &21 +pos 7 +dimension 80 +uid 260,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 234,0 +vaOverrides [ +] +) +] +) +uid 298,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 310,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 294,0 +) +] +) +pdm (PhysicalDM +uid 311,0 +optionalChildren [ +*56 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *57 (MRCItem +litem &43 +pos 1 +dimension 20 +) +uid 270,0 +optionalChildren [ +*58 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 273,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 275,0 +) +*60 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 277,0 +) +*61 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 296,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 271,0 +optionalChildren [ +*62 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 279,0 +) +*63 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 283,0 +) +*64 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 285,0 +) +*65 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 287,0 +) +*66 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 289,0 +) +*67 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 291,0 +) +*68 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 293,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 269,0 +vaOverrides [ +] +) +] +) +uid 309,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_one@register/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_one@register/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_one@register" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/FIFO_oneRegister" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "FIFO_oneRegister" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "FIFO_oneRegister" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/@f@i@f@o_one@register/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/FIFO_oneRegister/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "FIFO_oneRegister" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 168,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 169,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,19625,34000,20375" +) +tg (CPTG +uid 170,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 171,0 +va (VaSet +) +xt "35000,19400,38100,20600" +st "write" +blo "35000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 172,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7800,17500,8700" +st "write : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*71 (CptPort +uid 173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,23625,34000,24375" +) +tg (CPTG +uid 175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 176,0 +va (VaSet +) +xt "35000,23400,38400,24600" +st "clock" +blo "35000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 177,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8700,17500,9600" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*72 (CptPort +uid 178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,25625,34000,26375" +) +tg (CPTG +uid 180,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 181,0 +va (VaSet +) +xt "35000,25400,38300,26600" +st "reset" +blo "35000,26400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9600,17500,10500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*73 (CptPort +uid 188,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 215,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,15625,50750,16375" +) +tg (CPTG +uid 190,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 191,0 +va (VaSet +) +xt "44201,15400,49001,16600" +st "dataOut" +ju 2 +blo "49001,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 192,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10500,32000,11400" +st "dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*74 (CptPort +uid 193,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 226,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,19625,50750,20375" +) +tg (CPTG +uid 195,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 196,0 +va (VaSet +) +xt "46100,19400,49000,20600" +st "read" +ju 2 +blo "49000,20400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 197,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,17500,12300" +st "read : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*75 (CptPort +uid 216,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,15625,34000,16375" +) +tg (CPTG +uid 218,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 219,0 +va (VaSet +) +xt "34999,15400,38999,16600" +st "dataIn" +blo "34999,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 220,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,32000,13200" +st "dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*76 (CptPort +uid 221,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 228,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,17625,50750,18375" +) +tg (CPTG +uid 223,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 224,0 +va (VaSet +) +xt "45200,17400,49000,18600" +st "empty" +ju 2 +blo "49000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 225,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13200,17500,14100" +st "empty : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*77 (CptPort +uid 229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 230,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,17625,34000,18375" +) +tg (CPTG +uid 231,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 232,0 +va (VaSet +) +xt "35000,17400,37200,18600" +st "full" +blo "35000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 233,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14100,16500,15000" +st "full : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,12000,50000,28000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "34600,27800,38200,28800" +st "Memory" +blo "34600,28600" +) +second (Text +uid 12,0 +va (VaSet +) +xt "34600,28800,44800,29800" +st "FIFO_oneRegister" +blo "34600,29600" +) +) +gi *78 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "34000,32000,45500,34700" +st "Generic Declarations + +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*79 (Grouping +uid 16,0 +optionalChildren [ +*80 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*81 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*82 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*83 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*84 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*85 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*89 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *90 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*92 (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "0,1000,15500,3700" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "81,31,1366,900" +viewArea "-1000,-1000,74686,50617" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "600,1000,4800,2200" +st "Panel0" +blo "600,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "22600,14800,27900,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +) +xt "22600,16000,26500,17200" +st "" +blo "22600,17000" +) +) +gi *93 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *94 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,5800,7000,6800" +st "Declarations" +blo "0,6600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6800,3400,7800" +st "Ports:" +blo "0,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,15000,2500,15900" +st "User:" +blo "0,15700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,5800,7600,6800" +st "Internal User:" +blo "0,6600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15900,2000,15900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,5800,0,5800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 426,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/_bram._epf b/Libs/Memory/hds/_bram._epf new file mode 100644 index 0000000..0041f9c --- /dev/null +++ b/Libs/Memory/hds/_bram._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom bram_bhv.vhd +DEFAULT_ARCHITECTURE atom bhv +TOP_MARKER atom 1 diff --git a/Libs/Memory/hds/_bramdualportwritefirst._epf b/Libs/Memory/hds/_bramdualportwritefirst._epf new file mode 100644 index 0000000..72f20fb --- /dev/null +++ b/Libs/Memory/hds/_bramdualportwritefirst._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom bramDualportWritefirst_bhv.vhd +DEFAULT_ARCHITECTURE atom bhv +TOP_MARKER atom 1 diff --git a/Libs/Memory/hds/_fifo._epf b/Libs/Memory/hds/_fifo._epf new file mode 100644 index 0000000..30bfe35 --- /dev/null +++ b/Libs/Memory/hds/_fifo._epf @@ -0,0 +1,3 @@ +TOP_MARKER atom 1 +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom @f@i@f@o/struct.bd diff --git a/Libs/Memory/hds/_fifo_bram._epf b/Libs/Memory/hds/_fifo_bram._epf new file mode 100644 index 0000000..0037371 --- /dev/null +++ b/Libs/Memory/hds/_fifo_bram._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom fifo_bram_rtl.vhd +DEFAULT_ARCHITECTURE atom RTL +TOP_MARKER atom 0 diff --git a/Libs/Memory/hds/_fifo_oneregister._epf b/Libs/Memory/hds/_fifo_oneregister._epf new file mode 100644 index 0000000..f095563 --- /dev/null +++ b/Libs/Memory/hds/_fifo_oneregister._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom fifo_oneRegister_rtl.vhd +DEFAULT_ARCHITECTURE atom oneRegister +TOP_MARKER atom 0 diff --git a/Libs/Memory/hds/_fifobridge._epf b/Libs/Memory/hds/_fifobridge._epf new file mode 100644 index 0000000..e35610c --- /dev/null +++ b/Libs/Memory/hds/_fifobridge._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom fifo@bridge/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Memory/hds/_fifobridgebuswidthadaption._epf b/Libs/Memory/hds/_fifobridgebuswidthadaption._epf new file mode 100644 index 0000000..cd1cbce --- /dev/null +++ b/Libs/Memory/hds/_fifobridgebuswidthadaption._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom fifo@bridge@bus@width@adaption/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Memory/hds/_fifobridgerxtotx._epf b/Libs/Memory/hds/_fifobridgerxtotx._epf new file mode 100644 index 0000000..93a8c4c --- /dev/null +++ b/Libs/Memory/hds/_fifobridgerxtotx._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom fifobridgerxtotx_rtl.vhd +DEFAULT_ARCHITECTURE atom RTL diff --git a/Libs/Memory/hds/_fifobridgerxtotxbuswidthadaptionrxbigger._epf b/Libs/Memory/hds/_fifobridgerxtotxbuswidthadaptionrxbigger._epf new file mode 100644 index 0000000..08136c5 --- /dev/null +++ b/Libs/Memory/hds/_fifobridgerxtotxbuswidthadaptionrxbigger._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom fifoBridgeRxToTxBuswidthAdaptionRxbigger_behavioral.vhd +DEFAULT_ARCHITECTURE atom behavioral diff --git a/Libs/Memory/hds/_fifobridgerxtotxbuswidthadaptiontxbigger._epf b/Libs/Memory/hds/_fifobridgerxtotxbuswidthadaptiontxbigger._epf new file mode 100644 index 0000000..a4ff292 --- /dev/null +++ b/Libs/Memory/hds/_fifobridgerxtotxbuswidthadaptiontxbigger._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom fifoBridgeRxToTxBuswidthAdaptionTxbigger_behavioral.vhd +DEFAULT_ARCHITECTURE atom behavioral diff --git a/Libs/Memory/hds/_flashcontroller._epf b/Libs/Memory/hds/_flashcontroller._epf new file mode 100644 index 0000000..388eac4 --- /dev/null +++ b/Libs/Memory/hds/_flashcontroller._epf @@ -0,0 +1,3 @@ +TOP_MARKER atom 1 +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom flashController_RTL.vhd diff --git a/Libs/Memory/hds/_sdramcontroller._epf b/Libs/Memory/hds/_sdramcontroller._epf new file mode 100644 index 0000000..03cc304 --- /dev/null +++ b/Libs/Memory/hds/_sdramcontroller._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom sdram@controller/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Memory/hds/_sdramcontrollerbuildaddress._epf b/Libs/Memory/hds/_sdramcontrollerbuildaddress._epf new file mode 100644 index 0000000..7e31da3 --- /dev/null +++ b/Libs/Memory/hds/_sdramcontrollerbuildaddress._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom sdramControllerBuildAddress_RTL.vhd diff --git a/Libs/Memory/hds/_sdramcontrollerfsm._epf b/Libs/Memory/hds/_sdramcontrollerfsm._epf new file mode 100644 index 0000000..4c6b139 --- /dev/null +++ b/Libs/Memory/hds/_sdramcontrollerfsm._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom fsm +DEFAULT_FILE atom sdram@controller@fsm/fsm.sm diff --git a/Libs/Memory/hds/_sdramcontrollerrefreshcounter._epf b/Libs/Memory/hds/_sdramcontrollerrefreshcounter._epf new file mode 100644 index 0000000..79c67be --- /dev/null +++ b/Libs/Memory/hds/_sdramcontrollerrefreshcounter._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom sdramControllerRefreshCounter_RTL.vhd diff --git a/Libs/Memory/hds/_sdramcontrollersampledatain._epf b/Libs/Memory/hds/_sdramcontrollersampledatain._epf new file mode 100644 index 0000000..d41ad8f --- /dev/null +++ b/Libs/Memory/hds/_sdramcontrollersampledatain._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom sdramControllerSampleDataIn_RTL.vhd diff --git a/Libs/Memory/hds/_sdramcontrollersr._epf b/Libs/Memory/hds/_sdramcontrollersr._epf new file mode 100644 index 0000000..5909828 --- /dev/null +++ b/Libs/Memory/hds/_sdramcontrollersr._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom sdramControllerSR_RTL.vhd diff --git a/Libs/Memory/hds/_sdramcontrollerstoredata._epf b/Libs/Memory/hds/_sdramcontrollerstoredata._epf new file mode 100644 index 0000000..3decb83 --- /dev/null +++ b/Libs/Memory/hds/_sdramcontrollerstoredata._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom sdramControllerStoreData_RTL.vhd diff --git a/Libs/Memory/hds/_sdramcontrollertimingsshiftregister._epf b/Libs/Memory/hds/_sdramcontrollertimingsshiftregister._epf new file mode 100644 index 0000000..8547bf2 --- /dev/null +++ b/Libs/Memory/hds/_sdramcontrollertimingsshiftregister._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom sdramControllerTimingsShiftRegister_RTL.vhd diff --git a/Libs/Memory/hds/bram/symbol.sb b/Libs/Memory/hds/bram/symbol.sb new file mode 100644 index 0000000..566e85f --- /dev/null +++ b/Libs/Memory/hds/bram/symbol.sb @@ -0,0 +1,1778 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 14,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 51,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "writeEn" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 57,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "addressIn" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +uid 59,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +uid 61,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +uid 63,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 55,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 164,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 166,0 +optionalChildren [ +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 167,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 168,0 +) +*24 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 169,0 +) +*25 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 52,0 +) +*26 (MRCItem +litem &15 +pos 2 +dimension 20 +uid 58,0 +) +*27 (MRCItem +litem &16 +pos 3 +dimension 20 +uid 60,0 +) +*28 (MRCItem +litem &17 +pos 4 +dimension 20 +uid 62,0 +) +*29 (MRCItem +litem &18 +pos 5 +dimension 20 +uid 64,0 +) +*30 (MRCItem +litem &19 +pos 1 +dimension 20 +uid 56,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 170,0 +optionalChildren [ +*31 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 171,0 +) +*32 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 172,0 +) +*33 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 173,0 +) +*34 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 174,0 +) +*35 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 175,0 +) +*36 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 176,0 +) +*37 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 177,0 +) +*38 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 178,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 150,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 180,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "8" +) +uid 439,0 +) +*52 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 441,0 +) +*53 (LogGeneric +generic (GiElement +name "initFile" +type "string" +value "\"bramInit.txt\"" +) +uid 443,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 192,0 +optionalChildren [ +*54 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *55 (MRCItem +litem &39 +pos 3 +dimension 20 +) +uid 194,0 +optionalChildren [ +*56 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 195,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 196,0 +) +*58 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 197,0 +) +*59 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 440,0 +) +*60 (MRCItem +litem &52 +pos 1 +dimension 20 +uid 442,0 +) +*61 (MRCItem +litem &53 +pos 2 +dimension 20 +uid 444,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 198,0 +optionalChildren [ +*62 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 199,0 +) +*63 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 200,0 +) +*64 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 201,0 +) +*65 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 202,0 +) +*66 (MRCItem +litem &48 +pos 4 +dimension 86 +uid 203,0 +) +*67 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 204,0 +) +*68 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 205,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 193,0 +vaOverrides [ +] +) +] +) +uid 179,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/HiRel/FPGA/Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/HiRel/FPGA/Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/HiRel/FPGA/Libs/Memory/hds/bram/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/HiRel/FPGA/Libs/Memory/hds/bram/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/HiRel/FPGA/Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "asm_file" +value "beamer.asm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/HiRel/FPGA/Libs/Memory/hds/bram" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/HiRel/FPGA/Libs/Memory/hds/bram" +) +(vvPair +variable "date" +value "02/19/19" +) +(vvPair +variable "day" +value "Tue" +) +(vvPair +variable "day_long" +value "Tuesday" +) +(vvPair +variable "dd" +value "19" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "bram" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "02/19/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "14:42:23" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Memory" +) +(vvPair +variable "mm" +value "02" +) +(vvPair +variable "module_name" +value "bram" +) +(vvPair +variable "month" +value "Feb" +) +(vvPair +variable "month_long" +value "February" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/HiRel/FPGA/Libs/Memory/hds/bram/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/HiRel/FPGA/Libs/Memory/hds/bram/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "cansat" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_AsmPath" +value "$HEI_LIBS_DIR/NanoBlaze/hdl" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:42:23" +) +(vvPair +variable "unit" +value "bram" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 149,0 +optionalChildren [ +*69 (SymbolBody +uid 8,0 +optionalChildren [ +*70 (CptPort +uid 79,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 80,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,26625,39000,27375" +) +tg (CPTG +uid 81,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 82,0 +va (VaSet +) +xt "40000,26500,43000,27500" +st "clock" +blo "40000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 83,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9200,18500,10100" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*71 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,24625,39000,25375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +) +xt "40000,24500,41200,25500" +st "en" +blo "40000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10100,18500,11000" +st "en : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "en" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*72 (CptPort +uid 94,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 95,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,20625,39000,21375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 97,0 +va (VaSet +) +xt "40000,20500,44200,21500" +st "writeEn" +blo "40000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,18500,11900" +st "writeEn : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeEn" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*73 (CptPort +uid 99,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 100,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,14625,39000,15375" +) +tg (CPTG +uid 101,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 102,0 +va (VaSet +) +xt "40000,14500,45400,15500" +st "addressIn" +blo "40000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,34500,12800" +st "addressIn : IN std_ulogic_vector (addressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addressIn" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +) +*74 (CptPort +uid 104,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 105,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,18625,39000,19375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 107,0 +va (VaSet +) +xt "40000,18500,43600,19500" +st "dataIn" +blo "40000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 108,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,33000,13700" +st "dataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +) +*75 (CptPort +uid 109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 206,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,16625,39000,17375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 112,0 +va (VaSet +) +xt "40000,16500,44200,17500" +st "dataOut" +blo "40000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,32000,14600" +st "dataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "39000,11000,55000,29000" +) +oxt "15000,6000,33000,36000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "39200,29000,42200,29900" +st "Memory" +blo "39200,29700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "39200,29900,41200,30800" +st "bram" +blo "39200,30600" +) +) +gi *76 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "39000,32000,58500,36500" +st "Generic Declarations + +addressBitNb positive 8 +dataBitNb positive 8 +initFile string \"bramInit.txt\" " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "8" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "initFile" +type "string" +value "\"bramInit.txt\"" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*77 (Grouping +uid 16,0 +optionalChildren [ +*78 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,66200,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,47600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *88 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*90 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,19800,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "108,40,1409,907" +viewArea "-1100,-1100,70048,46750" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *91 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *92 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7400,6500,8300" +st "Declarations" +blo "0,8100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,8300,3000,9200" +st "Ports:" +blo "0,9000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,14600,2500,15500" +st "User:" +blo "0,15300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,7400,7500,8300" +st "Internal User:" +blo "0,8100" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,2000,15500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,7400,0,7400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 628,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/bram@dualport@writefirst/symbol.sb b/Libs/Memory/hds/bram@dualport@writefirst/symbol.sb new file mode 100644 index 0000000..67aa8c6 --- /dev/null +++ b/Libs/Memory/hds/bram@dualport@writefirst/symbol.sb @@ -0,0 +1,2162 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 14,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 151,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clockA" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 51,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 3 +suid 4,0 +) +) +uid 57,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +uid 59,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +uid 61,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +uid 63,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "clockB" +t "std_ulogic" +o 7 +suid 8,0 +) +) +uid 65,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "enB" +t "std_ulogic" +o 8 +suid 10,0 +) +) +uid 69,0 +) +*21 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 9 +suid 11,0 +) +) +uid 71,0 +) +*22 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 12,0 +) +) +uid 73,0 +) +*23 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 13,0 +) +) +uid 75,0 +) +*24 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 14,0 +) +) +uid 77,0 +) +*25 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "enA" +t "std_ulogic" +o 2 +suid 3,0 +) +) +uid 55,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 164,0 +optionalChildren [ +*26 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *27 (MRCItem +litem &1 +pos 12 +dimension 20 +) +uid 166,0 +optionalChildren [ +*28 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 167,0 +) +*29 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 168,0 +) +*30 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 169,0 +) +*31 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 52,0 +) +*32 (MRCItem +litem &15 +pos 2 +dimension 20 +uid 58,0 +) +*33 (MRCItem +litem &16 +pos 3 +dimension 20 +uid 60,0 +) +*34 (MRCItem +litem &17 +pos 4 +dimension 20 +uid 62,0 +) +*35 (MRCItem +litem &18 +pos 5 +dimension 20 +uid 64,0 +) +*36 (MRCItem +litem &19 +pos 6 +dimension 20 +uid 66,0 +) +*37 (MRCItem +litem &20 +pos 7 +dimension 20 +uid 70,0 +) +*38 (MRCItem +litem &21 +pos 8 +dimension 20 +uid 72,0 +) +*39 (MRCItem +litem &22 +pos 9 +dimension 20 +uid 74,0 +) +*40 (MRCItem +litem &23 +pos 10 +dimension 20 +uid 76,0 +) +*41 (MRCItem +litem &24 +pos 11 +dimension 20 +uid 78,0 +) +*42 (MRCItem +litem &25 +pos 1 +dimension 20 +uid 56,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 170,0 +optionalChildren [ +*43 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 171,0 +) +*44 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 172,0 +) +*45 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 173,0 +) +*46 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 174,0 +) +*47 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 175,0 +) +*48 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 176,0 +) +*49 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 177,0 +) +*50 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 178,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 150,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *51 (LEmptyRow +) +uid 180,0 +optionalChildren [ +*52 (RefLabelRowHdr +) +*53 (TitleRowHdr +) +*54 (FilterRowHdr +) +*55 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*56 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*57 (GroupColHdr +tm "GroupColHdrMgr" +) +*58 (NameColHdr +tm "GenericNameColHdrMgr" +) +*59 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*60 (InitColHdr +tm "GenericValueColHdrMgr" +) +*61 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*62 (EolColHdr +tm "GenericEolColHdrMgr" +) +*63 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "8" +) +uid 439,0 +) +*64 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 441,0 +) +*65 (LogGeneric +generic (GiElement +name "initFile" +type "string" +value "\"bramInit.txt\"" +) +uid 443,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 192,0 +optionalChildren [ +*66 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *67 (MRCItem +litem &51 +pos 3 +dimension 20 +) +uid 194,0 +optionalChildren [ +*68 (MRCItem +litem &52 +pos 0 +dimension 20 +uid 195,0 +) +*69 (MRCItem +litem &53 +pos 1 +dimension 23 +uid 196,0 +) +*70 (MRCItem +litem &54 +pos 2 +hidden 1 +dimension 20 +uid 197,0 +) +*71 (MRCItem +litem &63 +pos 0 +dimension 20 +uid 440,0 +) +*72 (MRCItem +litem &64 +pos 1 +dimension 20 +uid 442,0 +) +*73 (MRCItem +litem &65 +pos 2 +dimension 20 +uid 444,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 198,0 +optionalChildren [ +*74 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 199,0 +) +*75 (MRCItem +litem &57 +pos 1 +dimension 50 +uid 200,0 +) +*76 (MRCItem +litem &58 +pos 2 +dimension 100 +uid 201,0 +) +*77 (MRCItem +litem &59 +pos 3 +dimension 100 +uid 202,0 +) +*78 (MRCItem +litem &60 +pos 4 +dimension 86 +uid 203,0 +) +*79 (MRCItem +litem &61 +pos 5 +dimension 50 +uid 204,0 +) +*80 (MRCItem +litem &62 +pos 6 +dimension 80 +uid 205,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 193,0 +vaOverrides [ +] +) +] +) +uid 179,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/bram@dualport@writefirst/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/bram@dualport@writefirst/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/bram@dualport@writefirst" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/bramDualportWritefirst" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "bramDualportWritefirst" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "bramDualportWritefirst" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/bram@dualport@writefirst/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/bramDualportWritefirst/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "bramDualportWritefirst" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 149,0 +optionalChildren [ +*81 (SymbolBody +uid 8,0 +optionalChildren [ +*82 (CptPort +uid 79,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 80,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,26625,39000,27375" +) +tg (CPTG +uid 81,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 82,0 +va (VaSet +) +xt "40000,26500,43600,27500" +st "clockA" +blo "40000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 83,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9200,18000,10100" +st "clockA : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clockA" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*83 (CptPort +uid 89,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 90,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,24625,39000,25375" +) +tg (CPTG +uid 91,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 92,0 +va (VaSet +) +xt "40000,24500,41800,25500" +st "enA" +blo "40000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 93,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10100,18000,11000" +st "enA : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "enA" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*84 (CptPort +uid 94,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 95,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,20625,39000,21375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 97,0 +va (VaSet +) +xt "40000,20500,44800,21500" +st "writeEnA" +blo "40000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 98,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11000,18000,11900" +st "writeEnA : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*85 (CptPort +uid 99,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 100,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,14625,39000,15375" +) +tg (CPTG +uid 101,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 102,0 +va (VaSet +) +xt "40000,14500,44800,15500" +st "addressA" +blo "40000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11900,34000,12800" +st "addressA : IN std_ulogic_vector (addressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +) +*86 (CptPort +uid 104,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 105,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,18625,39000,19375" +) +tg (CPTG +uid 106,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 107,0 +va (VaSet +) +xt "40000,18500,44200,19500" +st "dataInA" +blo "40000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 108,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12800,32500,13700" +st "dataInA : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +) +*87 (CptPort +uid 109,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 206,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38250,16625,39000,17375" +) +tg (CPTG +uid 111,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 112,0 +va (VaSet +) +xt "40000,16500,44800,17500" +st "dataOutA" +blo "40000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 113,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13700,32500,14600" +st "dataOutA : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +) +*88 (CptPort +uid 114,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 115,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,26625,55750,27375" +) +tg (CPTG +uid 116,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 117,0 +va (VaSet +) +xt "50400,26500,54000,27500" +st "clockB" +ju 2 +blo "54000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 118,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14600,18000,15500" +st "clockB : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "clockB" +t "std_ulogic" +o 7 +suid 8,0 +) +) +) +*89 (CptPort +uid 124,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 125,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,24625,55750,25375" +) +tg (CPTG +uid 126,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 127,0 +va (VaSet +) +xt "52200,24500,54000,25500" +st "enB" +ju 2 +blo "54000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 128,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15500,18000,16400" +st "enB : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "enB" +t "std_ulogic" +o 8 +suid 10,0 +) +) +) +*90 (CptPort +uid 129,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 130,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,20625,55750,21375" +) +tg (CPTG +uid 131,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 132,0 +va (VaSet +) +xt "49200,20500,54000,21500" +st "writeEnB" +ju 2 +blo "54000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 133,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16400,18000,17300" +st "writeEnB : IN std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 9 +suid 11,0 +) +) +) +*91 (CptPort +uid 134,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 135,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,14625,55750,15375" +) +tg (CPTG +uid 136,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 137,0 +va (VaSet +) +xt "49200,14500,54000,15500" +st "addressB" +ju 2 +blo "54000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 138,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17300,34000,18200" +st "addressB : IN std_ulogic_vector (addressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 12,0 +) +) +) +*92 (CptPort +uid 139,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 140,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,18625,55750,19375" +) +tg (CPTG +uid 141,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 142,0 +va (VaSet +) +xt "49800,18500,54000,19500" +st "dataInB" +ju 2 +blo "54000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18200,32500,19100" +st "dataInB : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 13,0 +) +) +) +*93 (CptPort +uid 144,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 207,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "55000,16625,55750,17375" +) +tg (CPTG +uid 146,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 147,0 +va (VaSet +) +xt "49200,16500,54000,17500" +st "dataOutB" +ju 2 +blo "54000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 148,0 +va (VaSet +font "courier,8,0" +) +xt "2000,19100,31500,20000" +st "dataOutB : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 14,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "39000,11000,55000,29000" +) +oxt "15000,6000,33000,36000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "39200,29000,42200,29900" +st "Memory" +blo "39200,29700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "39200,29900,50700,30800" +st "bramDualportWritefirst" +blo "39200,30600" +) +) +gi *94 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "39000,32000,58500,36500" +st "Generic Declarations + +addressBitNb positive 8 +dataBitNb positive 8 +initFile string \"bramInit.txt\" " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "8" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "initFile" +type "string" +value "\"bramInit.txt\"" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +) +*95 (Grouping +uid 16,0 +optionalChildren [ +*96 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*98 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*99 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*100 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*101 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,66200,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*102 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*103 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*104 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*105 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *106 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*107 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,6500,900" +st "Package List" +blo "0,700" +) +*108 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,19800,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "116,36,1417,903" +viewArea "-1090,-1090,75403,50355" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *109 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *110 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7400,6500,8300" +st "Declarations" +blo "0,8100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,8300,3000,9200" +st "Ports:" +blo "0,9000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,20000,2500,20900" +st "User:" +blo "0,20700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,7400,7500,8300" +st "Internal User:" +blo "0,8100" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,20900,2000,20900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,7400,0,7400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 605,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/fifo@bridge/struct.bd b/Libs/Memory/hds/fifo@bridge/struct.bd new file mode 100644 index 0000000..d58d1e9 --- /dev/null +++ b/Libs/Memory/hds/fifo@bridge/struct.bd @@ -0,0 +1,4613 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +itemName "ALL" +) +] +instances [ +(Instance +name "rx1ToTx2" +duLibraryName "memory" +duName "fifoBridgeRxToTx" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "fifoDepth" +type "positive" +value "fifoDepth" +) +(GiElement +name "firstWordFallThrough" +type "boolean" +value "firstWordFallThrough" +e "first byte written into the FIFO immediately appears on the output" +) +] +mwi 0 +uid 1201,0 +) +(Instance +name "rx2ToTx1" +duLibraryName "memory" +duName "fifoBridgeRxToTx" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "fifoDepth" +type "positive" +value "fifoDepth" +) +(GiElement +name "firstWordFallThrough" +type "boolean" +value "firstWordFallThrough" +e "first byte written into the FIFO immediately appears on the output" +) +] +mwi 0 +uid 1242,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridge" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifoBridge" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:16" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Demo/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "U:\\ELN_Board\\Synthesis" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifoBridge" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridge/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME/modeltech/bin" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:16" +) +(vvPair +variable "unit" +value "fifoBridge" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 209,0 +optionalChildren [ +*1 (PortIoIn +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "28000,20625,29500,21375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "29500,21000,30000,21000" +pts [ +"29500,21000" +"30000,21000" +] +) +] +) +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23500,20300,27000,21600" +st "clock" +ju 2 +blo "27000,21300" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,7200,10000,8100" +st "clock : std_ulogic" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "28000,22625,29500,23375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "29500,23000,30000,23000" +pts [ +"29500,23000" +"30000,23000" +] +) +] +) +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23500,22300,27000,23600" +st "reset" +ju 2 +blo "27000,23300" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,8100,10000,9000" +st "reset : std_ulogic" +) +) +*5 (Grouping +uid 177,0 +optionalChildren [ +*6 (CommentText +uid 179,0 +shape (Rectangle +uid 180,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,65000,74000,66000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 181,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,65000,73400,66000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 182,0 +shape (Rectangle +uid 183,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,61000,78000,62000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 184,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,61000,77800,62000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 185,0 +shape (Rectangle +uid 186,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,63000,74000,64000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 187,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,63000,73400,64000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 188,0 +shape (Rectangle +uid 189,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,63000,57000,64000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 190,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,63000,56800,64000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +uid 191,0 +shape (Rectangle +uid 192,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "74000,62000,94000,66000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 193,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "74200,62200,87400,63200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*11 (CommentText +uid 194,0 +shape (Rectangle +uid 195,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "78000,61000,94000,62000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 196,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "78200,61000,93800,62000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*12 (CommentText +uid 197,0 +shape (Rectangle +uid 198,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,61000,74000,63000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 199,0 +va (VaSet +fg "32768,0,0" +) +xt "59000,61500,68000,62500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*13 (CommentText +uid 200,0 +shape (Rectangle +uid 201,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,64000,57000,65000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 202,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,64000,56200,65000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*14 (CommentText +uid 203,0 +shape (Rectangle +uid 204,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,65000,57000,66000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 205,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,65000,56800,66000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*15 (CommentText +uid 206,0 +shape (Rectangle +uid 207,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,64000,74000,65000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 208,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,64000,72200,65000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 178,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "53000,61000,94000,66000" +) +oxt "14000,66000,55000,71000" +) +*16 (PortIoOut +uid 774,0 +shape (CompositeShape +uid 775,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 776,0 +sl 0 +ro 270 +xt "62500,40625,64000,41375" +) +(Line +uid 777,0 +sl 0 +ro 270 +xt "62000,41000,62500,41000" +pts [ +"62000,41000" +"62500,41000" +] +) +] +) +tg (WTG +uid 778,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 779,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "65000,40500,68500,41800" +st "txWr1" +blo "65000,41500" +tm "WireNameMgr" +) +) +) +*17 (Net +uid 786,0 +decl (Decl +n "txWr1" +t "std_ulogic" +o 13 +suid 13,0 +) +declText (MLText +uid 787,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,18000,10000,18900" +st "txWr1 : std_ulogic" +) +) +*18 (PortIoIn +uid 788,0 +shape (CompositeShape +uid 789,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 790,0 +sl 0 +ro 270 +xt "28000,12625,29500,13375" +) +(Line +uid 791,0 +sl 0 +ro 270 +xt "29500,13000,30000,13000" +pts [ +"29500,13000" +"30000,13000" +] +) +] +) +tg (WTG +uid 792,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 793,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "3900,12500,27000,13800" +st "rxData1 : (dataBitNb-1 DOWNTO 0)" +ju 2 +blo "27000,13500" +tm "WireNameMgr" +) +) +) +*19 (Net +uid 800,0 +decl (Decl +n "rxData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 14,0 +) +declText (MLText +uid 801,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,9000,24500,9900" +st "rxData1 : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*20 (PortIoOut +uid 802,0 +shape (CompositeShape +uid 803,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 804,0 +sl 0 +ro 90 +xt "28000,16625,29500,17375" +) +(Line +uid 805,0 +sl 0 +ro 90 +xt "29500,17000,30000,17000" +pts [ +"30000,17000" +"29500,17000" +] +) +] +) +tg (WTG +uid 806,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 807,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23500,16300,27000,17600" +st "rxRd1" +ju 2 +blo "27000,17300" +tm "WireNameMgr" +) +) +) +*21 (Net +uid 814,0 +decl (Decl +n "rxRd1" +t "std_ulogic" +o 9 +suid 15,0 +) +declText (MLText +uid 815,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,14400,10000,15300" +st "rxRd1 : std_ulogic" +) +) +*22 (PortIoIn +uid 816,0 +shape (CompositeShape +uid 817,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 818,0 +sl 0 +ro 90 +xt "62500,38625,64000,39375" +) +(Line +uid 819,0 +sl 0 +ro 90 +xt "62000,39000,62500,39000" +pts [ +"62500,39000" +"62000,39000" +] +) +] +) +tg (WTG +uid 820,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 821,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "65000,38300,69900,39600" +st "txFull1" +blo "65000,39300" +tm "WireNameMgr" +) +) +) +*23 (Net +uid 828,0 +decl (Decl +n "txFull1" +t "std_ulogic" +o 7 +suid 16,0 +) +declText (MLText +uid 829,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,12600,10000,13500" +st "txFull1 : std_ulogic" +) +) +*24 (PortIoIn +uid 830,0 +shape (CompositeShape +uid 831,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 832,0 +sl 0 +ro 270 +xt "28000,14625,29500,15375" +) +(Line +uid 833,0 +sl 0 +ro 270 +xt "29500,15000,30000,15000" +pts [ +"29500,15000" +"30000,15000" +] +) +] +) +tg (WTG +uid 834,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 835,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "21400,14500,27000,15800" +st "rxEmpty1" +ju 2 +blo "27000,15500" +tm "WireNameMgr" +) +) +) +*25 (Net +uid 842,0 +decl (Decl +n "rxEmpty1" +t "std_ulogic" +o 5 +suid 17,0 +) +declText (MLText +uid 843,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,10800,10000,11700" +st "rxEmpty1 : std_ulogic" +) +) +*26 (PortIoOut +uid 844,0 +shape (CompositeShape +uid 845,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 846,0 +sl 0 +ro 270 +xt "62500,36625,64000,37375" +) +(Line +uid 847,0 +sl 0 +ro 270 +xt "62000,37000,62500,37000" +pts [ +"62000,37000" +"62500,37000" +] +) +] +) +tg (WTG +uid 848,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 849,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "65000,36500,88100,37800" +st "txData1 : (dataBitNb-1 DOWNTO 0)" +blo "65000,37500" +tm "WireNameMgr" +) +) +) +*27 (Net +uid 856,0 +decl (Decl +n "txData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 18,0 +) +declText (MLText +uid 857,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,16200,24500,17100" +st "txData1 : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*28 (PortIoOut +uid 858,0 +shape (CompositeShape +uid 859,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 860,0 +sl 0 +ro 90 +xt "28000,40625,29500,41375" +) +(Line +uid 861,0 +sl 0 +ro 90 +xt "29500,41000,30000,41000" +pts [ +"30000,41000" +"29500,41000" +] +) +] +) +tg (WTG +uid 862,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 863,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "23500,40300,27000,41600" +st "rxRd2" +ju 2 +blo "27000,41300" +tm "WireNameMgr" +) +) +) +*29 (Net +uid 870,0 +decl (Decl +n "rxRd2" +t "std_ulogic" +o 10 +suid 19,0 +) +declText (MLText +uid 871,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,15300,10000,16200" +st "rxRd2 : std_ulogic" +) +) +*30 (PortIoIn +uid 872,0 +shape (CompositeShape +uid 873,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 874,0 +sl 0 +ro 270 +xt "28000,36625,29500,37375" +) +(Line +uid 875,0 +sl 0 +ro 270 +xt "29500,37000,30000,37000" +pts [ +"29500,37000" +"30000,37000" +] +) +] +) +tg (WTG +uid 876,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 877,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "3900,36500,27000,37800" +st "rxData2 : (dataBitNb-1 DOWNTO 0)" +ju 2 +blo "27000,37500" +tm "WireNameMgr" +) +) +) +*31 (Net +uid 884,0 +decl (Decl +n "rxData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 20,0 +) +declText (MLText +uid 885,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,9900,24500,10800" +st "rxData2 : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*32 (PortIoOut +uid 886,0 +shape (CompositeShape +uid 887,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 888,0 +sl 0 +ro 270 +xt "62500,12625,64000,13375" +) +(Line +uid 889,0 +sl 0 +ro 270 +xt "62000,13000,62500,13000" +pts [ +"62000,13000" +"62500,13000" +] +) +] +) +tg (WTG +uid 890,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 891,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "65000,12500,88100,13800" +st "txData2 : (dataBitNb-1 DOWNTO 0)" +blo "65000,13500" +tm "WireNameMgr" +) +) +) +*33 (Net +uid 898,0 +decl (Decl +n "txData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 21,0 +) +declText (MLText +uid 899,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,17100,24500,18000" +st "txData2 : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*34 (PortIoIn +uid 900,0 +shape (CompositeShape +uid 901,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 902,0 +sl 0 +ro 90 +xt "62500,14625,64000,15375" +) +(Line +uid 903,0 +sl 0 +ro 90 +xt "62000,15000,62500,15000" +pts [ +"62500,15000" +"62000,15000" +] +) +] +) +tg (WTG +uid 904,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 905,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "65000,14300,69900,15600" +st "txFull2" +blo "65000,15300" +tm "WireNameMgr" +) +) +) +*35 (Net +uid 912,0 +decl (Decl +n "txFull2" +t "std_ulogic" +o 8 +suid 22,0 +) +declText (MLText +uid 913,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,13500,10000,14400" +st "txFull2 : std_ulogic" +) +) +*36 (PortIoIn +uid 914,0 +shape (CompositeShape +uid 915,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 916,0 +sl 0 +ro 270 +xt "28000,38625,29500,39375" +) +(Line +uid 917,0 +sl 0 +ro 270 +xt "29500,39000,30000,39000" +pts [ +"29500,39000" +"30000,39000" +] +) +] +) +tg (WTG +uid 918,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 919,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "21400,38500,27000,39800" +st "rxEmpty2" +ju 2 +blo "27000,39500" +tm "WireNameMgr" +) +) +) +*37 (Net +uid 926,0 +decl (Decl +n "rxEmpty2" +t "std_ulogic" +o 6 +suid 23,0 +) +declText (MLText +uid 927,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,11700,10000,12600" +st "rxEmpty2 : std_ulogic" +) +) +*38 (PortIoOut +uid 928,0 +shape (CompositeShape +uid 929,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 930,0 +sl 0 +ro 270 +xt "62500,16625,64000,17375" +) +(Line +uid 931,0 +sl 0 +ro 270 +xt "62000,17000,62500,17000" +pts [ +"62000,17000" +"62500,17000" +] +) +] +) +tg (WTG +uid 932,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 933,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "65000,16500,68500,17800" +st "txWr2" +blo "65000,17500" +tm "WireNameMgr" +) +) +) +*39 (Net +uid 940,0 +decl (Decl +n "txWr2" +t "std_ulogic" +o 14 +suid 24,0 +) +declText (MLText +uid 941,0 +va (VaSet +font "courier,8,0" +) +xt "-1000,18900,10000,19800" +st "txWr2 : std_ulogic" +) +) +*40 (SaComponent +uid 1201,0 +optionalChildren [ +*41 (CptPort +uid 1169,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1170,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,20625,38000,21375" +) +tg (CPTG +uid 1171,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1172,0 +va (VaSet +font "courier,9,0" +) +xt "39000,20400,41500,21300" +st "clock" +blo "39000,21100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*42 (CptPort +uid 1173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,22625,38000,23375" +) +tg (CPTG +uid 1175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1176,0 +va (VaSet +font "courier,9,0" +) +xt "39000,22400,41500,23300" +st "reset" +blo "39000,23100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*43 (CptPort +uid 1177,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1178,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,12625,54750,13375" +) +tg (CPTG +uid 1179,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1180,0 +va (VaSet +font "courier,9,0" +) +xt "50500,12400,53000,13300" +st "data2" +ju 2 +blo "53000,13100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "data2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*44 (CptPort +uid 1181,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1182,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,14625,54750,15375" +) +tg (CPTG +uid 1183,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1184,0 +va (VaSet +font "courier,9,0" +) +xt "50500,14400,53000,15300" +st "full2" +ju 2 +blo "53000,15100" +) +) +thePort (LogicalPort +decl (Decl +n "full2" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*45 (CptPort +uid 1185,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1186,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,16625,54750,17375" +) +tg (CPTG +uid 1187,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1188,0 +va (VaSet +font "courier,9,0" +) +xt "51500,16400,53000,17300" +st "wr2" +ju 2 +blo "53000,17100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "wr2" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*46 (CptPort +uid 1189,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1190,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,14625,38000,15375" +) +tg (CPTG +uid 1191,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1192,0 +va (VaSet +font "courier,9,0" +) +xt "39000,14400,42000,15300" +st "empty1" +blo "39000,15100" +) +) +thePort (LogicalPort +decl (Decl +n "empty1" +t "std_ulogic" +o 7 +suid 6,0 +) +) +) +*47 (CptPort +uid 1193,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1194,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,12625,38000,13375" +) +tg (CPTG +uid 1195,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1196,0 +va (VaSet +font "courier,9,0" +) +xt "39000,12400,41500,13300" +st "data1" +blo "39000,13100" +) +) +thePort (LogicalPort +decl (Decl +n "data1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 7,0 +) +) +) +*48 (CptPort +uid 1197,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1198,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,16625,38000,17375" +) +tg (CPTG +uid 1199,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1200,0 +va (VaSet +font "courier,9,0" +) +xt "39000,16400,40500,17300" +st "rd1" +blo "39000,17100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "rd1" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 1202,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,9000,54000,25000" +) +oxt "35000,10000,51000,26000" +ttg (MlTextGroup +uid 1203,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +uid 1204,0 +va (VaSet +font "courier,9,1" +) +xt "38600,24800,41600,25700" +st "memory" +blo "38600,25500" +tm "BdLibraryNameMgr" +) +*50 (Text +uid 1205,0 +va (VaSet +font "courier,9,1" +) +xt "38600,26000,47100,26900" +st "fifoBridgeRxToTx" +blo "38600,26700" +tm "CptNameMgr" +) +*51 (Text +uid 1206,0 +va (VaSet +font "courier,9,1" +) +xt "38600,27200,42600,28100" +st "rx1ToTx2" +blo "38600,27900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1207,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1208,0 +text (MLText +uid 1209,0 +va (VaSet +font "courier,8,0" +) +xt "38000,28400,103000,31100" +st "dataBitNb = dataBitNb ( positive ) +fifoDepth = fifoDepth ( positive ) +firstWordFallThrough = firstWordFallThrough ( boolean ) --first byte written into the FIFO immediately appears on the output " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "fifoDepth" +type "positive" +value "fifoDepth" +) +(GiElement +name "firstWordFallThrough" +type "boolean" +value "firstWordFallThrough" +e "first byte written into the FIFO immediately appears on the output" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*52 (SaComponent +uid 1242,0 +optionalChildren [ +*53 (CptPort +uid 1210,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1211,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,44625,38000,45375" +) +tg (CPTG +uid 1212,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1213,0 +va (VaSet +font "courier,9,0" +) +xt "39000,44400,41500,45300" +st "clock" +blo "39000,45100" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*54 (CptPort +uid 1214,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1215,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,46625,38000,47375" +) +tg (CPTG +uid 1216,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1217,0 +va (VaSet +font "courier,9,0" +) +xt "39000,46400,41500,47300" +st "reset" +blo "39000,47100" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*55 (CptPort +uid 1218,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1219,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,36625,54750,37375" +) +tg (CPTG +uid 1220,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1221,0 +va (VaSet +font "courier,9,0" +) +xt "50500,36400,53000,37300" +st "data2" +ju 2 +blo "53000,37100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "data2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*56 (CptPort +uid 1222,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1223,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,38625,54750,39375" +) +tg (CPTG +uid 1224,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1225,0 +va (VaSet +font "courier,9,0" +) +xt "50500,38400,53000,39300" +st "full2" +ju 2 +blo "53000,39100" +) +) +thePort (LogicalPort +decl (Decl +n "full2" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*57 (CptPort +uid 1226,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,40625,54750,41375" +) +tg (CPTG +uid 1228,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1229,0 +va (VaSet +font "courier,9,0" +) +xt "51500,40400,53000,41300" +st "wr2" +ju 2 +blo "53000,41100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "wr2" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*58 (CptPort +uid 1230,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1231,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,38625,38000,39375" +) +tg (CPTG +uid 1232,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1233,0 +va (VaSet +font "courier,9,0" +) +xt "39000,38400,42000,39300" +st "empty1" +blo "39000,39100" +) +) +thePort (LogicalPort +decl (Decl +n "empty1" +t "std_ulogic" +o 7 +suid 6,0 +) +) +) +*59 (CptPort +uid 1234,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1235,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,36625,38000,37375" +) +tg (CPTG +uid 1236,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1237,0 +va (VaSet +font "courier,9,0" +) +xt "39000,36400,41500,37300" +st "data1" +blo "39000,37100" +) +) +thePort (LogicalPort +decl (Decl +n "data1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 7,0 +) +) +) +*60 (CptPort +uid 1238,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1239,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,40625,38000,41375" +) +tg (CPTG +uid 1240,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1241,0 +va (VaSet +font "courier,9,0" +) +xt "39000,40400,40500,41300" +st "rd1" +blo "39000,41100" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "rd1" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 1243,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,33000,54000,49000" +) +oxt "35000,10000,51000,26000" +ttg (MlTextGroup +uid 1244,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 1245,0 +va (VaSet +font "courier,9,1" +) +xt "38600,48800,41600,49700" +st "memory" +blo "38600,49500" +tm "BdLibraryNameMgr" +) +*62 (Text +uid 1246,0 +va (VaSet +font "courier,9,1" +) +xt "38600,49700,47100,50600" +st "fifoBridgeRxToTx" +blo "38600,50400" +tm "CptNameMgr" +) +*63 (Text +uid 1247,0 +va (VaSet +font "courier,9,1" +) +xt "38600,50600,42600,51500" +st "rx2ToTx1" +blo "38600,51300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1248,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1249,0 +text (MLText +uid 1250,0 +va (VaSet +font "courier,8,0" +) +xt "38000,52400,103000,55100" +st "dataBitNb = dataBitNb ( positive ) +fifoDepth = fifoDepth ( positive ) +firstWordFallThrough = firstWordFallThrough ( boolean ) --first byte written into the FIFO immediately appears on the output " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "fifoDepth" +type "positive" +value "fifoDepth" +) +(GiElement +name "firstWordFallThrough" +type "boolean" +value "firstWordFallThrough" +e "first byte written into the FIFO immediately appears on the output" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +) +archFileType "UNKNOWN" +) +*64 (Wire +uid 15,0 +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +) +xt "30000,21000,37250,21000" +pts [ +"30000,21000" +"37250,21000" +] +) +start &1 +end &41 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +font "courier,12,0" +) +xt "30000,19600,33500,20900" +st "clock" +blo "30000,20600" +tm "WireNameMgr" +) +) +on &2 +) +*65 (Wire +uid 29,0 +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +) +xt "30000,23000,37250,23000" +pts [ +"30000,23000" +"37250,23000" +] +) +start &3 +end &42 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +font "courier,12,0" +) +xt "30000,21600,33500,22900" +st "reset" +blo "30000,22600" +tm "WireNameMgr" +) +) +on &4 +) +*66 (Wire +uid 780,0 +shape (OrthoPolyLine +uid 781,0 +va (VaSet +vasetType 3 +) +xt "54750,41000,62000,41000" +pts [ +"54750,41000" +"62000,41000" +] +) +start &57 +end &16 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 784,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 785,0 +va (VaSet +font "courier,12,0" +) +xt "58000,39600,61500,40900" +st "txWr1" +blo "58000,40600" +tm "WireNameMgr" +) +) +on &17 +) +*67 (Wire +uid 794,0 +shape (OrthoPolyLine +uid 795,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "30000,13000,37250,13000" +pts [ +"30000,13000" +"37250,13000" +] +) +start &18 +end &47 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 798,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 799,0 +va (VaSet +font "courier,12,0" +) +xt "30000,11600,34900,12900" +st "rxData1" +blo "30000,12600" +tm "WireNameMgr" +) +) +on &19 +) +*68 (Wire +uid 808,0 +shape (OrthoPolyLine +uid 809,0 +va (VaSet +vasetType 3 +) +xt "30000,17000,37250,17000" +pts [ +"37250,17000" +"30000,17000" +] +) +start &48 +end &20 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 812,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 813,0 +va (VaSet +font "courier,12,0" +) +xt "30000,15600,33500,16900" +st "rxRd1" +blo "30000,16600" +tm "WireNameMgr" +) +) +on &21 +) +*69 (Wire +uid 822,0 +shape (OrthoPolyLine +uid 823,0 +va (VaSet +vasetType 3 +) +xt "54750,39000,62000,39000" +pts [ +"62000,39000" +"54750,39000" +] +) +start &22 +end &56 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 826,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 827,0 +va (VaSet +font "courier,12,0" +) +xt "58000,37600,62900,38900" +st "txFull1" +blo "58000,38600" +tm "WireNameMgr" +) +) +on &23 +) +*70 (Wire +uid 836,0 +shape (OrthoPolyLine +uid 837,0 +va (VaSet +vasetType 3 +) +xt "30000,15000,37250,15000" +pts [ +"30000,15000" +"37250,15000" +] +) +start &24 +end &46 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 840,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 841,0 +va (VaSet +font "courier,12,0" +) +xt "30000,13600,35600,14900" +st "rxEmpty1" +blo "30000,14600" +tm "WireNameMgr" +) +) +on &25 +) +*71 (Wire +uid 850,0 +shape (OrthoPolyLine +uid 851,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "54750,37000,62000,37000" +pts [ +"54750,37000" +"62000,37000" +] +) +start &55 +end &26 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 854,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 855,0 +va (VaSet +font "courier,12,0" +) +xt "57000,35600,61900,36900" +st "txData1" +blo "57000,36600" +tm "WireNameMgr" +) +) +on &27 +) +*72 (Wire +uid 864,0 +shape (OrthoPolyLine +uid 865,0 +va (VaSet +vasetType 3 +) +xt "30000,41000,37250,41000" +pts [ +"37250,41000" +"30000,41000" +] +) +start &60 +end &28 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 868,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 869,0 +va (VaSet +font "courier,12,0" +) +xt "30000,39600,33500,40900" +st "rxRd2" +blo "30000,40600" +tm "WireNameMgr" +) +) +on &29 +) +*73 (Wire +uid 878,0 +shape (OrthoPolyLine +uid 879,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "30000,37000,37250,37000" +pts [ +"30000,37000" +"37250,37000" +] +) +start &30 +end &59 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 882,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 883,0 +va (VaSet +font "courier,12,0" +) +xt "30000,35600,34900,36900" +st "rxData2" +blo "30000,36600" +tm "WireNameMgr" +) +) +on &31 +) +*74 (Wire +uid 892,0 +shape (OrthoPolyLine +uid 893,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "54750,13000,62000,13000" +pts [ +"54750,13000" +"62000,13000" +] +) +start &43 +end &32 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 896,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 897,0 +va (VaSet +font "courier,12,0" +) +xt "57000,11600,61900,12900" +st "txData2" +blo "57000,12600" +tm "WireNameMgr" +) +) +on &33 +) +*75 (Wire +uid 906,0 +shape (OrthoPolyLine +uid 907,0 +va (VaSet +vasetType 3 +) +xt "54750,15000,62000,15000" +pts [ +"62000,15000" +"54750,15000" +] +) +start &34 +end &44 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 910,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 911,0 +va (VaSet +font "courier,12,0" +) +xt "58000,13600,62900,14900" +st "txFull2" +blo "58000,14600" +tm "WireNameMgr" +) +) +on &35 +) +*76 (Wire +uid 920,0 +shape (OrthoPolyLine +uid 921,0 +va (VaSet +vasetType 3 +) +xt "30000,39000,37250,39000" +pts [ +"30000,39000" +"37250,39000" +] +) +start &36 +end &58 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 924,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 925,0 +va (VaSet +font "courier,12,0" +) +xt "30000,37600,35600,38900" +st "rxEmpty2" +blo "30000,38600" +tm "WireNameMgr" +) +) +on &37 +) +*77 (Wire +uid 934,0 +shape (OrthoPolyLine +uid 935,0 +va (VaSet +vasetType 3 +) +xt "54750,17000,62000,17000" +pts [ +"54750,17000" +"62000,17000" +] +) +start &45 +end &38 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 938,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 939,0 +va (VaSet +font "courier,12,0" +) +xt "58000,15600,61500,16900" +st "txWr2" +blo "58000,16600" +tm "WireNameMgr" +) +) +on &39 +) +*78 (Wire +uid 1099,0 +shape (OrthoPolyLine +uid 1100,0 +va (VaSet +vasetType 3 +) +xt "34000,47000,37250,47000" +pts [ +"34000,47000" +"37250,47000" +] +) +end &54 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1105,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1106,0 +va (VaSet +font "courier,12,0" +) +xt "32000,45600,35500,46900" +st "reset" +blo "32000,46600" +tm "WireNameMgr" +) +) +on &4 +) +*79 (Wire +uid 1107,0 +shape (OrthoPolyLine +uid 1108,0 +va (VaSet +vasetType 3 +) +xt "34000,45000,37250,45000" +pts [ +"34000,45000" +"37250,45000" +] +) +end &53 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1113,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1114,0 +va (VaSet +font "courier,12,0" +) +xt "32000,43600,35500,44900" +st "clock" +blo "32000,44600" +tm "WireNameMgr" +) +) +on &2 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *80 (PackageList +uid 210,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 211,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,0,3500,900" +st "Package List" +blo "-3000,700" +) +*82 (MLText +uid 212,0 +va (VaSet +) +xt "-3000,1000,15600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.ALL;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 213,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 214,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,30000,900" +st "Compiler Directives" +blo "20000,700" +) +*84 (Text +uid 215,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,31500,1900" +st "Pre-module directives:" +blo "20000,1700" +) +*85 (MLText +uid 216,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*86 (Text +uid 217,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,32000,4900" +st "Post-module directives:" +blo "20000,4700" +) +*87 (MLText +uid 218,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*88 (Text +uid 219,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,31500,5900" +st "End-module directives:" +blo "20000,5700" +) +*89 (MLText +uid 220,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "367,41,1424,909" +viewArea "-4497,-1499,104998,72675" +cachedDiagramExtent "-3000,0,103000,66000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +paperWidth 595 +paperHeight 842 +unixPaperWidth 595 +unixPaperHeight 842 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "A4 (210mm x 297mm)" +unixPaperName "A4 (210mm x 297mm)" +windowsPaperName "A4" +scale 75 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-3000,0" +lastUid 1358,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*90 (Text +va (VaSet +) +xt "2450,3500,5550,4500" +st "" +blo "2450,4300" +tm "BdLibraryNameMgr" +) +*91 (Text +va (VaSet +) +xt "2450,4500,5150,5500" +st "" +blo "2450,5300" +tm "BlkNameMgr" +) +*92 (Text +va (VaSet +) +xt "2450,5500,3050,6500" +st "I0" +blo "2450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "2450,13500,2450,13500" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +va (VaSet +) +xt "1000,3500,3300,4500" +st "Library" +blo "1000,4300" +) +*94 (Text +va (VaSet +) +xt "1000,4500,7000,5500" +st "MWComponent" +blo "1000,5300" +) +*95 (Text +va (VaSet +) +xt "1000,5500,1600,6500" +st "I0" +blo "1000,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6000,1500,-6000,1500" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +va (VaSet +) +xt "1250,3500,3550,4500" +st "Library" +blo "1250,4300" +tm "BdLibraryNameMgr" +) +*97 (Text +va (VaSet +) +xt "1250,4500,6750,5500" +st "SaComponent" +blo "1250,5300" +tm "CptNameMgr" +) +*98 (Text +va (VaSet +) +xt "1250,5500,1850,6500" +st "I0" +blo "1250,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-5750,1500,-5750,1500" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +va (VaSet +) +xt "950,3500,3250,4500" +st "Library" +blo "950,4300" +) +*100 (Text +va (VaSet +) +xt "950,4500,7050,5500" +st "VhdlComponent" +blo "950,5300" +) +*101 (Text +va (VaSet +) +xt "950,5500,1550,6500" +st "I0" +blo "950,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6050,1500,-6050,1500" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-50,0,8050,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*102 (Text +va (VaSet +) +xt "450,3500,2750,4500" +st "Library" +blo "450,4300" +) +*103 (Text +va (VaSet +) +xt "450,4500,7550,5500" +st "VerilogComponent" +blo "450,5300" +) +*104 (Text +va (VaSet +) +xt "450,5500,1050,6500" +st "I0" +blo "450,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-6550,1500,-6550,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*105 (Text +va (VaSet +) +xt "3400,4000,4600,5000" +st "eb1" +blo "3400,4800" +tm "HdlTextNameMgr" +) +*106 (Text +va (VaSet +) +xt "3400,5000,3800,6000" +st "1" +blo "3400,5800" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,2600,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +xt "0,0,5400,1000" +st "Auto list" +) +second (MLText +va (VaSet +) +xt "0,1000,10800,2000" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*107 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*108 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1450" +) +num (Text +va (VaSet +) +xt "350,250,750,1250" +st "1" +blo "350,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*109 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*110 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1400,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,5400,3500,6300" +st "Declarations" +blo "-3000,6100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,6300,0,7200" +st "Ports:" +blo "-3000,7000" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,19800,1500,20700" +st "Pre User:" +blo "-3000,20500" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-3000,5400,-3000,5400" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,20700,5500,21600" +st "Diagram Signals:" +blo "-3000,21400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "-3000,21600,2500,22500" +st "Post User:" +blo "-3000,22300" +) +postUserText (MLText +uid 8,0 +va (VaSet +font "courier,8,0" +) +xt "-3000,5400,-3000,5400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 24,0 +usingSuid 1 +emptyRow *111 (LEmptyRow +) +uid 652,0 +optionalChildren [ +*112 (RefLabelRowHdr +) +*113 (TitleRowHdr +) +*114 (FilterRowHdr +) +*115 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*116 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*117 (GroupColHdr +tm "GroupColHdrMgr" +) +*118 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*119 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*120 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*121 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*122 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*123 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*124 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 627,0 +) +*125 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 629,0 +) +*126 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "txWr1" +t "std_ulogic" +o 13 +suid 13,0 +) +) +uid 751,0 +) +*127 (LeafLogPort +port (LogicalPort +decl (Decl +n "rxData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 14,0 +) +) +uid 753,0 +) +*128 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "rxRd1" +t "std_ulogic" +o 9 +suid 15,0 +) +) +uid 755,0 +) +*129 (LeafLogPort +port (LogicalPort +decl (Decl +n "txFull1" +t "std_ulogic" +o 7 +suid 16,0 +) +) +uid 757,0 +) +*130 (LeafLogPort +port (LogicalPort +decl (Decl +n "rxEmpty1" +t "std_ulogic" +o 5 +suid 17,0 +) +) +uid 759,0 +) +*131 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "txData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 18,0 +) +) +uid 761,0 +) +*132 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "rxRd2" +t "std_ulogic" +o 10 +suid 19,0 +) +) +uid 763,0 +) +*133 (LeafLogPort +port (LogicalPort +decl (Decl +n "rxData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 20,0 +) +) +uid 765,0 +) +*134 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "txData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 21,0 +) +) +uid 767,0 +) +*135 (LeafLogPort +port (LogicalPort +decl (Decl +n "txFull2" +t "std_ulogic" +o 8 +suid 22,0 +) +) +uid 769,0 +) +*136 (LeafLogPort +port (LogicalPort +decl (Decl +n "rxEmpty2" +t "std_ulogic" +o 6 +suid 23,0 +) +) +uid 771,0 +) +*137 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "txWr2" +t "std_ulogic" +o 14 +suid 24,0 +) +) +uid 773,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 665,0 +optionalChildren [ +*138 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *139 (MRCItem +litem &111 +pos 14 +dimension 20 +) +uid 667,0 +optionalChildren [ +*140 (MRCItem +litem &112 +pos 0 +dimension 20 +uid 668,0 +) +*141 (MRCItem +litem &113 +pos 1 +dimension 23 +uid 669,0 +) +*142 (MRCItem +litem &114 +pos 2 +hidden 1 +dimension 20 +uid 670,0 +) +*143 (MRCItem +litem &124 +pos 0 +dimension 20 +uid 628,0 +) +*144 (MRCItem +litem &125 +pos 1 +dimension 20 +uid 630,0 +) +*145 (MRCItem +litem &126 +pos 6 +dimension 20 +uid 750,0 +) +*146 (MRCItem +litem &127 +pos 3 +dimension 20 +uid 752,0 +) +*147 (MRCItem +litem &128 +pos 5 +dimension 20 +uid 754,0 +) +*148 (MRCItem +litem &129 +pos 4 +dimension 20 +uid 756,0 +) +*149 (MRCItem +litem &130 +pos 2 +dimension 20 +uid 758,0 +) +*150 (MRCItem +litem &131 +pos 7 +dimension 20 +uid 760,0 +) +*151 (MRCItem +litem &132 +pos 8 +dimension 20 +uid 762,0 +) +*152 (MRCItem +litem &133 +pos 9 +dimension 20 +uid 764,0 +) +*153 (MRCItem +litem &134 +pos 10 +dimension 20 +uid 766,0 +) +*154 (MRCItem +litem &135 +pos 11 +dimension 20 +uid 768,0 +) +*155 (MRCItem +litem &136 +pos 12 +dimension 20 +uid 770,0 +) +*156 (MRCItem +litem &137 +pos 13 +dimension 20 +uid 772,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 671,0 +optionalChildren [ +*157 (MRCItem +litem &115 +pos 0 +dimension 20 +uid 672,0 +) +*158 (MRCItem +litem &117 +pos 1 +dimension 50 +uid 673,0 +) +*159 (MRCItem +litem &118 +pos 2 +dimension 100 +uid 674,0 +) +*160 (MRCItem +litem &119 +pos 3 +dimension 50 +uid 675,0 +) +*161 (MRCItem +litem &120 +pos 4 +dimension 100 +uid 676,0 +) +*162 (MRCItem +litem &121 +pos 5 +dimension 100 +uid 677,0 +) +*163 (MRCItem +litem &122 +pos 6 +dimension 50 +uid 678,0 +) +*164 (MRCItem +litem &123 +pos 7 +dimension 80 +uid 679,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 666,0 +vaOverrides [ +] +) +] +) +uid 651,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *165 (LEmptyRow +) +uid 681,0 +optionalChildren [ +*166 (RefLabelRowHdr +) +*167 (TitleRowHdr +) +*168 (FilterRowHdr +) +*169 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*170 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*171 (GroupColHdr +tm "GroupColHdrMgr" +) +*172 (NameColHdr +tm "GenericNameColHdrMgr" +) +*173 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*174 (InitColHdr +tm "GenericValueColHdrMgr" +) +*175 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*176 (EolColHdr +tm "GenericEolColHdrMgr" +) +*177 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 716,0 +) +*178 (LogGeneric +generic (GiElement +name "fifoDepth" +type "positive" +value "8" +) +uid 718,0 +) +*179 (LogGeneric +generic (GiElement +name "firstWordFallThrough" +type "boolean" +value "false" +e "first byte written into the FIFO immediately appears on the output" +) +uid 1428,0 +) +] +) +pdm (PhysicalDM +uid 693,0 +optionalChildren [ +*180 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *181 (MRCItem +litem &165 +pos 2 +dimension 20 +) +uid 695,0 +optionalChildren [ +*182 (MRCItem +litem &166 +pos 0 +dimension 20 +uid 696,0 +) +*183 (MRCItem +litem &167 +pos 1 +dimension 23 +uid 697,0 +) +*184 (MRCItem +litem &168 +pos 2 +hidden 1 +dimension 20 +uid 698,0 +) +*185 (MRCItem +litem &177 +pos 0 +dimension 20 +uid 715,0 +) +*186 (MRCItem +litem &178 +pos 1 +dimension 20 +uid 717,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 699,0 +optionalChildren [ +*187 (MRCItem +litem &169 +pos 0 +dimension 20 +uid 700,0 +) +*188 (MRCItem +litem &171 +pos 1 +dimension 50 +uid 701,0 +) +*189 (MRCItem +litem &172 +pos 2 +dimension 100 +uid 702,0 +) +*190 (MRCItem +litem &173 +pos 3 +dimension 100 +uid 703,0 +) +*191 (MRCItem +litem &174 +pos 4 +dimension 50 +uid 704,0 +) +*192 (MRCItem +litem &175 +pos 5 +dimension 50 +uid 705,0 +) +*193 (MRCItem +litem &176 +pos 6 +dimension 80 +uid 706,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 694,0 +vaOverrides [ +] +) +] +) +uid 680,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Memory/hds/fifo@bridge/symbol.sb b/Libs/Memory/hds/fifo@bridge/symbol.sb new file mode 100644 index 0000000..f4e8acb --- /dev/null +++ b/Libs/Memory/hds/fifo@bridge/symbol.sb @@ -0,0 +1,2251 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2019,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 313,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 315,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "txWr1" +t "std_ulogic" +o 13 +suid 12,0 +) +) +uid 316,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "rxData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 9,0 +) +) +uid 318,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "rxRd1" +t "std_ulogic" +o 9 +suid 11,0 +) +) +uid 319,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "txFull1" +t "std_ulogic" +o 7 +suid 10,0 +) +) +uid 321,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "rxEmpty1" +t "std_ulogic" +o 5 +suid 8,0 +) +) +uid 322,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 323,0 +) +*9 (RefLabelRowHdr +) +*10 (TitleRowHdr +) +*11 (FilterRowHdr +) +*12 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*13 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*14 (GroupColHdr +tm "GroupColHdrMgr" +) +*15 (NameColHdr +tm "NameColHdrMgr" +) +*16 (ModeColHdr +tm "ModeColHdrMgr" +) +*17 (TypeColHdr +tm "TypeColHdrMgr" +) +*18 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*19 (InitColHdr +tm "InitColHdrMgr" +) +*20 (EolColHdr +tm "EolColHdrMgr" +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "txData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 2013,0 +) +) +uid 411,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "rxRd2" +t "std_ulogic" +o 10 +suid 2014,0 +) +) +uid 413,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "rxData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 2015,0 +) +) +uid 415,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "txData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 2016,0 +) +) +uid 417,0 +) +*25 (LogPort +port (LogicalPort +decl (Decl +n "txFull2" +t "std_ulogic" +o 8 +suid 2017,0 +) +) +uid 419,0 +) +*26 (LogPort +port (LogicalPort +decl (Decl +n "rxEmpty2" +t "std_ulogic" +o 6 +suid 2018,0 +) +) +uid 421,0 +) +*27 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "txWr2" +t "std_ulogic" +o 14 +suid 2019,0 +) +) +uid 423,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 326,0 +optionalChildren [ +*28 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *29 (MRCItem +litem &1 +pos 14 +dimension 20 +) +uid 245,0 +optionalChildren [ +*30 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 248,0 +) +*31 (MRCItem +litem &10 +pos 1 +dimension 23 +uid 250,0 +) +*32 (MRCItem +litem &11 +pos 2 +hidden 1 +dimension 20 +uid 252,0 +) +*33 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 272,0 +) +*34 (MRCItem +litem &3 +pos 6 +dimension 20 +uid 273,0 +) +*35 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 275,0 +) +*36 (MRCItem +litem &5 +pos 5 +dimension 20 +uid 276,0 +) +*37 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 278,0 +) +*38 (MRCItem +litem &7 +pos 2 +dimension 20 +uid 279,0 +) +*39 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 280,0 +) +*40 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 412,0 +) +*41 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 414,0 +) +*42 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 416,0 +) +*43 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 418,0 +) +*44 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 420,0 +) +*45 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 422,0 +) +*46 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 424,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 246,0 +optionalChildren [ +*47 (MRCItem +litem &12 +pos 0 +dimension 20 +uid 254,0 +) +*48 (MRCItem +litem &14 +pos 1 +dimension 50 +uid 258,0 +) +*49 (MRCItem +litem &15 +pos 2 +dimension 100 +uid 260,0 +) +*50 (MRCItem +litem &16 +pos 3 +dimension 50 +uid 262,0 +) +*51 (MRCItem +litem &17 +pos 4 +dimension 100 +uid 264,0 +) +*52 (MRCItem +litem &18 +pos 5 +dimension 100 +uid 266,0 +) +*53 (MRCItem +litem &19 +pos 6 +dimension 50 +uid 268,0 +) +*54 (MRCItem +litem &20 +pos 7 +dimension 80 +uid 270,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 244,0 +vaOverrides [ +] +) +] +) +uid 312,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *55 (LEmptyRow +) +uid 328,0 +optionalChildren [ +*56 (RefLabelRowHdr +) +*57 (TitleRowHdr +) +*58 (FilterRowHdr +) +*59 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*60 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*61 (GroupColHdr +tm "GroupColHdrMgr" +) +*62 (NameColHdr +tm "GenericNameColHdrMgr" +) +*63 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*64 (InitColHdr +tm "GenericValueColHdrMgr" +) +*65 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*66 (EolColHdr +tm "GenericEolColHdrMgr" +) +*67 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 308,0 +) +*68 (LogGeneric +generic (GiElement +name "fifoDepth" +type "positive" +value "8" +) +uid 309,0 +) +*69 (LogGeneric +generic (GiElement +name "firstWordFallThrough" +type "boolean" +value "false" +e "first byte written into the FIFO immediately appears on the output" +) +uid 566,0 +) +] +) +pdm (PhysicalDM +uid 329,0 +optionalChildren [ +*70 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *71 (MRCItem +litem &55 +pos 2 +dimension 20 +) +uid 284,0 +optionalChildren [ +*72 (MRCItem +litem &56 +pos 0 +dimension 20 +uid 287,0 +) +*73 (MRCItem +litem &57 +pos 1 +dimension 23 +uid 289,0 +) +*74 (MRCItem +litem &58 +pos 2 +hidden 1 +dimension 20 +uid 291,0 +) +*75 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 310,0 +) +*76 (MRCItem +litem &68 +pos 1 +dimension 20 +uid 311,0 +) +*77 (MRCItem +litem &69 +pos 2 +dimension 20 +uid 565,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 285,0 +optionalChildren [ +*78 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 293,0 +) +*79 (MRCItem +litem &61 +pos 1 +dimension 50 +uid 297,0 +) +*80 (MRCItem +litem &62 +pos 2 +dimension 100 +uid 299,0 +) +*81 (MRCItem +litem &63 +pos 3 +dimension 100 +uid 301,0 +) +*82 (MRCItem +litem &64 +pos 4 +dimension 50 +uid 303,0 +) +*83 (MRCItem +litem &65 +pos 5 +dimension 50 +uid 305,0 +) +*84 (MRCItem +litem &66 +pos 6 +dimension 80 +uid 307,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 283,0 +vaOverrides [ +] +) +] +) +uid 327,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridge" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifoBridge" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Demo/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifoBridge" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridge/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "fifoBridge" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*85 (SymbolBody +uid 8,0 +optionalChildren [ +*86 (CptPort +uid 173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,32625,40000,33375" +) +tg (CPTG +uid 175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 176,0 +va (VaSet +font "courier,9,0" +) +xt "41000,32400,44400,33600" +st "clock" +blo "41000,33400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 177,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7800,18000,8700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*87 (CptPort +uid 178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,34625,40000,35375" +) +tg (CPTG +uid 180,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 181,0 +va (VaSet +font "courier,9,0" +) +xt "41000,34400,44300,35600" +st "reset" +blo "41000,35400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8700,18000,9600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*88 (CptPort +uid 215,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 216,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,6625,40000,7375" +) +tg (CPTG +uid 217,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 218,0 +va (VaSet +font "courier,9,0" +) +xt "41000,6400,46600,7600" +st "rxEmpty1" +blo "41000,7400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 219,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,18000,12300" +st "rxEmpty1 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "rxEmpty1" +t "std_ulogic" +o 5 +suid 8,0 +) +) +) +*89 (CptPort +uid 220,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 448,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,4625,40000,5375" +) +tg (CPTG +uid 222,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 223,0 +va (VaSet +font "courier,9,0" +) +xt "41000,4400,45800,5600" +st "rxData1" +blo "41000,5400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 224,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9600,32500,10500" +st "rxData1 : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "rxData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 9,0 +) +) +) +*90 (CptPort +uid 225,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 226,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,12625,40000,13375" +) +tg (CPTG +uid 227,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 228,0 +va (VaSet +font "courier,9,0" +) +xt "41000,12400,45200,13600" +st "txFull1" +blo "41000,13400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 229,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13200,18000,14100" +st "txFull1 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "txFull1" +t "std_ulogic" +o 7 +suid 10,0 +) +) +) +*91 (CptPort +uid 230,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 231,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,8625,40000,9375" +) +tg (CPTG +uid 232,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 233,0 +va (VaSet +font "courier,9,0" +) +xt "41000,8400,44700,9600" +st "rxRd1" +blo "41000,9400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 234,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15000,18000,15900" +st "rxRd1 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "rxRd1" +t "std_ulogic" +o 9 +suid 11,0 +) +) +) +*92 (CptPort +uid 235,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 236,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,14625,40000,15375" +) +tg (CPTG +uid 237,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 238,0 +va (VaSet +font "courier,9,0" +) +xt "41000,14400,44700,15600" +st "txWr1" +blo "41000,15400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 239,0 +va (VaSet +font "courier,8,0" +) +xt "2000,18600,18000,19500" +st "txWr1 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "txWr1" +t "std_ulogic" +o 13 +suid 12,0 +) +) +) +*93 (CptPort +uid 376,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 449,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,10625,40000,11375" +) +tg (CPTG +uid 378,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 379,0 +va (VaSet +font "courier,9,0" +) +xt "41000,10400,45800,11600" +st "txData1" +blo "41000,11400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 380,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16800,32500,17700" +st "txData1 : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "txData1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 2013,0 +) +) +) +*94 (CptPort +uid 381,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 382,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,22625,40000,23375" +) +tg (CPTG +uid 383,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 384,0 +va (VaSet +font "courier,9,0" +) +xt "41000,22400,44700,23600" +st "rxRd2" +blo "41000,23400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 385,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15900,18000,16800" +st "rxRd2 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "rxRd2" +t "std_ulogic" +o 10 +suid 2014,0 +) +) +) +*95 (CptPort +uid 386,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 387,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,18625,40000,19375" +) +tg (CPTG +uid 388,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 389,0 +va (VaSet +font "courier,9,0" +) +xt "41000,18400,45800,19600" +st "rxData2" +blo "41000,19400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 390,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10500,32500,11400" +st "rxData2 : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "rxData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 2015,0 +) +) +) +*96 (CptPort +uid 391,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 392,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,24625,40000,25375" +) +tg (CPTG +uid 393,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 394,0 +va (VaSet +font "courier,9,0" +) +xt "41000,24400,45800,25600" +st "txData2" +blo "41000,25400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 395,0 +va (VaSet +font "courier,8,0" +) +xt "2000,17700,32500,18600" +st "txData2 : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "txData2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 2016,0 +) +) +) +*97 (CptPort +uid 396,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 397,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,26625,40000,27375" +) +tg (CPTG +uid 398,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 399,0 +va (VaSet +font "courier,9,0" +) +xt "41000,26400,45200,27600" +st "txFull2" +blo "41000,27400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 400,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14100,18000,15000" +st "txFull2 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "txFull2" +t "std_ulogic" +o 8 +suid 2017,0 +) +) +) +*98 (CptPort +uid 401,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 402,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,20625,40000,21375" +) +tg (CPTG +uid 403,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 404,0 +va (VaSet +font "courier,9,0" +) +xt "41000,20400,46600,21600" +st "rxEmpty2" +blo "41000,21400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 405,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,18000,13200" +st "rxEmpty2 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "rxEmpty2" +t "std_ulogic" +o 6 +suid 2018,0 +) +) +) +*99 (CptPort +uid 406,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 407,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,28625,40000,29375" +) +tg (CPTG +uid 408,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 409,0 +va (VaSet +font "courier,9,0" +) +xt "41000,28400,44700,29600" +st "txWr2" +blo "41000,29400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 410,0 +va (VaSet +font "courier,8,0" +) +xt "2000,19500,17000,20400" +st "txWr2 : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "txWr2" +t "std_ulogic" +o 14 +suid 2019,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,1000,56000,37000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "40600,36800,43600,37700" +st "Memory" +blo "40600,37500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "40600,37700,46100,38600" +st "fifoBridge" +blo "40600,38400" +) +) +gi *100 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "40000,39800,93000,44300" +st "Generic Declarations + +dataBitNb positive 8 +fifoDepth positive 8 +firstWordFallThrough boolean false --first byte written into the FIFO immediately appears on the output " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "fifoDepth" +type "positive" +value "8" +) +(GiElement +name "firstWordFallThrough" +type "boolean" +value "false" +e "first byte written into the FIFO immediately appears on the output" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*101 (Grouping +uid 16,0 +optionalChildren [ +*102 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*103 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*104 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*105 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*106 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*107 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*108 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*109 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*110 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*111 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *112 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*113 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*114 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "165,83,1343,971" +viewArea "-1071,-1071,74572,59980" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *115 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *116 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,5800,5400,6800" +st "Declarations" +blo "0,6600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6800,2700,7800" +st "Ports:" +blo "0,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,20400,2500,21300" +st "User:" +blo "0,21100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,5800,5800,6800" +st "Internal User:" +blo "0,6600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,21300,2000,21300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,5800,0,5800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 589,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/fifo@bridge@bus@width@adaption/struct.bd b/Libs/Memory/hds/fifo@bridge@bus@width@adaption/struct.bd new file mode 100644 index 0000000..ede687a --- /dev/null +++ b/Libs/Memory/hds/fifo@bridge@bus@width@adaption/struct.bd @@ -0,0 +1,3912 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +frameInstances [ +(FrameInstance +name "g_txbigger0" +style 1 +insts [ +(Instance +name "Txbigger0" +duLibraryName "Memory" +duName "fifoBridgeRxToTxBusWidthAdaptionTxbigger" +elements [ +(GiElement +name "dataBitNbRx" +type "positive" +value "dataBitNbRx" +) +(GiElement +name "dataBitNbTx" +type "positive" +value "dataBitNbTx" +) +] +mwi 0 +uid 251,0 +) +] +) +(FrameInstance +name "g_rxbigger0" +style 1 +insts [ +(Instance +name "Rxbigger0" +duLibraryName "Memory" +duName "fifoBridgeRxToTxBusWidthAdaptionRxBigger" +elements [ +(GiElement +name "dataBitNbRx" +type "positive" +value "dataBitNbRx" +) +(GiElement +name "dataBitNbTx" +type "positive" +value "dataBitNbTx" +) +] +mwi 0 +uid 241,0 +) +] +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeBusWidthAdaption" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifoBridgeBusWidthAdaption" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_LIBS_DIR/Memory/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifoBridgeBusWidthAdaption" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeBusWidthAdaption/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$DESIGN_SCRATCH_DIR\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "fifoBridgeBusWidthAdaption" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 184,0 +optionalChildren [ +*1 (PortIoOut +uid 9,0 +shape (CompositeShape +uid 10,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 11,0 +sl 0 +ro 270 +xt "60500,15625,62000,16375" +) +(Line +uid 12,0 +sl 0 +ro 270 +xt "60000,16000,60500,16000" +pts [ +"60000,16000" +"60500,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 13,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 14,0 +va (VaSet +) +xt "63000,15500,65600,16500" +st "dataTx" +blo "63000,16300" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 21,0 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 1,0 +) +declText (MLText +uid 22,0 +va (VaSet +font "courier,8,0" +) +xt "22000,2000,48000,2900" +st "dataTx : std_ulogic_vector(dataBitNbTx-1 DOWNTO 0)" +) +) +*3 (PortIoIn +uid 23,0 +shape (CompositeShape +uid 24,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 25,0 +sl 0 +ro 270 +xt "-2000,15625,-500,16375" +) +(Line +uid 26,0 +sl 0 +ro 270 +xt "-500,16000,0,16000" +pts [ +"-500,16000" +"0,16000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 27,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 28,0 +va (VaSet +) +xt "-5700,15500,-3000,16500" +st "dataRx" +ju 2 +blo "-3000,16300" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 35,0 +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 2,0 +) +declText (MLText +uid 36,0 +va (VaSet +font "courier,8,0" +) +xt "22000,2800,48000,3700" +st "dataRx : std_ulogic_vector(dataBitNbRx-1 DOWNTO 0)" +) +) +*5 (PortIoIn +uid 37,0 +shape (CompositeShape +uid 38,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 39,0 +sl 0 +ro 90 +xt "60500,17625,62000,18375" +) +(Line +uid 40,0 +sl 0 +ro 90 +xt "60000,18000,60500,18000" +pts [ +"60500,18000" +"60000,18000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 41,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 42,0 +va (VaSet +) +xt "63000,17500,65200,18500" +st "fullTx" +blo "63000,18300" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 49,0 +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 3,0 +) +declText (MLText +uid 50,0 +va (VaSet +font "courier,8,0" +) +xt "22000,3600,32500,4500" +st "fullTx : std_ulogic" +) +) +*7 (PortIoOut +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "60500,19625,62000,20375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "60000,20000,60500,20000" +pts [ +"60000,20000" +"60500,20000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +) +xt "63000,19500,65800,20500" +st "writeTx" +blo "63000,20300" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 63,0 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "courier,8,0" +) +xt "22000,4400,32500,5300" +st "writeTx : std_ulogic" +) +) +*9 (PortIoIn +uid 65,0 +shape (CompositeShape +uid 66,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 67,0 +sl 0 +ro 270 +xt "-2000,17625,-500,18375" +) +(Line +uid 68,0 +sl 0 +ro 270 +xt "-500,18000,0,18000" +pts [ +"-500,18000" +"0,18000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 69,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 70,0 +va (VaSet +) +xt "-6200,17500,-3000,18500" +st "emptyRx" +ju 2 +blo "-3000,18300" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 77,0 +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 5,0 +) +declText (MLText +uid 78,0 +va (VaSet +font "courier,8,0" +) +xt "22000,5200,32500,6100" +st "emptyRx : std_ulogic" +) +) +*11 (PortIoIn +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 270 +xt "-2000,47625,-500,48375" +) +(Line +uid 82,0 +sl 0 +ro 270 +xt "-500,48000,0,48000" +pts [ +"-500,48000" +"0,48000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "-5100,47500,-3000,48500" +st "reset" +ju 2 +blo "-3000,48300" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 91,0 +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "courier,8,0" +) +xt "22000,6000,32500,6900" +st "reset : std_uLogic" +) +) +*13 (PortIoOut +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 90 +xt "-2000,19625,-500,20375" +) +(Line +uid 96,0 +sl 0 +ro 90 +xt "-500,20000,0,20000" +pts [ +"0,20000" +"-500,20000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +) +xt "-5800,19500,-3000,20500" +st "readRx" +ju 2 +blo "-3000,20300" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 105,0 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 7,0 +) +declText (MLText +uid 106,0 +va (VaSet +font "courier,8,0" +) +xt "22000,6800,32500,7700" +st "readRx : std_ulogic" +) +) +*15 (PortIoIn +uid 107,0 +shape (CompositeShape +uid 108,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 109,0 +sl 0 +ro 270 +xt "-2000,46625,-500,47375" +) +(Line +uid 110,0 +sl 0 +ro 270 +xt "-500,47000,0,47000" +pts [ +"-500,47000" +"0,47000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 111,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +) +xt "-5100,46500,-3000,47500" +st "clock" +ju 2 +blo "-3000,47300" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 119,0 +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 8,0 +) +declText (MLText +uid 120,0 +va (VaSet +font "courier,8,0" +) +xt "22000,7600,32500,8500" +st "clock : std_ulogic" +) +) +*17 (Grouping +uid 141,0 +optionalChildren [ +*18 (CommentText +uid 143,0 +shape (Rectangle +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,-1000,14000,0" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 145,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,-1000,14000,0" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*19 (CommentText +uid 146,0 +shape (Rectangle +uid 147,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,-5000,18000,-4000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 148,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "14200,-5000,17800,-4000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*20 (CommentText +uid 149,0 +shape (Rectangle +uid 150,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,-3000,14000,-2000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 151,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,-3000,13400,-2000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*21 (CommentText +uid 152,0 +shape (Rectangle +uid 153,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-7000,-3000,-3000,-2000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 154,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-6800,-3000,-3200,-2000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*22 (CommentText +uid 155,0 +shape (Rectangle +uid 156,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,-4000,34000,0" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 157,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "14200,-3800,27400,-2800" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*23 (CommentText +uid 158,0 +shape (Rectangle +uid 159,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,-5000,34000,-4000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 160,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "18200,-5000,20000,-4000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*24 (CommentText +uid 161,0 +shape (Rectangle +uid 162,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-7000,-5000,14000,-3000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 163,0 +va (VaSet +fg "32768,0,0" +) +xt "-1000,-4500,8000,-3500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*25 (CommentText +uid 164,0 +shape (Rectangle +uid 165,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-7000,-2000,-3000,-1000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 166,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-6800,-2000,-3800,-1000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*26 (CommentText +uid 167,0 +shape (Rectangle +uid 168,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-7000,-1000,-3000,0" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 169,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-6800,-1000,-3200,0" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*27 (CommentText +uid 170,0 +shape (Rectangle +uid 171,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-3000,-2000,14000,-1000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 172,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-2800,-2000,7400,-1000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 142,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "-7000,-5000,34000,0" +) +oxt "14000,66000,55000,71000" +) +*28 (Blk +uid 241,0 +shape (Rectangle +uid 242,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "29000,15000,37000,26000" +) +ttg (MlTextGroup +uid 243,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*29 (Text +uid 244,0 +va (VaSet +font "courier,8,1" +) +xt "29300,20500,32600,21500" +st "Memory" +blo "29300,21300" +tm "BdLibraryNameMgr" +) +*30 (Text +uid 245,0 +va (VaSet +font "courier,8,1" +) +xt "29300,21500,48400,22500" +st "fifoBridgeRxToTxBusWidthAdaptionRxBigger" +blo "29300,22300" +tm "BlkNameMgr" +) +*31 (Text +uid 246,0 +va (VaSet +font "courier,8,1" +) +xt "29300,22500,33500,23500" +st "Rxbigger0" +blo "29300,23300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 247,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 248,0 +text (MLText +uid 249,0 +va (VaSet +font "courier,8,0" +) +xt "29000,13400,51000,15200" +st "dataBitNbRx = dataBitNbRx ( positive ) +dataBitNbTx = dataBitNbTx ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNbRx" +type "positive" +value "dataBitNbRx" +) +(GiElement +name "dataBitNbTx" +type "positive" +value "dataBitNbTx" +) +] +) +viewicon (ZoomableIcon +uid 250,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "29250,24250,30750,25750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*32 (Blk +uid 251,0 +shape (Rectangle +uid 252,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "29000,33000,37000,44000" +) +oxt "29000,30000,37000,41000" +ttg (MlTextGroup +uid 253,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 254,0 +va (VaSet +font "courier,8,1" +) +xt "29300,38500,32600,39500" +st "Memory" +blo "29300,39300" +tm "BdLibraryNameMgr" +) +*34 (Text +uid 255,0 +va (VaSet +font "courier,8,1" +) +xt "29300,39500,48200,40500" +st "fifoBridgeRxToTxBusWidthAdaptionTxbigger" +blo "29300,40300" +tm "BlkNameMgr" +) +*35 (Text +uid 256,0 +va (VaSet +font "courier,8,1" +) +xt "29300,40500,33400,41500" +st "Txbigger0" +blo "29300,41300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 257,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 258,0 +text (MLText +uid 259,0 +va (VaSet +font "courier,8,0" +) +xt "29000,31400,51000,33200" +st "dataBitNbRx = dataBitNbRx ( positive ) +dataBitNbTx = dataBitNbTx ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNbRx" +type "positive" +value "dataBitNbRx" +) +(GiElement +name "dataBitNbTx" +type "positive" +value "dataBitNbTx" +) +] +) +viewicon (ZoomableIcon +uid 260,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "29250,42250,30750,43750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*36 (Frame +uid 416,0 +shape (RectFrame +uid 417,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "5000,30000,59000,45000" +) +title (TextAssociate +uid 418,0 +ps "TopLeftStrategy" +text (MLText +uid 419,0 +va (VaSet +) +xt "5350,28500,35950,29500" +st "g_txbigger0: IF dataBitNbTx > dataBitNbRx GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +uid 420,0 +ps "TopLeftStrategy" +shape (Rectangle +uid 421,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "5400,30300,6600,31700" +) +num (Text +uid 422,0 +va (VaSet +) +xt "5600,30500,6400,31500" +st "2" +blo "5600,31300" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +uid 423,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*37 (Text +uid 424,0 +va (VaSet +font "courier,8,1" +) +xt "53000,45000,60900,46000" +st "Frame Declarations" +blo "53000,45800" +) +*38 (MLText +uid 425,0 +va (VaSet +) +xt "53000,46000,53000,46000" +tm "BdFrameDeclTextMgr" +) +] +) +style 1 +) +*39 (Frame +uid 426,0 +shape (RectFrame +uid 427,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "5000,13000,59000,27000" +) +title (TextAssociate +uid 428,0 +ps "TopLeftStrategy" +text (MLText +uid 429,0 +va (VaSet +) +xt "5350,11500,36550,12500" +st "g_rxbigger0: IF dataBitNbRx >= dataBitNbTx GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +uid 430,0 +ps "TopLeftStrategy" +shape (Rectangle +uid 431,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "5400,13300,6600,14700" +) +num (Text +uid 432,0 +va (VaSet +) +xt "5600,13500,6400,14500" +st "1" +blo "5600,14300" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +uid 433,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*40 (Text +uid 434,0 +va (VaSet +font "courier,8,1" +) +xt "53000,27000,60900,28000" +st "Frame Declarations" +blo "53000,27800" +) +*41 (MLText +uid 435,0 +va (VaSet +) +xt "53000,28000,53000,28000" +tm "BdFrameDeclTextMgr" +) +] +) +style 1 +) +*42 (Wire +uid 15,0 +optionalChildren [ +*43 (BdJunction +uid 339,0 +ps "OnConnectorStrategy" +shape (Circle +uid 340,0 +va (VaSet +vasetType 1 +) +xt "41600,15600,42400,16400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 16,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "37000,16000,60000,16000" +pts [ +"60000,16000" +"37000,16000" +] +) +start &1 +end &28 +sat 32 +eat 2 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 19,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 20,0 +va (VaSet +isHidden 1 +) +xt "46000,15000,56000,16000" +st "dataTx : (dataBitNbTx-1:0)" +blo "46000,15800" +tm "WireNameMgr" +) +) +on &2 +) +*44 (Wire +uid 29,0 +optionalChildren [ +*45 (BdJunction +uid 331,0 +ps "OnConnectorStrategy" +shape (Circle +uid 332,0 +va (VaSet +vasetType 1 +) +xt "23600,15600,24400,16400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 30,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,16000,29000,16000" +pts [ +"0,16000" +"29000,16000" +] +) +start &3 +end &28 +sat 32 +eat 1 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 33,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 34,0 +va (VaSet +isHidden 1 +) +xt "2000,15000,12200,16000" +st "dataRx : (dataBitNbRx-1:0)" +blo "2000,15800" +tm "WireNameMgr" +) +) +on &4 +) +*46 (Wire +uid 43,0 +optionalChildren [ +*47 (BdJunction +uid 347,0 +ps "OnConnectorStrategy" +shape (Circle +uid 348,0 +va (VaSet +vasetType 1 +) +xt "42600,17600,43400,18400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 44,0 +va (VaSet +vasetType 3 +) +xt "37000,18000,60000,18000" +pts [ +"60000,18000" +"37000,18000" +] +) +start &5 +end &28 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 47,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 48,0 +va (VaSet +isHidden 1 +) +xt "49000,17000,51200,18000" +st "fullTx" +blo "49000,17800" +tm "WireNameMgr" +) +) +on &6 +) +*48 (Wire +uid 57,0 +optionalChildren [ +*49 (BdJunction +uid 355,0 +ps "OnConnectorStrategy" +shape (Circle +uid 356,0 +va (VaSet +vasetType 1 +) +xt "43600,19600,44400,20400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +) +xt "37000,20000,60000,20000" +pts [ +"60000,20000" +"37000,20000" +] +) +start &7 +end &28 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +isHidden 1 +) +xt "46000,19000,48800,20000" +st "writeTx" +blo "46000,19800" +tm "WireNameMgr" +) +) +on &8 +) +*50 (Wire +uid 71,0 +optionalChildren [ +*51 (BdJunction +uid 323,0 +ps "OnConnectorStrategy" +shape (Circle +uid 324,0 +va (VaSet +vasetType 1 +) +xt "22600,17600,23400,18400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "0,18000,29000,18000" +pts [ +"0,18000" +"29000,18000" +] +) +start &9 +end &28 +sat 32 +eat 1 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +isHidden 1 +) +xt "2000,17000,5200,18000" +st "emptyRx" +blo "2000,17800" +tm "WireNameMgr" +) +) +on &10 +) +*52 (Wire +uid 85,0 +optionalChildren [ +*53 (BdJunction +uid 283,0 +ps "OnConnectorStrategy" +shape (Circle +uid 284,0 +va (VaSet +vasetType 1 +) +xt "26600,47600,27400,48400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "0,48000,65000,48000" +pts [ +"0,48000" +"65000,48000" +] +) +start &11 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +isHidden 1 +) +xt "2000,47000,4100,48000" +st "reset" +blo "2000,47800" +tm "WireNameMgr" +) +) +on &12 +) +*54 (Wire +uid 99,0 +optionalChildren [ +*55 (BdJunction +uid 315,0 +ps "OnConnectorStrategy" +shape (Circle +uid 316,0 +va (VaSet +vasetType 1 +) +xt "21600,19600,22400,20400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +) +xt "0,20000,29000,20000" +pts [ +"0,20000" +"29000,20000" +] +) +start &13 +end &28 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +isHidden 1 +) +xt "19000,19000,21800,20000" +st "readRx" +blo "19000,19800" +tm "WireNameMgr" +) +) +on &14 +) +*56 (Wire +uid 113,0 +optionalChildren [ +*57 (BdJunction +uid 299,0 +ps "OnConnectorStrategy" +shape (Circle +uid 300,0 +va (VaSet +vasetType 1 +) +xt "25600,46600,26400,47400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +) +xt "0,47000,65000,47000" +pts [ +"0,47000" +"65000,47000" +] +) +start &15 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +isHidden 1 +) +xt "2000,46000,4100,47000" +st "clock" +blo "2000,46800" +tm "WireNameMgr" +) +) +on &16 +) +*58 (Wire +uid 277,0 +optionalChildren [ +*59 (BdJunction +uid 291,0 +ps "OnConnectorStrategy" +shape (Circle +uid 292,0 +va (VaSet +vasetType 1 +) +xt "26600,41600,27400,42400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 278,0 +va (VaSet +vasetType 3 +) +xt "27000,24000,29000,48000" +pts [ +"27000,48000" +"27000,24000" +"29000,24000" +] +) +start &53 +end &28 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 281,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 282,0 +va (VaSet +) +xt "26000,23000,28100,24000" +st "reset" +blo "26000,23800" +tm "WireNameMgr" +) +) +on &12 +) +*60 (Wire +uid 285,0 +shape (OrthoPolyLine +uid 286,0 +va (VaSet +vasetType 3 +) +xt "27000,42000,29000,42000" +pts [ +"27000,42000" +"29000,42000" +] +) +start &59 +end &32 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 289,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 290,0 +va (VaSet +) +xt "26000,41000,28100,42000" +st "reset" +blo "26000,41800" +tm "WireNameMgr" +) +) +on &12 +) +*61 (Wire +uid 293,0 +optionalChildren [ +*62 (BdJunction +uid 307,0 +ps "OnConnectorStrategy" +shape (Circle +uid 308,0 +va (VaSet +vasetType 1 +) +xt "25600,39600,26400,40400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 294,0 +va (VaSet +vasetType 3 +) +xt "26000,22000,29000,47000" +pts [ +"26000,47000" +"26000,22000" +"29000,22000" +] +) +start &57 +end &28 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 297,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 298,0 +va (VaSet +) +xt "26000,21000,28100,22000" +st "clock" +blo "26000,21800" +tm "WireNameMgr" +) +) +on &16 +) +*63 (Wire +uid 301,0 +shape (OrthoPolyLine +uid 302,0 +va (VaSet +vasetType 3 +) +xt "26000,40000,29000,40000" +pts [ +"26000,40000" +"29000,40000" +] +) +start &62 +end &32 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 305,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 306,0 +va (VaSet +) +xt "26000,39000,28100,40000" +st "clock" +blo "26000,39800" +tm "WireNameMgr" +) +) +on &16 +) +*64 (Wire +uid 309,0 +shape (OrthoPolyLine +uid 310,0 +va (VaSet +vasetType 3 +) +xt "22000,20000,29000,38000" +pts [ +"22000,20000" +"22000,38000" +"29000,38000" +] +) +start &55 +end &32 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 313,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 314,0 +va (VaSet +) +xt "25000,37000,27800,38000" +st "readRx" +blo "25000,37800" +tm "WireNameMgr" +) +) +on &14 +) +*65 (Wire +uid 317,0 +shape (OrthoPolyLine +uid 318,0 +va (VaSet +vasetType 3 +) +xt "23000,18000,29000,36000" +pts [ +"23000,18000" +"23000,36000" +"29000,36000" +] +) +start &51 +end &32 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 321,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 322,0 +va (VaSet +) +xt "25000,35000,28200,36000" +st "emptyRx" +blo "25000,35800" +tm "WireNameMgr" +) +) +on &10 +) +*66 (Wire +uid 325,0 +shape (OrthoPolyLine +uid 326,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "24000,16000,29000,34000" +pts [ +"24000,16000" +"24000,34000" +"29000,34000" +] +) +start &45 +end &32 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 329,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 330,0 +va (VaSet +) +xt "25000,33000,27700,34000" +st "dataRx" +blo "25000,33800" +tm "WireNameMgr" +) +) +on &4 +) +*67 (Wire +uid 333,0 +shape (OrthoPolyLine +uid 334,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "37000,16000,42000,34000" +pts [ +"42000,16000" +"42000,34000" +"37000,34000" +] +) +start &43 +end &32 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 337,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 338,0 +va (VaSet +) +xt "39000,33000,41600,34000" +st "dataTx" +blo "39000,33800" +tm "WireNameMgr" +) +) +on &2 +) +*68 (Wire +uid 341,0 +shape (OrthoPolyLine +uid 342,0 +va (VaSet +vasetType 3 +) +xt "37000,18000,43000,36000" +pts [ +"43000,18000" +"43000,36000" +"37000,36000" +] +) +start &47 +end &32 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 345,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 346,0 +va (VaSet +) +xt "39000,35000,41200,36000" +st "fullTx" +blo "39000,35800" +tm "WireNameMgr" +) +) +on &6 +) +*69 (Wire +uid 349,0 +shape (OrthoPolyLine +uid 350,0 +va (VaSet +vasetType 3 +) +xt "37000,20000,44000,38000" +pts [ +"44000,20000" +"44000,38000" +"37000,38000" +] +) +start &49 +end &32 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 353,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 354,0 +va (VaSet +) +xt "39000,37000,41800,38000" +st "writeTx" +blo "39000,37800" +tm "WireNameMgr" +) +) +on &8 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *70 (PackageList +uid 173,0 +stg "VerticalLayoutStrategy" +textVec [ +*71 (Text +uid 174,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*72 (MLText +uid 175,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 176,0 +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +uid 177,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*74 (Text +uid 178,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*75 (MLText +uid 179,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*76 (Text +uid 180,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*77 (MLText +uid 181,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*78 (Text +uid 182,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*79 (MLText +uid 183,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "54,0,1681,1050" +viewArea "-19800,-14500,85125,52625" +cachedDiagramExtent "-7000,-5000,65800,48500" +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,-49000" +lastUid 917,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,3500,5800,4500" +st "" +blo "2200,4300" +tm "BdLibraryNameMgr" +) +*81 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,4500,5600,5500" +st "" +blo "2200,5300" +tm "BlkNameMgr" +) +*82 (Text +va (VaSet +font "courier,8,1" +) +xt "2200,5500,4000,6500" +st "U_0" +blo "2200,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +va (VaSet +font "courier,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*84 (Text +va (VaSet +font "courier,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*85 (Text +va (VaSet +font "courier,8,1" +) +xt "550,5500,2350,6500" +st "U_0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +va (VaSet +font "courier,8,1" +) +xt "900,3500,3800,4500" +st "Library" +blo "900,4300" +tm "BdLibraryNameMgr" +) +*87 (Text +va (VaSet +font "courier,8,1" +) +xt "900,4500,7100,5500" +st "SaComponent" +blo "900,5300" +tm "CptNameMgr" +) +*88 (Text +va (VaSet +font "courier,8,1" +) +xt "900,5500,2700,6500" +st "U_0" +blo "900,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*89 (Text +va (VaSet +font "courier,8,1" +) +xt "500,3500,3400,4500" +st "Library" +blo "500,4300" +) +*90 (Text +va (VaSet +font "courier,8,1" +) +xt "500,4500,7500,5500" +st "VhdlComponent" +blo "500,5300" +) +*91 (Text +va (VaSet +font "courier,8,1" +) +xt "500,5500,2300,6500" +st "U_0" +blo "500,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*92 (Text +va (VaSet +font "courier,8,1" +) +xt "50,3500,2950,4500" +st "Library" +blo "50,4300" +) +*93 (Text +va (VaSet +font "courier,8,1" +) +xt "50,4500,7950,5500" +st "VerilogComponent" +blo "50,5300" +) +*94 (Text +va (VaSet +font "courier,8,1" +) +xt "50,5500,1850,6500" +st "U_0" +blo "50,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*95 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,4000,4850,5000" +st "eb1" +blo "3150,4800" +tm "HdlTextNameMgr" +) +*96 (Text +va (VaSet +font "courier,8,1" +) +xt "3150,5000,3950,6000" +st "1" +blo "3150,5800" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +) +xt "200,200,2600,1200" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,1" +) +xt "-500,-500,500,500" +st "G" +blo "-500,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,1900,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +) +xt "0,0,2400,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +) +) +second (MLText +va (VaSet +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*97 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*98 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*100 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +) +xt "0,750,1800,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "20000,0,25400,1000" +st "Declarations" +blo "20000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "20000,1000,22700,2000" +st "Ports:" +blo "20000,1800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,23800,1000" +st "Pre User:" +blo "20000,800" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "20000,8400,27100,9400" +st "Diagram Signals:" +blo "20000,9200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,24700,1000" +st "Post User:" +blo "20000,800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "20000,0,20000,0" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 8,0 +usingSuid 1 +emptyRow *101 (LEmptyRow +) +uid 186,0 +optionalChildren [ +*102 (RefLabelRowHdr +) +*103 (TitleRowHdr +) +*104 (FilterRowHdr +) +*105 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*106 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*107 (GroupColHdr +tm "GroupColHdrMgr" +) +*108 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*109 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*110 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*111 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*112 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*113 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*114 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 121,0 +) +*115 (LeafLogPort +port (LogicalPort +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 123,0 +) +*116 (LeafLogPort +port (LogicalPort +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 125,0 +) +*117 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 127,0 +) +*118 (LeafLogPort +port (LogicalPort +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 129,0 +) +*119 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 6,0 +) +) +uid 131,0 +) +*120 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 7,0 +) +) +uid 133,0 +) +*121 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 135,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 199,0 +optionalChildren [ +*122 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *123 (MRCItem +litem &101 +pos 8 +dimension 20 +) +uid 201,0 +optionalChildren [ +*124 (MRCItem +litem &102 +pos 0 +dimension 20 +uid 202,0 +) +*125 (MRCItem +litem &103 +pos 1 +dimension 23 +uid 203,0 +) +*126 (MRCItem +litem &104 +pos 2 +hidden 1 +dimension 20 +uid 204,0 +) +*127 (MRCItem +litem &114 +pos 1 +dimension 20 +uid 122,0 +) +*128 (MRCItem +litem &115 +pos 2 +dimension 20 +uid 124,0 +) +*129 (MRCItem +litem &116 +pos 4 +dimension 20 +uid 126,0 +) +*130 (MRCItem +litem &117 +pos 7 +dimension 20 +uid 128,0 +) +*131 (MRCItem +litem &118 +pos 3 +dimension 20 +uid 130,0 +) +*132 (MRCItem +litem &119 +pos 6 +dimension 20 +uid 132,0 +) +*133 (MRCItem +litem &120 +pos 5 +dimension 20 +uid 134,0 +) +*134 (MRCItem +litem &121 +pos 0 +dimension 20 +uid 136,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 205,0 +optionalChildren [ +*135 (MRCItem +litem &105 +pos 0 +dimension 20 +uid 206,0 +) +*136 (MRCItem +litem &107 +pos 1 +dimension 50 +uid 207,0 +) +*137 (MRCItem +litem &108 +pos 2 +dimension 100 +uid 208,0 +) +*138 (MRCItem +litem &109 +pos 3 +dimension 50 +uid 209,0 +) +*139 (MRCItem +litem &110 +pos 4 +dimension 100 +uid 210,0 +) +*140 (MRCItem +litem &111 +pos 5 +dimension 100 +uid 211,0 +) +*141 (MRCItem +litem &112 +pos 6 +dimension 50 +uid 212,0 +) +*142 (MRCItem +litem &113 +pos 7 +dimension 80 +uid 213,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 200,0 +vaOverrides [ +] +) +] +) +uid 185,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *143 (LEmptyRow +) +uid 215,0 +optionalChildren [ +*144 (RefLabelRowHdr +) +*145 (TitleRowHdr +) +*146 (FilterRowHdr +) +*147 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*148 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*149 (GroupColHdr +tm "GroupColHdrMgr" +) +*150 (NameColHdr +tm "GenericNameColHdrMgr" +) +*151 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*152 (InitColHdr +tm "GenericValueColHdrMgr" +) +*153 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*154 (EolColHdr +tm "GenericEolColHdrMgr" +) +*155 (LogGeneric +generic (GiElement +name "dataBitNbRx" +type "positive" +value "1" +) +uid 137,0 +) +*156 (LogGeneric +generic (GiElement +name "dataBitNbTx" +type "positive" +value "1" +) +uid 139,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 227,0 +optionalChildren [ +*157 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *158 (MRCItem +litem &143 +pos 2 +dimension 20 +) +uid 229,0 +optionalChildren [ +*159 (MRCItem +litem &144 +pos 0 +dimension 20 +uid 230,0 +) +*160 (MRCItem +litem &145 +pos 1 +dimension 23 +uid 231,0 +) +*161 (MRCItem +litem &146 +pos 2 +hidden 1 +dimension 20 +uid 232,0 +) +*162 (MRCItem +litem &155 +pos 0 +dimension 20 +uid 138,0 +) +*163 (MRCItem +litem &156 +pos 1 +dimension 20 +uid 140,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 233,0 +optionalChildren [ +*164 (MRCItem +litem &147 +pos 0 +dimension 20 +uid 234,0 +) +*165 (MRCItem +litem &149 +pos 1 +dimension 50 +uid 235,0 +) +*166 (MRCItem +litem &150 +pos 2 +dimension 100 +uid 236,0 +) +*167 (MRCItem +litem &151 +pos 3 +dimension 100 +uid 237,0 +) +*168 (MRCItem +litem &152 +pos 4 +dimension 50 +uid 238,0 +) +*169 (MRCItem +litem &153 +pos 5 +dimension 50 +uid 239,0 +) +*170 (MRCItem +litem &154 +pos 6 +dimension 80 +uid 240,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 228,0 +vaOverrides [ +] +) +] +) +uid 214,0 +type 1 +) +activeModelName "BlockDiag" +frameCount 2 +) diff --git a/Libs/Memory/hds/fifo@bridge@bus@width@adaption/symbol.sb b/Libs/Memory/hds/fifo@bridge@bus@width@adaption/symbol.sb new file mode 100644 index 0000000..344ccbd --- /dev/null +++ b/Libs/Memory/hds/fifo@bridge@bus@width@adaption/symbol.sb @@ -0,0 +1,1810 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 8,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 53,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 1,0 +) +) +uid 148,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 150,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +uid 152,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 4,0 +) +) +uid 154,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 5,0 +) +) +uid 156,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 6,0 +) +) +uid 158,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 7,0 +) +) +uid 160,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 8,0 +) +) +uid 162,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 66,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 68,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 69,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 70,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 71,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 149,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 151,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 153,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 155,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 157,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 159,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 161,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 163,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 72,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 73,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 74,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 75,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 76,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 77,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 78,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 79,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 67,0 +vaOverrides [ +] +) +] +) +uid 52,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 82,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNbRx" +type "positive" +value "1" +) +uid 188,0 +) +*56 (LogGeneric +generic (GiElement +name "dataBitNbTx" +type "positive" +value "1" +) +uid 190,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 96,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 97,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 98,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 189,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 191,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 101,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 102,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 103,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 104,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 105,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 106,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 107,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 81,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeBusWidthAdaption" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifoBridgeBusWidthAdaption" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifoBridgeBusWidthAdaption" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@bus@width@adaption/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeBusWidthAdaption/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "fifoBridgeBusWidthAdaption" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 108,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 109,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,21625,15000,22375" +) +tg (CPTG +uid 110,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 111,0 +va (VaSet +) +xt "16000,21500,18100,22500" +st "clock" +blo "16000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 112,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,58500,9200" +st "clock : IN std_ulogic " +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 1,0 +) +) +) +*73 (CptPort +uid 113,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 114,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,15625,23750,16375" +) +tg (CPTG +uid 115,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 116,0 +va (VaSet +) +xt "19400,15500,22000,16500" +st "dataTx" +ju 2 +blo "22000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 117,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,75000,2900" +st "dataTx : OUT std_ulogic_vector (dataBitNbTx-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*74 (CptPort +uid 118,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 119,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,15625,15000,16375" +) +tg (CPTG +uid 120,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 121,0 +va (VaSet +) +xt "16000,15500,18700,16500" +st "dataRx" +blo "16000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 122,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,75000,3800" +st "dataRx : IN std_ulogic_vector (dataBitNbRx-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 3,0 +) +) +) +*75 (CptPort +uid 123,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 124,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,17625,15000,18375" +) +tg (CPTG +uid 125,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 126,0 +va (VaSet +) +xt "16000,17500,19200,18500" +st "emptyRx" +blo "16000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 127,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,59500,6500" +st "emptyRx : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 4,0 +) +) +) +*76 (CptPort +uid 128,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 129,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,17625,23750,18375" +) +tg (CPTG +uid 130,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 131,0 +va (VaSet +) +xt "19800,17500,22000,18500" +st "fullTx" +ju 2 +blo "22000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 132,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,59500,4700" +st "fullTx : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 5,0 +) +) +) +*77 (CptPort +uid 133,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 134,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,19625,15000,20375" +) +tg (CPTG +uid 135,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 136,0 +va (VaSet +) +xt "16000,19500,18800,20500" +st "readRx" +blo "16000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 137,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,59500,8300" +st "readRx : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 6,0 +) +) +) +*78 (CptPort +uid 138,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 139,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,23625,15000,24375" +) +tg (CPTG +uid 140,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 141,0 +va (VaSet +) +xt "16000,23500,18100,24500" +st "reset" +blo "16000,24300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 142,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,59500,7400" +st "reset : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 7,0 +) +) +) +*79 (CptPort +uid 143,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 144,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,19625,23750,20375" +) +tg (CPTG +uid 145,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 146,0 +va (VaSet +) +xt "19200,19500,22000,20500" +st "writeTx" +ju 2 +blo "22000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 147,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,59500,5600" +st "writeTx : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 187,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,14000,23000,26000" +) +oxt "15000,6000,33000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "15600,26000,18600,26900" +st "Memory" +blo "15600,26700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "15600,26900,29100,27800" +st "fifoBridgeBusWidthAdaption" +blo "15600,27600" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "15000,10800,27500,14400" +st "Generic Declarations + +dataBitNbRx positive 1 +dataBitNbTx positive 1 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNbRx" +type "positive" +value "1" +) +(GiElement +name "dataBitNbTx" +type "positive" +value "1" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*81 (Grouping +uid 16,0 +optionalChildren [ +*82 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-27000,-1000,-10000,0" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-26800,-1000,-11800,0" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-10000,-5000,-6000,-4000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-9800,-5000,-6200,-4000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-27000,-3000,-10000,-2000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-26800,-3000,-10600,-2000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-31000,-3000,-27000,-2000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-30800,-3000,-27200,-2000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-10000,-4000,10000,0" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-9800,-3800,3400,-2800" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-6000,-5000,10000,-4000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-5800,-5000,-4000,-4000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-31000,-5000,-10000,-3000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "-25000,-4500,-16000,-3500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-31000,-2000,-27000,-1000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-30800,-2000,-27800,-1000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-31000,-1000,-27000,0" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-30800,-1000,-27200,0" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-27000,-2000,-10000,-1000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-26800,-2000,-16600,-1000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "-31000,-5000,10000,0" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *92 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*94 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,6000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; +USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "54,0,1681,1050" +viewArea "-39700,-13800,65825,53925" +cachedDiagramExtent "-31000,-5000,76500,28000" +hasePageBreakOrigin 1 +pageBreakOrigin "-31000,-49000" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *95 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *96 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,9200,44500,10100" +st "User:" +blo "42000,9900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,44000,10100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 308,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/fifo@bridge@rx@to@tx/symbol.sb b/Libs/Memory/hds/fifo@bridge@rx@to@tx/symbol.sb new file mode 100644 index 0000000..de73111 --- /dev/null +++ b/Libs/Memory/hds/fifo@bridge@rx@to@tx/symbol.sb @@ -0,0 +1,1875 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +ordering 1 +suid 2008,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 309,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "wr2" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 310,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 311,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "full2" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 312,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "rd1" +t "std_ulogic" +o 8 +suid 8,0 +) +) +uid 313,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "data1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 7,0 +) +) +uid 314,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 315,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "empty1" +t "std_ulogic" +o 7 +suid 6,0 +) +) +uid 316,0 +) +*9 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "data2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 317,0 +) +*10 (RefLabelRowHdr +) +*11 (TitleRowHdr +) +*12 (FilterRowHdr +) +*13 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*14 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*15 (GroupColHdr +tm "GroupColHdrMgr" +) +*16 (NameColHdr +tm "NameColHdrMgr" +) +*17 (ModeColHdr +tm "ModeColHdrMgr" +) +*18 (TypeColHdr +tm "TypeColHdrMgr" +) +*19 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*20 (InitColHdr +tm "InitColHdrMgr" +) +*21 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 318,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 245,0 +optionalChildren [ +*24 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 248,0 +) +*25 (MRCItem +litem &11 +pos 1 +dimension 23 +uid 250,0 +) +*26 (MRCItem +litem &12 +pos 2 +hidden 1 +dimension 20 +uid 252,0 +) +*27 (MRCItem +litem &2 +pos 4 +dimension 20 +uid 271,0 +) +*28 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 272,0 +) +*29 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 273,0 +) +*30 (MRCItem +litem &5 +pos 7 +dimension 20 +uid 274,0 +) +*31 (MRCItem +litem &6 +pos 5 +dimension 20 +uid 275,0 +) +*32 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 276,0 +) +*33 (MRCItem +litem &8 +pos 6 +dimension 20 +uid 277,0 +) +*34 (MRCItem +litem &9 +pos 2 +dimension 20 +uid 278,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 246,0 +optionalChildren [ +*35 (MRCItem +litem &13 +pos 0 +dimension 20 +uid 254,0 +) +*36 (MRCItem +litem &15 +pos 1 +dimension 50 +uid 258,0 +) +*37 (MRCItem +litem &16 +pos 2 +dimension 100 +uid 260,0 +) +*38 (MRCItem +litem &17 +pos 3 +dimension 50 +uid 262,0 +) +*39 (MRCItem +litem &18 +pos 4 +dimension 100 +uid 264,0 +) +*40 (MRCItem +litem &19 +pos 5 +dimension 100 +uid 266,0 +) +*41 (MRCItem +litem &20 +pos 6 +dimension 50 +uid 268,0 +) +*42 (MRCItem +litem &21 +pos 7 +dimension 80 +uid 270,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 244,0 +vaOverrides [ +] +) +] +) +uid 308,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 320,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 304,0 +) +*56 (LogGeneric +generic (GiElement +name "fifoDepth" +type "positive" +value "8" +) +uid 305,0 +) +*57 (LogGeneric +generic (GiElement +name "firstWordFallThrough" +type "boolean" +value "false" +e "first byte written into the FIFO immediately appears on the output" +) +uid 370,0 +) +] +) +pdm (PhysicalDM +uid 321,0 +optionalChildren [ +*58 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *59 (MRCItem +litem &43 +pos 3 +dimension 20 +) +uid 280,0 +optionalChildren [ +*60 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 283,0 +) +*61 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 285,0 +) +*62 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 287,0 +) +*63 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 306,0 +) +*64 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 307,0 +) +*65 (MRCItem +litem &57 +pos 2 +dimension 20 +uid 371,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 281,0 +optionalChildren [ +*66 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 289,0 +) +*67 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 293,0 +) +*68 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 295,0 +) +*69 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 297,0 +) +*70 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 299,0 +) +*71 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 301,0 +) +*72 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 303,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 279,0 +vaOverrides [ +] +) +] +) +uid 319,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeRxToTx" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifoBridgeRxToTx" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:14" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "U:/ELN_Board/Synthesis" +) +(vvPair +variable "library_downstream_Generic_1_file" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Demo/designcheck" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "library_downstream_SpyGlass" +value "D:\\Users\\FCo HEVs\\Projets\\OCT\\Technique\\VHDL\\Synthesis" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifoBridgeRxToTx" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeRxToTx/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:14" +) +(vvPair +variable "unit" +value "fifoBridgeRxToTx" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*73 (SymbolBody +uid 8,0 +optionalChildren [ +*74 (CptPort +uid 173,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 174,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,21625,35000,22375" +) +tg (CPTG +uid 175,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 176,0 +va (VaSet +font "courier,9,0" +) +xt "36000,21400,39400,22600" +st "clock" +blo "36000,22400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 177,0 +va (VaSet +font "courier,8,0" +) +xt "2000,7800,17000,8700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*75 (CptPort +uid 178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,23625,35000,24375" +) +tg (CPTG +uid 180,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 181,0 +va (VaSet +font "courier,9,0" +) +xt "36000,23400,39300,24600" +st "reset" +blo "36000,24400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 182,0 +va (VaSet +font "courier,8,0" +) +xt "2000,8700,17000,9600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*76 (CptPort +uid 188,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 322,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,13625,51750,14375" +) +tg (CPTG +uid 190,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 191,0 +va (VaSet +font "courier,9,0" +) +xt "46400,13400,50000,14600" +st "data2" +ju 2 +blo "50000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 192,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9600,31500,10500" +st "data2 : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "data2" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*77 (CptPort +uid 198,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 241,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,15625,51750,16375" +) +tg (CPTG +uid 200,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 201,0 +va (VaSet +font "courier,9,0" +) +xt "47100,15400,50000,16600" +st "full2" +ju 2 +blo "50000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 202,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10500,17000,11400" +st "full2 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "full2" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*78 (CptPort +uid 208,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 243,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,17625,51750,18375" +) +tg (CPTG +uid 210,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 211,0 +va (VaSet +font "courier,9,0" +) +xt "47500,17400,50000,18600" +st "wr2" +ju 2 +blo "50000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 212,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11400,17000,12300" +st "wr2 : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "wr2" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*79 (CptPort +uid 215,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 216,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,15625,35000,16375" +) +tg (CPTG +uid 217,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 218,0 +va (VaSet +font "courier,9,0" +) +xt "36000,15400,40500,16600" +st "empty1" +blo "36000,16400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 219,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13200,17000,14100" +st "empty1 : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "empty1" +t "std_ulogic" +o 7 +suid 6,0 +) +) +) +*80 (CptPort +uid 220,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 323,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,13625,35000,14375" +) +tg (CPTG +uid 222,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 223,0 +va (VaSet +font "courier,9,0" +) +xt "36000,13400,39600,14600" +st "data1" +blo "36000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 224,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12300,31500,13200" +st "data1 : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "data1" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 7,0 +) +) +) +*81 (CptPort +uid 230,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 231,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,17625,35000,18375" +) +tg (CPTG +uid 232,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 233,0 +va (VaSet +font "courier,9,0" +) +xt "36000,17400,38400,18600" +st "rd1" +blo "36000,18400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 234,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14100,16000,15000" +st "rd1 : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "rd1" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 81,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,10000,51000,26000" +) +oxt "15000,6000,35000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,9,1" +) +xt "35600,25800,38600,26700" +st "Memory" +blo "35600,26500" +) +second (Text +uid 12,0 +va (VaSet +font "courier,9,1" +) +xt "35600,26700,44100,27600" +st "fifoBridgeRxToTx" +blo "35600,27400" +) +) +gi *82 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "35000,28800,88000,33300" +st "Generic Declarations + +dataBitNb positive 8 +fifoDepth positive 8 +firstWordFallThrough boolean false --first byte written into the FIFO immediately appears on the output " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "fifoDepth" +type "positive" +value "8" +) +(GiElement +name "firstWordFallThrough" +type "boolean" +value "false" +e "first byte written into the FIFO immediately appears on the output" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*83 (Grouping +uid 16,0 +optionalChildren [ +*84 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,53000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*85 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*86 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*87 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*88 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*89 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,72800,45000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*90 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*91 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*92 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*93 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *94 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*95 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*96 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "-1428,42,-414,976" +viewArea "-1000,-1000,72284,71447" +cachedDiagramExtent "0,0,89500,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,35000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,9,1" +) +xt "22600,14800,27400,16000" +st "" +blo "22600,15800" +) +second (Text +va (VaSet +font "courier,9,1" +) +xt "22600,16000,25900,17200" +st "" +blo "22600,17000" +) +) +gi *97 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *98 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,5800,5400,6800" +st "Declarations" +blo "0,6600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,6800,2700,7800" +st "Ports:" +blo "0,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,15000,2500,15900" +st "User:" +blo "0,15700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,5800,5800,6800" +st "Internal User:" +blo "0,6600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15900,2000,15900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,5800,0,5800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 394,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@rx@bigger/interface b/Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@rx@bigger/interface new file mode 100644 index 0000000..841657b --- /dev/null +++ b/Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@rx@bigger/interface @@ -0,0 +1,1823 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 32,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 109,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 25,0 +) +) +uid 504,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 26,0 +) +) +uid 506,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 27,0 +) +) +uid 508,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 28,0 +) +) +uid 510,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 29,0 +) +) +uid 512,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 30,0 +) +) +uid 514,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 31,0 +) +) +uid 516,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 32,0 +) +) +uid 518,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 124,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 125,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 126,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 505,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 507,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 509,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 511,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 513,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 515,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 517,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 519,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 129,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 130,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 131,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 132,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 133,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 134,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 135,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 136,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 108,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 138,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNbRx" +type "positive" +value "1" +) +uid 187,0 +) +*56 (LogGeneric +generic (GiElement +name "dataBitNbTx" +type "positive" +value "1" +) +uid 189,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 152,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 153,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 154,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 188,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 190,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 157,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 158,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 159,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 160,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 161,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 162,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 163,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 137,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@rx@bigger/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@rx@bigger/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@rx@bigger" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeRxToTxBusWidthAdaptionRxBigger" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifoBridgeRxToTxBusWidthAdaptionRxBigger" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_LIBS_DIR/Memory/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifoBridgeRxToTxBusWidthAdaptionRxBigger" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@rx@bigger/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeRxToTxBusWidthAdaptionRxBigger/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$DESIGN_SCRATCH_DIR\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "fifoBridgeRxToTxBusWidthAdaptionRxBigger" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 107,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 464,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 465,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,12625,15000,13375" +) +tg (CPTG +uid 466,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 467,0 +va (VaSet +font "courier,8,0" +) +xt "16000,12550,18500,13450" +st "clock" +blo "16000,13250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 468,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,59500,2900" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 25,0 +) +) +) +*73 (CptPort +uid 469,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 470,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,6625,15000,7375" +) +tg (CPTG +uid 471,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 472,0 +va (VaSet +font "courier,8,0" +) +xt "16000,6550,19000,7450" +st "dataRx" +blo "16000,7250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 473,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,75000,3800" +st "dataRx : IN std_ulogic_vector (dataBitNbRx-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 26,0 +) +) +) +*74 (CptPort +uid 474,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 475,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,6625,23750,7375" +) +tg (CPTG +uid 476,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 477,0 +va (VaSet +font "courier,8,0" +) +xt "19000,6550,22000,7450" +st "dataTx" +ju 2 +blo "22000,7250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 478,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,75000,7400" +st "dataTx : OUT std_ulogic_vector (dataBitNbTx-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 27,0 +) +) +) +*75 (CptPort +uid 479,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 480,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,8625,15000,9375" +) +tg (CPTG +uid 481,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 482,0 +va (VaSet +font "courier,8,0" +) +xt "16000,8550,19500,9450" +st "emptyRx" +blo "16000,9250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 483,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,59500,4700" +st "emptyRx : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 28,0 +) +) +) +*76 (CptPort +uid 484,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 485,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,8625,23750,9375" +) +tg (CPTG +uid 486,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 487,0 +va (VaSet +font "courier,8,0" +) +xt "19000,8550,22000,9450" +st "fullTx" +ju 2 +blo "22000,9250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 488,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,59500,5600" +st "fullTx : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 29,0 +) +) +) +*77 (CptPort +uid 489,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 490,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 491,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 492,0 +va (VaSet +font "courier,8,0" +) +xt "16000,10550,19000,11450" +st "readRx" +blo "16000,11250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 493,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,59500,8300" +st "readRx : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 30,0 +) +) +) +*78 (CptPort +uid 494,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 495,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,14625,15000,15375" +) +tg (CPTG +uid 496,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 497,0 +va (VaSet +font "courier,8,0" +) +xt "16000,14550,18500,15450" +st "reset" +blo "16000,15250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 498,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,59500,6500" +st "reset : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 31,0 +) +) +) +*79 (CptPort +uid 499,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 500,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,10625,23750,11375" +) +tg (CPTG +uid 501,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 502,0 +va (VaSet +font "courier,8,0" +) +xt "18500,10550,22000,11450" +st "writeTx" +ju 2 +blo "22000,11250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 503,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,58500,9200" +st "writeTx : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 32,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,17000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "8750,10600,11750,11500" +st "Memory" +blo "8750,11300" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "8750,11500,29250,12400" +st "fifoBridgeRxToTxBusWidthAdaptionRxBigger" +blo "8750,12200" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "-5000,7500,7500,11100" +st "Generic Declarations + +dataBitNbRx positive 1 +dataBitNbTx positive 1 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNbRx" +type "positive" +value "1" +) +(GiElement +name "dataBitNbTx" +type "positive" +value "1" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*81 (Grouping +uid 16,0 +optionalChildren [ +*82 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *92 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*94 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "-1680,156,-663,846" +viewArea "-500,-500,71230,48370" +cachedDiagramExtent "-5000,0,76500,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-6000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Memory" +entityName "fifoBridgeBusWidthAdaption" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *95 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *96 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,9200,44500,10100" +st "User:" +blo "42000,9900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,44000,10100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 519,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@txbigger/interface b/Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@txbigger/interface new file mode 100644 index 0000000..e2805d9 --- /dev/null +++ b/Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@txbigger/interface @@ -0,0 +1,1823 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 40,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 109,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 33,0 +) +) +uid 583,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 34,0 +) +) +uid 585,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 35,0 +) +) +uid 587,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 36,0 +) +) +uid 589,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 37,0 +) +) +uid 591,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 38,0 +) +) +uid 593,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 39,0 +) +) +uid 595,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 40,0 +) +) +uid 597,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 124,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 125,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 126,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 584,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 586,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 588,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 590,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 592,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 594,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 596,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 598,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 129,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 130,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 131,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 132,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 133,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 134,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 135,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 136,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 108,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 138,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNbRx" +type "positive" +value "1" +) +uid 187,0 +) +*56 (LogGeneric +generic (GiElement +name "dataBitNbTx" +type "positive" +value "1" +) +uid 189,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 152,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 153,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 154,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 188,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 190,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 157,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 158,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 159,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 160,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 161,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 162,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 163,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 137,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@txbigger/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@txbigger/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@txbigger" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeRxToTxBusWidthAdaptionTxbigger" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifoBridgeRxToTxBusWidthAdaptionTxbigger" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_LIBS_DIR/Memory/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifoBridgeRxToTxBusWidthAdaptionTxbigger" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifo@bridge@rx@to@tx@bus@width@adaption@txbigger/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/fifoBridgeRxToTxBusWidthAdaptionTxbigger/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$DESIGN_SCRATCH_DIR\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "fifoBridgeRxToTxBusWidthAdaptionTxbigger" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 107,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 543,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 544,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,12625,15000,13375" +) +tg (CPTG +uid 545,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 546,0 +va (VaSet +font "courier,8,0" +) +xt "16000,12550,18500,13450" +st "clock" +blo "16000,13250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 547,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,59500,2900" +st "clock : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 8 +suid 33,0 +) +) +) +*73 (CptPort +uid 548,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 549,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,6625,15000,7375" +) +tg (CPTG +uid 550,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 551,0 +va (VaSet +font "courier,8,0" +) +xt "16000,6550,19000,7450" +st "dataRx" +blo "16000,7250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 552,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,75000,3800" +st "dataRx : IN std_ulogic_vector (dataBitNbRx-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "dataRx" +t "std_ulogic_vector" +b "(dataBitNbRx-1 DOWNTO 0)" +o 2 +suid 34,0 +) +) +) +*74 (CptPort +uid 553,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 554,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,6625,23750,7375" +) +tg (CPTG +uid 555,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 556,0 +va (VaSet +font "courier,8,0" +) +xt "19000,6550,22000,7450" +st "dataTx" +ju 2 +blo "22000,7250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 557,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,75000,7400" +st "dataTx : OUT std_ulogic_vector (dataBitNbTx-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataTx" +t "std_ulogic_vector" +b "(dataBitNbTx-1 DOWNTO 0)" +o 1 +suid 35,0 +) +) +) +*75 (CptPort +uid 558,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 559,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,8625,15000,9375" +) +tg (CPTG +uid 560,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 561,0 +va (VaSet +font "courier,8,0" +) +xt "16000,8550,19500,9450" +st "emptyRx" +blo "16000,9250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 562,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,59500,4700" +st "emptyRx : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "emptyRx" +t "std_ulogic" +o 5 +suid 36,0 +) +) +) +*76 (CptPort +uid 563,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 564,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,8625,23750,9375" +) +tg (CPTG +uid 565,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 566,0 +va (VaSet +font "courier,8,0" +) +xt "19000,8550,22000,9450" +st "fullTx" +ju 2 +blo "22000,9250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 567,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,59500,5600" +st "fullTx : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "fullTx" +t "std_ulogic" +o 3 +suid 37,0 +) +) +) +*77 (CptPort +uid 568,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 569,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 570,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 571,0 +va (VaSet +font "courier,8,0" +) +xt "16000,10550,19000,11450" +st "readRx" +blo "16000,11250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 572,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,59500,8300" +st "readRx : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "readRx" +t "std_ulogic" +o 7 +suid 38,0 +) +) +) +*78 (CptPort +uid 573,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 574,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,14625,15000,15375" +) +tg (CPTG +uid 575,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 576,0 +va (VaSet +font "courier,8,0" +) +xt "16000,14550,18500,15450" +st "reset" +blo "16000,15250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 577,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,59500,6500" +st "reset : IN std_uLogic ; +" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 6 +suid 39,0 +) +) +) +*79 (CptPort +uid 578,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 579,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,10625,23750,11375" +) +tg (CPTG +uid 580,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 581,0 +va (VaSet +font "courier,8,0" +) +xt "18500,10550,22000,11450" +st "writeTx" +ju 2 +blo "22000,11250" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 582,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,58500,9200" +st "writeTx : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "writeTx" +t "std_ulogic" +o 4 +suid 40,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,17000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "8750,10600,11750,11500" +st "Memory" +blo "8750,11300" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "8750,11500,29250,12400" +st "fifoBridgeRxToTxBusWidthAdaptionTxbigger" +blo "8750,12200" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "-5000,7500,7500,11100" +st "Generic Declarations + +dataBitNbRx positive 1 +dataBitNbTx positive 1 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNbRx" +type "positive" +value "1" +) +(GiElement +name "dataBitNbTx" +type "positive" +value "1" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*81 (Grouping +uid 16,0 +optionalChildren [ +*82 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *92 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*94 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "200,185,1217,875" +viewArea "-500,-500,71230,48370" +cachedDiagramExtent "-5000,0,76500,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-6000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Memory" +entityName "fifoBridgeBusWidthAdaption" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *95 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *96 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,9200,44500,10100" +st "User:" +blo "42000,9900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,44000,10100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 598,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/flash@controller/symbol.sb b/Libs/Memory/hds/flash@controller/symbol.sb new file mode 100644 index 0000000..2795c5f --- /dev/null +++ b/Libs/Memory/hds/flash@controller/symbol.sb @@ -0,0 +1,2518 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 44,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 186,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 20,0 +) +) +uid 397,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashDataValid" +t "std_ulogic" +o 14 +suid 21,0 +) +) +uid 399,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "flashAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 22,0 +) +) +uid 401,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 23,0 +) +) +uid 403,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "flashDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 24,0 +) +) +uid 405,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 25,0 +) +) +uid 407,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 26,0 +) +) +uid 409,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 27,0 +) +) +uid 411,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "flashEn" +t "std_ulogic" +o 5 +suid 28,0 +) +) +uid 413,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "flashRd" +t "std_ulogic" +o 6 +suid 29,0 +) +) +uid 415,0 +) +*24 (LogPort +port (LogicalPort +decl (Decl +n "flashWr" +t "std_ulogic" +o 7 +suid 30,0 +) +) +uid 417,0 +) +*25 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 31,0 +) +) +uid 419,0 +) +*26 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memRst_n" +t "std_ulogic" +o 15 +suid 33,0 +) +) +uid 423,0 +) +*27 (LogPort +port (LogicalPort +decl (Decl +n "flashSTS" +t "std_ulogic" +o 16 +suid 34,0 +) +) +uid 425,0 +) +*28 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashCE_n" +t "std_ulogic" +o 9 +suid 35,0 +) +) +uid 427,0 +) +*29 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memOE_n" +t "std_ulogic" +o 17 +suid 36,0 +) +) +uid 429,0 +) +*30 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 42,0 +) +) +uid 492,0 +) +*31 (LogPort +port (LogicalPort +decl (Decl +n "memBusEn_n" +t "std_ulogic" +o 18 +suid 44,0 +) +) +uid 726,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 199,0 +optionalChildren [ +*32 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *33 (MRCItem +litem &1 +pos 18 +dimension 20 +) +uid 201,0 +optionalChildren [ +*34 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 202,0 +) +*35 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 203,0 +) +*36 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 204,0 +) +*37 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 398,0 +) +*38 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 400,0 +) +*39 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 402,0 +) +*40 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 404,0 +) +*41 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 406,0 +) +*42 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 408,0 +) +*43 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 410,0 +) +*44 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 412,0 +) +*45 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 414,0 +) +*46 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 416,0 +) +*47 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 418,0 +) +*48 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 420,0 +) +*49 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 424,0 +) +*50 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 426,0 +) +*51 (MRCItem +litem &28 +pos 14 +dimension 20 +uid 428,0 +) +*52 (MRCItem +litem &29 +pos 15 +dimension 20 +uid 430,0 +) +*53 (MRCItem +litem &30 +pos 16 +dimension 20 +uid 493,0 +) +*54 (MRCItem +litem &31 +pos 17 +dimension 20 +uid 727,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 205,0 +optionalChildren [ +*55 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 206,0 +) +*56 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 207,0 +) +*57 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 208,0 +) +*58 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 209,0 +) +*59 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 210,0 +) +*60 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 211,0 +) +*61 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 212,0 +) +*62 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 213,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 200,0 +vaOverrides [ +] +) +] +) +uid 185,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *63 (LEmptyRow +) +uid 215,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "GenericNameColHdrMgr" +) +*71 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*72 (InitColHdr +tm "GenericValueColHdrMgr" +) +*73 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*74 (EolColHdr +tm "GenericEolColHdrMgr" +) +*75 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 542,0 +) +*76 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 544,0 +) +*77 (LogGeneric +generic (GiElement +name "chipAddressBitNb" +type "positive" +value "24" +) +uid 649,0 +) +*78 (LogGeneric +generic (GiElement +name "rdWaitState" +type "positive" +value "10" +e "< 66MHz * 160ns = 10.56" +) +uid 751,0 +) +*79 (LogGeneric +generic (GiElement +name "wrWaitState" +type "positive" +value "6" +e "> 66MHz * 90ns = 5.94" +) +uid 753,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 227,0 +optionalChildren [ +*80 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *81 (MRCItem +litem &63 +pos 5 +dimension 20 +) +uid 229,0 +optionalChildren [ +*82 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 230,0 +) +*83 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 231,0 +) +*84 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 232,0 +) +*85 (MRCItem +litem &75 +pos 0 +dimension 20 +uid 543,0 +) +*86 (MRCItem +litem &76 +pos 1 +dimension 20 +uid 545,0 +) +*87 (MRCItem +litem &77 +pos 2 +dimension 20 +uid 650,0 +) +*88 (MRCItem +litem &78 +pos 3 +dimension 20 +uid 752,0 +) +*89 (MRCItem +litem &79 +pos 4 +dimension 20 +uid 754,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 233,0 +optionalChildren [ +*90 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 234,0 +) +*91 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 235,0 +) +*92 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 236,0 +) +*93 (MRCItem +litem &71 +pos 3 +dimension 100 +uid 237,0 +) +*94 (MRCItem +litem &72 +pos 4 +dimension 50 +uid 238,0 +) +*95 (MRCItem +litem &73 +pos 5 +dimension 50 +uid 239,0 +) +*96 (MRCItem +litem &74 +pos 6 +dimension 157 +uid 240,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 228,0 +vaOverrides [ +] +) +] +) +uid 214,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/flash@controller/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/flash@controller/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/flash@controller" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/flashController" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "flashController" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:14" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Demo/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flashController" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/flash@controller/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/flashController/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:14" +) +(vvPair +variable "unit" +value "flashController" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 184,0 +optionalChildren [ +*97 (SymbolBody +uid 8,0 +optionalChildren [ +*98 (CptPort +uid 287,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 288,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,26625,37000,27375" +) +tg (CPTG +uid 289,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 290,0 +va (VaSet +) +xt "38000,26500,40100,27500" +st "clock" +blo "38000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 291,0 +va (VaSet +font "courier,8,0" +) +xt "0,12400,19000,13300" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 20,0 +) +) +) +*99 (CptPort +uid 292,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 517,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,18625,37000,19375" +) +tg (CPTG +uid 294,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 295,0 +va (VaSet +) +xt "38000,18500,43700,19500" +st "flashDataValid" +blo "38000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 296,0 +va (VaSet +font "courier,8,0" +) +xt "0,23200,19000,24100" +st "flashDataValid : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashDataValid" +t "std_ulogic" +o 14 +suid 21,0 +) +) +) +*100 (CptPort +uid 297,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 298,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,8625,37000,9375" +) +tg (CPTG +uid 299,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 300,0 +va (VaSet +) +xt "38000,8500,41600,9500" +st "flashAddr" +blo "38000,9300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 301,0 +va (VaSet +font "courier,8,0" +) +xt "0,13300,30500,14200" +st "flashAddr : IN unsigned (addressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "flashAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 22,0 +) +) +) +*101 (CptPort +uid 302,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 303,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,8625,53750,9375" +) +tg (CPTG +uid 304,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 305,0 +va (VaSet +) +xt "46800,8500,52000,9500" +st "memAddress" +ju 2 +blo "52000,9300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 306,0 +va (VaSet +font "courier,8,0" +) +xt "0,24100,38000,25000" +st "memAddress : OUT std_ulogic_vector ( chipAddressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 23,0 +) +) +) +*102 (CptPort +uid 307,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 308,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,12625,37000,13375" +) +tg (CPTG +uid 309,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 310,0 +va (VaSet +) +xt "38000,12500,43200,13500" +st "flashDataOut" +blo "38000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 311,0 +va (VaSet +font "courier,8,0" +) +xt "0,14200,33500,15100" +st "flashDataOut : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "flashDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 24,0 +) +) +) +*103 (CptPort +uid 312,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 313,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,10625,53750,11375" +) +tg (CPTG +uid 314,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 315,0 +va (VaSet +) +xt "47800,10500,52000,11500" +st "memDataIn" +ju 2 +blo "52000,11300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 316,0 +va (VaSet +font "courier,8,0" +) +xt "0,19600,33500,20500" +st "memDataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 25,0 +) +) +) +*104 (CptPort +uid 317,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 318,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,12625,53750,13375" +) +tg (CPTG +uid 319,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 320,0 +va (VaSet +) +xt "46800,12500,52000,13500" +st "memDataOut" +ju 2 +blo "52000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 321,0 +va (VaSet +font "courier,8,0" +) +xt "0,25000,33500,25900" +st "memDataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 26,0 +) +) +) +*105 (CptPort +uid 322,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 323,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,16625,53750,17375" +) +tg (CPTG +uid 324,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 325,0 +va (VaSet +) +xt "48200,16500,52000,17500" +st "memWr_n" +ju 2 +blo "52000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 326,0 +va (VaSet +font "courier,8,0" +) +xt "0,27700,18000,28600" +st "memWr_n : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 27,0 +) +) +) +*106 (CptPort +uid 327,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 328,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,24625,37000,25375" +) +tg (CPTG +uid 329,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 330,0 +va (VaSet +) +xt "38000,24500,40900,25500" +st "flashEn" +blo "38000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 331,0 +va (VaSet +font "courier,8,0" +) +xt "0,15100,19000,16000" +st "flashEn : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "flashEn" +t "std_ulogic" +o 5 +suid 28,0 +) +) +) +*107 (CptPort +uid 332,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 333,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,14625,37000,15375" +) +tg (CPTG +uid 334,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 335,0 +va (VaSet +) +xt "38000,14500,41000,15500" +st "flashRd" +blo "38000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 336,0 +va (VaSet +font "courier,8,0" +) +xt "0,16000,19000,16900" +st "flashRd : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "flashRd" +t "std_ulogic" +o 6 +suid 29,0 +) +) +) +*108 (CptPort +uid 337,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 338,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,16625,37000,17375" +) +tg (CPTG +uid 339,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 340,0 +va (VaSet +) +xt "38000,16500,41000,17500" +st "flashWr" +blo "38000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 341,0 +va (VaSet +font "courier,8,0" +) +xt "0,17800,19000,18700" +st "flashWr : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "flashWr" +t "std_ulogic" +o 7 +suid 30,0 +) +) +) +*109 (CptPort +uid 342,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 343,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,28625,37000,29375" +) +tg (CPTG +uid 344,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 345,0 +va (VaSet +) +xt "38000,28500,40100,29500" +st "reset" +blo "38000,29300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 346,0 +va (VaSet +font "courier,8,0" +) +xt "0,20500,19000,21400" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 31,0 +) +) +) +*110 (CptPort +uid 352,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 353,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,20625,53750,21375" +) +tg (CPTG +uid 354,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 355,0 +va (VaSet +) +xt "48000,20500,52000,21500" +st "memRst_n" +ju 2 +blo "52000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 356,0 +va (VaSet +font "courier,8,0" +) +xt "0,26800,19000,27700" +st "memRst_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memRst_n" +t "std_ulogic" +o 15 +suid 33,0 +) +) +) +*111 (CptPort +uid 357,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 674,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,22625,53750,23375" +) +tg (CPTG +uid 359,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 360,0 +va (VaSet +) +xt "48500,22500,52000,23500" +st "flashSTS" +ju 2 +blo "52000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 361,0 +va (VaSet +font "courier,8,0" +) +xt "0,16900,19000,17800" +st "flashSTS : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "flashSTS" +t "std_ulogic" +o 16 +suid 34,0 +) +) +) +*112 (CptPort +uid 362,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 363,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,14625,53750,15375" +) +tg (CPTG +uid 364,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 365,0 +va (VaSet +) +xt "48100,14500,52000,15500" +st "flashCE_n" +ju 2 +blo "52000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 366,0 +va (VaSet +font "courier,8,0" +) +xt "0,21400,19000,22300" +st "flashCE_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashCE_n" +t "std_ulogic" +o 9 +suid 35,0 +) +) +) +*113 (CptPort +uid 367,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 368,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "53000,18625,53750,19375" +) +tg (CPTG +uid 369,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 370,0 +va (VaSet +) +xt "48100,18500,52000,19500" +st "memOE_n" +ju 2 +blo "52000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 371,0 +va (VaSet +font "courier,8,0" +) +xt "0,25900,19000,26800" +st "memOE_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memOE_n" +t "std_ulogic" +o 17 +suid 36,0 +) +) +) +*114 (CptPort +uid 487,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 518,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,10625,37000,11375" +) +tg (CPTG +uid 489,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 490,0 +va (VaSet +) +xt "38000,10500,42600,11500" +st "flashDataIn" +blo "38000,11300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 491,0 +va (VaSet +font "courier,8,0" +) +xt "0,22300,33500,23200" +st "flashDataIn : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 42,0 +) +) +) +*115 (CptPort +uid 721,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 722,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36250,22625,37000,23375" +) +tg (CPTG +uid 723,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 724,0 +va (VaSet +) +xt "38000,22500,43400,23500" +st "memBusEn_n" +blo "38000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 725,0 +va (VaSet +font "courier,8,0" +) +xt "0,18700,19000,19600" +st "memBusEn_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "memBusEn_n" +t "std_ulogic" +o 18 +suid 44,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "37000,5000,53000,31000" +) +oxt "15000,6000,31000,34000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "37550,31500,40550,32400" +st "Memory" +blo "37550,32200" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "37550,32400,45550,33300" +st "flashController" +blo "37550,33100" +) +) +gi *116 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "37000,35200,65000,41500" +st "Generic Declarations + +addressBitNb positive 24 +dataBitNb positive 16 +chipAddressBitNb positive 24 +rdWaitState positive 10 --< 66MHz * 160ns = 10.56 +wrWaitState positive 6 --> 66MHz * 90ns = 5.94 +" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "24" +) +(GiElement +name "rdWaitState" +type "positive" +value "10" +e "< 66MHz * 160ns = 10.56" +) +(GiElement +name "wrWaitState" +type "positive" +value "6" +e "> 66MHz * 90ns = 5.94" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*117 (Grouping +uid 16,0 +optionalChildren [ +*118 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,49200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*119 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*120 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*121 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*122 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*123 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*124 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*125 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*126 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*127 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,48600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *128 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*129 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*130 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "38,97,1401,992" +viewArea "-3000,-1000,73045,48580" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *131 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *132 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,10400,3400,11400" +st "Declarations" +blo "-2000,11200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,11400,700,12400" +st "Ports:" +blo "-2000,12200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,28600,500,29500" +st "User:" +blo "-2000,29300" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,10400,3800,11400" +st "Internal User:" +blo "-2000,11200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,29500,0,29500" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,10400,-2000,10400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 800,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/sdram@controller/struct.bd b/Libs/Memory/hds/sdram@controller/struct.bd new file mode 100644 index 0000000..d8adef0 --- /dev/null +++ b/Libs/Memory/hds/sdram@controller/struct.bd @@ -0,0 +1,9775 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "U_5" +duLibraryName "memory" +duName "sdramControllerSR" +elements [ +] +mwi 0 +uid 2332,0 +) +(Instance +name "U_6" +duLibraryName "memory" +duName "sdramControllerSR" +elements [ +] +mwi 0 +uid 2398,0 +) +(Instance +name "U_2" +duLibraryName "memory" +duName "sdramControllerTimingsShiftRegister" +elements [ +(GiElement +name "maxDelayPeriodNb" +type "positive" +value "maxDelayPeriodNb" +) +] +mwi 0 +uid 3238,0 +) +(Instance +name "U_4" +duLibraryName "memory" +duName "sdramControllerStoreData" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 3306,0 +) +(Instance +name "U_7" +duLibraryName "memory" +duName "sdramControllerSampleDataIn" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 3336,0 +) +(Instance +name "U_1" +duLibraryName "memory" +duName "sdramControllerRefreshCounter" +elements [ +(GiElement +name "delayCounterBitNb" +type "positive" +value "delayCounterBitNb" +) +(GiElement +name "refreshPeriodNb" +type "positive" +value "refreshPeriodNb" +) +] +mwi 0 +uid 3568,0 +) +(Instance +name "U_3" +duLibraryName "memory" +duName "sdramControllerBuildAddress" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "chipAddressBitNb" +) +(GiElement +name "chipBankAddressBitNb" +type "positive" +value "chipBankAddressBitNb" +) +(GiElement +name "rowAddressBitNb" +type "positive" +value "rowAddressBitNb" +) +(GiElement +name "colAddressBitNb" +type "positive" +value "colAddressBitNb" +) +] +mwi 0 +uid 3925,0 +) +(Instance +name "U_0" +duLibraryName "memory" +duName "sdramControllerFsm" +elements [ +(GiElement +name "commandBusBitNb" +type "positive" +value "commandBusBitNb" +) +(GiElement +name "maxDelayPeriodNb" +type "positive" +value "maxDelayPeriodNb" +) +(GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +(GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +(GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +(GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +(GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +(GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +] +mwi 0 +uid 4230,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramController" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sdramController" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Demo/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramController" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramController/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "sdramController" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 356,0 +optionalChildren [ +*1 (PortIoOut +uid 51,0 +shape (CompositeShape +uid 52,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 53,0 +sl 0 +ro 270 +xt "162500,36625,164000,37375" +) +(Line +uid 54,0 +sl 0 +ro 270 +xt "162000,37000,162500,37000" +pts [ +"162000,37000" +"162500,37000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 55,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 56,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "165000,36300,174700,37700" +st "memAddress" +blo "165000,37500" +tm "WireNameMgr" +) +) +) +*2 (Net +uid 63,0 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 4,0 +) +declText (MLText +uid 64,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,82200,26500,83100" +st "memAddress : std_ulogic_vector( chipAddressBitNb-1 DOWNTO 0 )" +) +) +*3 (PortIoIn +uid 79,0 +shape (CompositeShape +uid 80,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 81,0 +sl 0 +ro 90 +xt "83500,75625,85000,76375" +) +(Line +uid 82,0 +sl 0 +ro 90 +xt "83000,76000,83500,76000" +pts [ +"83500,76000" +"83000,76000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 83,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 84,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "86000,75300,94100,76700" +st "memDataIn" +blo "86000,76500" +tm "WireNameMgr" +) +) +) +*4 (Net +uid 91,0 +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 6,0 +) +declText (MLText +uid 92,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,73800,22000,74700" +st "memDataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*5 (PortIoOut +uid 93,0 +shape (CompositeShape +uid 94,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 95,0 +sl 0 +ro 270 +xt "162500,60625,164000,61375" +) +(Line +uid 96,0 +sl 0 +ro 270 +xt "162000,61000,162500,61000" +pts [ +"162000,61000" +"162500,61000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 97,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 98,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "165000,60300,174900,61700" +st "memDataOut" +blo "165000,61500" +tm "WireNameMgr" +) +) +) +*6 (Net +uid 105,0 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 7,0 +) +declText (MLText +uid 106,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,84600,22000,85500" +st "memDataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*7 (PortIoOut +uid 107,0 +shape (CompositeShape +uid 108,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 109,0 +sl 0 +ro 270 +xt "122500,82625,124000,83375" +) +(Line +uid 110,0 +sl 0 +ro 270 +xt "122000,83000,122500,83000" +pts [ +"122000,83000" +"122500,83000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 111,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 112,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "125000,82300,132200,83700" +st "memWr_n" +blo "125000,83500" +tm "WireNameMgr" +) +) +) +*8 (Net +uid 119,0 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 8,0 +) +declText (MLText +uid 120,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,85800,7500,86700" +st "memWr_n : std_ulogic" +) +) +*9 (PortIoIn +uid 121,0 +shape (CompositeShape +uid 122,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 123,0 +sl 0 +ro 270 +xt "48000,52625,49500,53375" +) +(Line +uid 124,0 +sl 0 +ro 270 +xt "49500,53000,50000,53000" +pts [ +"49500,53000" +"50000,53000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 125,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 126,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "42200,52300,47000,53700" +st "ramEn" +ju 2 +blo "47000,53500" +tm "WireNameMgr" +) +) +) +*10 (Net +uid 133,0 +decl (Decl +n "ramEn" +t "std_ulogic" +o 5 +suid 9,0 +) +declText (MLText +uid 134,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,77400,7500,78300" +st "ramEn : std_ulogic" +) +) +*11 (PortIoIn +uid 135,0 +shape (CompositeShape +uid 136,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 137,0 +sl 0 +ro 270 +xt "8000,48625,9500,49375" +) +(Line +uid 138,0 +sl 0 +ro 270 +xt "9500,49000,10000,49000" +pts [ +"9500,49000" +"10000,49000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 139,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 140,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "2200,48300,7000,49700" +st "ramRd" +ju 2 +blo "7000,49500" +tm "WireNameMgr" +) +) +) +*12 (Net +uid 147,0 +decl (Decl +n "ramRd" +t "std_ulogic" +o 6 +suid 10,0 +) +declText (MLText +uid 148,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,78600,7500,79500" +st "ramRd : std_ulogic" +) +) +*13 (PortIoIn +uid 149,0 +shape (CompositeShape +uid 150,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 151,0 +sl 0 +ro 270 +xt "8000,26625,9500,27375" +) +(Line +uid 152,0 +sl 0 +ro 270 +xt "9500,27000,10000,27000" +pts [ +"9500,27000" +"10000,27000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 153,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 154,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "2000,26300,7000,27700" +st "ramWr" +ju 2 +blo "7000,27500" +tm "WireNameMgr" +) +) +) +*14 (Net +uid 161,0 +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 11,0 +) +declText (MLText +uid 162,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,79800,7500,80700" +st "ramWr : std_ulogic" +) +) +*15 (PortIoIn +uid 163,0 +shape (CompositeShape +uid 164,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 165,0 +sl 0 +ro 270 +xt "52000,56625,53500,57375" +) +(Line +uid 166,0 +sl 0 +ro 270 +xt "53500,57000,54000,57000" +pts [ +"53500,57000" +"54000,57000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 167,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 168,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "46900,56300,51000,57700" +st "reset" +ju 2 +blo "51000,57500" +tm "WireNameMgr" +) +) +) +*16 (Net +uid 175,0 +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 12,0 +) +declText (MLText +uid 176,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,81000,7500,81900" +st "reset : std_ulogic" +) +) +*17 (PortIoOut +uid 177,0 +shape (CompositeShape +uid 178,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 179,0 +sl 0 +ro 270 +xt "122500,80625,124000,81375" +) +(Line +uid 180,0 +sl 0 +ro 270 +xt "122000,81000,122500,81000" +pts [ +"122000,81000" +"122500,81000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 181,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 182,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "125000,80300,131300,81700" +st "sdCas_n" +blo "125000,81500" +tm "WireNameMgr" +) +) +) +*18 (Net +uid 189,0 +decl (Decl +n "sdCas_n" +t "std_ulogic" +o 15 +suid 13,0 +) +declText (MLText +uid 190,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,89400,7500,90300" +st "sdCas_n : std_ulogic" +) +) +*19 (PortIoOut +uid 191,0 +shape (CompositeShape +uid 192,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 193,0 +sl 0 +ro 270 +xt "162500,18625,164000,19375" +) +(Line +uid 194,0 +sl 0 +ro 270 +xt "162000,19000,162500,19000" +pts [ +"162000,19000" +"162500,19000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 195,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 196,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "165000,18300,169700,19700" +st "sdCke" +blo "165000,19500" +tm "WireNameMgr" +) +) +) +*20 (Net +uid 203,0 +decl (Decl +n "sdCke" +t "std_ulogic" +o 16 +suid 14,0 +) +declText (MLText +uid 204,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,90600,7500,91500" +st "sdCke : std_ulogic" +) +) +*21 (PortIoOut +uid 205,0 +shape (CompositeShape +uid 206,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 207,0 +sl 0 +ro 270 +xt "162500,20625,164000,21375" +) +(Line +uid 208,0 +sl 0 +ro 270 +xt "162000,21000,162500,21000" +pts [ +"162000,21000" +"162500,21000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 209,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 210,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "165000,20300,169200,21700" +st "sdClk" +blo "165000,21500" +tm "WireNameMgr" +) +) +) +*22 (Net +uid 217,0 +decl (Decl +n "sdClk" +t "std_ulogic" +o 17 +suid 15,0 +) +declText (MLText +uid 218,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,91800,7500,92700" +st "sdClk : std_ulogic" +) +) +*23 (PortIoOut +uid 219,0 +shape (CompositeShape +uid 220,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 221,0 +sl 0 +ro 270 +xt "122500,76625,124000,77375" +) +(Line +uid 222,0 +sl 0 +ro 270 +xt "122000,77000,122500,77000" +pts [ +"122000,77000" +"122500,77000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 223,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 224,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "125000,76300,130500,77700" +st "sdCs_n" +blo "125000,77500" +tm "WireNameMgr" +) +) +) +*24 (Net +uid 231,0 +decl (Decl +n "sdCs_n" +t "std_ulogic" +o 18 +suid 16,0 +) +declText (MLText +uid 232,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,93000,7500,93900" +st "sdCs_n : std_ulogic" +) +) +*25 (PortIoOut +uid 261,0 +shape (CompositeShape +uid 262,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 263,0 +sl 0 +ro 270 +xt "122500,78625,124000,79375" +) +(Line +uid 264,0 +sl 0 +ro 270 +xt "122000,79000,122500,79000" +pts [ +"122000,79000" +"122500,79000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 265,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 266,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "125000,78300,131200,79700" +st "sdRas_n" +blo "125000,79500" +tm "WireNameMgr" +) +) +) +*26 (Net +uid 273,0 +decl (Decl +n "sdRas_n" +t "std_ulogic" +o 20 +suid 19,0 +) +declText (MLText +uid 274,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,95400,7500,96300" +st "sdRas_n : std_ulogic" +) +) +*27 (Grouping +uid 313,0 +optionalChildren [ +*28 (CommentText +uid 315,0 +shape (Rectangle +uid 316,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "136000,123000,153000,124000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 317,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "136200,123000,151200,124000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*29 (CommentText +uid 318,0 +shape (Rectangle +uid 319,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "153000,119000,157000,120000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 320,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "153200,119000,156800,120000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*30 (CommentText +uid 321,0 +shape (Rectangle +uid 322,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "136000,121000,153000,122000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 323,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "136200,121000,152400,122000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*31 (CommentText +uid 324,0 +shape (Rectangle +uid 325,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "132000,121000,136000,122000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 326,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "132200,121000,135800,122000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*32 (CommentText +uid 327,0 +shape (Rectangle +uid 328,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "153000,120000,173000,124000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 329,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "153200,120200,166400,121200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*33 (CommentText +uid 330,0 +shape (Rectangle +uid 331,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "157000,119000,173000,120000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 332,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "157200,119000,159000,120000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*34 (CommentText +uid 333,0 +shape (Rectangle +uid 334,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "132000,119000,153000,121000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 335,0 +va (VaSet +fg "32768,0,0" +) +xt "138000,119500,147000,120500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*35 (CommentText +uid 336,0 +shape (Rectangle +uid 337,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "132000,122000,136000,123000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 338,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "132200,122000,135200,123000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*36 (CommentText +uid 339,0 +shape (Rectangle +uid 340,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "132000,123000,136000,124000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 341,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "132200,123000,135800,124000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*37 (CommentText +uid 342,0 +shape (Rectangle +uid 343,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "136000,122000,153000,123000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 344,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "136200,122000,150600,123000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 314,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "132000,119000,173000,124000" +) +oxt "14000,66000,55000,71000" +) +*38 (PortIoIn +uid 599,0 +shape (CompositeShape +uid 600,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 601,0 +sl 0 +ro 270 +xt "52000,54625,53500,55375" +) +(Line +uid 602,0 +sl 0 +ro 270 +xt "53500,55000,54000,55000" +pts [ +"53500,55000" +"54000,55000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 603,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 604,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "47200,54300,51000,55700" +st "clock" +ju 2 +blo "51000,55500" +tm "WireNameMgr" +) +) +) +*39 (Net +uid 611,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 23,0 +) +declText (MLText +uid 612,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,72600,7500,73500" +st "clock : std_ulogic" +) +) +*40 (PortIoOut +uid 613,0 +shape (CompositeShape +uid 614,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 615,0 +sl 0 +ro 270 +xt "82500,52625,84000,53375" +) +(Line +uid 616,0 +sl 0 +ro 270 +xt "82000,53000,82500,53000" +pts [ +"82000,53000" +"82500,53000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 617,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 618,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "85000,52300,95000,53700" +st "ramDataValid" +blo "85000,53500" +tm "WireNameMgr" +) +) +) +*41 (Net +uid 625,0 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 24,0 +) +declText (MLText +uid 626,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,88200,7500,89100" +st "ramDataValid : std_ulogic" +) +) +*42 (PortIoIn +uid 627,0 +shape (CompositeShape +uid 628,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 629,0 +sl 0 +ro 270 +xt "128000,36625,129500,37375" +) +(Line +uid 630,0 +sl 0 +ro 270 +xt "129500,37000,130000,37000" +pts [ +"129500,37000" +"130000,37000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 631,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 632,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "120900,36300,127000,37700" +st "ramAddr" +ju 2 +blo "127000,37500" +tm "WireNameMgr" +) +) +) +*43 (Net +uid 639,0 +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 25,0 +) +declText (MLText +uid 640,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,75000,19000,75900" +st "ramAddr : unsigned(addressBitNb-1 DOWNTO 0)" +) +) +*44 (PortIoIn +uid 641,0 +shape (CompositeShape +uid 642,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 643,0 +sl 0 +ro 270 +xt "128000,60625,129500,61375" +) +(Line +uid 644,0 +sl 0 +ro 270 +xt "129500,61000,130000,61000" +pts [ +"129500,61000" +"130000,61000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 645,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 646,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "117700,60300,127000,61700" +st "ramDataOut" +ju 2 +blo "127000,61500" +tm "WireNameMgr" +) +) +) +*45 (Net +uid 653,0 +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 26,0 +) +declText (MLText +uid 654,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,76200,22000,77100" +st "ramDataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*46 (PortIoOut +uid 655,0 +shape (CompositeShape +uid 656,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 657,0 +sl 0 +ro 90 +xt "48000,75625,49500,76375" +) +(Line +uid 658,0 +sl 0 +ro 90 +xt "49500,76000,50000,76000" +pts [ +"50000,76000" +"49500,76000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 659,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 660,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "39500,75300,47000,76700" +st "ramDataIn" +ju 2 +blo "47000,76500" +tm "WireNameMgr" +) +) +) +*47 (Net +uid 667,0 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 27,0 +) +declText (MLText +uid 668,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,87000,22000,87900" +st "ramDataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*48 (PortIoOut +uid 1316,0 +shape (CompositeShape +uid 1317,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1318,0 +sl 0 +ro 270 +xt "122500,84625,124000,85375" +) +(Line +uid 1319,0 +sl 0 +ro 270 +xt "122000,85000,122500,85000" +pts [ +"122000,85000" +"122500,85000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 1320,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1321,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "125000,84300,130100,85700" +st "sdDqm" +blo "125000,85500" +tm "WireNameMgr" +) +) +) +*49 (Net +uid 1328,0 +decl (Decl +n "sdDqm" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 19 +suid 28,0 +) +declText (MLText +uid 1329,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,94200,17000,95100" +st "sdDqm : std_ulogic_vector(1 DOWNTO 0)" +) +) +*50 (PortIoOut +uid 1330,0 +shape (CompositeShape +uid 1331,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 1332,0 +sl 0 +ro 270 +xt "162500,38625,164000,39375" +) +(Line +uid 1333,0 +sl 0 +ro 270 +xt "162000,39000,162500,39000" +pts [ +"162000,39000" +"162500,39000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 1334,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1335,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "165000,38300,177800,39700" +st "memBankAddress" +blo "165000,39500" +tm "WireNameMgr" +) +) +) +*51 (Net +uid 1342,0 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 29,0 +) +declText (MLText +uid 1343,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,83400,28500,84300" +st "memBankAddress : std_ulogic_vector( chipBankAddressBitNb-1 DOWNTO 0 )" +) +) +*52 (HdlText +uid 1607,0 +optionalChildren [ +*53 (EmbeddedText +uid 1613,0 +commentText (CommentText +uid 1614,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1615,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "138000,18000,154000,24000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1616,0 +va (VaSet +font "courier,9,0" +) +xt "138200,18200,148200,20000" +st " +sdCke <= '1'; +sdClk <= not clock; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 6000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 1608,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "138000,17000,154000,25000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1609,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +uid 1610,0 +va (VaSet +font "courier,9,0" +) +xt "138150,25000,140150,26200" +st "eb1" +blo "138150,25900" +tm "HdlTextNameMgr" +) +*55 (Text +uid 1611,0 +va (VaSet +font "courier,9,0" +) +xt "138150,26200,139150,27400" +st "1" +blo "138150,27100" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1612,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "138250,23250,139750,24750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*56 (Net +uid 1665,0 +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 28 +suid 32,0 +) +declText (MLText +uid 1666,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,112600,11000,113500" +st "SIGNAL powerUpDone : std_ulogic" +) +) +*57 (Net +uid 1681,0 +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 27 +suid 35,0 +) +declText (MLText +uid 1682,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,111400,11000,112300" +st "SIGNAL endOfRefreshCount : std_ulogic" +) +) +*58 (Net +uid 1695,0 +decl (Decl +n "commandBus" +t "std_ulogic_vector" +b "(commandBusBitNb-1 DOWNTO 0)" +o 26 +suid 37,0 +) +declText (MLText +uid 1696,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,110200,28500,111100" +st "SIGNAL commandBus : std_ulogic_vector(commandBusBitNb-1 DOWNTO 0)" +) +) +*59 (HdlText +uid 1697,0 +optionalChildren [ +*60 (EmbeddedText +uid 1703,0 +commentText (CommentText +uid 1704,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1705,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "98000,74000,114000,82000" +) +oxt "0,0,18000,5000" +text (MLText +uid 1706,0 +va (VaSet +font "courier,9,0" +) +xt "98200,74200,114200,79600" +st " +sdCs_n <= commandBus(5); +sdRas_n <= commandBus(4); +sdCas_n <= commandBus(3); +memWr_n <= commandBus(2); +sdDqm <= commandBus(1 downto 0); + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 8000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 1698,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "98000,73000,114000,89000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1699,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*61 (Text +uid 1700,0 +va (VaSet +font "courier,9,0" +) +xt "98150,89000,100150,90200" +st "eb2" +blo "98150,89900" +tm "HdlTextNameMgr" +) +*62 (Text +uid 1701,0 +va (VaSet +font "courier,9,0" +) +xt "98150,90200,99150,91400" +st "2" +blo "98150,91100" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +uid 1702,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "98250,87250,99750,88750" +iconName "TextFile.png" +iconMaskName "TextFile.msk" +ftype 21 +) +viewiconposition 0 +) +*63 (Net +uid 1785,0 +decl (Decl +n "timerStart" +t "std_ulogic" +o 33 +suid 39,0 +) +declText (MLText +uid 1786,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,118600,11000,119500" +st "SIGNAL timerStart : std_ulogic" +) +) +*64 (Net +uid 1801,0 +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "(1 TO maxDelayPeriodNb)" +o 32 +suid 41,0 +) +declText (MLText +uid 1802,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,117400,26000,118300" +st "SIGNAL timerDone : std_ulogic_vector(1 TO maxDelayPeriodNb)" +) +) +*65 (Net +uid 1924,0 +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 24 +suid 43,0 +) +declText (MLText +uid 1925,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,107800,11000,108700" +st "SIGNAL addrSelPrecharge : std_ulogic" +) +) +*66 (Net +uid 2051,0 +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 23 +suid 44,0 +) +declText (MLText +uid 2052,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,106600,11000,107500" +st "SIGNAL addrSelModeReg : std_ulogic" +) +) +*67 (Net +uid 2266,0 +decl (Decl +n "writeRequest" +t "std_ulogic" +o 35 +suid 45,0 +) +declText (MLText +uid 2267,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,121000,11000,121900" +st "SIGNAL writeRequest : std_ulogic" +) +) +*68 (Net +uid 2276,0 +decl (Decl +n "writeAck" +t "std_ulogic" +o 34 +suid 46,0 +) +declText (MLText +uid 2277,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,119800,11000,120700" +st "SIGNAL writeAck : std_ulogic" +) +) +*69 (SaComponent +uid 2332,0 +optionalChildren [ +*70 (CptPort +uid 2312,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2313,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,32625,18000,33375" +) +tg (CPTG +uid 2314,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2315,0 +va (VaSet +) +xt "19000,32500,21100,33500" +st "clock" +blo "19000,33300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*71 (CptPort +uid 2316,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2317,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,26625,18000,27375" +) +tg (CPTG +uid 2318,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2319,0 +va (VaSet +) +xt "19000,26500,22000,27500" +st "setFlag" +blo "19000,27300" +) +) +thePort (LogicalPort +decl (Decl +n "setFlag" +t "std_ulogic" +o 7 +suid 2,0 +) +) +) +*72 (CptPort +uid 2320,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2321,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,34625,18000,35375" +) +tg (CPTG +uid 2322,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2323,0 +va (VaSet +) +xt "19000,34500,21100,35500" +st "reset" +blo "19000,35300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 3,0 +) +) +) +*73 (CptPort +uid 2324,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2325,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,28625,18000,29375" +) +tg (CPTG +uid 2326,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2327,0 +va (VaSet +) +xt "19000,28500,22700,29500" +st "resetFlag" +blo "19000,29300" +) +) +thePort (LogicalPort +decl (Decl +n "resetFlag" +t "std_ulogic" +o 7 +suid 4,0 +) +) +) +*74 (CptPort +uid 2328,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2329,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34000,26625,34750,27375" +) +tg (CPTG +uid 2330,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2331,0 +va (VaSet +) +xt "31300,26500,33000,27500" +st "flag" +ju 2 +blo "33000,27300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "flag" +t "std_ulogic" +o 7 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 2333,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "18000,23000,34000,37000" +) +oxt "29000,12000,45000,26000" +ttg (MlTextGroup +uid 2334,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 2335,0 +va (VaSet +font "courier,8,1" +) +xt "18000,37000,21300,38000" +st "memory" +blo "18000,37800" +tm "BdLibraryNameMgr" +) +*76 (Text +uid 2336,0 +va (VaSet +font "courier,8,1" +) +xt "18000,38000,26000,39000" +st "sdramControllerSR" +blo "18000,38800" +tm "CptNameMgr" +) +*77 (Text +uid 2337,0 +va (VaSet +font "courier,8,1" +) +xt "18000,39000,19800,40000" +st "U_5" +blo "18000,39800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2338,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2339,0 +text (MLText +uid 2340,0 +va (VaSet +font "courier,8,0" +) +xt "18000,40200,18000,40200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 2341,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "18250,35250,19750,36750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*78 (Net +uid 2366,0 +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 25 +suid 47,0 +) +declText (MLText +uid 2367,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,109000,11000,109900" +st "SIGNAL addrSelRow : std_ulogic" +) +) +*79 (Net +uid 2384,0 +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 22 +suid 48,0 +) +declText (MLText +uid 2385,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,105400,11000,106300" +st "SIGNAL addrSelCol : std_ulogic" +) +) +*80 (SaComponent +uid 2398,0 +optionalChildren [ +*81 (CptPort +uid 2408,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2409,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,54625,18000,55375" +) +tg (CPTG +uid 2410,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2411,0 +va (VaSet +) +xt "19000,54500,21100,55500" +st "clock" +blo "19000,55300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +) +*82 (CptPort +uid 2412,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2413,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,48625,18000,49375" +) +tg (CPTG +uid 2414,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2415,0 +va (VaSet +) +xt "19000,48500,22000,49500" +st "setFlag" +blo "19000,49300" +) +) +thePort (LogicalPort +decl (Decl +n "setFlag" +t "std_ulogic" +o 7 +) +) +) +*83 (CptPort +uid 2416,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2417,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,56625,18000,57375" +) +tg (CPTG +uid 2418,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2419,0 +va (VaSet +) +xt "19000,56500,21100,57500" +st "reset" +blo "19000,57300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +) +) +) +*84 (CptPort +uid 2420,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2421,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "17250,50625,18000,51375" +) +tg (CPTG +uid 2422,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2423,0 +va (VaSet +) +xt "19000,50500,22700,51500" +st "resetFlag" +blo "19000,51300" +) +) +thePort (LogicalPort +decl (Decl +n "resetFlag" +t "std_ulogic" +o 7 +) +) +) +*85 (CptPort +uid 2424,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2425,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34000,48625,34750,49375" +) +tg (CPTG +uid 2426,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2427,0 +va (VaSet +) +xt "31300,48500,33000,49500" +st "flag" +ju 2 +blo "33000,49300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "flag" +t "std_ulogic" +o 7 +) +) +) +] +shape (Rectangle +uid 2399,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "18000,45000,34000,59000" +) +oxt "29000,12000,45000,26000" +ttg (MlTextGroup +uid 2400,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*86 (Text +uid 2401,0 +va (VaSet +font "courier,8,1" +) +xt "18000,59000,21300,60000" +st "memory" +blo "18000,59800" +tm "BdLibraryNameMgr" +) +*87 (Text +uid 2402,0 +va (VaSet +font "courier,8,1" +) +xt "18000,60000,26000,61000" +st "sdramControllerSR" +blo "18000,60800" +tm "CptNameMgr" +) +*88 (Text +uid 2403,0 +va (VaSet +font "courier,8,1" +) +xt "18000,61000,19800,62000" +st "U_6" +blo "18000,61800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 2404,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 2405,0 +text (MLText +uid 2406,0 +va (VaSet +font "courier,8,0" +) +xt "18000,62200,18000,62200" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +uid 2407,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "18250,57250,19750,58750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*89 (Net +uid 2456,0 +decl (Decl +n "readAck" +t "std_ulogic" +o 29 +suid 49,0 +) +declText (MLText +uid 2457,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,113800,11000,114700" +st "SIGNAL readAck : std_ulogic" +) +) +*90 (Net +uid 2458,0 +decl (Decl +n "readRequest" +t "std_ulogic" +o 30 +suid 50,0 +) +declText (MLText +uid 2459,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,115000,11000,115900" +st "SIGNAL readRequest : std_ulogic" +) +) +*91 (Net +uid 2516,0 +decl (Decl +n "sampleData" +t "std_ulogic" +o 31 +suid 52,0 +) +declText (MLText +uid 2517,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,116200,11000,117100" +st "SIGNAL sampleData : std_ulogic" +) +) +*92 (SaComponent +uid 3238,0 +optionalChildren [ +*93 (CptPort +uid 3222,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3223,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,60625,98000,61375" +) +tg (CPTG +uid 3224,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3225,0 +va (VaSet +) +xt "99000,60500,101100,61500" +st "clock" +blo "99000,61300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 5,0 +) +) +) +*94 (CptPort +uid 3226,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,62625,98000,63375" +) +tg (CPTG +uid 3228,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3229,0 +va (VaSet +) +xt "99000,62500,101100,63500" +st "reset" +blo "99000,63300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 6,0 +) +) +) +*95 (CptPort +uid 3230,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3231,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114000,56625,114750,57375" +) +tg (CPTG +uid 3232,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3233,0 +va (VaSet +) +xt "109100,56500,113000,57500" +st "timerDone" +ju 2 +blo "113000,57300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "(1 TO maxDelayPeriodNb)" +o 25 +suid 7,0 +) +) +) +*96 (CptPort +uid 3234,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3235,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,56625,98000,57375" +) +tg (CPTG +uid 3236,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3237,0 +va (VaSet +) +xt "99000,56500,103100,57500" +st "timerStart" +blo "99000,57300" +) +) +thePort (LogicalPort +decl (Decl +n "timerStart" +t "std_ulogic" +o 24 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 3239,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "98000,53000,114000,65000" +) +oxt "32000,16000,48000,28000" +ttg (MlTextGroup +uid 3240,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*97 (Text +uid 3241,0 +va (VaSet +font "courier,8,1" +) +xt "98400,65000,101700,66000" +st "memory" +blo "98400,65800" +tm "BdLibraryNameMgr" +) +*98 (Text +uid 3242,0 +va (VaSet +font "courier,8,1" +) +xt "98400,66000,113600,67000" +st "sdramControllerTimingsShiftRegister" +blo "98400,66800" +tm "CptNameMgr" +) +*99 (Text +uid 3243,0 +va (VaSet +font "courier,8,1" +) +xt "98400,67000,100200,68000" +st "U_2" +blo "98400,67800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3244,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3245,0 +text (MLText +uid 3246,0 +va (VaSet +font "courier,8,0" +) +xt "98000,68200,125000,69100" +st "maxDelayPeriodNb = maxDelayPeriodNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "maxDelayPeriodNb" +type "positive" +value "maxDelayPeriodNb" +) +] +) +viewicon (ZoomableIcon +uid 3247,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "98250,63250,99750,64750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*100 (SaComponent +uid 3306,0 +optionalChildren [ +*101 (CptPort +uid 3286,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3287,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,66625,138000,67375" +) +tg (CPTG +uid 3288,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3289,0 +va (VaSet +) +xt "139000,66500,141100,67500" +st "clock" +blo "139000,67300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 11,0 +) +) +) +*102 (CptPort +uid 3290,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3291,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "154000,60625,154750,61375" +) +tg (CPTG +uid 3292,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3293,0 +va (VaSet +) +xt "147800,60500,153000,61500" +st "memDataOut" +ju 2 +blo "153000,61300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 12,0 +) +) +) +*103 (CptPort +uid 3294,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3295,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,60625,138000,61375" +) +tg (CPTG +uid 3296,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3297,0 +va (VaSet +) +xt "139000,60500,143900,61500" +st "ramDataOut" +blo "139000,61300" +) +) +thePort (LogicalPort +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 13,0 +) +) +) +*104 (CptPort +uid 3298,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3299,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,62625,138000,63375" +) +tg (CPTG +uid 3300,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3301,0 +va (VaSet +) +xt "139000,62500,141700,63500" +st "ramWr" +blo "139000,63300" +) +) +thePort (LogicalPort +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 14,0 +) +) +) +*105 (CptPort +uid 3302,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3303,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,68625,138000,69375" +) +tg (CPTG +uid 3304,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3305,0 +va (VaSet +) +xt "139000,68500,141100,69500" +st "reset" +blo "139000,69300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 15,0 +) +) +) +] +shape (Rectangle +uid 3307,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "138000,57000,154000,71000" +) +oxt "40000,11000,56000,25000" +ttg (MlTextGroup +uid 3308,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*106 (Text +uid 3309,0 +va (VaSet +font "courier,8,1" +) +xt "138500,71000,141800,72000" +st "memory" +blo "138500,71800" +tm "BdLibraryNameMgr" +) +*107 (Text +uid 3310,0 +va (VaSet +font "courier,8,1" +) +xt "138500,72000,149500,73000" +st "sdramControllerStoreData" +blo "138500,72800" +tm "CptNameMgr" +) +*108 (Text +uid 3311,0 +va (VaSet +font "courier,8,1" +) +xt "138500,73000,140300,74000" +st "U_4" +blo "138500,73800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3312,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3313,0 +text (MLText +uid 3314,0 +va (VaSet +font "courier,8,0" +) +xt "138000,74600,158000,75500" +st "dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +viewicon (ZoomableIcon +uid 3315,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "138250,69250,139750,70750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*109 (SaComponent +uid 3336,0 +optionalChildren [ +*110 (CptPort +uid 3316,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3317,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,81625,58000,82375" +) +tg (CPTG +uid 3318,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3319,0 +va (VaSet +) +xt "59000,81500,61100,82500" +st "clock" +blo "59000,82300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 9,0 +) +) +) +*111 (CptPort +uid 3320,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3321,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,75625,74750,76375" +) +tg (CPTG +uid 3322,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3323,0 +va (VaSet +) +xt "68800,75500,73000,76500" +st "memDataIn" +ju 2 +blo "73000,76300" +) +) +thePort (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 10,0 +) +) +) +*112 (CptPort +uid 3324,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3325,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,75625,58000,76375" +) +tg (CPTG +uid 3326,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3327,0 +va (VaSet +) +xt "59000,75500,62900,76500" +st "ramDataIn" +blo "59000,76300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 11,0 +) +) +) +*113 (CptPort +uid 3328,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3329,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,83625,58000,84375" +) +tg (CPTG +uid 3330,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3331,0 +va (VaSet +) +xt "59000,83500,61100,84500" +st "reset" +blo "59000,84300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 12,0 +) +) +) +*114 (CptPort +uid 3332,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3333,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,79625,58000,80375" +) +tg (CPTG +uid 3334,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3335,0 +va (VaSet +) +xt "59000,79500,63800,80500" +st "sampleData" +blo "59000,80300" +) +) +thePort (LogicalPort +decl (Decl +n "sampleData" +t "std_ulogic" +o 34 +suid 13,0 +) +) +) +] +shape (Rectangle +uid 3337,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "58000,72000,74000,86000" +) +oxt "38000,15000,54000,29000" +ttg (MlTextGroup +uid 3338,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*115 (Text +uid 3339,0 +va (VaSet +font "courier,8,1" +) +xt "58800,86000,62100,87000" +st "memory" +blo "58800,86800" +tm "BdLibraryNameMgr" +) +*116 (Text +uid 3340,0 +va (VaSet +font "courier,8,1" +) +xt "58800,87000,71200,88000" +st "sdramControllerSampleDataIn" +blo "58800,87800" +tm "CptNameMgr" +) +*117 (Text +uid 3341,0 +va (VaSet +font "courier,8,1" +) +xt "58800,88000,60600,89000" +st "U_7" +blo "58800,88800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3342,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3343,0 +text (MLText +uid 3344,0 +va (VaSet +font "courier,8,0" +) +xt "58000,89600,78000,90500" +st "dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +viewicon (ZoomableIcon +uid 3345,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "58250,84250,59750,85750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*118 (SaComponent +uid 3568,0 +optionalChildren [ +*119 (CptPort +uid 3548,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3549,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,40625,98000,41375" +) +tg (CPTG +uid 3550,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3551,0 +va (VaSet +) +xt "99000,40500,101100,41500" +st "clock" +blo "99000,41300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 6,0 +) +) +) +*120 (CptPort +uid 3552,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3553,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114000,36625,114750,37375" +) +tg (CPTG +uid 3554,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3555,0 +va (VaSet +) +xt "105500,36500,113000,37500" +st "endOfRefreshCount" +ju 2 +blo "113000,37300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 22 +suid 7,0 +) +) +) +*121 (CptPort +uid 3556,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3557,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,36625,98000,37375" +) +tg (CPTG +uid 3558,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3559,0 +va (VaSet +) +xt "99000,36500,104600,37500" +st "powerUpDone" +blo "99000,37300" +) +) +thePort (LogicalPort +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 21 +suid 8,0 +) +) +) +*122 (CptPort +uid 3560,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3561,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "97250,42625,98000,43375" +) +tg (CPTG +uid 3562,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3563,0 +va (VaSet +) +xt "99000,42500,101100,43500" +st "reset" +blo "99000,43300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 9,0 +) +) +) +*123 (CptPort +uid 3564,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3565,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "114000,38625,114750,39375" +) +tg (CPTG +uid 3566,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3567,0 +va (VaSet +) +xt "107500,38500,113000,39500" +st "selectRefresh" +ju 2 +blo "113000,39300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 5 +suid 10,0 +) +) +) +] +shape (Rectangle +uid 3569,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "98000,33000,114000,45000" +) +oxt "32000,12000,48000,24000" +ttg (MlTextGroup +uid 3570,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*124 (Text +uid 3571,0 +va (VaSet +font "courier,8,1" +) +xt "98350,45000,101650,46000" +st "memory" +blo "98350,45800" +tm "BdLibraryNameMgr" +) +*125 (Text +uid 3572,0 +va (VaSet +font "courier,8,1" +) +xt "98350,46000,111650,47000" +st "sdramControllerRefreshCounter" +blo "98350,46800" +tm "CptNameMgr" +) +*126 (Text +uid 3573,0 +va (VaSet +font "courier,8,1" +) +xt "98350,47000,100150,48000" +st "U_1" +blo "98350,47800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3574,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3575,0 +text (MLText +uid 3576,0 +va (VaSet +font "courier,8,0" +) +xt "98000,48200,126000,50000" +st "delayCounterBitNb = delayCounterBitNb ( positive ) +refreshPeriodNb = refreshPeriodNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "delayCounterBitNb" +type "positive" +value "delayCounterBitNb" +) +(GiElement +name "refreshPeriodNb" +type "positive" +value "refreshPeriodNb" +) +] +) +viewicon (ZoomableIcon +uid 3577,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "98250,43250,99750,44750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*127 (Net +uid 3578,0 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 21 +suid 53,0 +) +declText (MLText +uid 3579,0 +va (VaSet +font "courier,9,0" +) +xt "-8000,96600,7500,97500" +st "selectRefresh : std_ulogic" +) +) +*128 (PortIoOut +uid 3586,0 +shape (CompositeShape +uid 3587,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 3588,0 +sl 0 +ro 270 +xt "122500,38625,124000,39375" +) +(Line +uid 3589,0 +sl 0 +ro 270 +xt "122000,39000,122500,39000" +pts [ +"122000,39000" +"122500,39000" +] +) +] +) +stc 0 +sf 1 +tg (WTG +uid 3590,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3591,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "125000,38300,135100,39700" +st "selectRefresh" +blo "125000,39500" +tm "WireNameMgr" +) +) +) +*129 (SaComponent +uid 3925,0 +optionalChildren [ +*130 (CptPort +uid 3897,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3898,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,44625,138000,45375" +) +tg (CPTG +uid 3899,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3900,0 +va (VaSet +) +xt "139000,44500,143600,45500" +st "addrSelCol" +blo "139000,45300" +) +) +thePort (LogicalPort +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 26 +suid 18,0 +) +) +) +*131 (CptPort +uid 3901,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3902,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,40625,138000,41375" +) +tg (CPTG +uid 3903,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3904,0 +va (VaSet +) +xt "139000,40500,145800,41500" +st "addrSelModeReg" +blo "139000,41300" +) +) +thePort (LogicalPort +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 26 +suid 19,0 +) +) +) +*132 (CptPort +uid 3905,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3906,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,38625,138000,39375" +) +tg (CPTG +uid 3907,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3908,0 +va (VaSet +) +xt "139000,38500,146000,39500" +st "addrSelPrecharge" +blo "139000,39300" +) +) +thePort (LogicalPort +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 26 +suid 20,0 +) +) +) +*133 (CptPort +uid 3909,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3910,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,42625,138000,43375" +) +tg (CPTG +uid 3911,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3912,0 +va (VaSet +) +xt "139000,42500,143900,43500" +st "addrSelRow" +blo "139000,43300" +) +) +thePort (LogicalPort +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 26 +suid 21,0 +) +) +) +*134 (CptPort +uid 3913,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3914,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "154000,36625,154750,37375" +) +tg (CPTG +uid 3915,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3916,0 +va (VaSet +) +xt "147800,36500,153000,37500" +st "memAddress" +ju 2 +blo "153000,37300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 22,0 +) +) +) +*135 (CptPort +uid 3917,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3918,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "154000,38625,154750,39375" +) +tg (CPTG +uid 3919,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 3920,0 +va (VaSet +) +xt "146200,38500,153000,39500" +st "memBankAddress" +ju 2 +blo "153000,39300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 23,0 +) +) +) +*136 (CptPort +uid 3921,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 3922,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "137250,36625,138000,37375" +) +tg (CPTG +uid 3923,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 3924,0 +va (VaSet +) +xt "139000,36500,142300,37500" +st "ramAddr" +blo "139000,37300" +) +) +thePort (LogicalPort +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 24,0 +) +) +) +] +shape (Rectangle +uid 3926,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "138000,33000,154000,49000" +) +oxt "34000,6000,50000,22000" +ttg (MlTextGroup +uid 3927,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*137 (Text +uid 3928,0 +va (VaSet +font "courier,8,1" +) +xt "137850,49500,141150,50500" +st "memory" +blo "137850,50300" +tm "BdLibraryNameMgr" +) +*138 (Text +uid 3929,0 +va (VaSet +font "courier,8,1" +) +xt "137850,50500,150150,51500" +st "sdramControllerBuildAddress" +blo "137850,51300" +tm "CptNameMgr" +) +*139 (Text +uid 3930,0 +va (VaSet +font "courier,8,1" +) +xt "137850,51500,139650,52500" +st "U_3" +blo "137850,52300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 3931,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 3932,0 +text (MLText +uid 3933,0 +va (VaSet +font "courier,8,0" +) +xt "138000,53000,169000,57500" +st "addressBitNb = addressBitNb ( positive ) +chipAddressBitNb = chipAddressBitNb ( positive ) +chipBankAddressBitNb = chipBankAddressBitNb ( positive ) +rowAddressBitNb = rowAddressBitNb ( positive ) +colAddressBitNb = colAddressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "chipAddressBitNb" +) +(GiElement +name "chipBankAddressBitNb" +type "positive" +value "chipBankAddressBitNb" +) +(GiElement +name "rowAddressBitNb" +type "positive" +value "rowAddressBitNb" +) +(GiElement +name "colAddressBitNb" +type "positive" +value "colAddressBitNb" +) +] +) +viewicon (ZoomableIcon +uid 3934,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "138250,47250,139750,48750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*140 (SaComponent +uid 4230,0 +optionalChildren [ +*141 (CptPort +uid 4158,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4159,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,46625,74750,47375" +) +tg (CPTG +uid 4160,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4161,0 +va (VaSet +) +xt "68400,46500,73000,47500" +st "addrSelCol" +ju 2 +blo "73000,47300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 8 +suid 149,0 +) +) +) +*142 (CptPort +uid 4162,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4163,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,42625,74750,43375" +) +tg (CPTG +uid 4164,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4165,0 +va (VaSet +) +xt "66200,42500,73000,43500" +st "addrSelModeReg" +ju 2 +blo "73000,43300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 9 +suid 150,0 +) +) +) +*143 (CptPort +uid 4166,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4167,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,40625,74750,41375" +) +tg (CPTG +uid 4168,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4169,0 +va (VaSet +) +xt "66000,40500,73000,41500" +st "addrSelPrecharge" +ju 2 +blo "73000,41300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 10 +suid 151,0 +) +) +) +*144 (CptPort +uid 4170,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4171,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,44625,74750,45375" +) +tg (CPTG +uid 4172,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4173,0 +va (VaSet +) +xt "68100,44500,73000,45500" +st "addrSelRow" +ju 2 +blo "73000,45300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 11 +suid 152,0 +) +) +) +*145 (CptPort +uid 4174,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4175,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,54625,58000,55375" +) +tg (CPTG +uid 4176,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4177,0 +va (VaSet +) +xt "59000,54500,61100,55500" +st "clock" +blo "59000,55300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 153,0 +) +) +) +*146 (CptPort +uid 4178,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4179,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,54625,74750,55375" +) +tg (CPTG +uid 4180,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4181,0 +va (VaSet +) +xt "67700,54500,73000,55500" +st "commandBus" +ju 2 +blo "73000,55300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "commandBus" +t "std_ulogic_vector" +b "( commandBusBitNb-1 DOWNTO 0 )" +o 12 +suid 154,0 +) +) +) +*147 (CptPort +uid 4182,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4183,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,36625,58000,37375" +) +tg (CPTG +uid 4184,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4185,0 +va (VaSet +) +xt "59000,36500,66500,37500" +st "endOfRefreshCount" +blo "59000,37300" +) +) +thePort (LogicalPort +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 2 +suid 155,0 +) +) +) +*148 (CptPort +uid 4186,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4187,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,36625,74750,37375" +) +tg (CPTG +uid 4188,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4189,0 +va (VaSet +) +xt "67400,36500,73000,37500" +st "powerUpDone" +ju 2 +blo "73000,37300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 13 +suid 156,0 +) +) +) +*149 (CptPort +uid 4190,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4191,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,52625,74750,53375" +) +tg (CPTG +uid 4192,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4193,0 +va (VaSet +) +xt "67600,52500,73000,53500" +st "ramDataValid" +ju 2 +blo "73000,53300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 157,0 +) +) +) +*150 (CptPort +uid 4194,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4195,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,52625,58000,53375" +) +tg (CPTG +uid 4196,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4197,0 +va (VaSet +) +xt "59000,52500,61600,53500" +st "ramEn" +blo "59000,53300" +) +) +thePort (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 3 +suid 158,0 +) +) +) +*151 (CptPort +uid 4198,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4199,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,48625,58000,49375" +) +tg (CPTG +uid 4200,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4201,0 +va (VaSet +) +xt "59000,48500,62100,49500" +st "readAck" +blo "59000,49300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "readAck" +t "std_ulogic" +o 15 +suid 159,0 +) +) +) +*152 (CptPort +uid 4202,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4203,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,46625,58000,47375" +) +tg (CPTG +uid 4204,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4205,0 +va (VaSet +) +xt "59000,46500,64200,47500" +st "readRequest" +blo "59000,47300" +) +) +thePort (LogicalPort +decl (Decl +n "readRequest" +t "std_ulogic" +o 4 +suid 160,0 +) +) +) +*153 (CptPort +uid 4206,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4207,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,56625,58000,57375" +) +tg (CPTG +uid 4208,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4209,0 +va (VaSet +) +xt "59000,56500,61100,57500" +st "reset" +blo "59000,57300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 161,0 +) +) +) +*154 (CptPort +uid 4210,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4211,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,48625,74750,49375" +) +tg (CPTG +uid 4212,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4213,0 +va (VaSet +) +xt "68200,48500,73000,49500" +st "sampleData" +ju 2 +blo "73000,49300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sampleData" +t "std_ulogic" +o 16 +suid 162,0 +) +) +) +*155 (CptPort +uid 4214,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4215,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,38625,58000,39375" +) +tg (CPTG +uid 4216,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4217,0 +va (VaSet +) +xt "59000,38500,62900,39500" +st "timerDone" +blo "59000,39300" +) +) +thePort (LogicalPort +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "( 1 TO maxDelayPeriodNb )" +o 6 +suid 163,0 +) +) +) +*156 (CptPort +uid 4218,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4219,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "74000,38625,74750,39375" +) +tg (CPTG +uid 4220,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 4221,0 +va (VaSet +) +xt "68900,38500,73000,39500" +st "timerStart" +ju 2 +blo "73000,39300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "timerStart" +t "std_ulogic" +o 17 +suid 164,0 +) +) +) +*157 (CptPort +uid 4222,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4223,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,44625,58000,45375" +) +tg (CPTG +uid 4224,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4225,0 +va (VaSet +) +xt "59000,44500,62200,45500" +st "writeAck" +blo "59000,45300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "writeAck" +t "std_ulogic" +o 18 +suid 165,0 +) +) +) +*158 (CptPort +uid 4226,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 4227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57250,42625,58000,43375" +) +tg (CPTG +uid 4228,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 4229,0 +va (VaSet +) +xt "59000,42500,64300,43500" +st "writeRequest" +blo "59000,43300" +) +) +thePort (LogicalPort +decl (Decl +n "writeRequest" +t "std_ulogic" +o 7 +suid 166,0 +) +) +) +] +shape (Rectangle +uid 4231,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "58000,33000,74000,59000" +) +oxt "35000,6000,51000,32000" +ttg (MlTextGroup +uid 4232,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*159 (Text +uid 4233,0 +va (VaSet +font "courier,8,1" +) +xt "58750,59000,62050,60000" +st "memory" +blo "58750,59800" +tm "BdLibraryNameMgr" +) +*160 (Text +uid 4234,0 +va (VaSet +font "courier,8,1" +) +xt "58750,60000,67250,61000" +st "sdramControllerFsm" +blo "58750,60800" +tm "CptNameMgr" +) +*161 (Text +uid 4235,0 +va (VaSet +font "courier,8,1" +) +xt "58750,61000,60550,62000" +st "U_0" +blo "58750,61800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 4236,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 4237,0 +text (MLText +uid 4238,0 +va (VaSet +font "courier,8,0" +) +xt "39000,62000,85000,71000" +st "commandBusBitNb = commandBusBitNb ( positive ) +maxDelayPeriodNb = maxDelayPeriodNb ( positive ) +prechargeToRefreshPeriodNb = 2 ( positive ) --66MHz * 20 ns = 1.32 +refreshDelayPeriodNb = 5 ( positive ) --66MHz * 66ns = 4.356 +loadModeToActivePeriodNb = 1 ( positive ) --1 CK +activeToWritePeriodNb = 2 ( positive ) --66MHz * 20ns = 1.32 +writeToActivePeriodNb = 3 ( positive ) --1 CK + 66MHz * 20ns = 2.32 +activeToReadPeriodNb = 2 ( positive ) --66MHz * 20ns = 1.32 +readToSamplePeriodNb = 2 ( positive ) --2 CK with latency = 2 +readToActivePeriodNb = 3 ( positive ) --1 CK + 66MHz * 20ns = 2.32 " +) +header "" +) +elements [ +(GiElement +name "commandBusBitNb" +type "positive" +value "commandBusBitNb" +) +(GiElement +name "maxDelayPeriodNb" +type "positive" +value "maxDelayPeriodNb" +) +(GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +(GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +(GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +(GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +(GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +(GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +] +) +viewicon (ZoomableIcon +uid 4239,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "58250,57250,59750,58750" +iconName "StateMachineViewIcon.png" +iconMaskName "StateMachineViewIcon.msk" +ftype 3 +) +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*162 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "154750,37000,162000,37000" +pts [ +"162000,37000" +"154750,37000" +] +) +start &1 +end &134 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +font "courier,12,0" +) +xt "156000,35600,165700,37000" +st "memAddress" +blo "156000,36800" +tm "WireNameMgr" +) +) +on &2 +) +*163 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "74750,76000,83000,76000" +pts [ +"83000,76000" +"74750,76000" +] +) +start &3 +end &111 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +font "courier,12,0" +) +xt "76000,74600,84100,76000" +st "memDataIn" +blo "76000,75800" +tm "WireNameMgr" +) +) +on &4 +) +*164 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "154750,61000,162000,61000" +pts [ +"162000,61000" +"154750,61000" +] +) +start &5 +end &102 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +font "courier,12,0" +) +xt "161000,59600,170900,61000" +st "memDataOut" +blo "161000,60800" +tm "WireNameMgr" +) +) +on &6 +) +*165 (Wire +uid 113,0 +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +) +xt "114000,83000,122000,83000" +pts [ +"122000,83000" +"114000,83000" +] +) +start &7 +end &59 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +font "courier,12,0" +) +xt "116000,81600,123200,83000" +st "memWr_n" +blo "116000,82800" +tm "WireNameMgr" +) +) +on &8 +) +*166 (Wire +uid 127,0 +shape (OrthoPolyLine +uid 128,0 +va (VaSet +vasetType 3 +) +xt "50000,53000,57250,53000" +pts [ +"50000,53000" +"57250,53000" +] +) +start &9 +end &150 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 131,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 132,0 +va (VaSet +font "courier,12,0" +) +xt "50000,51600,54800,53000" +st "ramEn" +blo "50000,52800" +tm "WireNameMgr" +) +) +on &10 +) +*167 (Wire +uid 141,0 +shape (OrthoPolyLine +uid 142,0 +va (VaSet +vasetType 3 +) +xt "10000,49000,17250,49000" +pts [ +"10000,49000" +"17250,49000" +] +) +start &11 +end &82 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 145,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 146,0 +va (VaSet +font "courier,12,0" +) +xt "10000,47600,14800,49000" +st "ramRd" +blo "10000,48800" +tm "WireNameMgr" +) +) +on &12 +) +*168 (Wire +uid 169,0 +shape (OrthoPolyLine +uid 170,0 +va (VaSet +vasetType 3 +) +xt "54000,57000,57250,57000" +pts [ +"54000,57000" +"57250,57000" +] +) +start &15 +end &153 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 173,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 174,0 +va (VaSet +font "courier,12,0" +) +xt "53000,55600,57100,57000" +st "reset" +blo "53000,56800" +tm "WireNameMgr" +) +) +on &16 +) +*169 (Wire +uid 183,0 +shape (OrthoPolyLine +uid 184,0 +va (VaSet +vasetType 3 +) +xt "114000,81000,122000,81000" +pts [ +"122000,81000" +"114000,81000" +] +) +start &17 +end &59 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 187,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 188,0 +va (VaSet +font "courier,12,0" +) +xt "117000,79600,123300,81000" +st "sdCas_n" +blo "117000,80800" +tm "WireNameMgr" +) +) +on &18 +) +*170 (Wire +uid 197,0 +shape (OrthoPolyLine +uid 198,0 +va (VaSet +vasetType 3 +) +xt "154000,19000,162000,19000" +pts [ +"162000,19000" +"154000,19000" +] +) +start &19 +end &52 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 201,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 202,0 +va (VaSet +font "courier,12,0" +) +xt "159000,17600,163700,19000" +st "sdCke" +blo "159000,18800" +tm "WireNameMgr" +) +) +on &20 +) +*171 (Wire +uid 211,0 +shape (OrthoPolyLine +uid 212,0 +va (VaSet +vasetType 3 +) +xt "154000,21000,162000,21000" +pts [ +"162000,21000" +"154000,21000" +] +) +start &21 +end &52 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 215,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 216,0 +va (VaSet +font "courier,12,0" +) +xt "159000,19600,163200,21000" +st "sdClk" +blo "159000,20800" +tm "WireNameMgr" +) +) +on &22 +) +*172 (Wire +uid 225,0 +shape (OrthoPolyLine +uid 226,0 +va (VaSet +vasetType 3 +) +xt "114000,77000,122000,77000" +pts [ +"122000,77000" +"114000,77000" +] +) +start &23 +end &59 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 229,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 230,0 +va (VaSet +font "courier,12,0" +) +xt "118000,75600,123500,77000" +st "sdCs_n" +blo "118000,76800" +tm "WireNameMgr" +) +) +on &24 +) +*173 (Wire +uid 267,0 +shape (OrthoPolyLine +uid 268,0 +va (VaSet +vasetType 3 +) +xt "114000,79000,122000,79000" +pts [ +"122000,79000" +"114000,79000" +] +) +start &25 +end &59 +sat 32 +eat 2 +st 0 +sf 1 +si 0 +tg (WTG +uid 271,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 272,0 +va (VaSet +font "courier,12,0" +) +xt "117000,77600,123200,79000" +st "sdRas_n" +blo "117000,78800" +tm "WireNameMgr" +) +) +on &26 +) +*174 (Wire +uid 605,0 +shape (OrthoPolyLine +uid 606,0 +va (VaSet +vasetType 3 +) +xt "54000,55000,57250,55000" +pts [ +"54000,55000" +"57250,55000" +] +) +start &38 +end &145 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 609,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 610,0 +va (VaSet +font "courier,12,0" +) +xt "54000,53600,57800,55000" +st "clock" +blo "54000,54800" +tm "WireNameMgr" +) +) +on &39 +) +*175 (Wire +uid 619,0 +shape (OrthoPolyLine +uid 620,0 +va (VaSet +vasetType 3 +) +xt "74750,53000,82000,53000" +pts [ +"74750,53000" +"82000,53000" +] +) +start &149 +end &40 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 623,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 624,0 +va (VaSet +font "courier,12,0" +) +xt "76000,51600,86000,53000" +st "ramDataValid" +blo "76000,52800" +tm "WireNameMgr" +) +) +on &41 +) +*176 (Wire +uid 633,0 +shape (OrthoPolyLine +uid 634,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "130000,37000,137250,37000" +pts [ +"130000,37000" +"137250,37000" +] +) +start &42 +end &136 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 637,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 638,0 +va (VaSet +font "courier,12,0" +) +xt "130000,35600,136100,37000" +st "ramAddr" +blo "130000,36800" +tm "WireNameMgr" +) +) +on &43 +) +*177 (Wire +uid 647,0 +shape (OrthoPolyLine +uid 648,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "130000,61000,137250,61000" +pts [ +"130000,61000" +"137250,61000" +] +) +start &44 +end &103 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 651,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 652,0 +va (VaSet +font "courier,12,0" +) +xt "129000,59600,138300,61000" +st "ramDataOut" +blo "129000,60800" +tm "WireNameMgr" +) +) +on &45 +) +*178 (Wire +uid 661,0 +shape (OrthoPolyLine +uid 662,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "50000,76000,57250,76000" +pts [ +"57250,76000" +"50000,76000" +] +) +start &112 +end &46 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 665,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 666,0 +va (VaSet +font "courier,12,0" +) +xt "50000,74600,57500,76000" +st "ramDataIn" +blo "50000,75800" +tm "WireNameMgr" +) +) +on &47 +) +*179 (Wire +uid 1322,0 +shape (OrthoPolyLine +uid 1323,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "114000,85000,122000,85000" +pts [ +"114000,85000" +"122000,85000" +] +) +start &59 +end &48 +sat 2 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1326,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1327,0 +va (VaSet +font "courier,12,0" +) +xt "117000,83600,122100,85000" +st "sdDqm" +blo "117000,84800" +tm "WireNameMgr" +) +) +on &49 +) +*180 (Wire +uid 1336,0 +shape (OrthoPolyLine +uid 1337,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "154750,39000,162000,39000" +pts [ +"154750,39000" +"162000,39000" +] +) +start &135 +end &50 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1340,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1341,0 +va (VaSet +font "courier,12,0" +) +xt "156000,37600,168800,39000" +st "memBankAddress" +blo "156000,38800" +tm "WireNameMgr" +) +) +on &51 +) +*181 (Wire +uid 1637,0 +shape (OrthoPolyLine +uid 1638,0 +va (VaSet +vasetType 3 +) +xt "94000,43000,97250,43000" +pts [ +"94000,43000" +"97250,43000" +] +) +end &122 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1643,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1644,0 +va (VaSet +font "courier,12,0" +) +xt "93000,41600,97100,43000" +st "reset" +blo "93000,42800" +tm "WireNameMgr" +) +) +on &16 +) +*182 (Wire +uid 1645,0 +shape (OrthoPolyLine +uid 1646,0 +va (VaSet +vasetType 3 +) +xt "94000,41000,97250,41000" +pts [ +"94000,41000" +"97250,41000" +] +) +end &119 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1651,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1652,0 +va (VaSet +font "courier,12,0" +) +xt "94000,39600,97800,41000" +st "clock" +blo "94000,40800" +tm "WireNameMgr" +) +) +on &39 +) +*183 (Wire +uid 1655,0 +shape (OrthoPolyLine +uid 1656,0 +va (VaSet +vasetType 3 +) +xt "74750,37000,97250,37000" +pts [ +"74750,37000" +"97250,37000" +] +) +start &148 +end &121 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1661,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1662,0 +va (VaSet +font "courier,12,0" +) +xt "76000,35600,86600,37000" +st "powerUpDone" +blo "76000,36800" +tm "WireNameMgr" +) +) +on &56 +) +*184 (Wire +uid 1671,0 +shape (OrthoPolyLine +uid 1672,0 +va (VaSet +vasetType 3 +) +xt "54000,29000,118000,37000" +pts [ +"114750,37000" +"118000,37000" +"118000,29000" +"54000,29000" +"54000,37000" +"57250,37000" +] +) +start &120 +end &147 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1677,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1678,0 +va (VaSet +font "courier,12,0" +) +xt "117000,35600,131000,37000" +st "endOfRefreshCount" +blo "117000,36800" +tm "WireNameMgr" +) +) +on &57 +) +*185 (Wire +uid 1687,0 +shape (OrthoPolyLine +uid 1688,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "74750,55000,98000,77000" +pts [ +"74750,55000" +"86000,55000" +"86000,77000" +"98000,77000" +] +) +start &146 +end &59 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1693,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1694,0 +va (VaSet +font "courier,12,0" +) +xt "88000,75600,97900,77000" +st "commandBus" +blo "88000,76800" +tm "WireNameMgr" +) +) +on &58 +) +*186 (Wire +uid 1761,0 +shape (OrthoPolyLine +uid 1762,0 +va (VaSet +vasetType 3 +) +xt "74750,39000,97250,57000" +pts [ +"74750,39000" +"90000,39000" +"90000,57000" +"97250,57000" +] +) +start &156 +end &96 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1767,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1768,0 +va (VaSet +font "courier,12,0" +) +xt "76000,37600,83900,39000" +st "timerStart" +blo "76000,38800" +tm "WireNameMgr" +) +) +on &63 +) +*187 (Wire +uid 1769,0 +shape (OrthoPolyLine +uid 1770,0 +va (VaSet +vasetType 3 +) +xt "94000,61000,97250,61000" +pts [ +"94000,61000" +"97250,61000" +] +) +end &93 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1775,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1776,0 +va (VaSet +font "courier,12,0" +) +xt "94000,59600,97800,61000" +st "clock" +blo "94000,60800" +tm "WireNameMgr" +) +) +on &39 +) +*188 (Wire +uid 1777,0 +shape (OrthoPolyLine +uid 1778,0 +va (VaSet +vasetType 3 +) +xt "94000,63000,97250,63000" +pts [ +"94000,63000" +"97250,63000" +] +) +end &94 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1783,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1784,0 +va (VaSet +font "courier,12,0" +) +xt "93000,61600,97100,63000" +st "reset" +blo "93000,62800" +tm "WireNameMgr" +) +) +on &16 +) +*189 (Wire +uid 1789,0 +shape (OrthoPolyLine +uid 1790,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "52000,27000,120000,57000" +pts [ +"114750,57000" +"120000,57000" +"120000,27000" +"52000,27000" +"52000,39000" +"57250,39000" +] +) +start &95 +end &155 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 1795,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1796,0 +va (VaSet +font "courier,12,0" +) +xt "117000,55600,124300,57000" +st "timerDone" +blo "117000,56800" +tm "WireNameMgr" +) +) +on &64 +) +*190 (Wire +uid 1916,0 +shape (OrthoPolyLine +uid 1917,0 +va (VaSet +vasetType 3 +) +xt "130000,39000,137250,39000" +pts [ +"130000,39000" +"137250,39000" +] +) +end &132 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 1922,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1923,0 +va (VaSet +font "courier,12,0" +) +xt "127000,37600,139800,39000" +st "addrSelPrecharge" +blo "127000,38800" +tm "WireNameMgr" +) +) +on &65 +) +*191 (Wire +uid 1926,0 +shape (OrthoPolyLine +uid 1927,0 +va (VaSet +vasetType 3 +) +xt "74750,41000,82000,41000" +pts [ +"74750,41000" +"82000,41000" +] +) +start &143 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 1932,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 1933,0 +va (VaSet +font "courier,12,0" +) +xt "76000,39600,88800,41000" +st "addrSelPrecharge" +blo "76000,40800" +tm "WireNameMgr" +) +) +on &65 +) +*192 (Wire +uid 2043,0 +shape (OrthoPolyLine +uid 2044,0 +va (VaSet +vasetType 3 +) +xt "74750,43000,82000,43000" +pts [ +"74750,43000" +"82000,43000" +] +) +start &142 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 2049,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2050,0 +va (VaSet +font "courier,12,0" +) +xt "76000,41600,88200,43000" +st "addrSelModeReg" +blo "76000,42800" +tm "WireNameMgr" +) +) +on &66 +) +*193 (Wire +uid 2053,0 +shape (OrthoPolyLine +uid 2054,0 +va (VaSet +vasetType 3 +) +xt "130000,41000,137250,41000" +pts [ +"130000,41000" +"137250,41000" +] +) +end &131 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2059,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2060,0 +va (VaSet +font "courier,12,0" +) +xt "127000,39600,139200,41000" +st "addrSelModeReg" +blo "127000,40800" +tm "WireNameMgr" +) +) +on &66 +) +*194 (Wire +uid 2063,0 +shape (OrthoPolyLine +uid 2064,0 +va (VaSet +vasetType 3 +) +xt "130000,63000,137250,63000" +pts [ +"130000,63000" +"137250,63000" +] +) +end &104 +sat 16 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2069,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2070,0 +va (VaSet +font "courier,12,0" +) +xt "131000,61600,136000,63000" +st "ramWr" +blo "131000,62800" +tm "WireNameMgr" +) +) +on &14 +) +*195 (Wire +uid 2071,0 +shape (OrthoPolyLine +uid 2072,0 +va (VaSet +vasetType 3 +) +xt "134000,67000,137250,67000" +pts [ +"134000,67000" +"137250,67000" +] +) +end &101 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2077,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2078,0 +va (VaSet +font "courier,12,0" +) +xt "134000,65600,137800,67000" +st "clock" +blo "134000,66800" +tm "WireNameMgr" +) +) +on &39 +) +*196 (Wire +uid 2079,0 +shape (OrthoPolyLine +uid 2080,0 +va (VaSet +vasetType 3 +) +xt "134000,69000,137250,69000" +pts [ +"134000,69000" +"137250,69000" +] +) +end &105 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2085,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2086,0 +va (VaSet +font "courier,12,0" +) +xt "133000,67600,137100,69000" +st "reset" +blo "133000,68800" +tm "WireNameMgr" +) +) +on &16 +) +*197 (Wire +uid 2234,0 +shape (OrthoPolyLine +uid 2235,0 +va (VaSet +vasetType 3 +) +xt "10000,27000,17250,27000" +pts [ +"10000,27000" +"17250,27000" +] +) +start &13 +end &71 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2240,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2241,0 +va (VaSet +font "courier,12,0" +) +xt "10000,25600,15000,27000" +st "ramWr" +blo "10000,26800" +tm "WireNameMgr" +) +) +on &14 +) +*198 (Wire +uid 2242,0 +shape (OrthoPolyLine +uid 2243,0 +va (VaSet +vasetType 3 +) +xt "14000,33000,17250,33000" +pts [ +"14000,33000" +"17250,33000" +] +) +end &70 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2248,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2249,0 +va (VaSet +font "courier,12,0" +) +xt "14000,31600,17800,33000" +st "clock" +blo "14000,32800" +tm "WireNameMgr" +) +) +on &39 +) +*199 (Wire +uid 2250,0 +shape (OrthoPolyLine +uid 2251,0 +va (VaSet +vasetType 3 +) +xt "14000,35000,17250,35000" +pts [ +"14000,35000" +"17250,35000" +] +) +end &72 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2256,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2257,0 +va (VaSet +font "courier,12,0" +) +xt "13000,33600,17100,35000" +st "reset" +blo "13000,34800" +tm "WireNameMgr" +) +) +on &16 +) +*200 (Wire +uid 2258,0 +shape (OrthoPolyLine +uid 2259,0 +va (VaSet +vasetType 3 +) +xt "34750,27000,42000,27000" +pts [ +"34750,27000" +"42000,27000" +] +) +start &74 +ss 0 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2264,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2265,0 +va (VaSet +font "courier,12,0" +) +xt "36000,25600,46000,27000" +st "writeRequest" +blo "36000,26800" +tm "WireNameMgr" +) +) +on &67 +) +*201 (Wire +uid 2268,0 +shape (OrthoPolyLine +uid 2269,0 +va (VaSet +vasetType 3 +) +xt "10000,29000,17250,29000" +pts [ +"17250,29000" +"10000,29000" +] +) +start &73 +ss 0 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2274,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2275,0 +va (VaSet +font "courier,12,0" +) +xt "11000,27600,17100,29000" +st "writeAck" +blo "11000,28800" +tm "WireNameMgr" +) +) +on &68 +) +*202 (Wire +uid 2342,0 +shape (OrthoPolyLine +uid 2343,0 +va (VaSet +vasetType 3 +) +xt "50000,45000,57250,45000" +pts [ +"57250,45000" +"50000,45000" +] +) +start &157 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2348,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2349,0 +va (VaSet +font "courier,12,0" +) +xt "49000,43600,55100,45000" +st "writeAck" +blo "49000,44800" +tm "WireNameMgr" +) +) +on &68 +) +*203 (Wire +uid 2350,0 +shape (OrthoPolyLine +uid 2351,0 +va (VaSet +vasetType 3 +) +xt "50000,43000,57250,43000" +pts [ +"57250,43000" +"50000,43000" +] +) +start &158 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2356,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2357,0 +va (VaSet +font "courier,12,0" +) +xt "48000,41600,58000,43000" +st "writeRequest" +blo "48000,42800" +tm "WireNameMgr" +) +) +on &67 +) +*204 (Wire +uid 2358,0 +shape (OrthoPolyLine +uid 2359,0 +va (VaSet +vasetType 3 +) +xt "74750,45000,82000,45000" +pts [ +"74750,45000" +"82000,45000" +] +) +start &144 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 2364,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2365,0 +va (VaSet +font "courier,12,0" +) +xt "76000,43600,85100,45000" +st "addrSelRow" +blo "76000,44800" +tm "WireNameMgr" +) +) +on &78 +) +*205 (Wire +uid 2368,0 +shape (OrthoPolyLine +uid 2369,0 +va (VaSet +vasetType 3 +) +xt "130000,43000,137250,43000" +pts [ +"130000,43000" +"137250,43000" +] +) +end &133 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2374,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2375,0 +va (VaSet +font "courier,12,0" +) +xt "128000,41600,137100,43000" +st "addrSelRow" +blo "128000,42800" +tm "WireNameMgr" +) +) +on &78 +) +*206 (Wire +uid 2376,0 +shape (OrthoPolyLine +uid 2377,0 +va (VaSet +vasetType 3 +) +xt "74750,47000,82000,47000" +pts [ +"74750,47000" +"82000,47000" +] +) +start &141 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 2382,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2383,0 +va (VaSet +font "courier,12,0" +) +xt "76000,45600,84400,47000" +st "addrSelCol" +blo "76000,46800" +tm "WireNameMgr" +) +) +on &79 +) +*207 (Wire +uid 2386,0 +shape (OrthoPolyLine +uid 2387,0 +va (VaSet +vasetType 3 +) +xt "130000,45000,137250,45000" +pts [ +"130000,45000" +"137250,45000" +] +) +end &130 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2392,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2393,0 +va (VaSet +font "courier,12,0" +) +xt "128000,43600,136400,45000" +st "addrSelCol" +blo "128000,44800" +tm "WireNameMgr" +) +) +on &79 +) +*208 (Wire +uid 2428,0 +shape (OrthoPolyLine +uid 2429,0 +va (VaSet +vasetType 3 +) +xt "14000,57000,17250,57000" +pts [ +"14000,57000" +"17250,57000" +] +) +end &83 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2432,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2433,0 +va (VaSet +font "courier,12,0" +) +xt "13000,55600,17100,57000" +st "reset" +blo "13000,56800" +tm "WireNameMgr" +) +) +on &16 +) +*209 (Wire +uid 2434,0 +shape (OrthoPolyLine +uid 2435,0 +va (VaSet +vasetType 3 +) +xt "14000,55000,17250,55000" +pts [ +"14000,55000" +"17250,55000" +] +) +end &81 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2438,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2439,0 +va (VaSet +font "courier,12,0" +) +xt "14000,53600,17800,55000" +st "clock" +blo "14000,54800" +tm "WireNameMgr" +) +) +on &39 +) +*210 (Wire +uid 2440,0 +shape (OrthoPolyLine +uid 2441,0 +va (VaSet +vasetType 3 +) +xt "10000,51000,17250,51000" +pts [ +"17250,51000" +"10000,51000" +] +) +start &84 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2446,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2447,0 +va (VaSet +font "courier,12,0" +) +xt "11000,49600,16800,51000" +st "readAck" +blo "11000,50800" +tm "WireNameMgr" +) +) +on &89 +) +*211 (Wire +uid 2448,0 +shape (OrthoPolyLine +uid 2449,0 +va (VaSet +vasetType 3 +) +xt "34750,49000,42000,49000" +pts [ +"34750,49000" +"42000,49000" +] +) +start &85 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2454,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2455,0 +va (VaSet +font "courier,12,0" +) +xt "36000,47600,45700,49000" +st "readRequest" +blo "36000,48800" +tm "WireNameMgr" +) +) +on &90 +) +*212 (Wire +uid 2460,0 +shape (OrthoPolyLine +uid 2461,0 +va (VaSet +vasetType 3 +) +xt "50000,47000,57250,47000" +pts [ +"57250,47000" +"50000,47000" +] +) +start &152 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2466,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2467,0 +va (VaSet +font "courier,12,0" +) +xt "49000,45600,58700,47000" +st "readRequest" +blo "49000,46800" +tm "WireNameMgr" +) +) +on &90 +) +*213 (Wire +uid 2468,0 +shape (OrthoPolyLine +uid 2469,0 +va (VaSet +vasetType 3 +) +xt "50000,49000,57250,49000" +pts [ +"57250,49000" +"50000,49000" +] +) +start &151 +sat 32 +eat 16 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 2474,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2475,0 +va (VaSet +font "courier,12,0" +) +xt "49000,47600,54800,49000" +st "readAck" +blo "49000,48800" +tm "WireNameMgr" +) +) +on &89 +) +*214 (Wire +uid 2490,0 +shape (OrthoPolyLine +uid 2491,0 +va (VaSet +vasetType 3 +) +xt "54000,82000,57250,82000" +pts [ +"54000,82000" +"57250,82000" +] +) +end &110 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2496,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2497,0 +va (VaSet +font "courier,12,0" +) +xt "54000,80600,57800,82000" +st "clock" +blo "54000,81800" +tm "WireNameMgr" +) +) +on &39 +) +*215 (Wire +uid 2498,0 +shape (OrthoPolyLine +uid 2499,0 +va (VaSet +vasetType 3 +) +xt "54000,84000,57250,84000" +pts [ +"54000,84000" +"57250,84000" +] +) +end &113 +sat 16 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +uid 2504,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2505,0 +va (VaSet +font "courier,12,0" +) +xt "53000,82600,57100,84000" +st "reset" +blo "53000,83800" +tm "WireNameMgr" +) +) +on &16 +) +*216 (Wire +uid 2508,0 +shape (OrthoPolyLine +uid 2509,0 +va (VaSet +vasetType 3 +) +xt "74750,49000,82000,49000" +pts [ +"74750,49000" +"82000,49000" +] +) +start &154 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 2514,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2515,0 +va (VaSet +font "courier,12,0" +) +xt "76000,47600,85100,49000" +st "sampleData" +blo "76000,48800" +tm "WireNameMgr" +) +) +on &91 +) +*217 (Wire +uid 2518,0 +shape (OrthoPolyLine +uid 2519,0 +va (VaSet +vasetType 3 +) +xt "50000,80000,57250,80000" +pts [ +"57250,80000" +"50000,80000" +] +) +start &114 +sat 32 +eat 16 +st 0 +sf 1 +si 0 +tg (WTG +uid 2524,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 2525,0 +va (VaSet +font "courier,12,0" +) +xt "48000,78600,57100,80000" +st "sampleData" +blo "48000,79800" +tm "WireNameMgr" +) +) +on &91 +) +*218 (Wire +uid 3580,0 +shape (OrthoPolyLine +uid 3581,0 +va (VaSet +vasetType 3 +) +xt "114750,39000,122000,39000" +pts [ +"114750,39000" +"122000,39000" +] +) +start &123 +end &128 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 3584,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 3585,0 +va (VaSet +font "courier,12,0" +) +xt "116750,37600,126850,39000" +st "selectRefresh" +blo "116750,38800" +tm "WireNameMgr" +) +) +on &127 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *219 (PackageList +uid 345,0 +stg "VerticalLayoutStrategy" +textVec [ +*220 (Text +uid 346,0 +va (VaSet +font "courier,8,1" +) +xt "-10000,0,-4600,1000" +st "Package List" +blo "-10000,800" +) +*221 (MLText +uid 347,0 +va (VaSet +) +xt "-10000,1000,8600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 348,0 +stg "VerticalLayoutStrategy" +textVec [ +*222 (Text +uid 349,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*223 (Text +uid 350,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*224 (MLText +uid 351,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*225 (Text +uid 352,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*226 (MLText +uid 353,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "BdCompilerDirectivesTextMgr" +) +*227 (Text +uid 354,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*228 (MLText +uid 355,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "193,111,1505,984" +viewArea "-11177,81929,31991,110521" +cachedDiagramExtent "-10000,0,177800,124000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +scale 40 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-10000,0" +lastUid 4544,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*229 (Text +va (VaSet +font "courier,12,0" +) +xt "2200,3500,8700,4900" +st "" +blo "2200,4700" +tm "BdLibraryNameMgr" +) +*230 (Text +va (VaSet +font "courier,12,0" +) +xt "2200,4900,8000,6300" +st "" +blo "2200,6100" +tm "BlkNameMgr" +) +*231 (Text +va (VaSet +font "courier,12,0" +) +xt "2200,6300,5500,7700" +st "U_0" +blo "2200,7500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2200,13500,2200,13500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*232 (Text +va (VaSet +font "courier,8,1" +) +xt "550,3500,3450,4500" +st "Library" +blo "550,4300" +) +*233 (Text +va (VaSet +font "courier,8,1" +) +xt "550,4500,7450,5500" +st "MWComponent" +blo "550,5300" +) +*234 (Text +va (VaSet +font "courier,8,1" +) +xt "550,5500,2350,6500" +st "U_0" +blo "550,6300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6450,1500,-6450,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*235 (Text +va (VaSet +) +xt "900,3500,5300,4700" +st "Library" +blo "900,4500" +tm "BdLibraryNameMgr" +) +*236 (Text +va (VaSet +) +xt "900,4700,10200,5900" +st "SaComponent" +blo "900,5700" +tm "CptNameMgr" +) +*237 (Text +va (VaSet +) +xt "900,5900,3700,7100" +st "U_0" +blo "900,6900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6100,1500,-6100,1500" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +portVis (PortSigDisplay +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*238 (Text +va (VaSet +) +xt "500,3500,4900,4700" +st "Library" +blo "500,4500" +) +*239 (Text +va (VaSet +) +xt "500,4700,10800,5900" +st "VhdlComponent" +blo "500,5700" +) +*240 (Text +va (VaSet +) +xt "500,5900,3300,7100" +st "U_0" +blo "500,6900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6500,1500,-6500,1500" +) +header "" +) +elements [ +] +) +portVis (PortSigDisplay +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-450,0,8450,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*241 (Text +va (VaSet +) +xt "50,3500,4450,4700" +st "Library" +blo "50,4500" +) +*242 (Text +va (VaSet +) +xt "50,4700,11750,5900" +st "VerilogComponent" +blo "50,5700" +) +*243 (Text +va (VaSet +) +xt "50,5900,2850,7100" +st "U_0" +blo "50,6900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "-6950,1500,-6950,1500" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*244 (Text +va (VaSet +font "courier,9,0" +) +xt "3150,4000,5150,5200" +st "eb1" +blo "3150,4900" +tm "HdlTextNameMgr" +) +*245 (Text +va (VaSet +font "courier,9,0" +) +xt "3150,5200,4150,6400" +st "1" +blo "3150,6100" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "0,0,1500,1500" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,9,0" +) +xt "-750,-600,750,600" +st "G" +blo "-750,400" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "-1375,-1000,-1375,-1000" +ju 2 +blo "-1375,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "625,-1000,625,-1000" +blo "625,-1000" +tm "WireNameMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +sf 1 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,-375,0,-375" +blo "0,-375" +tm "WireNameMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,-400,3400,1000" +st "sig0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,-400,4700,1000" +st "dbus0" +blo "0,800" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,9,0" +) +) +second (MLText +va (VaSet +font "courier,9,0" +) +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,17400,-100" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*246 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*247 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1100,10800,-100" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1250,1450" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*248 (Text +va (VaSet +font "courier,8,1" +) +xt "14100,20000,22000,21000" +st "Frame Declarations" +blo "14100,20800" +) +*249 (MLText +va (VaSet +) +xt "14100,21000,14100,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,9,0" +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,9,0" +) +xt "0,750,2800,1950" +st "Port" +blo "0,1750" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,9,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-10000,70600,-4600,71600" +st "Declarations" +blo "-10000,71400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-10000,71600,-7300,72600" +st "Ports:" +blo "-10000,72400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-10000,97800,-6200,98800" +st "Pre User:" +blo "-10000,98600" +) +preUserText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,98800,29500,105100" +st "constant commandBusBitNb: positive := 6; +--constant maxDelayPeriodNb: positive := 5; + +-- delay counter: +-- 20120621 -- zas -- added to generics +--constant delayCounterBitNb: positive := 13; -- 66MHz * 100us = 6600 < 8K +--constant refreshPeriodNb: positive := 1031; -- 66MHz * 64ms / 4096" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,8,1" +) +xt "-10000,104400,-2900,105400" +st "Diagram Signals:" +blo "-10000,105200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-10000,70600,-5300,71600" +st "Post User:" +blo "-10000,71400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-10000,70600,-10000,70600" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 53,0 +usingSuid 1 +emptyRow *250 (LEmptyRow +) +uid 358,0 +optionalChildren [ +*251 (RefLabelRowHdr +) +*252 (TitleRowHdr +) +*253 (FilterRowHdr +) +*254 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*255 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*256 (GroupColHdr +tm "GroupColHdrMgr" +) +*257 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*258 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*259 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*260 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*261 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*262 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*263 (LeafLogPort +port (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 6,0 +) +) +uid 283,0 +) +*264 (LeafLogPort +port (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 5 +suid 9,0 +) +) +uid 285,0 +) +*265 (LeafLogPort +port (LogicalPort +decl (Decl +n "ramRd" +t "std_ulogic" +o 6 +suid 10,0 +) +) +uid 287,0 +) +*266 (LeafLogPort +port (LogicalPort +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 11,0 +) +) +uid 289,0 +) +*267 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 12,0 +) +) +uid 291,0 +) +*268 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 4,0 +) +) +uid 293,0 +) +*269 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 7,0 +) +) +uid 295,0 +) +*270 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 8,0 +) +) +uid 297,0 +) +*271 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sdCas_n" +t "std_ulogic" +o 15 +suid 13,0 +) +) +uid 299,0 +) +*272 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sdCke" +t "std_ulogic" +o 16 +suid 14,0 +) +) +uid 301,0 +) +*273 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sdClk" +t "std_ulogic" +o 17 +suid 15,0 +) +) +uid 303,0 +) +*274 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sdCs_n" +t "std_ulogic" +o 18 +suid 16,0 +) +) +uid 305,0 +) +*275 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sdRas_n" +t "std_ulogic" +o 20 +suid 19,0 +) +) +uid 311,0 +) +*276 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 23,0 +) +) +uid 590,0 +) +*277 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 24,0 +) +) +uid 592,0 +) +*278 (LeafLogPort +port (LogicalPort +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 25,0 +) +) +uid 594,0 +) +*279 (LeafLogPort +port (LogicalPort +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 26,0 +) +) +uid 596,0 +) +*280 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 27,0 +) +) +uid 598,0 +) +*281 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sdDqm" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 19 +suid 28,0 +) +) +uid 1313,0 +) +*282 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 29,0 +) +) +uid 1315,0 +) +*283 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 28 +suid 32,0 +) +) +uid 1667,0 +) +*284 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 27 +suid 35,0 +) +) +uid 1683,0 +) +*285 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "commandBus" +t "std_ulogic_vector" +b "(commandBusBitNb-1 DOWNTO 0)" +o 26 +suid 37,0 +) +) +uid 1707,0 +) +*286 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "timerStart" +t "std_ulogic" +o 33 +suid 39,0 +) +) +uid 1797,0 +) +*287 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "(1 TO maxDelayPeriodNb)" +o 32 +suid 41,0 +) +) +uid 1803,0 +) +*288 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 24 +suid 43,0 +) +) +uid 1934,0 +) +*289 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 23 +suid 44,0 +) +) +uid 2061,0 +) +*290 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "writeRequest" +t "std_ulogic" +o 35 +suid 45,0 +) +) +uid 2278,0 +) +*291 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "writeAck" +t "std_ulogic" +o 34 +suid 46,0 +) +) +uid 2280,0 +) +*292 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 25 +suid 47,0 +) +) +uid 2394,0 +) +*293 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 22 +suid 48,0 +) +) +uid 2396,0 +) +*294 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "readAck" +t "std_ulogic" +o 29 +suid 49,0 +) +) +uid 2476,0 +) +*295 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "readRequest" +t "std_ulogic" +o 30 +suid 50,0 +) +) +uid 2478,0 +) +*296 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sampleData" +t "std_ulogic" +o 31 +suid 52,0 +) +) +uid 2526,0 +) +*297 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 21 +suid 53,0 +) +) +uid 3592,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 371,0 +optionalChildren [ +*298 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *299 (MRCItem +litem &250 +pos 35 +dimension 20 +) +uid 373,0 +optionalChildren [ +*300 (MRCItem +litem &251 +pos 0 +dimension 20 +uid 374,0 +) +*301 (MRCItem +litem &252 +pos 1 +dimension 23 +uid 375,0 +) +*302 (MRCItem +litem &253 +pos 2 +hidden 1 +dimension 20 +uid 376,0 +) +*303 (MRCItem +litem &263 +pos 5 +dimension 20 +uid 284,0 +) +*304 (MRCItem +litem &264 +pos 8 +dimension 20 +uid 286,0 +) +*305 (MRCItem +litem &265 +pos 9 +dimension 20 +uid 288,0 +) +*306 (MRCItem +litem &266 +pos 10 +dimension 20 +uid 290,0 +) +*307 (MRCItem +litem &267 +pos 11 +dimension 20 +uid 292,0 +) +*308 (MRCItem +litem &268 +pos 3 +dimension 20 +uid 294,0 +) +*309 (MRCItem +litem &269 +pos 6 +dimension 20 +uid 296,0 +) +*310 (MRCItem +litem &270 +pos 7 +dimension 20 +uid 298,0 +) +*311 (MRCItem +litem &271 +pos 12 +dimension 20 +uid 300,0 +) +*312 (MRCItem +litem &272 +pos 13 +dimension 20 +uid 302,0 +) +*313 (MRCItem +litem &273 +pos 14 +dimension 20 +uid 304,0 +) +*314 (MRCItem +litem &274 +pos 15 +dimension 20 +uid 306,0 +) +*315 (MRCItem +litem &275 +pos 17 +dimension 20 +uid 312,0 +) +*316 (MRCItem +litem &276 +pos 0 +dimension 20 +uid 589,0 +) +*317 (MRCItem +litem &277 +pos 1 +dimension 20 +uid 591,0 +) +*318 (MRCItem +litem &278 +pos 2 +dimension 20 +uid 593,0 +) +*319 (MRCItem +litem &279 +pos 4 +dimension 20 +uid 595,0 +) +*320 (MRCItem +litem &280 +pos 18 +dimension 20 +uid 597,0 +) +*321 (MRCItem +litem &281 +pos 16 +dimension 20 +uid 1312,0 +) +*322 (MRCItem +litem &282 +pos 19 +dimension 20 +uid 1314,0 +) +*323 (MRCItem +litem &283 +pos 21 +dimension 20 +uid 1668,0 +) +*324 (MRCItem +litem &284 +pos 22 +dimension 20 +uid 1684,0 +) +*325 (MRCItem +litem &285 +pos 23 +dimension 20 +uid 1708,0 +) +*326 (MRCItem +litem &286 +pos 24 +dimension 20 +uid 1798,0 +) +*327 (MRCItem +litem &287 +pos 25 +dimension 20 +uid 1804,0 +) +*328 (MRCItem +litem &288 +pos 26 +dimension 20 +uid 1935,0 +) +*329 (MRCItem +litem &289 +pos 27 +dimension 20 +uid 2062,0 +) +*330 (MRCItem +litem &290 +pos 28 +dimension 20 +uid 2279,0 +) +*331 (MRCItem +litem &291 +pos 29 +dimension 20 +uid 2281,0 +) +*332 (MRCItem +litem &292 +pos 30 +dimension 20 +uid 2395,0 +) +*333 (MRCItem +litem &293 +pos 31 +dimension 20 +uid 2397,0 +) +*334 (MRCItem +litem &294 +pos 32 +dimension 20 +uid 2477,0 +) +*335 (MRCItem +litem &295 +pos 33 +dimension 20 +uid 2479,0 +) +*336 (MRCItem +litem &296 +pos 34 +dimension 20 +uid 2527,0 +) +*337 (MRCItem +litem &297 +pos 20 +dimension 20 +uid 3593,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 377,0 +optionalChildren [ +*338 (MRCItem +litem &254 +pos 0 +dimension 20 +uid 378,0 +) +*339 (MRCItem +litem &256 +pos 1 +dimension 50 +uid 379,0 +) +*340 (MRCItem +litem &257 +pos 2 +dimension 100 +uid 380,0 +) +*341 (MRCItem +litem &258 +pos 3 +dimension 50 +uid 381,0 +) +*342 (MRCItem +litem &259 +pos 4 +dimension 100 +uid 382,0 +) +*343 (MRCItem +litem &260 +pos 5 +dimension 100 +uid 383,0 +) +*344 (MRCItem +litem &261 +pos 6 +dimension 50 +uid 384,0 +) +*345 (MRCItem +litem &262 +pos 7 +dimension 80 +uid 385,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 372,0 +vaOverrides [ +] +) +] +) +uid 357,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *346 (LEmptyRow +) +uid 387,0 +optionalChildren [ +*347 (RefLabelRowHdr +) +*348 (TitleRowHdr +) +*349 (FilterRowHdr +) +*350 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*351 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*352 (GroupColHdr +tm "GroupColHdrMgr" +) +*353 (NameColHdr +tm "GenericNameColHdrMgr" +) +*354 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*355 (InitColHdr +tm "GenericValueColHdrMgr" +) +*356 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*357 (EolColHdr +tm "GenericEolColHdrMgr" +) +*358 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 852,0 +) +*359 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 854,0 +) +*360 (LogGeneric +generic (GiElement +name "chipAddressBitNb" +type "positive" +value "12" +) +uid 1038,0 +) +*361 (LogGeneric +generic (GiElement +name "chipBankAddressBitNb" +type "positive" +value "2" +) +uid 1444,0 +) +*362 (LogGeneric +generic (GiElement +name "rowAddressBitNb" +type "positive" +value "12" +) +uid 3935,0 +) +*363 (LogGeneric +generic (GiElement +name "colAddressBitNb" +type "positive" +value "9" +) +uid 3937,0 +) +*364 (LogGeneric +generic (GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 4122,0 +) +*365 (LogGeneric +generic (GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 4124,0 +) +*366 (LogGeneric +generic (GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +uid 4126,0 +) +*367 (LogGeneric +generic (GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +uid 4128,0 +) +*368 (LogGeneric +generic (GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 4130,0 +) +*369 (LogGeneric +generic (GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +uid 4132,0 +) +*370 (LogGeneric +generic (GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +uid 4134,0 +) +*371 (LogGeneric +generic (GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 4136,0 +) +*372 (LogGeneric +generic (GiElement +name "delayCounterbitNb" +type "positive" +value "13" +e "66MHz * 100us = 6600 < 8K" +) +uid 4138,0 +) +*373 (LogGeneric +generic (GiElement +name "refreshPeriodNb" +type "positive" +value "1031" +e "66MHz * 64ms / 4096" +) +uid 4140,0 +) +*374 (LogGeneric +generic (GiElement +name "maxDelayPeriodNb" +type "positive" +value "5" +e "66MHz*66ns = 4.356" +) +uid 4341,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 399,0 +optionalChildren [ +*375 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *376 (MRCItem +litem &346 +pos 17 +dimension 20 +) +uid 401,0 +optionalChildren [ +*377 (MRCItem +litem &347 +pos 0 +dimension 20 +uid 402,0 +) +*378 (MRCItem +litem &348 +pos 1 +dimension 23 +uid 403,0 +) +*379 (MRCItem +litem &349 +pos 2 +hidden 1 +dimension 20 +uid 404,0 +) +*380 (MRCItem +litem &358 +pos 0 +dimension 20 +uid 851,0 +) +*381 (MRCItem +litem &359 +pos 1 +dimension 20 +uid 853,0 +) +*382 (MRCItem +litem &360 +pos 2 +dimension 20 +uid 1037,0 +) +*383 (MRCItem +litem &361 +pos 3 +dimension 20 +uid 1443,0 +) +*384 (MRCItem +litem &362 +pos 4 +dimension 20 +uid 3936,0 +) +*385 (MRCItem +litem &363 +pos 5 +dimension 20 +uid 3938,0 +) +*386 (MRCItem +litem &364 +pos 6 +dimension 20 +uid 4123,0 +) +*387 (MRCItem +litem &365 +pos 7 +dimension 20 +uid 4125,0 +) +*388 (MRCItem +litem &366 +pos 8 +dimension 20 +uid 4127,0 +) +*389 (MRCItem +litem &367 +pos 9 +dimension 20 +uid 4129,0 +) +*390 (MRCItem +litem &368 +pos 10 +dimension 20 +uid 4131,0 +) +*391 (MRCItem +litem &369 +pos 11 +dimension 20 +uid 4133,0 +) +*392 (MRCItem +litem &370 +pos 12 +dimension 20 +uid 4135,0 +) +*393 (MRCItem +litem &371 +pos 13 +dimension 20 +uid 4137,0 +) +*394 (MRCItem +litem &372 +pos 14 +dimension 20 +uid 4139,0 +) +*395 (MRCItem +litem &373 +pos 15 +dimension 20 +uid 4141,0 +) +*396 (MRCItem +litem &374 +pos 16 +dimension 20 +uid 4342,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 405,0 +optionalChildren [ +*397 (MRCItem +litem &350 +pos 0 +dimension 20 +uid 406,0 +) +*398 (MRCItem +litem &352 +pos 1 +dimension 50 +uid 407,0 +) +*399 (MRCItem +litem &353 +pos 2 +dimension 222 +uid 408,0 +) +*400 (MRCItem +litem &354 +pos 3 +dimension 100 +uid 409,0 +) +*401 (MRCItem +litem &355 +pos 4 +dimension 50 +uid 410,0 +) +*402 (MRCItem +litem &356 +pos 5 +dimension 50 +uid 411,0 +) +*403 (MRCItem +litem &357 +pos 6 +dimension 256 +uid 412,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 400,0 +vaOverrides [ +] +) +] +) +uid 386,0 +type 1 +) +activeModelName "BlockDiag:GEN" +) diff --git a/Libs/Memory/hds/sdram@controller/symbol.sb b/Libs/Memory/hds/sdram@controller/symbol.sb new file mode 100644 index 0000000..0ae9d39 --- /dev/null +++ b/Libs/Memory/hds/sdram@controller/symbol.sb @@ -0,0 +1,2973 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 44,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 186,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 20,0 +) +) +uid 397,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 21,0 +) +) +uid 399,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 22,0 +) +) +uid 401,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 23,0 +) +) +uid 403,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 24,0 +) +) +uid 405,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 25,0 +) +) +uid 407,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 26,0 +) +) +uid 409,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 27,0 +) +) +uid 411,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 5 +suid 28,0 +) +) +uid 413,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "ramRd" +t "std_ulogic" +o 6 +suid 29,0 +) +) +uid 415,0 +) +*24 (LogPort +port (LogicalPort +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 30,0 +) +) +uid 417,0 +) +*25 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 31,0 +) +) +uid 419,0 +) +*26 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sdCas_n" +t "std_ulogic" +o 15 +suid 32,0 +) +) +uid 421,0 +) +*27 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sdCke" +t "std_ulogic" +o 16 +suid 33,0 +) +) +uid 423,0 +) +*28 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sdClk" +t "std_ulogic" +o 17 +suid 34,0 +) +) +uid 425,0 +) +*29 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sdCs_n" +t "std_ulogic" +o 18 +suid 35,0 +) +) +uid 427,0 +) +*30 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sdDqm" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 19 +suid 36,0 +) +) +uid 429,0 +) +*31 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sdRas_n" +t "std_ulogic" +o 20 +suid 38,0 +) +) +uid 433,0 +) +*32 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 42,0 +) +) +uid 492,0 +) +*33 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 43,0 +) +) +uid 553,0 +) +*34 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 21 +suid 44,0 +) +) +uid 650,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 199,0 +optionalChildren [ +*35 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *36 (MRCItem +litem &1 +pos 21 +dimension 20 +) +uid 201,0 +optionalChildren [ +*37 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 202,0 +) +*38 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 203,0 +) +*39 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 204,0 +) +*40 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 398,0 +) +*41 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 400,0 +) +*42 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 402,0 +) +*43 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 404,0 +) +*44 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 406,0 +) +*45 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 408,0 +) +*46 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 410,0 +) +*47 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 412,0 +) +*48 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 414,0 +) +*49 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 416,0 +) +*50 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 418,0 +) +*51 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 420,0 +) +*52 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 422,0 +) +*53 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 424,0 +) +*54 (MRCItem +litem &28 +pos 14 +dimension 20 +uid 426,0 +) +*55 (MRCItem +litem &29 +pos 15 +dimension 20 +uid 428,0 +) +*56 (MRCItem +litem &30 +pos 16 +dimension 20 +uid 430,0 +) +*57 (MRCItem +litem &31 +pos 17 +dimension 20 +uid 434,0 +) +*58 (MRCItem +litem &32 +pos 18 +dimension 20 +uid 493,0 +) +*59 (MRCItem +litem &33 +pos 19 +dimension 20 +uid 554,0 +) +*60 (MRCItem +litem &34 +pos 20 +dimension 20 +uid 649,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 205,0 +optionalChildren [ +*61 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 206,0 +) +*62 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 207,0 +) +*63 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 208,0 +) +*64 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 209,0 +) +*65 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 210,0 +) +*66 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 211,0 +) +*67 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 212,0 +) +*68 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 213,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 200,0 +vaOverrides [ +] +) +] +) +uid 185,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *69 (LEmptyRow +) +uid 215,0 +optionalChildren [ +*70 (RefLabelRowHdr +) +*71 (TitleRowHdr +) +*72 (FilterRowHdr +) +*73 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*74 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*75 (GroupColHdr +tm "GroupColHdrMgr" +) +*76 (NameColHdr +tm "GenericNameColHdrMgr" +) +*77 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*78 (InitColHdr +tm "GenericValueColHdrMgr" +) +*79 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*80 (EolColHdr +tm "GenericEolColHdrMgr" +) +*81 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 542,0 +) +*82 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 544,0 +) +*83 (LogGeneric +generic (GiElement +name "chipAddressBitNb" +type "positive" +value "12" +) +uid 546,0 +) +*84 (LogGeneric +generic (GiElement +name "chipBankAddressBitNb" +type "positive" +value "2" +) +uid 555,0 +) +*85 (LogGeneric +generic (GiElement +name "rowAddressBitNb" +type "positive" +value "12" +) +uid 726,0 +) +*86 (LogGeneric +generic (GiElement +name "colAddressBitNb" +type "positive" +value "9" +) +uid 728,0 +) +*87 (LogGeneric +generic (GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 753,0 +) +*88 (LogGeneric +generic (GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 755,0 +) +*89 (LogGeneric +generic (GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +uid 757,0 +) +*90 (LogGeneric +generic (GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +uid 759,0 +) +*91 (LogGeneric +generic (GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 761,0 +) +*92 (LogGeneric +generic (GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +uid 763,0 +) +*93 (LogGeneric +generic (GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +uid 765,0 +) +*94 (LogGeneric +generic (GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 767,0 +) +*95 (LogGeneric +generic (GiElement +name "delayCounterbitNb" +type "positive" +value "13" +e "66MHz * 100us = 6600 < 8K" +) +uid 769,0 +) +*96 (LogGeneric +generic (GiElement +name "refreshPeriodNb" +type "positive" +value "1031" +e "66MHz * 64ms / 4096" +) +uid 771,0 +) +*97 (LogGeneric +generic (GiElement +name "maxDelayPeriodNb" +type "positive" +value "5" +e "66MHz*66ns = 4.356" +) +uid 796,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 227,0 +optionalChildren [ +*98 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *99 (MRCItem +litem &69 +pos 4 +dimension 20 +) +uid 229,0 +optionalChildren [ +*100 (MRCItem +litem &70 +pos 0 +dimension 20 +uid 230,0 +) +*101 (MRCItem +litem &71 +pos 1 +dimension 23 +uid 231,0 +) +*102 (MRCItem +litem &72 +pos 2 +hidden 1 +dimension 20 +uid 232,0 +) +*103 (MRCItem +litem &81 +pos 0 +dimension 20 +uid 543,0 +) +*104 (MRCItem +litem &82 +pos 1 +dimension 20 +uid 545,0 +) +*105 (MRCItem +litem &83 +pos 2 +dimension 20 +uid 547,0 +) +*106 (MRCItem +litem &84 +pos 3 +dimension 20 +uid 556,0 +) +*107 (MRCItem +litem &85 +pos 4 +dimension 20 +uid 725,0 +) +*108 (MRCItem +litem &86 +pos 5 +dimension 20 +uid 727,0 +) +*109 (MRCItem +litem &87 +pos 6 +dimension 20 +uid 752,0 +) +*110 (MRCItem +litem &88 +pos 7 +dimension 20 +uid 754,0 +) +*111 (MRCItem +litem &89 +pos 8 +dimension 20 +uid 756,0 +) +*112 (MRCItem +litem &90 +pos 9 +dimension 20 +uid 758,0 +) +*113 (MRCItem +litem &91 +pos 10 +dimension 20 +uid 760,0 +) +*114 (MRCItem +litem &92 +pos 11 +dimension 20 +uid 762,0 +) +*115 (MRCItem +litem &93 +pos 12 +dimension 20 +uid 764,0 +) +*116 (MRCItem +litem &94 +pos 13 +dimension 20 +uid 766,0 +) +*117 (MRCItem +litem &95 +pos 14 +dimension 20 +uid 768,0 +) +*118 (MRCItem +litem &96 +pos 15 +dimension 20 +uid 770,0 +) +*119 (MRCItem +litem &97 +pos 16 +dimension 20 +uid 795,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 233,0 +optionalChildren [ +*120 (MRCItem +litem &73 +pos 0 +dimension 20 +uid 234,0 +) +*121 (MRCItem +litem &75 +pos 1 +dimension 50 +uid 235,0 +) +*122 (MRCItem +litem &76 +pos 2 +dimension 100 +uid 236,0 +) +*123 (MRCItem +litem &77 +pos 3 +dimension 100 +uid 237,0 +) +*124 (MRCItem +litem &78 +pos 4 +dimension 50 +uid 238,0 +) +*125 (MRCItem +litem &79 +pos 5 +dimension 50 +uid 239,0 +) +*126 (MRCItem +litem &80 +pos 6 +dimension 80 +uid 240,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 228,0 +vaOverrides [ +] +) +] +) +uid 214,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramController" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sdramController" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:14" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_HdsLintPlugin" +value "$HDS_PROJECT_DIR/../Demo/designcheck" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramController" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramController/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:14" +) +(vvPair +variable "unit" +value "sdramController" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 184,0 +optionalChildren [ +*127 (SymbolBody +uid 8,0 +optionalChildren [ +*128 (CptPort +uid 287,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 288,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,29625,42000,30375" +) +tg (CPTG +uid 289,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 290,0 +va (VaSet +) +xt "43000,29500,45100,30500" +st "clock" +blo "43000,30300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 291,0 +va (VaSet +font "courier,8,0" +) +xt "0,12400,19000,13300" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 20,0 +) +) +) +*129 (CptPort +uid 292,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 517,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,15625,42000,16375" +) +tg (CPTG +uid 294,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 295,0 +va (VaSet +) +xt "43000,15500,48400,16500" +st "ramDataValid" +blo "43000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 296,0 +va (VaSet +font "courier,8,0" +) +xt "0,24100,19000,25000" +st "ramDataValid : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 21,0 +) +) +) +*130 (CptPort +uid 297,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 298,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,5625,42000,6375" +) +tg (CPTG +uid 299,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 300,0 +va (VaSet +) +xt "43000,5500,46300,6500" +st "ramAddr" +blo "43000,6300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 301,0 +va (VaSet +font "courier,8,0" +) +xt "0,14200,30500,15100" +st "ramAddr : IN unsigned (addressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 22,0 +) +) +) +*131 (CptPort +uid 302,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 303,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,5625,58750,6375" +) +tg (CPTG +uid 304,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 305,0 +va (VaSet +) +xt "51800,5500,57000,6500" +st "memAddress" +ju 2 +blo "57000,6300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 306,0 +va (VaSet +font "courier,8,0" +) +xt "0,19600,38000,20500" +st "memAddress : OUT std_ulogic_vector ( chipAddressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 23,0 +) +) +) +*132 (CptPort +uid 307,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 308,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,9625,42000,10375" +) +tg (CPTG +uid 309,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 310,0 +va (VaSet +) +xt "43000,9500,47900,10500" +st "ramDataOut" +blo "43000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 311,0 +va (VaSet +font "courier,8,0" +) +xt "0,15100,33500,16000" +st "ramDataOut : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 24,0 +) +) +) +*133 (CptPort +uid 312,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 313,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,9625,58750,10375" +) +tg (CPTG +uid 314,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 315,0 +va (VaSet +) +xt "52800,9500,57000,10500" +st "memDataIn" +ju 2 +blo "57000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 316,0 +va (VaSet +font "courier,8,0" +) +xt "0,13300,33500,14200" +st "memDataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 25,0 +) +) +) +*134 (CptPort +uid 317,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 318,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,11625,58750,12375" +) +tg (CPTG +uid 319,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 320,0 +va (VaSet +) +xt "51800,11500,57000,12500" +st "memDataOut" +ju 2 +blo "57000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 321,0 +va (VaSet +font "courier,8,0" +) +xt "0,21400,33500,22300" +st "memDataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 26,0 +) +) +) +*135 (CptPort +uid 322,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 323,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,19625,58750,20375" +) +tg (CPTG +uid 324,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 325,0 +va (VaSet +) +xt "53200,19500,57000,20500" +st "memWr_n" +ju 2 +blo "57000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 326,0 +va (VaSet +font "courier,8,0" +) +xt "0,22300,19000,23200" +st "memWr_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 27,0 +) +) +) +*136 (CptPort +uid 327,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 328,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,27625,42000,28375" +) +tg (CPTG +uid 329,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 330,0 +va (VaSet +) +xt "43000,27500,45600,28500" +st "ramEn" +blo "43000,28300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 331,0 +va (VaSet +font "courier,8,0" +) +xt "0,16000,19000,16900" +st "ramEn : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 5 +suid 28,0 +) +) +) +*137 (CptPort +uid 332,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 333,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,11625,42000,12375" +) +tg (CPTG +uid 334,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 335,0 +va (VaSet +) +xt "43000,11500,45700,12500" +st "ramRd" +blo "43000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 336,0 +va (VaSet +font "courier,8,0" +) +xt "0,16900,19000,17800" +st "ramRd : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "ramRd" +t "std_ulogic" +o 6 +suid 29,0 +) +) +) +*138 (CptPort +uid 337,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 338,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,13625,42000,14375" +) +tg (CPTG +uid 339,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 340,0 +va (VaSet +) +xt "43000,13500,45700,14500" +st "ramWr" +blo "43000,14300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 341,0 +va (VaSet +font "courier,8,0" +) +xt "0,17800,19000,18700" +st "ramWr : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 30,0 +) +) +) +*139 (CptPort +uid 342,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 343,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,31625,42000,32375" +) +tg (CPTG +uid 344,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 345,0 +va (VaSet +) +xt "43000,31500,45100,32500" +st "reset" +blo "43000,32300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 346,0 +va (VaSet +font "courier,8,0" +) +xt "0,18700,19000,19600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 31,0 +) +) +) +*140 (CptPort +uid 347,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 348,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,17625,58750,18375" +) +tg (CPTG +uid 349,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 350,0 +va (VaSet +) +xt "53600,17500,57000,18500" +st "sdCas_n" +ju 2 +blo "57000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 351,0 +va (VaSet +font "courier,8,0" +) +xt "0,25000,19000,25900" +st "sdCas_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdCas_n" +t "std_ulogic" +o 15 +suid 32,0 +) +) +) +*141 (CptPort +uid 352,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 353,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,23625,58750,24375" +) +tg (CPTG +uid 354,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 355,0 +va (VaSet +) +xt "54500,23500,57000,24500" +st "sdCke" +ju 2 +blo "57000,24300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 356,0 +va (VaSet +font "courier,8,0" +) +xt "0,25900,19000,26800" +st "sdCke : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdCke" +t "std_ulogic" +o 16 +suid 33,0 +) +) +) +*142 (CptPort +uid 357,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 358,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,25625,58750,26375" +) +tg (CPTG +uid 359,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 360,0 +va (VaSet +) +xt "54700,25500,57000,26500" +st "sdClk" +ju 2 +blo "57000,26300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 361,0 +va (VaSet +font "courier,8,0" +) +xt "0,26800,19000,27700" +st "sdClk : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdClk" +t "std_ulogic" +o 17 +suid 34,0 +) +) +) +*143 (CptPort +uid 362,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 363,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,13625,58750,14375" +) +tg (CPTG +uid 364,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 365,0 +va (VaSet +) +xt "54000,13500,57000,14500" +st "sdCs_n" +ju 2 +blo "57000,14300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 366,0 +va (VaSet +font "courier,8,0" +) +xt "0,27700,19000,28600" +st "sdCs_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdCs_n" +t "std_ulogic" +o 18 +suid 35,0 +) +) +) +*144 (CptPort +uid 367,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 368,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,21625,58750,22375" +) +tg (CPTG +uid 369,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 370,0 +va (VaSet +) +xt "54100,21500,57000,22500" +st "sdDqm" +ju 2 +blo "57000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 371,0 +va (VaSet +font "courier,8,0" +) +xt "0,28600,28500,29500" +st "sdDqm : OUT std_ulogic_vector (1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdDqm" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 19 +suid 36,0 +) +) +) +*145 (CptPort +uid 377,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 378,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,15625,58750,16375" +) +tg (CPTG +uid 379,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 380,0 +va (VaSet +) +xt "53600,15500,57000,16500" +st "sdRas_n" +ju 2 +blo "57000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 381,0 +va (VaSet +font "courier,8,0" +) +xt "0,29500,19000,30400" +st "sdRas_n : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdRas_n" +t "std_ulogic" +o 20 +suid 38,0 +) +) +) +*146 (CptPort +uid 487,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 518,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,7625,42000,8375" +) +tg (CPTG +uid 489,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 490,0 +va (VaSet +) +xt "43000,7500,46900,8500" +st "ramDataIn" +blo "43000,8300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 491,0 +va (VaSet +font "courier,8,0" +) +xt "0,23200,33500,24100" +st "ramDataIn : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 42,0 +) +) +) +*147 (CptPort +uid 548,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 549,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,7625,58750,8375" +) +tg (CPTG +uid 550,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 551,0 +va (VaSet +) +xt "50200,7500,57000,8500" +st "memBankAddress" +ju 2 +blo "57000,8300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 552,0 +va (VaSet +font "courier,8,0" +) +xt "0,20500,40000,21400" +st "memBankAddress : OUT std_ulogic_vector ( chipBankAddressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 43,0 +) +) +) +*148 (CptPort +uid 651,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 652,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,29625,58750,30375" +) +tg (CPTG +uid 653,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 654,0 +va (VaSet +) +xt "51500,29500,57000,30500" +st "selectRefresh" +ju 2 +blo "57000,30300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 655,0 +va (VaSet +font "courier,8,0" +) +xt "0,30400,18000,31300" +st "selectRefresh : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 21 +suid 44,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "42000,2000,58000,34000" +) +oxt "15000,6000,31000,34000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "42550,34500,45550,35400" +st "Memory" +blo "42550,35200" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "42550,35400,50550,36300" +st "sdramController" +blo "42550,36100" +) +) +gi *149 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "42000,38200,77500,55300" +st "Generic Declarations + +addressBitNb positive 24 +dataBitNb positive 16 +chipAddressBitNb positive 12 +chipBankAddressBitNb positive 2 +rowAddressBitNb positive 12 +colAddressBitNb positive 9 +activeToReadPeriodNb positive 2 --66MHz * 20ns = 1.32 +activeToWritePeriodNb positive 2 --66MHz * 20ns = 1.32 +loadModeToActivePeriodNb positive 1 --1 CK +prechargeToRefreshPeriodNb positive 2 --66MHz * 20 ns = 1.32 +readToActivePeriodNb positive 3 --1 CK + 66MHz * 20ns = 2.32 +readToSamplePeriodNb positive 2 --2 CK with latency = 2 +refreshDelayPeriodNb positive 5 --66MHz * 66ns = 4.356 +writeToActivePeriodNb positive 3 --1 CK + 66MHz * 20ns = 2.32 +delayCounterbitNb positive 13 --66MHz * 100us = 6600 < 8K +refreshPeriodNb positive 1031 --66MHz * 64ms / 4096 +maxDelayPeriodNb positive 5 --66MHz*66ns = 4.356 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "12" +) +(GiElement +name "chipBankAddressBitNb" +type "positive" +value "2" +) +(GiElement +name "rowAddressBitNb" +type "positive" +value "12" +) +(GiElement +name "colAddressBitNb" +type "positive" +value "9" +) +(GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +(GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +(GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +(GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +(GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +(GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +(GiElement +name "delayCounterbitNb" +type "positive" +value "13" +e "66MHz * 100us = 6600 < 8K" +) +(GiElement +name "refreshPeriodNb" +type "positive" +value "1031" +e "66MHz * 64ms / 4096" +) +(GiElement +name "maxDelayPeriodNb" +type "positive" +value "5" +e "66MHz*66ns = 4.356" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*150 (Grouping +uid 16,0 +optionalChildren [ +*151 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,49200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*152 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*153 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*154 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*155 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*156 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*157 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*158 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*159 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*160 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,48600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *161 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*162 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*163 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "13,42,1372,937" +viewArea "-3000,-1000,73380,51327" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *164 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *165 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,10400,3400,11400" +st "Declarations" +blo "-2000,11200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,11400,700,12400" +st "Ports:" +blo "-2000,12200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,31300,500,32200" +st "User:" +blo "-2000,32000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,10400,3800,11400" +st "Internal User:" +blo "-2000,11200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,32200,0,32200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,10400,-2000,10400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 819,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/sdram@controller@build@address/symbol.sb b/Libs/Memory/hds/sdram@controller@build@address/symbol.sb new file mode 100644 index 0000000..5e8a713 --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@build@address/symbol.sb @@ -0,0 +1,1823 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 24,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 81,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 26 +suid 18,0 +) +) +uid 360,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 26 +suid 19,0 +) +) +uid 362,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 26 +suid 20,0 +) +) +uid 364,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 26 +suid 21,0 +) +) +uid 366,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 22,0 +) +) +uid 368,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 23,0 +) +) +uid 370,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 24,0 +) +) +uid 372,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 7 +dimension 20 +) +uid 96,0 +optionalChildren [ +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 97,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*25 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*26 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 361,0 +) +*27 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 363,0 +) +*28 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 365,0 +) +*29 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 367,0 +) +*30 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 369,0 +) +*31 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 371,0 +) +*32 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 373,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*33 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 101,0 +) +*34 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 102,0 +) +*35 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 103,0 +) +*36 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 104,0 +) +*37 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 105,0 +) +*38 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 106,0 +) +*39 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 107,0 +) +*40 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 108,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 80,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +*53 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 159,0 +) +*54 (LogGeneric +generic (GiElement +name "chipAddressBitNb" +type "positive" +value "12" +) +uid 161,0 +) +*55 (LogGeneric +generic (GiElement +name "chipBankAddressBitNb" +type "positive" +value "2" +) +uid 163,0 +) +*56 (LogGeneric +generic (GiElement +name "rowAddressBitNb" +type "positive" +value "12" +) +uid 420,0 +) +*57 (LogGeneric +generic (GiElement +name "colAddressBitNb" +type "positive" +value "2" +) +uid 422,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*58 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *59 (MRCItem +litem &41 +pos 5 +dimension 20 +) +uid 124,0 +optionalChildren [ +*60 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 125,0 +) +*61 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 126,0 +) +*62 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*63 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 160,0 +) +*64 (MRCItem +litem &54 +pos 1 +dimension 20 +uid 162,0 +) +*65 (MRCItem +litem &55 +pos 2 +dimension 20 +uid 164,0 +) +*66 (MRCItem +litem &56 +pos 3 +dimension 20 +uid 421,0 +) +*67 (MRCItem +litem &57 +pos 4 +dimension 20 +uid 423,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*68 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 129,0 +) +*69 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 130,0 +) +*70 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 131,0 +) +*71 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 132,0 +) +*72 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 133,0 +) +*73 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 134,0 +) +*74 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 135,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@build@address/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@build@address/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@build@address" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerBuildAddress" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sdramControllerBuildAddress" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:14" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerBuildAddress" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@build@address/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerBuildAddress/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:14" +) +(vvPair +variable "unit" +value "sdramControllerBuildAddress" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 325,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 326,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,17625,34000,18375" +) +tg (CPTG +uid 327,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 328,0 +va (VaSet +) +xt "35000,17500,39600,18500" +st "addrSelCol" +blo "35000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 329,0 +va (VaSet +font "courier,8,0" +) +xt "0,30400,20000,31300" +st "addrSelCol : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 26 +suid 18,0 +) +) +) +*77 (CptPort +uid 330,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 331,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,13625,34000,14375" +) +tg (CPTG +uid 332,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 333,0 +va (VaSet +) +xt "35000,13500,41800,14500" +st "addrSelModeReg" +blo "35000,14300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 334,0 +va (VaSet +font "courier,8,0" +) +xt "0,31300,20000,32200" +st "addrSelModeReg : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 26 +suid 19,0 +) +) +) +*78 (CptPort +uid 335,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 336,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,11625,34000,12375" +) +tg (CPTG +uid 337,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 338,0 +va (VaSet +) +xt "35000,11500,42000,12500" +st "addrSelPrecharge" +blo "35000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 339,0 +va (VaSet +font "courier,8,0" +) +xt "0,32200,20000,33100" +st "addrSelPrecharge : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 26 +suid 20,0 +) +) +) +*79 (CptPort +uid 340,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 341,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,15625,34000,16375" +) +tg (CPTG +uid 342,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 343,0 +va (VaSet +) +xt "35000,15500,39900,16500" +st "addrSelRow" +blo "35000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 344,0 +va (VaSet +font "courier,8,0" +) +xt "0,33100,20000,34000" +st "addrSelRow : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 26 +suid 21,0 +) +) +) +*80 (CptPort +uid 345,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 346,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,9625,50750,10375" +) +tg (CPTG +uid 347,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 348,0 +va (VaSet +) +xt "43800,9500,49000,10500" +st "memAddress" +ju 2 +blo "49000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 349,0 +va (VaSet +font "courier,8,0" +) +xt "0,34900,39000,35800" +st "memAddress : OUT std_ulogic_vector ( chipAddressBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 22,0 +) +) +) +*81 (CptPort +uid 350,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 351,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50000,11625,50750,12375" +) +tg (CPTG +uid 352,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 353,0 +va (VaSet +) +xt "42200,11500,49000,12500" +st "memBankAddress" +ju 2 +blo "49000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 354,0 +va (VaSet +font "courier,8,0" +) +xt "0,35800,40000,36700" +st "memBankAddress : OUT std_ulogic_vector ( chipBankAddressBitNb-1 DOWNTO 0 )" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 23,0 +) +) +) +*82 (CptPort +uid 355,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 356,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,9625,34000,10375" +) +tg (CPTG +uid 357,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 358,0 +va (VaSet +) +xt "35000,9500,38300,10500" +st "ramAddr" +blo "35000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 359,0 +va (VaSet +font "courier,8,0" +) +xt "0,34000,31500,34900" +st "ramAddr : IN unsigned (addressBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 24,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "34000,6000,50000,22000" +) +oxt "15000,6000,31000,23000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "33850,22500,36850,23400" +st "Memory" +blo "33850,23200" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "33850,23400,47850,24300" +st "sdramControllerBuildAddress" +blo "33850,24100" +) +) +gi *83 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "34000,26000,51500,32300" +st "Generic Declarations + +addressBitNb positive 24 +chipAddressBitNb positive 12 +chipBankAddressBitNb positive 2 +rowAddressBitNb positive 12 +colAddressBitNb positive 2 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "12" +) +(GiElement +name "chipBankAddressBitNb" +type "positive" +value "2" +) +(GiElement +name "rowAddressBitNb" +type "positive" +value "12" +) +(GiElement +name "colAddressBitNb" +type "positive" +value "2" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*84 (Grouping +uid 16,0 +optionalChildren [ +*85 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,49200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*93 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*94 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,44400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *95 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*96 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*97 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "11,45,1386,982" +viewArea "-3100,-1100,72602,50512" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "memory" +entityName "sdramController" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *98 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *99 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,28400,3400,29400" +st "Declarations" +blo "-2000,29200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,29400,700,30400" +st "Ports:" +blo "-2000,30200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,36700,500,37600" +st "User:" +blo "-2000,37400" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,28400,3800,29400" +st "Internal User:" +blo "-2000,29200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,37600,0,37600" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,28400,-2000,28400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 446,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory/hds/sdram@controller@fsm/fsm.sm b/Libs/Memory/hds/sdram@controller@fsm/fsm.sm new file mode 100644 index 0000000..d559982 --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@fsm/fsm.sm @@ -0,0 +1,11074 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +machine (Machine +name "csm" +children [ +(Machine +name "csm" +children [ +] +stateSignalName "current_state" +) +] +) +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (StateMachine +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm/fsm.sm.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm/fsm.sm.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "fsm" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerFsm" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sdramControllerFsm" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "fsm.sm" +) +(vvPair +variable "f_logical" +value "fsm.sm" +) +(vvPair +variable "f_noext" +value "fsm" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:15" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerFsm" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm/fsm.sm" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerFsm/fsm.sm" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sm" +) +(vvPair +variable "this_file" +value "fsm" +) +(vvPair +variable "this_file_logical" +value "fsm" +) +(vvPair +variable "time" +value "13:45:15" +) +(vvPair +variable "unit" +value "sdramControllerFsm" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "fsm" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +uid 156,0 +optionalChildren [ +*1 (ConcurrentSM +uid 1,0 +topDiagram (StateDiagram +LanguageMgr "None" +uid 2,0 +optionalChildren [ +*2 (State +uid 39,0 +shape (Circle +uid 40,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "6000,24000,14000,32000" +radius 4000 +) +name (Text +uid 41,0 +va (VaSet +font "courier,10,1" +) +xt "7500,27400,12500,28600" +st "powerUp" +ju 0 +blo "10000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 42,0 +ps "CenterOffsetStrategy" +text (Text +uid 43,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "10100,28300,13700,29500" +st "wait 2" +blo "10100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 44,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "10000,29000,10000,29000" +blo "10000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 47,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 48,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "7900,29400,16100,30600" +) +autoResize 1 +tline (Line +uid 49,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8000,29300,16000,29300" +pts [ +"8000,29300" +"16000,29300" +] +) +bline (Line +uid 50,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8000,28650,16000,28650" +pts [ +"8000,28650" +"16000,28650" +] +) +ttri (Triangle +uid 51,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "7550,28925,7900,29275" +) +btri (Triangle +uid 52,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "7550,26625,7900,26975" +) +entryActions (MLText +uid 53,0 +va (VaSet +) +xt "8000,29100,8000,29100" +tm "Actions" +) +inActions (MLText +uid 54,0 +va (VaSet +) +xt "8000,29500,20000,30500" +st "powerUpDone <= '0';" +tm "Actions" +) +exitActions (MLText +uid 55,0 +va (VaSet +) +xt "8000,26800,8000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 45,0 +ps "CenterOffsetStrategy" +text (MLText +uid 46,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "8400,29000,13900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*3 (SmClockPoint +uid 56,0 +shape (CompositeShape +uid 57,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 58,0 +sl 0 +ro 270 +xt "3250,10500,5500,11500" +) +(OrthoPolyLine +uid 59,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "4150,10700,4749,11300" +pts [ +"4150,10700" +"4449,10700" +"4449,11300" +"4749,11300" +] +) +(Arc2D +pts [ +"3759,11153" +"3504,10847" +"3759,10847" +] +uid 60,0 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "3431,10800,3759,11199" +) +] +) +name (TextAssociate +uid 61,0 +ps "CenterOffsetStrategy" +text (Text +uid 62,0 +va (VaSet +font "courier,8,0" +) +xt "650,10500,2750,11500" +st "clock" +ju 2 +blo "2750,11300" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +uid 63,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 64,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "5500,10450,15200,11550" +) +autoResize 1 +cond (MLText +uid 65,0 +va (VaSet +font "courier,8,0" +) +xt "5600,10550,15100,11450" +st "rising_edge(clock)" +tm "SmControlConditionMgr" +) +) +edge 2 +) +*4 (SmResetPoint +uid 66,0 +shape (CompositeShape +uid 67,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +uid 68,0 +sl 0 +ro 270 +xt "3250,14500,5500,15500" +) +(OrthoPolyLine +uid 69,0 +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "4150,14700,4749,15300" +pts [ +"4749,14700" +"4449,14700" +"4449,15300" +"4150,15300" +] +) +(Line +uid 70,0 +sl 0 +ro 270 +xt "3499,14825,3599,14875" +pts [ +"3499,14875" +"3599,14825" +] +) +(Line +uid 71,0 +sl 0 +ro 270 +xt "3499,14825,3499,15175" +pts [ +"3499,15175" +"3499,14825" +] +) +(Circle +uid 72,0 +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "4299,14850,4599,15150" +radius 150 +) +] +) +cond (SmControlCondition +uid 78,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 79,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1650,12950,8050,14050" +) +autoResize 1 +cond (MLText +uid 80,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "1750,13050,7950,13950" +st "reset = '1'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +uid 75,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 76,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5500,14219,7062,15781" +radius 781 +) +pr (Text +uid 77,0 +va (VaSet +isHidden 1 +) +xt "5881,14500,6681,15500" +st "1" +ju 0 +blo "6281,15300" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +uid 73,0 +ps "CenterOffsetStrategy" +text (Text +uid 74,0 +va (VaSet +font "courier,8,0" +) +xt "1150,14500,3250,15500" +st "reset" +ju 2 +blo "3250,15300" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +uid 81,0 +ps "CenterOffsetStrategy" +text (MLText +uid 82,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "11125,19125,18125,20025" +st "< Automatic >" +tm "Actions" +) +) +level 1 +) +*5 (Link +uid 83,0 +shape (CompositeShape +uid 84,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 85,0 +sl 0 +ro 270 +xt "13500,14500,15750,15500" +) +(Line +uid 86,0 +sl 0 +ro 270 +xt "13000,15000,13500,15000" +pts [ +"13000,15000" +"13500,15000" +] +) +] +) +name (TextAssociate +uid 87,0 +ps "CenterOffsetStrategy" +text (Text +uid 88,0 +va (VaSet +font "courier,8,1" +) +xt "16250,14500,19950,15500" +st "powerUp" +blo "16250,15300" +tm "LinkName" +) +) +) +*6 (SmRecoveryStatePoint +uid 89,0 +shape (CompositeShape +uid 90,0 +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +uid 91,0 +sl 0 +xt "3250,12100,5050,13900" +radius 900 +) +(Line +uid 92,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,12574,4576,13426" +pts [ +"3724,13426" +"4576,12574" +] +) +(Line +uid 93,0 +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "3724,12574,4576,13426" +pts [ +"4576,13426" +"3724,12574" +] +) +] +) +) +*7 (Link +uid 94,0 +shape (CompositeShape +uid 95,0 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +uid 96,0 +sl 0 +ro 270 +xt "13500,12500,15750,13500" +) +(Line +uid 97,0 +sl 0 +ro 270 +xt "13000,13000,13500,13000" +pts [ +"13000,13000" +"13500,13000" +] +) +] +) +name (TextAssociate +uid 98,0 +ps "CenterOffsetStrategy" +text (Text +uid 99,0 +va (VaSet +font "courier,8,1" +) +xt "16250,12500,19950,13500" +st "powerUp" +blo "16250,13300" +tm "LinkName" +) +) +) +*8 (Grouping +uid 120,0 +optionalChildren [ +*9 (CommentText +uid 122,0 +shape (Rectangle +uid 123,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "110000,96000,127000,97000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 124,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "110200,96000,125200,97000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*10 (CommentText +uid 125,0 +shape (Rectangle +uid 126,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "127000,92000,131000,93000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 127,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "127200,92000,130800,93000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*11 (CommentText +uid 128,0 +shape (Rectangle +uid 129,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "110000,94000,127000,95000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 130,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "110200,94000,126400,95000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*12 (CommentText +uid 131,0 +shape (Rectangle +uid 132,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,94000,110000,95000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 133,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "106200,94000,109800,95000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*13 (CommentText +uid 134,0 +shape (Rectangle +uid 135,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "127000,93000,147000,97000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 136,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "127200,93200,140400,94200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*14 (CommentText +uid 137,0 +shape (Rectangle +uid 138,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "131000,92000,147000,93000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 139,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "131200,92000,133000,93000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*15 (CommentText +uid 140,0 +shape (Rectangle +uid 141,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,92000,127000,94000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 142,0 +va (VaSet +fg "32768,0,0" +) +xt "112000,92500,121000,93500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*16 (CommentText +uid 143,0 +shape (Rectangle +uid 144,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,95000,110000,96000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 145,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "106200,95000,109200,96000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*17 (CommentText +uid 146,0 +shape (Rectangle +uid 147,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "106000,96000,110000,97000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 148,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "106200,96000,109800,97000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*18 (CommentText +uid 149,0 +shape (Rectangle +uid 150,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "110000,95000,127000,96000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 151,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "110200,95000,126400,96000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 121,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "106000,92000,147000,97000" +) +oxt "14000,66000,55000,71000" +) +*19 (State +uid 229,0 +shape (Circle +uid 230,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "22000,24000,30000,32000" +radius 4000 +) +name (Text +uid 231,0 +va (VaSet +font "courier,10,1" +) +xt "23050,27400,28950,28600" +st "initialize1" +ju 0 +blo "26000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 232,0 +ps "CenterOffsetStrategy" +text (Text +uid 233,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "26100,28300,29700,29500" +st "wait 2" +blo "26100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 234,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "26000,29000,26000,29000" +blo "26000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 237,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 238,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "23500,28400,34500,31600" +) +autoResize 1 +tline (Line +uid 239,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23600,28300,34400,28300" +pts [ +"23600,28300" +"34400,28300" +] +) +bline (Line +uid 240,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23600,29150,34400,29150" +pts [ +"23600,29150" +"34400,29150" +] +) +ttri (Triangle +uid 241,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23150,27925,23500,28275" +) +btri (Triangle +uid 242,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23150,26625,23500,26975" +) +entryActions (MLText +uid 243,0 +va (VaSet +) +xt "23600,28100,23600,28100" +tm "Actions" +) +inActions (MLText +uid 244,0 +va (VaSet +) +xt "23600,28500,38600,31500" +st "commandBus <= precharge; +addrSelPrecharge <= '1'; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 245,0 +va (VaSet +) +xt "25000,26800,25000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 235,0 +ps "CenterOffsetStrategy" +text (MLText +uid 236,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "24400,29000,29900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*20 (State +uid 285,0 +shape (Circle +uid 286,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "38000,24000,46000,32000" +radius 4000 +) +name (Text +uid 287,0 +va (VaSet +font "courier,10,1" +) +xt "39050,27400,44950,28600" +st "initialize2" +ju 0 +blo "42000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 288,0 +ps "CenterOffsetStrategy" +text (Text +uid 289,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "42100,28300,45700,29500" +st "wait 2" +blo "42100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 290,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,29000,42000,29000" +blo "42000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 293,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 294,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "43900,29900,44100,30100" +) +autoResize 1 +tline (Line +uid 295,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,29300,48850,29300" +pts [ +"39150,29300" +"48850,29300" +] +) +bline (Line +uid 296,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,28650,48850,28650" +pts [ +"39150,28650" +"48850,28650" +] +) +ttri (Triangle +uid 297,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,28925,39900,29275" +) +btri (Triangle +uid 298,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,26625,39900,26975" +) +entryActions (MLText +uid 299,0 +va (VaSet +) +xt "39150,29100,39150,29100" +tm "Actions" +) +inActions (MLText +uid 300,0 +va (VaSet +) +xt "39150,29500,48850,30500" +tm "Actions" +) +exitActions (MLText +uid 301,0 +va (VaSet +) +xt "40000,26800,40000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 291,0 +ps "CenterOffsetStrategy" +text (MLText +uid 292,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "40400,29000,45900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*21 (State +uid 334,0 +shape (Circle +uid 335,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "54000,24000,62000,32000" +radius 4000 +) +name (Text +uid 336,0 +va (VaSet +font "courier,10,1" +) +xt "55050,27400,60950,28600" +st "initialize3" +ju 0 +blo "58000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 337,0 +ps "CenterOffsetStrategy" +text (Text +uid 338,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "58100,28300,61700,29500" +st "wait 2" +blo "58100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 339,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "58000,29000,58000,29000" +blo "58000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 342,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 343,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "56200,28900,67800,31100" +) +autoResize 1 +tline (Line +uid 344,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56300,28800,67700,28800" +pts [ +"56300,28800" +"67700,28800" +] +) +bline (Line +uid 345,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56300,28900,67700,28900" +pts [ +"56300,28900" +"67700,28900" +] +) +ttri (Triangle +uid 346,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55850,28425,56200,28775" +) +btri (Triangle +uid 347,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55850,26625,56200,26975" +) +entryActions (MLText +uid 348,0 +va (VaSet +) +xt "56300,28600,56300,28600" +tm "Actions" +) +inActions (MLText +uid 349,0 +va (VaSet +) +xt "56300,29000,72500,31000" +st "commandBus <= autoRefresh; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 350,0 +va (VaSet +) +xt "58000,26800,58000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 340,0 +ps "CenterOffsetStrategy" +text (MLText +uid 341,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "56400,29000,61900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*22 (State +uid 361,0 +shape (Circle +uid 362,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "70000,24000,78000,32000" +radius 4000 +) +name (Text +uid 363,0 +va (VaSet +font "courier,10,1" +) +xt "71050,27400,76950,28600" +st "initialize4" +ju 0 +blo "74000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 364,0 +ps "CenterOffsetStrategy" +text (Text +uid 365,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "74100,28300,77700,29500" +st "wait 2" +blo "74100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 366,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "74000,29000,74000,29000" +blo "74000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 369,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 370,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "77900,29900,78100,30100" +) +autoResize 1 +tline (Line +uid 371,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,28800,84300,28800" +pts [ +"71700,28800" +"84300,28800" +] +) +bline (Line +uid 372,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,28900,84300,28900" +pts [ +"71700,28900" +"84300,28900" +] +) +ttri (Triangle +uid 373,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,28425,73900,28775" +) +btri (Triangle +uid 374,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,26625,73900,26975" +) +entryActions (MLText +uid 375,0 +va (VaSet +) +xt "71700,28600,71700,28600" +tm "Actions" +) +inActions (MLText +uid 376,0 +va (VaSet +) +xt "71700,29000,84300,31000" +tm "Actions" +) +exitActions (MLText +uid 377,0 +va (VaSet +) +xt "74000,26800,74000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 367,0 +ps "CenterOffsetStrategy" +text (MLText +uid 368,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "72400,29000,77900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*23 (State +uid 378,0 +shape (Circle +uid 379,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "86000,24000,94000,32000" +radius 4000 +) +name (Text +uid 380,0 +va (VaSet +font "courier,10,1" +) +xt "87050,27400,92950,28600" +st "initialize5" +ju 0 +blo "90000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 381,0 +ps "CenterOffsetStrategy" +text (Text +uid 382,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "90100,28300,93700,29500" +st "wait 2" +blo "90100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 383,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "90000,29000,90000,29000" +blo "90000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 386,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 387,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "88200,28900,99800,31100" +) +autoResize 1 +tline (Line +uid 388,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "88300,28800,99700,28800" +pts [ +"88300,28800" +"99700,28800" +] +) +bline (Line +uid 389,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "88300,28900,99700,28900" +pts [ +"88300,28900" +"99700,28900" +] +) +ttri (Triangle +uid 390,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87850,28425,88200,28775" +) +btri (Triangle +uid 391,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87850,26625,88200,26975" +) +entryActions (MLText +uid 392,0 +va (VaSet +) +xt "88300,28600,88300,28600" +tm "Actions" +) +inActions (MLText +uid 393,0 +va (VaSet +) +xt "88300,29000,104500,31000" +st "commandBus <= autoRefresh; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 394,0 +va (VaSet +) +xt "90000,26800,90000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 384,0 +ps "CenterOffsetStrategy" +text (MLText +uid 385,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "88400,29000,93900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*24 (State +uid 415,0 +shape (Circle +uid 416,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "102000,24000,110000,32000" +radius 4000 +) +name (Text +uid 417,0 +va (VaSet +font "courier,10,1" +) +xt "103050,27400,108950,28600" +st "initialize6" +ju 0 +blo "106000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 418,0 +ps "CenterOffsetStrategy" +text (Text +uid 419,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "106100,28300,109700,29500" +st "wait 2" +blo "106100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 420,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "106000,29000,106000,29000" +blo "106000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 423,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 424,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "109900,29900,110100,30100" +) +autoResize 1 +tline (Line +uid 425,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "103700,28800,116300,28800" +pts [ +"103700,28800" +"116300,28800" +] +) +bline (Line +uid 426,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "103700,28900,116300,28900" +pts [ +"103700,28900" +"116300,28900" +] +) +ttri (Triangle +uid 427,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "105550,28425,105900,28775" +) +btri (Triangle +uid 428,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "105550,26625,105900,26975" +) +entryActions (MLText +uid 429,0 +va (VaSet +) +xt "103700,28600,103700,28600" +tm "Actions" +) +inActions (MLText +uid 430,0 +va (VaSet +) +xt "103700,29000,116300,31000" +tm "Actions" +) +exitActions (MLText +uid 431,0 +va (VaSet +) +xt "106000,26800,106000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 421,0 +ps "CenterOffsetStrategy" +text (MLText +uid 422,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "104400,29000,109900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*25 (State +uid 502,0 +shape (Circle +uid 503,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "118000,24000,126000,32000" +radius 4000 +) +name (Text +uid 504,0 +va (VaSet +font "courier,10,1" +) +xt "119050,27400,124950,28600" +st "initialize7" +ju 0 +blo "122000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 505,0 +ps "CenterOffsetStrategy" +text (Text +uid 506,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "122100,28300,125700,29500" +st "wait 2" +blo "122100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 507,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "122000,29000,122000,29000" +blo "122000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 510,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 511,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "119850,28400,132150,31600" +) +autoResize 1 +tline (Line +uid 512,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "119950,28300,132050,28300" +pts [ +"119950,28300" +"132050,28300" +] +) +bline (Line +uid 513,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "119950,29150,132050,29150" +pts [ +"119950,29150" +"132050,29150" +] +) +ttri (Triangle +uid 514,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "119500,27925,119850,28275" +) +btri (Triangle +uid 515,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "119500,26625,119850,26975" +) +entryActions (MLText +uid 516,0 +va (VaSet +) +xt "119950,28100,119950,28100" +tm "Actions" +) +inActions (MLText +uid 517,0 +va (VaSet +) +xt "119950,28500,136150,31500" +st "commandBus <= loadModeReg; +timerStart <= '1'; +addrSelModeReg <= '1';" +tm "Actions" +) +exitActions (MLText +uid 518,0 +va (VaSet +) +xt "122000,26800,122000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 508,0 +ps "CenterOffsetStrategy" +text (MLText +uid 509,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "120400,29000,125900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*26 (State +uid 531,0 +shape (Circle +uid 532,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "134000,24000,142000,32000" +radius 4000 +) +name (Text +uid 533,0 +va (VaSet +font "courier,10,1" +) +xt "135050,27400,140950,28600" +st "initialize8" +ju 0 +blo "138000,28400" +tm "ONodeName" +) +wait (TextAssociate +uid 534,0 +ps "CenterOffsetStrategy" +text (Text +uid 535,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "138100,28300,141700,29500" +st "wait 2" +blo "138100,29300" +tm "SmWaitText" +) +) +encoding (Text +uid 536,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "138000,29000,138000,29000" +blo "138000,29000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 539,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 540,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "141900,29900,142100,30100" +) +autoResize 1 +tline (Line +uid 541,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "135700,28800,148300,28800" +pts [ +"135700,28800" +"148300,28800" +] +) +bline (Line +uid 542,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "135700,28900,148300,28900" +pts [ +"135700,28900" +"148300,28900" +] +) +ttri (Triangle +uid 543,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "137550,28425,137900,28775" +) +btri (Triangle +uid 544,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "137550,26625,137900,26975" +) +entryActions (MLText +uid 545,0 +va (VaSet +) +xt "135700,28600,135700,28600" +tm "Actions" +) +inActions (MLText +uid 546,0 +va (VaSet +) +xt "135700,29000,148300,31000" +tm "Actions" +) +exitActions (MLText +uid 547,0 +va (VaSet +) +xt "138000,26800,138000,26800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 537,0 +ps "CenterOffsetStrategy" +text (MLText +uid 538,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "136400,29000,141900,29900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*27 (State +uid 558,0 +shape (Circle +uid 559,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "6000,40000,14000,48000" +radius 4000 +) +name (Text +uid 560,0 +va (VaSet +font "courier,10,1" +) +xt "8800,43400,11200,44600" +st "idle" +ju 0 +blo "10000,44400" +tm "ONodeName" +) +wait (TextAssociate +uid 561,0 +ps "CenterOffsetStrategy" +text (Text +uid 562,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "10100,44300,13700,45500" +st "wait 2" +blo "10100,45300" +tm "SmWaitText" +) +) +encoding (Text +uid 563,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "10000,45000,10000,45000" +blo "10000,45000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 566,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 567,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "11900,45900,12100,46100" +) +autoResize 1 +tline (Line +uid 568,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "8000,45300,16000,45300" +pts [ +"8000,45300" +"16000,45300" +] +) +bline (Line +uid 569,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "8000,44650,16000,44650" +pts [ +"8000,44650" +"16000,44650" +] +) +ttri (Triangle +uid 570,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "7550,44925,7900,45275" +) +btri (Triangle +uid 571,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "7550,42625,7900,42975" +) +entryActions (MLText +uid 572,0 +va (VaSet +) +xt "8000,45100,8000,45100" +tm "Actions" +) +inActions (MLText +uid 573,0 +va (VaSet +) +xt "8000,45500,16000,46500" +tm "Actions" +) +exitActions (MLText +uid 574,0 +va (VaSet +) +xt "8000,42800,8000,42800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 564,0 +ps "CenterOffsetStrategy" +text (MLText +uid 565,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "8400,45000,13900,45900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*28 (Junction +uid 575,0 +shape (Diamond +uid 576,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "8350,34350,11650,37650" +) +symbol (Text +uid 577,0 +va (VaSet +font "courier,10,1" +) +xt "9350,35400,10650,36600" +st "&" +ju 0 +blo "10000,36400" +) +name (TextAssociate +uid 578,0 +ps "CenterOffsetStrategy" +text (Text +uid 579,0 +va (VaSet +font "courier,8,1" +) +xt "11500,36500,11500,36500" +blo "11500,36500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 580,0 +ps "CenterOffsetStrategy" +text (MLText +uid 581,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "7650,37500,13150,38400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*29 (Junction +uid 592,0 +shape (Diamond +uid 593,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "136350,34350,139650,37650" +) +symbol (Text +uid 594,0 +va (VaSet +font "courier,10,1" +) +xt "137350,35400,138650,36600" +st "&" +ju 0 +blo "138000,36400" +) +name (TextAssociate +uid 595,0 +ps "CenterOffsetStrategy" +text (Text +uid 596,0 +va (VaSet +font "courier,8,1" +) +xt "139500,36500,139500,36500" +blo "139500,36500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 597,0 +ps "CenterOffsetStrategy" +text (MLText +uid 598,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "135650,37500,141150,38400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*30 (State +uid 629,0 +shape (Circle +uid 630,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "22000,40000,30000,48000" +radius 4000 +) +name (Text +uid 631,0 +va (VaSet +font "courier,10,1" +) +xt "23650,43400,28350,44600" +st "refresh1" +ju 0 +blo "26000,44400" +tm "ONodeName" +) +wait (TextAssociate +uid 632,0 +ps "CenterOffsetStrategy" +text (Text +uid 633,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "26100,44300,29700,45500" +st "wait 2" +blo "26100,45300" +tm "SmWaitText" +) +) +encoding (Text +uid 634,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "26000,45000,26000,45000" +blo "26000,45000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 637,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 638,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "23500,45400,34500,48600" +) +autoResize 1 +tline (Line +uid 639,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23600,45300,34400,45300" +pts [ +"23600,45300" +"34400,45300" +] +) +bline (Line +uid 640,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23600,46150,34400,46150" +pts [ +"23600,46150" +"34400,46150" +] +) +ttri (Triangle +uid 641,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23150,44925,23500,45275" +) +btri (Triangle +uid 642,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23150,43625,23500,43975" +) +entryActions (MLText +uid 643,0 +va (VaSet +) +xt "23600,45100,23600,45100" +tm "Actions" +) +inActions (MLText +uid 644,0 +va (VaSet +) +xt "23600,45500,38600,48500" +st "commandBus <= precharge; +addrSelPrecharge <= '1'; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 645,0 +va (VaSet +) +xt "25000,43800,25000,43800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 635,0 +ps "CenterOffsetStrategy" +text (MLText +uid 636,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "24400,45000,29900,45900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*31 (State +uid 656,0 +shape (Circle +uid 657,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "38000,40000,46000,48000" +radius 4000 +) +name (Text +uid 658,0 +va (VaSet +font "courier,10,1" +) +xt "39650,43400,44350,44600" +st "refresh2" +ju 0 +blo "42000,44400" +tm "ONodeName" +) +wait (TextAssociate +uid 659,0 +ps "CenterOffsetStrategy" +text (Text +uid 660,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "42100,44300,45700,45500" +st "wait 2" +blo "42100,45300" +tm "SmWaitText" +) +) +encoding (Text +uid 661,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,45000,42000,45000" +blo "42000,45000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 664,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 665,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "43900,45900,44100,46100" +) +autoResize 1 +tline (Line +uid 666,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,45300,48850,45300" +pts [ +"39150,45300" +"48850,45300" +] +) +bline (Line +uid 667,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,44650,48850,44650" +pts [ +"39150,44650" +"48850,44650" +] +) +ttri (Triangle +uid 668,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,44925,39900,45275" +) +btri (Triangle +uid 669,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,42625,39900,42975" +) +entryActions (MLText +uid 670,0 +va (VaSet +) +xt "39150,45100,39150,45100" +tm "Actions" +) +inActions (MLText +uid 671,0 +va (VaSet +) +xt "39150,45500,48850,46500" +tm "Actions" +) +exitActions (MLText +uid 672,0 +va (VaSet +) +xt "40000,42800,40000,42800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 662,0 +ps "CenterOffsetStrategy" +text (MLText +uid 663,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "40400,45000,45900,45900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*32 (State +uid 673,0 +shape (Circle +uid 674,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "54000,40000,62000,48000" +radius 4000 +) +name (Text +uid 675,0 +va (VaSet +font "courier,10,1" +) +xt "55650,43400,60350,44600" +st "refresh3" +ju 0 +blo "58000,44400" +tm "ONodeName" +) +wait (TextAssociate +uid 676,0 +ps "CenterOffsetStrategy" +text (Text +uid 677,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "58100,44300,61700,45500" +st "wait 2" +blo "58100,45300" +tm "SmWaitText" +) +) +encoding (Text +uid 678,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "58000,45000,58000,45000" +blo "58000,45000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 681,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 682,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "56200,44900,67800,47100" +) +autoResize 1 +tline (Line +uid 683,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56300,44800,67700,44800" +pts [ +"56300,44800" +"67700,44800" +] +) +bline (Line +uid 684,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56300,44900,67700,44900" +pts [ +"56300,44900" +"67700,44900" +] +) +ttri (Triangle +uid 685,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55850,44425,56200,44775" +) +btri (Triangle +uid 686,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "55850,42625,56200,42975" +) +entryActions (MLText +uid 687,0 +va (VaSet +) +xt "56300,44600,56300,44600" +tm "Actions" +) +inActions (MLText +uid 688,0 +va (VaSet +) +xt "56300,45000,72500,47000" +st "commandBus <= autoRefresh; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 689,0 +va (VaSet +) +xt "58000,42800,58000,42800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 679,0 +ps "CenterOffsetStrategy" +text (MLText +uid 680,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "56400,45000,61900,45900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*33 (State +uid 690,0 +shape (Circle +uid 691,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "70000,40000,78000,48000" +radius 4000 +) +name (Text +uid 692,0 +va (VaSet +font "courier,10,1" +) +xt "71650,43400,76350,44600" +st "refresh4" +ju 0 +blo "74000,44400" +tm "ONodeName" +) +wait (TextAssociate +uid 693,0 +ps "CenterOffsetStrategy" +text (Text +uid 694,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "74100,44300,77700,45500" +st "wait 2" +blo "74100,45300" +tm "SmWaitText" +) +) +encoding (Text +uid 695,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "74000,45000,74000,45000" +blo "74000,45000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 698,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 699,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "77900,45900,78100,46100" +) +autoResize 1 +tline (Line +uid 700,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,44800,84300,44800" +pts [ +"71700,44800" +"84300,44800" +] +) +bline (Line +uid 701,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,44900,84300,44900" +pts [ +"71700,44900" +"84300,44900" +] +) +ttri (Triangle +uid 702,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,44425,73900,44775" +) +btri (Triangle +uid 703,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,42625,73900,42975" +) +entryActions (MLText +uid 704,0 +va (VaSet +) +xt "71700,44600,71700,44600" +tm "Actions" +) +inActions (MLText +uid 705,0 +va (VaSet +) +xt "71700,45000,84300,47000" +tm "Actions" +) +exitActions (MLText +uid 706,0 +va (VaSet +) +xt "74000,42800,74000,42800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 696,0 +ps "CenterOffsetStrategy" +text (MLText +uid 697,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "72400,45000,77900,45900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*34 (State +uid 707,0 +shape (Circle +uid 708,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "86000,40000,94000,48000" +radius 4000 +) +name (Text +uid 709,0 +va (VaSet +font "courier,10,1" +) +xt "87650,43400,92350,44600" +st "refresh5" +ju 0 +blo "90000,44400" +tm "ONodeName" +) +wait (TextAssociate +uid 710,0 +ps "CenterOffsetStrategy" +text (Text +uid 711,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "90100,44300,93700,45500" +st "wait 2" +blo "90100,45300" +tm "SmWaitText" +) +) +encoding (Text +uid 712,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "90000,45000,90000,45000" +blo "90000,45000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 715,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 716,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "88200,44900,99800,47100" +) +autoResize 1 +tline (Line +uid 717,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "88300,44800,99700,44800" +pts [ +"88300,44800" +"99700,44800" +] +) +bline (Line +uid 718,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "88300,44900,99700,44900" +pts [ +"88300,44900" +"99700,44900" +] +) +ttri (Triangle +uid 719,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87850,44425,88200,44775" +) +btri (Triangle +uid 720,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87850,42625,88200,42975" +) +entryActions (MLText +uid 721,0 +va (VaSet +) +xt "88300,44600,88300,44600" +tm "Actions" +) +inActions (MLText +uid 722,0 +va (VaSet +) +xt "88300,45000,104500,47000" +st "commandBus <= autoRefresh; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 723,0 +va (VaSet +) +xt "90000,42800,90000,42800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 713,0 +ps "CenterOffsetStrategy" +text (MLText +uid 714,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "88400,45000,93900,45900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*35 (State +uid 724,0 +shape (Circle +uid 725,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "102000,40000,110000,48000" +radius 4000 +) +name (Text +uid 726,0 +va (VaSet +font "courier,10,1" +) +xt "102750,43400,109250,44600" +st "initialize13" +ju 0 +blo "106000,44400" +tm "ONodeName" +) +wait (TextAssociate +uid 727,0 +ps "CenterOffsetStrategy" +text (Text +uid 728,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "106100,44300,109700,45500" +st "wait 2" +blo "106100,45300" +tm "SmWaitText" +) +) +encoding (Text +uid 729,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "106000,45000,106000,45000" +blo "106000,45000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 732,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 733,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "109900,45900,110100,46100" +) +autoResize 1 +tline (Line +uid 734,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "103700,44800,116300,44800" +pts [ +"103700,44800" +"116300,44800" +] +) +bline (Line +uid 735,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "103700,44900,116300,44900" +pts [ +"103700,44900" +"116300,44900" +] +) +ttri (Triangle +uid 736,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "105550,44425,105900,44775" +) +btri (Triangle +uid 737,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "105550,42625,105900,42975" +) +entryActions (MLText +uid 738,0 +va (VaSet +) +xt "103700,44600,103700,44600" +tm "Actions" +) +inActions (MLText +uid 739,0 +va (VaSet +) +xt "103700,45000,116300,47000" +tm "Actions" +) +exitActions (MLText +uid 740,0 +va (VaSet +) +xt "106000,42800,106000,42800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 730,0 +ps "CenterOffsetStrategy" +text (MLText +uid 731,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "104400,45000,109900,45900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*36 (Junction +uid 791,0 +shape (Diamond +uid 792,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "112350,34350,115650,37650" +) +symbol (Text +uid 793,0 +va (VaSet +font "courier,10,1" +) +xt "113350,35400,114650,36600" +st "&" +ju 0 +blo "114000,36400" +) +name (TextAssociate +uid 794,0 +ps "CenterOffsetStrategy" +text (Text +uid 795,0 +va (VaSet +font "courier,8,1" +) +xt "115500,36500,115500,36500" +blo "115500,36500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 796,0 +ps "CenterOffsetStrategy" +text (MLText +uid 797,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "111650,37500,117150,38400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*37 (Junction +uid 798,0 +shape (Diamond +uid 799,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "112350,42350,115650,45650" +) +symbol (Text +uid 800,0 +va (VaSet +font "courier,10,1" +) +xt "113350,43400,114650,44600" +st "&" +ju 0 +blo "114000,44400" +) +name (TextAssociate +uid 801,0 +ps "CenterOffsetStrategy" +text (Text +uid 802,0 +va (VaSet +font "courier,8,1" +) +xt "115500,44500,115500,44500" +blo "115500,44500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 803,0 +ps "CenterOffsetStrategy" +text (MLText +uid 804,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "111650,45500,117150,46400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*38 (State +uid 870,0 +shape (Circle +uid 871,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "22000,56000,30000,64000" +radius 4000 +) +name (Text +uid 872,0 +va (VaSet +font "courier,10,1" +) +xt "24150,59400,27850,60600" +st "write1" +ju 0 +blo "26000,60400" +tm "ONodeName" +) +wait (TextAssociate +uid 873,0 +ps "CenterOffsetStrategy" +text (Text +uid 874,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "26100,60300,29700,61500" +st "wait 2" +blo "26100,61300" +tm "SmWaitText" +) +) +encoding (Text +uid 875,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "26000,61000,26000,61000" +blo "26000,61000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 878,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 879,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "24300,60900,33700,65100" +) +autoResize 1 +tline (Line +uid 880,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24400,60800,33600,60800" +pts [ +"24400,60800" +"33600,60800" +] +) +bline (Line +uid 881,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24400,62400,33600,62400" +pts [ +"24400,62400" +"33600,62400" +] +) +ttri (Triangle +uid 882,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23950,60425,24300,60775" +) +btri (Triangle +uid 883,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23950,59625,24300,59975" +) +entryActions (MLText +uid 884,0 +va (VaSet +) +xt "24400,60600,24400,60600" +tm "Actions" +) +inActions (MLText +uid 885,0 +va (VaSet +) +xt "24400,61000,37600,65000" +st "commandBus <= active; +writeAck <= '1'; +addrSelRow <= '1'; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 886,0 +va (VaSet +) +xt "25000,59800,25000,59800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 876,0 +ps "CenterOffsetStrategy" +text (MLText +uid 877,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "24400,61000,29900,61900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*39 (State +uid 887,0 +shape (Circle +uid 888,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "38000,56000,46000,64000" +radius 4000 +) +name (Text +uid 889,0 +va (VaSet +font "courier,10,1" +) +xt "40150,59400,43850,60600" +st "write2" +ju 0 +blo "42000,60400" +tm "ONodeName" +) +wait (TextAssociate +uid 890,0 +ps "CenterOffsetStrategy" +text (Text +uid 891,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "42100,60300,45700,61500" +st "wait 2" +blo "42100,61300" +tm "SmWaitText" +) +) +encoding (Text +uid 892,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,61000,42000,61000" +blo "42000,61000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 895,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 896,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "43900,61900,44100,62100" +) +autoResize 1 +tline (Line +uid 897,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,61300,48850,61300" +pts [ +"39150,61300" +"48850,61300" +] +) +bline (Line +uid 898,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,60650,48850,60650" +pts [ +"39150,60650" +"48850,60650" +] +) +ttri (Triangle +uid 899,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,60925,39900,61275" +) +btri (Triangle +uid 900,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,58625,39900,58975" +) +entryActions (MLText +uid 901,0 +va (VaSet +) +xt "39150,61100,39150,61100" +tm "Actions" +) +inActions (MLText +uid 902,0 +va (VaSet +) +xt "39150,61500,48850,62500" +tm "Actions" +) +exitActions (MLText +uid 903,0 +va (VaSet +) +xt "40000,58800,40000,58800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 893,0 +ps "CenterOffsetStrategy" +text (MLText +uid 894,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "40400,61000,45900,61900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*40 (State +uid 904,0 +shape (Circle +uid 905,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "54000,56000,62000,64000" +radius 4000 +) +name (Text +uid 906,0 +va (VaSet +font "courier,10,1" +) +xt "56150,59400,59850,60600" +st "write3" +ju 0 +blo "58000,60400" +tm "ONodeName" +) +wait (TextAssociate +uid 907,0 +ps "CenterOffsetStrategy" +text (Text +uid 908,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "58100,60300,61700,61500" +st "wait 2" +blo "58100,61300" +tm "SmWaitText" +) +) +encoding (Text +uid 909,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "58000,61000,58000,61000" +blo "58000,61000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 912,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 913,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "56450,60400,65550,63600" +) +autoResize 1 +tline (Line +uid 914,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56550,60300,65450,60300" +pts [ +"56550,60300" +"65450,60300" +] +) +bline (Line +uid 915,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56550,61150,65450,61150" +pts [ +"56550,61150" +"65450,61150" +] +) +ttri (Triangle +uid 916,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56100,59925,56450,60275" +) +btri (Triangle +uid 917,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56100,58625,56450,58975" +) +entryActions (MLText +uid 918,0 +va (VaSet +) +xt "56550,60100,56550,60100" +tm "Actions" +) +inActions (MLText +uid 919,0 +va (VaSet +) +xt "56550,60500,69150,63500" +st "commandBus <= write; +addrSelCol <= '1'; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 920,0 +va (VaSet +) +xt "57000,58800,57000,58800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 910,0 +ps "CenterOffsetStrategy" +text (MLText +uid 911,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "56400,61000,61900,61900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*41 (State +uid 921,0 +shape (Circle +uid 922,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "70000,56000,78000,64000" +radius 4000 +) +name (Text +uid 923,0 +va (VaSet +font "courier,10,1" +) +xt "72150,59400,75850,60600" +st "write4" +ju 0 +blo "74000,60400" +tm "ONodeName" +) +wait (TextAssociate +uid 924,0 +ps "CenterOffsetStrategy" +text (Text +uid 925,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "74100,60300,77700,61500" +st "wait 2" +blo "74100,61300" +tm "SmWaitText" +) +) +encoding (Text +uid 926,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "74000,61000,74000,61000" +blo "74000,61000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 929,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 930,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "77900,61900,78100,62100" +) +autoResize 1 +tline (Line +uid 931,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,60800,84300,60800" +pts [ +"71700,60800" +"84300,60800" +] +) +bline (Line +uid 932,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,60900,84300,60900" +pts [ +"71700,60900" +"84300,60900" +] +) +ttri (Triangle +uid 933,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,60425,73900,60775" +) +btri (Triangle +uid 934,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,58625,73900,58975" +) +entryActions (MLText +uid 935,0 +va (VaSet +) +xt "71700,60600,71700,60600" +tm "Actions" +) +inActions (MLText +uid 936,0 +va (VaSet +) +xt "71700,61000,84300,63000" +tm "Actions" +) +exitActions (MLText +uid 937,0 +va (VaSet +) +xt "74000,58800,74000,58800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 927,0 +ps "CenterOffsetStrategy" +text (MLText +uid 928,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "72400,61000,77900,61900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*42 (Junction +uid 978,0 +shape (Diamond +uid 979,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "8350,58350,11650,61650" +) +symbol (Text +uid 980,0 +va (VaSet +font "courier,10,1" +) +xt "9350,59400,10650,60600" +st "&" +ju 0 +blo "10000,60400" +) +name (TextAssociate +uid 981,0 +ps "CenterOffsetStrategy" +text (Text +uid 982,0 +va (VaSet +font "courier,8,1" +) +xt "11500,60500,11500,60500" +blo "11500,60500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 983,0 +ps "CenterOffsetStrategy" +text (MLText +uid 984,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "7650,61500,13150,62400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*43 (Junction +uid 999,0 +shape (Diamond +uid 1000,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "112350,58350,115650,61650" +) +symbol (Text +uid 1001,0 +va (VaSet +font "courier,10,1" +) +xt "113350,59400,114650,60600" +st "&" +ju 0 +blo "114000,60400" +) +name (TextAssociate +uid 1002,0 +ps "CenterOffsetStrategy" +text (Text +uid 1003,0 +va (VaSet +font "courier,8,1" +) +xt "115500,60500,115500,60500" +blo "115500,60500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 1004,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1005,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "111650,61500,117150,62400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*44 (State +uid 1026,0 +shape (Circle +uid 1027,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "22000,72000,30000,80000" +radius 4000 +) +name (Text +uid 1028,0 +va (VaSet +font "courier,10,1" +) +xt "24300,75400,27700,76600" +st "read1" +ju 0 +blo "26000,76400" +tm "ONodeName" +) +wait (TextAssociate +uid 1029,0 +ps "CenterOffsetStrategy" +text (Text +uid 1030,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "26100,76300,29700,77500" +st "wait 2" +blo "26100,77300" +tm "SmWaitText" +) +) +encoding (Text +uid 1031,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "26000,77000,26000,77000" +blo "26000,77000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1034,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1035,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "24300,76900,33700,81100" +) +autoResize 1 +tline (Line +uid 1036,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24400,76800,33600,76800" +pts [ +"24400,76800" +"33600,76800" +] +) +bline (Line +uid 1037,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "24400,78400,33600,78400" +pts [ +"24400,78400" +"33600,78400" +] +) +ttri (Triangle +uid 1038,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23950,76425,24300,76775" +) +btri (Triangle +uid 1039,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "23950,75625,24300,75975" +) +entryActions (MLText +uid 1040,0 +va (VaSet +) +xt "24400,76600,24400,76600" +tm "Actions" +) +inActions (MLText +uid 1041,0 +va (VaSet +) +xt "24400,77000,37600,81000" +st "commandBus <= active; +readAck <= '1'; +addrSelRow <= '1'; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 1042,0 +va (VaSet +) +xt "25000,75800,25000,75800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1032,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1033,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "24400,77000,29900,77900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*45 (State +uid 1043,0 +shape (Circle +uid 1044,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "38000,72000,46000,80000" +radius 4000 +) +name (Text +uid 1045,0 +va (VaSet +font "courier,10,1" +) +xt "40300,75400,43700,76600" +st "read2" +ju 0 +blo "42000,76400" +tm "ONodeName" +) +wait (TextAssociate +uid 1046,0 +ps "CenterOffsetStrategy" +text (Text +uid 1047,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "42100,76300,45700,77500" +st "wait 2" +blo "42100,77300" +tm "SmWaitText" +) +) +encoding (Text +uid 1048,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,77000,42000,77000" +blo "42000,77000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1051,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1052,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "43900,77900,44100,78100" +) +autoResize 1 +tline (Line +uid 1053,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,77300,48850,77300" +pts [ +"39150,77300" +"48850,77300" +] +) +bline (Line +uid 1054,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "39150,76650,48850,76650" +pts [ +"39150,76650" +"48850,76650" +] +) +ttri (Triangle +uid 1055,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,76925,39900,77275" +) +btri (Triangle +uid 1056,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "39550,74625,39900,74975" +) +entryActions (MLText +uid 1057,0 +va (VaSet +) +xt "39150,77100,39150,77100" +tm "Actions" +) +inActions (MLText +uid 1058,0 +va (VaSet +) +xt "39150,77500,48850,78500" +tm "Actions" +) +exitActions (MLText +uid 1059,0 +va (VaSet +) +xt "40000,74800,40000,74800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1049,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1050,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "40400,77000,45900,77900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*46 (State +uid 1060,0 +shape (Circle +uid 1061,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "54000,72000,62000,80000" +radius 4000 +) +name (Text +uid 1062,0 +va (VaSet +font "courier,10,1" +) +xt "56300,75400,59700,76600" +st "read3" +ju 0 +blo "58000,76400" +tm "ONodeName" +) +wait (TextAssociate +uid 1063,0 +ps "CenterOffsetStrategy" +text (Text +uid 1064,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "58100,76300,61700,77500" +st "wait 2" +blo "58100,77300" +tm "SmWaitText" +) +) +encoding (Text +uid 1065,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "58000,77000,58000,77000" +blo "58000,77000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1068,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1069,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "56700,76400,65300,79600" +) +autoResize 1 +tline (Line +uid 1070,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56800,76300,65200,76300" +pts [ +"56800,76300" +"65200,76300" +] +) +bline (Line +uid 1071,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56800,77150,65200,77150" +pts [ +"56800,77150" +"65200,77150" +] +) +ttri (Triangle +uid 1072,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56350,75925,56700,76275" +) +btri (Triangle +uid 1073,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "56350,74625,56700,74975" +) +entryActions (MLText +uid 1074,0 +va (VaSet +) +xt "56800,76100,56800,76100" +tm "Actions" +) +inActions (MLText +uid 1075,0 +va (VaSet +) +xt "56800,76500,68800,79500" +st "commandBus <= read; +addrSelCol <= '1'; +timerStart <= '1';" +tm "Actions" +) +exitActions (MLText +uid 1076,0 +va (VaSet +) +xt "57000,74800,57000,74800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1066,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1067,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "56400,77000,61900,77900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*47 (State +uid 1077,0 +shape (Circle +uid 1078,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "70000,72000,78000,80000" +radius 4000 +) +name (Text +uid 1079,0 +va (VaSet +font "courier,10,1" +) +xt "72300,75400,75700,76600" +st "read4" +ju 0 +blo "74000,76400" +tm "ONodeName" +) +wait (TextAssociate +uid 1080,0 +ps "CenterOffsetStrategy" +text (Text +uid 1081,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "74100,76300,77700,77500" +st "wait 2" +blo "74100,77300" +tm "SmWaitText" +) +) +encoding (Text +uid 1082,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "74000,77000,74000,77000" +blo "74000,77000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1085,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1086,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "77900,77900,78100,78100" +) +autoResize 1 +tline (Line +uid 1087,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,76800,84300,76800" +pts [ +"71700,76800" +"84300,76800" +] +) +bline (Line +uid 1088,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "71700,76900,84300,76900" +pts [ +"71700,76900" +"84300,76900" +] +) +ttri (Triangle +uid 1089,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,76425,73900,76775" +) +btri (Triangle +uid 1090,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "73550,74625,73900,74975" +) +entryActions (MLText +uid 1091,0 +va (VaSet +) +xt "71700,76600,71700,76600" +tm "Actions" +) +inActions (MLText +uid 1092,0 +va (VaSet +) +xt "71700,77000,84300,79000" +tm "Actions" +) +exitActions (MLText +uid 1093,0 +va (VaSet +) +xt "74000,74800,74000,74800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1083,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1084,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "72400,77000,77900,77900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*48 (Junction +uid 1094,0 +shape (Diamond +uid 1095,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "112350,74350,115650,77650" +) +symbol (Text +uid 1096,0 +va (VaSet +font "courier,10,1" +) +xt "113350,75400,114650,76600" +st "&" +ju 0 +blo "114000,76400" +) +name (TextAssociate +uid 1097,0 +ps "CenterOffsetStrategy" +text (Text +uid 1098,0 +va (VaSet +font "courier,8,1" +) +xt "115500,76500,115500,76500" +blo "115500,76500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 1099,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1100,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "111650,77500,117150,78400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*49 (Junction +uid 1151,0 +shape (Diamond +uid 1152,0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "8350,74350,11650,77650" +) +symbol (Text +uid 1153,0 +va (VaSet +font "courier,10,1" +) +xt "9350,75400,10650,76600" +st "&" +ju 0 +blo "10000,76400" +) +name (TextAssociate +uid 1154,0 +ps "CenterOffsetStrategy" +text (Text +uid 1155,0 +va (VaSet +font "courier,8,1" +) +xt "11500,76500,11500,76500" +blo "11500,76500" +tm "JunctionName" +) +) +caseExpr (TextAssociate +uid 1156,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1157,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "7650,77500,13150,78400" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*50 (State +uid 1217,0 +shape (Circle +uid 1218,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "86000,72000,94000,80000" +radius 4000 +) +name (Text +uid 1219,0 +va (VaSet +font "courier,10,1" +) +xt "88300,75400,91700,76600" +st "read5" +ju 0 +blo "90000,76400" +tm "ONodeName" +) +wait (TextAssociate +uid 1220,0 +ps "CenterOffsetStrategy" +text (Text +uid 1221,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "90100,76300,93700,77500" +st "wait 2" +blo "90100,77300" +tm "SmWaitText" +) +) +encoding (Text +uid 1222,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "90000,77000,90000,77000" +blo "90000,77000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1225,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1226,0 +va (VaSet +vasetType 1 +transparent 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +fillStyle 1 +) +xt "87800,76900,96200,79100" +) +autoResize 1 +tline (Line +uid 1227,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87900,76800,96100,76800" +pts [ +"87900,76800" +"96100,76800" +] +) +bline (Line +uid 1228,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87900,76900,96100,76900" +pts [ +"87900,76900" +"96100,76900" +] +) +ttri (Triangle +uid 1229,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87450,76425,87800,76775" +) +btri (Triangle +uid 1230,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "87450,74625,87800,74975" +) +entryActions (MLText +uid 1231,0 +va (VaSet +) +xt "87900,76600,87900,76600" +tm "Actions" +) +inActions (MLText +uid 1232,0 +va (VaSet +) +xt "87900,77000,100500,79000" +st "sampleData <= '1'; +ramDataValid <= '1';" +tm "Actions" +) +exitActions (MLText +uid 1233,0 +va (VaSet +) +xt "88000,74800,88000,74800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1223,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1224,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "88400,77000,93900,77900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*51 (State +uid 1234,0 +shape (Circle +uid 1235,0 +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "102000,72000,110000,80000" +radius 4000 +) +name (Text +uid 1236,0 +va (VaSet +font "courier,10,1" +) +xt "104300,75400,107700,76600" +st "read6" +ju 0 +blo "106000,76400" +tm "ONodeName" +) +wait (TextAssociate +uid 1237,0 +ps "CenterOffsetStrategy" +text (Text +uid 1238,0 +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "106100,76300,109700,77500" +st "wait 2" +blo "106100,77300" +tm "SmWaitText" +) +) +encoding (Text +uid 1239,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "106000,77000,106000,77000" +blo "106000,77000" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +uid 1242,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1243,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "109900,77900,110100,78100" +) +autoResize 1 +tline (Line +uid 1244,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "103700,76800,116300,76800" +pts [ +"103700,76800" +"116300,76800" +] +) +bline (Line +uid 1245,0 +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth 2 +) +xt "103700,76900,116300,76900" +pts [ +"103700,76900" +"116300,76900" +] +) +ttri (Triangle +uid 1246,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "105550,76425,105900,76775" +) +btri (Triangle +uid 1247,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "105550,74625,105900,74975" +) +entryActions (MLText +uid 1248,0 +va (VaSet +) +xt "103700,76600,103700,76600" +tm "Actions" +) +inActions (MLText +uid 1249,0 +va (VaSet +) +xt "103700,77000,116300,79000" +tm "Actions" +) +exitActions (MLText +uid 1250,0 +va (VaSet +) +xt "106000,74800,106000,74800" +tm "Actions" +) +) +caseExpr (TextAssociate +uid 1240,0 +ps "CenterOffsetStrategy" +text (MLText +uid 1241,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "104400,77000,109900,77900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +*52 (Transition +uid 100,0 +shape (Spline +uid 101,0 +va (VaSet +vasetType 3 +) +xt "5500,15000,13000,15000" +pts [ +"5500,15000" +"13000,15000" +] +) +start &4 +end &5 +ss 0 +es 0 +cond "reset = '1'" +tb (TransitionBlock +uid 102,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 103,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "7250,14000,15450,16000" +) +autoResize 1 +lineShape (Line +uid 104,0 +va (VaSet +vasetType 3 +) +xt "7750,15400,14950,15400" +pts [ +"7750,15400" +"14950,15400" +] +) +condition (MLText +uid 105,0 +va (VaSet +) +xt "7750,14000,14950,15000" +st "reset = '1'" +tm "Condition" +) +actions (MLText +uid 106,0 +va (VaSet +isHidden 1 +) +xt "7150,15800,15550,16800" +st "< Automatic >" +tm "Actions" +) +) +tp (TransitionPriority +uid 107,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 108,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5469,14219,7031,15781" +radius 781 +) +pr (Text +uid 109,0 +va (VaSet +isHidden 1 +) +xt "5850,14500,6650,15500" +st "1" +ju 0 +blo "6250,15300" +tm "TransitionPriority" +) +padding "100,100" +) +) +*53 (Transition +uid 110,0 +shape (Spline +uid 111,0 +va (VaSet +vasetType 3 +) +xt "5050,13000,13000,13000" +pts [ +"5050,13000" +"13000,13000" +] +) +start &6 +end &7 +ss 0 +es 0 +tb (TransitionBlock +uid 112,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 113,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "6825,12495,11225,13505" +) +autoResize 1 +lineShape (Line +uid 114,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "9025,13900,9025,13900" +pts [ +"9025,13900" +"9025,13900" +] +) +condition (MLText +uid 115,0 +va (VaSet +) +xt "7325,12500,10725,13500" +tm "Condition" +) +actions (MLText +uid 116,0 +va (VaSet +) +xt "9025,13900,9025,13900" +tm "Actions" +) +) +tp (TransitionPriority +uid 117,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 118,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "5064,12219,6626,13781" +radius 781 +) +pr (Text +uid 119,0 +va (VaSet +isHidden 1 +) +xt "5445,12500,6245,13500" +st "1" +ju 0 +blo "5845,13300" +tm "TransitionPriority" +) +padding "100,100" +) +) +*54 (Transition +uid 246,0 +shape (Spline +uid 247,0 +va (VaSet +vasetType 3 +) +xt "14000,27968,22000,27970" +pts [ +"14000,27970" +"22000,27968" +] +arrow 1 +) +start &2 +end &19 +cond "endOfRefreshCount = '1'" +tb (TransitionBlock +uid 248,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 249,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "11700,24550,22300,26550" +) +autoResize 1 +lineShape (Line +uid 250,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "12200,26450,21800,26450" +pts [ +"12200,26450" +"21800,26450" +] +) +condition (MLText +uid 251,0 +va (VaSet +) +xt "12200,25050,26600,26050" +st "endOfRefreshCount = '1'" +tm "Condition" +) +actions (MLText +uid 252,0 +va (VaSet +) +xt "12200,26450,21800,27450" +tm "Actions" +) +) +tp (TransitionPriority +uid 253,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 254,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "14019,27188,15581,28750" +radius 781 +) +pr (Text +uid 255,0 +va (VaSet +isHidden 1 +) +xt "14400,27469,15200,28469" +st "1" +ju 0 +blo "14800,28269" +tm "TransitionPriority" +) +padding "100,100" +) +) +*55 (Transition +uid 312,0 +shape (Spline +uid 313,0 +va (VaSet +vasetType 3 +) +xt "30000,28035,38000,28036" +pts [ +"30000,28035" +"38000,28036" +] +arrow 1 +) +start &19 +end &20 +tb (TransitionBlock +uid 314,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 315,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "33500,28031,37900,29041" +) +autoResize 1 +lineShape (Line +uid 316,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "35700,29436,35700,29436" +pts [ +"35700,29436" +"35700,29436" +] +) +condition (MLText +uid 317,0 +va (VaSet +) +xt "34000,28036,37400,29036" +tm "Condition" +) +actions (MLText +uid 318,0 +va (VaSet +) +xt "35700,29436,35700,29436" +tm "Actions" +) +) +tp (TransitionPriority +uid 319,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 320,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "30019,27254,31581,28816" +radius 781 +) +pr (Text +uid 321,0 +va (VaSet +isHidden 1 +) +xt "30400,27535,31200,28535" +st "1" +ju 0 +blo "30800,28335" +tm "TransitionPriority" +) +padding "100,100" +) +) +*56 (Transition +uid 351,0 +shape (Spline +uid 352,0 +va (VaSet +vasetType 3 +) +xt "46000,27955,54000,27955" +pts [ +"46000,27955" +"54000,27955" +] +arrow 1 +) +start &20 +end &21 +cond "unsigned(timerDone(1 to prechargeToRefreshPeriodNb)) = 0" +tb (TransitionBlock +uid 353,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 354,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "41950,25000,65350,27000" +) +autoResize 1 +lineShape (Line +uid 355,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "44150,26900,44150,26900" +pts [ +"44150,26900" +"44150,26900" +] +) +condition (MLText +uid 356,0 +va (VaSet +) +xt "42450,25500,76650,26500" +st "unsigned(timerDone(1 to prechargeToRefreshPeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 357,0 +va (VaSet +) +xt "53650,26900,53650,26900" +tm "Actions" +) +) +tp (TransitionPriority +uid 358,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 359,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "46019,27174,47581,28736" +radius 781 +) +pr (Text +uid 360,0 +va (VaSet +isHidden 1 +) +xt "46400,27455,47200,28455" +st "1" +ju 0 +blo "46800,28255" +tm "TransitionPriority" +) +padding "100,100" +) +) +*57 (Transition +uid 395,0 +shape (Spline +uid 396,0 +va (VaSet +vasetType 3 +) +xt "62000,28002,70000,28006" +pts [ +"62000,28006" +"70000,28002" +] +arrow 1 +) +start &21 +end &22 +es 0 +tb (TransitionBlock +uid 397,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 398,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "65500,27999,69900,29009" +) +autoResize 1 +lineShape (Line +uid 399,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "67700,29404,67700,29404" +pts [ +"67700,29404" +"67700,29404" +] +) +condition (MLText +uid 400,0 +va (VaSet +) +xt "66000,28004,69400,29004" +tm "Condition" +) +actions (MLText +uid 401,0 +va (VaSet +) +xt "67700,29404,67700,29404" +tm "Actions" +) +) +tp (TransitionPriority +uid 402,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 403,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "62019,27224,63581,28786" +radius 781 +) +pr (Text +uid 404,0 +va (VaSet +isHidden 1 +) +xt "62400,27505,63200,28505" +st "1" +ju 0 +blo "62800,28305" +tm "TransitionPriority" +) +padding "100,100" +) +) +*58 (Transition +uid 405,0 +shape (Spline +uid 406,0 +va (VaSet +vasetType 3 +) +xt "78000,27984,86000,27985" +pts [ +"78000,27984" +"86000,27985" +] +arrow 1 +) +start &22 +end &23 +cond "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0" +tb (TransitionBlock +uid 407,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 408,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "74350,25000,94950,27000" +) +autoResize 1 +lineShape (Line +uid 409,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "76550,26900,76550,26900" +pts [ +"76550,26900" +"76550,26900" +] +) +condition (MLText +uid 410,0 +va (VaSet +) +xt "74850,25500,105450,26500" +st "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 411,0 +va (VaSet +) +xt "84650,26900,84650,26900" +tm "Actions" +) +) +tp (TransitionPriority +uid 412,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 413,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "78019,27203,79581,28765" +radius 781 +) +pr (Text +uid 414,0 +va (VaSet +isHidden 1 +) +xt "78400,27484,79200,28484" +st "1" +ju 0 +blo "78800,28284" +tm "TransitionPriority" +) +padding "100,100" +) +) +*59 (Transition +uid 432,0 +shape (Spline +uid 433,0 +va (VaSet +vasetType 3 +) +xt "94000,28006,102000,28006" +pts [ +"94000,28006" +"102000,28006" +] +arrow 1 +) +start &23 +end &24 +tb (TransitionBlock +uid 434,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 435,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "97500,28001,101900,29011" +) +autoResize 1 +lineShape (Line +uid 436,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "99700,29406,99700,29406" +pts [ +"99700,29406" +"99700,29406" +] +) +condition (MLText +uid 437,0 +va (VaSet +) +xt "98000,28006,101400,29006" +tm "Condition" +) +actions (MLText +uid 438,0 +va (VaSet +) +xt "99700,29406,99700,29406" +tm "Actions" +) +) +tp (TransitionPriority +uid 439,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 440,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "94019,27225,95581,28787" +radius 781 +) +pr (Text +uid 441,0 +va (VaSet +isHidden 1 +) +xt "94400,27506,95200,28506" +st "1" +ju 0 +blo "94800,28306" +tm "TransitionPriority" +) +padding "100,100" +) +) +*60 (Transition +uid 519,0 +shape (Spline +uid 520,0 +va (VaSet +vasetType 3 +) +xt "110000,27969,118000,27975" +pts [ +"110000,27975" +"118000,27969" +] +arrow 1 +) +start &24 +end &25 +cond "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0" +tb (TransitionBlock +uid 521,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 522,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "106350,25000,126950,27000" +) +autoResize 1 +lineShape (Line +uid 523,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "108550,26900,108550,26900" +pts [ +"108550,26900" +"108550,26900" +] +) +condition (MLText +uid 524,0 +va (VaSet +) +xt "106850,25500,137450,26500" +st "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 525,0 +va (VaSet +) +xt "116650,26900,116650,26900" +tm "Actions" +) +) +tp (TransitionPriority +uid 526,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 527,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "110019,27193,111581,28755" +radius 781 +) +pr (Text +uid 528,0 +va (VaSet +isHidden 1 +) +xt "110400,27474,111200,28474" +st "1" +ju 0 +blo "110800,28274" +tm "TransitionPriority" +) +padding "100,100" +) +) +*61 (Transition +uid 548,0 +shape (Spline +uid 549,0 +va (VaSet +vasetType 3 +) +xt "126000,28011,134000,28013" +pts [ +"126000,28011" +"134000,28013" +] +arrow 1 +) +start &25 +end &26 +tb (TransitionBlock +uid 550,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 551,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "129500,28007,133900,29017" +) +autoResize 1 +lineShape (Line +uid 552,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "131700,29412,131700,29412" +pts [ +"131700,29412" +"131700,29412" +] +) +condition (MLText +uid 553,0 +va (VaSet +) +xt "130000,28012,133400,29012" +tm "Condition" +) +actions (MLText +uid 554,0 +va (VaSet +) +xt "131700,29412,131700,29412" +tm "Actions" +) +) +tp (TransitionPriority +uid 555,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 556,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "126019,27230,127581,28792" +radius 781 +) +pr (Text +uid 557,0 +va (VaSet +isHidden 1 +) +xt "126400,27511,127200,28511" +st "1" +ju 0 +blo "126800,28311" +tm "TransitionPriority" +) +padding "100,100" +) +) +*62 (Transition +uid 582,0 +shape (Spline +uid 583,0 +va (VaSet +vasetType 3 +) +xt "9964,37622,9972,40000" +pts [ +"9972,37622" +"9964,40000" +] +arrow 1 +) +start &28 +end &27 +tb (TransitionBlock +uid 584,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 585,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "9468,38806,13868,39816" +) +autoResize 1 +lineShape (Line +uid 586,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "11668,40211,11668,40211" +pts [ +"11668,40211" +"11668,40211" +] +) +condition (MLText +uid 587,0 +va (VaSet +) +xt "9968,38811,13368,39811" +tm "Condition" +) +actions (MLText +uid 588,0 +va (VaSet +) +xt "11668,40211,11668,40211" +tm "Actions" +) +) +tp (TransitionPriority +uid 589,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 590,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "9190,37078,10752,38640" +radius 781 +) +pr (Text +uid 591,0 +va (VaSet +isHidden 1 +) +xt "9571,37359,10371,38359" +st "1" +ju 0 +blo "9971,38159" +tm "TransitionPriority" +) +padding "100,100" +) +) +*63 (Transition +uid 599,0 +shape (Spline +uid 600,0 +va (VaSet +vasetType 3 +) +xt "138008,32000,138019,34358" +pts [ +"138019,32000" +"138008,34358" +] +arrow 1 +) +start &26 +end &29 +es 0 +tb (TransitionBlock +uid 601,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 602,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "116400,33495,138900,34505" +) +autoResize 1 +lineShape (Line +uid 603,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "118600,34900,118600,34900" +pts [ +"118600,34900" +"118600,34900" +] +) +condition (MLText +uid 604,0 +va (VaSet +) +xt "116900,33500,138400,34500" +tm "Condition" +) +actions (MLText +uid 605,0 +va (VaSet +) +xt "127650,34900,127650,34900" +tm "Actions" +) +) +tp (TransitionPriority +uid 606,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 607,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "137236,31454,138798,33016" +radius 781 +) +pr (Text +uid 608,0 +va (VaSet +isHidden 1 +) +xt "137617,31735,138417,32735" +st "1" +ju 0 +blo "138017,32535" +tm "TransitionPriority" +) +padding "100,100" +) +) +*64 (Transition +uid 619,0 +shape (Spline +uid 620,0 +va (VaSet +vasetType 3 +) +xt "115626,35976,136366,35984" +pts [ +"136366,35984" +"115626,35976" +] +arrow 1 +) +start &29 +end &36 +tb (TransitionBlock +uid 621,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 622,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "125496,35975,129896,36985" +) +autoResize 1 +lineShape (Line +uid 623,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "127696,37380,127696,37380" +pts [ +"127696,37380" +"127696,37380" +] +) +condition (MLText +uid 624,0 +va (VaSet +) +xt "125996,35980,129396,36980" +tm "Condition" +) +actions (MLText +uid 625,0 +va (VaSet +) +xt "127696,37380,127696,37380" +tm "Actions" +) +) +tp (TransitionPriority +uid 626,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 627,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "133511,35202,135073,36764" +radius 781 +) +pr (Text +uid 628,0 +va (VaSet +isHidden 1 +) +xt "133892,35483,134692,36483" +st "1" +ju 0 +blo "134292,36283" +tm "TransitionPriority" +) +padding "100,100" +) +) +*65 (Transition +uid 646,0 +shape (Spline +uid 647,0 +va (VaSet +vasetType 3 +) +xt "14000,44000,22000,44000" +pts [ +"14000,44000" +"22000,44000" +] +arrow 1 +) +start &27 +end &30 +cond "endOfRefreshCount = '1'" +tb (TransitionBlock +uid 648,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 649,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "12700,41000,23300,43000" +) +autoResize 1 +lineShape (Line +uid 650,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "14900,42900,14900,42900" +pts [ +"14900,42900" +"14900,42900" +] +) +condition (MLText +uid 651,0 +va (VaSet +) +xt "13200,41500,27600,42500" +st "endOfRefreshCount = '1'" +tm "Condition" +) +actions (MLText +uid 652,0 +va (VaSet +) +xt "18000,42900,18000,42900" +tm "Actions" +) +) +tp (TransitionPriority +uid 653,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 654,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "14019,43219,15581,44781" +radius 781 +) +pr (Text +uid 655,0 +va (VaSet +) +xt "14400,43500,15200,44500" +st "1" +ju 0 +blo "14800,44300" +tm "TransitionPriority" +) +padding "100,100" +) +) +*66 (Transition +uid 741,0 +shape (Spline +uid 742,0 +va (VaSet +vasetType 3 +) +xt "46000,43955,54000,43955" +pts [ +"46000,43955" +"54000,43955" +] +arrow 1 +) +start &31 +end &32 +cond "unsigned(timerDone(1 to prechargeToRefreshPeriodNb)) = 0" +tb (TransitionBlock +uid 743,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 744,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "41950,41000,65350,43000" +) +autoResize 1 +lineShape (Line +uid 745,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "44150,42900,44150,42900" +pts [ +"44150,42900" +"44150,42900" +] +) +condition (MLText +uid 746,0 +va (VaSet +) +xt "42450,41500,76650,42500" +st "unsigned(timerDone(1 to prechargeToRefreshPeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 747,0 +va (VaSet +) +xt "53650,42900,53650,42900" +tm "Actions" +) +) +tp (TransitionPriority +uid 748,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 749,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "46019,43174,47581,44736" +radius 781 +) +pr (Text +uid 750,0 +va (VaSet +isHidden 1 +) +xt "46400,43455,47200,44455" +st "1" +ju 0 +blo "46800,44255" +tm "TransitionPriority" +) +padding "100,100" +) +) +*67 (Transition +uid 751,0 +shape (Spline +uid 752,0 +va (VaSet +vasetType 3 +) +xt "62000,44002,70000,44006" +pts [ +"62000,44006" +"70000,44002" +] +arrow 1 +) +start &32 +end &33 +es 0 +tb (TransitionBlock +uid 753,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 754,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "65500,43999,69900,45009" +) +autoResize 1 +lineShape (Line +uid 755,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "67700,45404,67700,45404" +pts [ +"67700,45404" +"67700,45404" +] +) +condition (MLText +uid 756,0 +va (VaSet +) +xt "66000,44004,69400,45004" +tm "Condition" +) +actions (MLText +uid 757,0 +va (VaSet +) +xt "67700,45404,67700,45404" +tm "Actions" +) +) +tp (TransitionPriority +uid 758,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 759,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "62019,43224,63581,44786" +radius 781 +) +pr (Text +uid 760,0 +va (VaSet +isHidden 1 +) +xt "62400,43505,63200,44505" +st "1" +ju 0 +blo "62800,44305" +tm "TransitionPriority" +) +padding "100,100" +) +) +*68 (Transition +uid 761,0 +shape (Spline +uid 762,0 +va (VaSet +vasetType 3 +) +xt "78000,43984,86000,43985" +pts [ +"78000,43984" +"86000,43985" +] +arrow 1 +) +start &33 +end &34 +cond "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0" +tb (TransitionBlock +uid 763,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 764,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "74350,41000,94950,43000" +) +autoResize 1 +lineShape (Line +uid 765,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "76550,42900,76550,42900" +pts [ +"76550,42900" +"76550,42900" +] +) +condition (MLText +uid 766,0 +va (VaSet +) +xt "74850,41500,105450,42500" +st "unsigned(timerDone(1 to refreshDelayPeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 767,0 +va (VaSet +) +xt "84650,42900,84650,42900" +tm "Actions" +) +) +tp (TransitionPriority +uid 768,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 769,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "78019,43203,79581,44765" +radius 781 +) +pr (Text +uid 770,0 +va (VaSet +isHidden 1 +) +xt "78400,43484,79200,44484" +st "1" +ju 0 +blo "78800,44284" +tm "TransitionPriority" +) +padding "100,100" +) +) +*69 (Transition +uid 771,0 +shape (Spline +uid 772,0 +va (VaSet +vasetType 3 +) +xt "94000,44006,102000,44006" +pts [ +"94000,44006" +"102000,44006" +] +arrow 1 +) +start &34 +end &35 +tb (TransitionBlock +uid 773,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 774,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "97500,44001,101900,45011" +) +autoResize 1 +lineShape (Line +uid 775,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "99700,45406,99700,45406" +pts [ +"99700,45406" +"99700,45406" +] +) +condition (MLText +uid 776,0 +va (VaSet +) +xt "98000,44006,101400,45006" +tm "Condition" +) +actions (MLText +uid 777,0 +va (VaSet +) +xt "99700,45406,99700,45406" +tm "Actions" +) +) +tp (TransitionPriority +uid 778,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 779,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "94019,43225,95581,44787" +radius 781 +) +pr (Text +uid 780,0 +va (VaSet +isHidden 1 +) +xt "94400,43506,95200,44506" +st "1" +ju 0 +blo "94800,44306" +tm "TransitionPriority" +) +padding "100,100" +) +) +*70 (Transition +uid 781,0 +shape (Spline +uid 782,0 +va (VaSet +vasetType 3 +) +xt "30000,44004,38000,44014" +pts [ +"30000,44014" +"38000,44004" +] +arrow 1 +) +start &30 +end &31 +es 0 +tb (TransitionBlock +uid 783,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 784,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "33500,44004,37900,45014" +) +autoResize 1 +lineShape (Line +uid 785,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "35700,45409,35700,45409" +pts [ +"35700,45409" +"35700,45409" +] +) +condition (MLText +uid 786,0 +va (VaSet +) +xt "34000,44009,37400,45009" +tm "Condition" +) +actions (MLText +uid 787,0 +va (VaSet +) +xt "35700,45409,35700,45409" +tm "Actions" +) +) +tp (TransitionPriority +uid 788,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 789,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "30019,43232,31581,44794" +radius 781 +) +pr (Text +uid 790,0 +va (VaSet +isHidden 1 +) +xt "30400,43513,31200,44513" +st "1" +ju 0 +blo "30800,44313" +tm "TransitionPriority" +) +padding "100,100" +) +) +*71 (Transition +uid 805,0 +shape (Spline +uid 806,0 +va (VaSet +vasetType 3 +) +xt "11626,35973,112377,35976" +pts [ +"112377,35973" +"11626,35976" +] +arrow 1 +) +start &36 +end &28 +tb (TransitionBlock +uid 807,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 808,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "61501,35970,65901,36980" +) +autoResize 1 +lineShape (Line +uid 809,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "63701,37375,63701,37375" +pts [ +"63701,37375" +"63701,37375" +] +) +condition (MLText +uid 810,0 +va (VaSet +) +xt "62001,35975,65401,36975" +tm "Condition" +) +actions (MLText +uid 811,0 +va (VaSet +) +xt "63701,37375,63701,37375" +tm "Actions" +) +) +tp (TransitionPriority +uid 812,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 813,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "101521,35192,103083,36754" +radius 781 +) +pr (Text +uid 814,0 +va (VaSet +isHidden 1 +) +xt "101902,35473,102702,36473" +st "1" +ju 0 +blo "102302,36273" +tm "TransitionPriority" +) +padding "100,100" +) +) +*72 (Transition +uid 815,0 +shape (Spline +uid 816,0 +va (VaSet +vasetType 3 +) +xt "110000,43971,112376,43974" +pts [ +"110000,43971" +"112376,43974" +] +arrow 1 +) +start &35 +end &37 +cond "unsigned(timerDone(1 to refreshDelayPeriodNb-1)) = 0" +tb (TransitionBlock +uid 817,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 818,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "107350,41000,128650,43000" +) +autoResize 1 +lineShape (Line +uid 819,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "109550,42900,109550,42900" +pts [ +"109550,42900" +"109550,42900" +] +) +condition (MLText +uid 820,0 +va (VaSet +) +xt "107850,41500,139650,42500" +st "unsigned(timerDone(1 to refreshDelayPeriodNb-1)) = 0" +tm "Condition" +) +actions (MLText +uid 821,0 +va (VaSet +) +xt "118000,42900,118000,42900" +tm "Actions" +) +) +tp (TransitionPriority +uid 822,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 823,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "109456,43190,111018,44752" +radius 781 +) +pr (Text +uid 824,0 +va (VaSet +isHidden 1 +) +xt "109837,43471,110637,44471" +st "1" +ju 0 +blo "110237,44271" +tm "TransitionPriority" +) +padding "100,100" +) +) +*73 (Transition +uid 825,0 +shape (Spline +uid 826,0 +va (VaSet +vasetType 3 +) +xt "114015,37635,114025,42375" +pts [ +"114025,42375" +"114015,37635" +] +arrow 1 +) +start &37 +end &36 +tb (TransitionBlock +uid 827,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 828,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "113520,40000,117920,41010" +) +autoResize 1 +lineShape (Line +uid 829,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "115720,41405,115720,41405" +pts [ +"115720,41405" +"115720,41405" +] +) +condition (MLText +uid 830,0 +va (VaSet +) +xt "114020,40005,117420,41005" +tm "Condition" +) +actions (MLText +uid 831,0 +va (VaSet +) +xt "115720,41405,115720,41405" +tm "Actions" +) +) +tp (TransitionPriority +uid 832,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 833,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "113243,41120,114805,42682" +radius 781 +) +pr (Text +uid 834,0 +va (VaSet +isHidden 1 +) +xt "113624,41401,114424,42401" +st "1" +ju 0 +blo "114024,42201" +tm "TransitionPriority" +) +padding "100,100" +) +) +*74 (Transition +uid 938,0 +shape (Spline +uid 939,0 +va (VaSet +vasetType 3 +) +xt "62000,60002,70000,60006" +pts [ +"62000,60006" +"70000,60002" +] +arrow 1 +) +start &40 +end &41 +es 0 +tb (TransitionBlock +uid 940,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 941,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "65500,59999,69900,61009" +) +autoResize 1 +lineShape (Line +uid 942,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "67700,61404,67700,61404" +pts [ +"67700,61404" +"67700,61404" +] +) +condition (MLText +uid 943,0 +va (VaSet +) +xt "66000,60004,69400,61004" +tm "Condition" +) +actions (MLText +uid 944,0 +va (VaSet +) +xt "67700,61404,67700,61404" +tm "Actions" +) +) +tp (TransitionPriority +uid 945,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 946,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "62019,59224,63581,60786" +radius 781 +) +pr (Text +uid 947,0 +va (VaSet +isHidden 1 +) +xt "62400,59505,63200,60505" +st "1" +ju 0 +blo "62800,60305" +tm "TransitionPriority" +) +padding "100,100" +) +) +*75 (Transition +uid 948,0 +shape (Spline +uid 949,0 +va (VaSet +vasetType 3 +) +xt "46000,59955,54000,59955" +pts [ +"46000,59955" +"54000,59955" +] +arrow 1 +) +start &39 +end &40 +cond "unsigned(timerDone(1 to activeToWritePeriodNb)) = 0" +tb (TransitionBlock +uid 950,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 951,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "41950,57000,62850,59000" +) +autoResize 1 +lineShape (Line +uid 952,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "44150,58900,44150,58900" +pts [ +"44150,58900" +"44150,58900" +] +) +condition (MLText +uid 953,0 +va (VaSet +) +xt "42450,57500,73650,58500" +st "unsigned(timerDone(1 to activeToWritePeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 954,0 +va (VaSet +) +xt "52400,58900,52400,58900" +tm "Actions" +) +) +tp (TransitionPriority +uid 955,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 956,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "46019,59174,47581,60736" +radius 781 +) +pr (Text +uid 957,0 +va (VaSet +isHidden 1 +) +xt "46400,59455,47200,60455" +st "1" +ju 0 +blo "46800,60255" +tm "TransitionPriority" +) +padding "100,100" +) +) +*76 (Transition +uid 958,0 +shape (Spline +uid 959,0 +va (VaSet +vasetType 3 +) +xt "30000,59975,38000,59992" +pts [ +"30000,59975" +"38000,59992" +] +arrow 1 +) +start &38 +end &39 +es 0 +tb (TransitionBlock +uid 960,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 961,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "33500,59979,37900,60989" +) +autoResize 1 +lineShape (Line +uid 962,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "35700,61384,35700,61384" +pts [ +"35700,61384" +"35700,61384" +] +) +condition (MLText +uid 963,0 +va (VaSet +) +xt "34000,59984,37400,60984" +tm "Condition" +) +actions (MLText +uid 964,0 +va (VaSet +) +xt "35700,61384,35700,61384" +tm "Actions" +) +) +tp (TransitionPriority +uid 965,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 966,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "30019,59195,31581,60757" +radius 781 +) +pr (Text +uid 967,0 +va (VaSet +isHidden 1 +) +xt "30400,59476,31200,60476" +st "1" +ju 0 +blo "30800,60276" +tm "TransitionPriority" +) +padding "100,100" +) +) +*77 (Transition +uid 968,0 +shape (Spline +uid 969,0 +va (VaSet +vasetType 3 +) +xt "11626,59973,22000,59976" +pts [ +"11626,59976" +"22000,59973" +] +arrow 1 +) +start &42 +end &38 +cond "(ramEn = '1') and (writeRequest = '1')" +tb (TransitionBlock +uid 970,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 971,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "12938,56847,28038,58847" +) +autoResize 1 +lineShape (Line +uid 972,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "15138,58747,15138,58747" +pts [ +"15138,58747" +"15138,58747" +] +) +condition (MLText +uid 973,0 +va (VaSet +) +xt "13438,57347,36838,58347" +st "(ramEn = '1') and (writeRequest = '1')" +tm "Condition" +) +actions (MLText +uid 974,0 +va (VaSet +) +xt "20488,58747,20488,58747" +tm "Actions" +) +) +tp (TransitionPriority +uid 975,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 976,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "11882,59194,13444,60756" +radius 781 +) +pr (Text +uid 977,0 +va (VaSet +) +xt "12263,59475,13063,60475" +st "1" +ju 0 +blo "12663,60275" +tm "TransitionPriority" +) +padding "100,100" +) +) +*78 (Transition +uid 985,0 +shape (Spline +uid 986,0 +va (VaSet +vasetType 3 +) +xt "10008,48000,10009,58358" +pts [ +"10009,48000" +"10008,58358" +] +arrow 1 +) +start &27 +end &42 +tb (TransitionBlock +uid 987,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 988,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "9508,53174,13908,54184" +) +autoResize 1 +lineShape (Line +uid 989,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "11708,54579,11708,54579" +pts [ +"11708,54579" +"11708,54579" +] +) +condition (MLText +uid 990,0 +va (VaSet +) +xt "10008,53179,13408,54179" +tm "Condition" +) +actions (MLText +uid 991,0 +va (VaSet +) +xt "11708,54579,11708,54579" +tm "Actions" +) +) +tp (TransitionPriority +uid 992,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 993,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "9227,48254,10789,49816" +radius 781 +) +pr (Text +uid 994,0 +va (VaSet +) +xt "9608,48535,10408,49535" +st "2" +ju 0 +blo "10008,49335" +tm "TransitionPriority" +) +padding "100,100" +) +) +*79 (Transition +uid 1006,0 +shape (Spline +uid 1007,0 +va (VaSet +vasetType 3 +) +xt "78000,60013,112363,60014" +pts [ +"78000,60014" +"112363,60013" +] +arrow 1 +) +start &41 +end &43 +cond "unsigned(timerDone(1 to writeToActivePeriodNb)) = 0" +tb (TransitionBlock +uid 1008,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1009,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "78250,57000,99050,59000" +) +autoResize 1 +lineShape (Line +uid 1010,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "80450,58900,80450,58900" +pts [ +"80450,58900" +"80450,58900" +] +) +condition (MLText +uid 1011,0 +va (VaSet +) +xt "78750,57500,109950,58500" +st "unsigned(timerDone(1 to writeToActivePeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 1012,0 +va (VaSet +) +xt "88650,58900,88650,58900" +tm "Actions" +) +) +tp (TransitionPriority +uid 1013,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1014,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "80655,59232,82217,60794" +radius 781 +) +pr (Text +uid 1015,0 +va (VaSet +isHidden 1 +) +xt "81036,59513,81836,60513" +st "1" +ju 0 +blo "81436,60313" +tm "TransitionPriority" +) +padding "100,100" +) +) +*80 (Transition +uid 1016,0 +shape (Spline +uid 1017,0 +va (VaSet +vasetType 3 +) +xt "114010,45640,114015,58365" +pts [ +"114015,58365" +"114010,45640" +] +arrow 1 +) +start &43 +end &37 +tb (TransitionBlock +uid 1018,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1019,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "113512,51997,117912,53007" +) +autoResize 1 +lineShape (Line +uid 1020,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "115712,53402,115712,53402" +pts [ +"115712,53402" +"115712,53402" +] +) +condition (MLText +uid 1021,0 +va (VaSet +) +xt "114012,52002,117412,53002" +tm "Condition" +) +actions (MLText +uid 1022,0 +va (VaSet +) +xt "115712,53402,115712,53402" +tm "Actions" +) +) +tp (TransitionPriority +uid 1023,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1024,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "113233,56312,114795,57874" +radius 781 +) +pr (Text +uid 1025,0 +va (VaSet +isHidden 1 +) +xt "113614,56593,114414,57593" +st "1" +ju 0 +blo "114014,57393" +tm "TransitionPriority" +) +padding "100,100" +) +) +*81 (Transition +uid 1101,0 +shape (Spline +uid 1102,0 +va (VaSet +vasetType 3 +) +xt "62000,76002,70000,76006" +pts [ +"62000,76006" +"70000,76002" +] +arrow 1 +) +start &46 +end &47 +es 0 +tb (TransitionBlock +uid 1103,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1104,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "65500,75999,69900,77009" +) +autoResize 1 +lineShape (Line +uid 1105,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "67700,77404,67700,77404" +pts [ +"67700,77404" +"67700,77404" +] +) +condition (MLText +uid 1106,0 +va (VaSet +) +xt "66000,76004,69400,77004" +tm "Condition" +) +actions (MLText +uid 1107,0 +va (VaSet +) +xt "67700,77404,67700,77404" +tm "Actions" +) +) +tp (TransitionPriority +uid 1108,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1109,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "62019,75224,63581,76786" +radius 781 +) +pr (Text +uid 1110,0 +va (VaSet +isHidden 1 +) +xt "62400,75505,63200,76505" +st "1" +ju 0 +blo "62800,76305" +tm "TransitionPriority" +) +padding "100,100" +) +) +*82 (Transition +uid 1111,0 +shape (Spline +uid 1112,0 +va (VaSet +vasetType 3 +) +xt "46000,75955,54000,75955" +pts [ +"46000,75955" +"54000,75955" +] +arrow 1 +) +start &45 +end &46 +cond "unsigned(timerDone(1 to activeToReadPeriodNb)) = 0" +tb (TransitionBlock +uid 1113,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1114,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "41950,73000,62850,75000" +) +autoResize 1 +lineShape (Line +uid 1115,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "44150,74900,44150,74900" +pts [ +"44150,74900" +"44150,74900" +] +) +condition (MLText +uid 1116,0 +va (VaSet +) +xt "42450,73500,73050,74500" +st "unsigned(timerDone(1 to activeToReadPeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 1117,0 +va (VaSet +) +xt "52400,74900,52400,74900" +tm "Actions" +) +) +tp (TransitionPriority +uid 1118,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1119,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "46019,75174,47581,76736" +radius 781 +) +pr (Text +uid 1120,0 +va (VaSet +isHidden 1 +) +xt "46400,75455,47200,76455" +st "1" +ju 0 +blo "46800,76255" +tm "TransitionPriority" +) +padding "100,100" +) +) +*83 (Transition +uid 1121,0 +shape (Spline +uid 1122,0 +va (VaSet +vasetType 3 +) +xt "30000,76004,38000,76014" +pts [ +"30000,76014" +"38000,76004" +] +arrow 1 +) +start &44 +end &45 +es 0 +tb (TransitionBlock +uid 1123,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1124,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "33500,76004,37900,77014" +) +autoResize 1 +lineShape (Line +uid 1125,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "35700,77409,35700,77409" +pts [ +"35700,77409" +"35700,77409" +] +) +condition (MLText +uid 1126,0 +va (VaSet +) +xt "34000,76009,37400,77009" +tm "Condition" +) +actions (MLText +uid 1127,0 +va (VaSet +) +xt "35700,77409,35700,77409" +tm "Actions" +) +) +tp (TransitionPriority +uid 1128,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1129,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "30019,75232,31581,76794" +radius 781 +) +pr (Text +uid 1130,0 +va (VaSet +isHidden 1 +) +xt "30400,75513,31200,76513" +st "1" +ju 0 +blo "30800,76313" +tm "TransitionPriority" +) +padding "100,100" +) +) +*84 (Transition +uid 1131,0 +shape (Spline +uid 1132,0 +va (VaSet +vasetType 3 +) +xt "78000,75970,86000,75973" +pts [ +"78000,75973" +"86000,75970" +] +arrow 1 +) +start &47 +end &50 +cond "unsigned(timerDone(1 to readToSamplePeriodNb)) = 0" +tb (TransitionBlock +uid 1133,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1134,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "73650,73000,94850,75000" +) +autoResize 1 +lineShape (Line +uid 1135,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "75850,74900,75850,74900" +pts [ +"75850,74900" +"75850,74900" +] +) +condition (MLText +uid 1136,0 +va (VaSet +) +xt "74150,73500,104750,74500" +st "unsigned(timerDone(1 to readToSamplePeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 1137,0 +va (VaSet +) +xt "84250,74900,84250,74900" +tm "Actions" +) +) +tp (TransitionPriority +uid 1138,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1139,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "78019,75191,79581,76753" +radius 781 +) +pr (Text +uid 1140,0 +va (VaSet +isHidden 1 +) +xt "78400,75472,79200,76472" +st "1" +ju 0 +blo "78800,76272" +tm "TransitionPriority" +) +padding "100,100" +) +) +*85 (Transition +uid 1141,0 +shape (Spline +uid 1142,0 +va (VaSet +vasetType 3 +) +xt "114016,61634,114016,74366" +pts [ +"114016,74366" +"114016,61634" +] +arrow 1 +) +start &48 +end &43 +tb (TransitionBlock +uid 1143,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1144,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "113516,67995,117916,69005" +) +autoResize 1 +lineShape (Line +uid 1145,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "115716,69400,115716,69400" +pts [ +"115716,69400" +"115716,69400" +] +) +condition (MLText +uid 1146,0 +va (VaSet +) +xt "114016,68000,117416,69000" +tm "Condition" +) +actions (MLText +uid 1147,0 +va (VaSet +) +xt "115716,69400,115716,69400" +tm "Actions" +) +) +tp (TransitionPriority +uid 1148,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1149,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "113235,72312,114797,73874" +radius 781 +) +pr (Text +uid 1150,0 +va (VaSet +isHidden 1 +) +xt "113616,72593,114416,73593" +st "1" +ju 0 +blo "114016,73393" +tm "TransitionPriority" +) +padding "100,100" +) +) +*86 (Transition +uid 1158,0 +shape (Spline +uid 1159,0 +va (VaSet +vasetType 3 +) +xt "10015,61635,10015,74365" +pts [ +"10015,61635" +"10015,74365" +] +arrow 1 +) +start &42 +end &49 +tb (TransitionBlock +uid 1160,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1161,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "9515,67995,13915,69005" +) +autoResize 1 +lineShape (Line +uid 1162,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "11715,69400,11715,69400" +pts [ +"11715,69400" +"11715,69400" +] +) +condition (MLText +uid 1163,0 +va (VaSet +) +xt "10015,68000,13415,69000" +tm "Condition" +) +actions (MLText +uid 1164,0 +va (VaSet +) +xt "11715,69400,11715,69400" +tm "Actions" +) +) +tp (TransitionPriority +uid 1165,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1166,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "9234,62127,10796,63689" +radius 781 +) +pr (Text +uid 1167,0 +va (VaSet +) +xt "9615,62408,10415,63408" +st "2" +ju 0 +blo "10015,63208" +tm "TransitionPriority" +) +padding "100,100" +) +) +*87 (Transition +uid 1168,0 +shape (Spline +uid 1169,0 +va (VaSet +vasetType 3 +) +xt "11643,76006,22000,76007" +pts [ +"11643,76007" +"22000,76006" +] +arrow 1 +) +start &49 +end &44 +cond "(ramEn = '1') and (readRequest = '1')" +tb (TransitionBlock +uid 1170,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1171,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "12500,73000,27500,75000" +) +autoResize 1 +lineShape (Line +uid 1172,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "14700,74900,14700,74900" +pts [ +"14700,74900" +"14700,74900" +] +) +condition (MLText +uid 1173,0 +va (VaSet +) +xt "13000,73500,35800,74500" +st "(ramEn = '1') and (readRequest = '1')" +tm "Condition" +) +actions (MLText +uid 1174,0 +va (VaSet +) +xt "20000,74900,20000,74900" +tm "Actions" +) +) +tp (TransitionPriority +uid 1175,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1176,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "11897,75225,13459,76787" +radius 781 +) +pr (Text +uid 1177,0 +va (VaSet +isHidden 1 +) +xt "12278,75506,13078,76506" +st "1" +ju 0 +blo "12678,76306" +tm "TransitionPriority" +) +padding "100,100" +) +) +*88 (Transition +uid 1251,0 +shape (Spline +uid 1252,0 +va (VaSet +vasetType 3 +) +xt "94000,76002,102000,76006" +pts [ +"94000,76006" +"102000,76002" +] +arrow 1 +) +start &50 +end &51 +es 0 +tb (TransitionBlock +uid 1253,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1254,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "97500,75999,101900,77009" +) +autoResize 1 +lineShape (Line +uid 1255,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "99700,77404,99700,77404" +pts [ +"99700,77404" +"99700,77404" +] +) +condition (MLText +uid 1256,0 +va (VaSet +) +xt "98000,76004,101400,77004" +tm "Condition" +) +actions (MLText +uid 1257,0 +va (VaSet +) +xt "99700,77404,99700,77404" +tm "Actions" +) +) +tp (TransitionPriority +uid 1258,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1259,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "94019,75224,95581,76786" +radius 781 +) +pr (Text +uid 1260,0 +va (VaSet +isHidden 1 +) +xt "94400,75505,95200,76505" +st "1" +ju 0 +blo "94800,76305" +tm "TransitionPriority" +) +padding "100,100" +) +) +*89 (Transition +uid 1261,0 +shape (Spline +uid 1262,0 +va (VaSet +vasetType 3 +) +xt "110000,76014,112364,76015" +pts [ +"110000,76015" +"112364,76014" +] +arrow 1 +) +start &51 +end &48 +cond "unsigned(timerDone(1 to readToActivePeriodNb)) = 0" +tb (TransitionBlock +uid 1263,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 1264,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "109650,73000,130350,75000" +) +autoResize 1 +lineShape (Line +uid 1265,0 +va (VaSet +vasetType 3 +isHidden 1 +) +xt "111850,74900,111850,74900" +pts [ +"111850,74900" +"111850,74900" +] +) +condition (MLText +uid 1266,0 +va (VaSet +) +xt "110150,73500,140750,74500" +st "unsigned(timerDone(1 to readToActivePeriodNb)) = 0" +tm "Condition" +) +actions (MLText +uid 1267,0 +va (VaSet +) +xt "120000,74900,120000,74900" +tm "Actions" +) +) +tp (TransitionPriority +uid 1268,0 +ps "PercentageFromStartStrategy" +shape (Circle +uid 1269,0 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "109455,75233,111017,76795" +radius 781 +) +pr (Text +uid 1270,0 +va (VaSet +isHidden 1 +) +xt "109836,75514,110636,76514" +st "1" +ju 0 +blo "110236,76314" +tm "TransitionPriority" +) +padding "100,100" +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *90 (PackageList +uid 27,0 +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +uid 28,0 +va (VaSet +font "courier,8,1" +) +xt "0,-2000,5400,-1000" +st "Package List" +blo "0,-1200" +) +*92 (MLText +uid 29,0 +va (VaSet +) +xt "0,-1000,18600,2000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "SmPackageListTextMgr" +) +] +) +compDirBlock (MlTextGroup +uid 30,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 31,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,0,28100,1000" +st "Compiler Directives" +blo "20000,800" +) +*94 (Text +uid 32,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,1000,29600,2000" +st "Pre-module directives:" +blo "20000,1800" +) +*95 (MLText +uid 33,0 +va (VaSet +isHidden 1 +) +xt "20000,2000,32000,4000" +st "`resetall +`timescale 1ns/10ps" +tm "SmCompilerDirectivesTextMgr" +) +*96 (Text +uid 34,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,4000,30100,5000" +st "Post-module directives:" +blo "20000,4800" +) +*97 (MLText +uid 35,0 +va (VaSet +isHidden 1 +) +xt "20000,0,20000,0" +tm "SmCompilerDirectivesTextMgr" +) +*98 (Text +uid 36,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "20000,5000,29900,6000" +st "End-module directives:" +blo "20000,5800" +) +*99 (MLText +uid 37,0 +va (VaSet +isHidden 1 +) +xt "20000,6000,20000,6000" +tm "SmCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "0,24,1681,1050" +viewArea "11603,-6620,90646,42285" +cachedDiagramExtent "0,-2000,148300,97000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +scale 50 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,-2000" +isTopLevel 1 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +localPreDecl *100 (SmLocalDecl +uid 1648,0 +stg "VerticalLayoutStrategy" +first (Text +uid 1649,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,0,13000,900" +st "Architecture Declarations" +blo "0,700" +) +second (MLText +uid 1650,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,900,0,900" +tm "LocalDeclTextMgr" +) +declType 1 +) +localDecl *101 (SmLocalDecl +uid 3,0 +stg "VerticalLayoutStrategy" +first (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "40000,-1400,53000,-500" +st "Architecture Declarations" +blo "40000,-700" +) +second (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "40000,-400,79500,18500" +st "-- 20120621 -- zas -- added to generics +--constant prechargeToRefreshPeriodNb: positive := 2; -- 66MHz * 20 ns = 1.32 +--constant refreshDelayPeriodNb: positive := 5; -- 66MHz * 66ns = 4.356 +--constant loadModeToActivePeriodNb: positive := 1; -- 1 CK +--constant activeToWritePeriodNb: positive := 2; -- 66MHz * 20ns = 1.32 +--constant writeToActivePeriodNb: positive := 3; -- 1 CK + 66MHz * 20ns = 2.32 +--constant activeToReadPeriodNb: positive := 2; -- 66MHz * 20ns = 1.32 +--constant readToSamplePeriodNb: positive := 2; -- 2 CK with latency = 2 +--constant readToActivePeriodNb: positive := 3; -- 1 CK + 66MHz * 20ns = 2.32 + +subtype commandBusType is std_ulogic_vector(commandBusBitNb-1 downto 0); +-- bits: 5 = cs, 4 = ras, 3 = cas, 2 = we, 1 = dqm(1), 0 = dqm(0) +constant inhibit : commandBusType := \"1-----\"; +constant nop : commandBusType := \"0111--\"; +constant active : commandBusType := \"0011--\"; +constant read : commandBusType := \"010100\"; +constant write : commandBusType := \"010000\"; +constant burstTerminate : commandBusType := \"0110--\"; +constant precharge : commandBusType := \"0010--\"; +constant autoRefresh : commandBusType := \"0001--\"; +constant loadModeReg : commandBusType := \"0000--\";" +tm "LocalDeclTextMgr" +) +declType 2 +) +localPostDecl *102 (SmLocalDecl +uid 1651,0 +stg "VerticalLayoutStrategy" +first (Text +uid 1652,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,0,13000,900" +st "Architecture Declarations" +blo "0,700" +) +second (MLText +uid 1653,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,900,0,900" +tm "LocalDeclTextMgr" +) +declType 3 +) +processDecl *103 (SmProcessDecl +uid 6,0 +stg "VerticalLayoutStrategy" +textVec [ +*104 (Text +uid 7,0 +va (VaSet +font "courier,8,1" +) +xt "126000,-1000,134900,0" +st "Process Declarations" +blo "126000,-200" +) +*105 (Text +uid 8,0 +va (VaSet +font "courier,8,1" +) +xt "126000,0,133200,1000" +st "Clocked Process:" +blo "126000,800" +) +*106 (MLText +uid 9,0 +va (VaSet +font "courier,8,0" +) +xt "126000,-1000,126000,-1000" +tm "ProcessDeclTextMgr" +) +*107 (Text +uid 10,0 +va (VaSet +font "courier,8,1" +) +xt "126000,1000,132900,2000" +st "Output Process:" +blo "126000,1800" +) +*108 (MLText +uid 11,0 +va (VaSet +font "courier,8,0" +) +xt "126000,2000,126000,2000" +tm "ProcessDeclTextMgr" +) +] +associable 1 +) +defaultActions *109 (MlTextGroup +uid 12,0 +stg "VerticalLayoutStrategy" +textVec [ +*110 (Text +uid 13,0 +va (VaSet +font "courier,8,1" +) +xt "18000,-1000,24200,0" +st "Global Actions" +blo "18000,-200" +) +*111 (Text +uid 14,0 +va (VaSet +font "courier,8,1" +) +xt "18000,0,23300,1000" +st "Pre Actions:" +blo "18000,800" +) +*112 (MLText +uid 15,0 +va (VaSet +) +xt "18000,-1000,18000,-1000" +tm "Actions" +) +*113 (Text +uid 16,0 +va (VaSet +font "courier,8,1" +) +xt "18000,1000,23800,2000" +st "Post Actions:" +blo "18000,1800" +) +*114 (MLText +uid 17,0 +va (VaSet +) +xt "18000,2000,23600,3000" +tm "Actions" +) +] +associable 1 +) +archConcurrentStatementBlock *115 (BiTextGroup +uid 18,0 +stg "VerticalLayoutStrategy" +first (Text +uid 19,0 +va (VaSet +font "courier,8,1" +) +xt "27200,-1000,37100,0" +st "Concurrent Statements" +blo "27200,-200" +) +second (MLText +uid 20,0 +va (VaSet +) +xt "27200,0,52500,19000" +tm "ArchConcStmtTextMgr" +) +associable 1 +) +signalsGenStatus *116 (SmSignalGenStatus +uid 24,0 +stg "VerticalLayoutStrategy" +first (Text +uid 25,0 +va (VaSet +font "courier,8,1" +) +xt "85000,-1000,90700,0" +st "Signal Status" +blo "85000,-200" +) +second (MLText +uid 26,0 +va (VaSet +font "courier,8,0" +) +xt "85000,0,110200,10800" +st "SIGNAL MODE DEFAULT RESET SCHEME +powerUpDone OUT '1' COMB +commandBus OUT nop COMB +timerStart OUT '0' COMB +addrSelPrecharge OUT '0' COMB +addrSelModeReg OUT '0' COMB +writeAck OUT '0' COMB +addrSelCol OUT '0' COMB +addrSelRow OUT '0' COMB +readAck OUT '0' COMB +ramDataValid OUT '0' COMB +sampleData OUT '0' COMB +" +tm "SmSignalsGenStatusTextMgr" +) +) +stateRegBlock *117 (BiTextGroup +uid 21,0 +stg "VerticalLayoutStrategy" +first (Text +uid 22,0 +va (VaSet +font "courier,8,1" +) +xt "113000,-1000,123800,0" +st "State Register Statements" +blo "113000,-200" +) +second (MLText +uid 23,0 +va (VaSet +) +xt "113000,0,113000,0" +tm "Actions" +) +associable 1 +) +) +genChar (SmGenChar +uid 38,0 +nextStateClocking 0 +) +encoding (Encoding +scheme 3 +encodingStyles [ +(pair +scheme 0 +style 0 +) +(pair +scheme 1 +style 1 +) +(pair +scheme 2 +style 0 +) +(pair +scheme 3 +style 0 +) +(pair +scheme 4 +style 0 +) +(pair +scheme 5 +style 0 +) +] +otherValues [ +(pair +scheme 0 +otherValue "" +) +(pair +scheme 1 +otherValue "" +) +(pair +scheme 2 +otherValue "" +) +(pair +scheme 3 +otherValue "" +) +(pair +scheme 4 +otherValue "" +) +(pair +scheme 5 +otherValue "" +) +] +attribute 0 +synSafe 0 +outputEncodedLocals 0 +useVerilogParameterRange 0 +radix 0 +) +stateOrder [ +&2 +&19 +&20 +&21 +&22 +&23 +&24 +&25 +&26 +&27 +&30 +&31 +&32 +&33 +&34 +&35 +&38 +&39 +&40 +&41 +&44 +&45 +&46 +&47 +&50 +&51 +] +name "csm" +) +] +lastUid 1653,0 +commonDM (CommonDM +ldm (LogicalDM +emptyRow *118 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*119 (RefLabelRowHdr +) +*120 (TitleRowHdr +) +*121 (FilterRowHdr +) +*122 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*123 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*124 (GroupColHdr +tm "GroupColHdrMgr" +) +*125 (NameColHdr +tm "SmNameColHdrMgr" +) +*126 (ModeColHdr +tm "SmModeColHdrMgr" +) +*127 (TypeColHdr +tm "SmTypeColHdrMgr" +) +*128 (BoundsColHdr +tm "SmBoundsColHdrMgr" +) +*129 (InitColHdr +tm "SmInitColHdrMgr" +) +*130 (ColumnHdr +tm "SmCategoryColHdrMgr" +) +*131 (ColumnHdr +tm "SmAssignColHdrMgr" +) +*132 (ColumnHdr +tm "SmExprColHdrMgr" +) +*133 (ColumnHdr +tm "SmSchemeColHdrMgr" +) +*134 (ColumnHdr +tm "SmDefValColHdrMgr" +) +*135 (ColumnHdr +tm "SmRstValColHdrMgr" +) +*136 (EolColHdr +tm "SmEolColHdrMgr" +) +*137 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +) +) +uid 152,0 +cat 3 +expr "rising_edge(clock)" +) +*138 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +) +) +uid 154,0 +cat 9 +expr "reset = '1'" +) +*139 (LeafLogPort +port (LogicalPort +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 2 +) +) +uid 226,0 +ass "" +) +*140 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 13 +) +) +uid 228,0 +scheme 0 +defVal "'1'" +) +*141 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "commandBus" +t "std_ulogic_vector" +b "( commandBusBitNb-1 DOWNTO 0 )" +o 12 +) +) +uid 323,0 +scheme 0 +defVal "nop" +) +*142 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "timerStart" +t "std_ulogic" +o 17 +) +) +uid 331,0 +scheme 0 +defVal "'0'" +) +*143 (LeafLogPort +port (LogicalPort +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "( 1 TO maxDelayPeriodNb )" +o 6 +) +) +uid 333,0 +ass "" +) +*144 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 10 +) +) +uid 472,0 +scheme 0 +defVal "'0'" +) +*145 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 9 +) +) +uid 530,0 +scheme 0 +defVal "'0'" +) +*146 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "writeAck" +t "std_ulogic" +o 18 +) +) +uid 867,0 +scheme 0 +defVal "'0'" +) +*147 (LeafLogPort +port (LogicalPort +decl (Decl +n "writeRequest" +t "std_ulogic" +o 7 +) +) +uid 869,0 +ass "" +) +*148 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 8 +) +) +uid 996,0 +scheme 0 +defVal "'0'" +) +*149 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 11 +) +) +uid 998,0 +scheme 0 +defVal "'0'" +) +*150 (LeafLogPort +port (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 3 +) +) +uid 1179,0 +ass "" +) +*151 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "readAck" +t "std_ulogic" +o 15 +) +) +uid 1181,0 +scheme 0 +defVal "'0'" +) +*152 (LeafLogPort +port (LogicalPort +decl (Decl +n "readRequest" +t "std_ulogic" +o 4 +) +) +uid 1183,0 +) +*153 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +) +) +uid 1185,0 +scheme 0 +defVal "'0'" +) +*154 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "sampleData" +t "std_ulogic" +o 16 +) +) +uid 1187,0 +scheme 0 +defVal "'0'" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 177,0 +optionalChildren [ +*155 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *156 (MRCItem +litem &118 +pos 18 +dimension 20 +) +uid 179,0 +optionalChildren [ +*157 (MRCItem +litem &119 +pos 0 +dimension 20 +uid 180,0 +) +*158 (MRCItem +litem &120 +pos 1 +dimension 23 +uid 181,0 +) +*159 (MRCItem +litem &121 +pos 2 +hidden 1 +dimension 20 +uid 182,0 +) +*160 (MRCItem +litem &137 +pos 4 +dimension 20 +uid 153,0 +) +*161 (MRCItem +litem &138 +pos 12 +dimension 20 +uid 155,0 +) +*162 (MRCItem +litem &139 +pos 6 +dimension 20 +uid 225,0 +) +*163 (MRCItem +litem &140 +pos 7 +dimension 20 +uid 227,0 +) +*164 (MRCItem +litem &141 +pos 5 +dimension 20 +uid 322,0 +) +*165 (MRCItem +litem &142 +pos 15 +dimension 20 +uid 330,0 +) +*166 (MRCItem +litem &143 +pos 14 +dimension 20 +uid 332,0 +) +*167 (MRCItem +litem &144 +pos 2 +dimension 20 +uid 471,0 +) +*168 (MRCItem +litem &145 +pos 1 +dimension 20 +uid 529,0 +) +*169 (MRCItem +litem &146 +pos 16 +dimension 20 +uid 866,0 +) +*170 (MRCItem +litem &147 +pos 17 +dimension 20 +uid 868,0 +) +*171 (MRCItem +litem &148 +pos 0 +dimension 20 +uid 995,0 +) +*172 (MRCItem +litem &149 +pos 3 +dimension 20 +uid 997,0 +) +*173 (MRCItem +litem &150 +pos 9 +dimension 20 +uid 1178,0 +) +*174 (MRCItem +litem &151 +pos 10 +dimension 20 +uid 1180,0 +) +*175 (MRCItem +litem &152 +pos 11 +dimension 20 +uid 1182,0 +) +*176 (MRCItem +litem &153 +pos 8 +dimension 20 +uid 1184,0 +) +*177 (MRCItem +litem &154 +pos 13 +dimension 20 +uid 1186,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 183,0 +optionalChildren [ +*178 (MRCItem +litem &122 +pos 0 +dimension 20 +uid 184,0 +) +*179 (MRCItem +litem &124 +pos 1 +dimension 50 +uid 185,0 +) +*180 (MRCItem +litem &125 +pos 2 +dimension 156 +uid 186,0 +) +*181 (MRCItem +litem &126 +pos 3 +dimension 50 +uid 187,0 +) +*182 (MRCItem +litem &127 +pos 4 +dimension 80 +uid 188,0 +) +*183 (MRCItem +litem &128 +pos 5 +dimension 191 +uid 189,0 +) +*184 (MRCItem +litem &129 +pos 6 +dimension 40 +uid 190,0 +) +*185 (MRCItem +litem &130 +pos 7 +dimension 100 +uid 191,0 +) +*186 (MRCItem +litem &131 +pos 8 +dimension 60 +uid 192,0 +) +*187 (MRCItem +litem &132 +pos 9 +dimension 130 +uid 193,0 +) +*188 (MRCItem +litem &133 +pos 10 +dimension 56 +uid 194,0 +) +*189 (MRCItem +litem &134 +pos 11 +dimension 50 +uid 195,0 +) +*190 (MRCItem +litem &135 +pos 12 +dimension 50 +uid 196,0 +) +*191 (MRCItem +litem &136 +pos 13 +dimension 80 +uid 197,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 178,0 +vaOverrides [ +] +) +] +) +uid 157,0 +) +cdmCsm &1 +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *192 (LEmptyRow +) +uid 199,0 +optionalChildren [ +*193 (RefLabelRowHdr +) +*194 (TitleRowHdr +) +*195 (FilterRowHdr +) +*196 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*197 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*198 (GroupColHdr +tm "GroupColHdrMgr" +) +*199 (NameColHdr +tm "GenericNameColHdrMgr" +) +*200 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*201 (InitColHdr +tm "GenericValueColHdrMgr" +) +*202 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*203 (EolColHdr +tm "GenericEolColHdrMgr" +) +*204 (LogGeneric +generic (GiElement +name "commandBusBitNb" +type "positive" +value "6" +) +uid 1301,0 +) +*205 (LogGeneric +generic (GiElement +name "maxDelayPeriodNb" +type "positive" +value "10" +) +uid 1361,0 +) +*206 (LogGeneric +generic (GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +uid 1507,0 +) +*207 (LogGeneric +generic (GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +uid 1547,0 +) +*208 (LogGeneric +generic (GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +uid 1549,0 +) +*209 (LogGeneric +generic (GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 1551,0 +) +*210 (LogGeneric +generic (GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 1553,0 +) +*211 (LogGeneric +generic (GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 1555,0 +) +*212 (LogGeneric +generic (GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +uid 1557,0 +) +*213 (LogGeneric +generic (GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 1559,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 211,0 +optionalChildren [ +*214 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *215 (MRCItem +litem &192 +pos 10 +dimension 20 +) +uid 213,0 +optionalChildren [ +*216 (MRCItem +litem &193 +pos 0 +dimension 20 +uid 214,0 +) +*217 (MRCItem +litem &194 +pos 1 +dimension 23 +uid 215,0 +) +*218 (MRCItem +litem &195 +pos 2 +hidden 1 +dimension 20 +uid 216,0 +) +*219 (MRCItem +litem &204 +pos 0 +dimension 20 +uid 1300,0 +) +*220 (MRCItem +litem &205 +pos 1 +dimension 20 +uid 1360,0 +) +*221 (MRCItem +litem &206 +pos 2 +dimension 20 +uid 1508,0 +) +*222 (MRCItem +litem &207 +pos 3 +dimension 20 +uid 1548,0 +) +*223 (MRCItem +litem &208 +pos 4 +dimension 20 +uid 1550,0 +) +*224 (MRCItem +litem &209 +pos 5 +dimension 20 +uid 1552,0 +) +*225 (MRCItem +litem &210 +pos 6 +dimension 20 +uid 1554,0 +) +*226 (MRCItem +litem &211 +pos 7 +dimension 20 +uid 1556,0 +) +*227 (MRCItem +litem &212 +pos 8 +dimension 20 +uid 1558,0 +) +*228 (MRCItem +litem &213 +pos 9 +dimension 20 +uid 1560,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 217,0 +optionalChildren [ +*229 (MRCItem +litem &196 +pos 0 +dimension 20 +uid 218,0 +) +*230 (MRCItem +litem &198 +pos 1 +dimension 50 +uid 219,0 +) +*231 (MRCItem +litem &199 +pos 2 +dimension 311 +uid 220,0 +) +*232 (MRCItem +litem &200 +pos 3 +dimension 183 +uid 221,0 +) +*233 (MRCItem +litem &201 +pos 4 +dimension 54 +uid 222,0 +) +*234 (MRCItem +litem &202 +pos 5 +dimension 50 +uid 223,0 +) +*235 (MRCItem +litem &203 +pos 6 +dimension 349 +uid 224,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 212,0 +vaOverrides [ +] +) +] +) +uid 198,0 +type 1 +) +signalSuffix "_int" +clockSuffix "_cld" +defaultState (State +shape (Circle +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "0,0,1800,1200" +st "s0" +ju 0 +blo "900,1000" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "1000,900,4600,2100" +st "wait 2" +blo "1000,1900" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "courier,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "3900,3100,4100,3300" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +pts [ +"0,0" +"0,0" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "-450,-175,-100,175" +) +entryActions (MLText +va (VaSet +) +tm "Actions" +) +inActions (MLText +va (VaSet +) +tm "Actions" +) +exitActions (MLText +va (VaSet +) +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-1600,1000,3900,1900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultWaitState (State +shape (CircleInOctagon +va (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +xt "-529,-529,6529,6529" +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "0,0,1800,1200" +st "s0" +ju 0 +blo "900,1000" +tm "ONodeName" +) +wait (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +isHidden 1 +fg "0,0,32768" +font "courier,10,1" +) +xt "1000,900,4600,2100" +st "wait 2" +blo "1000,1900" +tm "SmWaitText" +) +) +encoding (Text +va (VaSet +font "courier,8,1" +) +blo "0,0" +tm "SmEncodingMgr" +) +actionBlk (SmStateActionsBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "8900,6100,9100,6300" +) +autoResize 1 +tline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +bline (Line +va (VaSet +vasetType 3 +isHidden 1 +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "5000,3000,5000,3000" +pts [ +"5000,3000" +"5000,3000" +] +) +ttri (Triangle +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +btri (Triangle +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,32768,49152" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +xt "4550,2825,4900,3175" +) +entryActions (MLText +va (VaSet +isHidden 1 +) +xt "5000,3000,5000,3000" +tm "Actions" +) +inActions (MLText +va (VaSet +isHidden 1 +) +xt "5000,3000,5000,3000" +tm "Actions" +) +exitActions (MLText +va (VaSet +isHidden 1 +) +xt "5000,3000,5000,3000" +tm "Actions" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-1600,1000,3900,1900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +isWait 1 +) +defaultCompositeState (CompositeState +shape (TripleCircle +va (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,-3000,3000,3000" +radius 3000 +) +name (Text +va (VaSet +font "courier,10,1" +) +xt "-900,-600,900,600" +st "s0" +ju 0 +blo "0,400" +tm "ONodeName" +) +childDiagram &0 +) +defaultJunction (Junction +shape (Diamond +va (VaSet +vasetType 1 +fg "59904,39936,65280" +) +xt "-1150,-1150,2150,2150" +) +symbol (Text +va (VaSet +font "courier,10,1" +) +xt "-150,-100,1150,1100" +st "&" +ju 0 +blo "500,900" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "2000,1000,2000,1000" +blo "2000,1000" +tm "JunctionName" +) +) +caseExpr (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-1850,2000,3650,2900" +st "CASE: expr" +tm "SmCaseExpr" +) +) +) +defaultEntryPoint (EntryPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +] +) +) +defaultInterruptPoint (InterruptPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-875,875,1375,1875" +) +(Line +sl 0 +ro 270 +xt "1375,1375,1875,1375" +pts [ +"1375,1375" +"1875,1375" +] +) +(CustomPolygon +pts [ +"-625,1600" +"-625,1300" +"25,1425" +"-75,1150" +"1025,1350" +"200,1350" +"375,1600" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,0,0" +lineColor "65535,65535,0" +) +xt "-625,1150,1025,1600" +) +] +) +) +defaultLink (Link +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "2375,875,4375,1875" +st "Link" +blo "2375,1675" +tm "LinkName" +) +) +) +defaultExitPoint (ExitPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "29952,39936,65280" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-375,875,1875,1875" +) +(Line +sl 0 +ro 270 +xt "-875,1375,-375,1375" +pts [ +"-875,1375" +"-375,1375" +] +) +] +) +) +defaultTransition (Transition +shape (Spline +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +arrow 1 +) +ss 0 +es 0 +cond "condition" +tb (TransitionBlock +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +xt "-500,-500,3900,1500" +) +autoResize 1 +lineShape (Line +va (VaSet +vasetType 3 +isHidden 1 +) +xt "1700,1400,1700,1400" +pts [ +"1700,1400" +"1700,1400" +] +) +condition (MLText +va (VaSet +) +xt "0,0,5400,1000" +st "condition" +tm "Condition" +) +actions (MLText +va (VaSet +) +xt "1700,1800,1700,1800" +tm "Actions" +) +) +tp (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "-781,-781,781,781" +radius 781 +) +pr (Text +va (VaSet +) +xt "-400,-500,400,500" +st "1" +ju 0 +blo "0,300" +tm "TransitionPriority" +) +padding "100,100" +) +) +defaultClk (SmClockPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"275,1425" +"574,1425" +"574,825" +"874,825" +] +) +(Arc2D +pts [ +"-116,1278" +"-371,972" +"-116,972" +] +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-441,926,-116,1323" +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-2425,625,-1125,1625" +st "clk" +ju 2 +blo "-1125,1425" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,525,8225,1725" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "1725,625,10225,1525" +st "rising_edge(clk)" +tm "SmControlConditionMgr" +) +) +edge 4 +) +defaultEnable (SmEnablePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,1425" +"574,1425" +"574,825" +"275,825" +] +) +(Arc2D +pts [ +"-130,1263" +"-415,1064" +"-76,1064" +] +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +transparent 1 +) +xt "-425,943,-76,1304" +) +(Line +sl 0 +ro 270 +xt "-415,1064,-106,1064" +pts [ +"-415,1064" +"-106,1064" +] +) +] +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-3725,625,-1125,1625" +st "enable" +ju 2 +blo "-1125,1425" +tm "SmControlSignalNameMgr" +) +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "1625,525,3825,1725" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "1725,625,3725,1525" +st "cond" +tm "SmControlConditionMgr" +) +) +) +defaultRst (SmResetPoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-625,625,1625,1625" +) +(OrthoPolyLine +sl 0 +ro 270 +va (VaSet +vasetType 3 +) +xt "275,825,874,1425" +pts [ +"874,825" +"574,825" +"574,1425" +"275,1425" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-276,1000" +pts [ +"-376,1000" +"-276,950" +] +) +(Line +sl 0 +ro 270 +xt "-376,950,-376,1300" +pts [ +"-376,1300" +"-376,950" +] +) +(Circle +layer 10 +sl 0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "65535,65535,0" +) +xt "424,975,724,1275" +radius 150 +) +] +) +cond (SmControlCondition +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +xt "-1125,-975,2075,225" +) +autoResize 1 +cond (MLText +va (VaSet +font "courier,8,0" +) +xt "-1025,-875,3675,25" +st "rst = '1'" +tm "SmControlConditionMgr" +) +) +prio (TransitionPriority +ps "PercentageFromStartStrategy" +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "1625,344,3187,1906" +radius 781 +) +pr (Text +va (VaSet +) +xt "2006,625,2806,1625" +st "1" +ju 0 +blo "2406,1425" +tm "TransitionPriority" +) +padding "100,100" +) +name (TextAssociate +ps "CenterOffsetStrategy" +text (Text +va (VaSet +font "courier,8,0" +) +xt "-1925,625,-625,1625" +st "rst" +ju 2 +blo "-625,1425" +tm "SmControlSignalNameMgr" +) +) +actions (TextAssociate +ps "CenterOffsetStrategy" +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "4750,2625,11750,3525" +st "< Automatic >" +tm "Actions" +) +) +level 1 +) +defaultRecStatePt (SmRecoveryStatePoint +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,0,0" +) +optionalChildren [ +(Circle +sl 0 +xt "-900,-900,900,900" +radius 900 +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"-426,426" +"426,-426" +] +) +(Line +sl 0 +va (VaSet +vasetType 3 +lineColor "65535,65535,0" +lineWidth 1 +) +xt "-426,-426,426,426" +pts [ +"426,426" +"-426,-426" +] +) +] +) +) +activeModelName "StateMachine" +LanguageMgr "Vhdl2008LangMgr" +) diff --git a/Libs/Memory/hds/sdram@controller@fsm/symbol.sb b/Libs/Memory/hds/sdram@controller@fsm/symbol.sb new file mode 100644 index 0000000..c6ef56d --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@fsm/symbol.sb @@ -0,0 +1,2624 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 166,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 67,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 8 +suid 149,0 +) +) +uid 2660,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 9 +suid 150,0 +) +) +uid 2662,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 10 +suid 151,0 +) +) +uid 2664,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 11 +suid 152,0 +) +) +uid 2666,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 153,0 +) +) +uid 2668,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "commandBus" +t "std_ulogic_vector" +b "( commandBusBitNb-1 DOWNTO 0 )" +o 12 +suid 154,0 +) +) +uid 2670,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 2 +suid 155,0 +) +) +uid 2672,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 13 +suid 156,0 +) +) +uid 2674,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 157,0 +) +) +uid 2676,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 3 +suid 158,0 +) +) +uid 2678,0 +) +*24 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "readAck" +t "std_ulogic" +o 15 +suid 159,0 +) +) +uid 2680,0 +) +*25 (LogPort +port (LogicalPort +decl (Decl +n "readRequest" +t "std_ulogic" +o 4 +suid 160,0 +) +) +uid 2682,0 +) +*26 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 161,0 +) +) +uid 2684,0 +) +*27 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "sampleData" +t "std_ulogic" +o 16 +suid 162,0 +) +) +uid 2686,0 +) +*28 (LogPort +port (LogicalPort +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "( 1 TO maxDelayPeriodNb )" +o 6 +suid 163,0 +) +) +uid 2688,0 +) +*29 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "timerStart" +t "std_ulogic" +o 17 +suid 164,0 +) +) +uid 2690,0 +) +*30 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "writeAck" +t "std_ulogic" +o 18 +suid 165,0 +) +) +uid 2692,0 +) +*31 (LogPort +port (LogicalPort +decl (Decl +n "writeRequest" +t "std_ulogic" +o 7 +suid 166,0 +) +) +uid 2694,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 80,0 +optionalChildren [ +*32 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *33 (MRCItem +litem &1 +pos 18 +dimension 20 +) +uid 82,0 +optionalChildren [ +*34 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 83,0 +) +*35 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 84,0 +) +*36 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 85,0 +) +*37 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 2661,0 +) +*38 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 2663,0 +) +*39 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 2665,0 +) +*40 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 2667,0 +) +*41 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 2669,0 +) +*42 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 2671,0 +) +*43 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 2673,0 +) +*44 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 2675,0 +) +*45 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 2677,0 +) +*46 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 2679,0 +) +*47 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 2681,0 +) +*48 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 2683,0 +) +*49 (MRCItem +litem &26 +pos 12 +dimension 20 +uid 2685,0 +) +*50 (MRCItem +litem &27 +pos 13 +dimension 20 +uid 2687,0 +) +*51 (MRCItem +litem &28 +pos 14 +dimension 20 +uid 2689,0 +) +*52 (MRCItem +litem &29 +pos 15 +dimension 20 +uid 2691,0 +) +*53 (MRCItem +litem &30 +pos 16 +dimension 20 +uid 2693,0 +) +*54 (MRCItem +litem &31 +pos 17 +dimension 20 +uid 2695,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 86,0 +optionalChildren [ +*55 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 87,0 +) +*56 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 88,0 +) +*57 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 89,0 +) +*58 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 90,0 +) +*59 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 91,0 +) +*60 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 92,0 +) +*61 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 93,0 +) +*62 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 94,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 66,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *63 (LEmptyRow +) +uid 96,0 +optionalChildren [ +*64 (RefLabelRowHdr +) +*65 (TitleRowHdr +) +*66 (FilterRowHdr +) +*67 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*68 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*69 (GroupColHdr +tm "GroupColHdrMgr" +) +*70 (NameColHdr +tm "GenericNameColHdrMgr" +) +*71 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*72 (InitColHdr +tm "GenericValueColHdrMgr" +) +*73 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*74 (EolColHdr +tm "GenericEolColHdrMgr" +) +*75 (LogGeneric +generic (GiElement +name "commandBusBitNb" +type "positive" +value "6" +) +uid 2788,0 +) +*76 (LogGeneric +generic (GiElement +name "maxDelayPeriodNb" +type "positive" +value "10" +) +uid 2836,0 +) +*77 (LogGeneric +generic (GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +uid 2931,0 +) +*78 (LogGeneric +generic (GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +uid 2933,0 +) +*79 (LogGeneric +generic (GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +uid 2935,0 +) +*80 (LogGeneric +generic (GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 2937,0 +) +*81 (LogGeneric +generic (GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 2939,0 +) +*82 (LogGeneric +generic (GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +uid 2941,0 +) +*83 (LogGeneric +generic (GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +uid 2943,0 +) +*84 (LogGeneric +generic (GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +uid 2945,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*85 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *86 (MRCItem +litem &63 +pos 2 +dimension 20 +) +uid 110,0 +optionalChildren [ +*87 (MRCItem +litem &64 +pos 0 +dimension 20 +uid 111,0 +) +*88 (MRCItem +litem &65 +pos 1 +dimension 23 +uid 112,0 +) +*89 (MRCItem +litem &66 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*90 (MRCItem +litem &75 +pos 0 +dimension 20 +uid 2789,0 +) +*91 (MRCItem +litem &76 +pos 1 +dimension 20 +uid 2837,0 +) +*92 (MRCItem +litem &77 +pos 2 +dimension 20 +uid 2930,0 +) +*93 (MRCItem +litem &78 +pos 3 +dimension 20 +uid 2932,0 +) +*94 (MRCItem +litem &79 +pos 4 +dimension 20 +uid 2934,0 +) +*95 (MRCItem +litem &80 +pos 5 +dimension 20 +uid 2936,0 +) +*96 (MRCItem +litem &81 +pos 6 +dimension 20 +uid 2938,0 +) +*97 (MRCItem +litem &82 +pos 7 +dimension 20 +uid 2940,0 +) +*98 (MRCItem +litem &83 +pos 8 +dimension 20 +uid 2942,0 +) +*99 (MRCItem +litem &84 +pos 9 +dimension 20 +uid 2944,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*100 (MRCItem +litem &67 +pos 0 +dimension 20 +uid 115,0 +) +*101 (MRCItem +litem &69 +pos 1 +dimension 50 +uid 116,0 +) +*102 (MRCItem +litem &70 +pos 2 +dimension 100 +uid 117,0 +) +*103 (MRCItem +litem &71 +pos 3 +dimension 100 +uid 118,0 +) +*104 (MRCItem +litem &72 +pos 4 +dimension 50 +uid 119,0 +) +*105 (MRCItem +litem &73 +pos 5 +dimension 50 +uid 120,0 +) +*106 (MRCItem +litem &74 +pos 6 +dimension 80 +uid 121,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 95,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerFsm" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "sdramControllerFsm" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:14" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerFsm" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@fsm/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerFsm/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:14" +) +(vvPair +variable "unit" +value "sdramControllerFsm" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 65,0 +optionalChildren [ +*107 (SymbolBody +uid 8,0 +optionalChildren [ +*108 (CptPort +uid 2570,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2571,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,19625,51750,20375" +) +tg (CPTG +uid 2572,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2573,0 +va (VaSet +) +xt "45400,19500,50000,20500" +st "addrSelCol" +ju 2 +blo "50000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2574,0 +va (VaSet +font "courier,8,0" +) +xt "0,16900,20500,17800" +st "addrSelCol : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelCol" +t "std_ulogic" +o 8 +suid 149,0 +) +) +) +*109 (CptPort +uid 2575,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2576,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,15625,51750,16375" +) +tg (CPTG +uid 2577,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2578,0 +va (VaSet +) +xt "43200,15500,50000,16500" +st "addrSelModeReg" +ju 2 +blo "50000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2579,0 +va (VaSet +font "courier,8,0" +) +xt "0,17800,20500,18700" +st "addrSelModeReg : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelModeReg" +t "std_ulogic" +o 9 +suid 150,0 +) +) +) +*110 (CptPort +uid 2580,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2581,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,13625,51750,14375" +) +tg (CPTG +uid 2582,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2583,0 +va (VaSet +) +xt "43000,13500,50000,14500" +st "addrSelPrecharge" +ju 2 +blo "50000,14300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2584,0 +va (VaSet +font "courier,8,0" +) +xt "0,18700,20500,19600" +st "addrSelPrecharge : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelPrecharge" +t "std_ulogic" +o 10 +suid 151,0 +) +) +) +*111 (CptPort +uid 2585,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2586,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,17625,51750,18375" +) +tg (CPTG +uid 2587,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2588,0 +va (VaSet +) +xt "45100,17500,50000,18500" +st "addrSelRow" +ju 2 +blo "50000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2589,0 +va (VaSet +font "courier,8,0" +) +xt "0,19600,20500,20500" +st "addrSelRow : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "addrSelRow" +t "std_ulogic" +o 11 +suid 152,0 +) +) +) +*112 (CptPort +uid 2590,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2591,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,27625,35000,28375" +) +tg (CPTG +uid 2592,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2593,0 +va (VaSet +) +xt "36000,27500,38100,28500" +st "clock" +blo "36000,28300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2594,0 +va (VaSet +font "courier,8,0" +) +xt "0,10600,20500,11500" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 153,0 +) +) +) +*113 (CptPort +uid 2595,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2596,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,27625,51750,28375" +) +tg (CPTG +uid 2597,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2598,0 +va (VaSet +) +xt "44700,27500,50000,28500" +st "commandBus" +ju 2 +blo "50000,28300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2599,0 +va (VaSet +font "courier,8,0" +) +xt "0,20500,39000,21400" +st "commandBus : OUT std_ulogic_vector ( commandBusBitNb-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "commandBus" +t "std_ulogic_vector" +b "( commandBusBitNb-1 DOWNTO 0 )" +o 12 +suid 154,0 +) +) +) +*114 (CptPort +uid 2600,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2601,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,9625,35000,10375" +) +tg (CPTG +uid 2602,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2603,0 +va (VaSet +) +xt "36000,9500,43500,10500" +st "endOfRefreshCount" +blo "36000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2604,0 +va (VaSet +font "courier,8,0" +) +xt "0,11500,20500,12400" +st "endOfRefreshCount : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 2 +suid 155,0 +) +) +) +*115 (CptPort +uid 2605,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2606,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,9625,51750,10375" +) +tg (CPTG +uid 2607,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2608,0 +va (VaSet +) +xt "44400,9500,50000,10500" +st "powerUpDone" +ju 2 +blo "50000,10300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2609,0 +va (VaSet +font "courier,8,0" +) +xt "0,21400,20500,22300" +st "powerUpDone : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 13 +suid 156,0 +) +) +) +*116 (CptPort +uid 2610,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2611,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,25625,51750,26375" +) +tg (CPTG +uid 2612,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2613,0 +va (VaSet +) +xt "44600,25500,50000,26500" +st "ramDataValid" +ju 2 +blo "50000,26300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2614,0 +va (VaSet +font "courier,8,0" +) +xt "0,22300,20500,23200" +st "ramDataValid : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 157,0 +) +) +) +*117 (CptPort +uid 2615,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2616,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,25625,35000,26375" +) +tg (CPTG +uid 2617,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2618,0 +va (VaSet +) +xt "36000,25500,38600,26500" +st "ramEn" +blo "36000,26300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2619,0 +va (VaSet +font "courier,8,0" +) +xt "0,12400,20500,13300" +st "ramEn : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 3 +suid 158,0 +) +) +) +*118 (CptPort +uid 2620,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2621,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,21625,35000,22375" +) +tg (CPTG +uid 2622,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2623,0 +va (VaSet +) +xt "36000,21500,39100,22500" +st "readAck" +blo "36000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2624,0 +va (VaSet +font "courier,8,0" +) +xt "0,23200,20500,24100" +st "readAck : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "readAck" +t "std_ulogic" +o 15 +suid 159,0 +) +) +) +*119 (CptPort +uid 2625,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2626,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,19625,35000,20375" +) +tg (CPTG +uid 2627,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2628,0 +va (VaSet +) +xt "36000,19500,41200,20500" +st "readRequest" +blo "36000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2629,0 +va (VaSet +font "courier,8,0" +) +xt "0,13300,20500,14200" +st "readRequest : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "readRequest" +t "std_ulogic" +o 4 +suid 160,0 +) +) +) +*120 (CptPort +uid 2630,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2631,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,29625,35000,30375" +) +tg (CPTG +uid 2632,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2633,0 +va (VaSet +) +xt "36000,29500,38100,30500" +st "reset" +blo "36000,30300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2634,0 +va (VaSet +font "courier,8,0" +) +xt "0,14200,20500,15100" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 161,0 +) +) +) +*121 (CptPort +uid 2635,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2636,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,21625,51750,22375" +) +tg (CPTG +uid 2637,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2638,0 +va (VaSet +) +xt "45200,21500,50000,22500" +st "sampleData" +ju 2 +blo "50000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2639,0 +va (VaSet +font "courier,8,0" +) +xt "0,24100,20500,25000" +st "sampleData : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "sampleData" +t "std_ulogic" +o 16 +suid 162,0 +) +) +) +*122 (CptPort +uid 2640,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2641,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,11625,35000,12375" +) +tg (CPTG +uid 2642,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2643,0 +va (VaSet +) +xt "36000,11500,39900,12500" +st "timerDone" +blo "36000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2644,0 +va (VaSet +font "courier,8,0" +) +xt "0,15100,36500,16000" +st "timerDone : IN std_ulogic_vector ( 1 TO maxDelayPeriodNb ) ;" +) +thePort (LogicalPort +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "( 1 TO maxDelayPeriodNb )" +o 6 +suid 163,0 +) +) +) +*123 (CptPort +uid 2645,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2646,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,11625,51750,12375" +) +tg (CPTG +uid 2647,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 2648,0 +va (VaSet +) +xt "45900,11500,50000,12500" +st "timerStart" +ju 2 +blo "50000,12300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2649,0 +va (VaSet +font "courier,8,0" +) +xt "0,25000,20500,25900" +st "timerStart : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "timerStart" +t "std_ulogic" +o 17 +suid 164,0 +) +) +) +*124 (CptPort +uid 2650,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2651,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,17625,35000,18375" +) +tg (CPTG +uid 2652,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2653,0 +va (VaSet +) +xt "36000,17500,39200,18500" +st "writeAck" +blo "36000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2654,0 +va (VaSet +font "courier,8,0" +) +xt "0,25900,19500,26800" +st "writeAck : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "writeAck" +t "std_ulogic" +o 18 +suid 165,0 +) +) +) +*125 (CptPort +uid 2655,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 2656,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,15625,35000,16375" +) +tg (CPTG +uid 2657,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 2658,0 +va (VaSet +) +xt "36000,15500,41300,16500" +st "writeRequest" +blo "36000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 2659,0 +va (VaSet +font "courier,8,0" +) +xt "0,16000,20500,16900" +st "writeRequest : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "writeRequest" +t "std_ulogic" +o 7 +suid 166,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,6000,51000,32000" +) +oxt "15000,6000,31000,30000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "35750,32000,38750,32900" +st "Memory" +blo "35750,32700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "35750,32900,45250,33800" +st "sdramControllerFsm" +blo "35750,33600" +) +) +gi *126 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "35000,35200,69500,46000" +st "Generic Declarations + +commandBusBitNb positive 6 +maxDelayPeriodNb positive 10 +prechargeToRefreshPeriodNb positive 2 --66MHz * 20 ns = 1.32 +refreshDelayPeriodNb positive 5 --66MHz * 66ns = 4.356 +loadModeToActivePeriodNb positive 1 --1 CK +activeToWritePeriodNb positive 2 --66MHz * 20ns = 1.32 +writeToActivePeriodNb positive 3 --1 CK + 66MHz * 20ns = 2.32 +activeToReadPeriodNb positive 2 --66MHz * 20ns = 1.32 +readToSamplePeriodNb positive 2 --2 CK with latency = 2 +readToActivePeriodNb positive 3 --1 CK + 66MHz * 20ns = 2.32 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "commandBusBitNb" +type "positive" +value "6" +) +(GiElement +name "maxDelayPeriodNb" +type "positive" +value "10" +) +(GiElement +name "prechargeToRefreshPeriodNb" +type "positive" +value "2" +e "66MHz * 20 ns = 1.32" +) +(GiElement +name "refreshDelayPeriodNb" +type "positive" +value "5" +e "66MHz * 66ns = 4.356" +) +(GiElement +name "loadModeToActivePeriodNb" +type "positive" +value "1" +e "1 CK" +) +(GiElement +name "activeToWritePeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "writeToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +(GiElement +name "activeToReadPeriodNb" +type "positive" +value "2" +e "66MHz * 20ns = 1.32" +) +(GiElement +name "readToSamplePeriodNb" +type "positive" +value "2" +e "2 CK with latency = 2" +) +(GiElement +name "readToActivePeriodNb" +type "positive" +value "3" +e "1 CK + 66MHz * 20ns = 2.32" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*127 (Grouping +uid 16,0 +optionalChildren [ +*128 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,49200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*129 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*130 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*131 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*132 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*133 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*134 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*135 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*136 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*137 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,50400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *138 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*139 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*140 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "8,47,1393,955" +viewArea "-3100,-1100,72690,50510" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "memory" +entityName "sdramController" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *141 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *142 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,8600,3400,9600" +st "Declarations" +blo "-2000,9400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,9600,700,10600" +st "Ports:" +blo "-2000,10400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,26800,500,27700" +st "User:" +blo "-2000,27500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,8600,3800,9600" +st "Internal User:" +blo "-2000,9400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,27700,0,27700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,8600,-2000,8600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 2968,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/sdram@controller@refresh@counter/symbol.sb b/Libs/Memory/hds/sdram@controller@refresh@counter/symbol.sb new file mode 100644 index 0000000..8b2807a --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@refresh@counter/symbol.sb @@ -0,0 +1,1625 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 10,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 67,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 6,0 +) +) +uid 209,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 22 +suid 7,0 +) +) +uid 211,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 21 +suid 8,0 +) +) +uid 213,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 9,0 +) +) +uid 215,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 5 +suid 10,0 +) +) +uid 295,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 80,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 82,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 83,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 84,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 85,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 210,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 212,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 214,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 216,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 296,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 86,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 87,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 88,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 89,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 90,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 91,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 92,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 93,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 94,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 66,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 96,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delayCounterBitNb" +type "positive" +value "12" +) +uid 240,0 +) +*50 (LogGeneric +generic (GiElement +name "refreshPeriodNb" +type "positive" +value "1024" +) +uid 242,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 110,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 111,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 112,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 241,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 243,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 115,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 116,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 117,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 118,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 119,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 120,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 121,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 95,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@refresh@counter/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@refresh@counter/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@refresh@counter" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerRefreshCounter" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramControllerRefreshCounter" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:13" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerRefreshCounter" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@refresh@counter/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerRefreshCounter/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:13" +) +(vvPair +variable "unit" +value "sdramControllerRefreshCounter" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 65,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 189,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 190,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,19625,32000,20375" +) +tg (CPTG +uid 191,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 192,0 +va (VaSet +) +xt "33000,19500,35100,20500" +st "clock" +blo "33000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 193,0 +va (VaSet +font "courier,8,0" +) +xt "0,11800,20500,12700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 6,0 +) +) +) +*67 (CptPort +uid 194,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 195,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,15625,48750,16375" +) +tg (CPTG +uid 196,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 197,0 +va (VaSet +) +xt "39500,15500,47000,16500" +st "endOfRefreshCount" +ju 2 +blo "47000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 198,0 +va (VaSet +font "courier,8,0" +) +xt "0,14500,20500,15400" +st "endOfRefreshCount : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "endOfRefreshCount" +t "std_ulogic" +o 22 +suid 7,0 +) +) +) +*68 (CptPort +uid 199,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 200,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,15625,32000,16375" +) +tg (CPTG +uid 201,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 202,0 +va (VaSet +) +xt "33000,15500,38600,16500" +st "powerUpDone" +blo "33000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 203,0 +va (VaSet +font "courier,8,0" +) +xt "0,12700,20500,13600" +st "powerUpDone : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "powerUpDone" +t "std_ulogic" +o 21 +suid 8,0 +) +) +) +*69 (CptPort +uid 204,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 205,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,21625,32000,22375" +) +tg (CPTG +uid 206,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 207,0 +va (VaSet +) +xt "33000,21500,35100,22500" +st "reset" +blo "33000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 208,0 +va (VaSet +font "courier,8,0" +) +xt "0,13600,20500,14500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 9,0 +) +) +) +*70 (CptPort +uid 290,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 291,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,17625,48750,18375" +) +tg (CPTG +uid 292,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 293,0 +va (VaSet +) +xt "41500,17500,47000,18500" +st "selectRefresh" +ju 2 +blo "47000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 294,0 +va (VaSet +font "courier,8,0" +) +xt "0,15400,19500,16300" +st "selectRefresh : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 5 +suid 10,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,12000,48000,24000" +) +oxt "15000,6000,31000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "32350,24000,35350,24900" +st "Memory" +blo "32350,24700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "32350,24900,47350,25800" +st "sdramControllerRefreshCounter" +blo "32350,25600" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,27200,49000,30800" +st "Generic Declarations + +delayCounterBitNb positive 12 +refreshPeriodNb positive 1024 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delayCounterBitNb" +type "positive" +value "12" +) +(GiElement +name "refreshPeriodNb" +type "positive" +value "1024" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*72 (Grouping +uid 16,0 +optionalChildren [ +*73 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,48000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,44400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *83 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*85 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "27,64,1389,970" +viewArea "-3100,-1100,72338,51172" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "memory" +entityName "sdramController" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,9800,3400,10800" +st "Declarations" +blo "-2000,10600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,10800,700,11800" +st "Ports:" +blo "-2000,11600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,16300,500,17200" +st "User:" +blo "-2000,17000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,9800,3800,10800" +st "Internal User:" +blo "-2000,10600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,17200,0,17200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,9800,-2000,9800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 319,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/sdram@controller@s@r/symbol.sb b/Libs/Memory/hds/sdram@controller@s@r/symbol.sb new file mode 100644 index 0000000..8735202 --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@s@r/symbol.sb @@ -0,0 +1,1581 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 5,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 88,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 76,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "setFlag" +t "std_ulogic" +o 7 +suid 2,0 +) +) +uid 78,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 3,0 +) +) +uid 80,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "resetFlag" +t "std_ulogic" +o 7 +suid 4,0 +) +) +uid 82,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flag" +t "std_ulogic" +o 7 +suid 5,0 +) +) +uid 84,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 103,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 104,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 77,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 79,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 81,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 83,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 85,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 108,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 109,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 110,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 111,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 113,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 114,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 87,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 117,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &37 +pos 0 +dimension 20 +) +uid 131,0 +optionalChildren [ +*51 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 132,0 +) +*52 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 133,0 +) +*53 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*54 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 136,0 +) +*55 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 137,0 +) +*56 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 138,0 +) +*57 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 139,0 +) +*58 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 140,0 +) +*59 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 141,0 +) +*60 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 116,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@s@r/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@s@r/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@s@r" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerSR" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramControllerSR" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:13" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerSR" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@s@r/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerSR/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:13" +) +(vvPair +variable "unit" +value "sdramControllerSR" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28250,21625,29000,22375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "30000,21500,32100,22500" +st "clock" +blo "30000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "courier,8,0" +) +xt "0,8000,16500,8900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28250,15625,29000,16375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +) +xt "30000,15500,33000,16500" +st "setFlag" +blo "30000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "courier,8,0" +) +xt "0,10700,16500,11600" +st "setFlag : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "setFlag" +t "std_ulogic" +o 7 +suid 2,0 +) +) +) +*64 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28250,23625,29000,24375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +) +xt "30000,23500,32100,24500" +st "reset" +blo "30000,24300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "courier,8,0" +) +xt "0,8900,16500,9800" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 3,0 +) +) +) +*65 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28250,17625,29000,18375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +) +xt "30000,17500,33700,18500" +st "resetFlag" +blo "30000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "0,9800,16500,10700" +st "resetFlag : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "resetFlag" +t "std_ulogic" +o 7 +suid 4,0 +) +) +) +*66 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45000,15625,45750,16375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +) +xt "42300,15500,44000,16500" +st "flag" +ju 2 +blo "44000,16300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "courier,8,0" +) +xt "0,11600,15500,12500" +st "flag : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "flag" +t "std_ulogic" +o 7 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "29000,12000,45000,26000" +) +oxt "15000,6000,31000,20000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "29000,26000,32000,26900" +st "Memory" +blo "29000,26700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "29000,26900,38000,27800" +st "sdramControllerSR" +blo "29000,27600" +) +) +gi *67 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "29000,29200,39500,30100" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*68 (Grouping +uid 16,0 +optionalChildren [ +*69 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,48600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,49800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *79 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*81 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "-1,40,1385,946" +viewArea "-3080,-1069,72539,50251" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "memory" +entityName "sdramController" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,6000,3400,7000" +st "Declarations" +blo "-2000,6800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,7000,700,8000" +st "Ports:" +blo "-2000,7800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,12500,500,13400" +st "User:" +blo "-2000,13200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,6000,3800,7000" +st "Internal User:" +blo "-2000,6800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,13400,0,13400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,6000,-2000,6000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 188,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/sdram@controller@sample@data@in/symbol.sb b/Libs/Memory/hds/sdram@controller@sample@data@in/symbol.sb new file mode 100644 index 0000000..bda3c0d --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@sample@data@in/symbol.sb @@ -0,0 +1,1606 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 13,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 81,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 9,0 +) +) +uid 237,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 10,0 +) +) +uid 239,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 11,0 +) +) +uid 241,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 12,0 +) +) +uid 243,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "sampleData" +t "std_ulogic" +o 34 +suid 13,0 +) +) +uid 245,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 96,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 97,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 238,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 240,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 242,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 244,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 246,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 101,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 102,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 103,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 104,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 105,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 106,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 107,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 108,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 80,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 159,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 124,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 125,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 126,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 160,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 129,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 130,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 131,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 132,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 133,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 134,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 135,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@sample@data@in/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@sample@data@in/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@sample@data@in" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerSampleDataIn" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramControllerSampleDataIn" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:13" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerSampleDataIn" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@sample@data@in/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerSampleDataIn/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:13" +) +(vvPair +variable "unit" +value "sdramControllerSampleDataIn" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 212,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 213,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,24625,38000,25375" +) +tg (CPTG +uid 214,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 215,0 +va (VaSet +) +xt "39000,24500,41100,25500" +st "clock" +blo "39000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 216,0 +va (VaSet +font "courier,8,0" +) +xt "0,9000,17000,9900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 9,0 +) +) +) +*65 (CptPort +uid 217,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 218,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,18625,54750,19375" +) +tg (CPTG +uid 219,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 220,0 +va (VaSet +) +xt "48800,18500,53000,19500" +st "memDataIn" +ju 2 +blo "53000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 221,0 +va (VaSet +font "courier,8,0" +) +xt "0,9900,31500,10800" +st "memDataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 10,0 +) +) +) +*66 (CptPort +uid 222,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 223,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,18625,38000,19375" +) +tg (CPTG +uid 224,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 225,0 +va (VaSet +) +xt "39000,18500,42900,19500" +st "ramDataIn" +blo "39000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 226,0 +va (VaSet +font "courier,8,0" +) +xt "0,12600,30500,13500" +st "ramDataIn : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 11,0 +) +) +) +*67 (CptPort +uid 227,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 228,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,26625,38000,27375" +) +tg (CPTG +uid 229,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 230,0 +va (VaSet +) +xt "39000,26500,41100,27500" +st "reset" +blo "39000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 231,0 +va (VaSet +font "courier,8,0" +) +xt "0,10800,17000,11700" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 12,0 +) +) +) +*68 (CptPort +uid 232,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 233,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,22625,38000,23375" +) +tg (CPTG +uid 234,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 235,0 +va (VaSet +) +xt "39000,22500,43800,23500" +st "sampleData" +blo "39000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 236,0 +va (VaSet +font "courier,8,0" +) +xt "0,11700,17000,12600" +st "sampleData : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "sampleData" +t "std_ulogic" +o 34 +suid 13,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,15000,54000,29000" +) +oxt "15000,6000,31000,18000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "38800,29000,41800,29900" +st "Memory" +blo "38800,29700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "38800,29900,52800,30800" +st "sdramControllerSampleDataIn" +blo "38800,30600" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "38000,32600,50000,35300" +st "Generic Declarations + +dataBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*70 (Grouping +uid 16,0 +optionalChildren [ +*71 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,48600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,44400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*83 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "7,87,1401,1002" +viewArea "-3063,-1063,72646,50548" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "memory" +entityName "sdramController" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,7000,3400,8000" +st "Declarations" +blo "-2000,7800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,8000,700,9000" +st "Ports:" +blo "-2000,8800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,13500,500,14400" +st "User:" +blo "-2000,14200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,7000,3800,8000" +st "Internal User:" +blo "-2000,7800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,14400,0,14400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,7000,-2000,7000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 292,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/sdram@controller@store@data/symbol.sb b/Libs/Memory/hds/sdram@controller@store@data/symbol.sb new file mode 100644 index 0000000..3f4be58 --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@store@data/symbol.sb @@ -0,0 +1,1606 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 15,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 88,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 11,0 +) +) +uid 251,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 12,0 +) +) +uid 253,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 13,0 +) +) +uid 255,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 14,0 +) +) +uid 257,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 15,0 +) +) +uid 259,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 101,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 103,0 +optionalChildren [ +*21 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 104,0 +) +*22 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 105,0 +) +*23 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 106,0 +) +*24 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 252,0 +) +*25 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 254,0 +) +*26 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 256,0 +) +*27 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 258,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 260,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*29 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 108,0 +) +*30 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 109,0 +) +*31 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 110,0 +) +*32 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 111,0 +) +*33 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 112,0 +) +*34 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 113,0 +) +*35 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 114,0 +) +*36 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 115,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 102,0 +vaOverrides [ +] +) +] +) +uid 87,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 117,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 166,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 131,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 132,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 133,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 167,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 136,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 137,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 138,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 139,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 140,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 141,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 142,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 116,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@store@data/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@store@data/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@store@data" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerStoreData" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramControllerStoreData" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:13" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerStoreData" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@store@data/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerStoreData/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:13" +) +(vvPair +variable "unit" +value "sdramControllerStoreData" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 226,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 227,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,20625,40000,21375" +) +tg (CPTG +uid 228,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 229,0 +va (VaSet +) +xt "41000,20500,43100,21500" +st "clock" +blo "41000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 230,0 +va (VaSet +font "courier,8,0" +) +xt "0,9000,17000,9900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 11,0 +) +) +) +*65 (CptPort +uid 231,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 232,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56000,14625,56750,15375" +) +tg (CPTG +uid 233,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 234,0 +va (VaSet +) +xt "49800,14500,55000,15500" +st "memDataOut" +ju 2 +blo "55000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 235,0 +va (VaSet +font "courier,8,0" +) +xt "0,12600,30500,13500" +st "memDataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 12,0 +) +) +) +*66 (CptPort +uid 236,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 237,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,14625,40000,15375" +) +tg (CPTG +uid 238,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 239,0 +va (VaSet +) +xt "41000,14500,45900,15500" +st "ramDataOut" +blo "41000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 240,0 +va (VaSet +font "courier,8,0" +) +xt "0,9900,31500,10800" +st "ramDataOut : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 13,0 +) +) +) +*67 (CptPort +uid 241,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 242,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,16625,40000,17375" +) +tg (CPTG +uid 243,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 244,0 +va (VaSet +) +xt "41000,16500,43700,17500" +st "ramWr" +blo "41000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 245,0 +va (VaSet +font "courier,8,0" +) +xt "0,10800,17000,11700" +st "ramWr : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 14,0 +) +) +) +*68 (CptPort +uid 246,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 247,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39250,22625,40000,23375" +) +tg (CPTG +uid 248,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 249,0 +va (VaSet +) +xt "41000,22500,43100,23500" +st "reset" +blo "41000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 250,0 +va (VaSet +font "courier,8,0" +) +xt "0,11700,17000,12600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 15,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "40000,11000,56000,25000" +) +oxt "15000,6000,31000,20000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "40500,25000,43500,25900" +st "Memory" +blo "40500,25700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "40500,25900,53000,26800" +st "sdramControllerStoreData" +blo "40500,26600" +) +) +gi *69 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "40000,28600,51500,31300" +st "Generic Declarations + +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*70 (Grouping +uid 16,0 +optionalChildren [ +*71 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,48600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,44400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*83 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "2,62,1390,960" +viewArea "-3082,-1069,73504,50294" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "memory" +entityName "sdramController" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,7000,3400,8000" +st "Declarations" +blo "-2000,7800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,8000,700,9000" +st "Ports:" +blo "-2000,8800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,13500,500,14400" +st "User:" +blo "-2000,14200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,7000,3800,8000" +st "Internal User:" +blo "-2000,7800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,14400,0,14400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,7000,-2000,7000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 306,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory/hds/sdram@controller@timings@shift@register/symbol.sb b/Libs/Memory/hds/sdram@controller@timings@shift@register/symbol.sb new file mode 100644 index 0000000..e699856 --- /dev/null +++ b/Libs/Memory/hds/sdram@controller@timings@shift@register/symbol.sb @@ -0,0 +1,1544 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 8,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 81,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 5,0 +) +) +uid 179,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 6,0 +) +) +uid 181,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "(1 TO maxDelayPeriodNb)" +o 25 +suid 7,0 +) +) +uid 183,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "timerStart" +t "std_ulogic" +o 24 +suid 8,0 +) +) +uid 185,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 94,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 96,0 +optionalChildren [ +*20 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 97,0 +) +*21 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 98,0 +) +*22 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 99,0 +) +*23 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 180,0 +) +*24 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 182,0 +) +*25 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 184,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 186,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 100,0 +optionalChildren [ +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 101,0 +) +*28 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 102,0 +) +*29 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 103,0 +) +*30 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 104,0 +) +*31 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 105,0 +) +*32 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 106,0 +) +*33 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 107,0 +) +*34 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 108,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 95,0 +vaOverrides [ +] +) +] +) +uid 80,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "maxDelayPeriodNb" +type "positive" +value "10" +) +uid 210,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 124,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 125,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 126,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 211,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 129,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 130,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 131,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 132,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 133,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 134,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 135,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@timings@shift@register/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@timings@shift@register/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@timings@shift@register" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerTimingsShiftRegister" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramControllerTimingsShiftRegister" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:13" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramControllerTimingsShiftRegister" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdram@controller@timings@shift@register/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory/hds/sdramControllerTimingsShiftRegister/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:13" +) +(vvPair +variable "unit" +value "sdramControllerTimingsShiftRegister" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 79,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 159,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 160,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,23625,32000,24375" +) +tg (CPTG +uid 161,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 162,0 +va (VaSet +) +xt "33000,23500,35100,24500" +st "clock" +blo "33000,24300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 163,0 +va (VaSet +font "courier,8,0" +) +xt "0,18800,17000,19700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 5,0 +) +) +) +*63 (CptPort +uid 164,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 165,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,25625,32000,26375" +) +tg (CPTG +uid 166,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 167,0 +va (VaSet +) +xt "33000,25500,35100,26500" +st "reset" +blo "33000,26300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 168,0 +va (VaSet +font "courier,8,0" +) +xt "0,19700,17000,20600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 6,0 +) +) +) +*64 (CptPort +uid 169,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 170,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48000,19625,48750,20375" +) +tg (CPTG +uid 171,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 172,0 +va (VaSet +) +xt "43100,19500,47000,20500" +st "timerDone" +ju 2 +blo "47000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 173,0 +va (VaSet +font "courier,8,0" +) +xt "0,21500,31000,22400" +st "timerDone : OUT std_ulogic_vector (1 TO maxDelayPeriodNb)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "timerDone" +t "std_ulogic_vector" +b "(1 TO maxDelayPeriodNb)" +o 25 +suid 7,0 +) +) +) +*65 (CptPort +uid 174,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 175,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "31250,19625,32000,20375" +) +tg (CPTG +uid 176,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 177,0 +va (VaSet +) +xt "33000,19500,37100,20500" +st "timerStart" +blo "33000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 178,0 +va (VaSet +font "courier,8,0" +) +xt "0,20600,17000,21500" +st "timerStart : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "timerStart" +t "std_ulogic" +o 24 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "32000,16000,48000,28000" +) +oxt "15000,6000,31000,18000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "32400,28000,35400,28900" +st "Memory" +blo "32400,28700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "32400,28900,50400,29800" +st "sdramControllerTimingsShiftRegister" +blo "32400,29600" +) +) +gi *66 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "32000,31200,47500,33900" +st "Generic Declarations + +maxDelayPeriodNb positive 10 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "maxDelayPeriodNb" +type "positive" +value "10" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*67 (Grouping +uid 16,0 +optionalChildren [ +*68 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,48000,51000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,48000,48600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,55000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,54800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,46000,51000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,46000,50400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,34000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,33800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,45000,71000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,45200,64400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "55000,44000,71000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "55200,44000,57000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,44000,51000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "36000,44500,45000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,34000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,33200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,34000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,33800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "34000,47000,51000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "34200,47000,44400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "30000,44000,71000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *78 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,0,3400,1000" +st "Package List" +blo "-2000,800" +) +*80 (MLText +uid 50,0 +va (VaSet +) +xt "-2000,1000,16600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "5,46,1391,1000" +viewArea "-3084,-1070,72630,53429" +cachedDiagramExtent "-2000,0,71000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-2000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "memory" +entityName "sdramController" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,16800,3400,17800" +st "Declarations" +blo "-2000,17600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,17800,700,18800" +st "Ports:" +blo "-2000,18600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-2000,22400,500,23300" +st "User:" +blo "-2000,23100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-2000,16800,3800,17800" +st "Internal User:" +blo "-2000,17600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "0,23300,0,23300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-2000,16800,-2000,16800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 234,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory_test/hdl/Flash_behav.vhd b/Libs/Memory_test/hdl/Flash_behav.vhd new file mode 100644 index 0000000..3400d3a --- /dev/null +++ b/Libs/Memory_test/hdl/Flash_behav.vhd @@ -0,0 +1,528 @@ +use std.textio.all; + +ARCHITECTURE behav OF flash_28F128J3A IS + -- controls + signal chipSelect : std_ulogic; + signal writePulse : std_ulogic; + signal writePulseDelayed : std_ulogic; + signal memoryCommand : unsigned(7 downto 0); + signal wordProgramBusy : std_ulogic := '0'; + signal blockEraseBusy : std_ulogic := '0'; + signal busy : std_ulogic; + signal readPulseCs : std_ulogic := '0'; + signal readPulseOe : std_ulogic := '0'; + signal readPulse : std_ulogic; + + signal memoryAddressDebug : unsigned(A'range); + + type state_type is ( + READ_ARRAY, + READ_ID_CODES, + READ_QUERY, + READ_STATUS, + WRITE_BUFFER, + WORD_PROGRAM_1, + WORD_PROGRAM_2, + BLOCK_ERASE_1, + BLOCK_ERASE_2, + CONFIG, + PROG_LOCK_BITS, + PROG_PROT, + BOTCH_LOCK,-- + BOTCH_LOCK_ERS_SUSP,-- + LOCK_DONE, + PROG_LOCK_BITS_ERS_SUSP,-- + LOCK_DONE_ERS_SUSP, + PROT_PROG_BUSY,-- + PROT_PROG_DONE,-- + WORD_PROGRAM_1_ERS_SUSP,-- + PROG_BUSY,-- + PROG_BUSY_ERS_SUSP,-- + READ_STATUS_PROG_SUSP,-- + READ_ARRAY_PROG_SUSP,-- + READ_CONFIG_PROG_SUSP,-- + READ_QUERY_PROG_SUSP,-- + PROGRAM_DONE,-- + PROGRAM_DONE_ERS_SUSP,-- + BOTCH_ERS,-- + ERASE_BUSY,-- + READ_STATUS_ERS_SUSP,-- + READ_ARRAY_ERS_SUSP,-- + READ_CONFIG_ERS_SUSP,-- + READ_QUERY_ERS_SUSP,-- + ERASE_DONE-- + ); + + signal currentState : state_type; + signal nextState : state_type; + -- storage + constant blockLength : positive:= 16#10000#; -- 64 Kword blocks + constant memoryLength: positive := 2**(A'length-1); +-- constant memoryLength : positive := 2*blockLength; + subtype memoryWord is std_ulogic_vector(DQ'range); + type memoryArray is array(0 to memoryLength-1) of memoryWord; + signal memoryDataWord : memoryWord; + +BEGIN + + --############################################################################ + -- Controls + ------------------------------------------------------------------------------ + + chipSelect <= ( (not CE(2)) and (not CE(1)) and (not CE(0)) ) or + ( CE(2) and ( (not CE(1)) or (not CE(0)) ) ); + writePulse <= chipSelect and not(WE_n); + writePulseDelayed <= writePulse after 1 ns; + + memoryCommand <= unsigned(DQ(memoryCommand'range)); + + process(chipSelect) + begin + if rising_edge(chipSelect) then + readPulseCs <= '1' after T_R3; + elsif falling_edge(chipSelect) then + readPulseCs <= '0' after T_R8; + end if; + end process; + + process(OE_n) + begin + if falling_edge(OE_n) then + readPulseOe <= '1' after T_R7; + elsif rising_edge(OE_n) then + readPulseOe <= '0' after T_R9; + end if; + end process; + + readPulse <= readPulseCs and readPulseOe; + + ------------------------------------------------------------------------------ + -- Programming delays + ------------------------------------------------------------------------------ + + wordProgramBusy <= '1', '0' after T_W16_program when currentState = WORD_PROGRAM_2; + blockEraseBusy <= '1', '0' after T_W16_erase when currentState = BLOCK_ERASE_2; + busy <= wordProgramBusy or blockEraseBusy; + + + ------------------------------------------------------------------------------ + -- FSM: find next state + ------------------------------------------------------------------------------ + -- Table 4 p. 12 + process(writePulse, busy) + begin + case currentState is + when READ_ARRAY | READ_ID_CODES | READ_QUERY | READ_STATUS => + case to_integer(memoryCommand) is + when 16#FF# => nextState <= READ_ARRAY; + when 16#90# => nextState <= READ_ID_CODES; + when 16#98# => nextState <= READ_QUERY; + when 16#70# => nextState <= READ_STATUS; + when 16#E8# => nextState <= WRITE_BUFFER; + when 16#10# | 16#40# => nextState <= WORD_PROGRAM_1; + when 16#20# => nextState <= BLOCK_ERASE_1; + when 16#B8# => nextState <= CONFIG; + when 16#60# => nextState <= PROG_LOCK_BITS; + when 16#C0# => nextState <= PROG_PROT; + when others => nextState <= READ_ARRAY; + end case; + + when WORD_PROGRAM_1 => + nextState <= WORD_PROGRAM_2; + + when WORD_PROGRAM_2 => + nextState <= READ_ARRAY; + + when BLOCK_ERASE_1 => + if to_integer(memoryCommand) = 16#D0# then + nextState <= BLOCK_ERASE_2; + else + nextState <= READ_ARRAY; + end if; + + when BLOCK_ERASE_2 => + nextState <= READ_ARRAY; + +-- WHEN PROG_LOCK_BITS => +-- IF rising_edge(WENeg) THEN +-- -- SECOND CYCLE CHECK +-- IF data=16#D0# OR data=16#01# OR data=16#2F# THEN +-- nextState<=READ_ARRAY; +-- ELSE +-- nextState <= BOTCH_LOCK; +-- END IF; +-- END IF; +-- +-- WHEN PROG_LOCK_BITS_ERS_SUSP => +-- IF rising_edge(WENeg) THEN +-- IF data=16#D0# OR data=16#01# OR data=16#2F# THEN +-- nextState<=READ_ARRAY_ERS_SUSP; +-- ELSE +-- nextState <= BOTCH_LOCK_ERS_SUSP; +-- END IF; +-- END IF; +-- +-- +-- WHEN LOCK_DONE => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <= WORD_PROGRAM_1; +-- WHEN 16#20# => nextState <= BLOCK_ERASE_1; +-- WHEN 16#70# => nextState <= READ_STATUS; +-- WHEN 16#90# => nextState <= READ_CONFIG; +-- WHEN 16#98# => nextState <= READ_QUERY; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS; +-- WHEN 16#C0# => nextState <= PROG_PROT; +-- WHEN OTHERS => nextState <= READ_ARRAY; +-- END CASE; +-- END IF; +-- +-- WHEN LOCK_DONE_ERS_SUSP => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <= WORD_PROGRAM_1_ERS_SUSP; +-- WHEN 16#70# => nextState <= READ_STATUS_ERS_SUSP; +-- WHEN 16#90# => nextState <= READ_CONFIG_ERS_SUSP; +-- WHEN 16#98# => nextState <= READ_QUERY_ERS_SUSP; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS_ERS_SUSP; +-- WHEN 16#D0# => nextState <= ERASE_BUSY; +-- WHEN OTHERS => nextState <= READ_ARRAY_ERS_SUSP; +-- END CASE; +-- END IF; +-- +-- WHEN BOTCH_LOCK => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <= WORD_PROGRAM_1; +-- WHEN 16#20# => nextState <= BLOCK_ERASE_1; +-- WHEN 16#70# => nextState <= READ_STATUS; +-- WHEN 16#90# => nextState <= READ_CONFIG; +-- WHEN 16#98# => nextState <= READ_QUERY; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS; +-- WHEN 16#C0# => nextState <= PROG_PROT; +-- WHEN OTHERS => nextState <= READ_ARRAY; +-- END CASE; +-- END IF; +-- +-- WHEN BOTCH_LOCK_ERS_SUSP => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => +-- nextState <= WORD_PROGRAM_1_ERS_SUSP; +-- WHEN 16#70# => nextState <= READ_STATUS_ERS_SUSP; +-- WHEN 16#90# => nextState <= READ_CONFIG_ERS_SUSP; +-- WHEN 16#98# => nextState <= READ_QUERY_ERS_SUSP; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS_ERS_SUSP; +-- WHEN OTHERS => nextState <= READ_ARRAY_ERS_SUSP; +-- END CASE; +-- END IF; +-- +-- +-- WHEN BOTCH_ERS => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => +-- nextState <= WORD_PROGRAM_1; +-- WHEN 16#20# => nextState <=BLOCK_ERASE_1; +-- WHEN 16#70# => nextState <= READ_STATUS; +-- WHEN 16#90# => nextState <= READ_CONFIG; +-- WHEN 16#98# => nextState <= READ_QUERY; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS; +-- WHEN 16#C0# => nextState <= PROG_PROT; +-- WHEN OTHERS => nextState <= READ_ARRAY; +-- END CASE; +-- END IF; +-- +-- +-- WHEN PROG_PROT => +-- IF rising_edge(WENeg) THEN +-- nextState <= PROT_PROG_BUSY; +-- END IF; +-- +-- WHEN PROT_PROG_BUSY => +-- IF S_Reg(7)='1' THEN +-- nextState <= PROT_PROG_DONE; +-- ELSE +-- nextState <= PROT_PROG_BUSY; +-- END IF; +-- +-- WHEN PROT_PROG_DONE => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <= WORD_PROGRAM_1; +-- WHEN 16#20# => nextState <= BLOCK_ERASE_1; +-- WHEN 16#70# => nextState <= READ_STATUS; +-- WHEN 16#90# => nextState <= READ_CONFIG; +-- WHEN 16#98# => nextState <= READ_QUERY; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS; +-- WHEN 16#C0# => nextState <= PROG_PROT; +-- WHEN OTHERS => nextState <= READ_ARRAY; +-- END CASE; +-- END IF; +-- +-- WHEN WORD_PROGRAM_1 => +-- IF rising_edge(WENeg) THEN +-- nextState <= PROG_BUSY; +-- END IF; +-- +-- WHEN WORD_PROGRAM_1_ERS_SUSP => +-- IF rising_edge(WENeg) THEN +-- nextState <= PROG_BUSY_ERS_SUSP; +-- END IF; +-- +-- WHEN PROG_BUSY => +-- IF WDone THEN +-- nextState<=PROGRAM_DONE; +-- ELSIF rising_edge(WENeg) THEN +-- IF data= 16#B0# THEN +-- nextState <= READ_STATUS_PROG_SUSP; +-- ELSE +-- nextState <= PROG_BUSY; +-- END IF; +-- END IF; +-- +-- WHEN PROG_BUSY_ERS_SUSP => +-- IF WDone THEN +-- nextState<=PROGRAM_DONE_ERS_SUSP; +-- ELSIF rising_edge(WENeg) THEN +-- nextState <= PROG_BUSY_ERS_SUSP; +-- END IF; +-- +-- WHEN READ_STATUS_PROG_SUSP | READ_ARRAY_PROG_SUSP | +-- READ_CONFIG_PROG_SUSP | READ_QUERY_PROG_SUSP => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- --WHEN 16#D0# => nextState <= READ_ARRAY_PROG_SUSP; +-- WHEN 16#D0# => nextState <= PROG_BUSY; +-- WHEN 16#B0# | 16#70# => nextState <= READ_STATUS_PROG_SUSP; +-- WHEN 16#90# => nextState <= READ_CONFIG_PROG_SUSP; +-- WHEN 16#98# => nextState <= READ_QUERY_PROG_SUSP; +-- WHEN OTHERS => nextState <= READ_ARRAY_PROG_SUSP; +-- END CASE; +-- END IF; +-- +-- WHEN PROGRAM_DONE => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <= WORD_PROGRAM_1; +-- WHEN 16#20# => nextState <= BLOCK_ERASE_1; +-- WHEN 16#70# => nextState <= READ_STATUS; +-- WHEN 16#90# => nextState <= READ_CONFIG; +-- WHEN 16#98# => nextState <= READ_QUERY; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS; +-- WHEN 16#C0# => nextState <= PROG_PROT; +-- WHEN OTHERS => nextState <= READ_ARRAY; +-- END CASE; +-- END IF; +-- +-- WHEN PROGRAM_DONE_ERS_SUSP => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <= WORD_PROGRAM_1_ERS_SUSP; +-- WHEN 16#B0# | 16#70# => nextState <= READ_STATUS_ERS_SUSP; +-- WHEN 16#D0# => nextState <= ERASE_BUSY; +-- WHEN 16#90# => nextState <= READ_CONFIG_ERS_SUSP; +-- WHEN 16#98# => nextState <= READ_QUERY_ERS_SUSP; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS_ERS_SUSP; +-- WHEN OTHERS => nextState <= READ_ARRAY_ERS_SUSP; +-- END CASE; +-- END IF; +-- +-- +-- WHEN ERASE_BUSY => +-- IF rising_edge(WENeg) AND data= 16#B0# THEN +-- nextState <= READ_STATUS_ERS_SUSP; +-- ELSIF EDone AND ECount=31 THEN +-- nextState<=ERASE_DONE; +-- ELSE +-- nextState <= ERASE_BUSY; +-- END IF; +-- +-- WHEN READ_STATUS_ERS_SUSP | READ_ARRAY_ERS_SUSP | +-- READ_CONFIG_ERS_SUSP | READ_QUERY_ERS_SUSP => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <=WORD_PROGRAM_1_ERS_SUSP; +-- WHEN 16#B0# | 16#70# | 16#80# => +-- nextState<= READ_STATUS_ERS_SUSP; +-- WHEN 16#D0# => nextState <= ERASE_BUSY; +-- WHEN 16#90# => nextState <= READ_CONFIG_ERS_SUSP; +-- WHEN 16#98# => nextState <= READ_QUERY_ERS_SUSP; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS_ERS_SUSP; +-- WHEN OTHERS => nextState <= READ_ARRAY_ERS_SUSP; +-- END CASE; +-- END IF; +-- +-- WHEN ERASE_DONE => +-- IF rising_edge(WENeg) THEN +-- CASE data IS +-- WHEN 16#10# | 16#40# => nextState <= WORD_PROGRAM_1; +-- WHEN 16#20# => nextState <= BLOCK_ERASE_1; +-- WHEN 16#70# => nextState <= READ_STATUS; +-- WHEN 16#90# => nextState <= READ_CONFIG; +-- WHEN 16#98# => nextState <= READ_QUERY; +-- WHEN 16#60# => nextState <= PROG_LOCK_BITS; +-- WHEN 16#C0# => nextState <= PROG_PROT; +-- WHEN OTHERS => nextState <= READ_ARRAY; +-- END CASE; +-- END IF; + +when others => nextState <= READ_ARRAY; + + end case; + end process; + + + ------------------------------------------------------------------------------ + -- FSM: update state + ------------------------------------------------------------------------------ + process(RP_N, writePulseDelayed, busy) + begin + if RP_n = '0' then + currentState <= READ_ARRAY; + elsif falling_edge(writePulseDelayed) then + currentState <= nextState; + elsif falling_edge(busy) then + currentState <= nextState; + end if; + end process; + + + ------------------------------------------------------------------------------ + -- STS + ------------------------------------------------------------------------------ + process + begin + STS <= '1'; + wait on busy; + if rising_edge(busy) then + STS <= '0' after T_W13; + wait until falling_edge(busy); + end if; + end process; + + + --############################################################################ + -- Storage + ------------------------------------------------------------------------------ + process(writePulse, A) + variable memContent : memoryArray; -- much faster than using a signal + variable loadMemFromFile : boolean := true; + file memoryFile : text open read_mode is fileSpec; + variable srecLine : line; + variable srecChar : character; + variable srecType : natural; + variable srecAddrLength : natural; + variable srecWordAscii : string(8 downto 1); + variable srecLength : natural; + variable srecAddress : natural; + variable memoryAddress : natural; + variable srecData : natural; + + function readNumber(hexString: string) return natural is + variable currentCharPos: natural; + variable intValue: natural; + variable accValue: natural; + begin + accValue := 0; + for index in hexString'range loop + currentCharPos := character'pos(hexString(index)); + if currentCharPos <= character'pos('9') then + intValue := currentCharPos - character'pos('0'); + else + intValue := currentCharPos - character'pos('A') + 10; + end if; + accValue := accValue * 16 + intValue; + end loop; + return accValue; + end readNumber; + + begin + if loadMemFromFile then + -- only happens at simulation start + while not endfile(memoryFile) loop + readline(memoryFile, srecLine); + --report "-> " & srecLine.all; + -- trim leading whitespaces + while (not (srecLine'length=0)) and (srecLine(srecLine'left) = ' ') loop + read(srecLine, srecChar); + end loop; + -- get record type + if srecLine'length > 0 then + read(srecLine, srecChar); + if (srecChar = 'S') or (srecChar = 's') then + read(srecLine, srecChar); + srecType := character'pos(srecChar) - character'pos('0'); + --report "-> srec type: " & integer'image(srecType); + srecAddrLength := srecType + 1; + if (srecType >= 1) and (srecType <= 3) then + -- get record length + srecWordAscii := (others => '0'); + read(srecLine, srecWordAscii(2)); + read(srecLine, srecWordAscii(1)); + srecLength := readNumber(srecWordAscii); + -- get record base address + srecWordAscii := (others => '0'); + for index in 2*(srecAddrLength) downto 1 loop + read(srecLine, srecWordAscii(index)); + end loop; + srecAddress := readNumber(srecWordAscii); + memoryAddress := srecAddress/2; + -- get record data + for index1 in 1 to (srecLength - srecAddrLength - 1) / 2 loop + srecWordAscii := (others => '0'); + for index2 in 4 downto 1 loop + read(srecLine, srecWordAscii(index2)); + end loop; + srecData := readNumber(srecWordAscii); + if memoryAddress < memoryLength then + memContent(memoryAddress) := std_ulogic_vector(to_unsigned(srecData, memoryWord'length)); + end if; + memoryAddress := memoryAddress + 1; + end loop; + end if; + end if; + end if; + end loop; + loadMemFromFile := false; + else + -- normal functionality + if falling_edge(writePulse) then + -- program a word + if currentState = WORD_PROGRAM_1 then + memoryAddress := to_integer(A(A'high downto 1)); +memoryAddressDebug <= to_unsigned(memoryAddress, memoryAddressDebug'length); + memContent(memoryAddress) := std_ulogic_vector(DQ); + -- erase a block + elsif currentState = BLOCK_ERASE_1 then + memoryAddress := to_integer(A and not(to_unsigned(blockLength-1, A'length))); + for index in 0 to blockLength-1 loop + if memoryAddress < memoryLength then + memContent(memoryAddress) := (others => '1'); + memoryAddress := memoryAddress + 1; + end if; + end loop; + end if; + end if; + -- update readout data + if A'event then + memoryAddress := to_integer(A(A'high downto 1)); +memoryAddressDebug <= to_unsigned(memoryAddress, memoryAddressDebug'length); + memoryDataWord <= memContent(memoryAddress) after T_R2; + end if; + end if; + end process; + + process(memoryDataWord, readPulse) + begin + if readPulse = '1' then + DQ <= std_logic_vector(memoryDataWord); + else + DQ <= (others => 'Z'); + end if; + end process; + + + +END ARCHITECTURE behav; + diff --git a/Libs/Memory_test/hdl/bram_tester_test.vhd b/Libs/Memory_test/hdl/bram_tester_test.vhd new file mode 100644 index 0000000..36c3016 --- /dev/null +++ b/Libs/Memory_test/hdl/bram_tester_test.vhd @@ -0,0 +1,83 @@ +ARCHITECTURE test OF bram_tester IS + + constant clockFrequencyA: real := 66.0E6; + constant clockFrequencyB: real := 20.0E6; + constant clockPeriodA: time := (1.0/clockFrequencyA) * 1 sec; + constant clockPeriodB: time := (1.0/clockFrequencyB) * 1 sec; + signal clockA_int: std_uLogic := '1'; + signal clockB_int: std_uLogic := '1'; + + signal addressA_int: natural; + signal dataA_int: integer; + + signal addressB_int: natural; + signal dataB_int: integer; + +BEGIN + ------------------------------------------------------------------------------ + -- clocks + clockA_int <= not clockA_int after clockPeriodA/2; + clockA <= transport clockA_int after clockPeriodA*9/10; + + clockB_int <= not clockB_int after clockPeriodB/2; + clockB <= transport clockB_int after clockPeriodB*9/10; + + ------------------------------------------------------------------------------ + -- test sequence + portA: process + begin + enA <= '0'; + writeEnA <= '0'; + addressA_int <= 0; + dataA_int <= 0; + -- read initial BRAM data + wait for 5*clockPeriodA; + addressA_int <= 40; + enA <= '1'; + wait for clockPeriodA; + enA <= '0'; + -- write data on port A + wait for 10*clockPeriodA; + addressA_int <= 10; + dataA_int <= 5; + enA <= '1'; + writeEnA <= '1'; + wait for clockPeriodA; + enA <= '0'; + writeEnA <= '0'; + + wait; + end process portA; + + addressA <= std_ulogic_vector(to_unsigned(addressA_int, addressA'length)); + dataInA <= std_ulogic_vector(to_signed(dataA_int, dataInA'length)); + + portB: process + begin + enB <= '0'; + writeEnB <= '0'; + addressB_int <= 0; + dataB_int <= 0; + -- write data on port B + wait for 10*clockPeriodB; + addressB_int <= 20; + dataB_int <= 10; + enB <= '1'; + writeEnB <= '1'; + wait for clockPeriodB; + enB <= '0'; + writeEnB <= '0'; + -- read data written on port A + wait for 2*clockPeriodB; + addressB_int <= 10; + enB <= '1'; + wait for clockPeriodB; + enB <= '0'; + + wait; + end process portB; + + addressB <= std_ulogic_vector(to_unsigned(addressB_int, addressB'length)); + dataInB <= std_ulogic_vector(to_signed(dataB_int, dataInB'length)); + +END ARCHITECTURE test; diff --git a/Libs/Memory_test/hdl/fifo_tester_test.vhd b/Libs/Memory_test/hdl/fifo_tester_test.vhd new file mode 100644 index 0000000..388de8a --- /dev/null +++ b/Libs/Memory_test/hdl/fifo_tester_test.vhd @@ -0,0 +1,339 @@ +--LIBRARY std; +-- USE std.textio.ALL; +LIBRARY COMMON_TEST; + USE COMMON_TEST.testUtils.all; + +ARCHITECTURE test OF fifo_tester IS + + constant clockFrequency: real := 66.0E6; + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_ulogic := '1'; + + constant testInterval: time := 10*clockPeriod; + signal dataIn_int: integer; + signal read_int: std_ulogic; + signal dataOffset: integer; + + signal dataValid: std_ulogic; + signal dataRead: integer; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 2*clockPeriod; + + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- test sequence + process + variable readIndex: integer; + begin + write <= '0'; + read_int <= '0'; + dataOffset <= -16#10#; + wait for 5*clockPeriod; + print( + lf & lf & lf & + "----------------------------------------------------------------" & lf & + "Starting testbench" & lf & + lf & lf + ); + + --.......................................................................... + -- full write / read after end of write + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", full FIFO write direclty followed by full read" & + lf & lf + ); + -- write FIFO + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + write <= '1'; + for index in 0 to fifoDepth-1 loop + dataIn_int <= dataOffset + index; + wait for clockPeriod; + end loop; + write <= '0'; + -- read FIFO + read_int <= '1'; + readIndex := 0; + while empty = '0' loop + assert unsigned(dataOut) = dataOffset + readIndex + report "FIFO readback error" + severity error; + readIndex := readIndex + 1; + wait until rising_edge(clock_int); + end loop; + read_int <= '0'; + + --.......................................................................... + -- full write / read after some time + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", full FIFO write and delay before read" & + lf & lf + ); + -- write FIFO + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + write <= '1'; + for index in 0 to fifoDepth-1 loop + dataIn_int <= dataOffset + index; + wait for clockPeriod; + end loop; + write <= '0'; + -- wait before read + wait for 4*clockPeriod; + -- read FIFO + read_int <= '1'; + readIndex := 0; + while empty = '0' loop + assert unsigned(dataOut) = dataOffset + readIndex + report "FIFO readback error" + severity error; + readIndex := readIndex + 1; + wait until rising_edge(clock_int); + end loop; + read_int <= '0'; + + --.......................................................................... + -- write / read direct + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", full FIFO write with asynchronous direct read" & + lf & lf + ); + -- write FIFO + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + write <= '1', '0' after 8*clockPeriod; + dataIn_int <= dataOffset + 16#00#, + dataOffset + 16#01# after 1*clockPeriod, + dataOffset + 16#02# after 2*clockPeriod, + dataOffset + 16#03# after 3*clockPeriod, + dataOffset + 16#04# after 4*clockPeriod, + dataOffset + 16#05# after 5*clockPeriod, + dataOffset + 16#06# after 6*clockPeriod, + dataOffset + 16#07# after 7*clockPeriod; + -- read FIFO + wait until empty = '0'; + read_int <= '1'; + readIndex := -1; + while empty = '0' loop + if readIndex >= 0 then + assert unsigned(dataOut) = dataOffset + readIndex + report "FIFO readback error" + severity error; + end if; + readIndex := readIndex + 1; + wait until rising_edge(clock_int); + end loop; + read_int <= '0'; + + --.......................................................................... + -- write / read direct with clock period delay + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", full FIFO write with synchronous direct read" & + lf & lf + ); + -- write FIFO + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + write <= '1', '0' after 8*clockPeriod; + dataIn_int <= dataOffset + 16#00#, + dataOffset + 16#01# after 1*clockPeriod, + dataOffset + 16#02# after 2*clockPeriod, + dataOffset + 16#03# after 3*clockPeriod, + dataOffset + 16#04# after 4*clockPeriod, + dataOffset + 16#05# after 5*clockPeriod, + dataOffset + 16#06# after 6*clockPeriod, + dataOffset + 16#07# after 7*clockPeriod; + -- read FIFO + wait until empty = '0'; + wait until rising_edge(clock_int); + read_int <= '1'; + readIndex := 0; + while empty = '0' loop + assert unsigned(dataOut) = dataOffset + readIndex + report "FIFO readback error" + severity error; + readIndex := readIndex + 1; + wait until rising_edge(clock_int); + end loop; + read_int <= '0'; + + --.......................................................................... + -- slow read sets FIFO full + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", slow reading sets FIFO full and requires waiting before writing on" & + lf & lf + ); + -- prepare slow FIFO reading + wait until rising_edge(clock_int); + wait for 2*clockPeriod; + read_int <= '1' after 4*clockPeriod, + '0' after 5*clockPeriod, + '1' after 14*clockPeriod, + '0' after 15*clockPeriod, + '1' after 24*clockPeriod, + '0' after 25*clockPeriod, + '1' after 34*clockPeriod, + '0' after 35*clockPeriod, + '1' after 44*clockPeriod, + '0' after (45+2*fifoDepth-5)*clockPeriod; + -- write 2*FIFO depth + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + for index in 0 to 2*fifoDepth-1 loop + dataIn_int <= dataOffset + index; + if full = '1' then + wait until full = '0'; + wait for clockPeriod/8; + end if; + write <= '1'; + wait until rising_edge(clock_int); + write <= '0'; + end loop; + + --.......................................................................... + -- write over full + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", attempt to write after FIFO full" & + lf & lf + ); + -- write FIFO + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + write <= '1'; + for index in 0 to fifoDepth+3 loop + dataIn_int <= dataOffset + index; + wait for clockPeriod; + end loop; + write <= '0'; + + --.......................................................................... + -- read FIFO once too much + print( + "At time " & sprintf("%9.3tu", now) & + ", attempt to read after FIFO empty" & + lf & lf + ); + read_int <= '1'; + wait for clockPeriod; + wait until empty = '1'; + wait for clockPeriod; + read_int <= '0'; + -- read when empty + wait until rising_edge(clock_int); + wait for 2*clockPeriod; + read_int <= '1'; + wait for 2*clockPeriod; + read_int <= '0'; + + --.......................................................................... + -- read constantly + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", reading FIFO constantly (data valid when empty = '0')" & + lf & lf + ); + + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + read_int <= '1'; + wait for 2*clockPeriod; + wait until rising_edge(clock_int); + readIndex := -1; + write <= '1'; + for index in 0 to fifoDepth-1 loop + if empty = '0' then + readIndex := readIndex + 1; + end if; + if (readIndex >= 0) and (empty = '0') then + assert unsigned(dataOut) = dataOffset + readIndex + report "FIFO readback error" + severity error; + end if; + dataIn_int <= dataOffset + index; + wait until rising_edge(clock_int); + end loop; + write <= '0'; + + wait until empty = '1'; + wait for 2*clockPeriod; + read_int <= '0'; + + --.......................................................................... + -- full write / read with breaks + wait for testInterval; + print( + "At time " & sprintf("%9.3tu", now) & + ", reading FIFO with breaks" & + lf & lf + ); + -- write FIFO + dataOffset <= dataOffset + 16#10#; + wait until rising_edge(clock_int); + write <= '1'; + for index in 0 to fifoDepth-1 loop + dataIn_int <= dataOffset + index; + wait for clockPeriod; + end loop; + write <= '0'; + -- wait before read + wait for 2*clockPeriod; + -- read FIFO + wait until rising_edge(clock_int); + readIndex := 0; + for index in 0 to fifoDepth/4-1 loop + read_int <= '1'; + for rdIndex in 1 to 2 loop + assert unsigned(dataOut) = dataOffset + readIndex + report "FIFO readback error" + severity error; + readIndex := readIndex + 1; + wait until rising_edge(clock_int); + end loop; + read_int <= '0'; + wait for 2*clockPeriod; + end loop; + read_int <= '1'; + while empty = '0' loop + assert unsigned(dataOut) = dataOffset + readIndex + report "FIFO readback error" + severity error; + readIndex := readIndex + 1; + wait until rising_edge(clock_int); + end loop; + read_int <= '0'; + --.......................................................................... + -- end of tests + wait for testInterval; + assert false + report "END SIMULATION" + severity failure; + wait; + end process; + + dataIn <= std_ulogic_vector(to_signed(dataIn_int, dataIn'length)); + read <= read_int; + + dataValid <= '1' when (read_int = '1') and (empty = '0') + else '0'; + dataRead <= to_integer(signed(dataOut)) when dataValid = '1' + else 0; + +END ARCHITECTURE test; diff --git a/Libs/Memory_test/hdl/flashController_tester_test.vhd b/Libs/Memory_test/hdl/flashController_tester_test.vhd new file mode 100644 index 0000000..77157e6 --- /dev/null +++ b/Libs/Memory_test/hdl/flashController_tester_test.vhd @@ -0,0 +1,88 @@ +LIBRARY BoardTester_test; + USE BoardTester_test.testUtils.all; + +ARCHITECTURE test OF flashController_tester IS + + constant clockFrequency: real := 66.0E6; + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_uLogic := '1'; + + signal flashAddr_int: natural := 0; + signal flashDataOut_int: natural := 0; + + signal refreshEn: std_uLogic := '0'; + + constant separator : string(1 to 80) := (others => '-'); + constant indent : string(1 to 2) := (others => ' '); + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 2*clockPeriod; + + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- flash access + process + begin + flashRd <= '0'; + flashWr <= '0'; + flashEn <= '1'; + wait for 1 us; + print(cr & separator); + -- erase block 0 + print(sprintf("%tu", now) & ": Erasing block 0"); + flashAddr_int <= 16#10000#; + flashDataOut_int <= 16#0020#; + flashWr <= '1', '0' after clockPeriod; + wait until falling_edge(flashDataValid); + wait for clockPeriod/10; + flashDataOut_int <= 16#00D0#; + flashWr <= '1', '0' after clockPeriod; + wait for 2 us; + -- program word + print(sprintf("%tu", now) & ": Writing data into Flash"); + flashAddr_int <= 16#0000#; + flashDataOut_int <= 16#0040#; + flashWr <= '1', '0' after clockPeriod; + wait until falling_edge(flashDataValid); + wait for clockPeriod/10; + flashAddr_int <= 16#0010#; + flashDataOut_int <= 16#CAFE#; + flashWr <= '1', '0' after clockPeriod; + wait for 2 us; + -- read word + print(sprintf("%tu", now) & ": Reading data from Flash"); + flashAddr_int <= 16#0000#; + flashRd <= '1', '0' after clockPeriod; + wait for 1 us; + -- read word + print(sprintf("%tu", now) & ": Reading data from Flash"); + flashAddr_int <= 16#000F#; + flashRd <= '1', '0' after clockPeriod; + wait for 500 ns; + flashAddr_int <= 16#0010#; + flashRd <= '1', '0' after clockPeriod; + wait for 500 ns; + flashAddr_int <= 16#0011#; + flashRd <= '1', '0' after clockPeriod; + wait for 500 ns; + + wait; + end process; + + ------------------------------------------------------------------------------ + -- address and data + flashAddr <= to_unsigned(flashAddr_int, flashAddr'length); + flashDataOut <= std_ulogic_vector(to_unsigned(flashDataOut_int, flashDataOut'length)); + + ------------------------------------------------------------------------------ + -- memory bus hold + refreshEn <= '1' after 15*clockPeriod when refreshEn = '0' + else '0' after clockPeriod; + memBusEn_n <= refreshEn; + +END ARCHITECTURE test; + diff --git a/Libs/Memory_test/hdl/flash_tester_test.vhd b/Libs/Memory_test/hdl/flash_tester_test.vhd new file mode 100644 index 0000000..023ea1a --- /dev/null +++ b/Libs/Memory_test/hdl/flash_tester_test.vhd @@ -0,0 +1,102 @@ +LIBRARY BoardTester_test; + USE BoardTester_test.testUtils.all; + +ARCHITECTURE test OF flash_tester IS + + constant T_W2: time := 0 ns; + constant T_W3: time := 70 ns; + constant T_W4: time := 50 ns; + constant T_W5: time := 55 ns; + constant T_W6: time := 10 ns; + constant T_R3: time := 120 ns; + + signal addr: natural; + signal data: integer; + + signal writeFlash: std_ulogic := '0'; + signal readFlash: std_ulogic := '0'; + + constant separator : string(1 to 80) := (others => '-'); + constant indent : string(1 to 2) := (others => ' '); + +BEGIN + + ------------------------------------------------------------------------------ + -- Test + ------------------------------------------------------------------------------ + process + begin + RP_n <= '1'; + wait for 1 us; + print(cr & separator); + -- erase block 0 + print(sprintf("%tu", now) & ": Erasing block 0"); + addr <= 16#10000#; + data <= 16#20#; + writeFlash <= '1', '0' after 1 ns; + wait for 100 ns; + data <= 16#D0#; + writeFlash <= '1', '0' after 1 ns; + wait for 2 us; + -- program word + print(sprintf("%tu", now) & ": Writing data into Flash"); + addr <= 16#0000#; + data <= 16#0040#; + writeFlash <= '1', '0' after 1 ns; + wait for 100 ns; + addr <= 16#0010#; + data <= 16#CAFE#; + writeFlash <= '1', '0' after 1 ns; + wait for 2 us; + -- read word + print(sprintf("%tu", now) & ": Reading data from Flash"); + addr <= 16#0000#; + readFlash <= '1', '0' after 1 ns; + wait for 500 ns; + -- read word + print(sprintf("%tu", now) & ": Reading data from Flash"); + addr <= 16#0010#; + readFlash <= '1', '0' after 1 ns; + wait for 500 ns; + + wait; + end process; + + ------------------------------------------------------------------------------ + -- Board connections + ------------------------------------------------------------------------------ + CE(2 downto 1) <= (others => '0'); + BYTE_n <= '1'; + + + ------------------------------------------------------------------------------ + -- Write access + ------------------------------------------------------------------------------ + process + begin + CE(0) <= '1'; + WE_N <= '1'; + OE_N <= '1'; + DQ <= (others => 'Z'); + wait on writeFlash, readFlash; + if rising_edge(writeFlash) then + A <= to_unsigned(addr, A'length) after T_W3 - T_W5; + DQ <= std_logic_vector(to_unsigned(data, DQ'length)) after T_W3 - T_W4; + CE(0) <= '0'; + wait for T_W2; + WE_N <= '0'; + wait for T_W3; + WE_N <= '1'; + wait for T_W6; +-- CE(0) <= '1'; + elsif rising_edge(readFlash) then + OE_N <= '0'; + A <= to_unsigned(addr, A'length); + CE(0) <= '0'; + wait for T_R3 + 10 ns; +-- CE(0) <= '1'; + end if; + end process; + +END ARCHITECTURE test; + diff --git a/Libs/Memory_test/hdl/mti_pkg_pkg.vhd b/Libs/Memory_test/hdl/mti_pkg_pkg.vhd new file mode 100644 index 0000000..a83599d --- /dev/null +++ b/Libs/Memory_test/hdl/mti_pkg_pkg.vhd @@ -0,0 +1,18 @@ +LIBRARY ieee; + USE ieee.std_logic_1164.ALL; + USE std.textio.all; + +PACKAGE mti_pkg IS + + FUNCTION To_StdLogic (s : BIT) RETURN STD_LOGIC; + FUNCTION TO_INTEGER (input : STD_LOGIC) RETURN INTEGER; + FUNCTION TO_INTEGER (input : BIT_VECTOR) RETURN INTEGER; + FUNCTION TO_INTEGER (input : STD_LOGIC_VECTOR) RETURN INTEGER; + FUNCTION TO_INTEGER (input : STD_ULOGIC_VECTOR) RETURN INTEGER; + PROCEDURE TO_BITVECTOR (VARIABLE input : IN INTEGER; VARIABLE output : OUT BIT_VECTOR); + + function conv_std_logic_vector(i : integer; w : integer) return std_logic_vector; + procedure hexread(L : inout line; value:out bit_vector); + procedure hexread(L : inout line; value:out std_logic_vector); + +END mti_pkg; diff --git a/Libs/Memory_test/hdl/mti_pkg_pkg_body.vhd b/Libs/Memory_test/hdl/mti_pkg_pkg_body.vhd new file mode 100644 index 0000000..422a4ab --- /dev/null +++ b/Libs/Memory_test/hdl/mti_pkg_pkg_body.vhd @@ -0,0 +1,234 @@ +LIBRARY ieee; + USE ieee.numeric_std.all; + +PACKAGE BODY mti_pkg IS + + -- Convert BIT to STD_LOGIC + FUNCTION To_StdLogic (s : BIT) RETURN STD_LOGIC IS + BEGIN + CASE s IS + WHEN '0' => RETURN ('0'); + WHEN '1' => RETURN ('1'); + WHEN OTHERS => RETURN ('0'); + END CASE; + END; + + -- Convert STD_LOGIC to INTEGER + FUNCTION TO_INTEGER (input : STD_LOGIC) RETURN INTEGER IS + VARIABLE result : INTEGER := 0; + VARIABLE weight : INTEGER := 1; + BEGIN + IF input = '1' THEN + result := weight; + ELSE + result := 0; -- if unknowns, default to logic 0 + END IF; + RETURN result; + END TO_INTEGER; + + -- Convert BIT_VECTOR to INTEGER + FUNCTION TO_INTEGER (input : BIT_VECTOR) RETURN INTEGER IS + VARIABLE result : INTEGER := 0; + VARIABLE weight : INTEGER := 1; + BEGIN + FOR i IN input'LOW TO input'HIGH LOOP + IF input(i) = '1' THEN + result := result + weight; + ELSE + result := result + 0; -- if unknowns, default to logic 0 + END IF; + weight := weight * 2; + END LOOP; + RETURN result; + END TO_INTEGER; + + -- Convert STD_LOGIC_VECTOR to INTEGER + FUNCTION TO_INTEGER (input : STD_LOGIC_VECTOR) RETURN INTEGER IS + VARIABLE result : INTEGER := 0; + VARIABLE weight : INTEGER := 1; + BEGIN + FOR i IN input'LOW TO input'HIGH LOOP + IF input(i) = '1' THEN + result := result + weight; + ELSE + result := result + 0; -- if unknowns, default to logic 0 + END IF; + weight := weight * 2; + END LOOP; + RETURN result; + END TO_INTEGER; + + -- Convert STD_ULOGIC_VECTOR to INTEGER + FUNCTION TO_INTEGER (input : STD_ULOGIC_VECTOR) RETURN INTEGER IS + VARIABLE result : INTEGER := 0; + VARIABLE weight : INTEGER := 1; + BEGIN + FOR i IN input'LOW TO input'HIGH LOOP + IF input(i) = '1' THEN + result := result + weight; + ELSE + result := result + 0; -- if unknowns, default to logic 0 + END IF; + weight := weight * 2; + END LOOP; + RETURN result; + END TO_INTEGER; + + -- Conver INTEGER to BIT_VECTOR + PROCEDURE TO_BITVECTOR (VARIABLE input : IN INTEGER; VARIABLE output : OUT BIT_VECTOR) IS + VARIABLE work,offset,outputlen,j : INTEGER := 0; + BEGIN + --length of vector + IF output'LENGTH > 32 THEN --' + outputlen := 32; + offset := output'LENGTH - 32; --' + IF input >= 0 THEN + FOR i IN offset-1 DOWNTO 0 LOOP + output(output'HIGH - i) := '0'; --' + END LOOP; + ELSE + FOR i IN offset-1 DOWNTO 0 LOOP + output(output'HIGH - i) := '1'; --' + END LOOP; + END IF; + ELSE + outputlen := output'LENGTH; --' + END IF; + --positive value + IF (input >= 0) THEN + work := input; + j := outputlen - 1; + FOR i IN 1 to 32 LOOP + IF j >= 0 then + IF (work MOD 2) = 0 THEN + output(output'HIGH-j-offset) := '0'; --' + ELSE + output(output'HIGH-j-offset) := '1'; --' + END IF; + END IF; + work := work / 2; + j := j - 1; + END LOOP; + IF outputlen = 32 THEN + output(output'HIGH) := '0'; --' + END IF; + --negative value + ELSE + work := (-input) - 1; + j := outputlen - 1; + FOR i IN 1 TO 32 LOOP + IF j>= 0 THEN + IF (work MOD 2) = 0 THEN + output(output'HIGH-j-offset) := '1'; --' + ELSE + output(output'HIGH-j-offset) := '0'; --' + END IF; + END IF; + work := work / 2; + j := j - 1; + END LOOP; + IF outputlen = 32 THEN + output(output'HIGH) := '1'; --' + END IF; + END IF; + END TO_BITVECTOR; + + + function conv_std_logic_vector(i : integer; w : integer) return std_logic_vector is + variable tmp : std_logic_vector(w-1 downto 0); + begin + tmp := std_logic_vector(to_unsigned(i, w)); + return(tmp); + end; + + procedure char2hex(C: character; result: out bit_vector(3 downto 0); + good: out boolean; report_error: in boolean) is + begin + good := true; + case C is + when '0' => result := x"0"; + when '1' => result := x"1"; + when '2' => result := X"2"; + when '3' => result := X"3"; + when '4' => result := X"4"; + when '5' => result := X"5"; + when '6' => result := X"6"; + when '7' => result := X"7"; + when '8' => result := X"8"; + when '9' => result := X"9"; + when 'A' => result := X"A"; + when 'B' => result := X"B"; + when 'C' => result := X"C"; + when 'D' => result := X"D"; + when 'E' => result := X"E"; + when 'F' => result := X"F"; + + when 'a' => result := X"A"; + when 'b' => result := X"B"; + when 'c' => result := X"C"; + when 'd' => result := X"D"; + when 'e' => result := X"E"; + when 'f' => result := X"F"; + when others => + if report_error then + assert false report + "hexread error: read a '" & C & "', expected a hex character (0-F)."; + end if; + good := false; + end case; + end; + + procedure hexread(L:inout line; value:out bit_vector) is + variable OK: boolean; + variable C: character; + constant NE: integer := value'length/4; --' + variable BV: bit_vector(0 to value'length-1); --' + variable S: string(1 to NE-1); + begin + if value'length mod 4 /= 0 then --' + assert false report + "hexread Error: Trying to read vector " & + "with an odd (non multiple of 4) length"; + return; + end if; + + loop -- skip white space + read(L,C); + exit when ((C /= ' ') and (C /= CR) and (C /= HT)); + end loop; + + char2hex(C, BV(0 to 3), OK, false); + if not OK then + return; + end if; + + read(L, S, OK); +-- if not OK then +-- assert false report "hexread Error: Failed to read the STRING"; +-- return; +-- end if; + + for I in 1 to NE-1 loop + char2hex(S(I), BV(4*I to 4*I+3), OK, false); + if not OK then + return; + end if; + end loop; + value := BV; + end hexread; + + procedure hexread(L:inout line; value:out std_ulogic_vector) is + variable tmp: bit_vector(value'length-1 downto 0); --' + begin + hexread(L, tmp); + value := TO_X01(tmp); + end hexread; + + procedure hexread(L:inout line; value:out std_logic_vector) is + variable tmp: std_ulogic_vector(value'length-1 downto 0); --' + begin + hexread(L, tmp); + value := std_logic_vector(tmp); + end hexread; + +END mti_pkg; diff --git a/Libs/Memory_test/hdl/sdramController_tester_test.vhd b/Libs/Memory_test/hdl/sdramController_tester_test.vhd new file mode 100644 index 0000000..317fb6d --- /dev/null +++ b/Libs/Memory_test/hdl/sdramController_tester_test.vhd @@ -0,0 +1,82 @@ +ARCHITECTURE test OF sdramController_tester IS + + constant clockFrequency: real := 66.0E6; + constant clockPeriod: time := (1.0/clockFrequency) * 1 sec; + signal clock_int: std_uLogic := '1'; + + signal ramAddr_int: natural := 0; + signal ramDataOut_int: natural := 0; + +BEGIN + ------------------------------------------------------------------------------ + -- reset and clock + reset <= '1', '0' after 2*clockPeriod; + + clock_int <= not clock_int after clockPeriod/2; + clock <= transport clock_int after clockPeriod*9/10; + + ------------------------------------------------------------------------------ + -- test sequence + process + begin + ramRd <= '0'; + ramWr <= '0'; + ramEn <= '1'; + -- wait for SDRAM ready + wait for 154.3 us - now; + -- write AAAA at address 000010 + ramAddr_int <= 16#000010#; + ramDataOut_int <= 16#AAAA#; + ramWr <= '1', '0' after clockPeriod; + -- wait for SDRAM ready + wait for 164.5 us - now; + -- write AAAA at address 000011 + ramAddr_int <= 16#000011#; + ramDataOut_int <= 16#BBBD#; + ramWr <= '1', '0' after clockPeriod; + -- wait for SDRAM ready + wait for 196.1 us - now; + -- read back from address 000010 + ramAddr_int <= 16#000010#; + ramRd <= '1', '0' after clockPeriod; +-- -- wait for SDRAM ready +-- wait for 130 us; +-- -- write AAAA at address 000010 +-- ramAddr_int <= 16#000010#; +-- ramDataOut_int <= 16#AAAA#; +-- ramWr <= '1', '0' after clockPeriod; +-- -- read back from same address +-- wait for 10*clockPeriod; +-- ramRd <= '1', '0' after clockPeriod; +-- -- wait for a refresh +-- wait for 140.3 us - now; +-- -- write 5555 at address 600010 +-- ramAddr_int <= 16#600020#; +-- ramDataOut_int <= 16#5555#; +-- ramWr <= '1', '0' after clockPeriod; +-- -- read back from same address +-- wait for 1*clockPeriod; +-- ramRd <= '1', '0' after clockPeriod; +-- -- read back from address 600010 +-- addr_from_up_int <= 16#600010#; +-- mem_read <= '1', '0' after clockPeriod; +-- wait for 10*clockPeriod; +-- -- wait for 3 refresh periods +-- wait until falling_edge(dram_busy); +-- wait until falling_edge(dram_busy); +-- wait until falling_edge(dram_busy); +-- -- read back from address 000010 +-- addr_from_up_int <= 16#000010#; +-- mem_read <= '1', '0' after clockPeriod; +-- wait for 10*clockPeriod; + -- end of tests + wait; + end process; + + ------------------------------------------------------------------------------ + -- address and data + ramAddr <= to_unsigned(ramAddr_int, ramAddr'length); + ramDataOut <= std_ulogic_vector(to_unsigned(ramDataOut_int, ramDataOut'length)); + +END ARCHITECTURE test; + diff --git a/Libs/Memory_test/hdl/sdram_mt48lc16m16a2_sim.vhd b/Libs/Memory_test/hdl/sdram_mt48lc16m16a2_sim.vhd new file mode 100644 index 0000000..a31c3fd --- /dev/null +++ b/Libs/Memory_test/hdl/sdram_mt48lc16m16a2_sim.vhd @@ -0,0 +1,1363 @@ +----------------------------------------------------------------------------------------- +-- +-- File Name: MT48LC16M16A2.VHD +-- Version: 0.0g +-- Date: June 29th, 2000 +-- Model: Behavioral +-- Simulator: Model Technology (PC version 5.3 PE) +-- +-- Dependencies: None +-- +-- Author: Son P. Huynh +-- Email: sphuynh@micron.com +-- Phone: (208) 368-3825 +-- Company: Micron Technology, Inc. +-- Part Number: MT48LC16M16A2 (4Mb x 16 x 4 Banks) +-- +-- Description: Micron 256Mb SDRAM +-- +-- Limitation: - Doesn't check for 4096-cycle refresh --' +-- +-- Note: - Set simulator resolution to "ps" accuracy +-- +-- Disclaimer: THESE DESIGNS ARE PROVIDED "AS IS" WITH NO WARRANTY +-- WHATSOEVER AND MICRON SPECIFICALLY DISCLAIMS ANY +-- IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR +-- A PARTICULAR PURPOSE, OR AGAINST INFRINGEMENT. +-- +-- Copyright (c) 1998 Micron Semiconductor Products, Inc. +-- All rights researved +-- +-- Rev Author Phone Date Changes +-- ---- ---------------------------- ---------- ------------------------------------- +-- 0.0g Son Huynh 208-368-3825 06/29/2000 Add Load/Dump memory array +-- Micron Technology Inc. Modify tWR + tRAS timing check +-- +-- 0.0f Son Huynh 208-368-3825 07/08/1999 Fix tWR = 1 Clk + 7.5 ns (Auto) +-- Micron Technology Inc. Fix tWR = 15 ns (Manual) +-- Fix tRP (Autoprecharge to AutoRefresh) +-- +-- 0.0c Son P. Huynh 208-368-3825 04/08/1999 Fix tWR + tRP in Write with AP +-- Micron Technology Inc. Fix tRC check in Load Mode Register +-- +-- 0.0b Son P. Huynh 208-368-3825 01/06/1998 Derive from 64Mb SDRAM model +-- Micron Technology Inc. +-- +----------------------------------------------------------------------------------------- + +--LIBRARY STD; +-- use std.textio.all; +--LIBRARY IEEE; +-- USE IEEE.STD_LOGIC_1164.ALL; +--LIBRARY WORK; +-- USE WORK.MTI_PKG.ALL; +LIBRARY memory_test; + USE memory_test.mti_pkg.all; + +--library grlib; +--use grlib.stdlib.all; +--library gaisler; +--use gaisler.sim.all; + +--ENTITY mt48lc16m16a2 IS +-- GENERIC ( +-- -- Timing Parameters for -75 (PC133) and CAS Latency = 2 +-- tAC : TIME := 6.0 ns; +-- tHZ : TIME := 7.0 ns; +-- tOH : TIME := 2.7 ns; +-- tMRD : INTEGER := 2; -- 2 Clk Cycles +-- tRAS : TIME := 44.0 ns; +-- tRC : TIME := 66.0 ns; +-- tRCD : TIME := 20.0 ns; +-- tRP : TIME := 20.0 ns; +-- tRRD : TIME := 15.0 ns; +-- tWRa : TIME := 7.5 ns; -- A2 Version - Auto precharge mode only (1 Clk + 7.5 ns) +-- tWRp : TIME := 15.0 ns; -- A2 Version - Precharge mode only (15 ns) +-- +-- tAH : TIME := 0.8 ns; +-- tAS : TIME := 1.5 ns; +-- tCH : TIME := 2.5 ns; +-- tCL : TIME := 2.5 ns; +-- tCK : TIME := 10.0 ns; +-- tDH : TIME := 0.8 ns; +-- tDS : TIME := 1.5 ns; +-- tCKH : TIME := 0.8 ns; +-- tCKS : TIME := 1.5 ns; +-- tCMH : TIME := 0.8 ns; +-- tCMS : TIME := 1.5 ns; +-- +-- addr_bits : INTEGER := 13; +-- data_bits : INTEGER := 16; +-- col_bits : INTEGER := 9; +-- index : INTEGER := 0; +-- fname : string := "sdram.srec" -- File to read from +-- ); +-- PORT ( +-- Dq : INOUT STD_LOGIC_VECTOR (data_bits - 1 DOWNTO 0) := (OTHERS => 'Z'); +-- Addr : IN STD_LOGIC_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); +-- Ba : IN STD_LOGIC_VECTOR := "00"; +-- Clk : IN STD_LOGIC := '0'; +-- Cke : IN STD_LOGIC := '1'; +-- Cs_n : IN STD_LOGIC := '1'; +-- Ras_n : IN STD_LOGIC := '1'; +-- Cas_n : IN STD_LOGIC := '1'; +-- We_n : IN STD_LOGIC := '1'; +-- Dqm : IN STD_LOGIC_VECTOR (1 DOWNTO 0) := "00" +-- ); +--END mt48lc16m16a2; + +ARCHITECTURE sim OF sdram_mt48lc16m16a2 IS + + TYPE State IS (ACT, A_REF, BST, LMR, NOP, PRECH, READ, READ_A, WRITE, WRITE_A, LOAD_FILE, DUMP_FILE); + TYPE Array4xI IS ARRAY (3 DOWNTO 0) OF INTEGER; + TYPE Array4xT IS ARRAY (3 DOWNTO 0) OF TIME; + TYPE Array4xB IS ARRAY (3 DOWNTO 0) OF BIT; + TYPE Array4x2BV IS ARRAY (3 DOWNTO 0) OF BIT_VECTOR (1 DOWNTO 0); + TYPE Array4xCBV IS ARRAY (4 DOWNTO 0) OF BIT_VECTOR (Col_bits - 1 DOWNTO 0); + TYPE Array_state IS ARRAY (4 DOWNTO 0) OF State; + SIGNAL Operation : State := NOP; + SIGNAL Mode_reg : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); + SIGNAL Active_enable, Aref_enable, Burst_term : BIT := '0'; + SIGNAL Mode_reg_enable, Prech_enable, Read_enable, Write_enable : BIT := '0'; + SIGNAL Burst_length_1, Burst_length_2, Burst_length_4, Burst_length_8 : BIT := '0'; + SIGNAL Cas_latency_2, Cas_latency_3 : BIT := '0'; + SIGNAL Ras_in, Cas_in, We_in : BIT := '0'; + SIGNAL Write_burst_mode : BIT := '0'; + SIGNAL RAS_clk, Sys_clk, CkeZ : BIT := '0'; + + -- Checking internal wires + SIGNAL Pre_chk : BIT_VECTOR (3 DOWNTO 0) := "0000"; + SIGNAL Act_chk : BIT_VECTOR (3 DOWNTO 0) := "0000"; + SIGNAL Dq_in_chk, Dq_out_chk : BIT := '0'; + SIGNAL Bank_chk : BIT_VECTOR (1 DOWNTO 0) := "00"; + SIGNAL Row_chk : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); + SIGNAL Col_chk : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); + +BEGIN + -- CS# Decode + WITH Cs_n SELECT + Cas_in <= TO_BIT (Cas_n, '1') WHEN '0', + '1' WHEN '1', + '1' WHEN OTHERS; + WITH Cs_n SELECT + Ras_in <= TO_BIT (Ras_n, '1') WHEN '0', + '1' WHEN '1', + '1' WHEN OTHERS; + WITH Cs_n SELECT + We_in <= TO_BIT (We_n, '1') WHEN '0', + '1' WHEN '1', + '1' WHEN OTHERS; + + -- Commands Decode + Active_enable <= NOT(Ras_in) AND Cas_in AND We_in; + Aref_enable <= NOT(Ras_in) AND NOT(Cas_in) AND We_in; + Burst_term <= Ras_in AND Cas_in AND NOT(We_in); + Mode_reg_enable <= NOT(Ras_in) AND NOT(Cas_in) AND NOT(We_in); + Prech_enable <= NOT(Ras_in) AND Cas_in AND NOT(We_in); + Read_enable <= Ras_in AND NOT(Cas_in) AND We_in; + Write_enable <= Ras_in AND NOT(Cas_in) AND NOT(We_in); + + -- Burst Length Decode + Burst_length_1 <= NOT(Mode_reg(2)) AND NOT(Mode_reg(1)) AND NOT(Mode_reg(0)); + Burst_length_2 <= NOT(Mode_reg(2)) AND NOT(Mode_reg(1)) AND Mode_reg(0); + Burst_length_4 <= NOT(Mode_reg(2)) AND Mode_reg(1) AND NOT(Mode_reg(0)); + Burst_length_8 <= NOT(Mode_reg(2)) AND Mode_reg(1) AND Mode_reg(0); + + -- CAS Latency Decode + Cas_latency_2 <= NOT(Mode_reg(6)) AND Mode_reg(5) AND NOT(Mode_reg(4)); + Cas_latency_3 <= NOT(Mode_reg(6)) AND Mode_reg(5) AND Mode_reg(4); + + -- Write Burst Mode + Write_burst_mode <= Mode_reg(9); + + -- RAS Clock for checking tWR and tRP + PROCESS + variable Clk0, Clk1 : integer := 0; + begin + RAS_clk <= '1'; + wait for 0.5 ns; + RAS_clk <= '0'; + wait for 0.5 ns; + if Clk0 > 100 or Clk1 > 100 then + wait; + else + if Clk = '1' and Cke = '1' then + Clk0 := 0; + Clk1 := Clk1 + 1; + elsif Clk = '0' and Cke = '1' then + Clk0 := Clk0 + 1; + Clk1 := 0; + end if; + end if; + END PROCESS; + + -- System Clock + int_clk : PROCESS (Clk) + begin + IF Clk'LAST_VALUE = '0' AND Clk = '1' THEN --' + CkeZ <= TO_BIT(Cke, '1'); + END IF; + Sys_clk <= CkeZ AND TO_BIT(Clk, '0'); + END PROCESS; + + state_register : PROCESS + -- NOTE: The extra bits in RAM_TYPE is for checking memory access. A logic 1 means + -- the location is in use. This will be checked when doing memory DUMP. + TYPE ram_type IS ARRAY (2**col_bits - 1 DOWNTO 0) OF BIT_VECTOR (data_bits DOWNTO 0); + TYPE ram_pntr IS ACCESS ram_type; + TYPE ram_stor IS ARRAY (2**addr_bits - 1 DOWNTO 0) OF ram_pntr; + VARIABLE Bank0 : ram_stor; + VARIABLE Bank1 : ram_stor; + VARIABLE Bank2 : ram_stor; + VARIABLE Bank3 : ram_stor; + VARIABLE Row_index, Col_index : INTEGER := 0; + VARIABLE Dq_temp : BIT_VECTOR (data_bits DOWNTO 0) := (OTHERS => '0'); + + VARIABLE Col_addr : Array4xCBV; + VARIABLE Bank_addr : Array4x2BV; + VARIABLE Dqm_reg0, Dqm_reg1 : BIT_VECTOR (1 DOWNTO 0) := "00"; + + VARIABLE Bank, Previous_bank : BIT_VECTOR (1 DOWNTO 0) := "00"; + VARIABLE B0_row_addr, B1_row_addr, B2_row_addr, B3_row_addr : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); + VARIABLE Col_brst : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); + VARIABLE Row : BIT_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); + VARIABLE Col : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); + VARIABLE Burst_counter : INTEGER := 0; + + VARIABLE Command : Array_state; + VARIABLE Bank_precharge : Array4x2BV; + VARIABLE A10_precharge : Array4xB := ('0' & '0' & '0' & '0'); + VARIABLE Auto_precharge : Array4xB := ('0' & '0' & '0' & '0'); + VARIABLE Read_precharge : Array4xB := ('0' & '0' & '0' & '0'); + VARIABLE Write_precharge : Array4xB := ('0' & '0' & '0' & '0'); + VARIABLE RW_interrupt_read : Array4xB := ('0' & '0' & '0' & '0'); + VARIABLE RW_interrupt_write : Array4xB := ('0' & '0' & '0' & '0'); + VARIABLE RW_interrupt_bank : BIT_VECTOR (1 DOWNTO 0) := "00"; + VARIABLE Count_time : Array4xT := (0 ns & 0 ns & 0 ns & 0 ns); + VARIABLE Count_precharge : Array4xI := (0 & 0 & 0 & 0); + + VARIABLE Data_in_enable, Data_out_enable : BIT := '0'; + VARIABLE Pc_b0, Pc_b1, Pc_b2, Pc_b3 : BIT := '0'; + VARIABLE Act_b0, Act_b1, Act_b2, Act_b3 : BIT := '0'; + + -- Timing Check + VARIABLE MRD_chk : INTEGER := 0; + VARIABLE WR_counter : Array4xI := (0 & 0 & 0 & 0); + VARIABLE WR_time : Array4xT := (0 ns & 0 ns & 0 ns & 0 ns); + VARIABLE WR_chkp : Array4xT := (0 ns & 0 ns & 0 ns & 0 ns); + VARIABLE RC_chk, RRD_chk : TIME := 0 ns; + VARIABLE RAS_chk0, RAS_chk1, RAS_chk2, RAS_chk3 : TIME := 0 ns; + VARIABLE RCD_chk0, RCD_chk1, RCD_chk2, RCD_chk3 : TIME := 0 ns; + VARIABLE RP_chk0, RP_chk1, RP_chk2, RP_chk3 : TIME := 0 ns; + + -- Load and Dumb variables + FILE file_load : TEXT open read_mode is fname; -- Data load + FILE file_dump : TEXT open write_mode is "dumpdata.txt"; -- Data dump + VARIABLE bank_load : bit_vector ( 1 DOWNTO 0); + VARIABLE rows_load : BIT_VECTOR (12 DOWNTO 0); + VARIABLE cols_load : BIT_VECTOR ( 8 DOWNTO 0); + VARIABLE data_load : BIT_VECTOR (15 DOWNTO 0); + VARIABLE i, j : INTEGER; + VARIABLE good_load : BOOLEAN; + VARIABLE l : LINE; + variable load : std_logic := '1'; + variable dump : std_logic := '0'; + variable ch : character; + variable rectype : bit_vector(3 downto 0); + variable recaddr : bit_vector(31 downto 0); + variable reclen : bit_vector(7 downto 0); + variable recdata : bit_vector(0 to 16*8-1); + + -- Initialize empty rows + PROCEDURE Init_mem (Bank : bit_vector (1 DOWNTO 0); Row_index : INTEGER) IS + VARIABLE i, j : INTEGER := 0; + BEGIN + IF Bank = "00" THEN + IF Bank0 (Row_index) = NULL THEN -- Check to see if row empty + Bank0 (Row_index) := NEW ram_type; -- Open new row for access + FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP -- Filled row with zeros + FOR j IN (data_bits) DOWNTO 0 LOOP + Bank0 (Row_index) (i) (j) := '0'; + END LOOP; + END LOOP; + END IF; + ELSIF Bank = "01" THEN + IF Bank1 (Row_index) = NULL THEN + Bank1 (Row_index) := NEW ram_type; + FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP + FOR j IN (data_bits) DOWNTO 0 LOOP + Bank1 (Row_index) (i) (j) := '0'; + END LOOP; + END LOOP; + END IF; + ELSIF Bank = "10" THEN + IF Bank2 (Row_index) = NULL THEN + Bank2 (Row_index) := NEW ram_type; + FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP + FOR j IN (data_bits) DOWNTO 0 LOOP + Bank2 (Row_index) (i) (j) := '0'; + END LOOP; + END LOOP; + END IF; + ELSIF Bank = "11" THEN + IF Bank3 (Row_index) = NULL THEN + Bank3 (Row_index) := NEW ram_type; + FOR i IN (2**col_bits - 1) DOWNTO 0 LOOP + FOR j IN (data_bits) DOWNTO 0 LOOP + Bank3 (Row_index) (i) (j) := '0'; + END LOOP; + END LOOP; + END IF; + END IF; + END; + + -- Burst Counter + PROCEDURE Burst_decode IS + VARIABLE Col_int : INTEGER := 0; + VARIABLE Col_vec, Col_temp : BIT_VECTOR (col_bits - 1 DOWNTO 0) := (OTHERS => '0'); + BEGIN + -- Advance Burst Counter + Burst_counter := Burst_counter + 1; + + -- Burst Type + IF Mode_reg (3) = '0' THEN + Col_int := TO_INTEGER(Col); + Col_int := Col_int + 1; + TO_BITVECTOR (Col_int, Col_temp); + ELSIF Mode_reg (3) = '1' THEN + TO_BITVECTOR (Burst_counter, Col_vec); + Col_temp (2) := Col_vec (2) XOR Col_brst (2); + Col_temp (1) := Col_vec (1) XOR Col_brst (1); + Col_temp (0) := Col_vec (0) XOR Col_brst (0); + END IF; + + -- Burst Length + IF Burst_length_2 = '1' THEN + Col (0) := Col_temp (0); + ELSIF Burst_length_4 = '1' THEN + Col (1 DOWNTO 0) := Col_temp (1 DOWNTO 0); + ELSIF Burst_length_8 = '1' THEN + Col (2 DOWNTO 0) := Col_temp (2 DOWNTO 0); + ELSE + Col := Col_temp; + END IF; + + -- Burst Read Single Write + IF Write_burst_mode = '1' AND Data_in_enable = '1' THEN + Data_in_enable := '0'; + END IF; + + -- Data counter + IF Burst_length_1 = '1' THEN + IF Burst_counter >= 1 THEN + IF Data_in_enable = '1' THEN + Data_in_enable := '0'; + ELSIF Data_out_enable = '1' THEN + Data_out_enable := '0'; + END IF; + END IF; + ELSIF Burst_length_2 = '1' THEN + IF Burst_counter >= 2 THEN + IF Data_in_enable = '1' THEN + Data_in_enable := '0'; + ELSIF Data_out_enable = '1' THEN + Data_out_enable := '0'; + END IF; + END IF; + ELSIF Burst_length_4 = '1' THEN + IF Burst_counter >= 4 THEN + IF Data_in_enable = '1' THEN + Data_in_enable := '0'; + ELSIF Data_out_enable = '1' THEN + Data_out_enable := '0'; + END IF; + END IF; + ELSIF Burst_length_8 = '1' THEN + IF Burst_counter >= 8 THEN + IF Data_in_enable = '1' THEN + Data_in_enable := '0'; + ELSIF Data_out_enable = '1' THEN + Data_out_enable := '0'; + END IF; + END IF; + END IF; + END; + + BEGIN + WAIT ON Sys_clk, RAS_clk; + IF Sys_clk'event AND Sys_clk = '1' AND Load = '0' AND Dump = '0' THEN --' + -- Internal Command Pipeline + Command(0) := Command(1); + Command(1) := Command(2); + Command(2) := Command(3); + Command(3) := NOP; + + Col_addr(0) := Col_addr(1); + Col_addr(1) := Col_addr(2); + Col_addr(2) := Col_addr(3); + Col_addr(3) := (OTHERS => '0'); + + Bank_addr(0) := Bank_addr(1); + Bank_addr(1) := Bank_addr(2); + Bank_addr(2) := Bank_addr(3); + Bank_addr(3) := "00"; + + Bank_precharge(0) := Bank_precharge(1); + Bank_precharge(1) := Bank_precharge(2); + Bank_precharge(2) := Bank_precharge(3); + Bank_precharge(3) := "00"; + + A10_precharge(0) := A10_precharge(1); + A10_precharge(1) := A10_precharge(2); + A10_precharge(2) := A10_precharge(3); + A10_precharge(3) := '0'; + + -- Operation Decode (Optional for showing current command on posedge clock / debug feature) + IF Active_enable = '1' THEN + Operation <= ACT; + ELSIF Aref_enable = '1' THEN + Operation <= A_REF; + ELSIF Burst_term = '1' THEN + Operation <= BST; + ELSIF Mode_reg_enable = '1' THEN + Operation <= LMR; + ELSIF Prech_enable = '1' THEN + Operation <= PRECH; + ELSIF Read_enable = '1' THEN + IF Addr(10) = '0' THEN + Operation <= READ; + ELSE + Operation <= READ_A; + END IF; + ELSIF Write_enable = '1' THEN + IF Addr(10) = '0' THEN + Operation <= WRITE; + ELSE + Operation <= WRITE_A; + END IF; + ELSE + Operation <= NOP; + END IF; + + -- Dqm pipeline for Read + Dqm_reg0 := Dqm_reg1; + Dqm_reg1 := TO_BITVECTOR(Dqm); + + -- Read or Write with Auto Precharge Counter + IF Auto_precharge (0) = '1' THEN + Count_precharge (0) := Count_precharge (0) + 1; + END IF; + IF Auto_precharge (1) = '1' THEN + Count_precharge (1) := Count_precharge (1) + 1; + END IF; + IF Auto_precharge (2) = '1' THEN + Count_precharge (2) := Count_precharge (2) + 1; + END IF; + IF Auto_precharge (3) = '1' THEN + Count_precharge (3) := Count_precharge (3) + 1; + END IF; + + -- Auto Precharge Timer for tWR + if (Burst_length_1 = '1' OR Write_burst_mode = '1') then + if (Count_precharge(0) = 1) then + Count_time(0) := NOW; + end if; + if (Count_precharge(1) = 1) then + Count_time(1) := NOW; + end if; + if (Count_precharge(2) = 1) then + Count_time(2) := NOW; + end if; + if (Count_precharge(3) = 1) then + Count_time(3) := NOW; + end if; + elsif (Burst_length_2 = '1') then + if (Count_precharge(0) = 2) then + Count_time(0) := NOW; + end if; + if (Count_precharge(1) = 2) then + Count_time(1) := NOW; + end if; + if (Count_precharge(2) = 2) then + Count_time(2) := NOW; + end if; + if (Count_precharge(3) = 2) then + Count_time(3) := NOW; + end if; + elsif (Burst_length_4 = '1') then + if (Count_precharge(0) = 4) then + Count_time(0) := NOW; + end if; + if (Count_precharge(1) = 4) then + Count_time(1) := NOW; + end if; + if (Count_precharge(2) = 4) then + Count_time(2) := NOW; + end if; + if (Count_precharge(3) = 4) then + Count_time(3) := NOW; + end if; + elsif (Burst_length_8 = '1') then + if (Count_precharge(0) = 8) then + Count_time(0) := NOW; + end if; + if (Count_precharge(1) = 8) then + Count_time(1) := NOW; + end if; + if (Count_precharge(2) = 8) then + Count_time(2) := NOW; + end if; + if (Count_precharge(3) = 8) then + Count_time(3) := NOW; + end if; + end if; + + -- tMRD Counter + MRD_chk := MRD_chk + 1; + + -- tWR Counter + WR_counter(0) := WR_counter(0) + 1; + WR_counter(1) := WR_counter(1) + 1; + WR_counter(2) := WR_counter(2) + 1; + WR_counter(3) := WR_counter(3) + 1; + + + -- Auto Refresh + IF Aref_enable = '1' THEN + -- Auto Refresh to Auto Refresh + ASSERT (NOW - RC_chk >= tRC) + REPORT "tRC violation during Auto Refresh" + SEVERITY WARNING; + -- Precharge to Auto Refresh + ASSERT (NOW - RP_chk0 >= tRP OR NOW - RP_chk1 >= tRP OR NOW - RP_chk2 >= tRP OR NOW - RP_chk3 >= tRP) + REPORT "tRP violation during Auto Refresh" + SEVERITY WARNING; + -- All banks must be idle before refresh + IF (Pc_b3 ='0' OR Pc_b2 = '0' OR Pc_b1 ='0' OR Pc_b0 = '0') THEN + ASSERT (FALSE) + REPORT "All banks must be Precharge before Auto Refresh" + SEVERITY WARNING; + END IF; + -- Record current tRC time + RC_chk := NOW; + END IF; + + -- Load Mode Register + IF Mode_reg_enable = '1' THEN + Mode_reg <= TO_BITVECTOR (Addr); + IF (Pc_b3 ='0' OR Pc_b2 = '0' OR Pc_b1 ='0' OR Pc_b0 = '0') THEN + ASSERT (FALSE) + REPORT "All bank must be Precharge before Load Mode Register" + SEVERITY WARNING; + END IF; + -- REF to LMR + ASSERT (NOW - RC_chk >= tRC) + REPORT "tRC violation during Load Mode Register" + SEVERITY WARNING; + -- LMR to LMR + ASSERT (MRD_chk >= tMRD) + REPORT "tMRD violation during Load Mode Register" + SEVERITY WARNING; + -- Record current tMRD time + MRD_chk := 0; + END IF; + + -- Active Block (latch Bank and Row Address) + IF Active_enable = '1' THEN + IF Ba = "00" AND Pc_b0 = '1' THEN + Act_b0 := '1'; + Pc_b0 := '0'; + B0_row_addr := TO_BITVECTOR (Addr); + RCD_chk0 := NOW; + RAS_chk0 := NOW; + -- Precharge to Active Bank 0 + ASSERT (NOW - RP_chk0 >= tRP) + REPORT "tRP violation during Activate Bank 0" + SEVERITY WARNING; + ELSIF Ba = "01" AND Pc_b1 = '1' THEN + Act_b1 := '1'; + Pc_b1 := '0'; + B1_row_addr := TO_BITVECTOR (Addr); + RCD_chk1 := NOW; + RAS_chk1 := NOW; + -- Precharge to Active Bank 1 + ASSERT (NOW - RP_chk1 >= tRP) + REPORT "tRP violation during Activate Bank 1" + SEVERITY WARNING; + ELSIF Ba = "10" AND Pc_b2 = '1' THEN + Act_b2 := '1'; + Pc_b2 := '0'; + B2_row_addr := TO_BITVECTOR (Addr); + RCD_chk2 := NOW; + RAS_chk2 := NOW; + -- Precharge to Active Bank 2 + ASSERT (NOW - RP_chk2 >= tRP) + REPORT "tRP violation during Activate Bank 2" + SEVERITY WARNING; + ELSIF Ba = "11" AND Pc_b3 = '1' THEN + Act_b3 := '1'; + Pc_b3 := '0'; + B3_row_addr := TO_BITVECTOR (Addr); + RCD_chk3 := NOW; + RAS_chk3 := NOW; + -- Precharge to Active Bank 3 + ASSERT (NOW - RP_chk3 >= tRP) + REPORT "tRP violation during Activate Bank 3" + SEVERITY WARNING; + ELSIF Ba = "00" AND Pc_b0 = '0' THEN + ASSERT (FALSE) + REPORT "Bank 0 is not Precharged" + SEVERITY WARNING; + ELSIF Ba = "01" AND Pc_b1 = '0' THEN + ASSERT (FALSE) + REPORT "Bank 1 is not Precharged" + SEVERITY WARNING; + ELSIF Ba = "10" AND Pc_b2 = '0' THEN + ASSERT (FALSE) + REPORT "Bank 2 is not Precharged" + SEVERITY WARNING; + ELSIF Ba = "11" AND Pc_b3 = '0' THEN + ASSERT (FALSE) + REPORT "Bank 3 is not Precharged" + SEVERITY WARNING; + END IF; + -- Active Bank A to Active Bank B + IF ((Previous_bank /= TO_BITVECTOR (Ba)) AND (NOW - RRD_chk < tRRD)) THEN + ASSERT (FALSE) + REPORT "tRRD violation during Activate" + SEVERITY WARNING; + END IF; + -- LMR to ACT + ASSERT (MRD_chk >= tMRD) + REPORT "tMRD violation during Activate" + SEVERITY WARNING; + -- AutoRefresh to Activate + ASSERT (NOW - RC_chk >= tRC) + REPORT "tRC violation during Activate" + SEVERITY WARNING; + -- Record variable for checking violation + RRD_chk := NOW; + Previous_bank := TO_BITVECTOR (Ba); + END IF; + + -- Precharge Block + IF Prech_enable = '1' THEN + IF Addr(10) = '1' THEN + Pc_b0 := '1'; + Pc_b1 := '1'; + Pc_b2 := '1'; + Pc_b3 := '1'; + Act_b0 := '0'; + Act_b1 := '0'; + Act_b2 := '0'; + Act_b3 := '0'; + RP_chk0 := NOW; + RP_chk1 := NOW; + RP_chk2 := NOW; + RP_chk3 := NOW; + -- Activate to Precharge all banks + ASSERT ((NOW - RAS_chk0 >= tRAS) OR (NOW - RAS_chk1 >= tRAS)) + REPORT "tRAS violation during Precharge all banks" + SEVERITY WARNING; + -- tWR violation check for Write + IF ((NOW - WR_chkp(0) < tWRp) OR (NOW - WR_chkp(1) < tWRp) OR + (NOW - WR_chkp(2) < tWRp) OR (NOW - WR_chkp(3) < tWRp)) THEN + ASSERT (FALSE) + REPORT "tWR violation during Precharge ALL banks" + SEVERITY WARNING; + END IF; + ELSIF Addr(10) = '0' THEN + IF Ba = "00" THEN + Pc_b0 := '1'; + Act_b0 := '0'; + RP_chk0 := NOW; + -- Activate to Precharge bank 0 + ASSERT (NOW - RAS_chk0 >= tRAS) + REPORT "tRAS violation during Precharge bank 0" + SEVERITY WARNING; + ELSIF Ba = "01" THEN + Pc_b1 := '1'; + Act_b1 := '0'; + RP_chk1 := NOW; + -- Activate to Precharge bank 1 + ASSERT (NOW - RAS_chk1 >= tRAS) + REPORT "tRAS violation during Precharge bank 1" + SEVERITY WARNING; + ELSIF Ba = "10" THEN + Pc_b2 := '1'; + Act_b2 := '0'; + RP_chk2 := NOW; + -- Activate to Precharge bank 2 + ASSERT (NOW - RAS_chk2 >= tRAS) + REPORT "tRAS violation during Precharge bank 2" + SEVERITY WARNING; + ELSIF Ba = "11" THEN + Pc_b3 := '1'; + Act_b3 := '0'; + RP_chk3 := NOW; + -- Activate to Precharge bank 3 + ASSERT (NOW - RAS_chk3 >= tRAS) + REPORT "tRAS violation during Precharge bank 3" + SEVERITY WARNING; + END IF; + -- tWR violation check for Write + ASSERT (NOW - WR_chkp(TO_INTEGER(Ba)) >= tWRp) + REPORT "tWR violation during Precharge" + SEVERITY WARNING; + END IF; + -- Terminate a Write Immediately (if same bank or all banks) + IF (Data_in_enable = '1' AND (Bank = TO_BITVECTOR(Ba) OR Addr(10) = '1')) THEN + Data_in_enable := '0'; + END IF; + -- Precharge Command Pipeline for READ + IF CAS_latency_3 = '1' THEN + Command(2) := PRECH; + Bank_precharge(2) := TO_BITVECTOR (Ba); + A10_precharge(2) := TO_BIT(Addr(10)); + ELSIF CAS_latency_2 = '1' THEN + Command(1) := PRECH; + Bank_precharge(1) := TO_BITVECTOR (Ba); + A10_precharge(1) := TO_BIT(Addr(10)); + END IF; + END IF; + + -- Burst Terminate + IF Burst_term = '1' THEN + -- Terminate a Write immediately + IF Data_in_enable = '1' THEN + Data_in_enable := '0'; + END IF; + -- Terminate a Read depend on CAS Latency + IF CAS_latency_3 = '1' THEN + Command(2) := BST; + ELSIF CAS_latency_2 = '1' THEN + Command(1) := BST; + END IF; + END IF; + + -- Read, Write, Column Latch + IF Read_enable = '1' OR Write_enable = '1' THEN + -- Check to see if bank is open (ACT) for Read or Write + IF ((Ba="00" AND Pc_b0='1') OR (Ba="01" AND Pc_b1='1') OR (Ba="10" AND Pc_b2='1') OR (Ba="11" AND Pc_b3='1')) THEN + ASSERT (FALSE) + REPORT "Cannot Read or Write - Bank is not Activated" + SEVERITY WARNING; + END IF; + -- Activate to Read or Write + IF Ba = "00" THEN + ASSERT (NOW - RCD_chk0 >= tRCD) + REPORT "tRCD violation during Read or Write to Bank 0" + SEVERITY WARNING; + ELSIF Ba = "01" THEN + ASSERT (NOW - RCD_chk1 >= tRCD) + REPORT "tRCD violation during Read or Write to Bank 1" + SEVERITY WARNING; + ELSIF Ba = "10" THEN + ASSERT (NOW - RCD_chk2 >= tRCD) + REPORT "tRCD violation during Read or Write to Bank 2" + SEVERITY WARNING; + ELSIF Ba = "11" THEN + ASSERT (NOW - RCD_chk3 >= tRCD) + REPORT "tRCD violation during Read or Write to Bank 3" + SEVERITY WARNING; + END IF; + + -- Read Command + IF Read_enable = '1' THEN + -- CAS Latency Pipeline + IF Cas_latency_3 = '1' THEN + IF Addr(10) = '1' THEN + Command(2) := READ_A; + ELSE + Command(2) := READ; + END IF; + Col_addr (2) := TO_BITVECTOR (Addr(col_bits - 1 DOWNTO 0)); + Bank_addr (2) := TO_BITVECTOR (Ba); + ELSIF Cas_latency_2 = '1' THEN + IF Addr(10) = '1' THEN + Command(1) := READ_A; + ELSE + Command(1) := READ; + END IF; + Col_addr (1) := TO_BITVECTOR (Addr(col_bits - 1 DOWNTO 0)); + Bank_addr (1) := TO_BITVECTOR (Ba); + END IF; + + -- Read intterupt a Write (terminate Write immediately) + IF Data_in_enable = '1' THEN + Data_in_enable := '0'; + END IF; + + -- Write Command + ELSIF Write_enable = '1' THEN + IF Addr(10) = '1' THEN + Command(0) := WRITE_A; + ELSE + Command(0) := WRITE; + END IF; + Col_addr (0) := TO_BITVECTOR (Addr(col_bits - 1 DOWNTO 0)); + Bank_addr (0) := TO_BITVECTOR (Ba); + + -- Write intterupt a Write (terminate Write immediately) + IF Data_in_enable = '1' THEN + Data_in_enable := '0'; + END IF; + + -- Write interrupt a Read (terminate Read immediately) + IF Data_out_enable = '1' THEN + Data_out_enable := '0'; + END IF; + END IF; + + -- Interrupt a Write with Auto Precharge + IF Auto_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' AND Write_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' THEN + RW_interrupt_write(TO_INTEGER(RW_Interrupt_Bank)) := '1'; + END IF; + + -- Interrupt a Read with Auto Precharge + IF Auto_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' AND Read_precharge(TO_INTEGER(RW_Interrupt_Bank)) = '1' THEN + RW_interrupt_read(TO_INTEGER(RW_Interrupt_Bank)) := '1'; + END IF; + + -- Read or Write with Auto Precharge + IF Addr(10) = '1' THEN + Auto_precharge (TO_INTEGER(Ba)) := '1'; + Count_precharge (TO_INTEGER(Ba)) := 0; + RW_Interrupt_Bank := TO_BitVector(Ba); + IF Read_enable = '1' THEN + Read_precharge (TO_INTEGER(Ba)) := '1'; + ELSIF Write_enable = '1' THEN + Write_precharge (TO_INTEGER(Ba)) := '1'; + END IF; + END IF; + END IF; + + -- Read with AutoPrecharge Calculation + -- The device start internal precharge when: + -- 1. BL/2 cycles after command + -- and 2. Meet tRAS requirement + -- or 3. Interrupt by a Read or Write (with or without Auto Precharge) + IF ((Auto_precharge(0) = '1') AND (Read_precharge(0) = '1')) THEN + IF (((NOW - RAS_chk0 >= tRAS) AND + ((Burst_length_1 = '1' AND Count_precharge(0) >= 1) OR + (Burst_length_2 = '1' AND Count_precharge(0) >= 2) OR + (Burst_length_4 = '1' AND Count_precharge(0) >= 4) OR + (Burst_length_8 = '1' AND Count_precharge(0) >= 8))) OR + (RW_interrupt_read(0) = '1')) THEN + Pc_b0 := '1'; + Act_b0 := '0'; + RP_chk0 := NOW; + Auto_precharge(0) := '0'; + Read_precharge(0) := '0'; + RW_interrupt_read(0) := '0'; + END IF; + END IF; + IF ((Auto_precharge(1) = '1') AND (Read_precharge(1) = '1')) THEN + IF (((NOW - RAS_chk1 >= tRAS) AND + ((Burst_length_1 = '1' AND Count_precharge(1) >= 1) OR + (Burst_length_2 = '1' AND Count_precharge(1) >= 2) OR + (Burst_length_4 = '1' AND Count_precharge(1) >= 4) OR + (Burst_length_8 = '1' AND Count_precharge(1) >= 8))) OR + (RW_interrupt_read(1) = '1')) THEN + Pc_b1 := '1'; + Act_b1 := '0'; + RP_chk1 := NOW; + Auto_precharge(1) := '0'; + Read_precharge(1) := '0'; + RW_interrupt_read(1) := '0'; + END IF; + END IF; + IF ((Auto_precharge(2) = '1') AND (Read_precharge(2) = '1')) THEN + IF (((NOW - RAS_chk2 >= tRAS) AND + ((Burst_length_1 = '1' AND Count_precharge(2) >= 1) OR + (Burst_length_2 = '1' AND Count_precharge(2) >= 2) OR + (Burst_length_4 = '1' AND Count_precharge(2) >= 4) OR + (Burst_length_8 = '1' AND Count_precharge(2) >= 8))) OR + (RW_interrupt_read(2) = '1')) THEN + Pc_b2 := '1'; + Act_b2 := '0'; + RP_chk2 := NOW; + Auto_precharge(2) := '0'; + Read_precharge(2) := '0'; + RW_interrupt_read(2) := '0'; + END IF; + END IF; + IF ((Auto_precharge(3) = '1') AND (Read_precharge(3) = '1')) THEN + IF (((NOW - RAS_chk3 >= tRAS) AND + ((Burst_length_1 = '1' AND Count_precharge(3) >= 1) OR + (Burst_length_2 = '1' AND Count_precharge(3) >= 2) OR + (Burst_length_4 = '1' AND Count_precharge(3) >= 4) OR + (Burst_length_8 = '1' AND Count_precharge(3) >= 8))) OR + (RW_interrupt_read(3) = '1')) THEN + Pc_b3 := '1'; + Act_b3 := '0'; + RP_chk3 := NOW; + Auto_precharge(3) := '0'; + Read_precharge(3) := '0'; + RW_interrupt_read(3) := '0'; + END IF; + END IF; + + -- Internal Precharge or Bst + IF Command(0) = PRECH THEN -- PRECH terminate a read if same bank or all banks + IF Bank_precharge(0) = Bank OR A10_precharge(0) = '1' THEN + IF Data_out_enable = '1' THEN + Data_out_enable := '0'; + END IF; + END IF; + ELSIF Command(0) = BST THEN -- BST terminate a read regardless of bank + IF Data_out_enable = '1' THEN + Data_out_enable := '0'; + END IF; + END IF; + + IF Data_out_enable = '0' THEN + Dq <= TRANSPORT (OTHERS => 'Z') AFTER tOH; + END IF; + + -- Detect Read or Write Command + IF Command(0) = READ OR Command(0) = READ_A THEN + Bank := Bank_addr (0); + Col := Col_addr (0); + Col_brst := Col_addr (0); + IF Bank_addr (0) = "00" THEN + Row := B0_row_addr; + ELSIF Bank_addr (0) = "01" THEN + Row := B1_row_addr; + ELSIF Bank_addr (0) = "10" THEN + Row := B2_row_addr; + ELSE + Row := B3_row_addr; + END IF; + Burst_counter := 0; + Data_in_enable := '0'; + Data_out_enable := '1'; + ELSIF Command(0) = WRITE OR Command(0) = WRITE_A THEN + Bank := Bank_addr(0); + Col := Col_addr(0); + Col_brst := Col_addr(0); + IF Bank_addr (0) = "00" THEN + Row := B0_row_addr; + ELSIF Bank_addr (0) = "01" THEN + Row := B1_row_addr; + ELSIF Bank_addr (0) = "10" THEN + Row := B2_row_addr; + ELSE + Row := B3_row_addr; + END IF; + Burst_counter := 0; + Data_in_enable := '1'; + Data_out_enable := '0'; + END IF; + + -- DQ (Driver / Receiver) + Row_index := TO_INTEGER (Row); + Col_index := TO_INTEGER (Col); + IF Data_in_enable = '1' THEN + IF Dqm /= "11" THEN + Init_mem (Bank, Row_index); + IF Bank = "00" THEN + Dq_temp := Bank0 (Row_index) (Col_index); + IF Dqm = "01" THEN + Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); + ELSIF Dqm = "10" THEN + Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); + ELSE + Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); + END IF; + Bank0 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); + ELSIF Bank = "01" THEN + Dq_temp := Bank1 (Row_index) (Col_index); + IF Dqm = "01" THEN + Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); + ELSIF Dqm = "10" THEN + Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); + ELSE + Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); + END IF; + Bank1 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); + ELSIF Bank = "10" THEN + Dq_temp := Bank2 (Row_index) (Col_index); + IF Dqm = "01" THEN + Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); + ELSIF Dqm = "10" THEN + Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); + ELSE + Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); + END IF; + Bank2 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); + ELSIF Bank = "11" THEN + Dq_temp := Bank3 (Row_index) (Col_index); + IF Dqm = "01" THEN + Dq_temp (15 DOWNTO 8) := TO_BITVECTOR (Dq (15 DOWNTO 8)); + ELSIF Dqm = "10" THEN + Dq_temp (7 DOWNTO 0) := TO_BITVECTOR (Dq (7 DOWNTO 0)); + ELSE + Dq_temp (15 DOWNTO 0) := TO_BITVECTOR (Dq (15 DOWNTO 0)); + END IF; + Bank3 (Row_index) (Col_index) := ('1' & Dq_temp(data_bits - 1 DOWNTO 0)); + END IF; + WR_chkp(TO_INTEGER(Bank)) := NOW; + WR_counter(TO_INTEGER(Bank)) := 0; + END IF; + Burst_decode; + ELSIF Data_out_enable = '1' THEN + IF Dqm_reg0 /= "11" THEN + Init_mem (Bank, Row_index); + IF Bank = "00" THEN + Dq_temp := Bank0 (Row_index) (Col_index); + IF Dqm_reg0 = "00" THEN + Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; + ELSIF Dqm_reg0 = "01" THEN + Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + ELSIF Dqm_reg0 = "10" THEN + Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; + END IF; + ELSIF Bank = "01" THEN + Dq_temp := Bank1 (Row_index) (Col_index); + IF Dqm_reg0 = "00" THEN + Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; + ELSIF Dqm_reg0 = "01" THEN + Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + ELSIF Dqm_reg0 = "10" THEN + Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; + END IF; + ELSIF Bank = "10" THEN + Dq_temp := Bank2 (Row_index) (Col_index); + IF Dqm_reg0 = "00" THEN + Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; + ELSIF Dqm_reg0 = "01" THEN + Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + ELSIF Dqm_reg0 = "10" THEN + Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; + END IF; + ELSIF Bank = "11" THEN + Dq_temp := Bank3 (Row_index) (Col_index); + IF Dqm_reg0 = "00" THEN + Dq (15 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 0)) AFTER tAC; + ELSIF Dqm_reg0 = "01" THEN + Dq (15 DOWNTO 8) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (15 DOWNTO 8)) AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + ELSIF Dqm_reg0 = "10" THEN + Dq (15 DOWNTO 8) <= TRANSPORT (OTHERS => 'Z') AFTER tAC; + Dq (7 DOWNTO 0) <= TRANSPORT TO_STDLOGICVECTOR (Dq_temp (7 DOWNTO 0)) AFTER tAC; + END IF; + END IF; + ELSE + Dq <= TRANSPORT (OTHERS => 'Z') AFTER tHZ; + END IF; + Burst_decode; + END IF; + ELSIF Sys_clk'event AND Sys_clk = '1' AND Load = '1' AND Dump = '0' THEN --' + Operation <= LOAD_FILE; + load := '0'; +-- ASSERT (FALSE) REPORT "Reading memory array from file. This operation may take several minutes. Please wait..." +-- SEVERITY NOTE; + WHILE NOT endfile(file_load) LOOP + readline(file_load, l); + read(l, ch); + if (ch /= 'S') or (ch /= 's') then + hexread(l, rectype); + hexread(l, reclen); + recaddr := (others => '0'); + case rectype is + when "0001" => + hexread(l, recaddr(15 downto 0)); + when "0010" => + hexread(l, recaddr(23 downto 0)); + when "0011" => + hexread(l, recaddr); + recaddr(31 downto 24) := (others => '0'); + when others => next; + end case; + if true then + hexread(l, recdata); + Bank_Load := recaddr(25 downto 24); + Rows_Load := recaddr(23 downto 11); + Cols_Load := recaddr(10 downto 2); + Init_Mem (Bank_Load, To_Integer(Rows_Load)); + + IF Bank_Load = "00" THEN + for i in 0 to 3 loop + Bank0 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); + end loop; + ELSIF Bank_Load = "01" THEN + for i in 0 to 3 loop + Bank1 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); + end loop; + ELSIF Bank_Load = "10" THEN + for i in 0 to 3 loop + Bank2 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); + end loop; + ELSIF Bank_Load = "11" THEN + for i in 0 to 3 loop + Bank3 (To_Integer(Rows_Load)) (To_Integer(Cols_Load)+i) := ('1' & recdata(i*32+index to i*32+index+15)); + end loop; + END IF; + + END IF; + END IF; + END LOOP; + ELSIF Sys_clk'event AND Sys_clk = '1' AND Load = '0' AND Dump = '1' THEN --' + Operation <= DUMP_FILE; + ASSERT (FALSE) REPORT "Writing memory array to file. This operation may take several minutes. Please wait..." + SEVERITY NOTE; + WRITE (l, string'("# Micron Technology, Inc. (FILE DUMP / MEMORY DUMP)")); --' + WRITELINE (file_dump, l); + WRITE (l, string'("# BA ROWS COLS DQ")); --' + WRITELINE (file_dump, l); + WRITE (l, string'("# -- ------------- --------- ----------------")); --' + WRITELINE (file_dump, l); + -- Dumping Bank 0 + FOR i IN 0 TO 2**addr_bits -1 LOOP + -- Check if ROW is NULL + IF Bank0 (i) /= NULL THEN + For j IN 0 TO 2**col_bits - 1 LOOP + -- Check if COL is NULL + NEXT WHEN Bank0 (i) (j) (data_bits) = '0'; + WRITE (l, string'("00"), right, 4); --' + WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); + WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); + WRITE (l, Bank0 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); + WRITELINE (file_dump, l); + END LOOP; + END IF; + END LOOP; + -- Dumping Bank 1 + FOR i IN 0 TO 2**addr_bits -1 LOOP + -- Check if ROW is NULL + IF Bank1 (i) /= NULL THEN + For j IN 0 TO 2**col_bits - 1 LOOP + -- Check if COL is NULL + NEXT WHEN Bank1 (i) (j) (data_bits) = '0'; + WRITE (l, string'("01"), right, 4); --' + WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); + WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); + WRITE (l, Bank1 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); + WRITELINE (file_dump, l); + END LOOP; + END IF; + END LOOP; + -- Dumping Bank 2 + FOR i IN 0 TO 2**addr_bits -1 LOOP + -- Check if ROW is NULL + IF Bank2 (i) /= NULL THEN + For j IN 0 TO 2**col_bits - 1 LOOP + -- Check if COL is NULL + NEXT WHEN Bank2 (i) (j) (data_bits) = '0'; + WRITE (l, string'("10"), right, 4); --' + WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); + WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); + WRITE (l, Bank2 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); + WRITELINE (file_dump, l); + END LOOP; + END IF; + END LOOP; + -- Dumping Bank 3 + FOR i IN 0 TO 2**addr_bits -1 LOOP + -- Check if ROW is NULL + IF Bank3 (i) /= NULL THEN + For j IN 0 TO 2**col_bits - 1 LOOP + -- Check if COL is NULL + NEXT WHEN Bank3 (i) (j) (data_bits) = '0'; + WRITE (l, string'("11"), right, 4); --' + WRITE (l, To_BitVector(Conv_Std_Logic_Vector(i, addr_bits)), right, addr_bits+1); + WRITE (l, To_BitVector(Conv_std_Logic_Vector(j, col_bits)), right, col_bits+1); + WRITE (l, Bank3 (i) (j) (data_bits -1 DOWNTO 0), right, data_bits+1); + WRITELINE (file_dump, l); + END LOOP; + END IF; + END LOOP; + END IF; + + -- Write with AutoPrecharge Calculation + -- The device start internal precharge when: + -- 1. tWR cycles after command + -- and 2. Meet tRAS requirement + -- or 3. Interrupt by a Read or Write (with or without Auto Precharge) + IF ((Auto_precharge(0) = '1') AND (Write_precharge(0) = '1')) THEN + IF (((NOW - RAS_chk0 >= tRAS) AND + (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(0) >= 1 AND NOW - Count_time(0) >= tWRa) OR + (Burst_length_2 = '1' AND Count_precharge(0) >= 2 AND NOW - Count_time(0) >= tWRa) OR + (Burst_length_4 = '1' AND Count_precharge(0) >= 4 AND NOW - Count_time(0) >= tWRa) OR + (Burst_length_8 = '1' AND Count_precharge(0) >= 8 AND NOW - Count_time(0) >= tWRa))) OR + (RW_interrupt_write(0) = '1' AND WR_counter(0) >= 1 AND NOW - WR_time(0) >= tWRa)) THEN + Auto_precharge(0) := '0'; + Write_precharge(0) := '0'; + RW_interrupt_write(0) := '0'; + Pc_b0 := '1'; + Act_b0 := '0'; + RP_chk0 := NOW; + ASSERT FALSE REPORT "Start Internal Precharge Bank 0" SEVERITY NOTE; + END IF; + END IF; + IF ((Auto_precharge(1) = '1') AND (Write_precharge(1) = '1')) THEN + IF (((NOW - RAS_chk1 >= tRAS) AND + (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(1) >= 1 AND NOW - Count_time(1) >= tWRa) OR + (Burst_length_2 = '1' AND Count_precharge(1) >= 2 AND NOW - Count_time(1) >= tWRa) OR + (Burst_length_4 = '1' AND Count_precharge(1) >= 4 AND NOW - Count_time(1) >= tWRa) OR + (Burst_length_8 = '1' AND Count_precharge(1) >= 8 AND NOW - Count_time(1) >= tWRa))) OR + (RW_interrupt_write(1) = '1' AND WR_counter(1) >= 1 AND NOW - WR_time(1) >= tWRa)) THEN + Auto_precharge(1) := '0'; + Write_precharge(1) := '0'; + RW_interrupt_write(1) := '0'; + Pc_b1 := '1'; + Act_b1 := '0'; + RP_chk1 := NOW; + END IF; + END IF; + IF ((Auto_precharge(2) = '1') AND (Write_precharge(2) = '1')) THEN + IF (((NOW - RAS_chk2 >= tRAS) AND + (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(2) >= 1 AND NOW - Count_time(2) >= tWRa) OR + (Burst_length_2 = '1' AND Count_precharge(2) >= 2 AND NOW - Count_time(2) >= tWRa) OR + (Burst_length_4 = '1' AND Count_precharge(2) >= 4 AND NOW - Count_time(2) >= tWRa) OR + (Burst_length_8 = '1' AND Count_precharge(2) >= 8 AND NOW - Count_time(2) >= tWRa))) OR + (RW_interrupt_write(2) = '1' AND WR_counter(2) >= 1 AND NOW - WR_time(2) >= tWRa)) THEN + Auto_precharge(2) := '0'; + Write_precharge(2) := '0'; + RW_interrupt_write(2) := '0'; + Pc_b2 := '1'; + Act_b2 := '0'; + RP_chk2 := NOW; + END IF; + END IF; + IF ((Auto_precharge(3) = '1') AND (Write_precharge(3) = '1')) THEN + IF (((NOW - RAS_chk3 >= tRAS) AND + (((Burst_length_1 = '1' OR Write_burst_mode = '1' ) AND Count_precharge(3) >= 1 AND NOW - Count_time(3) >= tWRa) OR + (Burst_length_2 = '1' AND Count_precharge(3) >= 2 AND NOW - Count_time(3) >= tWRa) OR + (Burst_length_4 = '1' AND Count_precharge(3) >= 4 AND NOW - Count_time(3) >= tWRa) OR + (Burst_length_8 = '1' AND Count_precharge(3) >= 8 AND NOW - Count_time(3) >= tWRa))) OR + (RW_interrupt_write(0) = '1' AND WR_counter(0) >= 1 AND NOW - WR_time(3) >= tWRa)) THEN + Auto_precharge(3) := '0'; + Write_precharge(3) := '0'; + RW_interrupt_write(3) := '0'; + Pc_b3 := '1'; + Act_b3 := '0'; + RP_chk3 := NOW; + END IF; + END IF; + + -- Checking internal wires (Optional for debug purpose) + Pre_chk (0) <= Pc_b0; + Pre_chk (1) <= Pc_b1; + Pre_chk (2) <= Pc_b2; + Pre_chk (3) <= Pc_b3; + Act_chk (0) <= Act_b0; + Act_chk (1) <= Act_b1; + Act_chk (2) <= Act_b2; + Act_chk (3) <= Act_b3; + Dq_in_chk <= Data_in_enable; + Dq_out_chk <= Data_out_enable; + Bank_chk <= Bank; + Row_chk <= Row; + Col_chk <= Col; + END PROCESS; + + + -- Clock timing checks +-- Clock_check : PROCESS +-- VARIABLE Clk_low, Clk_high : TIME := 0 ns; +-- BEGIN +-- WAIT ON Clk; +-- IF (Clk = '1' AND NOW >= 10 ns) THEN +-- ASSERT (NOW - Clk_low >= tCL) +-- REPORT "tCL violation" +-- SEVERITY WARNING; +-- ASSERT (NOW - Clk_high >= tCK) +-- REPORT "tCK violation" +-- SEVERITY WARNING; +-- Clk_high := NOW; +-- ELSIF (Clk = '0' AND NOW /= 0 ns) THEN +-- ASSERT (NOW - Clk_high >= tCH) +-- REPORT "tCH violation" +-- SEVERITY WARNING; +-- Clk_low := NOW; +-- END IF; +-- END PROCESS; + + -- Setup timing checks + Setup_check : PROCESS + BEGIN + wait; + WAIT ON Clk; + IF Clk = '1' THEN + ASSERT(Cke'LAST_EVENT >= tCKS) --' + REPORT "CKE Setup time violation -- tCKS" + SEVERITY WARNING; + ASSERT(Cs_n'LAST_EVENT >= tCMS) --' + REPORT "CS# Setup time violation -- tCMS" + SEVERITY WARNING; + ASSERT(Cas_n'LAST_EVENT >= tCMS) --' + REPORT "CAS# Setup time violation -- tCMS" + SEVERITY WARNING; + ASSERT(Ras_n'LAST_EVENT >= tCMS) --' + REPORT "RAS# Setup time violation -- tCMS" + SEVERITY WARNING; + ASSERT(We_n'LAST_EVENT >= tCMS) --' + REPORT "WE# Setup time violation -- tCMS" + SEVERITY WARNING; + ASSERT(Dqm'LAST_EVENT >= tCMS) --' + REPORT "Dqm Setup time violation -- tCMS" + SEVERITY WARNING; + ASSERT(Addr'LAST_EVENT >= tAS) --' + REPORT "ADDR Setup time violation -- tAS" + SEVERITY WARNING; + ASSERT(Ba'LAST_EVENT >= tAS) --' + REPORT "BA Setup time violation -- tAS" + SEVERITY WARNING; + ASSERT(Dq'LAST_EVENT >= tDS) --' + REPORT "Dq Setup time violation -- tDS" + SEVERITY WARNING; + END IF; + END PROCESS; + + -- Hold timing checks + Hold_check : PROCESS + BEGIN + wait; + WAIT ON Clk'DELAYED (tCKH), Clk'DELAYED (tCMH), Clk'DELAYED (tAH), Clk'DELAYED (tDH); + IF Clk'DELAYED (tCKH) = '1' THEN --' + ASSERT(Cke'LAST_EVENT > tCKH) --' + REPORT "CKE Hold time violation -- tCKH" + SEVERITY WARNING; + END IF; + IF Clk'DELAYED (tCMH) = '1' THEN --' + ASSERT(Cs_n'LAST_EVENT > tCMH) --' + REPORT "CS# Hold time violation -- tCMH" + SEVERITY WARNING; + ASSERT(Cas_n'LAST_EVENT > tCMH) --' + REPORT "CAS# Hold time violation -- tCMH" + SEVERITY WARNING; + ASSERT(Ras_n'LAST_EVENT > tCMH) --' + REPORT "RAS# Hold time violation -- tCMH" + SEVERITY WARNING; + ASSERT(We_n'LAST_EVENT > tCMH) --' + REPORT "WE# Hold time violation -- tCMH" + SEVERITY WARNING; + ASSERT(Dqm'LAST_EVENT > tCMH) --' + REPORT "Dqm Hold time violation -- tCMH" + SEVERITY WARNING; + END IF; + IF Clk'DELAYED (tAH) = '1' THEN --' + ASSERT(Addr'LAST_EVENT > tAH) --' + REPORT "ADDR Hold time violation -- tAH" + SEVERITY WARNING; + ASSERT(Ba'LAST_EVENT > tAH) --' + REPORT "BA Hold time violation -- tAH" + SEVERITY WARNING; + END IF; + IF Clk'DELAYED (tDH) = '1' THEN --' + ASSERT(Dq'LAST_EVENT > tDH) --' + REPORT "Dq Hold time violation -- tDH" + SEVERITY WARNING; + END IF; + END PROCESS; + +END ARCHITECTURE sim; + diff --git a/Libs/Memory_test/hds/.hdlsidedata/_Flash_behav.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_Flash_behav.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_Flash_behav.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_bram_tester_test.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_bram_tester_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_bram_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_fifo_tester_test.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_fifo_tester_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_fifo_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_flashController_tester_test.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_flashController_tester_test.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_flashController_tester_test.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_flash_tester_test.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_flash_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_flash_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_mti_pkg_pkg.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_mti_pkg_pkg.vhd._fpf new file mode 100644 index 0000000..5732f99 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_mti_pkg_pkg.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_93 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_mti_pkg_pkg_body.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_mti_pkg_pkg_body.vhd._fpf new file mode 100644 index 0000000..5732f99 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_mti_pkg_pkg_body.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_93 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_sdramController_tester_test.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_sdramController_tester_test.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_sdramController_tester_test.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Memory_test/hds/.hdlsidedata/_sdram_mt48lc16m16a2_sim.vhd._fpf b/Libs/Memory_test/hds/.hdlsidedata/_sdram_mt48lc16m16a2_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Memory_test/hds/.hdlsidedata/_sdram_mt48lc16m16a2_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Memory_test/hds/_bram_tb._epf b/Libs/Memory_test/hds/_bram_tb._epf new file mode 100644 index 0000000..174551f --- /dev/null +++ b/Libs/Memory_test/hds/_bram_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom bram_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Memory_test/hds/_bram_tester._epf b/Libs/Memory_test/hds/_bram_tester._epf new file mode 100644 index 0000000..559360f --- /dev/null +++ b/Libs/Memory_test/hds/_bram_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom bram_tester_test.vhd diff --git a/Libs/Memory_test/hds/_fifo_tb._epf b/Libs/Memory_test/hds/_fifo_tb._epf new file mode 100644 index 0000000..1b086f1 --- /dev/null +++ b/Libs/Memory_test/hds/_fifo_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom fifo_tb/struct.bd +TOP_MARKER atom 1 diff --git a/Libs/Memory_test/hds/_flash_28f128j3a._epf b/Libs/Memory_test/hds/_flash_28f128j3a._epf new file mode 100644 index 0000000..ef7aef2 --- /dev/null +++ b/Libs/Memory_test/hds/_flash_28f128j3a._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom Flash_behav.vhd +DEFAULT_ARCHITECTURE atom behav diff --git a/Libs/Memory_test/hds/_flash_tb._epf b/Libs/Memory_test/hds/_flash_tb._epf new file mode 100644 index 0000000..99c6d2b --- /dev/null +++ b/Libs/Memory_test/hds/_flash_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom flash_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Memory_test/hds/_flash_tester._epf b/Libs/Memory_test/hds/_flash_tester._epf new file mode 100644 index 0000000..a7aa7e2 --- /dev/null +++ b/Libs/Memory_test/hds/_flash_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom flash_tester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/Libs/Memory_test/hds/_flashcontroller_tb._epf b/Libs/Memory_test/hds/_flashcontroller_tb._epf new file mode 100644 index 0000000..3f59750 --- /dev/null +++ b/Libs/Memory_test/hds/_flashcontroller_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom flash@controller_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Memory_test/hds/_flashcontroller_tester._epf b/Libs/Memory_test/hds/_flashcontroller_tester._epf new file mode 100644 index 0000000..d81ef79 --- /dev/null +++ b/Libs/Memory_test/hds/_flashcontroller_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom test +DEFAULT_FILE atom flashController_tester_test.vhd diff --git a/Libs/Memory_test/hds/_sdramcontroller_tb._epf b/Libs/Memory_test/hds/_sdramcontroller_tb._epf new file mode 100644 index 0000000..318858b --- /dev/null +++ b/Libs/Memory_test/hds/_sdramcontroller_tb._epf @@ -0,0 +1,3 @@ +DEFAULT_FILE atom sdram@controller_tb/struct.bd +DEFAULT_ARCHITECTURE atom struct +TOP_MARKER atom 1 diff --git a/Libs/Memory_test/hds/_sdramcontroller_tester._epf b/Libs/Memory_test/hds/_sdramcontroller_tester._epf new file mode 100644 index 0000000..cd1908b --- /dev/null +++ b/Libs/Memory_test/hds/_sdramcontroller_tester._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom sdramController_tester_test.vhd +DEFAULT_ARCHITECTURE atom test diff --git a/Libs/Memory_test/hds/bram_tb/struct.bd b/Libs/Memory_test/hds/bram_tb/struct.bd new file mode 100644 index 0000000..cc5de3c --- /dev/null +++ b/Libs/Memory_test/hds/bram_tb/struct.bd @@ -0,0 +1,3661 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I1" +duLibraryName "memory_test" +duName "bram_tester" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 1774,0 +) +(Instance +name "I0" +duLibraryName "memory" +duName "bramDualportWritefirst" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "initFile" +type "string" +value "\"U:/ELN_board/Simulation/bramInit.txt\"" +) +] +mwi 0 +uid 5605,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "bram_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "bram_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "bram_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Grouping +uid 1487,0 +optionalChildren [ +*2 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,77000,91000,79000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,77500,87800,78500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,77000,66000,79000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "47750,77350,58250,78650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,83000,66000,85000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,83500,61400,84500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,77000,72000,79000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,77500,71000,78500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,79000,66000,81000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,79500,61400,80500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,79000,45000,81000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,79500,43800,80500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,81000,45000,83000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,81500,43200,82500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,79000,91000,85000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,79200,79400,80200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,81000,66000,83000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,81500,61400,82500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,83000,45000,85000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,83500,44400,84500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "40000,77000,91000,85000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "11000,58000,71000,66000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 1777,0 +va (VaSet +font "courier,12,1" +) +xt "11600,65900,22200,67300" +st "memory_test" +blo "11600,67100" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 1778,0 +va (VaSet +font "courier,12,1" +) +xt "11600,67300,21600,68700" +st "bram_tester" +blo "11600,68500" +tm "BlkNameMgr" +) +*15 (Text +uid 1779,0 +va (VaSet +font "courier,12,1" +) +xt "11600,68700,14000,70100" +st "I1" +blo "11600,69900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +font "courier,9,0" +) +xt "11000,70800,34000,72600" +st "addressBitNb = addressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +) +*16 (Net +uid 5380,0 +lang 11 +decl (Decl +n "clockA" +t "std_ulogic" +o 3 +suid 55,0 +) +declText (MLText +uid 5381,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14500,900" +st "SIGNAL clockA : std_ulogic +" +) +) +*17 (Net +uid 5388,0 +lang 11 +decl (Decl +n "enA" +t "std_ulogic" +o 9 +suid 56,0 +) +declText (MLText +uid 5389,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14500,900" +st "SIGNAL enA : std_ulogic +" +) +) +*18 (Net +uid 5396,0 +lang 11 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 11 +suid 57,0 +) +declText (MLText +uid 5397,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14500,900" +st "SIGNAL writeEnA : std_ulogic +" +) +) +*19 (Net +uid 5440,0 +lang 11 +decl (Decl +n "clockB" +t "std_ulogic" +o 4 +suid 61,0 +) +declText (MLText +uid 5441,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14500,900" +st "SIGNAL clockB : std_ulogic +" +) +) +*20 (Net +uid 5448,0 +lang 11 +decl (Decl +n "enB" +t "std_ulogic" +o 10 +suid 62,0 +) +declText (MLText +uid 5449,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14500,900" +st "SIGNAL enB : std_ulogic +" +) +) +*21 (Net +uid 5456,0 +lang 11 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 12 +suid 63,0 +) +declText (MLText +uid 5457,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,14500,900" +st "SIGNAL writeEnB : std_ulogic +" +) +) +*22 (SaComponent +uid 5605,0 +optionalChildren [ +*23 (CptPort +uid 5557,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5558,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,47625,33000,48375" +) +tg (CPTG +uid 5559,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5560,0 +va (VaSet +) +xt "34000,47500,36600,48500" +st "clockA" +blo "34000,48300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "clockA" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*24 (CptPort +uid 5561,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5562,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,45625,33000,46375" +) +tg (CPTG +uid 5563,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5564,0 +va (VaSet +) +xt "34000,45500,35700,46500" +st "enA" +blo "34000,46300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "enA" +t "std_ulogic" +o 2 +suid 3,0 +) +) +) +*25 (CptPort +uid 5565,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5566,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,41625,33000,42375" +) +tg (CPTG +uid 5567,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5568,0 +va (VaSet +) +xt "34000,41500,37400,42500" +st "writeEnA" +blo "34000,42300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 3 +suid 4,0 +) +) +) +*26 (CptPort +uid 5569,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5570,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,35625,33000,36375" +) +tg (CPTG +uid 5571,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5572,0 +va (VaSet +) +xt "34000,35500,37600,36500" +st "addressA" +blo "34000,36300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 4 +suid 5,0 +) +) +) +*27 (CptPort +uid 5573,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5574,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,39625,33000,40375" +) +tg (CPTG +uid 5575,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5576,0 +va (VaSet +) +xt "34000,39500,36900,40500" +st "dataInA" +blo "34000,40300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 6,0 +) +) +) +*28 (CptPort +uid 5577,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5578,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,37625,33000,38375" +) +tg (CPTG +uid 5579,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5580,0 +va (VaSet +) +xt "34000,37500,37500,38500" +st "dataOutA" +blo "34000,38300" +) +) +thePort (LogicalPort +lang 10 +m 1 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 6 +suid 7,0 +) +) +) +*29 (CptPort +uid 5581,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5582,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,47625,49750,48375" +) +tg (CPTG +uid 5583,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5584,0 +va (VaSet +) +xt "45400,47500,48000,48500" +st "clockB" +ju 2 +blo "48000,48300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "clockB" +t "std_ulogic" +o 7 +suid 8,0 +) +) +) +*30 (CptPort +uid 5585,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5586,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,45625,49750,46375" +) +tg (CPTG +uid 5587,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5588,0 +va (VaSet +) +xt "46300,45500,48000,46500" +st "enB" +ju 2 +blo "48000,46300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "enB" +t "std_ulogic" +o 8 +suid 10,0 +) +) +) +*31 (CptPort +uid 5589,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5590,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,41625,49750,42375" +) +tg (CPTG +uid 5591,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5592,0 +va (VaSet +) +xt "44600,41500,48000,42500" +st "writeEnB" +ju 2 +blo "48000,42300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 9 +suid 11,0 +) +) +) +*32 (CptPort +uid 5593,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5594,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,35625,49750,36375" +) +tg (CPTG +uid 5595,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5596,0 +va (VaSet +) +xt "44400,35500,48000,36500" +st "addressB" +ju 2 +blo "48000,36300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 12,0 +) +) +) +*33 (CptPort +uid 5597,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5598,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,39625,49750,40375" +) +tg (CPTG +uid 5599,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5600,0 +va (VaSet +) +xt "45100,39500,48000,40500" +st "dataInB" +ju 2 +blo "48000,40300" +) +) +thePort (LogicalPort +lang 10 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 13,0 +) +) +) +*34 (CptPort +uid 5601,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5602,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49000,37625,49750,38375" +) +tg (CPTG +uid 5603,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5604,0 +va (VaSet +) +xt "44500,37500,48000,38500" +st "dataOutB" +ju 2 +blo "48000,38300" +) +) +thePort (LogicalPort +lang 10 +m 1 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 14,0 +) +) +) +] +shape (Rectangle +uid 5606,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,32000,49000,50000" +) +oxt "39000,11000,55000,29000" +ttg (MlTextGroup +uid 5607,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 5608,0 +va (VaSet +font "courier,8,1" +) +xt "33200,50000,36500,51000" +st "memory" +blo "33200,50800" +tm "BdLibraryNameMgr" +) +*36 (Text +uid 5609,0 +va (VaSet +font "courier,8,1" +) +xt "33200,51000,43200,52000" +st "bramDualportWritefirst" +blo "33200,51800" +tm "CptNameMgr" +) +*37 (Text +uid 5610,0 +va (VaSet +font "courier,8,1" +) +xt "33200,52000,34200,53000" +st "I0" +blo "33200,52800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 5611,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 5612,0 +text (MLText +uid 5613,0 +va (VaSet +font "courier,8,0" +) +xt "33000,53000,69000,55700" +st "addressBitNb = addressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) +initFile = \"U:/ELN_board/Simulation/bramInit.txt\" ( string ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "initFile" +type "string" +value "\"U:/ELN_board/Simulation/bramInit.txt\"" +) +] +) +ordering 1 +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*38 (Net +uid 5614,0 +lang 11 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 67,0 +) +declText (MLText +uid 5615,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,29000,900" +st "SIGNAL dataInB : std_ulogic_vector(dataBitNb-1 DOWNTO 0) +" +) +) +*39 (Net +uid 5622,0 +lang 11 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 68,0 +) +declText (MLText +uid 5623,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,29000,900" +st "SIGNAL dataOutB : std_ulogic_vector(dataBitNb-1 DOWNTO 0) +" +) +) +*40 (Net +uid 5630,0 +lang 11 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 69,0 +) +declText (MLText +uid 5631,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,30500,900" +st "SIGNAL addressB : std_ulogic_vector(addressBitNb-1 DOWNTO 0) +" +) +) +*41 (Net +uid 5638,0 +lang 11 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 70,0 +) +declText (MLText +uid 5639,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,29000,900" +st "SIGNAL dataInA : std_ulogic_vector(dataBitNb-1 DOWNTO 0) +" +) +) +*42 (Net +uid 5646,0 +lang 11 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 7 +suid 71,0 +) +declText (MLText +uid 5647,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,29000,900" +st "SIGNAL dataOutA : std_ulogic_vector(dataBitNb-1 DOWNTO 0) +" +) +) +*43 (Net +uid 5654,0 +lang 11 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 72,0 +) +declText (MLText +uid 5655,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,30500,900" +st "SIGNAL addressA : std_ulogic_vector(addressBitNb-1 DOWNTO 0) +" +) +) +*44 (Wire +uid 5382,0 +shape (OrthoPolyLine +uid 5383,0 +va (VaSet +vasetType 3 +) +xt "31000,48000,32250,58000" +pts [ +"32250,48000" +"31000,48000" +"31000,58000" +] +) +start &23 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5386,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5387,0 +va (VaSet +font "courier,12,0" +) +xt "26250,46600,30450,47900" +st "clockA" +blo "26250,47600" +tm "WireNameMgr" +) +) +on &16 +) +*45 (Wire +uid 5390,0 +shape (OrthoPolyLine +uid 5391,0 +va (VaSet +vasetType 3 +) +xt "29000,46000,32250,58000" +pts [ +"32250,46000" +"29000,46000" +"29000,58000" +] +) +start &24 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5394,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5395,0 +va (VaSet +font "courier,12,0" +) +xt "28250,44600,30350,45900" +st "enA" +blo "28250,45600" +tm "WireNameMgr" +) +) +on &17 +) +*46 (Wire +uid 5398,0 +shape (OrthoPolyLine +uid 5399,0 +va (VaSet +vasetType 3 +) +xt "25000,42000,32250,58000" +pts [ +"32250,42000" +"25000,42000" +"25000,58000" +] +) +start &25 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5402,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5403,0 +va (VaSet +font "courier,12,0" +) +xt "25250,40600,30850,41900" +st "writeEnA" +blo "25250,41600" +tm "WireNameMgr" +) +) +on &18 +) +*47 (Wire +uid 5442,0 +shape (OrthoPolyLine +uid 5443,0 +va (VaSet +vasetType 3 +) +xt "49750,48000,51000,58000" +pts [ +"49750,48000" +"51000,48000" +"51000,58000" +] +) +start &29 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5446,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5447,0 +va (VaSet +font "courier,12,0" +) +xt "51750,46600,55950,47900" +st "clockB" +blo "51750,47600" +tm "WireNameMgr" +) +) +on &19 +) +*48 (Wire +uid 5450,0 +shape (OrthoPolyLine +uid 5451,0 +va (VaSet +vasetType 3 +) +xt "49750,46000,53000,58000" +pts [ +"49750,46000" +"53000,46000" +"53000,58000" +] +) +start &30 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5454,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5455,0 +va (VaSet +font "courier,12,0" +) +xt "51750,44600,53850,45900" +st "enB" +blo "51750,45600" +tm "WireNameMgr" +) +) +on &20 +) +*49 (Wire +uid 5458,0 +shape (OrthoPolyLine +uid 5459,0 +va (VaSet +vasetType 3 +) +xt "49750,42000,57000,58000" +pts [ +"49750,42000" +"57000,42000" +"57000,58000" +] +) +start &31 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5462,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5463,0 +va (VaSet +font "courier,12,0" +) +xt "51750,40600,57350,41900" +st "writeEnB" +blo "51750,41600" +tm "WireNameMgr" +) +) +on &21 +) +*50 (Wire +uid 5616,0 +shape (OrthoPolyLine +uid 5617,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "49750,40000,59000,58000" +pts [ +"49750,40000" +"59000,40000" +"59000,58000" +] +) +start &33 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5620,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5621,0 +va (VaSet +font "courier,12,0" +) +xt "51750,38600,56650,39900" +st "dataInB" +blo "51750,39600" +tm "WireNameMgr" +) +) +on &38 +) +*51 (Wire +uid 5624,0 +shape (OrthoPolyLine +uid 5625,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "49750,38000,61000,58000" +pts [ +"49750,38000" +"61000,38000" +"61000,58000" +] +) +start &34 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5628,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5629,0 +va (VaSet +font "courier,12,0" +) +xt "51750,36600,57350,37900" +st "dataOutB" +blo "51750,37600" +tm "WireNameMgr" +) +) +on &39 +) +*52 (Wire +uid 5632,0 +shape (OrthoPolyLine +uid 5633,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "49750,36000,63000,58000" +pts [ +"49750,36000" +"63000,36000" +"63000,58000" +] +) +start &32 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5636,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5637,0 +va (VaSet +font "courier,12,0" +) +xt "51750,34600,57350,35900" +st "addressB" +blo "51750,35600" +tm "WireNameMgr" +) +) +on &40 +) +*53 (Wire +uid 5640,0 +shape (OrthoPolyLine +uid 5641,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "23000,40000,32250,58000" +pts [ +"32250,40000" +"23000,40000" +"23000,58000" +] +) +start &27 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5644,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5645,0 +va (VaSet +font "courier,12,0" +) +xt "25250,38600,30150,39900" +st "dataInA" +blo "25250,39600" +tm "WireNameMgr" +) +) +on &41 +) +*54 (Wire +uid 5648,0 +shape (OrthoPolyLine +uid 5649,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "21000,38000,32250,58000" +pts [ +"32250,38000" +"21000,38000" +"21000,58000" +] +) +start &28 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5652,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5653,0 +va (VaSet +font "courier,12,0" +) +xt "24250,36600,29850,37900" +st "dataOutA" +blo "24250,37600" +tm "WireNameMgr" +) +) +on &42 +) +*55 (Wire +uid 5656,0 +shape (OrthoPolyLine +uid 5657,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "19000,36000,32250,58000" +pts [ +"32250,36000" +"19000,36000" +"19000,58000" +] +) +start &26 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +tg (WTG +uid 5660,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5661,0 +va (VaSet +font "courier,12,0" +) +xt "24250,34600,29850,35900" +st "addressA" +blo "24250,35600" +tm "WireNameMgr" +) +) +on &43 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *56 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +uid 1297,0 +va (VaSet +font "courier,12,0" +) +xt "-7000,19600,2500,21000" +st "Package List" +blo "-7000,20800" +) +*58 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,21000,11600,24000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*59 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*60 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*61 (MLText +uid 193,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32000,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*62 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*63 (MLText +uid 195,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*64 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*65 (MLText +uid 197,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "7,31,1392,967" +viewArea "-8439,18143,93001,87220" +cachedDiagramExtent "-7000,0,91000,85000" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +scale 75 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 5767,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3300,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,2550,7900,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*67 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,3950,7000,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*68 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,5350,3000,6750" +st "I0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*70 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*71 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*72 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*73 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*74 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*76 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*77 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*79 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*80 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*82 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,5100,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,1400,2700" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,12,0" +) +xt "0,0,6300,1300" +st "Auto list" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,12600,2700" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,17400,-400" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*84 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,10800,-400" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*86 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-7000,25800,1600,27000" +st "Declarations" +blo "-7000,26800" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27000,-2800,28200" +st "Ports:" +blo "-7000,28000" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-7000,27000,-1000,28200" +st "Pre User:" +blo "-7000,28000" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-5000,28200,19000,30200" +st "constant addressBitNb : positive := 12; +constant dataBitNb : positive := 16;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27000,4000,28200" +st "Diagram Signals:" +blo "-7000,28000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27000,300,28200" +st "Post User:" +blo "-7000,28000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-5000,41400,-5000,41400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 72,0 +usingSuid 1 +emptyRow *87 (LEmptyRow +) +uid 3310,0 +optionalChildren [ +*88 (RefLabelRowHdr +) +*89 (TitleRowHdr +) +*90 (FilterRowHdr +) +*91 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*92 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*93 (GroupColHdr +tm "GroupColHdrMgr" +) +*94 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*95 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*96 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*97 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*98 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*99 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*100 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "clockA" +t "std_ulogic" +o 3 +suid 55,0 +) +) +uid 5428,0 +) +*101 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "enA" +t "std_ulogic" +o 9 +suid 56,0 +) +) +uid 5430,0 +) +*102 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 11 +suid 57,0 +) +) +uid 5432,0 +) +*103 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "clockB" +t "std_ulogic" +o 4 +suid 61,0 +) +) +uid 5488,0 +) +*104 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "enB" +t "std_ulogic" +o 10 +suid 62,0 +) +) +uid 5490,0 +) +*105 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 12 +suid 63,0 +) +) +uid 5492,0 +) +*106 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 67,0 +) +) +uid 5662,0 +) +*107 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 68,0 +) +) +uid 5664,0 +) +*108 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 69,0 +) +) +uid 5666,0 +) +*109 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 70,0 +) +) +uid 5668,0 +) +*110 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 7 +suid 71,0 +) +) +uid 5670,0 +) +*111 (LeafLogPort +port (LogicalPort +lang 10 +m 4 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 72,0 +) +) +uid 5672,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3323,0 +optionalChildren [ +*112 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *113 (MRCItem +litem &87 +pos 12 +dimension 20 +) +uid 3325,0 +optionalChildren [ +*114 (MRCItem +litem &88 +pos 0 +dimension 20 +uid 3326,0 +) +*115 (MRCItem +litem &89 +pos 1 +dimension 23 +uid 3327,0 +) +*116 (MRCItem +litem &90 +pos 2 +hidden 1 +dimension 20 +uid 3328,0 +) +*117 (MRCItem +litem &100 +pos 0 +dimension 20 +uid 5429,0 +) +*118 (MRCItem +litem &101 +pos 1 +dimension 20 +uid 5431,0 +) +*119 (MRCItem +litem &102 +pos 2 +dimension 20 +uid 5433,0 +) +*120 (MRCItem +litem &103 +pos 3 +dimension 20 +uid 5489,0 +) +*121 (MRCItem +litem &104 +pos 4 +dimension 20 +uid 5491,0 +) +*122 (MRCItem +litem &105 +pos 5 +dimension 20 +uid 5493,0 +) +*123 (MRCItem +litem &106 +pos 6 +dimension 20 +uid 5663,0 +) +*124 (MRCItem +litem &107 +pos 7 +dimension 20 +uid 5665,0 +) +*125 (MRCItem +litem &108 +pos 8 +dimension 20 +uid 5667,0 +) +*126 (MRCItem +litem &109 +pos 9 +dimension 20 +uid 5669,0 +) +*127 (MRCItem +litem &110 +pos 10 +dimension 20 +uid 5671,0 +) +*128 (MRCItem +litem &111 +pos 11 +dimension 20 +uid 5673,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3329,0 +optionalChildren [ +*129 (MRCItem +litem &91 +pos 0 +dimension 20 +uid 3330,0 +) +*130 (MRCItem +litem &93 +pos 1 +dimension 50 +uid 3331,0 +) +*131 (MRCItem +litem &94 +pos 2 +dimension 100 +uid 3332,0 +) +*132 (MRCItem +litem &95 +pos 3 +dimension 50 +uid 3333,0 +) +*133 (MRCItem +litem &96 +pos 4 +dimension 100 +uid 3334,0 +) +*134 (MRCItem +litem &97 +pos 5 +dimension 100 +uid 3335,0 +) +*135 (MRCItem +litem &98 +pos 6 +dimension 50 +uid 3336,0 +) +*136 (MRCItem +litem &99 +pos 7 +dimension 80 +uid 3337,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3324,0 +vaOverrides [ +] +) +] +) +uid 3309,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *137 (LEmptyRow +) +uid 3339,0 +optionalChildren [ +*138 (RefLabelRowHdr +) +*139 (TitleRowHdr +) +*140 (FilterRowHdr +) +*141 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*142 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*143 (GroupColHdr +tm "GroupColHdrMgr" +) +*144 (NameColHdr +tm "GenericNameColHdrMgr" +) +*145 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*146 (InitColHdr +tm "GenericValueColHdrMgr" +) +*147 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*148 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3351,0 +optionalChildren [ +*149 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *150 (MRCItem +litem &137 +pos 0 +dimension 20 +) +uid 3353,0 +optionalChildren [ +*151 (MRCItem +litem &138 +pos 0 +dimension 20 +uid 3354,0 +) +*152 (MRCItem +litem &139 +pos 1 +dimension 23 +uid 3355,0 +) +*153 (MRCItem +litem &140 +pos 2 +hidden 1 +dimension 20 +uid 3356,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3357,0 +optionalChildren [ +*154 (MRCItem +litem &141 +pos 0 +dimension 20 +uid 3358,0 +) +*155 (MRCItem +litem &143 +pos 1 +dimension 50 +uid 3359,0 +) +*156 (MRCItem +litem &144 +pos 2 +dimension 100 +uid 3360,0 +) +*157 (MRCItem +litem &145 +pos 3 +dimension 100 +uid 3361,0 +) +*158 (MRCItem +litem &146 +pos 4 +dimension 50 +uid 3362,0 +) +*159 (MRCItem +litem &147 +pos 5 +dimension 50 +uid 3363,0 +) +*160 (MRCItem +litem &148 +pos 6 +dimension 80 +uid 3364,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3352,0 +vaOverrides [ +] +) +] +) +uid 3338,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Memory_test/hds/bram_tb/symbol.sb b/Libs/Memory_test/hds/bram_tb/symbol.sb new file mode 100644 index 0000000..abf403b --- /dev/null +++ b/Libs/Memory_test/hds/bram_tb/symbol.sb @@ -0,0 +1,1256 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "bram_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "bram_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "bram_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37150,22900" +st "Memory_test" +blo "29950,22700" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,22900,34150,23900" +st "bram_tb" +blo "29950,23700" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,27600,42500,28500" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41500,69800,42500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "29750,41350,40250,42650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47500,41000,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41500,53000,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43500,43400,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43500,25800,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45500,25200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,61400,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45500,43400,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47500,26400,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "courier,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "courier,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "0,5800,3000,6800" +st "User:" +blo "0,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,6800,2000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 134,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Libs/Memory_test/hds/bram_tester/interface b/Libs/Memory_test/hds/bram_tester/interface new file mode 100644 index 0000000..a2dd414 --- /dev/null +++ b/Libs/Memory_test/hds/bram_tester/interface @@ -0,0 +1,2134 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 60,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 137,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 49,0 +) +) +uid 646,0 +) +*15 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 50,0 +) +) +uid 648,0 +) +*16 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "clockA" +t "std_ulogic" +o 3 +suid 51,0 +) +) +uid 650,0 +) +*17 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "clockB" +t "std_ulogic" +o 4 +suid 52,0 +) +) +uid 652,0 +) +*18 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 53,0 +) +) +uid 654,0 +) +*19 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 54,0 +) +) +uid 656,0 +) +*20 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 7 +suid 55,0 +) +) +uid 658,0 +) +*21 (LogPort +port (LogicalPort +lang 11 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 56,0 +) +) +uid 660,0 +) +*22 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "enA" +t "std_ulogic" +o 9 +suid 57,0 +) +) +uid 662,0 +) +*23 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "enB" +t "std_ulogic" +o 10 +suid 58,0 +) +) +uid 664,0 +) +*24 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 11 +suid 59,0 +) +) +uid 666,0 +) +*25 (LogPort +port (LogicalPort +lang 11 +m 1 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 12 +suid 60,0 +) +) +uid 668,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*26 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *27 (MRCItem +litem &1 +pos 12 +dimension 20 +) +uid 152,0 +optionalChildren [ +*28 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 153,0 +) +*29 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 154,0 +) +*30 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*31 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 647,0 +) +*32 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 649,0 +) +*33 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 651,0 +) +*34 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 653,0 +) +*35 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 655,0 +) +*36 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 657,0 +) +*37 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 659,0 +) +*38 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 661,0 +) +*39 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 663,0 +) +*40 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 665,0 +) +*41 (MRCItem +litem &24 +pos 10 +dimension 20 +uid 667,0 +) +*42 (MRCItem +litem &25 +pos 11 +dimension 20 +uid 669,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*43 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 157,0 +) +*44 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 158,0 +) +*45 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 159,0 +) +*46 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 160,0 +) +*47 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 161,0 +) +*48 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 162,0 +) +*49 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 163,0 +) +*50 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 164,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 136,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *51 (LEmptyRow +) +uid 166,0 +optionalChildren [ +*52 (RefLabelRowHdr +) +*53 (TitleRowHdr +) +*54 (FilterRowHdr +) +*55 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*56 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*57 (GroupColHdr +tm "GroupColHdrMgr" +) +*58 (NameColHdr +tm "GenericNameColHdrMgr" +) +*59 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*60 (InitColHdr +tm "GenericValueColHdrMgr" +) +*61 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*62 (EolColHdr +tm "GenericEolColHdrMgr" +) +*63 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "8" +) +uid 215,0 +) +*64 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 217,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 178,0 +optionalChildren [ +*65 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *66 (MRCItem +litem &51 +pos 2 +dimension 20 +) +uid 180,0 +optionalChildren [ +*67 (MRCItem +litem &52 +pos 0 +dimension 20 +uid 181,0 +) +*68 (MRCItem +litem &53 +pos 1 +dimension 23 +uid 182,0 +) +*69 (MRCItem +litem &54 +pos 2 +hidden 1 +dimension 20 +uid 183,0 +) +*70 (MRCItem +litem &63 +pos 0 +dimension 20 +uid 216,0 +) +*71 (MRCItem +litem &64 +pos 1 +dimension 20 +uid 218,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 184,0 +optionalChildren [ +*72 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 185,0 +) +*73 (MRCItem +litem &57 +pos 1 +dimension 50 +uid 186,0 +) +*74 (MRCItem +litem &58 +pos 2 +dimension 100 +uid 187,0 +) +*75 (MRCItem +litem &59 +pos 3 +dimension 100 +uid 188,0 +) +*76 (MRCItem +litem &60 +pos 4 +dimension 50 +uid 189,0 +) +*77 (MRCItem +litem &61 +pos 5 +dimension 50 +uid 190,0 +) +*78 (MRCItem +litem &62 +pos 6 +dimension 80 +uid 191,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 179,0 +vaOverrides [ +] +) +] +) +uid 165,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "bram_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "memory_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "bram_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/bram_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "bram_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 135,0 +optionalChildren [ +*79 (SymbolBody +uid 8,0 +optionalChildren [ +*80 (CptPort +uid 586,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 587,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 588,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 589,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,11000" +st "addressA" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 590,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,76000,4700" +st "addressA : OUT std_ulogic_vector (addressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "addressA" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 49,0 +) +) +) +*81 (CptPort +uid 591,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 592,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66625,5250,67375,6000" +) +tg (CPTG +uid 593,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 594,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "66550,7000,67450,11000" +st "addressB" +ju 2 +blo "67250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 595,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,76000,5600" +st "addressB : OUT std_ulogic_vector (addressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "addressB" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 2 +suid 50,0 +) +) +) +*82 (CptPort +uid 596,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 597,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34625,5250,35375,6000" +) +tg (CPTG +uid 598,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 599,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "34550,7000,35450,10000" +st "clockA" +ju 2 +blo "35250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 600,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,60000,6500" +st "clockA : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clockA" +t "std_ulogic" +o 3 +suid 51,0 +) +) +) +*83 (CptPort +uid 601,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 602,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +tg (CPTG +uid 603,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 604,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "54550,7000,55450,10000" +st "clockB" +ju 2 +blo "55250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 605,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,60000,7400" +st "clockB : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "clockB" +t "std_ulogic" +o 4 +suid 52,0 +) +) +) +*84 (CptPort +uid 606,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 607,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 608,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 609,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,10500" +st "dataInA" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 610,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,74500,8300" +st "dataInA : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataInA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 5 +suid 53,0 +) +) +) +*85 (CptPort +uid 611,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 612,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62625,5250,63375,6000" +) +tg (CPTG +uid 613,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 614,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "62550,7000,63450,10500" +st "dataInB" +ju 2 +blo "63250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 615,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,74500,9200" +st "dataInB : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "dataInB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 54,0 +) +) +) +*86 (CptPort +uid 616,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 617,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 618,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 619,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "24550,7000,25450,11000" +st "dataOutA" +ju 2 +blo "25250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 620,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,74500,2900" +st "dataOutA : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "dataOutA" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +posAdd 0 +o 7 +suid 55,0 +) +) +) +*87 (CptPort +uid 621,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 622,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "64625,5250,65375,6000" +) +tg (CPTG +uid 623,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 624,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "64550,7000,65450,11000" +st "dataOutB" +ju 2 +blo "65250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 625,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,74500,3800" +st "dataOutB : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +lang 11 +decl (Decl +n "dataOutB" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 56,0 +) +) +) +*88 (CptPort +uid 626,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 627,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 628,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 629,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "32550,7000,33450,8500" +st "enA" +ju 2 +blo "33250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 630,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9200,60000,10100" +st "enA : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "enA" +t "std_ulogic" +o 9 +suid 57,0 +) +) +) +*89 (CptPort +uid 631,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 632,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 633,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 634,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "56550,7000,57450,8500" +st "enB" +ju 2 +blo "57250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 635,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,60000,11000" +st "enB : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "enB" +t "std_ulogic" +o 10 +suid 58,0 +) +) +) +*90 (CptPort +uid 636,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 637,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 638,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 639,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,11000" +st "writeEnA" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 640,0 +va (VaSet +font "courier,8,0" +) +xt "44000,11000,60000,11900" +st "writeEnA : OUT std_ulogic ; +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "writeEnA" +t "std_ulogic" +o 11 +suid 59,0 +) +) +) +*91 (CptPort +uid 641,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 642,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "60625,5250,61375,6000" +) +tg (CPTG +uid 643,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 644,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "60550,7000,61450,11000" +st "writeEnB" +ju 2 +blo "61250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 645,0 +va (VaSet +font "courier,8,0" +) +xt "44000,11900,59000,12800" +st "writeEnB : OUT std_ulogic +" +) +thePort (LogicalPort +lang 11 +m 1 +decl (Decl +n "writeEnB" +t "std_ulogic" +o 12 +suid 60,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,75000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "42000,9100,48000,10000" +st "memory_test" +blo "42000,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "42000,10000,48000,10900" +st "bram_tester" +blo "42000,10700" +) +) +gi *92 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "21000,6000,34000,9600" +st "Generic Declarations + +addressBitNb positive 8 +dataBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "8" +) +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*93 (Grouping +uid 16,0 +optionalChildren [ +*94 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*95 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*96 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*98 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*99 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*100 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*101 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*102 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*103 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *104 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*105 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*106 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1016,690" +viewArea "-500,-500,71230,48820" +cachedDiagramExtent "0,0,77000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Memory_test" +entityName "bram_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *107 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *108 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,12800,44500,13700" +st "User:" +blo "42000,13500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,13700,44000,13700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 669,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory_test/hds/fifo_tb/struct.bd b/Libs/Memory_test/hds/fifo_tb/struct.bd new file mode 100644 index 0000000..f02d952 --- /dev/null +++ b/Libs/Memory_test/hds/fifo_tb/struct.bd @@ -0,0 +1,3219 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I_tester" +duLibraryName "Memory_test" +duName "fifo_tester" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "fifoDepth" +type "positive" +value "fifoDepth" +) +] +mwi 0 +uid 1774,0 +) +(Instance +name "I_DUT" +duLibraryName "Memory" +duName "FIFO" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "depth" +type "positive" +value "fifoDepth" +) +] +mwi 0 +uid 5921,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifo_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifo_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ISE_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "fifo_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Grouping +uid 1487,0 +optionalChildren [ +*2 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,77000,91000,79000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,77500,87800,78500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,77000,66000,79000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "47750,77350,58250,78650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,83000,66000,85000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,83500,61400,84500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,77000,72000,79000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,77500,71000,78500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,79000,66000,81000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,79500,61400,80500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,79000,45000,81000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,79500,43800,80500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,81000,45000,83000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,81500,43200,82500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,79000,91000,85000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,79200,79400,80200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,81000,66000,83000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,81500,61400,82500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,83000,45000,85000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,83500,44400,84500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "40000,77000,91000,85000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "15000,58000,67000,66000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 1777,0 +va (VaSet +) +xt "15600,65900,23600,67100" +st "Memory_test" +blo "15600,66900" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 1778,0 +va (VaSet +) +xt "15600,67100,22100,68300" +st "fifo_tester" +blo "15600,68100" +tm "BlkNameMgr" +) +*15 (Text +uid 1779,0 +va (VaSet +) +xt "15600,68300,20400,69500" +st "I_tester" +blo "15600,69300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +) +xt "15000,70600,39000,72600" +st "dataBitNb = dataBitNb ( positive ) +fifoDepth = fifoDepth ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "fifoDepth" +type "positive" +value "fifoDepth" +) +] +) +viewicon (ZoomableIcon +uid 5954,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "15250,64250,16750,65750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +viewiconposition 0 +) +*16 (Net +uid 5809,0 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 73,0 +) +declText (MLText +uid 5810,0 +va (VaSet +isHidden 1 +) +xt "0,0,16800,1000" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 5817,0 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 74,0 +) +declText (MLText +uid 5818,0 +va (VaSet +isHidden 1 +) +xt "0,0,16800,1000" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 5825,0 +decl (Decl +n "write" +t "std_ulogic" +o 8 +suid 75,0 +) +declText (MLText +uid 5826,0 +va (VaSet +isHidden 1 +) +xt "0,0,16800,1000" +st "SIGNAL write : std_ulogic" +) +) +*19 (Net +uid 5833,0 +decl (Decl +n "full" +t "std_ulogic" +o 5 +suid 76,0 +) +declText (MLText +uid 5834,0 +va (VaSet +isHidden 1 +) +xt "0,0,16800,1000" +st "SIGNAL full : std_ulogic" +) +) +*20 (Net +uid 5841,0 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 77,0 +) +declText (MLText +uid 5842,0 +va (VaSet +isHidden 1 +) +xt "0,0,34200,1000" +st "SIGNAL dataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*21 (Net +uid 5849,0 +decl (Decl +n "read" +t "std_ulogic" +o 6 +suid 78,0 +) +declText (MLText +uid 5850,0 +va (VaSet +isHidden 1 +) +xt "0,0,16800,1000" +st "SIGNAL read : std_ulogic" +) +) +*22 (Net +uid 5857,0 +decl (Decl +n "empty" +t "std_ulogic" +o 4 +suid 79,0 +) +declText (MLText +uid 5858,0 +va (VaSet +isHidden 1 +) +xt "0,0,16800,1000" +st "SIGNAL empty : std_ulogic" +) +) +*23 (Net +uid 5865,0 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 80,0 +) +declText (MLText +uid 5866,0 +va (VaSet +isHidden 1 +) +xt "0,0,34200,1000" +st "SIGNAL dataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*24 (SaComponent +uid 5921,0 +optionalChildren [ +*25 (CptPort +uid 5889,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5890,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,41625,35000,42375" +) +tg (CPTG +uid 5891,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5892,0 +va (VaSet +) +xt "36000,41400,39100,42600" +st "write" +blo "36000,42400" +) +) +thePort (LogicalPort +decl (Decl +n "write" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*26 (CptPort +uid 5893,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5894,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,45625,35000,46375" +) +tg (CPTG +uid 5895,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5896,0 +va (VaSet +) +xt "36000,45400,39400,46600" +st "clock" +blo "36000,46400" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*27 (CptPort +uid 5897,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5898,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,47625,35000,48375" +) +tg (CPTG +uid 5899,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5900,0 +va (VaSet +) +xt "36000,47400,39300,48600" +st "reset" +blo "36000,48400" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*28 (CptPort +uid 5901,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5902,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,37625,51750,38375" +) +tg (CPTG +uid 5903,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5904,0 +va (VaSet +) +xt "45201,37400,50001,38600" +st "dataOut" +ju 2 +blo "50001,38400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 4,0 +) +) +) +*29 (CptPort +uid 5905,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5906,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,41625,51750,42375" +) +tg (CPTG +uid 5907,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5908,0 +va (VaSet +) +xt "47100,41400,50000,42600" +st "read" +ju 2 +blo "50000,42400" +) +) +thePort (LogicalPort +decl (Decl +n "read" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +*30 (CptPort +uid 5909,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5910,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,37625,35000,38375" +) +tg (CPTG +uid 5911,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5912,0 +va (VaSet +) +xt "35999,37400,39999,38600" +st "dataIn" +blo "35999,38400" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +*31 (CptPort +uid 5913,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5914,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,39625,51750,40375" +) +tg (CPTG +uid 5915,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5916,0 +va (VaSet +) +xt "46200,39400,50000,40600" +st "empty" +ju 2 +blo "50000,40400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "empty" +t "std_ulogic" +o 7 +suid 7,0 +) +) +) +*32 (CptPort +uid 5917,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5918,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,39625,35000,40375" +) +tg (CPTG +uid 5919,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5920,0 +va (VaSet +) +xt "36000,39400,38200,40600" +st "full" +blo "36000,40400" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "full" +t "std_ulogic" +o 8 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 5922,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,34000,51000,50000" +) +oxt "34000,12000,50000,28000" +ttg (MlTextGroup +uid 5923,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 5924,0 +va (VaSet +) +xt "35600,49800,40300,51000" +st "Memory" +blo "35600,50800" +tm "BdLibraryNameMgr" +) +*34 (Text +uid 5925,0 +va (VaSet +) +xt "35600,51000,38900,52200" +st "FIFO" +blo "35600,52000" +tm "CptNameMgr" +) +*35 (Text +uid 5926,0 +va (VaSet +) +xt "35600,52200,39700,53400" +st "I_DUT" +blo "35600,53200" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 5927,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 5928,0 +text (MLText +uid 5929,0 +va (VaSet +font "courier,8,0" +) +xt "35000,54000,55000,55800" +st "dataBitNb = dataBitNb ( positive ) +depth = fifoDepth ( positive ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "depth" +type "positive" +value "fifoDepth" +) +] +) +viewicon (ZoomableIcon +uid 5930,0 +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "35250,48250,36750,49750" +iconName "VhdlFileViewIcon.png" +iconMaskName "VhdlFileViewIcon.msk" +ftype 10 +) +ordering 1 +viewiconposition 0 +portVis (PortSigDisplay +sTC 0 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*36 (Wire +uid 5811,0 +shape (OrthoPolyLine +uid 5812,0 +va (VaSet +vasetType 3 +) +xt "33000,48000,34250,58000" +pts [ +"34250,48000" +"33000,48000" +"33000,58000" +] +) +start &27 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5815,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5816,0 +va (VaSet +font "courier,12,0" +) +xt "29250,46600,32750,47900" +st "reset" +blo "29250,47600" +tm "WireNameMgr" +) +) +on &16 +) +*37 (Wire +uid 5819,0 +shape (OrthoPolyLine +uid 5820,0 +va (VaSet +vasetType 3 +) +xt "31000,46000,34250,58000" +pts [ +"34250,46000" +"31000,46000" +"31000,58000" +] +) +start &26 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5823,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5824,0 +va (VaSet +font "courier,12,0" +) +xt "29250,44600,32750,45900" +st "clock" +blo "29250,45600" +tm "WireNameMgr" +) +) +on &17 +) +*38 (Wire +uid 5827,0 +shape (OrthoPolyLine +uid 5828,0 +va (VaSet +vasetType 3 +) +xt "27000,42000,34250,58000" +pts [ +"34250,42000" +"27000,42000" +"27000,58000" +] +) +start &25 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5831,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5832,0 +va (VaSet +font "courier,12,0" +) +xt "29250,40600,32750,41900" +st "write" +blo "29250,41600" +tm "WireNameMgr" +) +) +on &18 +) +*39 (Wire +uid 5835,0 +shape (OrthoPolyLine +uid 5836,0 +va (VaSet +vasetType 3 +) +xt "25000,40000,34250,58000" +pts [ +"34250,40000" +"25000,40000" +"25000,58000" +] +) +start &32 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5839,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5840,0 +va (VaSet +font "courier,12,0" +) +xt "30250,38600,33050,39900" +st "full" +blo "30250,39600" +tm "WireNameMgr" +) +) +on &19 +) +*40 (Wire +uid 5843,0 +shape (OrthoPolyLine +uid 5844,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "23000,38000,34250,58000" +pts [ +"34250,38000" +"23000,38000" +"23000,58000" +] +) +start &30 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5847,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5848,0 +va (VaSet +font "courier,12,0" +) +xt "28250,36600,32450,37900" +st "dataIn" +blo "28250,37600" +tm "WireNameMgr" +) +) +on &20 +) +*41 (Wire +uid 5851,0 +shape (OrthoPolyLine +uid 5852,0 +va (VaSet +vasetType 3 +) +xt "51750,42000,55000,58000" +pts [ +"51750,42000" +"55000,42000" +"55000,58000" +] +) +start &29 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5855,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5856,0 +va (VaSet +font "courier,12,0" +) +xt "53750,40600,57450,42000" +st "read" +blo "53750,41800" +tm "WireNameMgr" +) +) +on &21 +) +*42 (Wire +uid 5859,0 +shape (OrthoPolyLine +uid 5860,0 +va (VaSet +vasetType 3 +) +xt "51750,40000,57000,58000" +pts [ +"51750,40000" +"57000,40000" +"57000,58000" +] +) +start &31 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5863,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5864,0 +va (VaSet +font "courier,12,0" +) +xt "53750,38600,57250,39900" +st "empty" +blo "53750,39600" +tm "WireNameMgr" +) +) +on &22 +) +*43 (Wire +uid 5867,0 +shape (OrthoPolyLine +uid 5868,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "51750,38000,59000,58000" +pts [ +"51750,38000" +"59000,38000" +"59000,58000" +] +) +start &28 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 5871,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5872,0 +va (VaSet +font "courier,12,0" +) +xt "53750,36600,58650,37900" +st "dataOut" +blo "53750,37600" +tm "WireNameMgr" +) +) +on &23 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *44 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +uid 1297,0 +va (VaSet +font "courier,8,1" +) +xt "-7000,19600,-1600,20600" +st "Package List" +blo "-7000,20400" +) +*46 (MLText +uid 1298,0 +va (VaSet +font "courier,8,0" +) +xt "-7000,20600,8500,23300" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*48 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*49 (MLText +uid 193,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32000,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*50 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*51 (MLText +uid 195,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*52 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*53 (MLText +uid 197,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "118,40,1394,908" +viewArea "10133,25086,76534,68615" +cachedDiagramExtent "-7000,0,91000,85000" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +scale 75 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 6048,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "-150,900,4450,2100" +st "Panel0" +blo "-150,1900" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +) +xt "1500,2550,6800,3750" +st "" +blo "1500,3550" +tm "BdLibraryNameMgr" +) +*55 (Text +va (VaSet +) +xt "1500,3750,6300,4950" +st "" +blo "1500,4750" +tm "BlkNameMgr" +) +*56 (Text +va (VaSet +) +xt "1500,4950,3400,6150" +st "I0" +blo "1500,5950" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*58 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*59 (Text +va (VaSet +) +xt "-100,5000,1800,6200" +st "I0" +blo "-100,6000" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*61 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*62 (Text +va (VaSet +) +xt "-350,4550,1550,5750" +st "I0" +blo "-350,5550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "-600,8250,900,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*64 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*65 (Text +va (VaSet +) +xt "-850,4550,1050,5750" +st "I0" +blo "-850,5550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*67 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*68 (Text +va (VaSet +) +xt "-1600,4550,300,5750" +st "I0" +blo "-1600,5550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +va (VaSet +font "courier,8,0" +) +xt "2950,3400,4950,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*70 (Text +va (VaSet +font "courier,8,0" +) +xt "2950,4400,3950,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +viewicon (ZoomableIcon +sl 0 +va (VaSet +vasetType 1 +fg "49152,49152,49152" +) +xt "250,8250,1750,9750" +iconName "UnknownFile.png" +iconMaskName "UnknownFile.msk" +) +viewiconposition 0 +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +font "courier,8,0" +) +xt "-550,-500,550,500" +st "G" +blo "-550,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "courier,12,0" +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "courier,12,0" +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "courier,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +stc 0 +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +font "courier,12,0" +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3400,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,4700,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,0" +) +xt "0,400,3700,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,1400,1000,2300" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,8,0" +) +xt "0,0,4500,900" +st "Auto list" +) +second (MLText +va (VaSet +font "courier,8,0" +) +xt "0,1000,9000,1900" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "2150,-1300,16650,-400" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "50,400,1050,1400" +st "1" +blo "50,1200" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*71 (Text +va (VaSet +font "courier,8,1" +) +xt "11800,20000,21800,21000" +st "Frame Declarations" +blo "11800,20800" +) +*72 (MLText +va (VaSet +font "courier,8,0" +) +xt "11800,21000,11800,21000" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +font "courier,8,0" +) +xt "1100,-1300,10100,-400" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +font "courier,8,0" +) +xt "50,400,1050,1400" +st "1" +blo "50,1200" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +va (VaSet +font "courier,8,1" +) +xt "11800,20000,21800,21000" +st "Frame Declarations" +blo "11800,20800" +) +*74 (MLText +va (VaSet +font "courier,8,0" +) +xt "11800,21000,11800,21000" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2100,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,2100,1750" +st "Port" +blo "0,1550" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-7000,25800,0,26800" +st "Declarations" +blo "-7000,26600" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-7000,27000,-3600,28000" +st "Ports:" +blo "-7000,27800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-7000,26800,-2200,27800" +st "Pre User:" +blo "-7000,27600" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-5000,27800,16600,29800" +st "constant dataBitNb : positive := 8; +constant fifoDepth: positive := 8;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-7000,27000,2000,28000" +st "Diagram Signals:" +blo "-7000,27800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-7000,27000,-1000,28000" +st "Post User:" +blo "-7000,27800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-5000,41400,-5000,41400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 80,0 +usingSuid 1 +emptyRow *75 (LEmptyRow +) +uid 3310,0 +optionalChildren [ +*76 (RefLabelRowHdr +) +*77 (TitleRowHdr +) +*78 (FilterRowHdr +) +*79 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*80 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*81 (GroupColHdr +tm "GroupColHdrMgr" +) +*82 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*83 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*84 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*85 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*86 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*87 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*88 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 73,0 +) +) +uid 5873,0 +) +*89 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 74,0 +) +) +uid 5875,0 +) +*90 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "write" +t "std_ulogic" +o 8 +suid 75,0 +) +) +uid 5877,0 +) +*91 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "full" +t "std_ulogic" +o 5 +suid 76,0 +) +) +uid 5879,0 +) +*92 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 77,0 +) +) +uid 5881,0 +) +*93 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "read" +t "std_ulogic" +o 6 +suid 78,0 +) +) +uid 5883,0 +) +*94 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "empty" +t "std_ulogic" +o 4 +suid 79,0 +) +) +uid 5885,0 +) +*95 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 80,0 +) +) +uid 5887,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3323,0 +optionalChildren [ +*96 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *97 (MRCItem +litem &75 +pos 8 +dimension 20 +) +uid 3325,0 +optionalChildren [ +*98 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 3326,0 +) +*99 (MRCItem +litem &77 +pos 1 +dimension 23 +uid 3327,0 +) +*100 (MRCItem +litem &78 +pos 2 +hidden 1 +dimension 20 +uid 3328,0 +) +*101 (MRCItem +litem &88 +pos 0 +dimension 20 +uid 5874,0 +) +*102 (MRCItem +litem &89 +pos 1 +dimension 20 +uid 5876,0 +) +*103 (MRCItem +litem &90 +pos 2 +dimension 20 +uid 5878,0 +) +*104 (MRCItem +litem &91 +pos 3 +dimension 20 +uid 5880,0 +) +*105 (MRCItem +litem &92 +pos 4 +dimension 20 +uid 5882,0 +) +*106 (MRCItem +litem &93 +pos 5 +dimension 20 +uid 5884,0 +) +*107 (MRCItem +litem &94 +pos 6 +dimension 20 +uid 5886,0 +) +*108 (MRCItem +litem &95 +pos 7 +dimension 20 +uid 5888,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3329,0 +optionalChildren [ +*109 (MRCItem +litem &79 +pos 0 +dimension 20 +uid 3330,0 +) +*110 (MRCItem +litem &81 +pos 1 +dimension 50 +uid 3331,0 +) +*111 (MRCItem +litem &82 +pos 2 +dimension 100 +uid 3332,0 +) +*112 (MRCItem +litem &83 +pos 3 +dimension 50 +uid 3333,0 +) +*113 (MRCItem +litem &84 +pos 4 +dimension 100 +uid 3334,0 +) +*114 (MRCItem +litem &85 +pos 5 +dimension 100 +uid 3335,0 +) +*115 (MRCItem +litem &86 +pos 6 +dimension 50 +uid 3336,0 +) +*116 (MRCItem +litem &87 +pos 7 +dimension 80 +uid 3337,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3324,0 +vaOverrides [ +] +) +] +) +uid 3309,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *117 (LEmptyRow +) +uid 3339,0 +optionalChildren [ +*118 (RefLabelRowHdr +) +*119 (TitleRowHdr +) +*120 (FilterRowHdr +) +*121 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*122 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*123 (GroupColHdr +tm "GroupColHdrMgr" +) +*124 (NameColHdr +tm "GenericNameColHdrMgr" +) +*125 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*126 (InitColHdr +tm "GenericValueColHdrMgr" +) +*127 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*128 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3351,0 +optionalChildren [ +*129 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *130 (MRCItem +litem &117 +pos 0 +dimension 20 +) +uid 3353,0 +optionalChildren [ +*131 (MRCItem +litem &118 +pos 0 +dimension 20 +uid 3354,0 +) +*132 (MRCItem +litem &119 +pos 1 +dimension 23 +uid 3355,0 +) +*133 (MRCItem +litem &120 +pos 2 +hidden 1 +dimension 20 +uid 3356,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3357,0 +optionalChildren [ +*134 (MRCItem +litem &121 +pos 0 +dimension 20 +uid 3358,0 +) +*135 (MRCItem +litem &123 +pos 1 +dimension 50 +uid 3359,0 +) +*136 (MRCItem +litem &124 +pos 2 +dimension 100 +uid 3360,0 +) +*137 (MRCItem +litem &125 +pos 3 +dimension 100 +uid 3361,0 +) +*138 (MRCItem +litem &126 +pos 4 +dimension 50 +uid 3362,0 +) +*139 (MRCItem +litem &127 +pos 5 +dimension 50 +uid 3363,0 +) +*140 (MRCItem +litem &128 +pos 6 +dimension 80 +uid 3364,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3352,0 +vaOverrides [ +] +) +] +) +uid 3338,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Memory_test/hds/fifo_tb/struct.bd.info/msimSimulationReplay.sh b/Libs/Memory_test/hds/fifo_tb/struct.bd.info/msimSimulationReplay.sh new file mode 100644 index 0000000..c211a82 --- /dev/null +++ b/Libs/Memory_test/hds/fifo_tb/struct.bd.info/msimSimulationReplay.sh @@ -0,0 +1,3 @@ +# Script to replay the last simulation run +cd $HDS_PROJECT_DIR\..\Memory_test\work +"C:/eda/mentor/questasim64_10.1b/win64/vsim" -f hds_args.tmp diff --git a/Libs/Memory_test/hds/fifo_tb/symbol.sb b/Libs/Memory_test/hds/fifo_tb/symbol.sb new file mode 100644 index 0000000..33e507e --- /dev/null +++ b/Libs/Memory_test/hds/fifo_tb/symbol.sb @@ -0,0 +1,1256 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "fifo_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifo_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "fifo_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37150,22900" +st "Memory_test" +blo "29950,22700" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,22900,34150,23900" +st "fifo_tb" +blo "29950,23700" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,27600,42500,28500" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41500,69800,42500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "29750,41350,40250,42650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47500,41000,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41500,53000,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43500,43400,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43500,25800,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45500,25200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,61400,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45500,43400,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47500,26400,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "courier,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "courier,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "0,5800,3000,6800" +st "User:" +blo "0,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,6800,2000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 134,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Libs/Memory_test/hds/fifo_tester/interface b/Libs/Memory_test/hds/fifo_tester/interface new file mode 100644 index 0000000..ef84e64 --- /dev/null +++ b/Libs/Memory_test/hds/fifo_tester/interface @@ -0,0 +1,1504 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 32,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 77,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 25,0 +) +) +uid 403,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 26,0 +) +) +uid 405,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 27,0 +) +) +uid 407,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "empty" +t "std_ulogic" +o 4 +suid 28,0 +) +) +uid 409,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "full" +t "std_ulogic" +o 5 +suid 29,0 +) +) +uid 411,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "read" +t "std_ulogic" +o 6 +suid 30,0 +) +) +uid 413,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 31,0 +) +) +uid 415,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "write" +t "std_ulogic" +o 8 +suid 32,0 +) +) +uid 417,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 90,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 92,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 93,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 94,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 95,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 404,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 406,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 408,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 410,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 412,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 414,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 416,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 418,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 96,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 97,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 98,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 99,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 100,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 101,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 102,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 103,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 104,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 91,0 +vaOverrides [ +] +) +] +) +uid 76,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 106,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "8" +) +uid 155,0 +) +*56 (LogGeneric +generic (GiElement +name "fifoDepth" +type "positive" +value "64" +) +uid 157,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 118,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 120,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 121,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 122,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 123,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 156,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 158,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 124,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 125,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 126,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 127,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 128,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 129,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 130,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 131,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 119,0 +vaOverrides [ +] +) +] +) +uid 105,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "fifo_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "fifo_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/fifo_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "fifo_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 75,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 363,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 364,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 365,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 366,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "30550,7000,31450,9500" +st "clock" +ju 2 +blo "31250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 367,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,59500,5600" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 25,0 +) +) +) +*73 (CptPort +uid 368,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 369,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 370,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 371,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,10000" +st "dataIn" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 372,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,74000,6500" +st "dataIn : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 26,0 +) +) +) +*74 (CptPort +uid 373,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 374,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58625,5250,59375,6000" +) +tg (CPTG +uid 375,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 376,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "58550,7000,59450,10500" +st "dataOut" +ju 2 +blo "59250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 377,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,74000,2900" +st "dataOut : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 3 +suid 27,0 +) +) +) +*75 (CptPort +uid 378,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 379,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56625,5250,57375,6000" +) +tg (CPTG +uid 380,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 381,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "56550,7000,57450,9500" +st "empty" +ju 2 +blo "57250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 382,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,59500,3800" +st "empty : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "empty" +t "std_ulogic" +o 4 +suid 28,0 +) +) +) +*76 (CptPort +uid 383,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 384,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 385,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 386,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "24550,7000,25450,9000" +st "full" +ju 2 +blo "25250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 387,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,59500,4700" +st "full : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "full" +t "std_ulogic" +o 5 +suid 29,0 +) +) +) +*77 (CptPort +uid 388,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 389,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,5250,55375,6000" +) +tg (CPTG +uid 390,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 391,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "54550,7000,55450,9000" +st "read" +ju 2 +blo "55250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 392,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,59500,7400" +st "read : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "read" +t "std_ulogic" +o 6 +suid 30,0 +) +) +) +*78 (CptPort +uid 393,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 394,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 395,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 396,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "32550,7000,33450,9500" +st "reset" +ju 2 +blo "33250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 397,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,59500,8300" +st "reset : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 7 +suid 31,0 +) +) +) +*79 (CptPort +uid 398,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 399,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 400,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 401,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,9500" +st "write" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 402,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,58500,9200" +st "write : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "write" +t "std_ulogic" +o 8 +suid 32,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,67000,14000" +) +oxt "15000,6000,75000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "37400,9000,44600,10000" +st "Memory_test" +blo "37400,9800" +) +second (Text +uid 12,0 +va (VaSet +) +xt "37400,10000,44600,11000" +st "fifo_tester" +blo "37400,10800" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "13000,6000,25000,9600" +st "Generic Declarations + +dataBitNb positive 8 +fifoDepth positive 64 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "8" +) +(GiElement +name "fifoDepth" +type "positive" +value "64" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *81 (PackageList +uid 16,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 17,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*83 (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "0,1000,15500,3700" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "191,89,1207,779" +viewArea "-500,-500,71230,48820" +cachedDiagramExtent "0,0,75000,14000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,5200,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Memory_test" +entityName "fifo_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,41000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "25350,14800,30650,16000" +st "" +blo "25350,15800" +) +second (Text +va (VaSet +) +xt "25350,16000,29250,17200" +st "" +blo "25350,17000" +) +) +gi *84 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *85 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,49000,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,45400,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,9200,44500,10100" +st "User:" +blo "42000,9900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,49600,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,44000,10100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 418,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory_test/hds/flash@controller_tb/struct.bd b/Libs/Memory_test/hds/flash@controller_tb/struct.bd new file mode 100644 index 0000000..dd2db17 --- /dev/null +++ b/Libs/Memory_test/hds/flash@controller_tb/struct.bd @@ -0,0 +1,5566 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I1" +duLibraryName "memory_test" +duName "flashController_tester" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 1774,0 +) +(Instance +name "I0" +duLibraryName "memory" +duName "flashController" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "addressBitNb" +) +] +mwi 0 +uid 7294,0 +) +(Instance +name "I2" +duLibraryName "memory_test" +duName "flash_28F128J3A" +elements [ +(GiElement +name "fileSpec" +type "string" +value "\"U:\\ELN_board\\Simulation\\flash.srec\"" +) +(GiElement +name "T_W13" +type "time" +value "500 ns" +) +(GiElement +name "T_W16_program" +type "time" +value "1 us" +) +(GiElement +name "T_W16_erase" +type "time" +value "1.5 us" +) +(GiElement +name "T_R2" +type "time" +value "120 ns" +) +(GiElement +name "T_R3" +type "time" +value "120 ns" +) +(GiElement +name "T_R7" +type "time" +value "0 ns" +) +(GiElement +name "T_R8" +type "time" +value "55 ns" +) +(GiElement +name "T_R9" +type "time" +value "15 ns" +) +] +mwi 0 +uid 7440,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flashController_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "flashController_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flashController_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flashController_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "flashController_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Grouping +uid 1487,0 +optionalChildren [ +*2 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "121000,110000,140000,112000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "121200,110500,136800,111500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,110000,115000,112000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "96750,110350,107250,111650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "94000,116000,115000,118000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "94200,116500,110400,117500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "115000,110000,121000,112000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "115200,110500,120000,111500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "94000,112000,115000,114000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "94200,112500,110400,113500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,112000,94000,114000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,112500,92800,113500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,114000,94000,116000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,114500,92200,115500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "115000,112000,140000,118000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "115200,112200,128400,113200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "94000,114000,115000,116000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "94200,114500,113400,115500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "89000,116000,94000,118000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "89200,116500,93400,117500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "89000,110000,140000,118000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "16000,87000,110000,95000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 1777,0 +va (VaSet +font "courier,12,1" +) +xt "16600,94900,27200,96300" +st "memory_test" +blo "16600,96100" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 1778,0 +va (VaSet +font "courier,12,1" +) +xt "16600,96300,33900,97700" +st "flashController_tester" +blo "16600,97500" +tm "BlkNameMgr" +) +*15 (Text +uid 1779,0 +va (VaSet +font "courier,12,1" +) +xt "16600,97700,19000,99100" +st "I1" +blo "16600,98900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +font "courier,9,0" +) +xt "16000,99600,39000,101400" +st "addressBitNb = addressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +) +*16 (Net +uid 5550,0 +decl (Decl +n "reset" +t "std_ulogic" +o 23 +suid 55,0 +) +declText (MLText +uid 5551,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,400,17500,1300" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 5558,0 +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 56,0 +) +declText (MLText +uid 5559,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,400,17500,1300" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 5919,0 +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 17 +suid 66,0 +) +declText (MLText +uid 5920,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-14000,6800,18000,7700" +st "SIGNAL memDataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*19 (Net +uid 5927,0 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 18 +suid 67,0 +) +declText (MLText +uid 5928,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-14000,6800,18000,7700" +st "SIGNAL memDataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*20 (Net +uid 6010,0 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 22 +suid 71,0 +) +declText (MLText +uid 6011,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-14000,6800,3500,7700" +st "SIGNAL memWr_n : std_ulogic" +) +) +*21 (Net +uid 6048,0 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 75,0 +) +declText (MLText +uid 6049,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-14000,6800,17500,7700" +st "SIGNAL DQ : std_logic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*22 (HdlText +uid 6058,0 +optionalChildren [ +*23 (EmbeddedText +uid 6063,0 +commentText (CommentText +uid 6064,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 6065,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "94000,58000,110000,66000" +) +oxt "0,0,18000,5000" +text (MLText +uid 6066,0 +va (VaSet +font "courier,9,0" +) +xt "94200,58200,110200,65400" +st " +memDataIn <= std_ulogic_vector(DQ); +DQ <= std_logic_vector(memDataOut) when (memWr_n = '0') or (memWrDelayed_n = '0') + else (others => 'Z'); + +delayMemWr: process(reset, clock) +begin + if reset = '1' then + memWrDelayed_n <= '0'; + elsif rising_edge(clock) then + memWrDelayed_n <= memWr_n; + end if; +end process delayMemWr; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 8000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 6059,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "94000,57000,110000,67000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 6060,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*24 (Text +uid 6061,0 +va (VaSet +) +xt "94400,67000,95600,68000" +st "eb1" +blo "94400,67800" +tm "HdlTextNameMgr" +) +*25 (Text +uid 6062,0 +va (VaSet +) +xt "94400,68000,94800,69000" +st "1" +blo "94400,68800" +tm "HdlTextNumberMgr" +) +] +) +) +*26 (Net +uid 6768,0 +decl (Decl +n "flashCE_n" +t "std_ulogic" +o 7 +suid 79,0 +) +declText (MLText +uid 6769,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-112000,6600,-94500,7500" +st "SIGNAL flashCE_n : std_ulogic" +) +) +*27 (Net +uid 6780,0 +decl (Decl +n "memOE_n" +t "std_ulogic" +o 19 +suid 81,0 +) +declText (MLText +uid 6781,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-112000,6600,-94500,7500" +st "SIGNAL memOE_n : std_ulogic" +) +) +*28 (Net +uid 6798,0 +decl (Decl +n "flashSTS" +t "std_ulogic" +o 13 +suid 83,0 +) +declText (MLText +uid 6799,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-112000,6600,-94500,7500" +st "SIGNAL flashSTS : std_ulogic" +) +) +*29 (Net +uid 6804,0 +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 2 +suid 84,0 +) +declText (MLText +uid 6805,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-112000,6600,-94500,7500" +st "SIGNAL BYTE_n : std_ulogic" +) +) +*30 (Net +uid 6812,0 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 15 +suid 85,0 +) +declText (MLText +uid 6813,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-112000,6600,-78500,7500" +st "SIGNAL memAddress : std_ulogic_vector(addressBitNb-1 DOWNTO 0)" +) +) +*31 (HdlText +uid 6818,0 +optionalChildren [ +*32 (EmbeddedText +uid 6823,0 +commentText (CommentText +uid 6824,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 6825,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "54000,34000,70000,44000" +) +oxt "0,0,18000,5000" +text (MLText +uid 6826,0 +va (VaSet +font "courier,9,0" +) +xt "54200,34200,67700,38700" +st " +A <= unsigned(memAddress); + +CE <= \"00\" & flashCE_n; + +BYTE_n <= '1'; + +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 10000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 6819,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "54000,33000,70000,45000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 6820,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 6821,0 +va (VaSet +) +xt "54400,45000,56000,46000" +st "eb2" +blo "54400,45800" +tm "HdlTextNameMgr" +) +*34 (Text +uid 6822,0 +va (VaSet +) +xt "54400,46000,55200,47000" +st "2" +blo "54400,46800" +tm "HdlTextNumberMgr" +) +] +) +) +*35 (Net +uid 6843,0 +decl (Decl +n "flashEn" +t "std_ulogic" +o 11 +suid 86,0 +) +declText (MLText +uid 6844,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL flashEn : std_ulogic" +) +) +*36 (Net +uid 6922,0 +decl (Decl +n "flashDataValid" +t "std_ulogic" +o 10 +suid 87,0 +) +declText (MLText +uid 6923,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL flashDataValid : std_ulogic" +) +) +*37 (Net +uid 6930,0 +decl (Decl +n "flashRd" +t "std_ulogic" +o 12 +suid 88,0 +) +declText (MLText +uid 6931,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL flashRd : std_ulogic" +) +) +*38 (Net +uid 6938,0 +decl (Decl +n "flashWr" +t "std_ulogic" +o 14 +suid 89,0 +) +declText (MLText +uid 6939,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL flashWr : std_ulogic" +) +) +*39 (Net +uid 6946,0 +decl (Decl +n "flashDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 9 +suid 90,0 +) +declText (MLText +uid 6947,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,32000,900" +st "SIGNAL flashDataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*40 (Net +uid 6954,0 +decl (Decl +n "flashDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 91,0 +) +declText (MLText +uid 6955,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,32000,900" +st "SIGNAL flashDataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*41 (Net +uid 6962,0 +decl (Decl +n "flashAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 6 +suid 92,0 +) +declText (MLText +uid 6963,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,29000,900" +st "SIGNAL flashAddr : unsigned(addressBitNb-1 DOWNTO 0)" +) +) +*42 (Net +uid 6984,0 +decl (Decl +n "A" +t "unsigned" +b "(23 DOWNTO 0)" +o 1 +suid 93,0 +) +declText (MLText +uid 6985,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,23000,900" +st "SIGNAL A : unsigned(23 DOWNTO 0)" +) +) +*43 (Net +uid 6994,0 +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 3 +suid 94,0 +) +declText (MLText +uid 6995,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,27000,900" +st "SIGNAL CE : std_ulogic_vector(2 DOWNTO 0)" +) +) +*44 (Net +uid 7044,0 +decl (Decl +n "memWrDelayed_n" +t "std_ulogic" +o 21 +suid 95,0 +) +declText (MLText +uid 7045,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL memWrDelayed_n : std_ulogic" +) +) +*45 (Net +uid 7125,0 +decl (Decl +n "memRst_n" +t "std_ulogic" +o 20 +suid 96,0 +) +declText (MLText +uid 7126,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL memRst_n : std_ulogic" +) +) +*46 (SaComponent +uid 7294,0 +optionalChildren [ +*47 (CptPort +uid 7222,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7223,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,74625,46000,75375" +) +tg (CPTG +uid 7224,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7225,0 +va (VaSet +) +xt "47000,74500,49100,75500" +st "clock" +blo "47000,75300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 20,0 +) +) +) +*48 (CptPort +uid 7226,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7227,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,66625,46000,67375" +) +tg (CPTG +uid 7228,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7229,0 +va (VaSet +) +xt "47000,66500,52700,67500" +st "flashDataValid" +blo "47000,67300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashDataValid" +t "std_ulogic" +o 14 +suid 21,0 +) +) +) +*49 (CptPort +uid 7230,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7231,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,56625,46000,57375" +) +tg (CPTG +uid 7232,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7233,0 +va (VaSet +) +xt "47000,56500,50600,57500" +st "flashAddr" +blo "47000,57300" +) +) +thePort (LogicalPort +decl (Decl +n "flashAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 22,0 +) +) +) +*50 (CptPort +uid 7234,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7235,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,56625,62750,57375" +) +tg (CPTG +uid 7236,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7237,0 +va (VaSet +) +xt "55800,56500,61000,57500" +st "memAddress" +ju 2 +blo "61000,57300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 23,0 +) +) +) +*51 (CptPort +uid 7238,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7239,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,60625,46000,61375" +) +tg (CPTG +uid 7240,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7241,0 +va (VaSet +) +xt "47000,60500,52200,61500" +st "flashDataOut" +blo "47000,61300" +) +) +thePort (LogicalPort +decl (Decl +n "flashDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 24,0 +) +) +) +*52 (CptPort +uid 7242,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7243,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,58625,62750,59375" +) +tg (CPTG +uid 7244,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7245,0 +va (VaSet +) +xt "56800,58500,61000,59500" +st "memDataIn" +ju 2 +blo "61000,59300" +) +) +thePort (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 25,0 +) +) +) +*53 (CptPort +uid 7246,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7247,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,60625,62750,61375" +) +tg (CPTG +uid 7248,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7249,0 +va (VaSet +) +xt "55800,60500,61000,61500" +st "memDataOut" +ju 2 +blo "61000,61300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 26,0 +) +) +) +*54 (CptPort +uid 7250,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7251,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,64625,62750,65375" +) +tg (CPTG +uid 7252,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7253,0 +va (VaSet +) +xt "57200,64500,61000,65500" +st "memWr_n" +ju 2 +blo "61000,65300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 27,0 +) +) +) +*55 (CptPort +uid 7254,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7255,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,72625,46000,73375" +) +tg (CPTG +uid 7256,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7257,0 +va (VaSet +) +xt "47000,72500,49900,73500" +st "flashEn" +blo "47000,73300" +) +) +thePort (LogicalPort +decl (Decl +n "flashEn" +t "std_ulogic" +o 5 +suid 28,0 +) +) +) +*56 (CptPort +uid 7258,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7259,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,62625,46000,63375" +) +tg (CPTG +uid 7260,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7261,0 +va (VaSet +) +xt "47000,62500,50000,63500" +st "flashRd" +blo "47000,63300" +) +) +thePort (LogicalPort +decl (Decl +n "flashRd" +t "std_ulogic" +o 6 +suid 29,0 +) +) +) +*57 (CptPort +uid 7262,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7263,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,64625,46000,65375" +) +tg (CPTG +uid 7264,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7265,0 +va (VaSet +) +xt "47000,64500,50000,65500" +st "flashWr" +blo "47000,65300" +) +) +thePort (LogicalPort +decl (Decl +n "flashWr" +t "std_ulogic" +o 7 +suid 30,0 +) +) +) +*58 (CptPort +uid 7266,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7267,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,76625,46000,77375" +) +tg (CPTG +uid 7268,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7269,0 +va (VaSet +) +xt "47000,76500,49100,77500" +st "reset" +blo "47000,77300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 31,0 +) +) +) +*59 (CptPort +uid 7270,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7271,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,68625,62750,69375" +) +tg (CPTG +uid 7272,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7273,0 +va (VaSet +) +xt "57000,68500,61000,69500" +st "memRst_n" +ju 2 +blo "61000,69300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memRst_n" +t "std_ulogic" +o 15 +suid 33,0 +) +) +) +*60 (CptPort +uid 7274,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7275,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,70625,62750,71375" +) +tg (CPTG +uid 7276,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7277,0 +va (VaSet +) +xt "57500,70500,61000,71500" +st "flashSTS" +ju 2 +blo "61000,71300" +) +) +thePort (LogicalPort +decl (Decl +n "flashSTS" +t "std_ulogic" +o 16 +suid 34,0 +) +) +) +*61 (CptPort +uid 7278,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7279,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,62625,62750,63375" +) +tg (CPTG +uid 7280,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7281,0 +va (VaSet +) +xt "57100,62500,61000,63500" +st "flashCE_n" +ju 2 +blo "61000,63300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashCE_n" +t "std_ulogic" +o 9 +suid 35,0 +) +) +) +*62 (CptPort +uid 7282,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7283,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62000,66625,62750,67375" +) +tg (CPTG +uid 7284,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7285,0 +va (VaSet +) +xt "57100,66500,61000,67500" +st "memOE_n" +ju 2 +blo "61000,67300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memOE_n" +t "std_ulogic" +o 17 +suid 36,0 +) +) +) +*63 (CptPort +uid 7286,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7287,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,58625,46000,59375" +) +tg (CPTG +uid 7288,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7289,0 +va (VaSet +) +xt "47000,58500,51600,59500" +st "flashDataIn" +blo "47000,59300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 42,0 +) +) +) +*64 (CptPort +uid 7290,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7291,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,70625,46000,71375" +) +tg (CPTG +uid 7292,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7293,0 +va (VaSet +) +xt "47000,70500,52400,71500" +st "memBusEn_n" +blo "47000,71300" +) +) +thePort (LogicalPort +decl (Decl +n "memBusEn_n" +t "std_ulogic" +o 18 +suid 44,0 +) +) +) +] +shape (Rectangle +uid 7295,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "46000,53000,62000,79000" +) +oxt "37000,5000,53000,31000" +ttg (MlTextGroup +uid 7296,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 7297,0 +va (VaSet +font "courier,8,1" +) +xt "46550,79500,49850,80500" +st "memory" +blo "46550,80300" +tm "BdLibraryNameMgr" +) +*66 (Text +uid 7298,0 +va (VaSet +font "courier,8,1" +) +xt "46550,80500,52950,81500" +st "flashController" +blo "46550,81300" +tm "CptNameMgr" +) +*67 (Text +uid 7299,0 +va (VaSet +font "courier,8,1" +) +xt "46550,81500,47550,82500" +st "I0" +blo "46550,82300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 7300,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 7301,0 +text (MLText +uid 7302,0 +va (VaSet +font "courier,8,0" +) +xt "46000,83200,71000,85900" +st "addressBitNb = addressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) +chipAddressBitNb = addressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "addressBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*68 (Net +uid 7303,0 +decl (Decl +n "memBusEn_n" +t "std_ulogic" +o 16 +suid 97,0 +) +declText (MLText +uid 7304,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL memBusEn_n : std_ulogic" +) +) +*69 (SaComponent +uid 7440,0 +optionalChildren [ +*70 (CptPort +uid 7408,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7409,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,60625,78000,61375" +) +tg (CPTG +uid 7410,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7411,0 +va (VaSet +) +xt "79000,60500,79900,61500" +st "A" +blo "79000,61300" +) +) +thePort (LogicalPort +decl (Decl +n "A" +t "unsigned" +b "(23 DOWNTO 0)" +o 45 +suid 1,0 +) +) +) +*71 (CptPort +uid 7412,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7413,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,68625,78000,69375" +) +tg (CPTG +uid 7414,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7415,0 +va (VaSet +) +xt "79000,68500,82200,69500" +st "BYTE_n" +blo "79000,69300" +) +) +thePort (LogicalPort +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 48 +suid 2,0 +) +) +) +*72 (CptPort +uid 7416,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7417,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,62625,78000,63375" +) +tg (CPTG +uid 7418,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7419,0 +va (VaSet +) +xt "79000,62500,80500,63500" +st "CE" +blo "79000,63300" +) +) +thePort (LogicalPort +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 45 +suid 3,0 +) +) +) +*73 (CptPort +uid 7420,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 7421,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "86000,60625,86750,61375" +) +tg (CPTG +uid 7422,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7423,0 +va (VaSet +) +xt "83400,60500,85000,61500" +st "DQ" +ju 2 +blo "85000,61300" +) +) +thePort (LogicalPort +m 2 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 45 +suid 4,0 +) +) +) +*74 (CptPort +uid 7424,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7425,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,66625,78000,67375" +) +tg (CPTG +uid 7426,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7427,0 +va (VaSet +) +xt "79000,66500,81300,67500" +st "OE_n" +blo "79000,67300" +) +) +thePort (LogicalPort +decl (Decl +n "OE_n" +t "std_ulogic" +o 48 +suid 5,0 +) +) +) +*75 (CptPort +uid 7428,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7429,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,72625,78000,73375" +) +tg (CPTG +uid 7430,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7431,0 +va (VaSet +) +xt "79000,72500,81300,73500" +st "RP_n" +blo "79000,73300" +) +) +thePort (LogicalPort +decl (Decl +n "RP_n" +t "std_ulogic" +o 48 +suid 6,0 +) +) +) +*76 (CptPort +uid 7432,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7433,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "86000,62625,86750,63375" +) +tg (CPTG +uid 7434,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 7435,0 +va (VaSet +) +xt "83100,62500,85000,63500" +st "STS" +ju 2 +blo "85000,63300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "STS" +t "std_ulogic" +o 48 +suid 7,0 +) +) +) +*77 (CptPort +uid 7436,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 7437,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "77250,64625,78000,65375" +) +tg (CPTG +uid 7438,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 7439,0 +va (VaSet +) +xt "79000,64500,81400,65500" +st "WE_n" +blo "79000,65300" +) +) +thePort (LogicalPort +decl (Decl +n "WE_n" +t "std_ulogic" +o 48 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 7441,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "78000,57000,86000,75000" +) +oxt "35000,13000,43000,31000" +ttg (MlTextGroup +uid 7442,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 7443,0 +va (VaSet +font "courier,8,1" +) +xt "77800,75000,83300,76000" +st "memory_test" +blo "77800,75800" +tm "BdLibraryNameMgr" +) +*79 (Text +uid 7444,0 +va (VaSet +font "courier,8,1" +) +xt "77800,76000,84600,77000" +st "flash_28F128J3A" +blo "77800,76800" +tm "CptNameMgr" +) +*80 (Text +uid 7445,0 +va (VaSet +font "courier,8,1" +) +xt "77800,77000,78800,78000" +st "I2" +blo "77800,77800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 7446,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 7447,0 +text (MLText +uid 7448,0 +va (VaSet +font "courier,8,0" +) +xt "78000,78600,112500,86700" +st "fileSpec = \"U:\\ELN_board\\Simulation\\flash.srec\" ( string ) +T_W13 = 500 ns ( time ) +T_W16_program = 1 us ( time ) +T_W16_erase = 1.5 us ( time ) +T_R2 = 120 ns ( time ) +T_R3 = 120 ns ( time ) +T_R7 = 0 ns ( time ) +T_R8 = 55 ns ( time ) +T_R9 = 15 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "fileSpec" +type "string" +value "\"U:\\ELN_board\\Simulation\\flash.srec\"" +) +(GiElement +name "T_W13" +type "time" +value "500 ns" +) +(GiElement +name "T_W16_program" +type "time" +value "1 us" +) +(GiElement +name "T_W16_erase" +type "time" +value "1.5 us" +) +(GiElement +name "T_R2" +type "time" +value "120 ns" +) +(GiElement +name "T_R3" +type "time" +value "120 ns" +) +(GiElement +name "T_R7" +type "time" +value "0 ns" +) +(GiElement +name "T_R8" +type "time" +value "55 ns" +) +(GiElement +name "T_R9" +type "time" +value "15 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*81 (Wire +uid 5552,0 +shape (OrthoPolyLine +uid 5553,0 +va (VaSet +vasetType 3 +) +xt "44000,77000,45250,87000" +pts [ +"45250,77000" +"44000,77000" +"44000,87000" +] +) +start &58 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5556,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5557,0 +va (VaSet +font "courier,12,0" +) +xt "40250,75600,43750,76900" +st "reset" +blo "40250,76600" +tm "WireNameMgr" +) +) +on &16 +) +*82 (Wire +uid 5560,0 +shape (OrthoPolyLine +uid 5561,0 +va (VaSet +vasetType 3 +) +xt "42000,75000,45250,87000" +pts [ +"45250,75000" +"42000,75000" +"42000,87000" +] +) +start &47 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5564,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5565,0 +va (VaSet +font "courier,12,0" +) +xt "40250,73600,43750,74900" +st "clock" +blo "40250,74600" +tm "WireNameMgr" +) +) +on &17 +) +*83 (Wire +uid 5568,0 +shape (OrthoPolyLine +uid 5569,0 +va (VaSet +vasetType 3 +) +xt "40000,73000,45250,87000" +pts [ +"45250,73000" +"40000,73000" +"40000,87000" +] +) +start &55 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5572,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5573,0 +va (VaSet +font "courier,12,0" +) +xt "39250,71600,44150,72900" +st "flashEn" +blo "39250,72600" +tm "WireNameMgr" +) +) +on &35 +) +*84 (Wire +uid 5921,0 +shape (OrthoPolyLine +uid 5922,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "62750,59000,66000,59000" +pts [ +"62750,59000" +"66000,59000" +] +) +start &52 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5925,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5926,0 +va (VaSet +font "courier,12,0" +) +xt "64750,57600,71050,58900" +st "memDataIn" +blo "64750,58600" +tm "WireNameMgr" +) +) +on &18 +) +*85 (Wire +uid 5929,0 +shape (OrthoPolyLine +uid 5930,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "62750,61000,66000,61000" +pts [ +"62750,61000" +"66000,61000" +] +) +start &53 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5933,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5934,0 +va (VaSet +font "courier,12,0" +) +xt "64750,59600,72450,60900" +st "memDataOut" +blo "64750,60600" +tm "WireNameMgr" +) +) +on &19 +) +*86 (Wire +uid 6050,0 +shape (OrthoPolyLine +uid 6051,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "86750,61000,94000,61000" +pts [ +"86750,61000" +"94000,61000" +] +) +start &73 +end &22 +sat 32 +eat 4 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6054,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6055,0 +va (VaSet +font "courier,12,0" +) +xt "88750,59600,90150,60900" +st "DQ" +blo "88750,60600" +tm "WireNameMgr" +) +) +on &21 +) +*87 (Wire +uid 6114,0 +shape (OrthoPolyLine +uid 6115,0 +va (VaSet +vasetType 3 +) +xt "90000,63000,94000,63000" +pts [ +"90000,63000" +"94000,63000" +] +) +end &22 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6120,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6121,0 +va (VaSet +font "courier,12,0" +) +xt "87000,61600,91900,62900" +st "memWr_n" +blo "87000,62600" +tm "WireNameMgr" +) +) +on &20 +) +*88 (Wire +uid 6122,0 +shape (OrthoPolyLine +uid 6123,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "110000,61000,114000,61000" +pts [ +"110000,61000" +"114000,61000" +] +) +start &22 +sat 2 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6128,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6129,0 +va (VaSet +font "courier,12,0" +) +xt "112750,59600,119050,60900" +st "memDataIn" +blo "112750,60600" +tm "WireNameMgr" +) +) +on &18 +) +*89 (Wire +uid 6130,0 +shape (OrthoPolyLine +uid 6131,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "110000,63000,114000,63000" +pts [ +"110000,63000" +"114000,63000" +] +) +start &22 +sat 1 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6136,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6137,0 +va (VaSet +font "courier,12,0" +) +xt "112750,61600,120450,62900" +st "memDataOut" +blo "112750,62600" +tm "WireNameMgr" +) +) +on &19 +) +*90 (Wire +uid 6770,0 +shape (OrthoPolyLine +uid 6771,0 +va (VaSet +vasetType 3 +) +xt "62750,63000,66000,63000" +pts [ +"62750,63000" +"66000,63000" +] +) +start &61 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 6772,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6773,0 +va (VaSet +font "courier,12,0" +) +xt "64750,61600,71050,62900" +st "flashCE_n" +blo "64750,62600" +tm "WireNameMgr" +) +) +on &26 +) +*91 (Wire +uid 6776,0 +shape (OrthoPolyLine +uid 6777,0 +va (VaSet +vasetType 3 +) +xt "62750,65000,77250,65000" +pts [ +"62750,65000" +"77250,65000" +] +) +start &54 +end &77 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6778,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6779,0 +va (VaSet +font "courier,12,0" +) +xt "64750,63600,69650,64900" +st "memWr_n" +blo "64750,64600" +tm "WireNameMgr" +) +) +on &20 +) +*92 (Wire +uid 6782,0 +shape (OrthoPolyLine +uid 6783,0 +va (VaSet +vasetType 3 +) +xt "62750,67000,77250,67000" +pts [ +"62750,67000" +"77250,67000" +] +) +start &62 +end &74 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6784,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6785,0 +va (VaSet +font "courier,12,0" +) +xt "64750,65600,69650,66900" +st "memOE_n" +blo "64750,66600" +tm "WireNameMgr" +) +) +on &27 +) +*93 (Wire +uid 6794,0 +shape (OrthoPolyLine +uid 6795,0 +va (VaSet +vasetType 3 +) +xt "62750,69000,77250,73000" +pts [ +"62750,69000" +"70000,69000" +"70000,73000" +"77250,73000" +] +) +start &59 +end &75 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6796,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6797,0 +va (VaSet +font "courier,12,0" +) +xt "64750,67600,70350,68900" +st "memRst_n" +blo "64750,68600" +tm "WireNameMgr" +) +) +on &45 +) +*94 (Wire +uid 6800,0 +shape (OrthoPolyLine +uid 6801,0 +va (VaSet +vasetType 3 +) +xt "62750,63000,88000,79000" +pts [ +"62750,71000" +"68000,71000" +"68000,79000" +"88000,79000" +"88000,63000" +"86750,63000" +] +) +start &60 +end &76 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6802,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6803,0 +va (VaSet +font "courier,12,0" +) +xt "64750,69600,70350,70900" +st "flashSTS" +blo "64750,70600" +tm "WireNameMgr" +) +) +on &28 +) +*95 (Wire +uid 6806,0 +shape (OrthoPolyLine +uid 6807,0 +va (VaSet +vasetType 3 +) +xt "74000,69000,77250,69000" +pts [ +"77250,69000" +"74000,69000" +] +) +start &71 +sat 32 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 6810,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6811,0 +va (VaSet +font "courier,12,0" +) +xt "72000,67600,76200,68900" +st "BYTE_n" +blo "72000,68600" +tm "WireNameMgr" +) +) +on &29 +) +*96 (Wire +uid 6814,0 +shape (OrthoPolyLine +uid 6815,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "62750,57000,66000,57000" +pts [ +"62750,57000" +"66000,57000" +] +) +start &50 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6816,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6817,0 +va (VaSet +font "courier,12,0" +) +xt "64750,55600,72450,56900" +st "memAddress" +blo "64750,56600" +tm "WireNameMgr" +) +) +on &30 +) +*97 (Wire +uid 6827,0 +shape (OrthoPolyLine +uid 6828,0 +va (VaSet +vasetType 3 +) +xt "70000,41000,74000,41000" +pts [ +"70000,41000" +"74000,41000" +] +) +start &31 +sat 2 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 6833,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6834,0 +va (VaSet +font "courier,12,0" +) +xt "72000,39600,76200,40900" +st "BYTE_n" +blo "72000,40600" +tm "WireNameMgr" +) +) +on &29 +) +*98 (Wire +uid 6924,0 +shape (OrthoPolyLine +uid 6925,0 +va (VaSet +vasetType 3 +) +xt "34000,67000,45250,87000" +pts [ +"45250,67000" +"34000,67000" +"34000,87000" +] +) +start &48 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6928,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6929,0 +va (VaSet +font "courier,12,0" +) +xt "34000,65600,44500,66900" +st "flashDataValid" +blo "34000,66600" +tm "WireNameMgr" +) +) +on &36 +) +*99 (Wire +uid 6932,0 +shape (OrthoPolyLine +uid 6933,0 +va (VaSet +vasetType 3 +) +xt "30000,63000,45250,87000" +pts [ +"45250,63000" +"30000,63000" +"30000,87000" +] +) +start &56 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 6936,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6937,0 +va (VaSet +font "courier,12,0" +) +xt "38000,61600,42900,62900" +st "flashRd" +blo "38000,62600" +tm "WireNameMgr" +) +) +on &37 +) +*100 (Wire +uid 6940,0 +shape (OrthoPolyLine +uid 6941,0 +va (VaSet +vasetType 3 +) +xt "32000,65000,45250,87000" +pts [ +"45250,65000" +"32000,65000" +"32000,87000" +] +) +start &57 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 6944,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6945,0 +va (VaSet +font "courier,12,0" +) +xt "38250,63600,43150,64900" +st "flashWr" +blo "38250,64600" +tm "WireNameMgr" +) +) +on &38 +) +*101 (Wire +uid 6948,0 +shape (OrthoPolyLine +uid 6949,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "28000,61000,45250,87000" +pts [ +"45250,61000" +"28000,61000" +"28000,87000" +] +) +start &51 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6952,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6953,0 +va (VaSet +font "courier,12,0" +) +xt "34250,59600,43350,60900" +st "flashDataOut" +blo "34250,60600" +tm "WireNameMgr" +) +) +on &39 +) +*102 (Wire +uid 6956,0 +shape (OrthoPolyLine +uid 6957,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "26000,59000,45250,87000" +pts [ +"45250,59000" +"26000,59000" +"26000,87000" +] +) +start &63 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6960,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6961,0 +va (VaSet +font "courier,12,0" +) +xt "35250,57600,43650,58900" +st "flashDataIn" +blo "35250,58600" +tm "WireNameMgr" +) +) +on &40 +) +*103 (Wire +uid 6964,0 +shape (OrthoPolyLine +uid 6965,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "24000,57000,45250,87000" +pts [ +"45250,57000" +"24000,57000" +"24000,87000" +] +) +start &49 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6968,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6969,0 +va (VaSet +font "courier,12,0" +) +xt "37250,55600,43550,56900" +st "flashAddr" +blo "37250,56600" +tm "WireNameMgr" +) +) +on &41 +) +*104 (Wire +uid 6986,0 +shape (OrthoPolyLine +uid 6987,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "74000,61000,77250,61000" +pts [ +"77250,61000" +"74000,61000" +] +) +start &70 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6990,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6991,0 +va (VaSet +font "courier,12,0" +) +xt "74250,59600,74950,60900" +st "A" +blo "74250,60600" +tm "WireNameMgr" +) +) +on &42 +) +*105 (Wire +uid 6996,0 +shape (OrthoPolyLine +uid 6997,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "74000,63000,77250,63000" +pts [ +"77250,63000" +"74000,63000" +] +) +start &72 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 7000,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7001,0 +va (VaSet +font "courier,12,0" +) +xt "73250,61600,74650,62900" +st "CE" +blo "73250,62600" +tm "WireNameMgr" +) +) +on &43 +) +*106 (Wire +uid 7002,0 +shape (OrthoPolyLine +uid 7003,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "50000,37000,54000,37000" +pts [ +"54000,37000" +"50000,37000" +] +) +start &31 +sat 1 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 7008,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7009,0 +va (VaSet +font "courier,12,0" +) +xt "45000,35600,52700,36900" +st "memAddress" +blo "45000,36600" +tm "WireNameMgr" +) +) +on &30 +) +*107 (Wire +uid 7010,0 +shape (OrthoPolyLine +uid 7011,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "70000,37000,74250,37000" +pts [ +"74250,37000" +"70000,37000" +] +) +end &31 +sat 16 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 7016,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7017,0 +va (VaSet +font "courier,12,0" +) +xt "72000,35600,72700,36900" +st "A" +blo "72000,36600" +tm "WireNameMgr" +) +) +on &42 +) +*108 (Wire +uid 7018,0 +shape (OrthoPolyLine +uid 7019,0 +va (VaSet +vasetType 3 +) +xt "50000,39000,54000,39000" +pts [ +"54000,39000" +"50000,39000" +] +) +start &31 +sat 1 +eat 16 +stc 0 +st 0 +si 0 +tg (WTG +uid 7024,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7025,0 +va (VaSet +font "courier,12,0" +) +xt "47000,37600,53300,38900" +st "flashCE_n" +blo "47000,38600" +tm "WireNameMgr" +) +) +on &26 +) +*109 (Wire +uid 7026,0 +shape (OrthoPolyLine +uid 7027,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "70000,39000,74000,39000" +pts [ +"70000,39000" +"74000,39000" +] +) +start &31 +sat 2 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 7032,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7033,0 +va (VaSet +font "courier,12,0" +) +xt "72000,37600,73400,38900" +st "CE" +blo "72000,38600" +tm "WireNameMgr" +) +) +on &43 +) +*110 (Wire +uid 7036,0 +shape (OrthoPolyLine +uid 7037,0 +va (VaSet +vasetType 3 +) +xt "102000,67000,102000,69000" +pts [ +"102000,69000" +"102000,67000" +] +) +end &22 +sat 16 +eat 4 +stc 0 +st 0 +si 0 +tg (WTG +uid 7042,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7043,0 +va (VaSet +font "courier,12,0" +) +xt "99000,67600,109500,68900" +st "memWrDelayed_n" +blo "99000,68600" +tm "WireNameMgr" +) +) +on &44 +) +*111 (Wire +uid 7305,0 +shape (OrthoPolyLine +uid 7306,0 +va (VaSet +vasetType 3 +) +xt "38000,71000,45250,87000" +pts [ +"45250,71000" +"38000,71000" +"38000,87000" +] +) +start &64 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 7309,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 7310,0 +va (VaSet +font "courier,12,0" +) +xt "36000,69600,43700,70900" +st "memBusEn_n" +blo "36000,70600" +tm "WireNameMgr" +) +) +on &68 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *112 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*113 (Text +uid 1297,0 +va (VaSet +font "courier,12,0" +) +xt "-7000,19600,2500,21000" +st "Package List" +blo "-7000,20800" +) +*114 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,21000,11600,24000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*115 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*116 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*117 (MLText +uid 193,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32000,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*118 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*119 (MLText +uid 195,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*120 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*121 (MLText +uid 197,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "7,31,1388,937" +viewArea "-9065,17500,147962,124527" +cachedDiagramExtent "-112000,0,140000,118000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\SUN\\PREA309_HPLJ3005DN.PRINTERS.SYSTEM.SION.HEVs,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +scale 50 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 7638,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3300,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*122 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,2550,7900,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*123 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,3950,7000,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*124 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,5350,3000,6750" +st "I0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*125 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*126 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*127 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*128 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*129 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*130 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*131 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*132 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*133 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*134 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*135 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*136 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*137 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*138 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,5100,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,1400,2700" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,12,0" +) +xt "0,0,6300,1300" +st "Auto list" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,12600,2700" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,17400,-400" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*139 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*140 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,10800,-400" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*141 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*142 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-7000,26200,1600,27400" +st "Declarations" +blo "-7000,27200" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27400,-2800,28600" +st "Ports:" +blo "-7000,28400" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-7000,27400,-1000,28600" +st "Pre User:" +blo "-7000,28400" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-5000,28600,18400,30600" +st "constant addressBitNb: positive := 24; +constant dataBitNb: positive := 16;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27400,4000,28600" +st "Diagram Signals:" +blo "-7000,28400" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27400,300,28600" +st "Post User:" +blo "-7000,28400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-5000,41800,-5000,41800" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 97,0 +usingSuid 1 +emptyRow *143 (LEmptyRow +) +uid 3310,0 +optionalChildren [ +*144 (RefLabelRowHdr +) +*145 (TitleRowHdr +) +*146 (FilterRowHdr +) +*147 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*148 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*149 (GroupColHdr +tm "GroupColHdrMgr" +) +*150 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*151 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*152 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*153 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*154 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*155 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*156 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 23 +suid 55,0 +) +) +uid 5622,0 +) +*157 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 56,0 +) +) +uid 5624,0 +) +*158 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 17 +suid 66,0 +) +) +uid 5939,0 +) +*159 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 18 +suid 67,0 +) +) +uid 5941,0 +) +*160 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 22 +suid 71,0 +) +) +uid 6040,0 +) +*161 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 75,0 +) +) +uid 6056,0 +) +*162 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashCE_n" +t "std_ulogic" +o 7 +suid 79,0 +) +) +uid 6786,0 +) +*163 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memOE_n" +t "std_ulogic" +o 19 +suid 81,0 +) +) +uid 6790,0 +) +*164 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashSTS" +t "std_ulogic" +o 13 +suid 83,0 +) +) +uid 6837,0 +) +*165 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 2 +suid 84,0 +) +) +uid 6839,0 +) +*166 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "(addressBitNb-1 DOWNTO 0)" +o 15 +suid 85,0 +) +) +uid 6841,0 +) +*167 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashEn" +t "std_ulogic" +o 11 +suid 86,0 +) +) +uid 6970,0 +) +*168 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashDataValid" +t "std_ulogic" +o 10 +suid 87,0 +) +) +uid 6972,0 +) +*169 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashRd" +t "std_ulogic" +o 12 +suid 88,0 +) +) +uid 6974,0 +) +*170 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashWr" +t "std_ulogic" +o 14 +suid 89,0 +) +) +uid 6976,0 +) +*171 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 9 +suid 90,0 +) +) +uid 6978,0 +) +*172 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 91,0 +) +) +uid 6980,0 +) +*173 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "flashAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 6 +suid 92,0 +) +) +uid 6982,0 +) +*174 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "A" +t "unsigned" +b "(23 DOWNTO 0)" +o 1 +suid 93,0 +) +) +uid 6992,0 +) +*175 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 3 +suid 94,0 +) +) +uid 7034,0 +) +*176 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memWrDelayed_n" +t "std_ulogic" +o 21 +suid 95,0 +) +) +uid 7046,0 +) +*177 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memRst_n" +t "std_ulogic" +o 20 +suid 96,0 +) +) +uid 7127,0 +) +*178 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memBusEn_n" +t "std_ulogic" +o 16 +suid 97,0 +) +) +uid 7311,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3323,0 +optionalChildren [ +*179 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *180 (MRCItem +litem &143 +pos 23 +dimension 20 +) +uid 3325,0 +optionalChildren [ +*181 (MRCItem +litem &144 +pos 0 +dimension 20 +uid 3326,0 +) +*182 (MRCItem +litem &145 +pos 1 +dimension 23 +uid 3327,0 +) +*183 (MRCItem +litem &146 +pos 2 +hidden 1 +dimension 20 +uid 3328,0 +) +*184 (MRCItem +litem &156 +pos 0 +dimension 20 +uid 5623,0 +) +*185 (MRCItem +litem &157 +pos 1 +dimension 20 +uid 5625,0 +) +*186 (MRCItem +litem &158 +pos 2 +dimension 20 +uid 5940,0 +) +*187 (MRCItem +litem &159 +pos 3 +dimension 20 +uid 5942,0 +) +*188 (MRCItem +litem &160 +pos 4 +dimension 20 +uid 6041,0 +) +*189 (MRCItem +litem &161 +pos 5 +dimension 20 +uid 6057,0 +) +*190 (MRCItem +litem &162 +pos 6 +dimension 20 +uid 6787,0 +) +*191 (MRCItem +litem &163 +pos 7 +dimension 20 +uid 6791,0 +) +*192 (MRCItem +litem &164 +pos 8 +dimension 20 +uid 6838,0 +) +*193 (MRCItem +litem &165 +pos 9 +dimension 20 +uid 6840,0 +) +*194 (MRCItem +litem &166 +pos 10 +dimension 20 +uid 6842,0 +) +*195 (MRCItem +litem &167 +pos 11 +dimension 20 +uid 6971,0 +) +*196 (MRCItem +litem &168 +pos 12 +dimension 20 +uid 6973,0 +) +*197 (MRCItem +litem &169 +pos 13 +dimension 20 +uid 6975,0 +) +*198 (MRCItem +litem &170 +pos 14 +dimension 20 +uid 6977,0 +) +*199 (MRCItem +litem &171 +pos 15 +dimension 20 +uid 6979,0 +) +*200 (MRCItem +litem &172 +pos 16 +dimension 20 +uid 6981,0 +) +*201 (MRCItem +litem &173 +pos 17 +dimension 20 +uid 6983,0 +) +*202 (MRCItem +litem &174 +pos 18 +dimension 20 +uid 6993,0 +) +*203 (MRCItem +litem &175 +pos 19 +dimension 20 +uid 7035,0 +) +*204 (MRCItem +litem &176 +pos 20 +dimension 20 +uid 7047,0 +) +*205 (MRCItem +litem &177 +pos 21 +dimension 20 +uid 7128,0 +) +*206 (MRCItem +litem &178 +pos 22 +dimension 20 +uid 7312,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3329,0 +optionalChildren [ +*207 (MRCItem +litem &147 +pos 0 +dimension 20 +uid 3330,0 +) +*208 (MRCItem +litem &149 +pos 1 +dimension 50 +uid 3331,0 +) +*209 (MRCItem +litem &150 +pos 2 +dimension 100 +uid 3332,0 +) +*210 (MRCItem +litem &151 +pos 3 +dimension 50 +uid 3333,0 +) +*211 (MRCItem +litem &152 +pos 4 +dimension 100 +uid 3334,0 +) +*212 (MRCItem +litem &153 +pos 5 +dimension 100 +uid 3335,0 +) +*213 (MRCItem +litem &154 +pos 6 +dimension 50 +uid 3336,0 +) +*214 (MRCItem +litem &155 +pos 7 +dimension 80 +uid 3337,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3324,0 +vaOverrides [ +] +) +] +) +uid 3309,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *215 (LEmptyRow +) +uid 3339,0 +optionalChildren [ +*216 (RefLabelRowHdr +) +*217 (TitleRowHdr +) +*218 (FilterRowHdr +) +*219 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*220 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*221 (GroupColHdr +tm "GroupColHdrMgr" +) +*222 (NameColHdr +tm "GenericNameColHdrMgr" +) +*223 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*224 (InitColHdr +tm "GenericValueColHdrMgr" +) +*225 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*226 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3351,0 +optionalChildren [ +*227 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *228 (MRCItem +litem &215 +pos 0 +dimension 20 +) +uid 3353,0 +optionalChildren [ +*229 (MRCItem +litem &216 +pos 0 +dimension 20 +uid 3354,0 +) +*230 (MRCItem +litem &217 +pos 1 +dimension 23 +uid 3355,0 +) +*231 (MRCItem +litem &218 +pos 2 +hidden 1 +dimension 20 +uid 3356,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3357,0 +optionalChildren [ +*232 (MRCItem +litem &219 +pos 0 +dimension 20 +uid 3358,0 +) +*233 (MRCItem +litem &221 +pos 1 +dimension 50 +uid 3359,0 +) +*234 (MRCItem +litem &222 +pos 2 +dimension 100 +uid 3360,0 +) +*235 (MRCItem +litem &223 +pos 3 +dimension 100 +uid 3361,0 +) +*236 (MRCItem +litem &224 +pos 4 +dimension 50 +uid 3362,0 +) +*237 (MRCItem +litem &225 +pos 5 +dimension 50 +uid 3363,0 +) +*238 (MRCItem +litem &226 +pos 6 +dimension 80 +uid 3364,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3352,0 +vaOverrides [ +] +) +] +) +uid 3338,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Memory_test/hds/flash@controller_tb/symbol.sb b/Libs/Memory_test/hds/flash@controller_tb/symbol.sb new file mode 100644 index 0000000..fc3c891 --- /dev/null +++ b/Libs/Memory_test/hds/flash@controller_tb/symbol.sb @@ -0,0 +1,1256 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flashController_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "flashController_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:27" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flashController_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flashController_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:27" +) +(vvPair +variable "unit" +value "flashController_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37150,22900" +st "Memory_test" +blo "29950,22700" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,22900,41350,23900" +st "flashController_tb" +blo "29950,23700" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,27600,42500,28500" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41500,69800,42500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "29750,41350,40250,42650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47500,41000,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41500,53000,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43500,43400,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43500,25800,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45500,25200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,61400,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45500,46400,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47500,26400,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "courier,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "courier,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "0,5800,3000,6800" +st "User:" +blo "0,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,6800,2000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 134,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Libs/Memory_test/hds/flash@controller_tester/interface b/Libs/Memory_test/hds/flash@controller_tester/interface new file mode 100644 index 0000000..3f5511b --- /dev/null +++ b/Libs/Memory_test/hds/flash@controller_tester/interface @@ -0,0 +1,1973 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 48,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 116,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 39,0 +) +) +uid 566,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 6 +suid 40,0 +) +) +uid 568,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "flashDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 41,0 +) +) +uid 570,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 9 +suid 42,0 +) +) +uid 572,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "flashDataValid" +t "std_ulogic" +o 10 +suid 43,0 +) +) +uid 574,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashEn" +t "std_ulogic" +o 11 +suid 44,0 +) +) +uid 576,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashRd" +t "std_ulogic" +o 12 +suid 45,0 +) +) +uid 578,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "flashWr" +t "std_ulogic" +o 14 +suid 46,0 +) +) +uid 580,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "memBusEn_n" +t "std_ulogic" +o 16 +suid 47,0 +) +) +uid 582,0 +) +*23 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 23 +suid 48,0 +) +) +uid 584,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 9 +dimension 20 +) +uid 131,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 132,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 133,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*29 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 567,0 +) +*30 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 569,0 +) +*31 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 571,0 +) +*32 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 573,0 +) +*33 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 575,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 577,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 579,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 581,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 583,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 585,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 136,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 137,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 138,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 139,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 140,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 141,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 142,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 143,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 115,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 145,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 280,0 +) +*60 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 282,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 157,0 +optionalChildren [ +*61 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *62 (MRCItem +litem &47 +pos 2 +dimension 20 +) +uid 159,0 +optionalChildren [ +*63 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 160,0 +) +*64 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 161,0 +) +*65 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 162,0 +) +*66 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 281,0 +) +*67 (MRCItem +litem &60 +pos 1 +dimension 20 +uid 283,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 163,0 +optionalChildren [ +*68 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 164,0 +) +*69 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 165,0 +) +*70 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 166,0 +) +*71 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 167,0 +) +*72 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 168,0 +) +*73 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 169,0 +) +*74 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 170,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 158,0 +vaOverrides [ +] +) +] +) +uid 144,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flashController_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "flashController_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "memory_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flashController_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash@controller_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flashController_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "flashController_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 114,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 516,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 517,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,5250,41375,6000" +) +tg (CPTG +uid 518,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 519,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "40550,7000,41450,9500" +st "clock" +ju 2 +blo "41250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 520,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,63000,4700" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 5 +suid 39,0 +) +) +) +*77 (CptPort +uid 521,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 522,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 523,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 524,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,11500" +st "flashAddr" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 525,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,74500,5600" +st "flashAddr : OUT unsigned (addressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 6 +suid 40,0 +) +) +) +*78 (CptPort +uid 526,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 527,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 528,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 529,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "24550,7000,25450,13000" +st "flashDataIn" +ju 2 +blo "25250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 530,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,77500,2900" +st "flashDataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "flashDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 41,0 +) +) +) +*79 (CptPort +uid 531,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 532,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 533,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 534,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,13500" +st "flashDataOut" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 535,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,77500,6500" +st "flashDataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 9 +suid 42,0 +) +) +) +*80 (CptPort +uid 536,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 537,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 538,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 539,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "32550,7000,33450,14500" +st "flashDataValid" +ju 2 +blo "33250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 540,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,63000,3800" +st "flashDataValid : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "flashDataValid" +t "std_ulogic" +o 10 +suid 43,0 +) +) +) +*81 (CptPort +uid 541,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 542,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38625,5250,39375,6000" +) +tg (CPTG +uid 543,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 544,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "38550,7000,39450,10500" +st "flashEn" +ju 2 +blo "39250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 545,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,63000,7400" +st "flashEn : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashEn" +t "std_ulogic" +o 11 +suid 44,0 +) +) +) +*82 (CptPort +uid 546,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 547,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 548,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 549,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,10500" +st "flashRd" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 550,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,63000,8300" +st "flashRd : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashRd" +t "std_ulogic" +o 12 +suid 45,0 +) +) +) +*83 (CptPort +uid 551,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 552,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 553,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 554,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "30550,7000,31450,10500" +st "flashWr" +ju 2 +blo "31250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 555,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,63000,9200" +st "flashWr : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "flashWr" +t "std_ulogic" +o 14 +suid 46,0 +) +) +) +*84 (CptPort +uid 556,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 557,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,5250,37375,6000" +) +tg (CPTG +uid 558,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 559,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "36550,7000,37450,12500" +st "memBusEn_n" +ju 2 +blo "37250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 560,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9200,63000,10100" +st "memBusEn_n : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "memBusEn_n" +t "std_ulogic" +o 16 +suid 47,0 +) +) +) +*85 (CptPort +uid 561,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 562,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42625,5250,43375,6000" +) +tg (CPTG +uid 563,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 564,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "42550,7000,43450,9500" +st "reset" +ju 2 +blo "43250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 565,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,62000,11000" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 23 +suid 48,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,109000,14000" +) +oxt "15000,6000,107000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "56250,9100,62250,10000" +st "memory_test" +blo "56250,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "56250,10000,67750,10900" +st "flashController_tester" +blo "56250,10700" +) +) +gi *86 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "38000,6000,51500,9600" +st "Generic Declarations + +addressBitNb positive 24 +dataBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*87 (Grouping +uid 16,0 +optionalChildren [ +*88 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*93 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*94 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*95 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*96 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *98 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*100 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "70,52,1086,742" +viewArea "-500,-500,71230,48820" +cachedDiagramExtent "0,0,107000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Memory_test" +entityName "flashController_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *101 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *102 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,11000,44500,11900" +st "User:" +blo "42000,11700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,11900,44000,11900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 585,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory_test/hds/flash_28@f128@j3@a/symbol.sb b/Libs/Memory_test/hds/flash_28@f128@j3@a/symbol.sb new file mode 100644 index 0000000..e1e799f --- /dev/null +++ b/Libs/Memory_test/hds/flash_28@f128@j3@a/symbol.sb @@ -0,0 +1,1951 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 8,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 109,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "A" +t "unsigned" +b "(23 DOWNTO 0)" +o 45 +suid 1,0 +) +) +uid 91,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 48 +suid 2,0 +) +) +uid 93,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 45 +suid 3,0 +) +) +uid 95,0 +) +*17 (LogPort +port (LogicalPort +m 2 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 45 +suid 4,0 +) +) +uid 97,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "OE_n" +t "std_ulogic" +o 48 +suid 5,0 +) +) +uid 99,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "RP_n" +t "std_ulogic" +o 48 +suid 6,0 +) +) +uid 101,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "STS" +t "std_ulogic" +o 48 +suid 7,0 +) +) +uid 103,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "WE_n" +t "std_ulogic" +o 48 +suid 8,0 +) +) +uid 105,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 124,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 125,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 126,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 92,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 94,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 96,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 98,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 100,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 102,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 104,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 106,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 129,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 130,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 131,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 132,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 133,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 134,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 135,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 136,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 108,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 138,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "fileSpec" +type "string" +value "\"flash.srec\"" +) +uid 233,0 +) +*56 (LogGeneric +generic (GiElement +name "T_W13" +type "time" +value "500 ns" +) +uid 258,0 +) +*57 (LogGeneric +generic (GiElement +name "T_W16_program" +type "time" +value "630 us" +) +uid 260,0 +) +*58 (LogGeneric +generic (GiElement +name "T_W16_erase" +type "time" +value "5 sec" +) +uid 262,0 +) +*59 (LogGeneric +generic (GiElement +name "T_R2" +type "time" +value "120 ns" +) +uid 264,0 +) +*60 (LogGeneric +generic (GiElement +name "T_R3" +type "time" +value "120 ns" +) +uid 266,0 +) +*61 (LogGeneric +generic (GiElement +name "T_R7" +type "time" +value "0 ns" +) +uid 268,0 +) +*62 (LogGeneric +generic (GiElement +name "T_R8" +type "time" +value "55 ns" +) +uid 270,0 +) +*63 (LogGeneric +generic (GiElement +name "T_R9" +type "time" +value "15 ns" +) +uid 272,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*64 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *65 (MRCItem +litem &43 +pos 9 +dimension 20 +) +uid 152,0 +optionalChildren [ +*66 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 153,0 +) +*67 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 154,0 +) +*68 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*69 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 234,0 +) +*70 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 259,0 +) +*71 (MRCItem +litem &57 +pos 2 +dimension 20 +uid 261,0 +) +*72 (MRCItem +litem &58 +pos 3 +dimension 20 +uid 263,0 +) +*73 (MRCItem +litem &59 +pos 4 +dimension 20 +uid 265,0 +) +*74 (MRCItem +litem &60 +pos 5 +dimension 20 +uid 267,0 +) +*75 (MRCItem +litem &61 +pos 6 +dimension 20 +uid 269,0 +) +*76 (MRCItem +litem &62 +pos 7 +dimension 20 +uid 271,0 +) +*77 (MRCItem +litem &63 +pos 8 +dimension 20 +uid 273,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*78 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 157,0 +) +*79 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 158,0 +) +*80 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 159,0 +) +*81 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 160,0 +) +*82 (MRCItem +litem &52 +pos 4 +dimension 77 +uid 161,0 +) +*83 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 162,0 +) +*84 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 163,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 137,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_28@f128@j3@a/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_28@f128@j3@a/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_28@f128@j3@a" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_28F128J3A" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "flash_28F128J3A" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:27" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flash_28F128J3A" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_28@f128@j3@a/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_28F128J3A/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:27" +) +(vvPair +variable "unit" +value "flash_28F128J3A" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 107,0 +optionalChildren [ +*85 (SymbolBody +uid 8,0 +optionalChildren [ +*86 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,16625,35000,17375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "36000,16500,36900,17500" +st "A" +blo "36000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,7600,16500,8500" +st "A : IN unsigned (23 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "A" +t "unsigned" +b "(23 DOWNTO 0)" +o 45 +suid 1,0 +) +) +) +*87 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,24625,35000,25375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +) +xt "36000,24500,39200,25500" +st "BYTE_n" +blo "36000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,8500,11000,9400" +st "BYTE_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 48 +suid 2,0 +) +) +) +*88 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,18625,35000,19375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +) +xt "36000,18500,37500,19500" +st "CE" +blo "36000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,9400,20500,10300" +st "CE : IN std_ulogic_vector (2 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 45 +suid 3,0 +) +) +) +*89 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,16625,43750,17375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +) +xt "40400,16500,42000,17500" +st "DQ" +ju 2 +blo "42000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,13900,19500,14800" +st "DQ : INOUT std_logic_vector (15 DOWNTO 0)" +) +thePort (LogicalPort +m 2 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 45 +suid 4,0 +) +) +) +*90 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,22625,35000,23375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +) +xt "36000,22500,38300,23500" +st "OE_n" +blo "36000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,10300,11000,11200" +st "OE_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "OE_n" +t "std_ulogic" +o 48 +suid 5,0 +) +) +) +*91 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,28625,35000,29375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +) +xt "36000,28500,38300,29500" +st "RP_n" +blo "36000,29300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,11200,11000,12100" +st "RP_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "RP_n" +t "std_ulogic" +o 48 +suid 6,0 +) +) +) +*92 (CptPort +uid 81,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 82,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "43000,18625,43750,19375" +) +tg (CPTG +uid 83,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 84,0 +va (VaSet +) +xt "40100,18500,42000,19500" +st "STS" +ju 2 +blo "42000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 85,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,13000,11000,13900" +st "STS : OUT std_ulogic ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "STS" +t "std_ulogic" +o 48 +suid 7,0 +) +) +) +*93 (CptPort +uid 86,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 87,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,20625,35000,21375" +) +tg (CPTG +uid 88,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 89,0 +va (VaSet +) +xt "36000,20500,38400,21500" +st "WE_n" +blo "36000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,12100,11000,13000" +st "WE_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "WE_n" +t "std_ulogic" +o 48 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,13000,43000,31000" +) +oxt "15000,6000,23000,22000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "34800,31000,40800,31900" +st "Memory_test" +blo "34800,31700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "34800,31900,42800,32800" +st "flash_28F128J3A" +blo "34800,32600" +) +) +gi *94 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "35000,34600,53000,44500" +st "Generic Declarations + +fileSpec string \"flash.srec\" +T_W13 time 500 ns +T_W16_program time 630 us +T_W16_erase time 5 sec +T_R2 time 120 ns +T_R3 time 120 ns +T_R7 time 0 ns +T_R8 time 55 ns +T_R9 time 15 ns " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "fileSpec" +type "string" +value "\"flash.srec\"" +) +(GiElement +name "T_W13" +type "time" +value "500 ns" +) +(GiElement +name "T_W16_program" +type "time" +value "630 us" +) +(GiElement +name "T_W16_erase" +type "time" +value "5 sec" +) +(GiElement +name "T_R2" +type "time" +value "120 ns" +) +(GiElement +name "T_R3" +type "time" +value "120 ns" +) +(GiElement +name "T_R7" +type "time" +value "0 ns" +) +(GiElement +name "T_R8" +type "time" +value "55 ns" +) +(GiElement +name "T_R9" +type "time" +value "15 ns" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*95 (Grouping +uid 16,0 +optionalChildren [ +*96 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,47000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,44000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "47000,44000,51000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "47200,44000,50800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*98 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,47000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,46400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*99 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,46000,30000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "26200,46000,29800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*100 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "47000,45000,67000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "47200,45200,60400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*101 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,67000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,53000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*102 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,44000,47000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "32000,44500,41000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*103 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,47000,30000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "26200,47000,29200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*104 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,48000,30000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "26200,48000,29800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*105 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,47000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,40400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "26000,44000,67000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *106 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*107 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,0,-600,1000" +st "Package List" +blo "-6000,800" +) +*108 (MLText +uid 50,0 +va (VaSet +) +xt "-6000,1000,12600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "41,38,1302,971" +viewArea "-7100,-1100,68966,56643" +cachedDiagramExtent "-6000,0,67000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-6000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *109 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *110 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,5600,-600,6600" +st "Declarations" +blo "-6000,6400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,6600,-3300,7600" +st "Ports:" +blo "-6000,7400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,14800,-3500,15700" +st "User:" +blo "-6000,15500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-6000,5600,-200,6600" +st "Internal User:" +blo "-6000,6400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,15700,-4000,15700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-6000,5600,-6000,5600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 296,0 +activeModelName "Symbol" +) diff --git a/Libs/Memory_test/hds/flash_tb/struct.bd b/Libs/Memory_test/hds/flash_tb/struct.bd new file mode 100644 index 0000000..7681750 --- /dev/null +++ b/Libs/Memory_test/hds/flash_tb/struct.bd @@ -0,0 +1,3224 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I1" +duLibraryName "memory_test" +duName "flash_tester" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 1774,0 +) +(Instance +name "I0" +duLibraryName "memory_test" +duName "flash_28F128J3A" +elements [ +(GiElement +name "fileSpec" +type "string" +value "\"U:\\ELN_board\\Simulation\\flash.srec\"" +) +(GiElement +name "T_W13" +type "time" +value "500 ns" +) +(GiElement +name "T_W16_program" +type "time" +value "1 us" +) +(GiElement +name "T_W16_erase" +type "time" +value "1.5 us" +) +(GiElement +name "T_R2" +type "time" +value "120 ns" +) +(GiElement +name "T_R3" +type "time" +value "120 ns" +) +(GiElement +name "T_R7" +type "time" +value "0 ns" +) +(GiElement +name "T_R8" +type "time" +value "55 ns" +) +(GiElement +name "T_R9" +type "time" +value "15 ns" +) +] +mwi 0 +uid 5435,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "flash_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flash_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "flash_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Grouping +uid 1487,0 +optionalChildren [ +*2 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "72000,77000,91000,79000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "72200,77500,87800,78500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,77000,66000,79000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "47750,77350,58250,78650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,83000,66000,85000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,83500,61400,84500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,77000,72000,79000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,77500,71000,78500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,79000,66000,81000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,79500,61400,80500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,79000,45000,81000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,79500,43800,80500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,81000,45000,83000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,81500,43200,82500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "66000,79000,91000,85000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "66200,79200,79400,80200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "45000,81000,66000,83000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "45200,81500,62000,82500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "40000,83000,45000,85000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "40200,83500,44400,84500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "40000,77000,91000,85000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "24000,57000,68000,65000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 1777,0 +va (VaSet +font "courier,12,1" +) +xt "24600,64900,35200,66300" +st "memory_test" +blo "24600,66100" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 1778,0 +va (VaSet +font "courier,12,1" +) +xt "24600,66300,34400,67700" +st "flash_tester" +blo "24600,67500" +tm "BlkNameMgr" +) +*15 (Text +uid 1779,0 +va (VaSet +font "courier,12,1" +) +xt "24600,67700,27000,69100" +st "I1" +blo "24600,68900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +font "courier,9,0" +) +xt "24000,69800,47000,71600" +st "addressBitNb = addressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +) +*16 (Net +uid 5007,0 +decl (Decl +n "RP_n" +t "std_ulogic" +o 6 +suid 47,0 +) +declText (MLText +uid 5008,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,13500,900" +st "SIGNAL RP_n : std_ulogic" +) +) +*17 (Net +uid 5015,0 +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 2 +suid 48,0 +) +declText (MLText +uid 5016,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,13500,900" +st "SIGNAL BYTE_n : std_ulogic" +) +) +*18 (Net +uid 5023,0 +decl (Decl +n "OE_n" +t "std_ulogic" +o 5 +suid 49,0 +) +declText (MLText +uid 5024,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,13500,900" +st "SIGNAL OE_n : std_ulogic" +) +) +*19 (Net +uid 5031,0 +decl (Decl +n "WE_n" +t "std_ulogic" +o 8 +suid 50,0 +) +declText (MLText +uid 5032,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,13500,900" +st "SIGNAL WE_n : std_ulogic" +) +) +*20 (Net +uid 5039,0 +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 3 +suid 51,0 +) +declText (MLText +uid 5040,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,23000,900" +st "SIGNAL CE : std_ulogic_vector(2 DOWNTO 0)" +) +) +*21 (Net +uid 5047,0 +decl (Decl +n "A" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 52,0 +) +declText (MLText +uid 5048,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,25000,900" +st "SIGNAL A : unsigned(addressBitNb-1 DOWNTO 0)" +) +) +*22 (Net +uid 5055,0 +decl (Decl +n "STS" +t "std_ulogic" +o 7 +suid 53,0 +) +declText (MLText +uid 5056,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,13500,900" +st "SIGNAL STS : std_ulogic" +) +) +*23 (Net +uid 5063,0 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 54,0 +) +declText (MLText +uid 5064,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,27500,900" +st "SIGNAL DQ : std_logic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*24 (SaComponent +uid 5435,0 +optionalChildren [ +*25 (CptPort +uid 5403,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5404,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,34625,46000,35375" +) +tg (CPTG +uid 5405,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5406,0 +va (VaSet +) +xt "47000,34500,47900,35500" +st "A" +blo "47000,35300" +) +) +thePort (LogicalPort +decl (Decl +n "A" +t "unsigned" +b "(23 DOWNTO 0)" +o 45 +suid 1,0 +) +) +) +*26 (CptPort +uid 5407,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5408,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,42625,46000,43375" +) +tg (CPTG +uid 5409,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5410,0 +va (VaSet +) +xt "47000,42500,50200,43500" +st "BYTE_n" +blo "47000,43300" +) +) +thePort (LogicalPort +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 48 +suid 2,0 +) +) +) +*27 (CptPort +uid 5411,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5412,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,36625,46000,37375" +) +tg (CPTG +uid 5413,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5414,0 +va (VaSet +) +xt "47000,36500,48500,37500" +st "CE" +blo "47000,37300" +) +) +thePort (LogicalPort +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 45 +suid 3,0 +) +) +) +*28 (CptPort +uid 5415,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 5416,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,34625,54750,35375" +) +tg (CPTG +uid 5417,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5418,0 +va (VaSet +) +xt "51400,34500,53000,35500" +st "DQ" +ju 2 +blo "53000,35300" +) +) +thePort (LogicalPort +m 2 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 45 +suid 4,0 +) +) +) +*29 (CptPort +uid 5419,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5420,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,40625,46000,41375" +) +tg (CPTG +uid 5421,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5422,0 +va (VaSet +) +xt "47000,40500,49300,41500" +st "OE_n" +blo "47000,41300" +) +) +thePort (LogicalPort +decl (Decl +n "OE_n" +t "std_ulogic" +o 48 +suid 5,0 +) +) +) +*30 (CptPort +uid 5423,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5424,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,46625,46000,47375" +) +tg (CPTG +uid 5425,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5426,0 +va (VaSet +) +xt "47000,46500,49300,47500" +st "RP_n" +blo "47000,47300" +) +) +thePort (LogicalPort +decl (Decl +n "RP_n" +t "std_ulogic" +o 48 +suid 6,0 +) +) +) +*31 (CptPort +uid 5427,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5428,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,36625,54750,37375" +) +tg (CPTG +uid 5429,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5430,0 +va (VaSet +) +xt "51100,36500,53000,37500" +st "STS" +ju 2 +blo "53000,37300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "STS" +t "std_ulogic" +o 48 +suid 7,0 +) +) +) +*32 (CptPort +uid 5431,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5432,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "45250,38625,46000,39375" +) +tg (CPTG +uid 5433,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5434,0 +va (VaSet +) +xt "47000,38500,49400,39500" +st "WE_n" +blo "47000,39300" +) +) +thePort (LogicalPort +decl (Decl +n "WE_n" +t "std_ulogic" +o 48 +suid 8,0 +) +) +) +] +shape (Rectangle +uid 5436,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "46000,31000,54000,49000" +) +oxt "35000,13000,43000,31000" +ttg (MlTextGroup +uid 5437,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*33 (Text +uid 5438,0 +va (VaSet +font "courier,8,1" +) +xt "45800,49000,51300,50000" +st "memory_test" +blo "45800,49800" +tm "BdLibraryNameMgr" +) +*34 (Text +uid 5439,0 +va (VaSet +font "courier,8,1" +) +xt "45800,50000,52600,51000" +st "flash_28F128J3A" +blo "45800,50800" +tm "CptNameMgr" +) +*35 (Text +uid 5440,0 +va (VaSet +font "courier,8,1" +) +xt "45800,51000,46800,52000" +st "I0" +blo "45800,51800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 5441,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 5442,0 +text (MLText +uid 5443,0 +va (VaSet +font "courier,8,0" +) +xt "46000,52600,80500,60700" +st "fileSpec = \"U:\\ELN_board\\Simulation\\flash.srec\" ( string ) +T_W13 = 500 ns ( time ) +T_W16_program = 1 us ( time ) +T_W16_erase = 1.5 us ( time ) +T_R2 = 120 ns ( time ) +T_R3 = 120 ns ( time ) +T_R7 = 0 ns ( time ) +T_R8 = 55 ns ( time ) +T_R9 = 15 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "fileSpec" +type "string" +value "\"U:\\ELN_board\\Simulation\\flash.srec\"" +) +(GiElement +name "T_W13" +type "time" +value "500 ns" +) +(GiElement +name "T_W16_program" +type "time" +value "1 us" +) +(GiElement +name "T_W16_erase" +type "time" +value "1.5 us" +) +(GiElement +name "T_R2" +type "time" +value "120 ns" +) +(GiElement +name "T_R3" +type "time" +value "120 ns" +) +(GiElement +name "T_R7" +type "time" +value "0 ns" +) +(GiElement +name "T_R8" +type "time" +value "55 ns" +) +(GiElement +name "T_R9" +type "time" +value "15 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*36 (Wire +uid 5009,0 +shape (OrthoPolyLine +uid 5010,0 +va (VaSet +vasetType 3 +) +xt "44000,47000,45250,57000" +pts [ +"45250,47000" +"44000,47000" +"44000,57000" +] +) +start &30 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5013,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5014,0 +va (VaSet +font "courier,12,0" +) +xt "40250,45600,43050,46900" +st "RP_n" +blo "40250,46600" +tm "WireNameMgr" +) +) +on &16 +) +*37 (Wire +uid 5017,0 +shape (OrthoPolyLine +uid 5018,0 +va (VaSet +vasetType 3 +) +xt "40000,43000,45250,57000" +pts [ +"45250,43000" +"40000,43000" +"40000,57000" +] +) +start &26 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5021,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5022,0 +va (VaSet +font "courier,12,0" +) +xt "39250,41600,44650,43000" +st "BYTE_n" +blo "39250,42800" +tm "WireNameMgr" +) +) +on &17 +) +*38 (Wire +uid 5025,0 +shape (OrthoPolyLine +uid 5026,0 +va (VaSet +vasetType 3 +) +xt "38000,41000,45250,57000" +pts [ +"45250,41000" +"38000,41000" +"38000,57000" +] +) +start &29 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5029,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5030,0 +va (VaSet +font "courier,12,0" +) +xt "40250,39600,43050,40900" +st "OE_n" +blo "40250,40600" +tm "WireNameMgr" +) +) +on &18 +) +*39 (Wire +uid 5033,0 +shape (OrthoPolyLine +uid 5034,0 +va (VaSet +vasetType 3 +) +xt "36000,39000,45250,57000" +pts [ +"45250,39000" +"36000,39000" +"36000,57000" +] +) +start &32 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5037,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5038,0 +va (VaSet +font "courier,12,0" +) +xt "39250,37600,42050,38900" +st "WE_n" +blo "39250,38600" +tm "WireNameMgr" +) +) +on &19 +) +*40 (Wire +uid 5041,0 +shape (OrthoPolyLine +uid 5042,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "34000,37000,45250,57000" +pts [ +"45250,37000" +"34000,37000" +"34000,57000" +] +) +start &27 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5045,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5046,0 +va (VaSet +font "courier,12,0" +) +xt "41250,35600,42650,36900" +st "CE" +blo "41250,36600" +tm "WireNameMgr" +) +) +on &20 +) +*41 (Wire +uid 5049,0 +shape (OrthoPolyLine +uid 5050,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "32000,35000,45250,57000" +pts [ +"45250,35000" +"32000,35000" +"32000,57000" +] +) +start &25 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5053,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5054,0 +va (VaSet +font "courier,12,0" +) +xt "42250,33600,42950,34900" +st "A" +blo "42250,34600" +tm "WireNameMgr" +) +) +on &21 +) +*42 (Wire +uid 5057,0 +shape (OrthoPolyLine +uid 5058,0 +va (VaSet +vasetType 3 +) +xt "54750,37000,58000,57000" +pts [ +"54750,37000" +"58000,37000" +"58000,57000" +] +) +start &31 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5061,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5062,0 +va (VaSet +font "courier,12,0" +) +xt "56750,35600,59850,37000" +st "STS" +blo "56750,36800" +tm "WireNameMgr" +) +) +on &22 +) +*43 (Wire +uid 5065,0 +shape (OrthoPolyLine +uid 5066,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "54750,35000,60000,57000" +pts [ +"54750,35000" +"60000,35000" +"60000,57000" +] +) +start &28 +end &12 +sat 32 +eat 4 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5069,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5070,0 +va (VaSet +font "courier,12,0" +) +xt "56750,33600,58150,34900" +st "DQ" +blo "56750,34600" +tm "WireNameMgr" +) +) +on &23 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *44 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*45 (Text +uid 1297,0 +va (VaSet +font "courier,12,0" +) +xt "-7000,19600,2500,21000" +st "Package List" +blo "-7000,20800" +) +*46 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,21000,11600,24000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*47 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*48 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*49 (MLText +uid 193,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32000,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*50 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*51 (MLText +uid 195,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*52 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*53 (MLText +uid 197,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "7,31,1370,1010" +viewArea "-8500,18100,93316,92593" +cachedDiagramExtent "-7000,0,91000,85000" +pageSetupInfo (PageSetupInfo +ptrCmd "Generic PostScript Printer,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +scale 75 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 5521,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3300,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*54 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,2550,7900,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*55 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,3950,7000,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*56 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,5350,3000,6750" +st "I0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*58 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*59 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*61 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*62 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*63 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*64 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*65 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*66 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*67 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*68 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*69 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*70 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,5100,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,1400,2700" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,12,0" +) +xt "0,0,6300,1300" +st "Auto list" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,12600,2700" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,17400,-400" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*71 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*72 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,10800,-400" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*73 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*74 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-7000,25800,1600,27000" +st "Declarations" +blo "-7000,26800" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27000,-2800,28200" +st "Ports:" +blo "-7000,28000" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-7000,27000,-1000,28200" +st "Pre User:" +blo "-7000,28000" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "-5000,28200,18400,30200" +st "constant addressBitNb: positive := 24; +constant dataBitNb: positive := 16;" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27000,4000,28200" +st "Diagram Signals:" +blo "-7000,28000" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-7000,27000,300,28200" +st "Post User:" +blo "-7000,28000" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "-5000,41400,-5000,41400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 54,0 +usingSuid 1 +emptyRow *75 (LEmptyRow +) +uid 3310,0 +optionalChildren [ +*76 (RefLabelRowHdr +) +*77 (TitleRowHdr +) +*78 (FilterRowHdr +) +*79 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*80 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*81 (GroupColHdr +tm "GroupColHdrMgr" +) +*82 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*83 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*84 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*85 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*86 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*87 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*88 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "RP_n" +t "std_ulogic" +o 6 +suid 47,0 +) +) +uid 5071,0 +) +*89 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 2 +suid 48,0 +) +) +uid 5073,0 +) +*90 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "OE_n" +t "std_ulogic" +o 5 +suid 49,0 +) +) +uid 5075,0 +) +*91 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "WE_n" +t "std_ulogic" +o 8 +suid 50,0 +) +) +uid 5077,0 +) +*92 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 3 +suid 51,0 +) +) +uid 5079,0 +) +*93 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "A" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 52,0 +) +) +uid 5081,0 +) +*94 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "STS" +t "std_ulogic" +o 7 +suid 53,0 +) +) +uid 5083,0 +) +*95 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 54,0 +) +) +uid 5085,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3323,0 +optionalChildren [ +*96 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *97 (MRCItem +litem &75 +pos 8 +dimension 20 +) +uid 3325,0 +optionalChildren [ +*98 (MRCItem +litem &76 +pos 0 +dimension 20 +uid 3326,0 +) +*99 (MRCItem +litem &77 +pos 1 +dimension 23 +uid 3327,0 +) +*100 (MRCItem +litem &78 +pos 2 +hidden 1 +dimension 20 +uid 3328,0 +) +*101 (MRCItem +litem &88 +pos 0 +dimension 20 +uid 5072,0 +) +*102 (MRCItem +litem &89 +pos 1 +dimension 20 +uid 5074,0 +) +*103 (MRCItem +litem &90 +pos 2 +dimension 20 +uid 5076,0 +) +*104 (MRCItem +litem &91 +pos 3 +dimension 20 +uid 5078,0 +) +*105 (MRCItem +litem &92 +pos 4 +dimension 20 +uid 5080,0 +) +*106 (MRCItem +litem &93 +pos 5 +dimension 20 +uid 5082,0 +) +*107 (MRCItem +litem &94 +pos 6 +dimension 20 +uid 5084,0 +) +*108 (MRCItem +litem &95 +pos 7 +dimension 20 +uid 5086,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3329,0 +optionalChildren [ +*109 (MRCItem +litem &79 +pos 0 +dimension 20 +uid 3330,0 +) +*110 (MRCItem +litem &81 +pos 1 +dimension 50 +uid 3331,0 +) +*111 (MRCItem +litem &82 +pos 2 +dimension 100 +uid 3332,0 +) +*112 (MRCItem +litem &83 +pos 3 +dimension 50 +uid 3333,0 +) +*113 (MRCItem +litem &84 +pos 4 +dimension 100 +uid 3334,0 +) +*114 (MRCItem +litem &85 +pos 5 +dimension 100 +uid 3335,0 +) +*115 (MRCItem +litem &86 +pos 6 +dimension 50 +uid 3336,0 +) +*116 (MRCItem +litem &87 +pos 7 +dimension 80 +uid 3337,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3324,0 +vaOverrides [ +] +) +] +) +uid 3309,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *117 (LEmptyRow +) +uid 3339,0 +optionalChildren [ +*118 (RefLabelRowHdr +) +*119 (TitleRowHdr +) +*120 (FilterRowHdr +) +*121 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*122 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*123 (GroupColHdr +tm "GroupColHdrMgr" +) +*124 (NameColHdr +tm "GenericNameColHdrMgr" +) +*125 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*126 (InitColHdr +tm "GenericValueColHdrMgr" +) +*127 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*128 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3351,0 +optionalChildren [ +*129 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *130 (MRCItem +litem &117 +pos 0 +dimension 20 +) +uid 3353,0 +optionalChildren [ +*131 (MRCItem +litem &118 +pos 0 +dimension 20 +uid 3354,0 +) +*132 (MRCItem +litem &119 +pos 1 +dimension 23 +uid 3355,0 +) +*133 (MRCItem +litem &120 +pos 2 +hidden 1 +dimension 20 +uid 3356,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3357,0 +optionalChildren [ +*134 (MRCItem +litem &121 +pos 0 +dimension 20 +uid 3358,0 +) +*135 (MRCItem +litem &123 +pos 1 +dimension 50 +uid 3359,0 +) +*136 (MRCItem +litem &124 +pos 2 +dimension 100 +uid 3360,0 +) +*137 (MRCItem +litem &125 +pos 3 +dimension 100 +uid 3361,0 +) +*138 (MRCItem +litem &126 +pos 4 +dimension 50 +uid 3362,0 +) +*139 (MRCItem +litem &127 +pos 5 +dimension 50 +uid 3363,0 +) +*140 (MRCItem +litem &128 +pos 6 +dimension 80 +uid 3364,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3352,0 +vaOverrides [ +] +) +] +) +uid 3338,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Memory_test/hds/flash_tb/symbol.sb b/Libs/Memory_test/hds/flash_tb/symbol.sb new file mode 100644 index 0000000..526b626 --- /dev/null +++ b/Libs/Memory_test/hds/flash_tb/symbol.sb @@ -0,0 +1,1256 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "flash_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:27" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flash_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:27" +) +(vvPair +variable "unit" +value "flash_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37150,22900" +st "Memory_test" +blo "29950,22700" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,22900,34750,23900" +st "flash_tb" +blo "29950,23700" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,27600,42500,28500" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41500,69800,42500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "29750,41350,40250,42650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47500,41000,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41500,53000,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43500,43400,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43500,25800,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45500,25200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,61400,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45500,44000,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47500,26400,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "courier,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "courier,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "0,5800,3000,6800" +st "User:" +blo "0,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,6800,2000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 134,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Libs/Memory_test/hds/flash_tester/interface b/Libs/Memory_test/hds/flash_tester/interface new file mode 100644 index 0000000..278989e --- /dev/null +++ b/Libs/Memory_test/hds/flash_tester/interface @@ -0,0 +1,1841 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 32,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 109,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "A" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 25,0 +) +) +uid 458,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 2 +suid 26,0 +) +) +uid 460,0 +) +*16 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 3 +suid 27,0 +) +) +uid 462,0 +) +*17 (LogPort +port (LogicalPort +m 2 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 28,0 +) +) +uid 464,0 +) +*18 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "OE_n" +t "std_ulogic" +o 5 +suid 29,0 +) +) +uid 466,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "RP_n" +t "std_ulogic" +o 6 +suid 30,0 +) +) +uid 468,0 +) +*20 (LogPort +port (LogicalPort +decl (Decl +n "STS" +t "std_ulogic" +o 7 +suid 31,0 +) +) +uid 470,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "WE_n" +t "std_ulogic" +o 8 +suid 32,0 +) +) +uid 472,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 122,0 +optionalChildren [ +*22 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *23 (MRCItem +litem &1 +pos 8 +dimension 20 +) +uid 124,0 +optionalChildren [ +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 125,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 126,0 +) +*26 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 127,0 +) +*27 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 459,0 +) +*28 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 461,0 +) +*29 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 463,0 +) +*30 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 465,0 +) +*31 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 467,0 +) +*32 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 469,0 +) +*33 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 471,0 +) +*34 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 473,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 128,0 +optionalChildren [ +*35 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 129,0 +) +*36 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 130,0 +) +*37 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 131,0 +) +*38 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 132,0 +) +*39 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 133,0 +) +*40 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 134,0 +) +*41 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 135,0 +) +*42 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 136,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 123,0 +vaOverrides [ +] +) +] +) +uid 108,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *43 (LEmptyRow +) +uid 138,0 +optionalChildren [ +*44 (RefLabelRowHdr +) +*45 (TitleRowHdr +) +*46 (FilterRowHdr +) +*47 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*48 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*49 (GroupColHdr +tm "GroupColHdrMgr" +) +*50 (NameColHdr +tm "GenericNameColHdrMgr" +) +*51 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*52 (InitColHdr +tm "GenericValueColHdrMgr" +) +*53 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*54 (EolColHdr +tm "GenericEolColHdrMgr" +) +*55 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 210,0 +) +*56 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 212,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 150,0 +optionalChildren [ +*57 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *58 (MRCItem +litem &43 +pos 2 +dimension 20 +) +uid 152,0 +optionalChildren [ +*59 (MRCItem +litem &44 +pos 0 +dimension 20 +uid 153,0 +) +*60 (MRCItem +litem &45 +pos 1 +dimension 23 +uid 154,0 +) +*61 (MRCItem +litem &46 +pos 2 +hidden 1 +dimension 20 +uid 155,0 +) +*62 (MRCItem +litem &55 +pos 0 +dimension 20 +uid 211,0 +) +*63 (MRCItem +litem &56 +pos 1 +dimension 20 +uid 213,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 156,0 +optionalChildren [ +*64 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 157,0 +) +*65 (MRCItem +litem &49 +pos 1 +dimension 50 +uid 158,0 +) +*66 (MRCItem +litem &50 +pos 2 +dimension 100 +uid 159,0 +) +*67 (MRCItem +litem &51 +pos 3 +dimension 100 +uid 160,0 +) +*68 (MRCItem +litem &52 +pos 4 +dimension 50 +uid 161,0 +) +*69 (MRCItem +litem &53 +pos 5 +dimension 50 +uid 162,0 +) +*70 (MRCItem +litem &54 +pos 6 +dimension 80 +uid 163,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 151,0 +vaOverrides [ +] +) +] +) +uid 137,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "flash_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:28" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "memory_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "flash_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/flash_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:45:28" +) +(vvPair +variable "unit" +value "flash_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 107,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 418,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 419,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 420,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 421,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,7500" +st "A" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 422,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,70500,3800" +st "A : OUT unsigned (addressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "A" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 1 +suid 25,0 +) +) +) +*73 (CptPort +uid 423,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 424,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 425,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 426,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "30550,7000,31450,10000" +st "BYTE_n" +ju 2 +blo "31250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 427,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,59000,4700" +st "BYTE_n : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "BYTE_n" +t "std_ulogic" +o 2 +suid 26,0 +) +) +) +*74 (CptPort +uid 428,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 429,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 430,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 431,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "24550,7000,25450,8000" +st "CE" +ju 2 +blo "25250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 432,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,68500,5600" +st "CE : OUT std_ulogic_vector (2 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "CE" +t "std_ulogic_vector" +b "(2 DOWNTO 0)" +o 3 +suid 27,0 +) +) +) +*75 (CptPort +uid 433,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 434,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "50625,5250,51375,6000" +) +tg (CPTG +uid 435,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 436,0 +va (VaSet +font "courier,8,0" +) +xt "52000,6000,53000,6900" +st "DQ" +blo "52000,6700" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 437,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,72000,9200" +st "DQ : INOUT std_logic_vector (dataBitNb-1 DOWNTO 0) +" +) +thePort (LogicalPort +m 2 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 28,0 +) +) +) +*76 (CptPort +uid 438,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 439,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 440,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 441,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9000" +st "OE_n" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 442,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,59000,6500" +st "OE_n : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "OE_n" +t "std_ulogic" +o 5 +suid 29,0 +) +) +) +*77 (CptPort +uid 443,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 444,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34625,5250,35375,6000" +) +tg (CPTG +uid 445,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 446,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "34550,7000,35450,9000" +st "RP_n" +ju 2 +blo "35250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 447,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,59000,7400" +st "RP_n : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "RP_n" +t "std_ulogic" +o 6 +suid 30,0 +) +) +) +*78 (CptPort +uid 448,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 449,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48625,5250,49375,6000" +) +tg (CPTG +uid 450,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 451,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "48550,7000,49450,8500" +st "STS" +ju 2 +blo "49250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 452,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,59000,2900" +st "STS : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "STS" +t "std_ulogic" +o 7 +suid 31,0 +) +) +) +*79 (CptPort +uid 453,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 454,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 455,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 456,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,9000" +st "WE_n" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 457,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,59000,8300" +st "WE_n : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "WE_n" +t "std_ulogic" +o 8 +suid 32,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,59000,14000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "33750,9100,39750,10000" +st "memory_test" +blo "33750,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "33750,10000,40250,10900" +st "flash_tester" +blo "33750,10700" +) +) +gi *80 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "13000,6000,26500,9600" +st "Generic Declarations + +addressBitNb positive 24 +dataBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*81 (Grouping +uid 16,0 +optionalChildren [ +*82 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*86 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*87 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*88 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *92 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*93 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*94 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,10,1016,690" +viewArea "-500,-500,71230,47920" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Memory_test" +entityName "flash_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *95 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *96 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,9200,44500,10100" +st "User:" +blo "42000,9900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,44000,10100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 473,0 +activeModelName "Symbol:GEN" +) diff --git a/Libs/Memory_test/hds/sdram@controller_tb/struct.bd b/Libs/Memory_test/hds/sdram@controller_tb/struct.bd new file mode 100644 index 0000000..c8d3137 --- /dev/null +++ b/Libs/Memory_test/hds/sdram@controller_tb/struct.bd @@ -0,0 +1,6053 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I1" +duLibraryName "memory_test" +duName "sdramController_tester" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +mwi 0 +uid 1774,0 +) +(Instance +name "I2" +duLibraryName "memory_test" +duName "sdram_mt48lc16m16a2" +elements [ +(GiElement +name "addr_bits" +type "integer" +value "rowAddressBitNb" +) +(GiElement +name "data_bits" +type "integer" +value "dataBitNb" +) +(GiElement +name "col_bits" +type "integer" +value "colAddressBitNb" +) +(GiElement +name "index" +type "integer" +value "0" +) +(GiElement +name "fname" +type "string" +value "\"U:\\ELN_board\\Simulation\\sdram.srec\"" +) +(GiElement +name "tAC" +type "time" +value "6 ns" +) +(GiElement +name "tHZ" +type "time" +value "7 ns" +) +(GiElement +name "tOH" +type "time" +value "2.7 ns" +) +(GiElement +name "tMRD" +type "integer" +value "2" +) +(GiElement +name "tRAS" +type "time" +value "44 ns" +) +(GiElement +name "tRC" +type "time" +value "66 ns" +) +(GiElement +name "tRCD" +type "time" +value "20 ns" +) +(GiElement +name "tRP" +type "time" +value "20 ns" +) +(GiElement +name "tRRD" +type "time" +value "15 ns" +) +(GiElement +name "tWRa" +type "time" +value "7.5 ns" +) +(GiElement +name "tWRp" +type "time" +value "15 ns" +) +(GiElement +name "tAH" +type "time" +value "0.8 ns" +) +(GiElement +name "tAS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCH" +type "time" +value "2.5 ns" +) +(GiElement +name "tCL" +type "time" +value "2.5 ns" +) +(GiElement +name "tCK" +type "time" +value "10 ns" +) +(GiElement +name "tDH" +type "time" +value "0.8 ns" +) +(GiElement +name "tDS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCKH" +type "time" +value "0.8 ns" +) +(GiElement +name "tCKS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCMH" +type "time" +value "0.8 ns" +) +(GiElement +name "tCMS" +type "time" +value "1.5 ns" +) +] +mwi 0 +uid 5983,0 +) +(Instance +name "I0" +duLibraryName "memory" +duName "sdramController" +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "rowAddressBitNb" +) +(GiElement +name "chipBankAddressBitNb" +type "positive" +value "bankAddressBitNb" +) +] +mwi 0 +uid 6647,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb1" +number "1" +) +(EmbeddedInstance +name "eb2" +number "2" +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdramController_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramController_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:34" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramController_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdramController_tb/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:45:34" +) +(vvPair +variable "unit" +value "sdramController_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 198,0 +optionalChildren [ +*1 (Grouping +uid 1487,0 +optionalChildren [ +*2 (CommentText +uid 1489,0 +shape (Rectangle +uid 1490,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "83000,85000,102000,87000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 1491,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "83200,85500,98800,86500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +uid 1492,0 +shape (Rectangle +uid 1493,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,85000,77000,87000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 1494,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "58750,85350,69250,86650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +uid 1495,0 +shape (Rectangle +uid 1496,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,91000,77000,93000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 1497,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,91500,72400,92500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +uid 1498,0 +shape (Rectangle +uid 1499,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "77000,85000,83000,87000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 1500,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "77200,85500,82000,86500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*6 (CommentText +uid 1501,0 +shape (Rectangle +uid 1502,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,87000,77000,89000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 1503,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,87500,72400,88500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +uid 1504,0 +shape (Rectangle +uid 1505,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,87000,56000,89000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 1506,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,87500,54800,88500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +uid 1507,0 +shape (Rectangle +uid 1508,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,89000,56000,91000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 1509,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,89500,54200,90500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +uid 1510,0 +shape (Rectangle +uid 1511,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "77000,87000,102000,93000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 1512,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "77200,87200,90400,88200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*10 (CommentText +uid 1513,0 +shape (Rectangle +uid 1514,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "56000,89000,77000,91000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 1515,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "56200,89500,75400,90500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*11 (CommentText +uid 1516,0 +shape (Rectangle +uid 1517,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,91000,56000,93000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 1518,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,91500,55400,92500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 1488,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "51000,85000,102000,93000" +) +oxt "13000,22000,64000,30000" +) +*12 (Blk +uid 1774,0 +shape (Rectangle +uid 1775,0 +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-3000,67000,81000,75000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 1776,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*13 (Text +uid 1777,0 +va (VaSet +font "courier,12,1" +) +xt "-2400,74900,8200,76300" +st "memory_test" +blo "-2400,76100" +tm "BdLibraryNameMgr" +) +*14 (Text +uid 1778,0 +va (VaSet +font "courier,12,1" +) +xt "-2400,76300,15800,77700" +st "sdramController_tester" +blo "-2400,77500" +tm "BlkNameMgr" +) +*15 (Text +uid 1779,0 +va (VaSet +font "courier,12,1" +) +xt "-2400,77700,0,79100" +st "I1" +blo "-2400,78900" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1780,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1781,0 +text (MLText +uid 1782,0 +va (VaSet +font "courier,9,0" +) +xt "-3000,79800,20000,81600" +st "addressBitNb = addressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +] +) +) +*16 (Net +uid 5550,0 +decl (Decl +n "reset" +t "std_ulogic" +o 17 +suid 55,0 +) +declText (MLText +uid 5551,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,129500,-5300" +st "SIGNAL reset : std_ulogic" +) +) +*17 (Net +uid 5558,0 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 56,0 +) +declText (MLText +uid 5559,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,129500,-5300" +st "SIGNAL clock : std_ulogic" +) +) +*18 (Net +uid 5566,0 +decl (Decl +n "ramEn" +t "std_ulogic" +o 14 +suid 57,0 +) +declText (MLText +uid 5567,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,129500,-5300" +st "SIGNAL ramEn : std_ulogic" +) +) +*19 (Net +uid 5574,0 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 13 +suid 58,0 +) +declText (MLText +uid 5575,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,129500,-5300" +st "SIGNAL ramDataValid : std_ulogic" +) +) +*20 (Net +uid 5582,0 +decl (Decl +n "ramWr" +t "std_ulogic" +o 16 +suid 59,0 +) +declText (MLText +uid 5583,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,129500,-5300" +st "SIGNAL ramWr : std_ulogic" +) +) +*21 (Net +uid 5590,0 +decl (Decl +n "ramRd" +t "std_ulogic" +o 15 +suid 60,0 +) +declText (MLText +uid 5591,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,129500,-5300" +st "SIGNAL ramRd : std_ulogic" +) +) +*22 (Net +uid 5598,0 +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 61,0 +) +declText (MLText +uid 5599,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,144000,-5300" +st "SIGNAL ramDataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*23 (Net +uid 5606,0 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 62,0 +) +declText (MLText +uid 5607,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,144000,-5300" +st "SIGNAL ramDataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*24 (Net +uid 5614,0 +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 63,0 +) +declText (MLText +uid 5615,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "112000,-6200,141000,-5300" +st "SIGNAL ramAddr : unsigned(addressBitNb-1 DOWNTO 0)" +) +) +*25 (Net +uid 5907,0 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "(rowAddressBitNb-1 DOWNTO 0)" +o 5 +suid 64,0 +) +declText (MLText +uid 5908,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,133000,1100" +st "SIGNAL memAddress : std_ulogic_vector(rowAddressBitNb-1 DOWNTO 0)" +) +) +*26 (Net +uid 5913,0 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "(bankAddressBitNb-1 DOWNTO 0)" +o 6 +suid 65,0 +) +declText (MLText +uid 5914,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,133500,1100" +st "SIGNAL memBankAddress : std_ulogic_vector(bankAddressBitNb-1 DOWNTO 0)" +) +) +*27 (Net +uid 5919,0 +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 7 +suid 66,0 +) +declText (MLText +uid 5920,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,130000,1100" +st "SIGNAL memDataIn : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*28 (Net +uid 5927,0 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 67,0 +) +declText (MLText +uid 5928,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,130000,1100" +st "SIGNAL memDataOut : std_ulogic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*29 (SaComponent +uid 5983,0 +optionalChildren [ +*30 (CptPort +uid 5943,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5944,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,33625,57000,34375" +) +tg (CPTG +uid 5945,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5946,0 +va (VaSet +) +xt "58000,33500,59900,34500" +st "addr" +blo "58000,34300" +) +) +thePort (LogicalPort +decl (Decl +n "addr" +t "std_ulogic_vector" +b "( addr_bits-1 DOWNTO 0 )" +o 9 +suid 22,0 +) +) +) +*31 (CptPort +uid 5947,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5948,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,35625,57000,36375" +) +tg (CPTG +uid 5949,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5950,0 +va (VaSet +) +xt "58000,35500,59300,36500" +st "Ba" +blo "58000,36300" +) +) +thePort (LogicalPort +decl (Decl +n "Ba" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 1 +suid 23,0 +i "\"00\"" +) +) +) +*32 (CptPort +uid 5951,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5952,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,41625,57000,42375" +) +tg (CPTG +uid 5953,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5954,0 +va (VaSet +) +xt "58000,41500,60600,42500" +st "Cas_n" +blo "58000,42300" +) +) +thePort (LogicalPort +decl (Decl +n "Cas_n" +t "std_ulogic" +o 2 +suid 24,0 +) +) +) +*33 (CptPort +uid 5955,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5956,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,47625,57000,48375" +) +tg (CPTG +uid 5957,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5958,0 +va (VaSet +) +xt "58000,47500,59700,48500" +st "Cke" +blo "58000,48300" +) +) +thePort (LogicalPort +decl (Decl +n "Cke" +t "std_ulogic" +o 3 +suid 25,0 +) +) +) +*34 (CptPort +uid 5959,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5960,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,49625,57000,50375" +) +tg (CPTG +uid 5961,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5962,0 +va (VaSet +) +xt "58000,49500,59500,50500" +st "Clk" +blo "58000,50300" +) +) +thePort (LogicalPort +decl (Decl +n "Clk" +t "std_ulogic" +o 4 +suid 26,0 +) +) +) +*35 (CptPort +uid 5963,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5964,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,37625,57000,38375" +) +tg (CPTG +uid 5965,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5966,0 +va (VaSet +) +xt "58000,37500,60200,38500" +st "Cs_n" +blo "58000,38300" +) +) +thePort (LogicalPort +decl (Decl +n "Cs_n" +t "std_ulogic" +o 5 +suid 27,0 +) +) +) +*36 (CptPort +uid 5967,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 5968,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,33625,65750,34375" +) +tg (CPTG +uid 5969,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 5970,0 +va (VaSet +) +xt "62500,33500,64000,34500" +st "Dq" +ju 2 +blo "64000,34300" +) +) +thePort (LogicalPort +m 2 +decl (Decl +n "Dq" +t "std_logic_vector" +b "( data_bits-1 DOWNTO 0 )" +o 10 +suid 28,0 +) +) +) +*37 (CptPort +uid 5971,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5972,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,45625,57000,46375" +) +tg (CPTG +uid 5973,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5974,0 +va (VaSet +) +xt "58000,45500,60100,46500" +st "Dqm" +blo "58000,46300" +) +) +thePort (LogicalPort +decl (Decl +n "Dqm" +t "std_ulogic_vector" +b "( 1 DOWNTO 0 )" +o 6 +suid 29,0 +i "\"00\"" +) +) +) +*38 (CptPort +uid 5975,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5976,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,39625,57000,40375" +) +tg (CPTG +uid 5977,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5978,0 +va (VaSet +) +xt "58000,39500,60600,40500" +st "Ras_n" +blo "58000,40300" +) +) +thePort (LogicalPort +decl (Decl +n "Ras_n" +t "std_ulogic" +o 7 +suid 31,0 +) +) +) +*39 (CptPort +uid 5979,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 5980,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "56250,43625,57000,44375" +) +tg (CPTG +uid 5981,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 5982,0 +va (VaSet +) +xt "58000,43500,60400,44500" +st "WE_n" +blo "58000,44300" +) +) +thePort (LogicalPort +decl (Decl +n "WE_n" +t "std_ulogic" +o 8 +suid 32,0 +) +) +) +] +shape (Rectangle +uid 5984,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "57000,30000,65000,52000" +) +oxt "31000,7000,39000,29000" +ttg (MlTextGroup +uid 5985,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*40 (Text +uid 5986,0 +va (VaSet +font "courier,8,1" +) +xt "57500,52000,63000,53000" +st "memory_test" +blo "57500,52800" +tm "BdLibraryNameMgr" +) +*41 (Text +uid 5987,0 +va (VaSet +font "courier,8,1" +) +xt "57500,53000,66500,54000" +st "sdram_mt48lc16m16a2" +blo "57500,53800" +tm "CptNameMgr" +) +*42 (Text +uid 5988,0 +va (VaSet +font "courier,8,1" +) +xt "57500,54000,58500,55000" +st "I2" +blo "57500,54800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 5989,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 5990,0 +text (MLText +uid 5991,0 +va (VaSet +font "courier,8,0" +) +xt "66000,40400,99000,64700" +st "addr_bits = rowAddressBitNb ( integer ) +data_bits = dataBitNb ( integer ) +col_bits = colAddressBitNb ( integer ) +index = 0 ( integer ) +fname = \"U:\\ELN_board\\Simulation\\sdram.srec\" ( string ) +tAC = 6 ns ( time ) +tHZ = 7 ns ( time ) +tOH = 2.7 ns ( time ) +tMRD = 2 ( integer ) +tRAS = 44 ns ( time ) +tRC = 66 ns ( time ) +tRCD = 20 ns ( time ) +tRP = 20 ns ( time ) +tRRD = 15 ns ( time ) +tWRa = 7.5 ns ( time ) +tWRp = 15 ns ( time ) +tAH = 0.8 ns ( time ) +tAS = 1.5 ns ( time ) +tCH = 2.5 ns ( time ) +tCL = 2.5 ns ( time ) +tCK = 10 ns ( time ) +tDH = 0.8 ns ( time ) +tDS = 1.5 ns ( time ) +tCKH = 0.8 ns ( time ) +tCKS = 1.5 ns ( time ) +tCMH = 0.8 ns ( time ) +tCMS = 1.5 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "addr_bits" +type "integer" +value "rowAddressBitNb" +) +(GiElement +name "data_bits" +type "integer" +value "dataBitNb" +) +(GiElement +name "col_bits" +type "integer" +value "colAddressBitNb" +) +(GiElement +name "index" +type "integer" +value "0" +) +(GiElement +name "fname" +type "string" +value "\"U:\\ELN_board\\Simulation\\sdram.srec\"" +) +(GiElement +name "tAC" +type "time" +value "6 ns" +) +(GiElement +name "tHZ" +type "time" +value "7 ns" +) +(GiElement +name "tOH" +type "time" +value "2.7 ns" +) +(GiElement +name "tMRD" +type "integer" +value "2" +) +(GiElement +name "tRAS" +type "time" +value "44 ns" +) +(GiElement +name "tRC" +type "time" +value "66 ns" +) +(GiElement +name "tRCD" +type "time" +value "20 ns" +) +(GiElement +name "tRP" +type "time" +value "20 ns" +) +(GiElement +name "tRRD" +type "time" +value "15 ns" +) +(GiElement +name "tWRa" +type "time" +value "7.5 ns" +) +(GiElement +name "tWRp" +type "time" +value "15 ns" +) +(GiElement +name "tAH" +type "time" +value "0.8 ns" +) +(GiElement +name "tAS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCH" +type "time" +value "2.5 ns" +) +(GiElement +name "tCL" +type "time" +value "2.5 ns" +) +(GiElement +name "tCK" +type "time" +value "10 ns" +) +(GiElement +name "tDH" +type "time" +value "0.8 ns" +) +(GiElement +name "tDS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCKH" +type "time" +value "0.8 ns" +) +(GiElement +name "tCKS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCMH" +type "time" +value "0.8 ns" +) +(GiElement +name "tCMS" +type "time" +value "1.5 ns" +) +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*43 (Net +uid 5992,0 +decl (Decl +n "sdClk" +t "std_ulogic" +o 20 +suid 68,0 +) +declText (MLText +uid 5993,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,115500,1100" +st "SIGNAL sdClk : std_ulogic" +) +) +*44 (Net +uid 5998,0 +decl (Decl +n "sdCke" +t "std_ulogic" +o 19 +suid 69,0 +) +declText (MLText +uid 5999,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,115500,1100" +st "SIGNAL sdCke : std_ulogic" +) +) +*45 (Net +uid 6004,0 +decl (Decl +n "sdDqm" +t "std_ulogic_vector" +b "( 1 DOWNTO 0 )" +o 22 +suid 70,0 +) +declText (MLText +uid 6005,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,126000,1100" +st "SIGNAL sdDqm : std_ulogic_vector( 1 DOWNTO 0 )" +) +) +*46 (Net +uid 6010,0 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 9 +suid 71,0 +) +declText (MLText +uid 6011,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,115500,1100" +st "SIGNAL memWr_n : std_ulogic" +) +) +*47 (Net +uid 6016,0 +decl (Decl +n "sdCas_n" +t "std_ulogic" +o 18 +suid 72,0 +) +declText (MLText +uid 6017,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,115500,1100" +st "SIGNAL sdCas_n : std_ulogic" +) +) +*48 (Net +uid 6022,0 +decl (Decl +n "sdRas_n" +t "std_ulogic" +o 23 +suid 73,0 +) +declText (MLText +uid 6023,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,115500,1100" +st "SIGNAL sdRas_n : std_ulogic" +) +) +*49 (Net +uid 6028,0 +decl (Decl +n "sdCs_n" +t "std_ulogic" +o 21 +suid 74,0 +) +declText (MLText +uid 6029,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,115500,1100" +st "SIGNAL sdCs_n : std_ulogic" +) +) +*50 (Net +uid 6048,0 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 75,0 +) +declText (MLText +uid 6049,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,129500,1100" +st "SIGNAL DQ : std_logic_vector(dataBitNb-1 DOWNTO 0)" +) +) +*51 (HdlText +uid 6058,0 +optionalChildren [ +*52 (EmbeddedText +uid 6063,0 +commentText (CommentText +uid 6064,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 6065,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "73000,31000,89000,39000" +) +oxt "0,0,18000,5000" +text (MLText +uid 6066,0 +va (VaSet +font "courier,9,0" +) +xt "73200,31200,89200,35700" +st " +memDataIn <= std_ulogic_vector(DQ); +DQ <= std_logic_vector(memDataOut) when memWr_n = '0' + else (others => 'Z'); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 8000 +visibleWidth 16000 +) +) +) +] +shape (Rectangle +uid 6059,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "73000,30000,89000,40000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 6060,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*53 (Text +uid 6061,0 +va (VaSet +) +xt "73400,40000,74600,41000" +st "eb1" +blo "73400,40800" +tm "HdlTextNameMgr" +) +*54 (Text +uid 6062,0 +va (VaSet +) +xt "73400,41000,73800,42000" +st "1" +blo "73400,41800" +tm "HdlTextNumberMgr" +) +] +) +) +*55 (HdlText +uid 6138,0 +optionalChildren [ +*56 (EmbeddedText +uid 6143,0 +commentText (CommentText +uid 6144,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 6145,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "128000,62000,170000,86000" +) +oxt "0,0,18000,5000" +text (MLText +uid 6146,0 +va (VaSet +font "courier,9,0" +) +xt "128200,62200,168200,79300" +st " +commandBus <= (sdCs_n, sdRas_n, sdCas_n, memWr_n, sdDqm(1), sdDqm(0)); + + ----------------------------------------------------------------------------- + -- debug information + commandDecode: process(commandBus) + begin + case commandBus is + when inhibit => commandString <= \"inhibit \"; + when nop => commandString <= \"nop \"; + when active => commandString <= \"active \"; + when read => commandString <= \"read \"; + when write => commandString <= \"write \"; + when burstTerminate => commandString <= \"burstTerminate \"; + when precharge => commandString <= \"precharge \"; + when autoRefresh => commandString <= \"autoRefresh \"; + when loadModeReg => commandString <= \"loadModeReg \"; + when others => commandString <= \"XXXXXXXXXXXXXXXX\"; + end case; + end process commandDecode; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 24000 +visibleWidth 42000 +) +) +) +] +shape (Rectangle +uid 6139,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "128000,61000,170000,87000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 6140,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*57 (Text +uid 6141,0 +va (VaSet +) +xt "128200,87000,129800,88000" +st "eb2" +blo "128200,87800" +tm "HdlTextNameMgr" +) +*58 (Text +uid 6142,0 +va (VaSet +) +xt "128200,88000,129000,89000" +st "2" +blo "128200,88800" +tm "HdlTextNumberMgr" +) +] +) +) +*59 (Net +uid 6195,0 +decl (Decl +n "commandBus" +t "commandBusType" +o 3 +suid 76,0 +) +declText (MLText +uid 6196,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,117500,1100" +st "SIGNAL commandBus : commandBusType" +) +) +*60 (Net +uid 6207,0 +decl (Decl +n "commandString" +t "string" +b "(1 TO 16)" +o 4 +suid 78,0 +) +declText (MLText +uid 6208,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "98000,200,118000,1100" +st "SIGNAL commandString : string(1 TO 16)" +) +) +*61 (SaComponent +uid 6647,0 +optionalChildren [ +*62 (CptPort +uid 6563,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6564,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,53625,25000,54375" +) +tg (CPTG +uid 6565,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6566,0 +va (VaSet +) +xt "26000,53500,28100,54500" +st "clock" +blo "26000,54300" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 20,0 +) +) +) +*63 (CptPort +uid 6567,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6568,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,39625,25000,40375" +) +tg (CPTG +uid 6569,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6570,0 +va (VaSet +) +xt "26000,39500,31400,40500" +st "ramDataValid" +blo "26000,40300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 14 +suid 21,0 +) +) +) +*64 (CptPort +uid 6571,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6572,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,29625,25000,30375" +) +tg (CPTG +uid 6573,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6574,0 +va (VaSet +) +xt "26000,29500,29300,30500" +st "ramAddr" +blo "26000,30300" +) +) +thePort (LogicalPort +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 3 +suid 22,0 +) +) +) +*65 (CptPort +uid 6575,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6576,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,29625,41750,30375" +) +tg (CPTG +uid 6577,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6578,0 +va (VaSet +) +xt "34800,29500,40000,30500" +st "memAddress" +ju 2 +blo "40000,30300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "( chipAddressBitNb-1 DOWNTO 0 )" +o 9 +suid 23,0 +) +) +) +*66 (CptPort +uid 6579,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6580,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,33625,25000,34375" +) +tg (CPTG +uid 6581,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6582,0 +va (VaSet +) +xt "26000,33500,30900,34500" +st "ramDataOut" +blo "26000,34300" +) +) +thePort (LogicalPort +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 4 +suid 24,0 +) +) +) +*67 (CptPort +uid 6583,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6584,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,33625,41750,34375" +) +tg (CPTG +uid 6585,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6586,0 +va (VaSet +) +xt "35800,33500,40000,34500" +st "memDataIn" +ju 2 +blo "40000,34300" +) +) +thePort (LogicalPort +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 25,0 +) +) +) +*68 (CptPort +uid 6587,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6588,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,35625,41750,36375" +) +tg (CPTG +uid 6589,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6590,0 +va (VaSet +) +xt "34800,35500,40000,36500" +st "memDataOut" +ju 2 +blo "40000,36300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 26,0 +) +) +) +*69 (CptPort +uid 6591,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6592,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,43625,41750,44375" +) +tg (CPTG +uid 6593,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6594,0 +va (VaSet +) +xt "36200,43500,40000,44500" +st "memWr_n" +ju 2 +blo "40000,44300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 12 +suid 27,0 +) +) +) +*70 (CptPort +uid 6595,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6596,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,51625,25000,52375" +) +tg (CPTG +uid 6597,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6598,0 +va (VaSet +) +xt "26000,51500,28600,52500" +st "ramEn" +blo "26000,52300" +) +) +thePort (LogicalPort +decl (Decl +n "ramEn" +t "std_ulogic" +o 5 +suid 28,0 +) +) +) +*71 (CptPort +uid 6599,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6600,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,35625,25000,36375" +) +tg (CPTG +uid 6601,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6602,0 +va (VaSet +) +xt "26000,35500,28700,36500" +st "ramRd" +blo "26000,36300" +) +) +thePort (LogicalPort +decl (Decl +n "ramRd" +t "std_ulogic" +o 6 +suid 29,0 +) +) +) +*72 (CptPort +uid 6603,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6604,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,37625,25000,38375" +) +tg (CPTG +uid 6605,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6606,0 +va (VaSet +) +xt "26000,37500,28700,38500" +st "ramWr" +blo "26000,38300" +) +) +thePort (LogicalPort +decl (Decl +n "ramWr" +t "std_ulogic" +o 7 +suid 30,0 +) +) +) +*73 (CptPort +uid 6607,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6608,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,55625,25000,56375" +) +tg (CPTG +uid 6609,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6610,0 +va (VaSet +) +xt "26000,55500,28100,56500" +st "reset" +blo "26000,56300" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 8 +suid 31,0 +) +) +) +*74 (CptPort +uid 6611,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6612,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,41625,41750,42375" +) +tg (CPTG +uid 6613,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6614,0 +va (VaSet +) +xt "36600,41500,40000,42500" +st "sdCas_n" +ju 2 +blo "40000,42300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdCas_n" +t "std_ulogic" +o 15 +suid 32,0 +) +) +) +*75 (CptPort +uid 6615,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6616,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,47625,41750,48375" +) +tg (CPTG +uid 6617,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6618,0 +va (VaSet +) +xt "37500,47500,40000,48500" +st "sdCke" +ju 2 +blo "40000,48300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdCke" +t "std_ulogic" +o 16 +suid 33,0 +) +) +) +*76 (CptPort +uid 6619,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6620,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,49625,41750,50375" +) +tg (CPTG +uid 6621,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6622,0 +va (VaSet +) +xt "37700,49500,40000,50500" +st "sdClk" +ju 2 +blo "40000,50300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdClk" +t "std_ulogic" +o 17 +suid 34,0 +) +) +) +*77 (CptPort +uid 6623,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6624,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,37625,41750,38375" +) +tg (CPTG +uid 6625,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6626,0 +va (VaSet +) +xt "37000,37500,40000,38500" +st "sdCs_n" +ju 2 +blo "40000,38300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdCs_n" +t "std_ulogic" +o 18 +suid 35,0 +) +) +) +*78 (CptPort +uid 6627,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6628,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,45625,41750,46375" +) +tg (CPTG +uid 6629,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6630,0 +va (VaSet +) +xt "37100,45500,40000,46500" +st "sdDqm" +ju 2 +blo "40000,46300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdDqm" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 19 +suid 36,0 +) +) +) +*79 (CptPort +uid 6631,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6632,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,39625,41750,40375" +) +tg (CPTG +uid 6633,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6634,0 +va (VaSet +) +xt "36600,39500,40000,40500" +st "sdRas_n" +ju 2 +blo "40000,40300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "sdRas_n" +t "std_ulogic" +o 20 +suid 38,0 +) +) +) +*80 (CptPort +uid 6635,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6636,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,31625,25000,32375" +) +tg (CPTG +uid 6637,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 6638,0 +va (VaSet +) +xt "26000,31500,29900,32500" +st "ramDataIn" +blo "26000,32300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 13 +suid 42,0 +) +) +) +*81 (CptPort +uid 6639,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6640,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,31625,41750,32375" +) +tg (CPTG +uid 6641,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6642,0 +va (VaSet +) +xt "33200,31500,40000,32500" +st "memBankAddress" +ju 2 +blo "40000,32300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "( chipBankAddressBitNb-1 DOWNTO 0 )" +o 10 +suid 43,0 +) +) +) +*82 (CptPort +uid 6643,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 6644,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,53625,41750,54375" +) +tg (CPTG +uid 6645,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 6646,0 +va (VaSet +) +xt "34500,53500,40000,54500" +st "selectRefresh" +ju 2 +blo "40000,54300" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 21 +suid 44,0 +) +) +) +] +shape (Rectangle +uid 6648,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "25000,26000,41000,58000" +) +oxt "42000,2000,58000,34000" +ttg (MlTextGroup +uid 6649,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 6650,0 +va (VaSet +font "courier,8,1" +) +xt "25550,58500,28850,59500" +st "memory" +blo "25550,59300" +tm "BdLibraryNameMgr" +) +*84 (Text +uid 6651,0 +va (VaSet +font "courier,8,1" +) +xt "25550,59500,32450,60500" +st "sdramController" +blo "25550,60300" +tm "CptNameMgr" +) +*85 (Text +uid 6652,0 +va (VaSet +font "courier,8,1" +) +xt "25550,60500,26550,61500" +st "I0" +blo "25550,61300" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 6653,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 6654,0 +text (MLText +uid 6655,0 +va (VaSet +font "courier,8,0" +) +xt "25000,62200,54000,65800" +st "addressBitNb = addressBitNb ( positive ) +dataBitNb = dataBitNb ( positive ) +chipAddressBitNb = rowAddressBitNb ( positive ) +chipBankAddressBitNb = bankAddressBitNb ( positive ) " +) +header "" +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "addressBitNb" +) +(GiElement +name "dataBitNb" +type "positive" +value "dataBitNb" +) +(GiElement +name "chipAddressBitNb" +type "positive" +value "rowAddressBitNb" +) +(GiElement +name "chipBankAddressBitNb" +type "positive" +value "bankAddressBitNb" +) +] +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +archFileType "UNKNOWN" +) +*86 (Net +uid 6656,0 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 24 +suid 79,0 +) +declText (MLText +uid 6657,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,0,17500,900" +st "SIGNAL selectRefresh : std_ulogic" +) +) +*87 (Wire +uid 5552,0 +shape (OrthoPolyLine +uid 5553,0 +va (VaSet +vasetType 3 +) +xt "23000,56000,24250,67000" +pts [ +"24250,56000" +"23000,56000" +"23000,67000" +] +) +start &73 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5556,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5557,0 +va (VaSet +font "courier,12,0" +) +xt "19250,54600,22750,55900" +st "reset" +blo "19250,55600" +tm "WireNameMgr" +) +) +on &16 +) +*88 (Wire +uid 5560,0 +shape (OrthoPolyLine +uid 5561,0 +va (VaSet +vasetType 3 +) +xt "21000,54000,24250,67000" +pts [ +"24250,54000" +"21000,54000" +"21000,67000" +] +) +start &62 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5564,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5565,0 +va (VaSet +font "courier,12,0" +) +xt "19250,52600,23050,54000" +st "clock" +blo "19250,53800" +tm "WireNameMgr" +) +) +on &17 +) +*89 (Wire +uid 5568,0 +shape (OrthoPolyLine +uid 5569,0 +va (VaSet +vasetType 3 +) +xt "19000,52000,24250,67000" +pts [ +"24250,52000" +"19000,52000" +"19000,67000" +] +) +start &70 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5572,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5573,0 +va (VaSet +font "courier,12,0" +) +xt "18250,50600,21750,51900" +st "ramEn" +blo "18250,51600" +tm "WireNameMgr" +) +) +on &18 +) +*90 (Wire +uid 5576,0 +shape (OrthoPolyLine +uid 5577,0 +va (VaSet +vasetType 3 +) +xt "15000,40000,24250,67000" +pts [ +"24250,40000" +"15000,40000" +"15000,67000" +] +) +start &63 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5580,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5581,0 +va (VaSet +font "courier,12,0" +) +xt "13250,38600,22350,39900" +st "ramDataValid" +blo "13250,39600" +tm "WireNameMgr" +) +) +on &19 +) +*91 (Wire +uid 5584,0 +shape (OrthoPolyLine +uid 5585,0 +va (VaSet +vasetType 3 +) +xt "13000,38000,24250,67000" +pts [ +"24250,38000" +"13000,38000" +"13000,67000" +] +) +start &72 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5588,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5589,0 +va (VaSet +font "courier,12,0" +) +xt "18250,36600,21750,37900" +st "ramWr" +blo "18250,37600" +tm "WireNameMgr" +) +) +on &20 +) +*92 (Wire +uid 5592,0 +shape (OrthoPolyLine +uid 5593,0 +va (VaSet +vasetType 3 +) +xt "11000,36000,24250,67000" +pts [ +"24250,36000" +"11000,36000" +"11000,67000" +] +) +start &71 +end &12 +sat 32 +eat 2 +stc 0 +st 0 +si 0 +tg (WTG +uid 5596,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5597,0 +va (VaSet +font "courier,12,0" +) +xt "18250,34600,21750,35900" +st "ramRd" +blo "18250,35600" +tm "WireNameMgr" +) +) +on &21 +) +*93 (Wire +uid 5600,0 +shape (OrthoPolyLine +uid 5601,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "9000,34000,24250,67000" +pts [ +"24250,34000" +"9000,34000" +"9000,67000" +] +) +start &66 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5604,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5605,0 +va (VaSet +font "courier,12,0" +) +xt "14250,32600,21950,33900" +st "ramDataOut" +blo "14250,33600" +tm "WireNameMgr" +) +) +on &22 +) +*94 (Wire +uid 5608,0 +shape (OrthoPolyLine +uid 5609,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "7000,32000,24250,67000" +pts [ +"24250,32000" +"7000,32000" +"7000,67000" +] +) +start &80 +end &12 +sat 32 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5612,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5613,0 +va (VaSet +font "courier,12,0" +) +xt "15250,30600,21550,31900" +st "ramDataIn" +blo "15250,31600" +tm "WireNameMgr" +) +) +on &23 +) +*95 (Wire +uid 5616,0 +shape (OrthoPolyLine +uid 5617,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "5000,30000,24250,67000" +pts [ +"24250,30000" +"5000,30000" +"5000,67000" +] +) +start &64 +end &12 +sat 32 +eat 2 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5620,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5621,0 +va (VaSet +font "courier,12,0" +) +xt "17250,28600,22150,29900" +st "ramAddr" +blo "17250,29600" +tm "WireNameMgr" +) +) +on &24 +) +*96 (Wire +uid 5909,0 +shape (OrthoPolyLine +uid 5910,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41750,30000,56250,34000" +pts [ +"41750,30000" +"54000,30000" +"54000,34000" +"56250,34000" +] +) +start &65 +end &30 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5911,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5912,0 +va (VaSet +font "courier,12,0" +) +xt "43750,28600,51450,29900" +st "memAddress" +blo "43750,29600" +tm "WireNameMgr" +) +) +on &25 +) +*97 (Wire +uid 5915,0 +shape (OrthoPolyLine +uid 5916,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41750,32000,56250,36000" +pts [ +"41750,32000" +"53000,32000" +"53000,36000" +"56250,36000" +] +) +start &81 +end &31 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5917,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5918,0 +va (VaSet +font "courier,12,0" +) +xt "43000,30600,53500,31900" +st "memBankAddress" +blo "43000,31600" +tm "WireNameMgr" +) +) +on &26 +) +*98 (Wire +uid 5921,0 +shape (OrthoPolyLine +uid 5922,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41750,34000,45000,34000" +pts [ +"41750,34000" +"45000,34000" +] +) +start &67 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5925,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5926,0 +va (VaSet +font "courier,12,0" +) +xt "43750,32600,50050,33900" +st "memDataIn" +blo "43750,33600" +tm "WireNameMgr" +) +) +on &27 +) +*99 (Wire +uid 5929,0 +shape (OrthoPolyLine +uid 5930,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41750,36000,45000,36000" +pts [ +"41750,36000" +"45000,36000" +] +) +start &68 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 5933,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5934,0 +va (VaSet +font "courier,12,0" +) +xt "43750,34600,51450,35900" +st "memDataOut" +blo "43750,35600" +tm "WireNameMgr" +) +) +on &28 +) +*100 (Wire +uid 5994,0 +shape (OrthoPolyLine +uid 5995,0 +va (VaSet +vasetType 3 +) +xt "41750,50000,56250,50000" +pts [ +"41750,50000" +"56250,50000" +] +) +start &76 +end &34 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 5996,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 5997,0 +va (VaSet +font "courier,12,0" +) +xt "43750,48600,47250,49900" +st "sdClk" +blo "43750,49600" +tm "WireNameMgr" +) +) +on &43 +) +*101 (Wire +uid 6000,0 +shape (OrthoPolyLine +uid 6001,0 +va (VaSet +vasetType 3 +) +xt "41750,48000,56250,48000" +pts [ +"41750,48000" +"56250,48000" +] +) +start &75 +end &33 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6002,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6003,0 +va (VaSet +font "courier,12,0" +) +xt "43750,46600,47250,47900" +st "sdCke" +blo "43750,47600" +tm "WireNameMgr" +) +) +on &44 +) +*102 (Wire +uid 6006,0 +shape (OrthoPolyLine +uid 6007,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41750,46000,56250,46000" +pts [ +"41750,46000" +"56250,46000" +] +) +start &78 +end &37 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6008,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6009,0 +va (VaSet +font "courier,12,0" +) +xt "43750,44600,47250,45900" +st "sdDqm" +blo "43750,45600" +tm "WireNameMgr" +) +) +on &45 +) +*103 (Wire +uid 6012,0 +shape (OrthoPolyLine +uid 6013,0 +va (VaSet +vasetType 3 +) +xt "41750,44000,56250,44000" +pts [ +"41750,44000" +"56250,44000" +] +) +start &69 +end &39 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6014,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6015,0 +va (VaSet +font "courier,12,0" +) +xt "43750,42600,48650,43900" +st "memWr_n" +blo "43750,43600" +tm "WireNameMgr" +) +) +on &46 +) +*104 (Wire +uid 6018,0 +shape (OrthoPolyLine +uid 6019,0 +va (VaSet +vasetType 3 +) +xt "41750,42000,56250,42000" +pts [ +"41750,42000" +"56250,42000" +] +) +start &74 +end &32 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6020,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6021,0 +va (VaSet +font "courier,12,0" +) +xt "43750,40600,50050,42000" +st "sdCas_n" +blo "43750,41800" +tm "WireNameMgr" +) +) +on &47 +) +*105 (Wire +uid 6024,0 +shape (OrthoPolyLine +uid 6025,0 +va (VaSet +vasetType 3 +) +xt "41750,40000,56250,40000" +pts [ +"41750,40000" +"56250,40000" +] +) +start &79 +end &38 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6026,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6027,0 +va (VaSet +font "courier,12,0" +) +xt "43750,38600,48650,39900" +st "sdRas_n" +blo "43750,39600" +tm "WireNameMgr" +) +) +on &48 +) +*106 (Wire +uid 6030,0 +shape (OrthoPolyLine +uid 6031,0 +va (VaSet +vasetType 3 +) +xt "41750,38000,56250,38000" +pts [ +"41750,38000" +"56250,38000" +] +) +start &77 +end &35 +sat 32 +eat 32 +stc 0 +st 0 +si 0 +tg (WTG +uid 6032,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6033,0 +va (VaSet +font "courier,12,0" +) +xt "43750,36600,47950,37900" +st "sdCs_n" +blo "43750,37600" +tm "WireNameMgr" +) +) +on &49 +) +*107 (Wire +uid 6050,0 +shape (OrthoPolyLine +uid 6051,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "65750,34000,73000,34000" +pts [ +"65750,34000" +"73000,34000" +] +) +start &36 +end &51 +sat 32 +eat 4 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6054,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6055,0 +va (VaSet +font "courier,12,0" +) +xt "67750,32600,69150,33900" +st "DQ" +blo "67750,33600" +tm "WireNameMgr" +) +) +on &50 +) +*108 (Wire +uid 6114,0 +shape (OrthoPolyLine +uid 6115,0 +va (VaSet +vasetType 3 +) +xt "69000,36000,73000,36000" +pts [ +"69000,36000" +"73000,36000" +] +) +end &51 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6120,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6121,0 +va (VaSet +font "courier,12,0" +) +xt "66000,34600,70900,35900" +st "memWr_n" +blo "66000,35600" +tm "WireNameMgr" +) +) +on &46 +) +*109 (Wire +uid 6122,0 +shape (OrthoPolyLine +uid 6123,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "89000,34000,93000,34000" +pts [ +"89000,34000" +"93000,34000" +] +) +start &51 +sat 2 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6128,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6129,0 +va (VaSet +font "courier,12,0" +) +xt "91750,32600,98050,33900" +st "memDataIn" +blo "91750,33600" +tm "WireNameMgr" +) +) +on &27 +) +*110 (Wire +uid 6130,0 +shape (OrthoPolyLine +uid 6131,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "89000,36000,93000,36000" +pts [ +"89000,36000" +"93000,36000" +] +) +start &51 +sat 1 +eat 16 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6136,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6137,0 +va (VaSet +font "courier,12,0" +) +xt "91750,34600,99450,35900" +st "memDataOut" +blo "91750,35600" +tm "WireNameMgr" +) +) +on &28 +) +*111 (Wire +uid 6147,0 +shape (OrthoPolyLine +uid 6148,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "112000,72000,128000,72000" +pts [ +"112000,72000" +"128000,72000" +] +) +end &55 +sat 16 +eat 1 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6153,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6154,0 +va (VaSet +font "courier,12,0" +) +xt "113750,70600,117250,71900" +st "sdDqm" +blo "113750,71600" +tm "WireNameMgr" +) +) +on &45 +) +*112 (Wire +uid 6155,0 +shape (OrthoPolyLine +uid 6156,0 +va (VaSet +vasetType 3 +) +xt "112000,70000,128000,70000" +pts [ +"112000,70000" +"128000,70000" +] +) +end &55 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6161,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6162,0 +va (VaSet +font "courier,12,0" +) +xt "113750,68600,118650,69900" +st "memWr_n" +blo "113750,69600" +tm "WireNameMgr" +) +) +on &46 +) +*113 (Wire +uid 6163,0 +shape (OrthoPolyLine +uid 6164,0 +va (VaSet +vasetType 3 +) +xt "112000,68000,128000,68000" +pts [ +"112000,68000" +"128000,68000" +] +) +end &55 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6169,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6170,0 +va (VaSet +font "courier,12,0" +) +xt "113750,66600,120050,68000" +st "sdCas_n" +blo "113750,67800" +tm "WireNameMgr" +) +) +on &47 +) +*114 (Wire +uid 6171,0 +shape (OrthoPolyLine +uid 6172,0 +va (VaSet +vasetType 3 +) +xt "112000,66000,128000,66000" +pts [ +"112000,66000" +"128000,66000" +] +) +end &55 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6177,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6178,0 +va (VaSet +font "courier,12,0" +) +xt "113750,64600,118650,65900" +st "sdRas_n" +blo "113750,65600" +tm "WireNameMgr" +) +) +on &48 +) +*115 (Wire +uid 6179,0 +shape (OrthoPolyLine +uid 6180,0 +va (VaSet +vasetType 3 +) +xt "112000,64000,128000,64000" +pts [ +"112000,64000" +"128000,64000" +] +) +end &55 +sat 16 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6185,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6186,0 +va (VaSet +font "courier,12,0" +) +xt "113750,62600,117950,63900" +st "sdCs_n" +blo "113750,63600" +tm "WireNameMgr" +) +) +on &49 +) +*116 (Wire +uid 6187,0 +shape (OrthoPolyLine +uid 6188,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "112000,76000,128000,76000" +pts [ +"112000,76000" +"128000,76000" +] +) +end &55 +sat 16 +eat 4 +sty 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6193,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6194,0 +va (VaSet +font "courier,12,0" +) +xt "113750,74600,121450,75900" +st "commandBus" +blo "113750,75600" +tm "WireNameMgr" +) +) +on &59 +) +*117 (Wire +uid 6199,0 +shape (OrthoPolyLine +uid 6200,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "112000,78000,128000,78000" +pts [ +"112000,78000" +"128000,78000" +] +) +end &55 +sat 16 +eat 4 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 6205,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6206,0 +va (VaSet +font "courier,12,0" +) +xt "114000,76600,123800,77900" +st "commandString" +blo "114000,77600" +tm "WireNameMgr" +) +) +on &60 +) +*118 (Wire +uid 6658,0 +shape (OrthoPolyLine +uid 6659,0 +va (VaSet +vasetType 3 +) +xt "41750,54000,45000,67000" +pts [ +"41750,54000" +"45000,54000" +"45000,67000" +] +) +start &82 +end &12 +sat 32 +eat 1 +stc 0 +st 0 +si 0 +tg (WTG +uid 6662,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 6663,0 +va (VaSet +font "courier,12,0" +) +xt "43750,52600,53850,54000" +st "selectRefresh" +blo "43750,53800" +tm "WireNameMgr" +) +) +on &86 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *119 (PackageList +uid 187,0 +stg "VerticalLayoutStrategy" +textVec [ +*120 (Text +uid 1297,0 +va (VaSet +font "courier,12,0" +) +xt "-7000,19600,2500,21000" +st "Package List" +blo "-7000,20800" +) +*121 (MLText +uid 1298,0 +va (VaSet +) +xt "-7000,21000,11600,24000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 190,0 +stg "VerticalLayoutStrategy" +textVec [ +*122 (Text +uid 191,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,0,31000,1200" +st "Compiler Directives" +blo "20000,1000" +) +*123 (Text +uid 192,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,1400,33000,2600" +st "Pre-module directives:" +blo "20000,2400" +) +*124 (MLText +uid 193,0 +va (VaSet +isHidden 1 +) +xt "20000,2800,32000,4800" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*125 (Text +uid 194,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,5600,33500,6800" +st "Post-module directives:" +blo "20000,6600" +) +*126 (MLText +uid 195,0 +va (VaSet +isHidden 1 +) +xt "20000,7000,20000,7000" +tm "BdCompilerDirectivesTextMgr" +) +*127 (Text +uid 196,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "20000,7200,33200,8400" +st "End-module directives:" +blo "20000,8200" +) +*128 (MLText +uid 197,0 +va (VaSet +isHidden 1 +) +xt "20000,1200,20000,1200" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "7,31,1372,964" +viewArea "-6900,19000,103116,94936" +cachedDiagramExtent "-7000,-6200,170000,93000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\SUN\\PREA309_HPLJ3005DN.PRINTERS.SYSTEM.SION.HEVs,winspool," +fileName "\\\\EIV\\a309_hplj4050.electro.eiv" +toPrinter 1 +xMargin 48 +yMargin 48 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +windowsPaperType 9 +scale 67 +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "-7000,19000" +lastUid 6843,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3300,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*129 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,2550,7900,3950" +st "" +blo "1500,3750" +tm "BdLibraryNameMgr" +) +*130 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,3950,7000,5350" +st "" +blo "1500,5150" +tm "BlkNameMgr" +) +*131 (Text +va (VaSet +font "courier,12,1" +) +xt "1500,5350,3000,6750" +st "I0" +blo "1500,6550" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "1500,12550,1500,12550" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*132 (Text +va (VaSet +) +xt "-100,3000,2200,4000" +st "Library" +blo "-100,3800" +) +*133 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*134 (Text +va (VaSet +) +xt "-100,5000,500,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*135 (Text +va (VaSet +) +xt "-350,2550,1950,3550" +st "Library" +blo "-350,3350" +tm "BdLibraryNameMgr" +) +*136 (Text +va (VaSet +) +xt "-350,3550,5150,4550" +st "SaComponent" +blo "-350,4350" +tm "CptNameMgr" +) +*137 (Text +va (VaSet +) +xt "-350,4550,250,5550" +st "I0" +blo "-350,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7350,550,-7350,550" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1350,0,9350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*138 (Text +va (VaSet +) +xt "-850,2550,1450,3550" +st "Library" +blo "-850,3350" +) +*139 (Text +va (VaSet +) +xt "-850,3550,5250,4550" +st "VhdlComponent" +blo "-850,4350" +) +*140 (Text +va (VaSet +) +xt "-850,4550,-250,5550" +st "I0" +blo "-850,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7850,550,-7850,550" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-2100,0,10100,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*141 (Text +va (VaSet +) +xt "-1600,2550,700,3550" +st "Library" +blo "-1600,3350" +) +*142 (Text +va (VaSet +) +xt "-1600,3550,5500,4550" +st "VerilogComponent" +blo "-1600,4350" +) +*143 (Text +va (VaSet +) +xt "-1600,4550,-1000,5550" +st "I0" +blo "-1600,5350" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-8600,550,-8600,550" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*144 (Text +va (VaSet +) +xt "2950,3400,4150,4400" +st "eb1" +blo "2950,4200" +tm "HdlTextNameMgr" +) +*145 (Text +va (VaSet +) +xt "2950,4400,3350,5400" +st "1" +blo "2950,5200" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-300,-500,300,500" +st "G" +blo "-300,300" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-2875,-375,-2875,-375" +ju 2 +blo "-2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "2875,-375,2875,-375" +blo "2875,-375" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,500,3000,500" +blo "3000,500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,500,3000,500" +blo "3000,500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,2600,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3900,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,5100,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,1400,2700" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,12,0" +) +xt "0,0,6300,1300" +st "Auto list" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,12600,2700" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,17400,-400" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*146 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*147 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1400,10800,-400" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1050,1750" +) +num (Text +va (VaSet +) +xt "200,300,600,1300" +st "1" +blo "200,1100" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*148 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*149 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,2600,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "105000,19600,113600,20800" +st "Declarations" +blo "105000,20600" +) +portLabel (Text +uid 3,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "105000,20800,109200,22000" +st "Ports:" +blo "105000,21800" +) +preUserLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "105000,20800,111000,22000" +st "Pre User:" +blo "105000,21800" +) +preUserText (MLText +uid 5,0 +va (VaSet +) +xt "107000,22000,160400,39000" +st "constant colAddressBitNb: positive := 9; +constant rowAddressBitNb: positive := 12; +constant bankAddressBitNb: positive := 2; +constant addressBitNb: positive := colAddressBitNb + rowAddressBitNb + bankAddressBitNb; +constant dataBitNb: positive := 16; + +subtype commandBusType is std_ulogic_vector(5 downto 0); +-- bits: 5 = cs, 4 = ras, 3 = cas, 2 = we, 1 = dqm(1), 0 = dqm(0) +constant inhibit : commandBusType := \"1-----\"; +constant nop : commandBusType := \"0111--\"; +constant active : commandBusType := \"0011--\"; +constant read : commandBusType := \"010100\"; +constant write : commandBusType := \"010000\"; +constant burstTerminate : commandBusType := \"0110--\"; +constant precharge : commandBusType := \"0010--\"; +constant autoRefresh : commandBusType := \"0001--\"; +constant loadModeReg : commandBusType := \"0000--\";" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "105000,20800,116000,22000" +st "Diagram Signals:" +blo "105000,21800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "105000,20800,112300,22000" +st "Post User:" +blo "105000,21800" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +) +xt "107000,35200,107000,35200" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 79,0 +usingSuid 1 +emptyRow *150 (LEmptyRow +) +uid 3310,0 +optionalChildren [ +*151 (RefLabelRowHdr +) +*152 (TitleRowHdr +) +*153 (FilterRowHdr +) +*154 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*155 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*156 (GroupColHdr +tm "GroupColHdrMgr" +) +*157 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*158 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*159 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*160 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*161 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*162 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*163 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "reset" +t "std_ulogic" +o 17 +suid 55,0 +) +) +uid 5622,0 +) +*164 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 56,0 +) +) +uid 5624,0 +) +*165 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ramEn" +t "std_ulogic" +o 14 +suid 57,0 +) +) +uid 5626,0 +) +*166 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 13 +suid 58,0 +) +) +uid 5628,0 +) +*167 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ramWr" +t "std_ulogic" +o 16 +suid 59,0 +) +) +uid 5630,0 +) +*168 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ramRd" +t "std_ulogic" +o 15 +suid 60,0 +) +) +uid 5632,0 +) +*169 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 61,0 +) +) +uid 5634,0 +) +*170 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 62,0 +) +) +uid 5636,0 +) +*171 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 63,0 +) +) +uid 5638,0 +) +*172 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memAddress" +t "std_ulogic_vector" +b "(rowAddressBitNb-1 DOWNTO 0)" +o 5 +suid 64,0 +) +) +uid 5935,0 +) +*173 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memBankAddress" +t "std_ulogic_vector" +b "(bankAddressBitNb-1 DOWNTO 0)" +o 6 +suid 65,0 +) +) +uid 5937,0 +) +*174 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 7 +suid 66,0 +) +) +uid 5939,0 +) +*175 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 8 +suid 67,0 +) +) +uid 5941,0 +) +*176 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sdClk" +t "std_ulogic" +o 20 +suid 68,0 +) +) +uid 6034,0 +) +*177 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sdCke" +t "std_ulogic" +o 19 +suid 69,0 +) +) +uid 6036,0 +) +*178 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sdDqm" +t "std_ulogic_vector" +b "( 1 DOWNTO 0 )" +o 22 +suid 70,0 +) +) +uid 6038,0 +) +*179 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "memWr_n" +t "std_ulogic" +o 9 +suid 71,0 +) +) +uid 6040,0 +) +*180 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sdCas_n" +t "std_ulogic" +o 18 +suid 72,0 +) +) +uid 6042,0 +) +*181 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sdRas_n" +t "std_ulogic" +o 23 +suid 73,0 +) +) +uid 6044,0 +) +*182 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "sdCs_n" +t "std_ulogic" +o 21 +suid 74,0 +) +) +uid 6046,0 +) +*183 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "DQ" +t "std_logic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 75,0 +) +) +uid 6056,0 +) +*184 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "commandBus" +t "commandBusType" +o 3 +suid 76,0 +) +) +uid 6209,0 +) +*185 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "commandString" +t "string" +b "(1 TO 16)" +o 4 +suid 78,0 +) +) +uid 6211,0 +) +*186 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 24 +suid 79,0 +) +) +uid 6664,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 3323,0 +optionalChildren [ +*187 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *188 (MRCItem +litem &150 +pos 24 +dimension 20 +) +uid 3325,0 +optionalChildren [ +*189 (MRCItem +litem &151 +pos 0 +dimension 20 +uid 3326,0 +) +*190 (MRCItem +litem &152 +pos 1 +dimension 23 +uid 3327,0 +) +*191 (MRCItem +litem &153 +pos 2 +hidden 1 +dimension 20 +uid 3328,0 +) +*192 (MRCItem +litem &163 +pos 0 +dimension 20 +uid 5623,0 +) +*193 (MRCItem +litem &164 +pos 1 +dimension 20 +uid 5625,0 +) +*194 (MRCItem +litem &165 +pos 2 +dimension 20 +uid 5627,0 +) +*195 (MRCItem +litem &166 +pos 3 +dimension 20 +uid 5629,0 +) +*196 (MRCItem +litem &167 +pos 4 +dimension 20 +uid 5631,0 +) +*197 (MRCItem +litem &168 +pos 5 +dimension 20 +uid 5633,0 +) +*198 (MRCItem +litem &169 +pos 6 +dimension 20 +uid 5635,0 +) +*199 (MRCItem +litem &170 +pos 7 +dimension 20 +uid 5637,0 +) +*200 (MRCItem +litem &171 +pos 8 +dimension 20 +uid 5639,0 +) +*201 (MRCItem +litem &172 +pos 9 +dimension 20 +uid 5936,0 +) +*202 (MRCItem +litem &173 +pos 10 +dimension 20 +uid 5938,0 +) +*203 (MRCItem +litem &174 +pos 11 +dimension 20 +uid 5940,0 +) +*204 (MRCItem +litem &175 +pos 12 +dimension 20 +uid 5942,0 +) +*205 (MRCItem +litem &176 +pos 13 +dimension 20 +uid 6035,0 +) +*206 (MRCItem +litem &177 +pos 14 +dimension 20 +uid 6037,0 +) +*207 (MRCItem +litem &178 +pos 15 +dimension 20 +uid 6039,0 +) +*208 (MRCItem +litem &179 +pos 16 +dimension 20 +uid 6041,0 +) +*209 (MRCItem +litem &180 +pos 17 +dimension 20 +uid 6043,0 +) +*210 (MRCItem +litem &181 +pos 18 +dimension 20 +uid 6045,0 +) +*211 (MRCItem +litem &182 +pos 19 +dimension 20 +uid 6047,0 +) +*212 (MRCItem +litem &183 +pos 20 +dimension 20 +uid 6057,0 +) +*213 (MRCItem +litem &184 +pos 21 +dimension 20 +uid 6210,0 +) +*214 (MRCItem +litem &185 +pos 22 +dimension 20 +uid 6212,0 +) +*215 (MRCItem +litem &186 +pos 23 +dimension 20 +uid 6665,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3329,0 +optionalChildren [ +*216 (MRCItem +litem &154 +pos 0 +dimension 20 +uid 3330,0 +) +*217 (MRCItem +litem &156 +pos 1 +dimension 50 +uid 3331,0 +) +*218 (MRCItem +litem &157 +pos 2 +dimension 100 +uid 3332,0 +) +*219 (MRCItem +litem &158 +pos 3 +dimension 50 +uid 3333,0 +) +*220 (MRCItem +litem &159 +pos 4 +dimension 100 +uid 3334,0 +) +*221 (MRCItem +litem &160 +pos 5 +dimension 100 +uid 3335,0 +) +*222 (MRCItem +litem &161 +pos 6 +dimension 50 +uid 3336,0 +) +*223 (MRCItem +litem &162 +pos 7 +dimension 80 +uid 3337,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 3324,0 +vaOverrides [ +] +) +] +) +uid 3309,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *224 (LEmptyRow +) +uid 3339,0 +optionalChildren [ +*225 (RefLabelRowHdr +) +*226 (TitleRowHdr +) +*227 (FilterRowHdr +) +*228 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*229 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*230 (GroupColHdr +tm "GroupColHdrMgr" +) +*231 (NameColHdr +tm "GenericNameColHdrMgr" +) +*232 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*233 (InitColHdr +tm "GenericValueColHdrMgr" +) +*234 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*235 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 3351,0 +optionalChildren [ +*236 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *237 (MRCItem +litem &224 +pos 0 +dimension 20 +) +uid 3353,0 +optionalChildren [ +*238 (MRCItem +litem &225 +pos 0 +dimension 20 +uid 3354,0 +) +*239 (MRCItem +litem &226 +pos 1 +dimension 23 +uid 3355,0 +) +*240 (MRCItem +litem &227 +pos 2 +hidden 1 +dimension 20 +uid 3356,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 3357,0 +optionalChildren [ +*241 (MRCItem +litem &228 +pos 0 +dimension 20 +uid 3358,0 +) +*242 (MRCItem +litem &230 +pos 1 +dimension 50 +uid 3359,0 +) +*243 (MRCItem +litem &231 +pos 2 +dimension 100 +uid 3360,0 +) +*244 (MRCItem +litem &232 +pos 3 +dimension 100 +uid 3361,0 +) +*245 (MRCItem +litem &233 +pos 4 +dimension 50 +uid 3362,0 +) +*246 (MRCItem +litem &234 +pos 5 +dimension 50 +uid 3363,0 +) +*247 (MRCItem +litem &235 +pos 6 +dimension 80 +uid 3364,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 3352,0 +vaOverrides [ +] +) +] +) +uid 3338,0 +type 1 +) +activeModelName "BlockDiag" +) diff --git a/Libs/Memory_test/hds/sdram@controller_tb/symbol.sb b/Libs/Memory_test/hds/sdram@controller_tb/symbol.sb new file mode 100644 index 0000000..cb1c853 --- /dev/null +++ b/Libs/Memory_test/hds/sdram@controller_tb/symbol.sb @@ -0,0 +1,1256 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2001,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 107,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*14 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *15 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 55,0 +optionalChildren [ +*16 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 58,0 +) +*17 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 60,0 +) +*18 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 62,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 56,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 64,0 +) +*20 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 68,0 +) +*21 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 70,0 +) +*22 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 72,0 +) +*23 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 74,0 +) +*24 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 76,0 +) +*25 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 78,0 +) +*26 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 80,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 54,0 +vaOverrides [ +] +) +] +) +uid 106,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *27 (LEmptyRow +) +uid 110,0 +optionalChildren [ +*28 (RefLabelRowHdr +) +*29 (TitleRowHdr +) +*30 (FilterRowHdr +) +*31 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*32 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*33 (GroupColHdr +tm "GroupColHdrMgr" +) +*34 (NameColHdr +tm "GenericNameColHdrMgr" +) +*35 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*36 (InitColHdr +tm "GenericValueColHdrMgr" +) +*37 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*38 (EolColHdr +tm "GenericEolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 111,0 +optionalChildren [ +*39 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *40 (MRCItem +litem &27 +pos 3 +dimension 20 +) +uid 82,0 +optionalChildren [ +*41 (MRCItem +litem &28 +pos 0 +dimension 20 +uid 85,0 +) +*42 (MRCItem +litem &29 +pos 1 +dimension 23 +uid 87,0 +) +*43 (MRCItem +litem &30 +pos 2 +hidden 1 +dimension 20 +uid 89,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 83,0 +optionalChildren [ +*44 (MRCItem +litem &31 +pos 0 +dimension 20 +uid 91,0 +) +*45 (MRCItem +litem &33 +pos 1 +dimension 50 +uid 95,0 +) +*46 (MRCItem +litem &34 +pos 2 +dimension 100 +uid 97,0 +) +*47 (MRCItem +litem &35 +pos 3 +dimension 100 +uid 99,0 +) +*48 (MRCItem +litem &36 +pos 4 +dimension 50 +uid 101,0 +) +*49 (MRCItem +litem &37 +pos 5 +dimension 50 +uid 103,0 +) +*50 (MRCItem +litem &38 +pos 6 +dimension 80 +uid 105,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 81,0 +vaOverrides [ +] +) +] +) +uid 109,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdramController_tb" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramController_tb" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:34" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\SysLo\\Master\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramController_tb" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tb/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdramController_tb/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "c:\\eda\\modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:34" +) +(vvPair +variable "unit" +value "sdramController_tb" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 51,0 +optionalChildren [ +*51 (SymbolBody +uid 8,0 +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29000,13000,43000,27000" +) +oxt "15000,6000,20000,26000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "29950,21900,37150,22900" +st "Memory_test" +blo "29950,22700" +) +second (Text +uid 12,0 +va (VaSet +) +xt "29950,22900,41350,23900" +st "sdramController_tb" +blo "29950,23700" +) +) +gi *52 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,27600,42500,28500" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVis (PortSigDisplay +disp 1 +sT 1 +sIVOD 1 +) +) +*53 (Grouping +uid 16,0 +optionalChildren [ +*54 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "54000,41000,73000,43000" +) +oxt "45000,22000,64000,24000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "54200,41500,69800,42500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 18600 +) +position 1 +ignorePrefs 1 +) +*55 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,41000,48000,43000" +) +oxt "13000,22000,39000,24000" +text (MLText +uid 23,0 +va (VaSet +fg "32768,0,0" +font "courier,12,1" +) +xt "29750,41350,40250,42650" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 25600 +) +position 1 +ignorePrefs 1 +) +*56 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,47000,48000,49000" +) +oxt "18000,28000,39000,30000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,47500,41000,48500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*57 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,41000,54000,43000" +) +oxt "39000,22000,45000,24000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,41500,53000,42500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 5600 +) +position 1 +ignorePrefs 1 +) +*58 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,43000,48000,45000" +) +oxt "18000,24000,39000,26000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,43500,43400,44500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*59 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,43000,27000,45000" +) +oxt "13000,24000,18000,26000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,43500,25800,44500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*60 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,45000,27000,47000" +) +oxt "13000,26000,18000,28000" +text (MLText +uid 38,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,45500,25200,46500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +*61 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "48000,43000,73000,49000" +) +oxt "39000,24000,64000,30000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "48200,43200,61400,44200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 5600 +visibleWidth 24600 +) +ignorePrefs 1 +) +*62 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "27000,45000,48000,47000" +) +oxt "18000,26000,39000,28000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "27200,45500,46400,46500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 20600 +) +position 1 +ignorePrefs 1 +) +*63 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "22000,47000,27000,49000" +) +oxt "13000,28000,18000,30000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "22200,47500,26400,48500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1600 +visibleWidth 4600 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 1 +) +xt "22000,41000,73000,49000" +) +oxt "13000,22000,64000,30000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *64 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*65 (Text +uid 52,0 +va (VaSet +font "courier,12,1" +) +xt "0,0,8800,1500" +st "Package List" +blo "0,1200" +) +*66 (MLText +uid 53,0 +va (VaSet +font "courier,12,0" +) +xt "0,1500,0,1500" +tm "PackageList" +) +] +) +windowSize "102,76,952,712" +viewArea "-8021,-1136,81281,50396" +cachedDiagramExtent "0,0,73000,49000" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +xMargin 49 +yMargin 49 +windowsPaperWidth 761 +windowsPaperHeight 1077 +paperType "Letter (8.5\" x 11\")" +windowsPaperName "A4" +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +boundaryWidth 0 +) +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,6000,20000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "15950,14900,19050,15900" +st "" +blo "15950,15700" +) +second (Text +va (VaSet +) +xt "15950,15900,18150,16900" +st "" +blo "15950,16700" +) +) +gi *67 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *68 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,3400,7400,4600" +st "Declarations" +blo "0,4400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,4600,3500,5800" +st "Ports:" +blo "0,5600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "0,5800,3000,6800" +st "User:" +blo "0,6600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,3400,7800,4600" +st "Internal User:" +blo "0,4400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,6800,2000,6800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "0,3400,0,3400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 134,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +) diff --git a/Libs/Memory_test/hds/sdram@controller_tester/interface b/Libs/Memory_test/hds/sdram@controller_tester/interface new file mode 100644 index 0000000..17c0ae7 --- /dev/null +++ b/Libs/Memory_test/hds/sdram@controller_tester/interface @@ -0,0 +1,1971 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 57,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 116,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 48,0 +) +) +uid 652,0 +) +*15 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 49,0 +) +) +uid 654,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 50,0 +) +) +uid 656,0 +) +*17 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 51,0 +) +) +uid 658,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 13 +suid 52,0 +) +) +uid 660,0 +) +*19 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramEn" +t "std_ulogic" +o 14 +suid 53,0 +) +) +uid 662,0 +) +*20 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramRd" +t "std_ulogic" +o 15 +suid 54,0 +) +) +uid 664,0 +) +*21 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "ramWr" +t "std_ulogic" +o 16 +suid 55,0 +) +) +uid 666,0 +) +*22 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 17 +suid 56,0 +) +) +uid 668,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 24 +suid 57,0 +) +) +uid 670,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 129,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 131,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 132,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 133,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 134,0 +) +*29 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 653,0 +) +*30 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 655,0 +) +*31 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 657,0 +) +*32 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 659,0 +) +*33 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 661,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 663,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 665,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 667,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 669,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 671,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 135,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 136,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 137,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 138,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 139,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 140,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 141,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 142,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 143,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 130,0 +vaOverrides [ +] +) +] +) +uid 115,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 145,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "addressBitNb" +type "positive" +value "24" +) +uid 194,0 +) +*60 (LogGeneric +generic (GiElement +name "dataBitNb" +type "positive" +value "16" +) +uid 196,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 157,0 +optionalChildren [ +*61 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *62 (MRCItem +litem &47 +pos 3 +dimension 20 +) +uid 159,0 +optionalChildren [ +*63 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 160,0 +) +*64 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 161,0 +) +*65 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 162,0 +) +*66 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 195,0 +) +*67 (MRCItem +litem &60 +pos 1 +dimension 20 +uid 197,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 163,0 +optionalChildren [ +*68 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 164,0 +) +*69 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 165,0 +) +*70 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 166,0 +) +*71 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 167,0 +) +*72 (MRCItem +litem &56 +pos 4 +dimension 50 +uid 168,0 +) +*73 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 169,0 +) +*74 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 170,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 158,0 +vaOverrides [ +] +) +] +) +uid 144,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tester/interface.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tester/interface.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "interface" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tester" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdramController_tester" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdramController_tester" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "interface" +) +(vvPair +variable "f_logical" +value "interface" +) +(vvPair +variable "f_noext" +value "interface" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:34" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "memory_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdramController_tester" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram@controller_tester/interface" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdramController_tester/interface" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\BoardTester\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "" +) +(vvPair +variable "this_file" +value "interface" +) +(vvPair +variable "this_file_logical" +value "interface" +) +(vvPair +variable "time" +value "13:45:34" +) +(vvPair +variable "unit" +value "sdramController_tester" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "interface" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 114,0 +optionalChildren [ +*75 (SymbolBody +uid 8,0 +optionalChildren [ +*76 (CptPort +uid 602,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 603,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38625,5250,39375,6000" +) +tg (CPTG +uid 604,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 605,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "38550,7000,39450,9500" +st "clock" +ju 2 +blo "39250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 606,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,62500,5600" +st "clock : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 48,0 +) +) +) +*77 (CptPort +uid 607,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 608,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "22625,5250,23375,6000" +) +tg (CPTG +uid 609,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 610,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "22550,7000,23450,10500" +st "ramAddr" +ju 2 +blo "23250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 611,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,74000,6500" +st "ramAddr : OUT unsigned (addressBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramAddr" +t "unsigned" +b "(addressBitNb-1 DOWNTO 0)" +o 10 +suid 49,0 +) +) +) +*78 (CptPort +uid 612,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 613,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24625,5250,25375,6000" +) +tg (CPTG +uid 614,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 615,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "24550,7000,25450,11500" +st "ramDataIn" +ju 2 +blo "25250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 616,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,77000,2900" +st "ramDataIn : IN std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +decl (Decl +n "ramDataIn" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 11 +suid 50,0 +) +) +) +*79 (CptPort +uid 617,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 618,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "26625,5250,27375,6000" +) +tg (CPTG +uid 619,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 620,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "26550,7000,27450,12500" +st "ramDataOut" +ju 2 +blo "27250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 621,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,77000,7400" +st "ramDataOut : OUT std_ulogic_vector (dataBitNb-1 DOWNTO 0) ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramDataOut" +t "std_ulogic_vector" +b "(dataBitNb-1 DOWNTO 0)" +o 12 +suid 51,0 +) +) +) +*80 (CptPort +uid 622,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 623,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32625,5250,33375,6000" +) +tg (CPTG +uid 624,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 625,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "32550,7000,33450,13500" +st "ramDataValid" +ju 2 +blo "33250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 626,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,62500,3800" +st "ramDataValid : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "ramDataValid" +t "std_ulogic" +o 13 +suid 52,0 +) +) +) +*81 (CptPort +uid 627,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 628,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36625,5250,37375,6000" +) +tg (CPTG +uid 629,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 630,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "36550,7000,37450,9500" +st "ramEn" +ju 2 +blo "37250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 631,0 +va (VaSet +font "courier,8,0" +) +xt "44000,7400,62500,8300" +st "ramEn : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramEn" +t "std_ulogic" +o 14 +suid 53,0 +) +) +) +*82 (CptPort +uid 632,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 633,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "28625,5250,29375,6000" +) +tg (CPTG +uid 634,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 635,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "28550,7000,29450,9500" +st "ramRd" +ju 2 +blo "29250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 636,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,62500,9200" +st "ramRd : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramRd" +t "std_ulogic" +o 15 +suid 54,0 +) +) +) +*83 (CptPort +uid 637,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 638,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30625,5250,31375,6000" +) +tg (CPTG +uid 639,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 640,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "30550,7000,31450,9500" +st "ramWr" +ju 2 +blo "31250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 641,0 +va (VaSet +font "courier,8,0" +) +xt "44000,9200,62500,10100" +st "ramWr : OUT std_ulogic ; +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "ramWr" +t "std_ulogic" +o 16 +suid 55,0 +) +) +) +*84 (CptPort +uid 642,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 643,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40625,5250,41375,6000" +) +tg (CPTG +uid 644,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 645,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "40550,7000,41450,9500" +st "reset" +ju 2 +blo "41250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 646,0 +va (VaSet +font "courier,8,0" +) +xt "44000,10100,61500,11000" +st "reset : OUT std_ulogic +" +) +thePort (LogicalPort +m 1 +decl (Decl +n "reset" +t "std_ulogic" +o 17 +suid 56,0 +) +) +) +*85 (CptPort +uid 647,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 648,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "62625,5250,63375,6000" +) +tg (CPTG +uid 649,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 650,0 +ro 270 +va (VaSet +font "courier,8,0" +) +xt "62550,7000,63450,14000" +st "selectRefresh" +ju 2 +blo "63250,7000" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 651,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,62500,4700" +st "selectRefresh : IN std_ulogic ; +" +) +thePort (LogicalPort +decl (Decl +n "selectRefresh" +t "std_ulogic" +o 24 +suid 57,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,99000,14000" +) +oxt "15000,6000,96000,14000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "51250,9100,57250,10000" +st "memory_test" +blo "51250,9800" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "51250,10000,62750,10900" +st "sdramController_tester" +blo "51250,10700" +) +) +gi *86 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "33000,6000,46500,9600" +st "Generic Declarations + +addressBitNb positive 24 +dataBitNb positive 16 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addressBitNb" +type "positive" +value "24" +) +(GiElement +name "dataBitNb" +type "positive" +value "16" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*87 (Grouping +uid 16,0 +optionalChildren [ +*88 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,52400,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*89 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*90 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*91 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*92 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*93 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*94 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*95 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*96 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*97 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,46400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *98 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*99 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*100 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +windowSize "0,0,1015,690" +viewArea "0,0,0,0" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "Memory_test" +entityName "sdramController_tb" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *101 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *102 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,11000,44500,11900" +st "User:" +blo "42000,11700" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,11900,44000,11900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 671,0 +activeModelName "Symbol:CDM" +) diff --git a/Libs/Memory_test/hds/sdram_mt48lc16m16a2/symbol.sb b/Libs/Memory_test/hds/sdram_mt48lc16m16a2/symbol.sb new file mode 100644 index 0000000..961dc42 --- /dev/null +++ b/Libs/Memory_test/hds/sdram_mt48lc16m16a2/symbol.sb @@ -0,0 +1,2448 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "std" +unitName "textio" +) +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +(DmPackageRef +library "memory_test" +unitName "mti_pkg" +) +] +libraryRefs [ +"STD" +"ieee" +"memory_test" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 32,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 74,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +decl (Decl +n "addr" +t "std_ulogic_vector" +b "( addr_bits-1 DOWNTO 0 )" +o 9 +suid 22,0 +) +) +uid 379,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "Ba" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 1 +suid 23,0 +i "\"00\"" +) +) +uid 381,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "Cas_n" +t "std_ulogic" +o 2 +suid 24,0 +) +) +uid 383,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "Cke" +t "std_ulogic" +o 3 +suid 25,0 +) +) +uid 385,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "Clk" +t "std_ulogic" +o 4 +suid 26,0 +) +) +uid 387,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "Cs_n" +t "std_ulogic" +o 5 +suid 27,0 +) +) +uid 389,0 +) +*20 (LogPort +port (LogicalPort +m 2 +decl (Decl +n "Dq" +t "std_logic_vector" +b "( data_bits-1 DOWNTO 0 )" +o 10 +suid 28,0 +) +) +uid 391,0 +) +*21 (LogPort +port (LogicalPort +decl (Decl +n "Dqm" +t "std_ulogic_vector" +b "( 1 DOWNTO 0 )" +o 6 +suid 29,0 +i "\"00\"" +) +) +uid 393,0 +) +*22 (LogPort +port (LogicalPort +decl (Decl +n "Ras_n" +t "std_ulogic" +o 7 +suid 31,0 +) +) +uid 397,0 +) +*23 (LogPort +port (LogicalPort +decl (Decl +n "WE_n" +t "std_ulogic" +o 8 +suid 32,0 +) +) +uid 399,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 87,0 +optionalChildren [ +*24 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *25 (MRCItem +litem &1 +pos 10 +dimension 20 +) +uid 89,0 +optionalChildren [ +*26 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 90,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 91,0 +) +*28 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 92,0 +) +*29 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 380,0 +) +*30 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 382,0 +) +*31 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 384,0 +) +*32 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 386,0 +) +*33 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 388,0 +) +*34 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 390,0 +) +*35 (MRCItem +litem &20 +pos 6 +dimension 20 +uid 392,0 +) +*36 (MRCItem +litem &21 +pos 7 +dimension 20 +uid 394,0 +) +*37 (MRCItem +litem &22 +pos 8 +dimension 20 +uid 398,0 +) +*38 (MRCItem +litem &23 +pos 9 +dimension 20 +uid 400,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 93,0 +optionalChildren [ +*39 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 94,0 +) +*40 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 95,0 +) +*41 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 96,0 +) +*42 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 97,0 +) +*43 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 98,0 +) +*44 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 99,0 +) +*45 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 100,0 +) +*46 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 101,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 88,0 +vaOverrides [ +] +) +] +) +uid 73,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *47 (LEmptyRow +) +uid 103,0 +optionalChildren [ +*48 (RefLabelRowHdr +) +*49 (TitleRowHdr +) +*50 (FilterRowHdr +) +*51 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*52 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*53 (GroupColHdr +tm "GroupColHdrMgr" +) +*54 (NameColHdr +tm "GenericNameColHdrMgr" +) +*55 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*56 (InitColHdr +tm "GenericValueColHdrMgr" +) +*57 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*58 (EolColHdr +tm "GenericEolColHdrMgr" +) +*59 (LogGeneric +generic (GiElement +name "addr_bits" +type "integer" +value "13" +) +uid 447,0 +) +*60 (LogGeneric +generic (GiElement +name "data_bits" +type "integer" +value "16" +) +uid 449,0 +) +*61 (LogGeneric +generic (GiElement +name "col_bits" +type "integer" +value "9" +) +uid 451,0 +) +*62 (LogGeneric +generic (GiElement +name "index" +type "integer" +value "0" +) +uid 453,0 +) +*63 (LogGeneric +generic (GiElement +name "fname" +type "string" +value "\"sdram.srec\"" +) +uid 455,0 +) +*64 (LogGeneric +generic (GiElement +name "tAC" +type "time" +value "6 ns" +) +uid 457,0 +) +*65 (LogGeneric +generic (GiElement +name "tHZ" +type "time" +value "7 ns" +) +uid 459,0 +) +*66 (LogGeneric +generic (GiElement +name "tOH" +type "time" +value "2.7 ns" +) +uid 461,0 +) +*67 (LogGeneric +generic (GiElement +name "tMRD" +type "integer" +value "2" +) +uid 463,0 +) +*68 (LogGeneric +generic (GiElement +name "tRAS" +type "time" +value "44 ns" +) +uid 465,0 +) +*69 (LogGeneric +generic (GiElement +name "tRC" +type "time" +value "66 ns" +) +uid 467,0 +) +*70 (LogGeneric +generic (GiElement +name "tRCD" +type "time" +value "20 ns" +) +uid 469,0 +) +*71 (LogGeneric +generic (GiElement +name "tRP" +type "time" +value "20 ns" +) +uid 471,0 +) +*72 (LogGeneric +generic (GiElement +name "tRRD" +type "time" +value "15 ns" +) +uid 473,0 +) +*73 (LogGeneric +generic (GiElement +name "tWRa" +type "time" +value "7.5 ns" +) +uid 475,0 +) +*74 (LogGeneric +generic (GiElement +name "tWRp" +type "time" +value "15 ns" +) +uid 477,0 +) +*75 (LogGeneric +generic (GiElement +name "tAH" +type "time" +value "0.8 ns" +) +uid 479,0 +) +*76 (LogGeneric +generic (GiElement +name "tAS" +type "time" +value "1.5 ns" +) +uid 481,0 +) +*77 (LogGeneric +generic (GiElement +name "tCH" +type "time" +value "2.5 ns" +) +uid 483,0 +) +*78 (LogGeneric +generic (GiElement +name "tCL" +type "time" +value "2.5 ns" +) +uid 485,0 +) +*79 (LogGeneric +generic (GiElement +name "tCK" +type "time" +value "10 ns" +) +uid 487,0 +) +*80 (LogGeneric +generic (GiElement +name "tDH" +type "time" +value "0.8 ns" +) +uid 489,0 +) +*81 (LogGeneric +generic (GiElement +name "tDS" +type "time" +value "1.5 ns" +) +uid 491,0 +) +*82 (LogGeneric +generic (GiElement +name "tCKH" +type "time" +value "0.8 ns" +) +uid 493,0 +) +*83 (LogGeneric +generic (GiElement +name "tCKS" +type "time" +value "1.5 ns" +) +uid 495,0 +) +*84 (LogGeneric +generic (GiElement +name "tCMH" +type "time" +value "0.8 ns" +) +uid 497,0 +) +*85 (LogGeneric +generic (GiElement +name "tCMS" +type "time" +value "1.5 ns" +) +uid 499,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 115,0 +optionalChildren [ +*86 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *87 (MRCItem +litem &47 +pos 27 +dimension 20 +) +uid 117,0 +optionalChildren [ +*88 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 118,0 +) +*89 (MRCItem +litem &49 +pos 1 +dimension 23 +uid 119,0 +) +*90 (MRCItem +litem &50 +pos 2 +hidden 1 +dimension 20 +uid 120,0 +) +*91 (MRCItem +litem &59 +pos 0 +dimension 20 +uid 448,0 +) +*92 (MRCItem +litem &60 +pos 1 +dimension 20 +uid 450,0 +) +*93 (MRCItem +litem &61 +pos 2 +dimension 20 +uid 452,0 +) +*94 (MRCItem +litem &62 +pos 3 +dimension 20 +uid 454,0 +) +*95 (MRCItem +litem &63 +pos 4 +dimension 20 +uid 456,0 +) +*96 (MRCItem +litem &64 +pos 5 +dimension 20 +uid 458,0 +) +*97 (MRCItem +litem &65 +pos 6 +dimension 20 +uid 460,0 +) +*98 (MRCItem +litem &66 +pos 7 +dimension 20 +uid 462,0 +) +*99 (MRCItem +litem &67 +pos 8 +dimension 20 +uid 464,0 +) +*100 (MRCItem +litem &68 +pos 9 +dimension 20 +uid 466,0 +) +*101 (MRCItem +litem &69 +pos 10 +dimension 20 +uid 468,0 +) +*102 (MRCItem +litem &70 +pos 11 +dimension 20 +uid 470,0 +) +*103 (MRCItem +litem &71 +pos 12 +dimension 20 +uid 472,0 +) +*104 (MRCItem +litem &72 +pos 13 +dimension 20 +uid 474,0 +) +*105 (MRCItem +litem &73 +pos 14 +dimension 20 +uid 476,0 +) +*106 (MRCItem +litem &74 +pos 15 +dimension 20 +uid 478,0 +) +*107 (MRCItem +litem &75 +pos 16 +dimension 20 +uid 480,0 +) +*108 (MRCItem +litem &76 +pos 17 +dimension 20 +uid 482,0 +) +*109 (MRCItem +litem &77 +pos 18 +dimension 20 +uid 484,0 +) +*110 (MRCItem +litem &78 +pos 19 +dimension 20 +uid 486,0 +) +*111 (MRCItem +litem &79 +pos 20 +dimension 20 +uid 488,0 +) +*112 (MRCItem +litem &80 +pos 21 +dimension 20 +uid 490,0 +) +*113 (MRCItem +litem &81 +pos 22 +dimension 20 +uid 492,0 +) +*114 (MRCItem +litem &82 +pos 23 +dimension 20 +uid 494,0 +) +*115 (MRCItem +litem &83 +pos 24 +dimension 20 +uid 496,0 +) +*116 (MRCItem +litem &84 +pos 25 +dimension 20 +uid 498,0 +) +*117 (MRCItem +litem &85 +pos 26 +dimension 20 +uid 500,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 121,0 +optionalChildren [ +*118 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 122,0 +) +*119 (MRCItem +litem &53 +pos 1 +dimension 50 +uid 123,0 +) +*120 (MRCItem +litem &54 +pos 2 +dimension 100 +uid 124,0 +) +*121 (MRCItem +litem &55 +pos 3 +dimension 100 +uid 125,0 +) +*122 (MRCItem +litem &56 +pos 4 +dimension 166 +uid 126,0 +) +*123 (MRCItem +litem &57 +pos 5 +dimension 50 +uid 127,0 +) +*124 (MRCItem +litem &58 +pos 6 +dimension 80 +uid 128,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 116,0 +vaOverrides [ +] +) +] +) +uid 102,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram_mt48lc16m16a2/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram_mt48lc16m16a2/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram_mt48lc16m16a2" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram_mt48lc16m16a2" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "sdram_mt48lc16m16a2" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:45:34" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "Memory_test" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libs/Memory_test/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "sdram_mt48lc16m16a2" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram_mt48lc16m16a2/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Memory_test/hds/sdram_mt48lc16m16a2/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "C:\\EDA\\Modelsim\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:45:34" +) +(vvPair +variable "unit" +value "sdram_mt48lc16m16a2" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 72,0 +optionalChildren [ +*125 (SymbolBody +uid 8,0 +optionalChildren [ +*126 (CptPort +uid 324,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 325,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,10625,31000,11375" +) +tg (CPTG +uid 326,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 327,0 +va (VaSet +) +xt "32000,10500,33900,11500" +st "addr" +blo "32000,11300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 328,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,22400,26000,23300" +st "addr : IN std_ulogic_vector ( addr_bits-1 DOWNTO 0 ) ;" +) +thePort (LogicalPort +decl (Decl +n "addr" +t "std_ulogic_vector" +b "( addr_bits-1 DOWNTO 0 )" +o 9 +suid 22,0 +) +) +) +*127 (CptPort +uid 329,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 330,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,12625,31000,13375" +) +tg (CPTG +uid 331,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 332,0 +va (VaSet +) +xt "32000,12500,33300,13500" +st "Ba" +blo "32000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 333,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,15200,25000,16100" +st "Ba : IN std_ulogic_vector (1 DOWNTO 0) := \"00\" ;" +) +thePort (LogicalPort +decl (Decl +n "Ba" +t "std_ulogic_vector" +b "(1 DOWNTO 0)" +o 1 +suid 23,0 +i "\"00\"" +) +) +) +*128 (CptPort +uid 334,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 335,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,18625,31000,19375" +) +tg (CPTG +uid 336,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 337,0 +va (VaSet +) +xt "32000,18500,34600,19500" +st "Cas_n" +blo "32000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 338,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,16100,10500,17000" +st "Cas_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "Cas_n" +t "std_ulogic" +o 2 +suid 24,0 +) +) +) +*129 (CptPort +uid 339,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 340,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,24625,31000,25375" +) +tg (CPTG +uid 341,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 342,0 +va (VaSet +) +xt "32000,24500,33700,25500" +st "Cke" +blo "32000,25300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 343,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,17000,10500,17900" +st "Cke : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "Cke" +t "std_ulogic" +o 3 +suid 25,0 +) +) +) +*130 (CptPort +uid 344,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 345,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,26625,31000,27375" +) +tg (CPTG +uid 346,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 347,0 +va (VaSet +) +xt "32000,26500,33500,27500" +st "Clk" +blo "32000,27300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 348,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,17900,10500,18800" +st "Clk : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "Clk" +t "std_ulogic" +o 4 +suid 26,0 +) +) +) +*131 (CptPort +uid 349,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 350,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,14625,31000,15375" +) +tg (CPTG +uid 351,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 352,0 +va (VaSet +) +xt "32000,14500,34200,15500" +st "Cs_n" +blo "32000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 353,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,18800,10500,19700" +st "Cs_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "Cs_n" +t "std_ulogic" +o 5 +suid 27,0 +) +) +) +*132 (CptPort +uid 354,0 +ps "OnEdgeStrategy" +shape (Diamond +uid 355,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,10625,39750,11375" +) +tg (CPTG +uid 356,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 357,0 +va (VaSet +) +xt "36500,10500,38000,11500" +st "Dq" +ju 2 +blo "38000,11300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 358,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,23300,24500,24200" +st "Dq : INOUT std_logic_vector ( data_bits-1 DOWNTO 0 )" +) +thePort (LogicalPort +m 2 +decl (Decl +n "Dq" +t "std_logic_vector" +b "( data_bits-1 DOWNTO 0 )" +o 10 +suid 28,0 +) +) +) +*133 (CptPort +uid 359,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 360,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,22625,31000,23375" +) +tg (CPTG +uid 361,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 362,0 +va (VaSet +) +xt "32000,22500,34100,23500" +st "Dqm" +blo "32000,23300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 363,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,19700,25000,20600" +st "Dqm : IN std_ulogic_vector ( 1 DOWNTO 0 ) := \"00\" ;" +) +thePort (LogicalPort +decl (Decl +n "Dqm" +t "std_ulogic_vector" +b "( 1 DOWNTO 0 )" +o 6 +suid 29,0 +i "\"00\"" +) +) +) +*134 (CptPort +uid 369,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 370,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,16625,31000,17375" +) +tg (CPTG +uid 371,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 372,0 +va (VaSet +) +xt "32000,16500,34600,17500" +st "Ras_n" +blo "32000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 373,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,20600,10500,21500" +st "Ras_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "Ras_n" +t "std_ulogic" +o 7 +suid 31,0 +) +) +) +*135 (CptPort +uid 374,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 375,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "30250,20625,31000,21375" +) +tg (CPTG +uid 376,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 377,0 +va (VaSet +) +xt "32000,20500,34400,21500" +st "WE_n" +blo "32000,21300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 378,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,21500,10500,22400" +st "WE_n : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "WE_n" +t "std_ulogic" +o 8 +suid 32,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "31000,7000,39000,29000" +) +oxt "15000,6000,23000,22000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "31500,29000,37500,29900" +st "Memory_test" +blo "31500,29700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "31500,29900,41500,30800" +st "sdram_mt48lc16m16a2" +blo "31500,30600" +) +) +gi *136 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "40000,12800,56500,38900" +st "Generic Declarations + +addr_bits integer 13 +data_bits integer 16 +col_bits integer 9 +index integer 0 +fname string \"sdram.srec\" +tAC time 6 ns +tHZ time 7 ns +tOH time 2.7 ns +tMRD integer 2 +tRAS time 44 ns +tRC time 66 ns +tRCD time 20 ns +tRP time 20 ns +tRRD time 15 ns +tWRa time 7.5 ns +tWRp time 15 ns +tAH time 0.8 ns +tAS time 1.5 ns +tCH time 2.5 ns +tCL time 2.5 ns +tCK time 10 ns +tDH time 0.8 ns +tDS time 1.5 ns +tCKH time 0.8 ns +tCKS time 1.5 ns +tCMH time 0.8 ns +tCMS time 1.5 ns " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "addr_bits" +type "integer" +value "13" +) +(GiElement +name "data_bits" +type "integer" +value "16" +) +(GiElement +name "col_bits" +type "integer" +value "9" +) +(GiElement +name "index" +type "integer" +value "0" +) +(GiElement +name "fname" +type "string" +value "\"sdram.srec\"" +) +(GiElement +name "tAC" +type "time" +value "6 ns" +) +(GiElement +name "tHZ" +type "time" +value "7 ns" +) +(GiElement +name "tOH" +type "time" +value "2.7 ns" +) +(GiElement +name "tMRD" +type "integer" +value "2" +) +(GiElement +name "tRAS" +type "time" +value "44 ns" +) +(GiElement +name "tRC" +type "time" +value "66 ns" +) +(GiElement +name "tRCD" +type "time" +value "20 ns" +) +(GiElement +name "tRP" +type "time" +value "20 ns" +) +(GiElement +name "tRRD" +type "time" +value "15 ns" +) +(GiElement +name "tWRa" +type "time" +value "7.5 ns" +) +(GiElement +name "tWRp" +type "time" +value "15 ns" +) +(GiElement +name "tAH" +type "time" +value "0.8 ns" +) +(GiElement +name "tAS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCH" +type "time" +value "2.5 ns" +) +(GiElement +name "tCL" +type "time" +value "2.5 ns" +) +(GiElement +name "tCK" +type "time" +value "10 ns" +) +(GiElement +name "tDH" +type "time" +value "0.8 ns" +) +(GiElement +name "tDS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCKH" +type "time" +value "0.8 ns" +) +(GiElement +name "tCKS" +type "time" +value "1.5 ns" +) +(GiElement +name "tCMH" +type "time" +value "0.8 ns" +) +(GiElement +name "tCMS" +type "time" +value "1.5 ns" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*137 (Grouping +uid 16,0 +optionalChildren [ +*138 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,48000,47000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,48000,44600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*139 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "47000,44000,51000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "47200,44000,50800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*140 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,46000,47000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,46000,46400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*141 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,46000,30000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "26200,46000,29800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*142 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "47000,45000,67000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "47200,45200,60400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*143 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "51000,44000,67000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "51200,44000,53000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*144 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,44000,47000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "32000,44500,41000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*145 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,47000,30000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "26200,47000,29200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*146 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "26000,48000,30000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "26200,48000,29800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*147 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "30000,47000,47000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "30200,47000,40400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "26000,44000,67000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *148 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*149 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,0,-600,1000" +st "Package List" +blo "-6000,800" +) +*150 (MLText +uid 50,0 +va (VaSet +) +xt "-6000,1000,12600,8000" +st "LIBRARY STD; + USE std.textio.all; +LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.NUMERIC_STD.all; +LIBRARY memory_test; + USE memory_test.mti_pkg.all;" +tm "PackageList" +) +] +) +windowSize "70,52,1376,905" +viewArea "-7100,-1100,68990,50630" +cachedDiagramExtent "-6000,0,67000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-6000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *151 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *152 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,13200,-600,14200" +st "Declarations" +blo "-6000,14000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,14200,-3300,15200" +st "Ports:" +blo "-6000,15000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "-6000,24200,-3500,25100" +st "User:" +blo "-6000,24900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "-6000,13200,-200,14200" +st "Internal User:" +blo "-6000,14000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-4000,25100,-4000,25100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-6000,13200,-6000,13200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 661,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hdl/DFFE_pre_sim.vhd b/Libs/Sequential/hdl/DFFE_pre_sim.vhd new file mode 100644 index 0000000..07bbccd --- /dev/null +++ b/Libs/Sequential/hdl/DFFE_pre_sim.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF DFFE_pre IS +BEGIN + + process(clk, pre) + begin + if pre = '1' then + q <= '1' after delay; + elsif rising_edge(clk) then + if e = '1' then + q <= d after delay; + end if; + end if; + end process; + +END ARCHITECTURE sim; + diff --git a/Libs/Sequential/hdl/DFFE_sim.vhd b/Libs/Sequential/hdl/DFFE_sim.vhd new file mode 100644 index 0000000..41a917c --- /dev/null +++ b/Libs/Sequential/hdl/DFFE_sim.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF DFFE IS +BEGIN + + process(clk, clr) + begin + if clr = '1' then + q <= '0' after delay; + elsif rising_edge(clk) then + if e = '1' then + q <= d after delay; + end if; + end if; + end process; + +END ARCHITECTURE sim; + diff --git a/Libs/Sequential/hdl/DFFE_sim1.vhd b/Libs/Sequential/hdl/DFFE_sim1.vhd new file mode 100644 index 0000000..07bbccd --- /dev/null +++ b/Libs/Sequential/hdl/DFFE_sim1.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF DFFE_pre IS +BEGIN + + process(clk, pre) + begin + if pre = '1' then + q <= '1' after delay; + elsif rising_edge(clk) then + if e = '1' then + q <= d after delay; + end if; + end if; + end process; + +END ARCHITECTURE sim; + diff --git a/Libs/Sequential/hdl/DFF_pre_sim.vhd b/Libs/Sequential/hdl/DFF_pre_sim.vhd new file mode 100644 index 0000000..70a09a0 --- /dev/null +++ b/Libs/Sequential/hdl/DFF_pre_sim.vhd @@ -0,0 +1,13 @@ +ARCHITECTURE sim OF DFF_pre IS +BEGIN + + process(clk, pre) + begin + if pre = '1' then + q <= '1' after delay; + elsif rising_edge(clk) then + q <= d after delay; + end if; + end process; + +END sim; diff --git a/Libs/Sequential/hdl/DFF_sim.vhd b/Libs/Sequential/hdl/DFF_sim.vhd new file mode 100644 index 0000000..340b4fa --- /dev/null +++ b/Libs/Sequential/hdl/DFF_sim.vhd @@ -0,0 +1,13 @@ +ARCHITECTURE sim OF DFF IS +BEGIN + + process(clk, clr) + begin + if clr = '1' then + q <= '0' after delay; + elsif rising_edge(clk) then + q <= d after delay; + end if; + end process; + +END sim; diff --git a/Libs/Sequential/hdl/DFF_sim1.vhd b/Libs/Sequential/hdl/DFF_sim1.vhd new file mode 100644 index 0000000..a17f2f1 --- /dev/null +++ b/Libs/Sequential/hdl/DFF_sim1.vhd @@ -0,0 +1,18 @@ +ARCHITECTURE sim OF TFF IS + + signal q_int: std_ulogic; + +BEGIN + + process(clk, clr) + begin + if clr = '1' then + q_int <= '0' after delay; + elsif rising_edge(clk) then + q_int <= t xor q_int after delay; + end if; + end process; + + q <= q_int; + +END sim; diff --git a/Libs/Sequential/hdl/DFF_sim11.vhd b/Libs/Sequential/hdl/DFF_sim11.vhd new file mode 100644 index 0000000..fcd88e6 --- /dev/null +++ b/Libs/Sequential/hdl/DFF_sim11.vhd @@ -0,0 +1,18 @@ +ARCHITECTURE sim OF TFF_pre IS + + signal q_int: std_ulogic; + +BEGIN + + process(clk, pre) + begin + if pre = '1' then + q_int <= '1' after delay; + elsif rising_edge(clk) then + q_int <= t xor q_int after delay; + end if; + end process; + + q <= q_int; + +END sim; diff --git a/Libs/Sequential/hdl/DFF_sim2.vhd b/Libs/Sequential/hdl/DFF_sim2.vhd new file mode 100644 index 0000000..70a09a0 --- /dev/null +++ b/Libs/Sequential/hdl/DFF_sim2.vhd @@ -0,0 +1,13 @@ +ARCHITECTURE sim OF DFF_pre IS +BEGIN + + process(clk, pre) + begin + if pre = '1' then + q <= '1' after delay; + elsif rising_edge(clk) then + q <= d after delay; + end if; + end process; + +END sim; diff --git a/Libs/Sequential/hdl/TFF_pre_sim.vhd b/Libs/Sequential/hdl/TFF_pre_sim.vhd new file mode 100644 index 0000000..fcd88e6 --- /dev/null +++ b/Libs/Sequential/hdl/TFF_pre_sim.vhd @@ -0,0 +1,18 @@ +ARCHITECTURE sim OF TFF_pre IS + + signal q_int: std_ulogic; + +BEGIN + + process(clk, pre) + begin + if pre = '1' then + q_int <= '1' after delay; + elsif rising_edge(clk) then + q_int <= t xor q_int after delay; + end if; + end process; + + q <= q_int; + +END sim; diff --git a/Libs/Sequential/hdl/TFF_sim.vhd b/Libs/Sequential/hdl/TFF_sim.vhd new file mode 100644 index 0000000..a17f2f1 --- /dev/null +++ b/Libs/Sequential/hdl/TFF_sim.vhd @@ -0,0 +1,18 @@ +ARCHITECTURE sim OF TFF IS + + signal q_int: std_ulogic; + +BEGIN + + process(clk, clr) + begin + if clr = '1' then + q_int <= '0' after delay; + elsif rising_edge(clk) then + q_int <= t xor q_int after delay; + end if; + end process; + + q <= q_int; + +END sim; diff --git a/Libs/Sequential/hdl/accumulator_RTL.vhd b/Libs/Sequential/hdl/accumulator_RTL.vhd new file mode 100644 index 0000000..44d3ffe --- /dev/null +++ b/Libs/Sequential/hdl/accumulator_RTL.vhd @@ -0,0 +1,46 @@ +-------------------------------------------------------------------------------- +-- Copyright 2013 HES-SO Valais Wallis (www.hevs.ch) +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program IS distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- You should have received a copy of the GNU General Public License along with +-- this program. If not, see +-------------------------------------------------------------------------------- +-- Accumulator +-- Accumulator with the step as signal and a synchronous clear signal. +-- +-- Created on 2013-03-03 +-- +-- Version: 1.0 +-- Author: Oliver A. Gubler (oliver.gubler@hevs.ch) +-------------------------------------------------------------------------------- +-- +ARCHITECTURE RTL OF accumulator IS + signal sum_s : unsigned(bitNb-1 downto 0); +begin + + process (clock, reset) + begin + if reset = '1' then + sum_s <= (OTHERS => '0'); + elsif rising_edge(clock) then + if enable = '1' then + sum_s <= unsigned(step) + sum_s; + end if; + if clear = '1' then + sum_s <= (OTHERS => '0'); + end if; + end if; + end process; + + acc <= sum_s; + +END ARCHITECTURE RTL; + diff --git a/Libs/Sequential/hdl/counterEnableResetSync_rtl.vhd b/Libs/Sequential/hdl/counterEnableResetSync_rtl.vhd new file mode 100644 index 0000000..460fe82 --- /dev/null +++ b/Libs/Sequential/hdl/counterEnableResetSync_rtl.vhd @@ -0,0 +1,46 @@ +-------------------------------------------------------------------------------- +-- Copyright 2013 HES-SO Valais Wallis (www.hevs.ch) +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program IS distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- You should have received a copy of the GNU General Public License along with +-- this program. If not, see +-------------------------------------------------------------------------------- +-- Counter +-- Simple counter with a generic width of nbBits. +-- +-- Created on 2013-08-10 +-- +-- Version: 1.0 +-- Author: Oliver A. Gubler (oliver.gubler@hevs.ch) +-------------------------------------------------------------------------------- +-- +ARCHITECTURE rtl OF counterEnableResetSync IS + + signal sCountOut: unsigned(countOut'range); + +BEGIN + + countEndlessly: process(reset, clock) + begin + if reset = '1' then + sCountOut <= (others => '0'); + elsif rising_edge(clock) then + if resetSync = '1' then + sCountOut <= (others => '0'); + elsif enable = '1' then + sCountOut <= sCountOut + 1; + end if; + end if; + end process countEndlessly; + + countOut <= sCountOut after delay; + +END ARCHITECTURE RTL; diff --git a/Libs/Sequential/hdl/counterEnable_rtl.vhd b/Libs/Sequential/hdl/counterEnable_rtl.vhd new file mode 100644 index 0000000..1841030 --- /dev/null +++ b/Libs/Sequential/hdl/counterEnable_rtl.vhd @@ -0,0 +1,44 @@ +-------------------------------------------------------------------------------- +-- Copyright 2013 HES-SO Valais Wallis (www.hevs.ch) +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program IS distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- You should have received a copy of the GNU General Public License along with +-- this program. If not, see +-------------------------------------------------------------------------------- +-- Counter +-- Simple counter with a generic width of nbBits. +-- +-- Created on 2013-08-10 +-- +-- Version: 1.0 +-- Author: Oliver A. Gubler (oliver.gubler@hevs.ch) +-------------------------------------------------------------------------------- +-- +ARCHITECTURE rtl OF counterEnable IS + + signal sCountOut: unsigned(countOut'range); + +BEGIN + + countEndlessly: process(reset, clock) + begin + if reset = '1' then + sCountOut <= (others => '0'); + elsif rising_edge(clock) then + if enable = '1' then + sCountOut <= sCountOut + 1; + end if; + end if; + end process countEndlessly; + + countOut <= sCountOut after delay; + +END ARCHITECTURE RTL; diff --git a/Libs/Sequential/hdl/counterRestart_RTL.vhd b/Libs/Sequential/hdl/counterRestart_RTL.vhd new file mode 100644 index 0000000..a9950b9 --- /dev/null +++ b/Libs/Sequential/hdl/counterRestart_RTL.vhd @@ -0,0 +1,22 @@ +ARCHITECTURE RTL OF counterRestart IS + + signal count: unsigned(countOut'range); + +BEGIN + + countWithRestart: process(reset, clock) + begin + if reset = '1' then + count <= (others => '0'); + elsif rising_edge(clock) then + if restart = '1' then + count <= (others => '0'); + else + count <= count+1; + end if; + end if; + end process countWithRestart; + + countOut <= count after delay; + +END ARCHITECTURE RTL; diff --git a/Libs/Sequential/hdl/counterUpDownEnable_RTL.vhd b/Libs/Sequential/hdl/counterUpDownEnable_RTL.vhd new file mode 100644 index 0000000..7decb38 --- /dev/null +++ b/Libs/Sequential/hdl/counterUpDownEnable_RTL.vhd @@ -0,0 +1,24 @@ +ARCHITECTURE RTL OF counterUpDownEnable IS + + signal sCountOut: unsigned(countOut'range); + +BEGIN + + count: process(reset, clock) + begin + if reset = '1' then + sCountOut <= (others => '0'); + elsif rising_edge(clock) then + if enable = '1' then + if up = '1' then + sCountOut <= sCountOut + 1; + elsif down = '1' then + sCountOut <= sCountOut - 1; + end if; + end if; + end if; + end process count; + + countOut <= sCountOut after delay; + +END ARCHITECTURE RTL; diff --git a/Libs/Sequential/hdl/counterUpDown_RTL.vhd b/Libs/Sequential/hdl/counterUpDown_RTL.vhd new file mode 100644 index 0000000..a141be4 --- /dev/null +++ b/Libs/Sequential/hdl/counterUpDown_RTL.vhd @@ -0,0 +1,22 @@ +ARCHITECTURE RTL OF counterUpDown IS + + signal sCountOut: unsigned(countOut'range); + +BEGIN + + count: process(reset, clock) + begin + if reset = '1' then + sCountOut <= (others => '0'); + elsif rising_edge(clock) then + if up = '1' then + sCountOut <= sCountOut + 1; + elsif down = '1' then + sCountOut <= sCountOut - 1; + end if; + end if; + end process count; + + countOut <= sCountOut after delay; + +END ARCHITECTURE RTL; diff --git a/Libs/Sequential/hdl/counter_rtl.vhd b/Libs/Sequential/hdl/counter_rtl.vhd new file mode 100644 index 0000000..d20f51d --- /dev/null +++ b/Libs/Sequential/hdl/counter_rtl.vhd @@ -0,0 +1,18 @@ +ARCHITECTURE RTL OF counter IS + + signal count: unsigned(countOut'range); + +BEGIN + + countEndlessly: process(reset, clock) + begin + if reset = '1' then + count <= (others => '0'); + elsif rising_edge(clock) then + count <= count+1; + end if; + end process countEndlessly; + + countOut <= count after delay; + +END ARCHITECTURE RTL; diff --git a/Libs/Sequential/hdl/edgeDetector_arch.vhd b/Libs/Sequential/hdl/edgeDetector_arch.vhd new file mode 100644 index 0000000..69958e7 --- /dev/null +++ b/Libs/Sequential/hdl/edgeDetector_arch.vhd @@ -0,0 +1,50 @@ +-------------------------------------------------------------------------------- +-- Copyright 2014 HES-SO Valais Wallis (www.hevs.ch) +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation; either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program IS distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- You should have received a copy of the GNU General Public License along with +-- this program. If not, see +-------------------------------------------------------------------------------- +-- EdgeDetector +-- Detect rising and falling edges of a signal. +-- +-- Created on 2014-04-02 +-- +-- Version: 1.0 +-- Author: Oliver A. Gubler (oliver.gubler@hevs.ch) +-------------------------------------------------------------------------------- +ARCHITECTURE arch OF edgeDetector IS + + SIGNAL signal_s : std_ulogic; + SIGNAL rising_detected_s : std_ulogic; + SIGNAL falling_detected_s : std_ulogic; + +BEGIN + + -- sync + reg : PROCESS (reset,clock) + BEGIN + IF reset = '1' THEN + signal_s <= '0'; + ELSIF rising_edge(clock) THEN + signal_s <= input; + END IF; + END PROCESS reg ; + + -- edge detection + rising_detected_s <= input AND NOT signal_s; + falling_detected_s <= NOT input AND signal_s; + + -- output + rising_detected <= rising_detected_s; + falling_detected <= falling_detected_s; + +END ARCHITECTURE arch; diff --git a/Libs/Sequential/hdl/freqDividerEnable_RTL.vhd b/Libs/Sequential/hdl/freqDividerEnable_RTL.vhd new file mode 100644 index 0000000..93ac7f6 --- /dev/null +++ b/Libs/Sequential/hdl/freqDividerEnable_RTL.vhd @@ -0,0 +1,28 @@ +LIBRARY Common; + USE Common.CommonLib.all; + +ARCHITECTURE RTL OF freqDividerEnable IS + + signal count: unsigned(requiredBitNb(divideValue)-1 downto 0); + +BEGIN + + countEndlessly: process(reset, clock) + begin + if reset = '1' then + count <= (others => '0'); + elsif rising_edge(clock) then + if enIn = '1' then + if count = 0 then + count <= to_unsigned(divideValue-1, count'length); + else + count <= count-1 ; + end if; + end if; + end if; + end process countEndlessly; + + enOut <= '1' after delay when count = 0 + else '0' after delay; + +END ARCHITECTURE RTL; diff --git a/Libs/Sequential/hdl/freqDivider_RTL.vhd b/Libs/Sequential/hdl/freqDivider_RTL.vhd new file mode 100644 index 0000000..6462dce --- /dev/null +++ b/Libs/Sequential/hdl/freqDivider_RTL.vhd @@ -0,0 +1,26 @@ +LIBRARY Common; + USE Common.CommonLib.all; + +ARCHITECTURE RTL OF freqDivider IS + + signal count: unsigned(requiredBitNb(divideValue)-1 downto 0); + +BEGIN + + countEndlessly: process(reset, clock) + begin + if reset = '1' then + count <= (others => '0'); + elsif rising_edge(clock) then + if count = 0 then + count <= to_unsigned(divideValue-1, count'length); + else + count <= count-1 ; + end if; + end if; + end process countEndlessly; + + enable <= '1' after delay when count = 0 + else '0' after delay; + +END ARCHITECTURE RTL; diff --git a/Libs/Sequential/hdl/registerLogicVector_sim.vhd b/Libs/Sequential/hdl/registerLogicVector_sim.vhd new file mode 100644 index 0000000..11cfb55 --- /dev/null +++ b/Libs/Sequential/hdl/registerLogicVector_sim.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF registerLogicVector IS +BEGIN + + registerData: process(reset, clock) + begin + if reset = '1' then + dataOut <= (others => '0') after delay; + elsif rising_edge(clock) then + if enable = '1' then + dataOut <= dataIn after delay; + end if; + end if; + end process registerData; + +END ARCHITECTURE sim; + diff --git a/Libs/Sequential/hdl/registerSigned_sim.vhd b/Libs/Sequential/hdl/registerSigned_sim.vhd new file mode 100644 index 0000000..4010de2 --- /dev/null +++ b/Libs/Sequential/hdl/registerSigned_sim.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF registerSigned IS +BEGIN + + registerData: process(reset, clock) + begin + if reset = '1' then + dataOut <= (others => '0') after delay; + elsif rising_edge(clock) then + if enable = '1' then + dataOut <= dataIn after delay; + end if; + end if; + end process registerData; + +END ARCHITECTURE sim; + diff --git a/Libs/Sequential/hdl/registerULogicVectorTo_RTL.vhd b/Libs/Sequential/hdl/registerULogicVectorTo_RTL.vhd new file mode 100644 index 0000000..458385d --- /dev/null +++ b/Libs/Sequential/hdl/registerULogicVectorTo_RTL.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF registerULogicVectorTo IS +BEGIN + + registerData: process(reset, clock) + begin + if reset = '1' then + dataOut <= (others => '0') after delay; + elsif rising_edge(clock) then + if enable = '1' then + dataOut <= dataIn after delay; + end if; + end if; + end process registerData; + +END ARCHITECTURE sim; + diff --git a/Libs/Sequential/hdl/registerULogicVector_sim.vhd b/Libs/Sequential/hdl/registerULogicVector_sim.vhd new file mode 100644 index 0000000..88c99d0 --- /dev/null +++ b/Libs/Sequential/hdl/registerULogicVector_sim.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF registerULogicVector IS +BEGIN + + registerData: process(reset, clock) + begin + if reset = '1' then + dataOut <= (others => '0') after delay; + elsif rising_edge(clock) then + if enable = '1' then + dataOut <= dataIn after delay; + end if; + end if; + end process registerData; + +END ARCHITECTURE sim; + diff --git a/Libs/Sequential/hdl/registerULogicVector_sim1.vhd b/Libs/Sequential/hdl/registerULogicVector_sim1.vhd new file mode 100644 index 0000000..11cfb55 --- /dev/null +++ b/Libs/Sequential/hdl/registerULogicVector_sim1.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF registerLogicVector IS +BEGIN + + registerData: process(reset, clock) + begin + if reset = '1' then + dataOut <= (others => '0') after delay; + elsif rising_edge(clock) then + if enable = '1' then + dataOut <= dataIn after delay; + end if; + end if; + end process registerData; + +END ARCHITECTURE sim; + diff --git a/Libs/Sequential/hdl/registerUnsigned_sim.vhd b/Libs/Sequential/hdl/registerUnsigned_sim.vhd new file mode 100644 index 0000000..0fe6357 --- /dev/null +++ b/Libs/Sequential/hdl/registerUnsigned_sim.vhd @@ -0,0 +1,16 @@ +ARCHITECTURE sim OF registerUnsigned IS +BEGIN + + registerData: process(reset, clock) + begin + if reset = '1' then + dataOut <= (others => '0') after delay; + elsif rising_edge(clock) then + if enable = '1' then + dataOut <= dataIn after delay; + end if; + end if; + end process registerData; + +END ARCHITECTURE sim; + diff --git a/Libs/Sequential/hds/.hdlsidedata/_DFFE_pre_sim.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_DFFE_pre_sim.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_DFFE_pre_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_DFFE_sim.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_DFFE_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_DFFE_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_DFFE_sim1.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_DFFE_sim1.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_DFFE_sim1.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_DFF_pre_sim.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_DFF_pre_sim.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_DFF_pre_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_DFF_sim.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_DFF_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_DFF_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_DFF_sim1.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_DFF_sim1.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_DFF_sim1.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_DFF_sim11.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_DFF_sim11.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_DFF_sim11.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_DFF_sim2.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_DFF_sim2.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_DFF_sim2.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_SRAM_sim.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_SRAM_sim.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_SRAM_sim.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/Sequential/hds/.hdlsidedata/_TFF_pre_sim.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_TFF_pre_sim.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_TFF_pre_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_TFF_sim.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_TFF_sim.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_TFF_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_accumulator_RTL.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_accumulator_RTL.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_accumulator_RTL.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/Sequential/hds/.hdlsidedata/_accumulator_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_accumulator_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_accumulator_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterEnableResetSync_rtl.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterEnableResetSync_rtl.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterEnableResetSync_rtl.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterEnable_rtl.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterEnable_rtl.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterEnable_rtl.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterRestart_RTL.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterRestart_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterRestart_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterUpDownEnable_RTL.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterUpDownEnable_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterUpDownEnable_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterUpDown_RTL.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterUpDown_RTL.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterUpDown_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterUpDown_arch.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterUpDown_arch.vhd._fpf new file mode 100644 index 0000000..19d6635 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterUpDown_arch.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2002 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counter_arch.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_counter_arch.vhd._fpf new file mode 100644 index 0000000..19d6635 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counter_arch.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2002 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counter_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_counter_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counter_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counter_rtl.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_counter_rtl.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counter_rtl.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterenable_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterenable_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterenable_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterenableresetsync_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterenableresetsync_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterenableresetsync_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterrestart_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterrestart_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterrestart_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterupdown_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterupdown_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterupdown_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterupdownenable_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterupdownenable_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterupdownenable_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_counterupdownenable_struct.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_counterupdownenable_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_counterupdownenable_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_dff_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_dff_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_dff_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_dff_pre_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_dff_pre_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_dff_pre_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_dffe_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_dffe_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_dffe_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_dffe_pre_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_dffe_pre_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_dffe_pre_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_edgeDetector_RTL.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_edgeDetector_RTL.vhd._fpf new file mode 100644 index 0000000..19d6635 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_edgeDetector_RTL.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2002 diff --git a/Libs/Sequential/hds/.hdlsidedata/_edgeDetector_arch.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_edgeDetector_arch.vhd._fpf new file mode 100644 index 0000000..0df95a8 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_edgeDetector_arch.vhd._fpf @@ -0,0 +1,4 @@ +DIALECT atom VHDL_2008 +INCLUDE list { + DEFAULT atom 1 +} diff --git a/Libs/Sequential/hds/.hdlsidedata/_edgedetector_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_edgedetector_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_edgedetector_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_freqDividerEnable_RTL.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_freqDividerEnable_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_freqDividerEnable_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_freqDivider_RTL.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_freqDivider_RTL.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_freqDivider_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_freqdivider_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_freqdivider_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_freqdivider_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_freqdividerenable_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_freqdividerenable_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_freqdividerenable_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerLogicVector_sim.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerLogicVector_sim.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerLogicVector_sim.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerSigned_sim.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerSigned_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerSigned_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerULogicVectorTo_RTL.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerULogicVectorTo_RTL.vhd._fpf new file mode 100755 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerULogicVectorTo_RTL.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerULogicVector_sim.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerULogicVector_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerULogicVector_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerULogicVector_sim1.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerULogicVector_sim1.vhd._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerULogicVector_sim1.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerUnsigned_sim.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerUnsigned_sim.vhd._fpf new file mode 100644 index 0000000..80b1639 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerUnsigned_sim.vhd._fpf @@ -0,0 +1,4 @@ +INCLUDE list { + DEFAULT atom 1 +} +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerfile_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerfile_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerfile_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerfile_struct.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerfile_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerfile_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerlogicvector_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerlogicvector_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerlogicvector_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registersigned_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_registersigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registersigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerulogicvector_entity.vhd._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerulogicvector_entity.vhd._fpf new file mode 100644 index 0000000..3eea781 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerulogicvector_entity.vhd._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_ANY diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerulogicvector_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerulogicvector_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerulogicvector_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerulogicvectorto_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerulogicvectorto_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerulogicvectorto_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_registerunsigned_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_registerunsigned_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_registerunsigned_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_reglatch_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_reglatch_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_reglatch_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_sram_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_sram_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_sram_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_sram_struct.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_sram_struct.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_sram_struct.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_tff_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_tff_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_tff_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/.hdlsidedata/_tff_pre_entity.vhg._fpf b/Libs/Sequential/hds/.hdlsidedata/_tff_pre_entity.vhg._fpf new file mode 100644 index 0000000..376bb70 --- /dev/null +++ b/Libs/Sequential/hds/.hdlsidedata/_tff_pre_entity.vhg._fpf @@ -0,0 +1 @@ +DIALECT atom VHDL_2008 diff --git a/Libs/Sequential/hds/@d@f@f/symbol.sb b/Libs/Sequential/hds/@d@f@f/symbol.sb new file mode 100644 index 0000000..47e18c6 --- /dev/null +++ b/Libs/Sequential/hds/@d@f@f/symbol.sb @@ -0,0 +1,1579 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 159,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 160,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +uid 161,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 162,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 163,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 164,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 101,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 104,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 106,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 108,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 127,0 +) +*24 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 128,0 +) +*25 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 129,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 130,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 102,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 110,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 114,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 116,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 118,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 120,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 122,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 124,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 126,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 100,0 +vaOverrides [ +] +) +] +) +uid 158,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 166,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 156,0 +) +] +) +pdm (PhysicalDM +uid 167,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 132,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 135,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 137,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 139,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 157,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 133,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 141,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 145,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 147,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 149,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 151,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 153,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 155,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 131,0 +vaOverrides [ +] +) +] +) +uid 165,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/DFF" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "DFF" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "DFF" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/DFF/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "DFF" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,16625,33000,17375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "34000,16500,35000,17500" +st "D" +blo "34000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12100,15500,13000" +st "D : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*63 (CptPort +uid 57,0 +optionalChildren [ +*64 (FFT +pts [ +"33750,21000" +"33000,21375" +"33000,20625" +] +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,20625,33750,21375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,20625,33000,21375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +) +xt "34000,20600,35900,21600" +st "CLK" +blo "34000,21400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 88,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10300,15500,11200" +st "CLK : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +*65 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35625,23000,36375,23750" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +) +xt "35000,22000,37000,23000" +st "CLR" +blo "35000,22800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 89,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11200,15500,12100" +st "CLR : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*66 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,16625,39750,17375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +) +xt "37000,16500,38000,17500" +st "Q" +ju 2 +blo "38000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,14500,13900" +st "Q : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 12,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,15000,39000,23000" +) +showPorts 0 +oxt "15000,10000,21000,19000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "courier,8,1" +) +xt "39600,18700,45100,19600" +st "sequential" +blo "39600,19400" +) +second (Text +uid 15,0 +va (VaSet +font "courier,8,1" +) +xt "39600,19600,41100,20500" +st "DFF" +blo "39600,20300" +) +) +gi *67 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "40000,23600,51500,26300" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*68 (Grouping +uid 191,0 +optionalChildren [ +*69 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 207,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 213,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 217,0 +shape (Rectangle +uid 218,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 219,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,49400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 192,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *79 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 97,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*81 (MLText +uid 98,0 +va (VaSet +) +xt "0,1000,18600,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "143,37,1429,895" +viewArea "-1000,-1000,75824,50552" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8300,5400,9300" +st "Declarations" +blo "0,9100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9300,2700,10300" +st "Ports:" +blo "0,10100" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13900,2500,14800" +st "User:" +blo "0,14600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,8300,5800,9300" +st "Internal User:" +blo "0,9100" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14800,2000,14800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,8300,32000,8300" +tm "SyDeclarativeTextMgr" +) +) +lastUid 337,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/@d@f@f@e/symbol.sb b/Libs/Sequential/hds/@d@f@f@e/symbol.sb new file mode 100644 index 0000000..2349f39 --- /dev/null +++ b/Libs/Sequential/hds/@d@f@f@e/symbol.sb @@ -0,0 +1,1631 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 166,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 167,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 168,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +uid 169,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "E" +t "std_uLogic" +o 5 +suid 5,0 +) +) +uid 170,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 171,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 106,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 109,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 111,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*24 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 132,0 +) +*25 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 133,0 +) +*26 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 134,0 +) +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 135,0 +) +*28 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 136,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 115,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 119,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 121,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 123,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 125,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 127,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 129,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 131,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 105,0 +vaOverrides [ +] +) +] +) +uid 164,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 173,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 162,0 +) +] +) +pdm (PhysicalDM +uid 174,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 138,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 141,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 143,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 145,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 163,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 139,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 147,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 151,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 153,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 155,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 157,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 159,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 161,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 137,0 +vaOverrides [ +] +) +] +) +uid 172,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f@e/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f@e/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f@e" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/DFFE" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "DFFE" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "DFFE" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f@e/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/DFFE/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "DFFE" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,12625,36000,13375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "37000,12500,38000,13500" +st "D" +blo "37000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12100,15500,13000" +st "D : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*65 (CptPort +uid 57,0 +optionalChildren [ +*66 (FFT +pts [ +"36750,19000" +"36000,19375" +"36000,18625" +] +uid 104,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36000,18625,36750,19375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,18625,36000,19375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +) +xt "37000,18600,38900,19600" +st "CLK" +blo "37000,19400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 88,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10300,15500,11200" +st "CLK : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +*67 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38625,21000,39375,21750" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +) +xt "38000,20000,40000,21000" +st "CLR" +blo "38000,20800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 89,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11200,15500,12100" +st "CLR : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*68 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,12625,42750,13375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +) +xt "40000,12500,41000,13500" +st "Q" +ju 2 +blo "41000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13900,14500,14800" +st "Q : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +*69 (CptPort +uid 99,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 100,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,14625,36000,15375" +) +tg (CPTG +uid 101,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 102,0 +va (VaSet +) +xt "37000,14500,37900,15500" +st "E" +blo "37000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,15500,13900" +st "E : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "E" +t "std_uLogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 12,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,11000,42000,21000" +) +showPorts 0 +oxt "15000,10000,21000,19000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "courier,8,1" +) +xt "42600,16700,48100,17600" +st "sequential" +blo "42600,17400" +) +second (Text +uid 15,0 +va (VaSet +font "courier,8,1" +) +xt "42600,17600,44600,18500" +st "DFFE" +blo "42600,18300" +) +) +gi *70 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "43000,21600,54500,24300" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*71 (Grouping +uid 198,0 +optionalChildren [ +*72 (CommentText +uid 200,0 +shape (Rectangle +uid 201,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 202,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 203,0 +shape (Rectangle +uid 204,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 205,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 206,0 +shape (Rectangle +uid 207,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 208,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 209,0 +shape (Rectangle +uid 210,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 211,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 212,0 +shape (Rectangle +uid 213,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 214,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 215,0 +shape (Rectangle +uid 216,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 217,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 218,0 +shape (Rectangle +uid 219,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 220,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 221,0 +shape (Rectangle +uid 222,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 223,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 224,0 +shape (Rectangle +uid 225,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 226,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 227,0 +shape (Rectangle +uid 228,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 229,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,50000,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 199,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *82 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 97,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*84 (MLText +uid 98,0 +va (VaSet +) +xt "0,1000,18600,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "98,33,1387,900" +viewArea "-1097,-1097,74561,50166" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *85 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *86 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8300,5400,9300" +st "Declarations" +blo "0,9100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9300,2700,10300" +st "Ports:" +blo "0,10100" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,14800,2500,15700" +st "User:" +blo "0,15500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,8300,5800,9300" +st "Internal User:" +blo "0,9100" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15700,2000,15700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,8300,32000,8300" +tm "SyDeclarativeTextMgr" +) +) +lastUid 275,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/@d@f@f@e_pre/symbol.sb b/Libs/Sequential/hds/@d@f@f@e_pre/symbol.sb new file mode 100644 index 0000000..a398fd3 --- /dev/null +++ b/Libs/Sequential/hds/@d@f@f@e_pre/symbol.sb @@ -0,0 +1,1641 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 165,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "PRE" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 166,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 167,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 168,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +uid 169,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "E" +t "std_uLogic" +o 5 +suid 5,0 +) +) +uid 170,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 171,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 106,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 109,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 111,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*24 (MRCItem +litem &2 +pos 1 +dimension 20 +uid 132,0 +) +*25 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 133,0 +) +*26 (MRCItem +litem &4 +pos 3 +dimension 20 +uid 134,0 +) +*27 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 135,0 +) +*28 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 136,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 107,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 115,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 119,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 121,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 123,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 125,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 127,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 129,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 131,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 105,0 +vaOverrides [ +] +) +] +) +uid 164,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 173,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 162,0 +) +] +) +pdm (PhysicalDM +uid 174,0 +optionalChildren [ +*50 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *51 (MRCItem +litem &37 +pos 1 +dimension 20 +) +uid 138,0 +optionalChildren [ +*52 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 141,0 +) +*53 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 143,0 +) +*54 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 145,0 +) +*55 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 163,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 139,0 +optionalChildren [ +*56 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 147,0 +) +*57 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 151,0 +) +*58 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 153,0 +) +*59 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 155,0 +) +*60 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 157,0 +) +*61 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 159,0 +) +*62 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 161,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 137,0 +vaOverrides [ +] +) +] +) +uid 172,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f@e_pre/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f@e_pre/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f@e_pre" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/DFFE_pre" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "DFFE_pre" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "DFFE_pre" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f@e_pre/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/DFFE_pre/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "DFFE_pre" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*63 (SymbolBody +uid 11,0 +optionalChildren [ +*64 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,12625,36000,13375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "37000,12500,38000,13500" +st "D" +blo "37000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11200,15500,12100" +st "D : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*65 (CptPort +uid 57,0 +optionalChildren [ +*66 (FFT +pts [ +"36750,19000" +"36000,19375" +"36000,18625" +] +uid 104,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "36000,18625,36750,19375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,18625,36000,19375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +) +xt "37000,18600,38900,19600" +st "CLK" +blo "37000,19400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 88,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10300,15500,11200" +st "CLK : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +*67 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "38625,10250,39375,11000" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +) +xt "38000,11000,40000,12000" +st "PRE" +ju 2 +blo "40000,11800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 89,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,15500,13900" +st "PRE : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "PRE" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*68 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,12625,42750,13375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +) +xt "40000,12500,41000,13500" +st "Q" +ju 2 +blo "41000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13900,14500,14800" +st "Q : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +*69 (CptPort +uid 99,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 100,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35250,14625,36000,15375" +) +tg (CPTG +uid 101,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 102,0 +va (VaSet +) +xt "37000,14500,37900,15500" +st "E" +blo "37000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12100,15500,13000" +st "E : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "E" +t "std_uLogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 12,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "36000,11000,42000,21000" +) +showPorts 0 +oxt "15000,10000,21000,19000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "courier,8,1" +) +xt "42600,16700,48100,17600" +st "sequential" +blo "42600,17400" +) +second (Text +uid 15,0 +va (VaSet +font "courier,8,1" +) +xt "42600,17600,46600,18500" +st "DFFE_pre" +blo "42600,18300" +) +) +gi *70 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "43000,21600,54500,24300" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*71 (Grouping +uid 198,0 +optionalChildren [ +*72 (CommentText +uid 200,0 +shape (Rectangle +uid 201,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 202,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 203,0 +shape (Rectangle +uid 204,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 205,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 206,0 +shape (Rectangle +uid 207,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 208,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 209,0 +shape (Rectangle +uid 210,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 211,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 212,0 +shape (Rectangle +uid 213,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 214,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 215,0 +shape (Rectangle +uid 216,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 217,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 218,0 +shape (Rectangle +uid 219,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 220,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 221,0 +shape (Rectangle +uid 222,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 223,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 224,0 +shape (Rectangle +uid 225,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 226,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 227,0 +shape (Rectangle +uid 228,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 229,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,52400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 199,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *82 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*83 (Text +uid 97,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*84 (MLText +uid 98,0 +va (VaSet +) +xt "0,1000,18600,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "120,35,1419,901" +viewArea "-1091,-1091,75596,50318" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *85 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *86 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8300,5400,9300" +st "Declarations" +blo "0,9100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9300,2700,10300" +st "Ports:" +blo "0,10100" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,14800,2500,15700" +st "User:" +blo "0,15500" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,8300,5800,9300" +st "Internal User:" +blo "0,9100" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,15700,2000,15700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,8300,32000,8300" +tm "SyDeclarativeTextMgr" +) +) +lastUid 298,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/@d@f@f_pre/symbol.sb b/Libs/Sequential/hds/@d@f@f_pre/symbol.sb new file mode 100644 index 0000000..a846bb8 --- /dev/null +++ b/Libs/Sequential/hds/@d@f@f_pre/symbol.sb @@ -0,0 +1,1589 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 159,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 160,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +uid 161,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "PRE" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 162,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 163,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 164,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 101,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 104,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 106,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 108,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 127,0 +) +*24 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 128,0 +) +*25 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 129,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 130,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 102,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 110,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 114,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 116,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 118,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 120,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 122,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 124,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 126,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 100,0 +vaOverrides [ +] +) +] +) +uid 158,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 166,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 156,0 +) +] +) +pdm (PhysicalDM +uid 167,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 132,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 135,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 137,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 139,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 157,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 133,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 141,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 145,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 147,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 149,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 151,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 153,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 155,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 131,0 +vaOverrides [ +] +) +] +) +uid 165,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f_pre/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f_pre/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f_pre" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/DFF_pre" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "DFF_pre" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "DFF_pre" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@d@f@f_pre/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/DFF_pre/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "DFF_pre" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,16625,33000,17375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "34000,16500,35000,17500" +st "D" +blo "34000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11200,15500,12100" +st "D : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "D" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*63 (CptPort +uid 57,0 +optionalChildren [ +*64 (FFT +pts [ +"33750,21000" +"33000,21375" +"33000,20625" +] +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,20625,33750,21375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,20625,33000,21375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +) +xt "34000,20600,35900,21600" +st "CLK" +blo "34000,21400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 88,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10300,15500,11200" +st "CLK : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +*65 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35625,14250,36375,15000" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +) +xt "35000,15000,37000,16000" +st "PRE" +ju 2 +blo "37000,15800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 89,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12100,15500,13000" +st "PRE : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "PRE" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*66 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,16625,39750,17375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +) +xt "37000,16500,38000,17500" +st "Q" +ju 2 +blo "38000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,14500,13900" +st "Q : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 12,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,15000,39000,23000" +) +showPorts 0 +oxt "15000,10000,21000,19000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "courier,8,1" +) +xt "39600,18700,45100,19600" +st "sequential" +blo "39600,19400" +) +second (Text +uid 15,0 +va (VaSet +font "courier,8,1" +) +xt "39600,19600,43100,20500" +st "DFF_pre" +blo "39600,20300" +) +) +gi *67 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "40000,23600,50500,26300" +st "Generic Declarations + +delay time gateDelay" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*68 (Grouping +uid 191,0 +optionalChildren [ +*69 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,50600,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 207,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 213,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 217,0 +shape (Rectangle +uid 218,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 219,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 192,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *79 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 97,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*81 (MLText +uid 98,0 +va (VaSet +) +xt "0,1000,18600,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "65,42,1361,900" +viewArea "-1000,-1000,76544,50552" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8300,5400,9300" +st "Declarations" +blo "0,9100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9300,2700,10300" +st "Ports:" +blo "0,10100" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13900,2500,14800" +st "User:" +blo "0,14600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,8300,5800,9300" +st "Internal User:" +blo "0,9100" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14800,2000,14800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,8300,32000,8300" +tm "SyDeclarativeTextMgr" +) +) +lastUid 360,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/@s@r@a@m/struct.bd b/Libs/Sequential/hds/@s@r@a@m/struct.bd new file mode 100644 index 0000000..83a611a --- /dev/null +++ b/Libs/Sequential/hds/@s@r@a@m/struct.bd @@ -0,0 +1,3031 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I0" +duLibraryName "virtexPrimitives" +duName "RAMnXmD" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +(GiElement +name "addressNbBits" +type "positive" +value "addressNbBits" +) +(GiElement +name "dataNbBits" +type "positive" +value "dataNbBits" +) +] +mwi 0 +uid 151,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb5" +number "5" +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@s@r@a@m/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@s@r@a@m/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@s@r@a@m" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/SRAM" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "SRAM" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "SRAM" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@s@r@a@m/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/SRAM/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "SRAM" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (PortIoIn +uid 53,0 +shape (CompositeShape +uid 294,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 295,0 +sl 0 +ro 270 +xt "-2000,2625,-500,3375" +) +(Line +uid 296,0 +sl 0 +ro 270 +xt "-500,3000,0,3000" +pts [ +"-500,3000" +"0,3000" +] +) +] +) +tg (WTG +uid 297,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 298,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "-31900,2300,-3000,3700" +st "addressIn : (addressNbBits-1 DOWNTO 0)" +ju 2 +blo "-3000,3500" +tm "WireNameMgr" +) +s (Text +uid 299,0 +va (VaSet +font "courier,12,0" +) +xt "-31900,3700,-31900,3700" +ju 2 +blo "-31900,3700" +tm "SignalTypeMgr" +) +) +) +*2 (Net +uid 65,0 +decl (Decl +n "addressIn" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +declText (MLText +uid 66,0 +va (VaSet +font "courier,9,0" +) +xt "-45000,2800,-20500,3700" +st "addressIn : unsigned(addressNbBits-1 DOWNTO 0)" +) +) +*3 (PortIoIn +uid 67,0 +shape (CompositeShape +uid 300,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 301,0 +sl 0 +ro 270 +xt "-2000,10625,-500,11375" +) +(Line +uid 302,0 +sl 0 +ro 270 +xt "-500,11000,0,11000" +pts [ +"-500,11000" +"0,11000" +] +) +] +) +tg (WTG +uid 303,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 304,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "-6800,10300,-3000,11700" +st "clock" +ju 2 +blo "-3000,11500" +tm "WireNameMgr" +) +s (Text +uid 305,0 +va (VaSet +font "courier,12,0" +) +xt "-6800,11700,-6800,11700" +ju 2 +blo "-6800,11700" +tm "SignalTypeMgr" +) +) +) +*4 (Net +uid 79,0 +decl (Decl +n "clock" +t "std_uLogic" +o 2 +suid 2,0 +) +declText (MLText +uid 80,0 +va (VaSet +font "courier,9,0" +) +xt "-45000,4000,-32500,4900" +st "clock : std_uLogic" +) +) +*5 (PortIoIn +uid 81,0 +shape (CompositeShape +uid 306,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 307,0 +sl 0 +ro 270 +xt "-2000,625,-500,1375" +) +(Line +uid 308,0 +sl 0 +ro 270 +xt "-500,1000,0,1000" +pts [ +"-500,1000" +"0,1000" +] +) +] +) +tg (WTG +uid 309,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 310,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "-27500,300,-3000,1700" +st "dataIn : (dataNbBits-1 DOWNTO 0)" +ju 2 +blo "-3000,1500" +tm "WireNameMgr" +) +s (Text +uid 311,0 +va (VaSet +font "courier,12,0" +) +xt "-27500,1700,-27500,1700" +ju 2 +blo "-27500,1700" +tm "SignalTypeMgr" +) +) +) +*6 (Net +uid 93,0 +decl (Decl +n "dataIn" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 3 +suid 3,0 +) +declText (MLText +uid 94,0 +va (VaSet +font "courier,9,0" +) +xt "-45000,5200,-23000,6100" +st "dataIn : signed(dataNbBits-1 DOWNTO 0)" +) +) +*7 (PortIoOut +uid 95,0 +shape (CompositeShape +uid 312,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 313,0 +sl 0 +ro 270 +xt "32500,2625,34000,3375" +) +(Line +uid 314,0 +sl 0 +ro 270 +xt "32000,3000,32500,3000" +pts [ +"32000,3000" +"32500,3000" +] +) +] +) +tg (WTG +uid 315,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 316,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "35000,2300,60500,3700" +st "dataOut : (dataNbBits-1 DOWNTO 0)" +blo "35000,3500" +tm "WireNameMgr" +) +s (Text +uid 317,0 +va (VaSet +font "courier,12,0" +) +xt "35000,3700,35000,3700" +blo "35000,3700" +tm "SignalTypeMgr" +) +) +) +*8 (Net +uid 107,0 +decl (Decl +n "dataOut" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 5 +suid 4,0 +) +declText (MLText +uid 108,0 +va (VaSet +font "courier,9,0" +) +xt "-45000,7600,-23000,8500" +st "dataOut : signed(dataNbBits-1 DOWNTO 0)" +) +) +*9 (PortIoIn +uid 109,0 +shape (CompositeShape +uid 318,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 319,0 +sl 0 +ro 270 +xt "-2000,8625,-500,9375" +) +(Line +uid 320,0 +sl 0 +ro 270 +xt "-500,9000,0,9000" +pts [ +"-500,9000" +"0,9000" +] +) +] +) +tg (WTG +uid 321,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 322,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "-12100,8300,-3000,9700" +st "writeEnable" +ju 2 +blo "-3000,9500" +tm "WireNameMgr" +) +s (Text +uid 323,0 +va (VaSet +font "courier,12,0" +) +xt "-12100,9700,-12100,9700" +ju 2 +blo "-12100,9700" +tm "SignalTypeMgr" +) +) +) +*10 (Net +uid 121,0 +decl (Decl +n "writeEnable" +t "std_uLogic" +o 4 +suid 5,0 +) +declText (MLText +uid 122,0 +va (VaSet +font "courier,9,0" +) +xt "-45000,6400,-32500,7300" +st "writeEnable : std_uLogic" +) +) +*11 (SaComponent +uid 151,0 +optionalChildren [ +*12 (CptPort +uid 123,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 124,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,2625,24750,3375" +) +tg (CPTG +uid 125,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 126,0 +va (VaSet +font "courier,12,0" +) +xt "19600,2300,23000,3700" +st "SPO" +ju 2 +blo "23000,3500" +) +s (Text +uid 160,0 +va (VaSet +font "courier,12,0" +) +xt "23000,3700,23000,3700" +ju 2 +blo "0,-200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SPO" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 6 +) +) +) +*13 (CptPort +uid 127,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 128,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,10625,8000,11375" +) +tg (CPTG +uid 129,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 130,0 +va (VaSet +font "courier,12,0" +) +xt "9000,10300,13500,11700" +st "WCLK" +blo "9000,11500" +) +s (Text +uid 161,0 +va (VaSet +font "courier,12,0" +) +xt "9000,11700,9000,11700" +blo "0,-200" +) +) +thePort (LogicalPort +decl (Decl +n "WCLK" +t "std_uLogic" +o 1 +) +) +) +*14 (CptPort +uid 131,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 132,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,625,8000,1375" +) +tg (CPTG +uid 133,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 134,0 +va (VaSet +font "courier,12,0" +) +xt "9000,300,10700,1700" +st "D" +blo "9000,1500" +) +s (Text +uid 162,0 +va (VaSet +font "courier,12,0" +) +xt "9000,1700,9000,1700" +blo "0,-200" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +) +) +) +*15 (CptPort +uid 135,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 136,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24000,4625,24750,5375" +) +tg (CPTG +uid 137,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 138,0 +va (VaSet +font "courier,12,0" +) +xt "19500,4300,23000,5700" +st "DPO" +ju 2 +blo "23000,5500" +) +s (Text +uid 163,0 +va (VaSet +font "courier,12,0" +) +xt "23000,5700,23000,5700" +ju 2 +blo "0,-200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "DPO" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 7 +) +) +) +*16 (CptPort +uid 139,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 140,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,8625,8000,9375" +) +tg (CPTG +uid 141,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 142,0 +va (VaSet +font "courier,12,0" +) +xt "9000,8300,11900,9700" +st "WE" +blo "9000,9500" +) +s (Text +uid 164,0 +va (VaSet +font "courier,12,0" +) +xt "9000,9700,9000,9700" +blo "0,-200" +) +) +thePort (LogicalPort +decl (Decl +n "WE" +t "std_uLogic" +o 5 +) +) +) +*17 (CptPort +uid 143,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 144,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,2625,8000,3375" +) +tg (CPTG +uid 145,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 146,0 +va (VaSet +font "courier,12,0" +) +xt "9000,2300,10600,3700" +st "A" +blo "9000,3500" +) +s (Text +uid 165,0 +va (VaSet +font "courier,12,0" +) +xt "9000,3700,9000,3700" +blo "0,-200" +) +) +thePort (LogicalPort +decl (Decl +n "A" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 3 +) +) +) +*18 (CptPort +uid 147,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 148,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "7250,4625,8000,5375" +) +tg (CPTG +uid 149,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 150,0 +va (VaSet +font "courier,12,0" +) +xt "9000,4300,13100,5700" +st "DPRA" +blo "9000,5500" +) +s (Text +uid 166,0 +va (VaSet +font "courier,12,0" +) +xt "9000,5700,9000,5700" +blo "0,-200" +) +) +thePort (LogicalPort +decl (Decl +n "DPRA" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 4 +) +) +) +] +shape (Rectangle +uid 152,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "8000,-3000,24000,13000" +) +ttg (MlTextGroup +uid 153,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*19 (Text +uid 154,0 +va (VaSet +bg "0,0,0" +) +xt "7910,13700,13710,14700" +st "virtexPrimitives" +blo "7910,14500" +tm "BdLibraryNameMgr" +) +*20 (Text +uid 155,0 +va (VaSet +bg "0,0,0" +) +xt "7910,14700,12210,15700" +st "RAMnXmD" +blo "7910,15500" +tm "CptNameMgr" +) +*21 (Text +uid 156,0 +va (VaSet +bg "0,0,0" +) +xt "7910,15700,8910,16700" +st "I0" +blo "7910,16500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 157,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 158,0 +text (MLText +uid 159,0 +va (VaSet +) +xt "8000,18000,36200,21000" +st "delay = 1 ns ( time ) +addressNbBits = addressNbBits ( positive ) +dataNbBits = dataNbBits ( positive ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +(GiElement +name "addressNbBits" +type "positive" +value "addressNbBits" +) +(GiElement +name "dataNbBits" +type "positive" +value "dataNbBits" +) +] +) +portVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*22 (Net +uid 195,0 +decl (Decl +n "DPRA" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 6 +suid 6,0 +) +declText (MLText +uid 196,0 +va (VaSet +font "courier,9,0" +) +xt "-45000,10000,-17000,10900" +st "SIGNAL DPRA : unsigned(addressNbBits-1 DOWNTO 0)" +) +) +*23 (HdlText +uid 205,0 +optionalChildren [ +*24 (EmbeddedText +uid 210,0 +commentText (CommentText +uid 211,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 212,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-15000,18000,-1000,20000" +) +oxt "0,0,18000,5000" +text (MLText +uid 213,0 +va (VaSet +font "courier,9,0" +) +xt "-14800,18200,-2100,19100" +st " +DPRA <= (others => '-'); +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 206,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "-16000,17000,0,21000" +) +oxt "0,0,8000,10000" +ttg (MlTextGroup +uid 207,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*25 (Text +uid 208,0 +va (VaSet +) +xt "-15950,20700,-14350,21700" +st "eb5" +blo "-15950,21500" +tm "HdlTextNameMgr" +) +*26 (Text +uid 209,0 +va (VaSet +) +xt "-15950,21700,-15150,22700" +st "5" +blo "-15950,22500" +tm "HdlTextNumberMgr" +) +] +) +) +*27 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,3000,7250,3000" +pts [ +"0,3000" +"7250,3000" +] +) +start &1 +end &17 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,1600,7200,3000" +st "addressIn" +blo "0,2800" +tm "WireNameMgr" +) +) +on &2 +) +*28 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +) +xt "0,11000,7250,11000" +pts [ +"0,11000" +"7250,11000" +] +) +start &3 +end &13 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,9600,3800,11000" +st "clock" +blo "0,10800" +tm "WireNameMgr" +) +) +on &4 +) +*29 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "0,1000,7250,1000" +pts [ +"0,1000" +"7250,1000" +] +) +start &5 +end &14 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,-400,5000,1000" +st "dataIn" +blo "0,800" +tm "WireNameMgr" +) +) +on &6 +) +*30 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "24750,3000,32000,3000" +pts [ +"24750,3000" +"32000,3000" +] +) +start &12 +end &7 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "27000,1600,33000,3000" +st "dataOut" +blo "27000,2800" +tm "WireNameMgr" +) +) +on &8 +) +*31 (Wire +uid 113,0 +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +) +xt "0,9000,7250,9000" +pts [ +"0,9000" +"7250,9000" +] +) +start &9 +end &16 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,7600,9100,9000" +st "writeEnable" +blo "0,8800" +tm "WireNameMgr" +) +) +on &10 +) +*32 (Wire +uid 197,0 +shape (OrthoPolyLine +uid 198,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "4000,5000,7250,5000" +pts [ +"7250,5000" +"4000,5000" +] +) +start &18 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 201,0 +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 202,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "3250,3600,7350,5000" +st "DPRA" +blo "3250,4800" +tm "WireNameMgr" +) +) +on &22 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *33 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*34 (Text +uid 43,0 +va (VaSet +font "courier,12,0" +) +xt "-47000,-7000,-37500,-5600" +st "Package List" +blo "-47000,-5800" +) +*35 (MLText +uid 44,0 +va (VaSet +) +xt "-47000,-5600,-28400,-2600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,0,34800,1400" +st "Compiler Directives" +blo "20000,1200" +) +*37 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,1600,37800,3000" +st "Pre-module directives:" +blo "20000,2800" +) +*38 (MLText +uid 48,0 +va (VaSet +isHidden 1 +) +xt "20000,3200,32000,5200" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*39 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,5800,38400,7200" +st "Post-module directives:" +blo "20000,7000" +) +*40 (MLText +uid 50,0 +va (VaSet +isHidden 1 +) +xt "20000,7400,20000,7400" +tm "BdCompilerDirectivesTextMgr" +) +*41 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,7600,37900,9000" +st "End-module directives:" +blo "20000,8800" +) +*42 (MLText +uid 52,0 +va (VaSet +isHidden 1 +) +xt "20000,1400,20000,1400" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "29,35,953,614" +viewArea "-48346,-10777,38712,27096" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +lastUid 381,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +bg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +bg "0,0,0" +font "courier,10,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +bg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*43 (Text +va (VaSet +bg "0,0,0" +) +xt "1700,3000,5200,4000" +st "" +blo "1700,3800" +tm "BdLibraryNameMgr" +) +*44 (Text +va (VaSet +bg "0,0,0" +) +xt "1700,4000,4800,5000" +st "" +blo "1700,4800" +tm "BlkNameMgr" +) +*45 (Text +va (VaSet +bg "0,0,0" +) +xt "1700,5000,2700,6000" +st "I0" +blo "1700,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +bg "0,0,0" +) +xt "1700,13000,1700,13000" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*46 (Text +va (VaSet +bg "0,0,0" +) +xt "-100,3000,2600,4000" +st "Library" +blo "-100,3800" +) +*47 (Text +va (VaSet +bg "0,0,0" +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*48 (Text +va (VaSet +bg "0,0,0" +) +xt "-100,5000,900,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +bg "0,0,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +) +xt "-350,0,8350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +va (VaSet +bg "0,0,0" +) +xt "150,3000,2850,4000" +st "Library" +blo "150,3800" +tm "BdLibraryNameMgr" +) +*50 (Text +va (VaSet +bg "0,0,0" +) +xt "150,4000,5650,5000" +st "SaComponent" +blo "150,4800" +tm "CptNameMgr" +) +*51 (Text +va (VaSet +bg "0,0,0" +) +xt "150,5000,1150,6000" +st "I0" +blo "150,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +bg "0,0,0" +) +xt "-6850,1000,-6850,1000" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*52 (Text +va (VaSet +bg "0,0,0" +) +xt "-350,3000,2350,4000" +st "Library" +blo "-350,3800" +) +*53 (Text +va (VaSet +bg "0,0,0" +) +xt "-350,4000,5750,5000" +st "VhdlComponent" +blo "-350,4800" +) +*54 (Text +va (VaSet +bg "0,0,0" +) +xt "-350,5000,650,6000" +st "I0" +blo "-350,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +bg "0,0,0" +) +xt "-7350,1000,-7350,1000" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +) +xt "-1500,0,9500,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +va (VaSet +bg "0,0,0" +) +xt "-1000,3000,1700,4000" +st "Library" +blo "-1000,3800" +) +*56 (Text +va (VaSet +bg "0,0,0" +) +xt "-1000,4000,6100,5000" +st "VerilogComponent" +blo "-1000,4800" +) +*57 (Text +va (VaSet +bg "0,0,0" +) +xt "-1000,5000,0,6000" +st "I0" +blo "-1000,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +bg "0,0,0" +) +xt "-8000,1000,-8000,1000" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +bg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*58 (Text +va (VaSet +bg "0,0,0" +) +xt "3050,3700,4650,4700" +st "eb1" +blo "3050,4500" +tm "HdlTextNameMgr" +) +*59 (Text +va (VaSet +bg "0,0,0" +) +xt "3050,4700,3850,5700" +st "1" +blo "3050,5500" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +bg "0,0,0" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +bg "0,0,0" +) +xt "-400,-600,600,400" +st "G" +blo "-400,200" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-3000,-500,-3000,-500" +ju 2 +blo "-3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-3000,-500,-3000,-500" +ju 2 +blo "-3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,0,3400,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,0,4700,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +bg "0,0,0" +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +bg "0,0,0" +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +bg "0,0,0" +) +xt "0,0,5400,1000" +st "Auto list" +) +second (MLText +va (VaSet +bg "0,0,0" +) +xt "0,1000,10800,2000" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +bg "0,0,0" +) +xt "0,-1300,17400,-300" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1150,1650" +) +num (Text +va (VaSet +bg "0,0,0" +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +bg "0,0,0" +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*61 (MLText +va (VaSet +bg "0,0,0" +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +bg "0,0,0" +) +xt "0,-1300,10800,-300" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1150,1650" +) +num (Text +va (VaSet +bg "0,0,0" +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +va (VaSet +bg "0,0,0" +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*63 (MLText +va (VaSet +bg "0,0,0" +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,750,3400,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,750,3400,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,9,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-47000,400,-38400,1600" +st "Declarations" +blo "-47000,1400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-47000,1600,-42800,2800" +st "Ports:" +blo "-47000,2600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-47000,400,-41000,1600" +st "Pre User:" +blo "-47000,1400" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-47000,400,-47000,400" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,10,1" +) +xt "-47000,8800,-36000,10000" +st "Diagram Signals:" +blo "-47000,9800" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-47000,400,-39700,1600" +st "Post User:" +blo "-47000,1400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-47000,400,-47000,400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 6,0 +usingSuid 1 +emptyRow *64 (LEmptyRow +) +uid 239,0 +optionalChildren [ +*65 (RefLabelRowHdr +) +*66 (TitleRowHdr +) +*67 (FilterRowHdr +) +*68 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*69 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*70 (GroupColHdr +tm "GroupColHdrMgr" +) +*71 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*72 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*73 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*74 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*75 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*76 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*77 (LeafLogPort +port (LogicalPort +decl (Decl +n "addressIn" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 226,0 +) +*78 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 2 +suid 2,0 +) +) +uid 228,0 +) +*79 (LeafLogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 230,0 +) +*80 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 5 +suid 4,0 +) +) +uid 232,0 +) +*81 (LeafLogPort +port (LogicalPort +decl (Decl +n "writeEnable" +t "std_uLogic" +o 4 +suid 5,0 +) +) +uid 234,0 +) +*82 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "DPRA" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 236,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 252,0 +optionalChildren [ +*83 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *84 (MRCItem +litem &64 +pos 3 +dimension 20 +) +uid 254,0 +optionalChildren [ +*85 (MRCItem +litem &65 +pos 0 +dimension 20 +uid 255,0 +) +*86 (MRCItem +litem &66 +pos 1 +dimension 23 +uid 256,0 +) +*87 (MRCItem +litem &67 +pos 2 +hidden 1 +dimension 20 +uid 257,0 +) +*88 (MRCItem +litem &77 +pos 0 +dimension 20 +uid 227,0 +) +*89 (MRCItem +litem &78 +pos 1 +dimension 20 +uid 229,0 +) +*90 (MRCItem +litem &79 +pos 2 +dimension 20 +uid 231,0 +) +*91 (MRCItem +litem &80 +pos 3 +dimension 20 +uid 233,0 +) +*92 (MRCItem +litem &81 +pos 4 +dimension 20 +uid 235,0 +) +*93 (MRCItem +litem &82 +pos 5 +dimension 20 +uid 237,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 258,0 +optionalChildren [ +*94 (MRCItem +litem &68 +pos 0 +dimension 20 +uid 259,0 +) +*95 (MRCItem +litem &70 +pos 1 +dimension 50 +uid 260,0 +) +*96 (MRCItem +litem &71 +pos 2 +dimension 100 +uid 261,0 +) +*97 (MRCItem +litem &72 +pos 3 +dimension 50 +uid 262,0 +) +*98 (MRCItem +litem &73 +pos 4 +dimension 100 +uid 263,0 +) +*99 (MRCItem +litem &74 +pos 5 +dimension 100 +uid 264,0 +) +*100 (MRCItem +litem &75 +pos 6 +dimension 50 +uid 265,0 +) +*101 (MRCItem +litem &76 +pos 7 +dimension 80 +uid 266,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 253,0 +vaOverrides [ +] +) +] +) +uid 238,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *102 (LEmptyRow +) +uid 268,0 +optionalChildren [ +*103 (RefLabelRowHdr +) +*104 (TitleRowHdr +) +*105 (FilterRowHdr +) +*106 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*107 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*108 (GroupColHdr +tm "GroupColHdrMgr" +) +*109 (NameColHdr +tm "GenericNameColHdrMgr" +) +*110 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*111 (InitColHdr +tm "GenericValueColHdrMgr" +) +*112 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*113 (EolColHdr +tm "GenericEolColHdrMgr" +) +*114 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 327,0 +) +*115 (LogGeneric +generic (GiElement +name "addressNbBits" +type "positive" +value "4" +) +uid 329,0 +) +*116 (LogGeneric +generic (GiElement +name "dataNbBits" +type "positive" +value "8" +) +uid 331,0 +) +] +) +pdm (PhysicalDM +uid 280,0 +optionalChildren [ +*117 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *118 (MRCItem +litem &102 +pos 3 +dimension 20 +) +uid 282,0 +optionalChildren [ +*119 (MRCItem +litem &103 +pos 0 +dimension 20 +uid 283,0 +) +*120 (MRCItem +litem &104 +pos 1 +dimension 23 +uid 284,0 +) +*121 (MRCItem +litem &105 +pos 2 +hidden 1 +dimension 20 +uid 285,0 +) +*122 (MRCItem +litem &114 +pos 0 +dimension 20 +uid 326,0 +) +*123 (MRCItem +litem &115 +pos 1 +dimension 20 +uid 328,0 +) +*124 (MRCItem +litem &116 +pos 2 +dimension 20 +uid 330,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 286,0 +optionalChildren [ +*125 (MRCItem +litem &106 +pos 0 +dimension 20 +uid 287,0 +) +*126 (MRCItem +litem &108 +pos 1 +dimension 50 +uid 288,0 +) +*127 (MRCItem +litem &109 +pos 2 +dimension 100 +uid 289,0 +) +*128 (MRCItem +litem &110 +pos 3 +dimension 100 +uid 290,0 +) +*129 (MRCItem +litem &111 +pos 4 +dimension 50 +uid 291,0 +) +*130 (MRCItem +litem &112 +pos 5 +dimension 50 +uid 292,0 +) +*131 (MRCItem +litem &113 +pos 6 +dimension 80 +uid 293,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 281,0 +vaOverrides [ +] +) +] +) +uid 267,0 +type 1 +) +) diff --git a/Libs/Sequential/hds/@s@r@a@m/symbol.sb b/Libs/Sequential/hds/@s@r@a@m/symbol.sb new file mode 100644 index 0000000..4667b34 --- /dev/null +++ b/Libs/Sequential/hds/@s@r@a@m/symbol.sb @@ -0,0 +1,1342 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 192,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 5 +suid 3,0 +) +) +uid 193,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "addressIn" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 1 +suid 5,0 +) +) +uid 194,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 2 +suid 1,0 +) +) +uid 195,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +uid 196,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "writeEnable" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 197,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 198,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 129,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 132,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 134,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 136,0 +) +*24 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 155,0 +) +*25 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 156,0 +) +*26 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 157,0 +) +*27 (MRCItem +litem &5 +pos 2 +dimension 20 +uid 158,0 +) +*28 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 159,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 130,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 138,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 142,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 144,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 146,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 148,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 150,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 152,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 154,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 128,0 +vaOverrides [ +] +) +] +) +uid 191,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 200,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 185,0 +) +*50 (LogGeneric +generic (GiElement +name "addressNbBits" +type "positive" +value "4" +) +uid 186,0 +) +*51 (LogGeneric +generic (GiElement +name "dataNbBits" +type "positive" +value "8" +) +uid 187,0 +) +] +) +pdm (PhysicalDM +uid 201,0 +optionalChildren [ +*52 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *53 (MRCItem +litem &37 +pos 3 +dimension 20 +) +uid 161,0 +optionalChildren [ +*54 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 164,0 +) +*55 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 166,0 +) +*56 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 168,0 +) +*57 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 188,0 +) +*58 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 189,0 +) +*59 (MRCItem +litem &51 +pos 2 +dimension 20 +uid 190,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 162,0 +optionalChildren [ +*60 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 170,0 +) +*61 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 174,0 +) +*62 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 176,0 +) +*63 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 178,0 +) +*64 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 180,0 +) +*65 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 182,0 +) +*66 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 184,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 160,0 +vaOverrides [ +] +) +] +) +uid 199,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@s@r@a@m/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@s@r@a@m/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@s@r@a@m" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/SRAM" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "SRAM" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:18" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "SRAM" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@s@r@a@m/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/SRAM/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:18" +) +(vvPair +variable "unit" +value "SRAM" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*67 (SymbolBody +uid 8,0 +optionalChildren [ +*68 (CptPort +uid 68,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 69,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,9625,42000,10375" +) +tg (CPTG +uid 70,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 71,0 +va (VaSet +font "courier,12,0" +) +xt "43000,9300,46800,10700" +st "clock" +blo "43000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 72,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10300,19500,11200" +st "clock : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 2 +suid 1,0 +) +) +) +*69 (CptPort +uid 78,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 85,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,3625,42000,4375" +) +tg (CPTG +uid 80,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 81,0 +va (VaSet +font "courier,12,0" +) +xt "43000,3300,48000,4700" +st "dataIn" +blo "43000,4500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11200,29000,12100" +st "dataIn : IN signed (dataNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 3 +suid 2,0 +) +) +) +*70 (CptPort +uid 90,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 91,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "58000,3625,58750,4375" +) +tg (CPTG +uid 92,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 93,0 +va (VaSet +font "courier,12,0" +) +xt "51000,3300,57000,4700" +st "dataOut" +ju 2 +blo "57000,4500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 95,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,28000,13900" +st "dataOut : OUT signed (dataNbBits-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 5 +suid 3,0 +) +) +) +*71 (CptPort +uid 96,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 97,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,7625,42000,8375" +) +tg (CPTG +uid 98,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 99,0 +va (VaSet +font "courier,12,0" +) +xt "43000,7300,52100,8700" +st "writeEnable" +blo "43000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 101,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12100,19500,13000" +st "writeEnable : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "writeEnable" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +*72 (CptPort +uid 114,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 115,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,1625,42000,2375" +) +tg (CPTG +uid 116,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 117,0 +va (VaSet +font "courier,12,0" +) +xt "43000,1300,50200,2700" +st "addressIn" +blo "43000,2500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9400,31500,10300" +st "addressIn : IN unsigned (addressNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "addressIn" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 1 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 67,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "42000,-2000,58000,12000" +) +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "41910,12700,48510,13700" +st "sequential" +blo "41910,13500" +) +second (Text +uid 12,0 +va (VaSet +) +xt "41910,13700,44310,14700" +st "SRAM" +blo "41910,14500" +) +) +gi *73 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "42000,17000,63000,22000" +st "Generic Declarations + +delay time gateDelay +addressNbBits positive 4 +dataNbBits positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "addressNbBits" +type "positive" +value "4" +) +(GiElement +name "dataNbBits" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *74 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*75 (Text +uid 126,0 +va (VaSet +font "courier,10,1" +) +xt "0,-2500,7600,-1300" +st "Package List" +blo "0,-1500" +) +*76 (MLText +uid 127,0 +va (VaSet +) +xt "0,-1300,18600,3700" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.ALL;" +tm "PackageList" +) +] +) +windowSize "13,12,1004,738" +viewArea "-900,-7900,60903,36245" +cachedDiagramExtent "0,-2500,64200,23000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4500,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26910,24700,30410,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *77 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *78 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,7000,8600,8200" +st "Declarations" +blo "0,8000" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,8200,4200,9400" +st "Ports:" +blo "0,9200" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,13900,3000,14900" +st "User:" +blo "0,14700" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,15100,9400,16300" +st "Internal User:" +blo "0,16100" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14900,2000,14900" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,16800,2000,16800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 270,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/@t@f@f/symbol.sb b/Libs/Sequential/hds/@t@f@f/symbol.sb new file mode 100644 index 0000000..a38a377 --- /dev/null +++ b/Libs/Sequential/hds/@t@f@f/symbol.sb @@ -0,0 +1,1575 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 159,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "T" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 160,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +uid 161,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 162,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 163,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 164,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 101,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 104,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 106,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 108,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 127,0 +) +*24 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 128,0 +) +*25 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 129,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 130,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 102,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 110,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 114,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 116,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 118,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 120,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 122,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 124,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 126,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 100,0 +vaOverrides [ +] +) +] +) +uid 158,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 166,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 156,0 +) +] +) +pdm (PhysicalDM +uid 167,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 132,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 135,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 137,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 139,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 157,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 133,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 141,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 145,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 147,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 149,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 151,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 153,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 155,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 131,0 +vaOverrides [ +] +) +] +) +uid 165,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@t@f@f/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@t@f@f/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@t@f@f" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/TFF" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "TFF" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:18" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "TFF" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@t@f@f/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/TFF/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:18" +) +(vvPair +variable "unit" +value "TFF" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,16625,33000,17375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "34000,16500,34900,17500" +st "T" +blo "34000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12100,15500,13000" +st "T : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "T" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*63 (CptPort +uid 57,0 +optionalChildren [ +*64 (FFT +pts [ +"33750,21000" +"33000,21375" +"33000,20625" +] +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,20625,33750,21375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,20625,33000,21375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +) +xt "34000,20600,35900,21600" +st "CLK" +blo "34000,21400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 88,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10300,15500,11200" +st "CLK : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +*65 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35625,23000,36375,23750" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +) +xt "35000,22000,37000,23000" +st "CLR" +blo "35000,22800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 89,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11200,15500,12100" +st "CLR : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLR" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*66 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,16625,39750,17375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +) +xt "37000,16500,38000,17500" +st "Q" +ju 2 +blo "38000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,14500,13900" +st "Q : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 12,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,15000,39000,23000" +) +showPorts 0 +oxt "15000,10000,21000,19000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "courier,8,1" +) +xt "39600,18700,45100,19600" +st "sequential" +blo "39600,19400" +) +second (Text +uid 15,0 +va (VaSet +font "courier,8,1" +) +xt "39600,19600,41100,20500" +st "TFF" +blo "39600,20300" +) +) +gi *67 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "40000,23600,51500,26300" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*68 (Grouping +uid 191,0 +optionalChildren [ +*69 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 207,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 213,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 217,0 +shape (Rectangle +uid 218,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 219,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,49400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 192,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *79 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 97,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*81 (MLText +uid 98,0 +va (VaSet +) +xt "0,1000,18600,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "175,38,1427,889" +viewArea "-1066,-1066,74623,50883" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8300,5400,9300" +st "Declarations" +blo "0,9100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9300,2700,10300" +st "Ports:" +blo "0,10100" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13900,2500,14800" +st "User:" +blo "0,14600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,8300,5800,9300" +st "Internal User:" +blo "0,9100" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14800,2000,14800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,8300,32000,8300" +tm "SyDeclarativeTextMgr" +) +) +lastUid 314,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/@t@f@f_pre/symbol.sb b/Libs/Sequential/hds/@t@f@f_pre/symbol.sb new file mode 100644 index 0000000..5eabf80 --- /dev/null +++ b/Libs/Sequential/hds/@t@f@f_pre/symbol.sb @@ -0,0 +1,1585 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 159,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "T" +t "std_uLogic" +o 3 +suid 1,0 +) +) +uid 160,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +uid 161,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "PRE" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 162,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 163,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 164,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 101,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 104,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 106,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 108,0 +) +*23 (MRCItem +litem &2 +pos 2 +dimension 20 +uid 127,0 +) +*24 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 128,0 +) +*25 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 129,0 +) +*26 (MRCItem +litem &5 +pos 3 +dimension 20 +uid 130,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 102,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 110,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 114,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 116,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 118,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 120,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 122,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 124,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 126,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 100,0 +vaOverrides [ +] +) +] +) +uid 158,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 166,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 156,0 +) +] +) +pdm (PhysicalDM +uid 167,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 132,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 135,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 137,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 139,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 157,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 133,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 141,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 145,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 147,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 149,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 151,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 153,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 155,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 131,0 +vaOverrides [ +] +) +] +) +uid 165,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@t@f@f_pre/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@t@f@f_pre/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@t@f@f_pre" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/TFF_pre" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "TFF_pre" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:18" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "TFF_pre" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/@t@f@f_pre/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/TFF_pre/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:18" +) +(vvPair +variable "unit" +value "TFF_pre" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 86,0 +optionalChildren [ +*61 (SymbolBody +uid 11,0 +optionalChildren [ +*62 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,16625,33000,17375" +) +tg (CPTG +uid 93,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "34000,16500,34900,17500" +st "T" +blo "34000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 87,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12100,15500,13000" +st "T : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "T" +t "std_uLogic" +o 3 +suid 1,0 +) +) +) +*63 (CptPort +uid 57,0 +optionalChildren [ +*64 (FFT +pts [ +"33750,21000" +"33000,21375" +"33000,20625" +] +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33000,20625,33750,21375" +) +] +ps "OnEdgeStrategy" +shape (Triangle +uid 58,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "32250,20625,33000,21375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 60,0 +va (VaSet +) +xt "34000,20600,35900,21600" +st "CLK" +blo "34000,21400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 88,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10300,15500,11200" +st "CLK : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "CLK" +t "std_uLogic" +o 1 +suid 2,0 +) +) +) +*65 (CptPort +uid 63,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 64,0 +ro 180 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "35625,14250,36375,15000" +) +tg (CPTG +uid 95,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 66,0 +va (VaSet +) +xt "35000,15000,37000,16000" +st "PRE" +ju 2 +blo "37000,15800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 89,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11200,15500,12100" +st "PRE : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "PRE" +t "std_uLogic" +o 2 +suid 3,0 +) +) +) +*66 (CptPort +uid 69,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 70,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39000,16625,39750,17375" +) +tg (CPTG +uid 96,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 72,0 +va (VaSet +) +xt "37000,16500,38000,17500" +st "Q" +ju 2 +blo "38000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 90,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,14500,13900" +st "Q : OUT std_uLogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "Q" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 12,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "33000,15000,39000,23000" +) +showPorts 0 +oxt "15000,10000,21000,19000" +biTextGroup (BiTextGroup +uid 13,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 14,0 +va (VaSet +font "courier,8,1" +) +xt "39600,18700,45100,19600" +st "sequential" +blo "39600,19400" +) +second (Text +uid 15,0 +va (VaSet +font "courier,8,1" +) +xt "39600,19600,43100,20500" +st "TFF_pre" +blo "39600,20300" +) +) +gi *67 (GenericInterface +uid 16,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 17,0 +text (MLText +uid 18,0 +va (VaSet +font "courier,8,0" +) +xt "40000,23600,51500,26300" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +*68 (Grouping +uid 191,0 +optionalChildren [ +*69 (CommentText +uid 193,0 +shape (Rectangle +uid 194,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,48000,53000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 195,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,48000,51200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 196,0 +shape (Rectangle +uid 197,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,44000,57000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 198,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,44000,56800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 199,0 +shape (Rectangle +uid 200,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,46000,53000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 201,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,46000,52400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 202,0 +shape (Rectangle +uid 203,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,46000,36000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 204,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,46000,35800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 205,0 +shape (Rectangle +uid 206,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,45000,73000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 207,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,45200,66400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,44000,73000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,44000,59000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,53000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 213,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,44500,47000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,47000,36000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,47000,35200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 217,0 +shape (Rectangle +uid 218,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,48000,36000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 219,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,48000,35800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,47000,53000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,47000,51800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 192,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,44000,73000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *79 (PackageList +uid 8,0 +stg "VerticalLayoutStrategy" +textVec [ +*80 (Text +uid 97,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*81 (MLText +uid 98,0 +va (VaSet +) +xt "0,1000,18600,5000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "165,40,1427,896" +viewArea "-1056,-1056,74613,50745" +cachedDiagramExtent "0,0,73000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,0" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1350,1100,4150,2100" +st "Panel0" +blo "1350,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,42000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26600,24700,30200,25700" +st "" +blo "26600,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26600,25700,29200,26700" +st "" +blo "26600,26500" +) +) +gi *82 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *83 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8300,5400,9300" +st "Declarations" +blo "0,9100" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "0,9300,2700,10300" +st "Ports:" +blo "0,10100" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "0,13900,2500,14800" +st "User:" +blo "0,14600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,8300,5800,9300" +st "Internal User:" +blo "0,9100" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14800,2000,14800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "32000,8300,32000,8300" +tm "SyDeclarativeTextMgr" +) +) +lastUid 337,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/_accumulator._epf b/Libs/Sequential/hds/_accumulator._epf new file mode 100644 index 0000000..e53783e --- /dev/null +++ b/Libs/Sequential/hds/_accumulator._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom accumulator_RTL.vhd diff --git a/Libs/Sequential/hds/_counter._epf b/Libs/Sequential/hds/_counter._epf new file mode 100644 index 0000000..2a2a023 --- /dev/null +++ b/Libs/Sequential/hds/_counter._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom counter_RTL.vhd diff --git a/Libs/Sequential/hds/_counterenable._epf b/Libs/Sequential/hds/_counterenable._epf new file mode 100644 index 0000000..46a503a --- /dev/null +++ b/Libs/Sequential/hds/_counterenable._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom counterEnable_RTL.vhd diff --git a/Libs/Sequential/hds/_counterenableresetsync._epf b/Libs/Sequential/hds/_counterenableresetsync._epf new file mode 100644 index 0000000..4ba5845 --- /dev/null +++ b/Libs/Sequential/hds/_counterenableresetsync._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom counterEnableResetSync_RTL.vhd +DEFAULT_ARCHITECTURE atom RTL diff --git a/Libs/Sequential/hds/_counterrestart._epf b/Libs/Sequential/hds/_counterrestart._epf new file mode 100644 index 0000000..3a8d680 --- /dev/null +++ b/Libs/Sequential/hds/_counterrestart._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom counterRestart_RTL.vhd diff --git a/Libs/Sequential/hds/_counterupdown._epf b/Libs/Sequential/hds/_counterupdown._epf new file mode 100644 index 0000000..6b6675d --- /dev/null +++ b/Libs/Sequential/hds/_counterupdown._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom counterUpDown_arch.vhd diff --git a/Libs/Sequential/hds/_counterupdownenable._epf b/Libs/Sequential/hds/_counterupdownenable._epf new file mode 100644 index 0000000..c3e3bc3 --- /dev/null +++ b/Libs/Sequential/hds/_counterupdownenable._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom counterUpDownEnable_RTL.vhd diff --git a/Libs/Sequential/hds/_dff._epf b/Libs/Sequential/hds/_dff._epf new file mode 100644 index 0000000..cab7c98 --- /dev/null +++ b/Libs/Sequential/hds/_dff._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom DFF_sim.vhd diff --git a/Libs/Sequential/hds/_dff_pre._epf b/Libs/Sequential/hds/_dff_pre._epf new file mode 100644 index 0000000..8db4f3e --- /dev/null +++ b/Libs/Sequential/hds/_dff_pre._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom DFF_pre_sim.vhd diff --git a/Libs/Sequential/hds/_dffe._epf b/Libs/Sequential/hds/_dffe._epf new file mode 100644 index 0000000..bbc65d2 --- /dev/null +++ b/Libs/Sequential/hds/_dffe._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom DFFE_sim.vhd diff --git a/Libs/Sequential/hds/_dffe_pre._epf b/Libs/Sequential/hds/_dffe_pre._epf new file mode 100644 index 0000000..6263946 --- /dev/null +++ b/Libs/Sequential/hds/_dffe_pre._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom DFFE_pre_sim.vhd diff --git a/Libs/Sequential/hds/_edgedetector._epf b/Libs/Sequential/hds/_edgedetector._epf new file mode 100644 index 0000000..682c1de --- /dev/null +++ b/Libs/Sequential/hds/_edgedetector._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom RTL +DEFAULT_FILE atom edgeDetector_RTL.vhd diff --git a/Libs/Sequential/hds/_freqdivider._epf b/Libs/Sequential/hds/_freqdivider._epf new file mode 100644 index 0000000..2f2bbfc --- /dev/null +++ b/Libs/Sequential/hds/_freqdivider._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom freqDivider_RTL.vhd +DEFAULT_ARCHITECTURE atom RTL diff --git a/Libs/Sequential/hds/_freqdividerenable._epf b/Libs/Sequential/hds/_freqdividerenable._epf new file mode 100644 index 0000000..e470cb5 --- /dev/null +++ b/Libs/Sequential/hds/_freqdividerenable._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom freqDividerEnable_RTL.vhd +DEFAULT_ARCHITECTURE atom RTL diff --git a/Libs/Sequential/hds/_registerfile._epf b/Libs/Sequential/hds/_registerfile._epf new file mode 100644 index 0000000..9b6a10a --- /dev/null +++ b/Libs/Sequential/hds/_registerfile._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom register@file/struct.bd diff --git a/Libs/Sequential/hds/_registerlogicvector._epf b/Libs/Sequential/hds/_registerlogicvector._epf new file mode 100644 index 0000000..421f9ed --- /dev/null +++ b/Libs/Sequential/hds/_registerlogicvector._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom registerLogicVector_sim.vhd diff --git a/Libs/Sequential/hds/_registersigned._epf b/Libs/Sequential/hds/_registersigned._epf new file mode 100644 index 0000000..f3b5d75 --- /dev/null +++ b/Libs/Sequential/hds/_registersigned._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom registerSigned_sim.vhd diff --git a/Libs/Sequential/hds/_registerulogicvector._epf b/Libs/Sequential/hds/_registerulogicvector._epf new file mode 100644 index 0000000..82eb6a9 --- /dev/null +++ b/Libs/Sequential/hds/_registerulogicvector._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom registerULogicVector_sim.vhd diff --git a/Libs/Sequential/hds/_registerulogicvectorto._epf b/Libs/Sequential/hds/_registerulogicvectorto._epf new file mode 100755 index 0000000..afc6121 --- /dev/null +++ b/Libs/Sequential/hds/_registerulogicvectorto._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom registerULogicVectorTo_RTL.vhd +DEFAULT_ARCHITECTURE atom sim diff --git a/Libs/Sequential/hds/_registerunsigned._epf b/Libs/Sequential/hds/_registerunsigned._epf new file mode 100644 index 0000000..40d7571 --- /dev/null +++ b/Libs/Sequential/hds/_registerunsigned._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom registerUnsigned_sim.vhd diff --git a/Libs/Sequential/hds/_reglatch._epf b/Libs/Sequential/hds/_reglatch._epf new file mode 100644 index 0000000..b17f94f --- /dev/null +++ b/Libs/Sequential/hds/_reglatch._epf @@ -0,0 +1,2 @@ +DEFAULT_FILE atom reglatch_behav.vhd +DEFAULT_ARCHITECTURE atom behav diff --git a/Libs/Sequential/hds/_sram._epf b/Libs/Sequential/hds/_sram._epf new file mode 100644 index 0000000..6a1d3bb --- /dev/null +++ b/Libs/Sequential/hds/_sram._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom struct +DEFAULT_FILE atom @s@r@a@m/struct.bd diff --git a/Libs/Sequential/hds/_tff._epf b/Libs/Sequential/hds/_tff._epf new file mode 100644 index 0000000..ecfbd5d --- /dev/null +++ b/Libs/Sequential/hds/_tff._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom TFF_sim.vhd diff --git a/Libs/Sequential/hds/_tff_pre._epf b/Libs/Sequential/hds/_tff_pre._epf new file mode 100644 index 0000000..cf9ae8c --- /dev/null +++ b/Libs/Sequential/hds/_tff_pre._epf @@ -0,0 +1,2 @@ +DEFAULT_ARCHITECTURE atom sim +DEFAULT_FILE atom TFF_pre_sim.vhd diff --git a/Libs/Sequential/hds/accumulator/symbol.sb b/Libs/Sequential/hds/accumulator/symbol.sb new file mode 100644 index 0000000..a95b297 --- /dev/null +++ b/Libs/Sequential/hds/accumulator/symbol.sb @@ -0,0 +1,1665 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "NUMERIC_STD" +) +] +libraryRefs [ +"ieee" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 6,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 95,0 +optionalChildren [ +*2 (RefLabelRowHdr +) +*3 (TitleRowHdr +) +*4 (FilterRowHdr +) +*5 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*6 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*7 (GroupColHdr +tm "GroupColHdrMgr" +) +*8 (NameColHdr +tm "NameColHdrMgr" +) +*9 (ModeColHdr +tm "ModeColHdrMgr" +) +*10 (TypeColHdr +tm "TypeColHdrMgr" +) +*11 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*12 (InitColHdr +tm "InitColHdrMgr" +) +*13 (EolColHdr +tm "EolColHdrMgr" +) +*14 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "acc" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 7 +suid 1,0 +) +) +uid 81,0 +) +*15 (LogPort +port (LogicalPort +decl (Decl +n "clear" +t "std_ulogic" +o 8 +suid 2,0 +) +) +uid 83,0 +) +*16 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 3,0 +) +) +uid 85,0 +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 5 +suid 4,0 +) +) +uid 87,0 +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 5,0 +) +) +uid 89,0 +) +*19 (LogPort +port (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +uid 91,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 108,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 110,0 +optionalChildren [ +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 111,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 23 +uid 112,0 +) +*24 (MRCItem +litem &4 +pos 2 +hidden 1 +dimension 20 +uid 113,0 +) +*25 (MRCItem +litem &14 +pos 0 +dimension 20 +uid 82,0 +) +*26 (MRCItem +litem &15 +pos 1 +dimension 20 +uid 84,0 +) +*27 (MRCItem +litem &16 +pos 2 +dimension 20 +uid 86,0 +) +*28 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 88,0 +) +*29 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 90,0 +) +*30 (MRCItem +litem &19 +pos 5 +dimension 20 +uid 92,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 114,0 +optionalChildren [ +*31 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 115,0 +) +*32 (MRCItem +litem &7 +pos 1 +dimension 50 +uid 116,0 +) +*33 (MRCItem +litem &8 +pos 2 +dimension 100 +uid 117,0 +) +*34 (MRCItem +litem &9 +pos 3 +dimension 50 +uid 118,0 +) +*35 (MRCItem +litem &10 +pos 4 +dimension 100 +uid 119,0 +) +*36 (MRCItem +litem &11 +pos 5 +dimension 100 +uid 120,0 +) +*37 (MRCItem +litem &12 +pos 6 +dimension 50 +uid 121,0 +) +*38 (MRCItem +litem &13 +pos 7 +dimension 80 +uid 122,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 109,0 +vaOverrides [ +] +) +] +) +uid 94,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 124,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "8" +) +uid 220,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 136,0 +optionalChildren [ +*52 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *53 (MRCItem +litem &39 +pos 1 +dimension 20 +) +uid 138,0 +optionalChildren [ +*54 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 139,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 140,0 +) +*56 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 141,0 +) +*57 (MRCItem +litem &51 +pos 0 +dimension 20 +uid 221,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 142,0 +optionalChildren [ +*58 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 143,0 +) +*59 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 144,0 +) +*60 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 145,0 +) +*61 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 146,0 +) +*62 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 147,0 +) +*63 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 148,0 +) +*64 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 149,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 137,0 +vaOverrides [ +] +) +] +) +uid 123,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/accumulator/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/accumulator/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/accumulator" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/accumulator" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "accumulator" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_Concatenation" +value "$HDS_LIBS_DIR/Sequential/concat" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "accumulator" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/accumulator/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/accumulator/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$DESIGN_SCRATCH_DIR\\$ISE_WORK_DIR" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win64" +) +(vvPair +variable "task_SimulationPath" +value "$SIMULATION_DIR" +) +(vvPair +variable "task_SynplifyPath" +value "$SYNPLIFY_HOME" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "accumulator" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 93,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 51,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 52,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23000,6625,23750,7375" +) +tg (CPTG +uid 53,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 54,0 +va (VaSet +) +xt "20400,6500,22000,7500" +st "acc" +ju 2 +blo "22000,7300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 55,0 +va (VaSet +font "courier,8,0" +) +xt "44000,6500,66000,7400" +st "acc : OUT unsigned (bitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "acc" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 7 +suid 1,0 +) +) +) +*67 (CptPort +uid 56,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 57,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,10625,15000,11375" +) +tg (CPTG +uid 58,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 59,0 +va (VaSet +) +xt "16000,10500,18100,11500" +st "clear" +blo "16000,11300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 60,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2000,59000,2900" +st "clear : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clear" +t "std_ulogic" +o 8 +suid 2,0 +) +) +) +*68 (CptPort +uid 61,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 62,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,12625,15000,13375" +) +tg (CPTG +uid 63,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 64,0 +va (VaSet +) +xt "16000,12500,18100,13500" +st "clock" +blo "16000,13300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 65,0 +va (VaSet +font "courier,8,0" +) +xt "44000,2900,59000,3800" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 3,0 +) +) +) +*69 (CptPort +uid 66,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 67,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,8625,15000,9375" +) +tg (CPTG +uid 68,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 69,0 +va (VaSet +) +xt "16000,8500,18600,9500" +st "enable" +blo "16000,9300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 70,0 +va (VaSet +font "courier,8,0" +) +xt "44000,3800,59000,4700" +st "enable : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 5 +suid 4,0 +) +) +) +*70 (CptPort +uid 71,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 72,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,13625,15000,14375" +) +tg (CPTG +uid 73,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 74,0 +va (VaSet +) +xt "16000,13500,18100,14500" +st "reset" +blo "16000,14300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 75,0 +va (VaSet +font "courier,8,0" +) +xt "44000,4700,59000,5600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 5,0 +) +) +) +*71 (CptPort +uid 76,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 77,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "14250,6625,15000,7375" +) +tg (CPTG +uid 78,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 79,0 +va (VaSet +) +xt "16000,6500,17800,7500" +st "step" +blo "16000,7300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 80,0 +va (VaSet +font "courier,8,0" +) +xt "44000,5600,67000,6500" +st "step : IN unsigned (bitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "step" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 6 +suid 6,0 +) +) +) +] +shape (Rectangle +uid 196,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,23000,16000" +) +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "15300,16000,20800,16900" +st "sequential" +blo "15300,16700" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "15300,16900,21300,17800" +st "accumulator" +blo "15300,17600" +) +) +gi *72 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "15000,3600,25500,6300" +st "Generic Declarations + +bitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "8" +) +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sTC 0 +sF 0 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*73 (Grouping +uid 16,0 +optionalChildren [ +*74 (CommentText +uid 18,0 +shape (Rectangle +uid 19,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-2000,-1000,15000,0" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 20,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-1800,-1000,13200,0" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 21,0 +shape (Rectangle +uid 22,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "15000,-5000,19000,-4000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 23,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "15200,-5000,18800,-4000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 24,0 +shape (Rectangle +uid 25,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-2000,-3000,15000,-2000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 26,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-1800,-3000,14400,-2000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 27,0 +shape (Rectangle +uid 28,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-6000,-3000,-2000,-2000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 29,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-5800,-3000,-2200,-2000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 30,0 +shape (Rectangle +uid 31,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "15000,-4000,35000,0" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 32,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "15200,-3800,28400,-2800" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 33,0 +shape (Rectangle +uid 34,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "19000,-5000,35000,-4000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 35,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "19200,-5000,21000,-4000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 36,0 +shape (Rectangle +uid 37,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-6000,-5000,15000,-3000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 38,0 +va (VaSet +fg "32768,0,0" +) +xt "0,-4500,9000,-3500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 39,0 +shape (Rectangle +uid 40,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-6000,-2000,-2000,-1000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 41,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-5800,-2000,-2800,-1000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 42,0 +shape (Rectangle +uid 43,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-6000,-1000,-2000,0" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 44,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-5800,-1000,-2200,0" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 45,0 +shape (Rectangle +uid 46,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "-2000,-2000,15000,-1000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 47,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "-1800,-2000,12600,-1000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 17,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "-6000,-5000,35000,0" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *84 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*85 (Text +uid 49,0 +va (VaSet +font "courier,8,1" +) +xt "0,0,5400,1000" +st "Package List" +blo "0,800" +) +*86 (MLText +uid 50,0 +va (VaSet +) +xt "0,1000,18600,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.NUMERIC_STD.all;" +tm "PackageList" +) +] +) +windowSize "54,0,1681,1050" +viewArea "-38100,-27900,67425,41925" +cachedDiagramExtent "-6000,-5000,68500,18000" +hasePageBreakOrigin 1 +pageBreakOrigin "-6000,-49000" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "1000,1000,3800,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "USLO" +entityName "mirror_driver" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,33000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "22200,15000,25800,16000" +st "" +blo "22200,15800" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "22200,16000,24800,17000" +st "" +blo "22200,16800" +) +) +gi *87 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +font "courier,8,0" +) +xt "0,12000,10500,12900" +st "Generic Declarations" +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +] +) +portInstanceVisAsIs 1 +portInstanceVis (PortSigDisplay +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *88 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "42000,0,47400,1000" +st "Declarations" +blo "42000,800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,8,1" +) +xt "42000,1000,44700,2000" +st "Ports:" +blo "42000,1800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,8,1" +) +xt "42000,7400,44500,8300" +st "User:" +blo "42000,8100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "42000,0,47800,1000" +st "Internal User:" +blo "42000,800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "44000,8300,44000,8300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "42000,0,42000,0" +tm "SyDeclarativeTextMgr" +) +) +lastUid 267,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/counter/symbol.sb b/Libs/Sequential/hds/counter/symbol.sb new file mode 100644 index 0000000..063a6d7 --- /dev/null +++ b/Libs/Sequential/hds/counter/symbol.sb @@ -0,0 +1,1527 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 187,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 188,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 189,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 190,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 191,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 128,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 131,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 133,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 135,0 +) +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 154,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 155,0 +) +*24 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 156,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 129,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 137,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 141,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 143,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 145,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 147,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 149,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 151,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 153,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 127,0 +vaOverrides [ +] +) +] +) +uid 186,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 193,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "8" +) +uid 182,0 +) +*46 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 273,0 +) +] +) +pdm (PhysicalDM +uid 194,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &33 +pos 2 +dimension 20 +) +uid 158,0 +optionalChildren [ +*49 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 161,0 +) +*50 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 163,0 +) +*51 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 165,0 +) +*52 (MRCItem +litem &45 +pos 1 +dimension 20 +uid 183,0 +) +*53 (MRCItem +litem &46 +pos 0 +dimension 20 +uid 274,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 159,0 +optionalChildren [ +*54 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 167,0 +) +*55 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 171,0 +) +*56 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 173,0 +) +*57 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 175,0 +) +*58 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 177,0 +) +*59 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 179,0 +) +*60 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 181,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 157,0 +vaOverrides [ +] +) +] +) +uid 192,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "counter" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "counter" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "counter" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 66,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,17625,24000,18375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 115,0 +va (VaSet +font "courier,12,0" +) +xt "25000,17300,28800,18700" +st "clock" +blo "25000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,15400,7000,16300" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,17625,40750,18375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,12,0" +) +xt "32400,17300,39000,18700" +st "countOut" +ju 2 +blo "39000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,17200,14000,18100" +st "countOut : OUT unsigned (bitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,19625,24000,20375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,12,0" +) +xt "25000,19300,29100,20700" +st "reset" +blo "25000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,16300,7000,17200" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "24000,14000,40000,22000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "24300,22400,30900,23400" +st "sequential" +blo "24300,23200" +) +second (Text +uid 12,0 +va (VaSet +) +xt "24300,23400,28500,24400" +st "counter" +blo "24300,24200" +) +) +gi *65 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "24000,26400,40200,30400" +st "Generic Declarations + +delay time gateDelay +bitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "bitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*66 (Grouping +uid 218,0 +optionalChildren [ +*67 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,48000,42000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,48000,40200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 223,0 +shape (Rectangle +uid 224,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,44000,46000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 225,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,44000,45800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 226,0 +shape (Rectangle +uid 227,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,46000,42000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 228,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,46000,41400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 229,0 +shape (Rectangle +uid 230,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,46000,25000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 231,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,46000,24800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 232,0 +shape (Rectangle +uid 233,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,45000,62000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 234,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,45200,55400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 235,0 +shape (Rectangle +uid 236,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,44000,62000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 237,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,44000,48000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 238,0 +shape (Rectangle +uid 239,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,44000,42000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 240,0 +va (VaSet +fg "32768,0,0" +) +xt "27000,44500,36000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 241,0 +shape (Rectangle +uid 242,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,47000,25000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 243,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,47000,24200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 244,0 +shape (Rectangle +uid 245,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,48000,25000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 246,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,48000,24800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 247,0 +shape (Rectangle +uid 248,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,47000,42000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 249,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,47000,40800,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 219,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "21000,44000,62000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *77 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 184,0 +va (VaSet +font "courier,12,1" +) +xt "-11000,0,-2200,1500" +st "Package List" +blo "-11000,1200" +) +*79 (MLText +uid 185,0 +va (VaSet +font "courier,12,0" +) +xt "-11000,1500,10700,8000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "165,37,1432,889" +viewArea "-12100,-1100,63356,50020" +cachedDiagramExtent "-11000,0,62000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +bg "65280,65280,65280" +lineColor "0,16384,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,16384,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "NUM" +entityName "topLevel" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,1" +) +xt "30500,14400,36200,15900" +st "" +blo "30500,15600" +) +second (Text +va (VaSet +font "courier,12,1" +) +xt "30500,15900,34600,17400" +st "" +blo "30500,17100" +) +) +gi *80 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *81 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,13000,-3600,14200" +st "Declarations" +blo "-11000,14000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,14200,-7500,15400" +st "Ports:" +blo "-11000,15200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,18100,-8000,19100" +st "User:" +blo "-11000,18900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-11000,13000,-3200,14200" +st "Internal User:" +blo "-11000,14000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,19100,-9000,19100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-11000,13000,-11000,13000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 320,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/counter@enable/symbol.sb b/Libs/Sequential/hds/counter@enable/symbol.sb new file mode 100644 index 0000000..618a9b1 --- /dev/null +++ b/Libs/Sequential/hds/counter@enable/symbol.sb @@ -0,0 +1,1588 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 193,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 194,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 195,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 196,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 197,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 198,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 135,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 138,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 140,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 142,0 +) +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 161,0 +) +*24 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 162,0 +) +*25 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 163,0 +) +*26 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 164,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 136,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 144,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 148,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 150,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 152,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 154,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 156,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 158,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 160,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 134,0 +vaOverrides [ +] +) +] +) +uid 192,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 200,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "8" +) +uid 190,0 +) +*48 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 271,0 +) +] +) +pdm (PhysicalDM +uid 201,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 166,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 169,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 171,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 173,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 191,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 272,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 167,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 175,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 179,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 181,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 183,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 185,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 187,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 189,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 199,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@enable/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@enable/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@enable" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterEnable" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "counterEnable" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "counterEnable" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@enable/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterEnable/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "counterEnable" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 66,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,14625,25000,15375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 115,0 +va (VaSet +font "courier,12,0" +) +xt "26000,14300,29800,15700" +st "clock" +blo "26000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,7600,7000,8500" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41000,10625,41750,11375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,12,0" +) +xt "33400,10300,40000,11700" +st "countOut" +ju 2 +blo "40000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,10300,14000,11200" +st "countOut : OUT unsigned (bitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*66 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,16625,25000,17375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,12,0" +) +xt "26000,16300,30100,17700" +st "reset" +blo "26000,17500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,9400,7000,10300" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 127,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 128,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "24250,10625,25000,11375" +) +tg (CPTG +uid 129,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 130,0 +va (VaSet +font "courier,12,0" +) +xt "26000,10300,31100,11700" +st "enable" +blo "26000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 131,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,8500,7000,9400" +st "enable : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "25000,7000,41000,19000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "25300,19400,31900,20400" +st "sequential" +blo "25300,20200" +) +second (Text +uid 12,0 +va (VaSet +) +xt "25300,20400,33700,21400" +st "counterEnable" +blo "25300,21200" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "25000,23400,41200,27400" +st "Generic Declarations + +bitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*69 (Grouping +uid 296,0 +optionalChildren [ +*70 (CommentText +uid 298,0 +shape (Rectangle +uid 299,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,43000,42000,44000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 300,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,43000,42000,44000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 301,0 +shape (Rectangle +uid 302,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,39000,46000,40000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 303,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,39000,45800,40000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 304,0 +shape (Rectangle +uid 305,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,41000,42000,42000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 306,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,41000,41400,42000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 307,0 +shape (Rectangle +uid 308,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,41000,25000,42000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 309,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,41000,24800,42000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 310,0 +shape (Rectangle +uid 311,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,40000,62000,44000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 312,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,40200,55400,41200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 313,0 +shape (Rectangle +uid 314,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,39000,62000,40000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 315,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,39000,48000,40000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 316,0 +shape (Rectangle +uid 317,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,39000,42000,41000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 318,0 +va (VaSet +fg "32768,0,0" +) +xt "27000,39500,36000,40500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 319,0 +shape (Rectangle +uid 320,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,42000,25000,43000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 321,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,42000,24200,43000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 322,0 +shape (Rectangle +uid 323,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,43000,25000,44000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 324,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,43000,24800,44000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 325,0 +shape (Rectangle +uid 326,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,42000,42000,43000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 327,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,42000,40800,43000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 297,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "21000,39000,62000,44000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 132,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,-5200,-3400,-4000" +st "Package List" +blo "-11000,-4200" +) +*82 (MLText +uid 133,0 +va (VaSet +) +xt "-11000,-4000,6400,1000" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "155,34,1433,899" +viewArea "-12000,-6300,64248,45756" +cachedDiagramExtent "-11000,-5200,62000,44000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,-54000" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4500,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "NUM" +entityName "topLevel" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "30500,14400,34000,15400" +st "" +blo "30500,15200" +) +second (Text +va (VaSet +) +xt "30500,15400,33100,16400" +st "" +blo "30500,16200" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,5200,-2400,6400" +st "Declarations" +blo "-11000,6200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,6400,-6800,7600" +st "Ports:" +blo "-11000,7400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,11200,-8000,12200" +st "User:" +blo "-11000,12000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-11000,5200,-1600,6400" +st "Internal User:" +blo "-11000,6200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,12200,-9000,12200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-11000,5200,-11000,5200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 419,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/counter@enable@reset@sync/symbol.sb b/Libs/Sequential/hds/counter@enable@reset@sync/symbol.sb new file mode 100644 index 0000000..de7e1c4 --- /dev/null +++ b/Libs/Sequential/hds/counter@enable@reset@sync/symbol.sb @@ -0,0 +1,1649 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 193,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 194,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 195,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 196,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 197,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +*18 (LogPort +port (LogicalPort +decl (Decl +n "resetSync" +t "std_ulogic" +o 5 +suid 2005,0 +) +) +uid 324,0 +) +] +) +pdm (PhysicalDM +uid 198,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 135,0 +optionalChildren [ +*21 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 138,0 +) +*22 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 140,0 +) +*23 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 142,0 +) +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 161,0 +) +*25 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 162,0 +) +*26 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 163,0 +) +*27 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 164,0 +) +*28 (MRCItem +litem &18 +pos 4 +dimension 20 +uid 325,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 136,0 +optionalChildren [ +*29 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 144,0 +) +*30 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 148,0 +) +*31 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 150,0 +) +*32 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 152,0 +) +*33 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 154,0 +) +*34 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 156,0 +) +*35 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 158,0 +) +*36 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 160,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 134,0 +vaOverrides [ +] +) +] +) +uid 192,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 200,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "8" +) +uid 190,0 +) +*50 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 271,0 +) +] +) +pdm (PhysicalDM +uid 201,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 166,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 169,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 171,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 173,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 191,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 272,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 167,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 175,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 179,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 181,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 183,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 185,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 187,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 189,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 199,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@enable@reset@sync/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@enable@reset@sync/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@enable@reset@sync" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterEnableResetSync" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "counterEnableResetSync" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "counterEnableResetSync" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@enable@reset@sync/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterEnableResetSync/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "counterEnableResetSync" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 66,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29250,18625,30000,19375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 115,0 +va (VaSet +font "courier,12,0" +) +xt "31000,18300,34800,19700" +st "clock" +blo "31000,19500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,7800,7500,8700" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*67 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "46000,14625,46750,15375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,12,0" +) +xt "38400,14300,45000,15700" +st "countOut" +ju 2 +blo "45000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,11400,14500,12300" +st "countOut : OUT unsigned (bitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*68 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29250,20625,30000,21375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,12,0" +) +xt "31000,20300,35100,21700" +st "reset" +blo "31000,21500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,9600,7500,10500" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 127,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 128,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29250,14625,30000,15375" +) +tg (CPTG +uid 129,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 130,0 +va (VaSet +font "courier,12,0" +) +xt "31000,14300,36100,15700" +st "enable" +blo "31000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 131,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,8700,7500,9600" +st "enable : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 319,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 320,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "29250,12625,30000,13375" +) +tg (CPTG +uid 321,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 322,0 +va (VaSet +font "courier,12,0" +) +xt "31000,12300,38000,13700" +st "resetSync" +blo "31000,13500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 323,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,10500,7500,11400" +st "resetSync : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "resetSync" +t "std_ulogic" +o 5 +suid 2005,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "30000,9000,46000,23000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "30300,23400,36900,24400" +st "sequential" +blo "30300,24200" +) +second (Text +uid 12,0 +va (VaSet +) +xt "30300,24400,44100,25400" +st "counterEnableResetSync" +blo "30300,25200" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "30000,27400,46200,31400" +st "Generic Declarations + +bitNb positive 8 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "bitNb" +type "positive" +value "8" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*72 (Grouping +uid 349,0 +optionalChildren [ +*73 (CommentText +uid 351,0 +shape (Rectangle +uid 352,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,43000,42000,44000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 353,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,43000,41400,44000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 354,0 +shape (Rectangle +uid 355,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,39000,46000,40000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 356,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,39000,45800,40000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 357,0 +shape (Rectangle +uid 358,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,41000,42000,42000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 359,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,41000,41400,42000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 360,0 +shape (Rectangle +uid 361,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,41000,25000,42000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 362,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,41000,24800,42000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 363,0 +shape (Rectangle +uid 364,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,40000,62000,44000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 365,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,40200,55400,41200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 366,0 +shape (Rectangle +uid 367,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,39000,62000,40000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 368,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,39000,48000,40000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 369,0 +shape (Rectangle +uid 370,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,39000,42000,41000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 371,0 +va (VaSet +fg "32768,0,0" +) +xt "27000,39500,36000,40500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 372,0 +shape (Rectangle +uid 373,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,42000,25000,43000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 374,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,42000,24200,43000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 375,0 +shape (Rectangle +uid 376,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,43000,25000,44000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 377,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,43000,24800,44000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 378,0 +shape (Rectangle +uid 379,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,42000,42000,43000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 380,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,42000,35400,43000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 350,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "21000,39000,62000,44000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *83 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 132,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,-5200,-3400,-4000" +st "Package List" +blo "-11000,-4200" +) +*85 (MLText +uid 133,0 +va (VaSet +) +xt "-11000,-4000,6400,1000" +st "LIBRARY ieee; +USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "116,45,1350,875" +viewArea "-12100,-6300,64356,45000" +cachedDiagramExtent "-11000,-5200,62000,44000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,-54000" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4500,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "30500,14400,34000,15400" +st "" +blo "30500,15200" +) +second (Text +va (VaSet +) +xt "30500,15400,33100,16400" +st "" +blo "30500,16200" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,5400,-2400,6600" +st "Declarations" +blo "-11000,6400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,6600,-6800,7800" +st "Ports:" +blo "-11000,7600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,12300,-8000,13300" +st "User:" +blo "-11000,13100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-11000,5400,-1600,6600" +st "Internal User:" +blo "-11000,6400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,13300,-9000,13300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-11000,5400,-11000,5400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 449,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/counter@restart/symbol.sb b/Libs/Sequential/hds/counter@restart/symbol.sb new file mode 100644 index 0000000..e8febf0 --- /dev/null +++ b/Libs/Sequential/hds/counter@restart/symbol.sb @@ -0,0 +1,1580 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 187,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 188,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 189,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 190,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "restart" +t "std_ulogic" +o 4 +suid 2004,0 +) +) +uid 278,0 +) +] +) +pdm (PhysicalDM +uid 191,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 128,0 +optionalChildren [ +*20 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 131,0 +) +*21 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 133,0 +) +*22 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 135,0 +) +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 154,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 155,0 +) +*25 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 156,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 279,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 129,0 +optionalChildren [ +*27 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 137,0 +) +*28 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 141,0 +) +*29 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 143,0 +) +*30 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 145,0 +) +*31 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 147,0 +) +*32 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 149,0 +) +*33 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 151,0 +) +*34 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 153,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 127,0 +vaOverrides [ +] +) +] +) +uid 186,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 193,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "8" +) +uid 182,0 +) +*48 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 326,0 +) +] +) +pdm (PhysicalDM +uid 194,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 158,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 161,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 163,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 165,0 +) +*54 (MRCItem +litem &47 +pos 1 +dimension 20 +uid 183,0 +) +*55 (MRCItem +litem &48 +pos 0 +dimension 20 +uid 327,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 159,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 167,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 171,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 173,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 175,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 177,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 179,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 181,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 157,0 +vaOverrides [ +] +) +] +) +uid 192,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@restart/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@restart/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@restart" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterRestart" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "counterRestart" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "counterRestart" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@restart/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterRestart/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "counterRestart" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 66,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,19625,24000,20375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 115,0 +va (VaSet +font "courier,12,0" +) +xt "25000,19300,28800,20700" +st "clock" +blo "25000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,11200,8000,12100" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,15625,40750,16375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,12,0" +) +xt "32400,15300,39000,16700" +st "countOut" +ju 2 +blo "39000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,13900,15000,14800" +st "countOut : OUT unsigned (bitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*66 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,21625,24000,22375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,12,0" +) +xt "25000,21300,29100,22700" +st "reset" +blo "25000,22500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,12100,8000,13000" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 273,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 274,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,15625,24000,16375" +) +tg (CPTG +uid 275,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 276,0 +va (VaSet +font "courier,12,0" +) +xt "25000,15300,30100,16700" +st "restart" +blo "25000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 277,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,13000,8000,13900" +st "restart : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "restart" +t "std_ulogic" +o 4 +suid 2004,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "24000,12000,40000,24000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "24300,24400,30900,25400" +st "sequential" +blo "24300,25200" +) +second (Text +uid 12,0 +va (VaSet +) +xt "24300,25400,33300,26400" +st "counterRestart" +blo "24300,26200" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "24000,28400,40200,32400" +st "Generic Declarations + +delay time gateDelay +bitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "bitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*69 (Grouping +uid 241,0 +optionalChildren [ +*70 (CommentText +uid 243,0 +shape (Rectangle +uid 244,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,48000,42000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 245,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,48000,40200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 246,0 +shape (Rectangle +uid 247,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,44000,46000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 248,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,44000,45800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 249,0 +shape (Rectangle +uid 250,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,46000,42000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 251,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,46000,41400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 252,0 +shape (Rectangle +uid 253,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,46000,25000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 254,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,46000,24800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 255,0 +shape (Rectangle +uid 256,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,45000,62000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 257,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,45200,55400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 258,0 +shape (Rectangle +uid 259,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,44000,62000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 260,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,44000,48000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 261,0 +shape (Rectangle +uid 262,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,44000,42000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 263,0 +va (VaSet +fg "32768,0,0" +) +xt "27000,44500,36000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 264,0 +shape (Rectangle +uid 265,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,47000,25000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 266,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,47000,24200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 267,0 +shape (Rectangle +uid 268,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,48000,25000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 269,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,48000,24800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 270,0 +shape (Rectangle +uid 271,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,47000,42000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 272,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,47000,41400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 242,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "21000,44000,62000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 184,0 +va (VaSet +font "courier,12,1" +) +xt "-10000,0,-1200,1500" +st "Package List" +blo "-10000,1200" +) +*82 (MLText +uid 185,0 +va (VaSet +font "courier,12,0" +) +xt "-10000,1500,11700,8000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "182,36,1425,887" +viewArea "-11000,-1100,63752,50657" +cachedDiagramExtent "-10000,0,62000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +bg "65280,65280,65280" +lineColor "0,16384,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,16384,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,1" +) +xt "30500,14400,36200,15900" +st "" +blo "30500,15600" +) +second (Text +va (VaSet +font "courier,12,1" +) +xt "30500,15900,34600,17400" +st "" +blo "30500,17100" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-10000,8800,-2600,10000" +st "Declarations" +blo "-10000,9800" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-10000,10000,-6500,11200" +st "Ports:" +blo "-10000,11000" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-10000,14800,-7000,15800" +st "User:" +blo "-10000,15600" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-10000,8800,-2200,10000" +st "Internal User:" +blo "-10000,9800" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-8000,15800,-8000,15800" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-10000,8800,-10000,8800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 350,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/counter@up@down/symbol.sb b/Libs/Sequential/hds/counter@up@down/symbol.sb new file mode 100644 index 0000000..caee7fe --- /dev/null +++ b/Libs/Sequential/hds/counter@up@down/symbol.sb @@ -0,0 +1,1649 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 199,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 200,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "up" +t "std_uLogic" +o 5 +suid 5,0 +) +) +uid 201,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "down" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 202,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 203,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 204,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 205,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 140,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 143,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 145,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 147,0 +) +*24 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 166,0 +) +*25 (MRCItem +litem &3 +pos 3 +dimension 20 +uid 167,0 +) +*26 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 168,0 +) +*27 (MRCItem +litem &5 +pos 2 +dimension 20 +uid 169,0 +) +*28 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 170,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 141,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 149,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 153,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 155,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 157,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 159,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 161,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 163,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 165,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 139,0 +vaOverrides [ +] +) +] +) +uid 198,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 207,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "8" +) +uid 196,0 +) +*50 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 310,0 +) +] +) +pdm (PhysicalDM +uid 208,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 172,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 175,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 177,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 179,0 +) +*56 (MRCItem +litem &49 +pos 1 +dimension 20 +uid 197,0 +) +*57 (MRCItem +litem &50 +pos 0 +dimension 20 +uid 311,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 173,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 181,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 185,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 187,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 189,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 191,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 193,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 195,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 171,0 +vaOverrides [ +] +) +] +) +uid 206,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterUpDown" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "counterUpDown" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "counterUpDown" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterUpDown/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "counterUpDown" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 66,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,14625,28000,15375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 115,0 +va (VaSet +font "courier,12,0" +) +xt "29000,14300,32800,15700" +st "clock" +blo "29000,15500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,4800,7000,5700" +st "clock : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*67 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "44000,8625,44750,9375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,12,0" +) +xt "36400,8300,43000,9700" +st "countOut" +ju 2 +blo "43000,9500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,8400,14000,9300" +st "countOut : OUT unsigned (bitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +*68 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,16625,28000,17375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,12,0" +) +xt "29000,16300,33100,17700" +st "reset" +blo "29000,17500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,6600,7000,7500" +st "reset : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 127,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 128,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,10625,28000,11375" +) +tg (CPTG +uid 129,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 130,0 +va (VaSet +font "courier,12,0" +) +xt "29000,10300,33300,11700" +st "down" +blo "29000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 131,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,5700,7000,6600" +st "down : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "down" +t "std_uLogic" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 132,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 133,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "27250,8625,28000,9375" +) +tg (CPTG +uid 134,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 135,0 +va (VaSet +font "courier,12,0" +) +xt "29000,8300,31400,9700" +st "up" +blo "29000,9500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 136,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,7500,7000,8400" +st "up : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "up" +t "std_uLogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "28000,5000,44000,19000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "28300,19400,34900,20400" +st "sequential" +blo "28300,20200" +) +second (Text +uid 12,0 +va (VaSet +) +xt "28300,20400,36700,21400" +st "counterUpDown" +blo "28300,21200" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "28000,23400,44200,27400" +st "Generic Declarations + +delay time gateDelay +bitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "bitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*72 (Grouping +uid 232,0 +optionalChildren [ +*73 (CommentText +uid 234,0 +shape (Rectangle +uid 235,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,41000,42000,42000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 236,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,41000,40200,42000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 237,0 +shape (Rectangle +uid 238,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,37000,46000,38000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 239,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,37000,45800,38000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 240,0 +shape (Rectangle +uid 241,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,39000,42000,40000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 242,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,39000,41400,40000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 243,0 +shape (Rectangle +uid 244,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,39000,25000,40000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 245,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,39000,24800,40000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 246,0 +shape (Rectangle +uid 247,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,38000,62000,42000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 248,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,38200,55400,39200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 249,0 +shape (Rectangle +uid 250,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,37000,62000,38000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 251,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,37000,48000,38000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 252,0 +shape (Rectangle +uid 253,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,37000,42000,39000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 254,0 +va (VaSet +fg "32768,0,0" +) +xt "27000,37500,36000,38500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 255,0 +shape (Rectangle +uid 256,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,40000,25000,41000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 257,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,40000,24200,41000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 258,0 +shape (Rectangle +uid 259,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,41000,25000,42000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 260,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,41000,24800,42000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 261,0 +shape (Rectangle +uid 262,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,40000,42000,41000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 263,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,40000,40800,41000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 233,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "21000,37000,62000,42000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *83 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 137,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,-6800,-3400,-5600" +st "Package List" +blo "-11000,-5800" +) +*85 (MLText +uid 138,0 +va (VaSet +) +xt "-11000,-5600,7600,-600" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "134,32,1422,900" +viewArea "-12000,-7800,63899,43746" +cachedDiagramExtent "-11000,-6800,62000,42000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,-7000" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4500,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "NUM" +entityName "topLevel" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "30500,14400,34000,15400" +st "" +blo "30500,15200" +) +second (Text +va (VaSet +) +xt "30500,15400,33100,16400" +st "" +blo "30500,16200" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,2400,-2400,3600" +st "Declarations" +blo "-11000,3400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,3600,-6800,4800" +st "Ports:" +blo "-11000,4600" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,9300,-8000,10300" +st "User:" +blo "-11000,10100" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-11000,2400,-1600,3600" +st "Internal User:" +blo "-11000,3400" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,10300,-9000,10300" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-11000,2400,-11000,2400" +tm "SyDeclarativeTextMgr" +) +) +lastUid 357,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/counter@up@down@enable/struct.bd b/Libs/Sequential/hds/counter@up@down@enable/struct.bd new file mode 100644 index 0000000..f053c19 --- /dev/null +++ b/Libs/Sequential/hds/counter@up@down@enable/struct.bd @@ -0,0 +1,4591 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I2" +duLibraryName "sequential" +duName "registerUnsigned" +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "registerBitNb" +type "positive" +value "nbBits" +) +] +mwi 0 +uid 1192,0 +) +(Instance +name "I0" +duLibraryName "gates" +duName "bufferUnsigned" +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "nbBits" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +mwi 0 +uid 1302,0 +) +] +embeddedInstances [ +(EmbeddedInstance +name "eb3" +number "3" +) +] +frameInstances [ +(FrameInstance +name "g1" +lb "0" +rb "countInt'high" +insts [ +(Instance +name "I4" +duLibraryName "virtexPrimitives" +duName "MUXCY" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 205,0 +) +(Instance +name "I3" +duLibraryName "virtexPrimitives" +duName "XORCY" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +mwi 0 +uid 247,0 +) +] +emInsts [ +(EmbeddedInstance +name "eb2" +number "2" +) +] +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down@enable/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down@enable/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down@enable" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterUpDownEnable" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "counterUpDownEnable" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "counterUpDownEnable" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down@enable/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterUpDownEnable/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "counterUpDownEnable" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (Net +uid 65,0 +decl (Decl +n "clock" +t "std_uLogic" +o 1 +suid 1,0 +) +declText (MLText +uid 66,0 +va (VaSet +font "courier,9,0" +) +xt "-11000,68800,1000,69700" +st "clock : std_uLogic +" +) +) +*2 (Net +uid 79,0 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 6 +suid 2,0 +) +declText (MLText +uid 80,0 +va (VaSet +font "courier,9,0" +) +xt "-11000,73300,9000,74200" +st "countOut : unsigned(bitNb-1 DOWNTO 0) +" +) +) +*3 (PortIoIn +uid 81,0 +shape (CompositeShape +uid 919,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 920,0 +sl 0 +xt "38625,21000,39375,22500" +) +(Line +uid 921,0 +sl 0 +xt "39000,22500,39000,23000" +pts [ +"39000,22500" +"39000,23000" +] +) +] +) +tg (WTG +uid 922,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 923,0 +ro 90 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "38300,15700,39700,20000" +st "down" +ju 2 +blo "38500,20000" +tm "WireNameMgr" +) +s (Text +uid 924,0 +ro 90 +va (VaSet +font "courier,12,0" +) +xt "38300,15700,38300,15700" +ju 2 +blo "38300,15700" +tm "SignalTypeMgr" +) +) +) +*4 (Net +uid 93,0 +decl (Decl +n "down" +t "std_uLogic" +o 2 +suid 3,0 +) +declText (MLText +uid 94,0 +va (VaSet +font "courier,9,0" +) +xt "-11000,69700,1000,70600" +st "down : std_uLogic +" +) +) +*5 (Net +uid 107,0 +decl (Decl +n "reset" +t "std_uLogic" +o 4 +suid 4,0 +) +declText (MLText +uid 108,0 +va (VaSet +font "courier,9,0" +) +xt "-11000,71500,1000,72400" +st "reset : std_uLogic +" +) +) +*6 (PortIoIn +uid 109,0 +shape (CompositeShape +uid 925,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 926,0 +sl 0 +ro 270 +xt "-7000,40625,-5500,41375" +) +(Line +uid 927,0 +sl 0 +ro 270 +xt "-5500,41000,-5000,41000" +pts [ +"-5500,41000" +"-5000,41000" +] +) +] +) +tg (WTG +uid 928,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 929,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "-10400,40300,-8000,41700" +st "up" +ju 2 +blo "-8000,41500" +tm "WireNameMgr" +) +s (Text +uid 930,0 +va (VaSet +font "courier,12,0" +) +xt "-10400,41700,-10400,41700" +ju 2 +blo "-10400,41700" +tm "SignalTypeMgr" +) +) +) +*7 (Net +uid 121,0 +decl (Decl +n "up" +t "std_uLogic" +o 5 +suid 5,0 +) +declText (MLText +uid 122,0 +va (VaSet +font "courier,9,0" +) +xt "-11000,72400,1000,73300" +st "up : std_uLogic +" +) +) +*8 (HdlText +uid 196,0 +optionalChildren [ +*9 (EmbeddedText +uid 201,0 +commentText (CommentText +uid 202,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 203,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "16000,38000,30400,48000" +) +oxt "38000,-4000,48000,3000" +text (MLText +uid 204,0 +va (VaSet +font "courier,9,0" +) +xt "16200,38200,28700,40000" +st " +toInc(i) <= countInt(i) xor up; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 10000 +visibleWidth 14400 +) +) +) +] +shape (Rectangle +uid 197,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "15000,37000,31000,49000" +) +oxt "37000,-5000,50000,4000" +ttg (MlTextGroup +uid 198,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*10 (Text +uid 199,0 +va (VaSet +) +xt "15050,48700,16650,49700" +st "eb2" +blo "15050,49500" +tm "HdlTextNameMgr" +) +*11 (Text +uid 200,0 +va (VaSet +) +xt "15050,49700,15850,50700" +st "2" +blo "15050,50500" +tm "HdlTextNumberMgr" +) +] +) +) +*12 (SaComponent +uid 205,0 +optionalChildren [ +*13 (CptPort +uid 214,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 215,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "34625,56250,35375,57000" +) +tg (CPTG +uid 216,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 217,0 +sl 0 +ro 270 +va (VaSet +font "courier,12,0" +) +xt "34300,58000,35700,60200" +st "DI" +ju 2 +blo "35500,58000" +) +s (Text +uid 218,0 +sl 0 +ro 270 +va (VaSet +font "courier,12,0" +) +xt "35700,58000,35700,58000" +ju 2 +blo "-200,0" +) +) +thePort (LogicalPort +decl (Decl +n "DI" +t "std_uLogic" +o 3 +) +) +) +*14 (CptPort +uid 219,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 220,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "38625,56250,39375,57000" +) +tg (CPTG +uid 221,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 222,0 +sl 0 +ro 270 +va (VaSet +font "courier,12,0" +) +xt "38300,58000,39700,60200" +st "CI" +ju 2 +blo "39500,58000" +) +s (Text +uid 223,0 +sl 0 +ro 270 +va (VaSet +font "courier,12,0" +) +xt "39700,58000,39700,58000" +ju 2 +blo "-200,0" +) +) +thePort (LogicalPort +decl (Decl +n "CI" +t "std_uLogic" +o 4 +) +) +) +*15 (CptPort +uid 224,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 225,0 +ro 180 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "36625,63000,37375,63750" +) +tg (CPTG +uid 226,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 227,0 +sl 0 +ro 270 +va (VaSet +font "courier,12,0" +) +xt "36400,61200,37800,63000" +st "O" +blo "37600,63000" +) +s (Text +uid 228,0 +sl 0 +ro 270 +va (VaSet +font "courier,12,0" +) +xt "37800,63000,37800,63000" +blo "-200,0" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "O" +t "std_uLogic" +o 7 +) +) +) +*16 (CptPort +uid 229,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 230,0 +ro 270 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "41000,59625,41750,60375" +) +tg (CPTG +uid 231,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 232,0 +sl 0 +ro 270 +va (VaSet +font "courier,12,0" +) +xt "39833,58200,41233,59800" +st "S" +blo "41033,59800" +) +s (Text +uid 233,0 +sl 0 +ro 270 +va (VaSet +font "courier,12,0" +) +xt "41233,59800,41233,59800" +blo "-200,0" +) +) +thePort (LogicalPort +decl (Decl +n "S" +t "std_uLogic" +o 1 +) +) +) +] +shape (Mux +uid 206,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "31000,57000,43000,63000" +) +showPorts 0 +oxt "46000,7000,58000,13000" +ttg (MlTextGroup +uid 207,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*17 (Text +uid 208,0 +va (VaSet +) +xt "40600,61700,46400,62700" +st "virtexPrimitives" +blo "40600,62500" +tm "BdLibraryNameMgr" +) +*18 (Text +uid 209,0 +va (VaSet +) +xt "40600,62700,43900,63700" +st "MUXCY" +blo "40600,63500" +tm "CptNameMgr" +) +*19 (Text +uid 210,0 +va (VaSet +) +xt "40600,63700,41600,64700" +st "I4" +blo "40600,64500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 211,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 212,0 +text (MLText +uid 213,0 +va (VaSet +isHidden 1 +) +xt "38000,67400,53600,68400" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +selT 0 +) +archFileType "UNKNOWN" +) +*20 (HdlText +uid 238,0 +optionalChildren [ +*21 (EmbeddedText +uid 243,0 +commentText (CommentText +uid 244,0 +ps "CenterOffsetStrategy" +shape (Rectangle +uid 245,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "32000,28000,46000,30000" +) +oxt "42000,23000,52400,30000" +text (MLText +uid 246,0 +va (VaSet +font "courier,9,0" +) +xt "32200,28200,41200,29100" +st " +carry(0) <= down; +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 2000 +visibleWidth 14000 +) +) +) +] +shape (Rectangle +uid 239,0 +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "31000,27000,47000,31000" +) +oxt "41000,22000,54000,31000" +ttg (MlTextGroup +uid 240,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*22 (Text +uid 241,0 +va (VaSet +) +xt "31050,30700,32650,31700" +st "eb3" +blo "31050,31500" +tm "HdlTextNameMgr" +) +*23 (Text +uid 242,0 +va (VaSet +) +xt "31050,31700,31850,32700" +st "3" +blo "31050,32500" +tm "HdlTextNumberMgr" +) +] +) +) +*24 (SaComponent +uid 247,0 +optionalChildren [ +*25 (CptPort +uid 256,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 257,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "55000,44625,55750,45375" +) +tg (CPTG +uid 258,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 259,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "52200,44400,54000,45800" +st "O" +ju 2 +blo "54000,45600" +) +s (Text +uid 260,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "54000,45800,54000,45800" +ju 2 +blo "29000,7800" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "O" +t "std_uLogic" +o 3 +) +) +) +*26 (CptPort +uid 261,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 262,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "46631,46625,47381,47375" +) +tg (CPTG +uid 263,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 264,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "48533,46250,50733,47650" +st "CI" +blo "48533,47450" +) +s (Text +uid 265,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "48533,47650,48533,47650" +blo "23533,9650" +) +) +thePort (LogicalPort +decl (Decl +n "CI" +t "std_uLogic" +o 2 +) +) +) +*27 (CptPort +uid 266,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 267,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "46632,42625,47382,43375" +) +tg (CPTG +uid 268,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 269,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "48533,42250,50533,43650" +st "LI" +blo "48533,43450" +) +s (Text +uid 270,0 +sl 0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "48533,43650,48533,43650" +blo "23533,5650" +) +) +thePort (LogicalPort +decl (Decl +n "LI" +t "std_uLogic" +o 1 +) +) +) +] +shape (XOr +uid 248,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "47000,42000,55000,48000" +) +showPorts 0 +oxt "61000,-3000,69000,3000" +ttg (MlTextGroup +uid 249,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*28 (Text +uid 250,0 +va (VaSet +isHidden 1 +) +xt "50600,42300,56400,43300" +st "virtexPrimitives" +blo "50600,43100" +tm "BdLibraryNameMgr" +) +*29 (Text +uid 251,0 +va (VaSet +isHidden 1 +) +xt "50600,43700,53800,44700" +st "XORCY" +blo "50600,44500" +tm "CptNameMgr" +) +*30 (Text +uid 252,0 +va (VaSet +) +xt "50600,43300,51600,44300" +st "I3" +blo "50600,44100" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 253,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 254,0 +text (MLText +uid 255,0 +va (VaSet +isHidden 1 +) +xt "47000,48400,62600,49400" +st "delay = 1 ns ( time ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +selT 0 +) +archFileType "UNKNOWN" +) +*31 (PortIoIn +uid 271,0 +shape (CompositeShape +uid 931,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 932,0 +sl 0 +ro 270 +xt "67000,48625,68500,49375" +) +(Line +uid 933,0 +sl 0 +ro 270 +xt "68500,49000,69000,49000" +pts [ +"68500,49000" +"69000,49000" +] +) +] +) +tg (WTG +uid 934,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 935,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "60900,48300,66000,49700" +st "enable" +ju 2 +blo "66000,49500" +tm "WireNameMgr" +) +s (Text +uid 936,0 +va (VaSet +font "courier,12,0" +) +xt "60900,49700,60900,49700" +ju 2 +blo "60900,49700" +tm "SignalTypeMgr" +) +) +) +*32 (PortIoIn +uid 275,0 +shape (CompositeShape +uid 937,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 938,0 +sl 0 +ro 270 +xt "67000,50625,68500,51375" +) +(Line +uid 939,0 +sl 0 +ro 270 +xt "68500,51000,69000,51000" +pts [ +"68500,51000" +"69000,51000" +] +) +] +) +tg (WTG +uid 940,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 941,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "62200,50300,66000,51700" +st "clock" +ju 2 +blo "66000,51500" +tm "WireNameMgr" +) +s (Text +uid 942,0 +va (VaSet +font "courier,12,0" +) +xt "62200,51700,62200,51700" +ju 2 +blo "62200,51700" +tm "SignalTypeMgr" +) +) +) +*33 (PortIoIn +uid 313,0 +shape (CompositeShape +uid 943,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 944,0 +sl 0 +ro 270 +xt "67000,52625,68500,53375" +) +(Line +uid 945,0 +sl 0 +ro 270 +xt "68500,53000,69000,53000" +pts [ +"68500,53000" +"69000,53000" +] +) +] +) +tg (WTG +uid 946,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 947,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "61900,52300,66000,53700" +st "reset" +ju 2 +blo "66000,53500" +tm "WireNameMgr" +) +s (Text +uid 948,0 +va (VaSet +font "courier,12,0" +) +xt "61900,53700,61900,53700" +ju 2 +blo "61900,53700" +tm "SignalTypeMgr" +) +) +) +*34 (Frame +uid 321,0 +shape (RectFrame +uid 322,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "3000,33000,63000,67000" +) +title (TextAssociate +uid 323,0 +ps "TopLeftStrategy" +text (MLText +uid 324,0 +va (VaSet +) +xt "2800,31400,27400,32400" +st "g1: FOR i IN 0 TO countInt'high GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +uid 325,0 +ps "TopLeftStrategy" +shape (Rectangle +uid 326,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "3450,33200,4550,34800" +) +num (Text +uid 327,0 +va (VaSet +) +xt "3650,33400,4450,34400" +st "2" +blo "3650,34200" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +uid 328,0 +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*35 (Text +uid 329,0 +va (VaSet +font "courier,9,1" +) +xt "55000,67000,65800,68200" +st "Frame Declarations" +blo "55000,68000" +) +*36 (MLText +uid 330,0 +va (VaSet +) +xt "55000,68200,55000,68200" +tm "BdFrameDeclTextMgr" +) +] +) +lb "0" +rb "countInt'high" +) +*37 (Net +uid 563,0 +decl (Decl +n "carry" +t "std_ulogic_vector" +b "(nbBits DOWNTO 0)" +o 7 +suid 6,0 +) +declText (MLText +uid 564,0 +va (VaSet +font "courier,9,0" +) +xt "-11000,75400,16500,76300" +st "SIGNAL carry : std_ulogic_vector(nbBits DOWNTO 0) +" +) +) +*38 (Net +uid 565,0 +decl (Decl +n "toInc" +t "std_ulogic_vector" +b "(nbBits-1 DOWNTO 0)" +o 10 +suid 7,0 +) +declText (MLText +uid 566,0 +va (VaSet +font "courier,9,0" +) +xt "-11000,78100,17500,79000" +st "SIGNAL toInc : std_ulogic_vector(nbBits-1 DOWNTO 0) +" +) +) +*39 (Net +uid 569,0 +decl (Decl +n "newCounter" +t "unsigned" +b "(nbBits-1 DOWNTO 0)" +o 9 +suid 8,0 +) +declText (MLText +uid 570,0 +va (VaSet +font "courier,9,0" +) +xt "-11000,77200,13000,78100" +st "SIGNAL newCounter : unsigned(nbBits-1 DOWNTO 0) +" +) +) +*40 (PortIoOut +uid 581,0 +shape (CompositeShape +uid 949,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 950,0 +sl 0 +ro 270 +xt "106500,44625,108000,45375" +) +(Line +uid 951,0 +sl 0 +ro 270 +xt "106000,45000,106500,45000" +pts [ +"106000,45000" +"106500,45000" +] +) +] +) +tg (WTG +uid 952,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 953,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "109000,44300,130000,45600" +st "countOut : (bitNb-1 DOWNTO 0)" +blo "109000,45300" +tm "WireNameMgr" +) +s (Text +uid 954,0 +va (VaSet +font "courier,12,0" +) +xt "109000,45600,109000,45600" +blo "109000,45600" +tm "SignalTypeMgr" +) +) +) +*41 (Net +uid 585,0 +decl (Decl +n "countInt" +t "unsigned" +b "(nbBits-1 DOWNTO 0)" +o 8 +suid 9,0 +) +declText (MLText +uid 586,0 +va (VaSet +font "courier,9,0" +) +xt "-11000,76300,13000,77200" +st "SIGNAL countInt : unsigned(nbBits-1 DOWNTO 0) +" +) +) +*42 (Net +uid 670,0 +decl (Decl +n "enable" +t "std_uLogic" +o 3 +suid 10,0 +) +declText (MLText +uid 671,0 +va (VaSet +font "courier,9,0" +) +xt "-11000,70600,1000,71500" +st "enable : std_uLogic +" +) +) +*43 (SaComponent +uid 1192,0 +optionalChildren [ +*44 (CptPort +uid 1172,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1173,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70250,50625,71000,51375" +) +tg (CPTG +uid 1174,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1175,0 +va (VaSet +) +xt "72000,50500,75400,51700" +st "clock" +blo "72000,51500" +) +s (Text +uid 1201,0 +va (VaSet +) +xt "72000,51700,72000,51700" +blo "72000,51700" +) +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*45 (CptPort +uid 1176,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1177,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70250,44625,71000,45375" +) +tg (CPTG +uid 1178,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1179,0 +va (VaSet +) +xt "72000,44500,76000,45700" +st "dataIn" +blo "72000,45500" +) +s (Text +uid 1202,0 +va (VaSet +) +xt "72000,45700,72000,45700" +blo "72000,45700" +) +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "unsigned" +b "(registerBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*46 (CptPort +uid 1180,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1181,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "87000,44625,87750,45375" +) +tg (CPTG +uid 1182,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1183,0 +va (VaSet +) +xt "81200,44400,86000,45600" +st "dataOut" +ju 2 +blo "86000,45400" +) +s (Text +uid 1203,0 +va (VaSet +) +xt "86000,45600,86000,45600" +ju 2 +blo "86000,45600" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "unsigned" +b "(registerBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*47 (CptPort +uid 1184,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1185,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70250,48625,71000,49375" +) +tg (CPTG +uid 1186,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1187,0 +va (VaSet +) +xt "72000,48500,76000,49700" +st "enable" +blo "72000,49500" +) +s (Text +uid 1204,0 +va (VaSet +) +xt "72000,49700,72000,49700" +blo "72000,49700" +) +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*48 (CptPort +uid 1188,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1189,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "70250,52625,71000,53375" +) +tg (CPTG +uid 1190,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1191,0 +va (VaSet +) +xt "72000,52500,75300,53700" +st "reset" +blo "72000,53500" +) +s (Text +uid 1205,0 +va (VaSet +) +xt "72000,53700,72000,53700" +blo "72000,53700" +) +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 1193,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "71000,41000,87000,55000" +) +oxt "38000,10000,54000,24000" +ttg (MlTextGroup +uid 1194,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +uid 1195,0 +va (VaSet +font "courier,8,1" +) +xt "70910,54700,75510,55700" +st "sequential" +blo "70910,55500" +tm "BdLibraryNameMgr" +) +*50 (Text +uid 1196,0 +va (VaSet +font "courier,8,1" +) +xt "70910,55700,78110,56700" +st "registerUnsigned" +blo "70910,56500" +tm "CptNameMgr" +) +*51 (Text +uid 1197,0 +va (VaSet +font "courier,8,1" +) +xt "70910,56700,71910,57700" +st "I2" +blo "70910,57500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1198,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1199,0 +text (MLText +uid 1200,0 +va (VaSet +font "courier,8,0" +) +xt "71000,58800,93000,60600" +st "delay = gateDelay ( time ) +registerBitNb = nbBits ( positive ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "registerBitNb" +type "positive" +value "nbBits" +) +] +) +portVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*52 (SaComponent +uid 1302,0 +optionalChildren [ +*53 (CptPort +uid 1294,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1295,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "92250,44625,93000,45375" +) +tg (CPTG +uid 1296,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 1297,0 +va (VaSet +isHidden 1 +) +xt "93000,44700,95300,45900" +st "in1" +blo "93000,45700" +) +s (Text +uid 1311,0 +va (VaSet +isHidden 1 +) +xt "93000,45900,93000,45900" +blo "93000,45900" +) +) +thePort (LogicalPort +decl (Decl +n "in1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*54 (CptPort +uid 1298,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 1299,0 +ro 90 +va (VaSet +vasetType 1 +isHidden 1 +fg "0,65535,0" +) +xt "98000,44625,98750,45375" +) +tg (CPTG +uid 1300,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 1301,0 +va (VaSet +isHidden 1 +) +xt "95000,44700,98000,45900" +st "out1" +ju 2 +blo "98000,45700" +) +s (Text +uid 1312,0 +va (VaSet +isHidden 1 +) +xt "98000,45900,98000,45900" +ju 2 +blo "98000,45900" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "out1" +t "unsigned" +b "(dataBitNb-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +) +] +shape (Buf +uid 1303,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "93000,42000,98000,48000" +) +showPorts 0 +oxt "36000,16000,41000,22000" +ttg (MlTextGroup +uid 1304,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +uid 1305,0 +va (VaSet +font "courier,8,1" +) +xt "93910,47700,96310,48700" +st "gates" +blo "93910,48500" +tm "BdLibraryNameMgr" +) +*56 (Text +uid 1306,0 +va (VaSet +font "courier,8,1" +) +xt "93910,48700,100610,49700" +st "bufferUnsigned" +blo "93910,49500" +tm "CptNameMgr" +) +*57 (Text +uid 1307,0 +va (VaSet +font "courier,8,1" +) +xt "93910,49700,94910,50700" +st "I0" +blo "93910,50500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 1308,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 1309,0 +text (MLText +uid 1310,0 +va (VaSet +font "courier,8,0" +) +xt "93000,50800,113000,52600" +st "dataBitNb = nbBits ( positive ) +delay = gateDelay ( time ) " +) +header "" +) +elements [ +(GiElement +name "dataBitNb" +type "positive" +value "nbBits" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portVis (PortSigDisplay +disp 1 +sN 0 +sTC 0 +sT 1 +) +archFileType "UNKNOWN" +) +*58 (Wire +uid 71,0 +optionalChildren [ +*59 (BdJunction +uid 835,0 +ps "OnConnectorStrategy" +shape (Circle +uid 836,0 +va (VaSet +vasetType 1 +) +xt "89350,44600,90150,45400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "87750,45000,93000,45000" +pts [ +"87750,45000" +"93000,45000" +] +) +start &46 +end &53 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +font "courier,12,0" +) +xt "84000,39600,90100,41000" +st "countInt" +blo "84000,40800" +tm "WireNameMgr" +) +) +on &41 +) +*60 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "39000,23000,39000,27000" +pts [ +"39000,23000" +"39000,27000" +] +) +start &3 +end &20 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +font "courier,12,0" +) +xt "40000,21600,44300,23000" +st "down" +blo "40000,22800" +tm "WireNameMgr" +) +) +on &4 +) +*61 (Wire +uid 113,0 +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +) +xt "-5000,41000,15000,41000" +pts [ +"-5000,41000" +"15000,41000" +] +) +start &6 +end &8 +sat 32 +eat 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +font "courier,12,0" +) +xt "-3000,39600,-600,41000" +st "up" +blo "-3000,40800" +tm "WireNameMgr" +) +) +on &7 +) +*62 (Wire +uid 361,0 +optionalChildren [ +*63 (BdJunction +uid 837,0 +ps "OnConnectorStrategy" +shape (Circle +uid 838,0 +va (VaSet +vasetType 1 +) +xt "38600,42600,39400,43400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 362,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "39000,33000,39000,57000" +pts [ +"39000,33000" +"39000,57000" +] +) +end &14 +es 0 +sat 16 +eat 32 +sty 1 +sl "(i)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 365,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 366,0 +va (VaSet +font "courier,12,0" +) +xt "40000,33600,45200,35000" +st "carry(i)" +blo "40000,34800" +tm "WireNameMgr" +) +) +on &37 +) +*64 (Wire +uid 371,0 +shape (OrthoPolyLine +uid 372,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "39000,43000,47382,43000" +pts [ +"39000,43000" +"47382,43000" +] +) +start &63 +end &27 +sat 32 +eat 32 +sty 1 +sl "(i)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 373,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 374,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "41000,41600,46200,43000" +st "carry(i)" +blo "41000,42800" +tm "WireNameMgr" +) +) +on &37 +) +*65 (Wire +uid 377,0 +optionalChildren [ +*66 (BdJunction +uid 839,0 +ps "OnConnectorStrategy" +shape (Circle +uid 840,0 +va (VaSet +vasetType 1 +) +xt "44600,46600,45400,47400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 378,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "31000,47000,47381,47000" +pts [ +"31000,47000" +"47381,47000" +] +) +start &8 +end &26 +sat 2 +eat 32 +sty 1 +sl "(i)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 381,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 382,0 +va (VaSet +font "courier,12,0" +) +xt "33000,45600,38300,47000" +st "toInc(i)" +blo "33000,46800" +tm "WireNameMgr" +) +) +on &38 +) +*67 (Wire +uid 387,0 +shape (OrthoPolyLine +uid 388,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41000,47000,45000,60000" +pts [ +"41000,60000" +"45000,60000" +"45000,47000" +] +) +start &16 +end &66 +sat 32 +eat 32 +sty 1 +sl "(i)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 389,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 390,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "43000,58600,48300,60000" +st "toInc(i)" +blo "43000,59800" +tm "WireNameMgr" +) +) +on &38 +) +*68 (Wire +uid 393,0 +optionalChildren [ +*69 (BdJunction +uid 841,0 +ps "OnConnectorStrategy" +shape (Circle +uid 842,0 +va (VaSet +vasetType 1 +) +xt "10600,44600,11400,45400" +radius 400 +) +) +] +shape (OrthoPolyLine +uid 394,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "3000,45000,15000,45000" +pts [ +"3000,45000" +"15000,45000" +] +) +end &8 +sat 16 +eat 1 +sty 1 +sl "(i)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 399,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 400,0 +va (VaSet +font "courier,12,0" +) +xt "5000,43600,13200,45000" +st "countInt(i)" +blo "5000,44800" +tm "WireNameMgr" +) +) +on &41 +) +*70 (Wire +uid 419,0 +shape (OrthoPolyLine +uid 420,0 +va (VaSet +vasetType 3 +) +xt "69000,51000,70250,51000" +pts [ +"69000,51000" +"70250,51000" +] +) +start &32 +end &44 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 421,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 422,0 +va (VaSet +font "courier,12,0" +) +xt "67000,47600,70800,49000" +st "clock" +blo "67000,48800" +tm "WireNameMgr" +) +) +on &1 +) +*71 (Wire +uid 447,0 +shape (OrthoPolyLine +uid 448,0 +va (VaSet +vasetType 3 +) +xt "69000,53000,70250,53000" +pts [ +"69000,53000" +"70250,53000" +] +) +start &33 +end &48 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 449,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 450,0 +va (VaSet +font "courier,12,0" +) +xt "67000,51600,71100,53000" +st "reset" +blo "67000,52800" +tm "WireNameMgr" +) +) +on &5 +) +*72 (Wire +uid 459,0 +shape (OrthoPolyLine +uid 460,0 +va (VaSet +vasetType 3 +) +xt "69000,49000,70250,49000" +pts [ +"69000,49000" +"70250,49000" +] +) +start &31 +end &47 +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 461,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 462,0 +va (VaSet +font "courier,12,0" +) +xt "67000,49600,72100,51000" +st "enable" +blo "67000,50800" +tm "WireNameMgr" +) +) +on &42 +) +*73 (Wire +uid 465,0 +shape (OrthoPolyLine +uid 466,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "63000,45000,70250,45000" +pts [ +"63000,45000" +"70250,45000" +] +) +end &45 +sat 16 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 469,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 470,0 +va (VaSet +font "courier,12,0" +) +xt "63000,43600,72400,45000" +st "newCounter" +blo "63000,44800" +tm "WireNameMgr" +) +) +on &39 +) +*74 (Wire +uid 481,0 +shape (OrthoPolyLine +uid 482,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "55000,45000,63000,45000" +pts [ +"55000,45000" +"63000,45000" +] +) +start &25 +sat 32 +eat 16 +sty 1 +sl "(i)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 485,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 486,0 +va (VaSet +font "courier,12,0" +) +xt "54000,43600,64700,45000" +st "newCounter(i)" +blo "54000,44800" +tm "WireNameMgr" +) +) +on &39 +) +*75 (Wire +uid 489,0 +shape (OrthoPolyLine +uid 490,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "37000,67000,37000,71000" +pts [ +"37000,67000" +"37000,71000" +] +) +sat 16 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 495,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 496,0 +va (VaSet +font "courier,12,0" +) +xt "37000,68600,40900,70000" +st "carry" +blo "37000,69800" +tm "WireNameMgr" +) +) +on &37 +) +*76 (Wire +uid 499,0 +shape (OrthoPolyLine +uid 500,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "45000,71000,53000,71000" +pts [ +"45000,71000" +"53000,71000" +] +) +sat 16 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 505,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 506,0 +va (VaSet +font "courier,12,0" +) +xt "47000,69600,51000,71000" +st "toInc" +blo "47000,70800" +tm "WireNameMgr" +) +) +on &38 +) +*77 (Wire +uid 509,0 +shape (OrthoPolyLine +uid 510,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "37000,63000,37000,67000" +pts [ +"37000,63000" +"37000,67000" +] +) +start &15 +sat 32 +eat 16 +sty 1 +sl "(i+1)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 513,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 514,0 +va (VaSet +font "courier,12,0" +) +xt "30000,64600,37700,66000" +st "carry(i+1)" +blo "30000,65800" +tm "WireNameMgr" +) +) +on &37 +) +*78 (Wire +uid 571,0 +shape (OrthoPolyLine +uid 572,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "98000,45000,106000,45000" +pts [ +"98000,45000" +"106000,45000" +] +) +start &54 +end &40 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 577,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 578,0 +va (VaSet +font "courier,12,0" +) +xt "100000,43600,105600,44900" +st "countOut" +blo "100000,44600" +tm "WireNameMgr" +) +) +on &2 +) +*79 (Wire +uid 587,0 +shape (OrthoPolyLine +uid 588,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "-13000,22000,89750,49000" +pts [ +"89750,45000" +"89750,22000" +"-13000,22000" +"-13000,49000" +"3000,49000" +] +) +start &59 +sat 32 +eat 16 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 591,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 592,0 +va (VaSet +font "courier,12,0" +) +xt "-4000,47600,2100,49000" +st "countInt" +blo "-4000,48800" +tm "WireNameMgr" +) +) +on &41 +) +*80 (Wire +uid 672,0 +shape (OrthoPolyLine +uid 673,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "11000,45000,35000,57000" +pts [ +"11000,45000" +"11000,53000" +"35000,53000" +"35000,57000" +] +) +start &69 +end &13 +sat 32 +eat 32 +sty 1 +sl "(i)" +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 674,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 675,0 +ro 270 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "33600,48100,35000,56300" +st "countInt(i)" +blo "34800,56300" +tm "WireNameMgr" +) +) +on &41 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *81 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*82 (Text +uid 43,0 +va (VaSet +font "courier,12,0" +) +xt "-13000,58800,-3500,60200" +st "Package List" +blo "-13000,60000" +) +*83 (MLText +uid 44,0 +va (VaSet +) +xt "-13000,60200,5600,63200" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,0,34800,1400" +st "Compiler Directives" +blo "20000,1200" +) +*85 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,1600,37800,3000" +st "Pre-module directives:" +blo "20000,2800" +) +*86 (MLText +uid 48,0 +va (VaSet +isHidden 1 +) +xt "20000,3200,32000,5200" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*87 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,5800,38400,7200" +st "Post-module directives:" +blo "20000,7000" +) +*88 (MLText +uid 50,0 +va (VaSet +isHidden 1 +) +xt "20000,7400,20000,7400" +tm "BdCompilerDirectivesTextMgr" +) +*89 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,7600,37900,9000" +st "End-module directives:" +blo "20000,8800" +) +*90 (MLText +uid 52,0 +va (VaSet +isHidden 1 +) +xt "20000,1400,20000,1400" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "58,0,1921,1080" +viewArea "44480,15502,165018,83696" +cachedDiagramExtent "-13000,0,132100,82000" +pageSetupInfo (PageSetupInfo +ptrCmd "\\\\EIV\\a203 hp laserjet 4m plus,winspool," +fileName "\\\\EIV\\a203 hp laserjet 4m plus" +toPrinter 1 +colour 1 +xMargin 49 +yMargin 49 +paperWidth 1084 +paperHeight 762 +paperType "A4" +titlesVisible 0 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +) +hasePageBreakOrigin 1 +pageBreakOrigin "-14000,18000" +lastUid 1367,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +) +xt "1000,1000,3700,2000" +st "Panel0" +blo "1000,1800" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*91 (Text +va (VaSet +font "courier,12,1" +) +xt "800,2700,8100,4100" +st "" +blo "800,3900" +tm "BdLibraryNameMgr" +) +*92 (Text +va (VaSet +font "courier,12,1" +) +xt "800,4100,7200,5500" +st "" +blo "800,5300" +tm "BlkNameMgr" +) +*93 (Text +va (VaSet +font "courier,12,1" +) +xt "800,5500,3200,6900" +st "I0" +blo "800,6700" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "800,12700,800,12700" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*94 (Text +va (VaSet +) +xt "-100,3000,2600,4000" +st "Library" +blo "-100,3800" +) +*95 (Text +va (VaSet +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*96 (Text +va (VaSet +) +xt "-100,5000,900,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-350,0,8350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*97 (Text +va (VaSet +) +xt "150,3000,2850,4000" +st "Library" +blo "150,3800" +tm "BdLibraryNameMgr" +) +*98 (Text +va (VaSet +) +xt "150,4000,5650,5000" +st "SaComponent" +blo "150,4800" +tm "CptNameMgr" +) +*99 (Text +va (VaSet +) +xt "150,5000,1150,6000" +st "I0" +blo "150,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-6850,1000,-6850,1000" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*100 (Text +va (VaSet +) +xt "-350,3000,2350,4000" +st "Library" +blo "-350,3800" +) +*101 (Text +va (VaSet +) +xt "-350,4000,5750,5000" +st "VhdlComponent" +blo "-350,4800" +) +*102 (Text +va (VaSet +) +xt "-350,5000,650,6000" +st "I0" +blo "-350,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-7350,1000,-7350,1000" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "-1500,0,9500,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*103 (Text +va (VaSet +) +xt "-1000,3000,1700,4000" +st "Library" +blo "-1000,3800" +) +*104 (Text +va (VaSet +) +xt "-1000,4000,6100,5000" +st "VerilogComponent" +blo "-1000,4800" +) +*105 (Text +va (VaSet +) +xt "-1000,5000,0,6000" +st "I0" +blo "-1000,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-8000,1000,-8000,1000" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*106 (Text +va (VaSet +) +xt "3050,3700,4650,4700" +st "eb1" +blo "3050,4500" +tm "HdlTextNameMgr" +) +*107 (Text +va (VaSet +) +xt "3050,4700,3850,5700" +st "1" +blo "3050,5500" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +) +xt "-400,-600,600,400" +st "G" +blo "-400,200" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-3000,-500,-3000,-500" +ju 2 +blo "-3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-3000,-500,-3000,-500" +ju 2 +blo "-3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,3400,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,4700,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineColor "32768,0,0" +lineStyle 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,0" +) +xt "0,0,5900,1400" +st "bundle0" +blo "0,1200" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,1400,2700" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +font "courier,12,0" +) +xt "0,0,6300,1300" +st "Auto list" +) +second (MLText +va (VaSet +font "courier,12,0" +) +xt "0,1400,12600,2700" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,17400,-300" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1150,1650" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*108 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*109 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +) +xt "0,-1300,10800,-300" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1150,1650" +) +num (Text +va (VaSet +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*110 (Text +va (VaSet +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*111 (MLText +va (VaSet +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,3400,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,12,0" +) +xt "0,750,3400,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,9,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-13000,66400,-4400,67600" +st "Declarations" +blo "-13000,67400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-13000,67600,-8800,68800" +st "Ports:" +blo "-13000,68600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-13000,66400,-7000,67600" +st "Pre User:" +blo "-13000,67400" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-13000,66400,-13000,66400" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,10,1" +) +xt "-13000,74200,-2000,75400" +st "Diagram Signals:" +blo "-13000,75200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-13000,66400,-5700,67600" +st "Post User:" +blo "-13000,67400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "-13000,66400,-13000,66400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 10,0 +usingSuid 1 +emptyRow *112 (LEmptyRow +) +uid 864,0 +optionalChildren [ +*113 (RefLabelRowHdr +) +*114 (TitleRowHdr +) +*115 (FilterRowHdr +) +*116 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*117 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*118 (GroupColHdr +tm "GroupColHdrMgr" +) +*119 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*120 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*121 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*122 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*123 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*124 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*125 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 843,0 +) +*126 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 6 +suid 2,0 +) +) +uid 845,0 +) +*127 (LeafLogPort +port (LogicalPort +decl (Decl +n "down" +t "std_uLogic" +o 2 +suid 3,0 +) +) +uid 847,0 +) +*128 (LeafLogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 4 +suid 4,0 +) +) +uid 849,0 +) +*129 (LeafLogPort +port (LogicalPort +decl (Decl +n "up" +t "std_uLogic" +o 5 +suid 5,0 +) +) +uid 851,0 +) +*130 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "carry" +t "std_ulogic_vector" +b "(nbBits DOWNTO 0)" +o 7 +suid 6,0 +) +) +uid 853,0 +) +*131 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "toInc" +t "std_ulogic_vector" +b "(nbBits-1 DOWNTO 0)" +o 10 +suid 7,0 +) +) +uid 855,0 +) +*132 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "newCounter" +t "unsigned" +b "(nbBits-1 DOWNTO 0)" +o 9 +suid 8,0 +) +) +uid 857,0 +) +*133 (LeafLogPort +port (LogicalPort +m 4 +decl (Decl +n "countInt" +t "unsigned" +b "(nbBits-1 DOWNTO 0)" +o 8 +suid 9,0 +) +) +uid 859,0 +) +*134 (LeafLogPort +port (LogicalPort +decl (Decl +n "enable" +t "std_uLogic" +o 3 +suid 10,0 +) +) +uid 861,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 877,0 +optionalChildren [ +*135 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *136 (MRCItem +litem &112 +pos 10 +dimension 20 +) +uid 879,0 +optionalChildren [ +*137 (MRCItem +litem &113 +pos 0 +dimension 20 +uid 880,0 +) +*138 (MRCItem +litem &114 +pos 1 +dimension 23 +uid 881,0 +) +*139 (MRCItem +litem &115 +pos 2 +hidden 1 +dimension 20 +uid 882,0 +) +*140 (MRCItem +litem &125 +pos 0 +dimension 20 +uid 844,0 +) +*141 (MRCItem +litem &126 +pos 1 +dimension 20 +uid 846,0 +) +*142 (MRCItem +litem &127 +pos 2 +dimension 20 +uid 848,0 +) +*143 (MRCItem +litem &128 +pos 3 +dimension 20 +uid 850,0 +) +*144 (MRCItem +litem &129 +pos 4 +dimension 20 +uid 852,0 +) +*145 (MRCItem +litem &130 +pos 6 +dimension 20 +uid 854,0 +) +*146 (MRCItem +litem &131 +pos 7 +dimension 20 +uid 856,0 +) +*147 (MRCItem +litem &132 +pos 8 +dimension 20 +uid 858,0 +) +*148 (MRCItem +litem &133 +pos 9 +dimension 20 +uid 860,0 +) +*149 (MRCItem +litem &134 +pos 5 +dimension 20 +uid 862,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 883,0 +optionalChildren [ +*150 (MRCItem +litem &116 +pos 0 +dimension 20 +uid 884,0 +) +*151 (MRCItem +litem &118 +pos 1 +dimension 50 +uid 885,0 +) +*152 (MRCItem +litem &119 +pos 2 +dimension 100 +uid 886,0 +) +*153 (MRCItem +litem &120 +pos 3 +dimension 50 +uid 887,0 +) +*154 (MRCItem +litem &121 +pos 4 +dimension 100 +uid 888,0 +) +*155 (MRCItem +litem &122 +pos 5 +dimension 100 +uid 889,0 +) +*156 (MRCItem +litem &123 +pos 6 +dimension 50 +uid 890,0 +) +*157 (MRCItem +litem &124 +pos 7 +dimension 80 +uid 891,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 878,0 +vaOverrides [ +] +) +] +) +uid 863,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *158 (LEmptyRow +) +uid 893,0 +optionalChildren [ +*159 (RefLabelRowHdr +) +*160 (TitleRowHdr +) +*161 (FilterRowHdr +) +*162 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*163 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*164 (GroupColHdr +tm "GroupColHdrMgr" +) +*165 (NameColHdr +tm "GenericNameColHdrMgr" +) +*166 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*167 (InitColHdr +tm "GenericValueColHdrMgr" +) +*168 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*169 (EolColHdr +tm "GenericEolColHdrMgr" +) +*170 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "8" +) +uid 1365,0 +) +*171 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 1367,0 +) +] +) +pdm (PhysicalDM +uid 905,0 +optionalChildren [ +*172 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *173 (MRCItem +litem &158 +pos 1 +dimension 20 +) +uid 907,0 +optionalChildren [ +*174 (MRCItem +litem &159 +pos 0 +dimension 20 +uid 908,0 +) +*175 (MRCItem +litem &160 +pos 1 +dimension 23 +uid 909,0 +) +*176 (MRCItem +litem &161 +pos 2 +hidden 1 +dimension 20 +uid 910,0 +) +*177 (MRCItem +litem &170 +pos 1 +dimension 20 +uid 1364,0 +) +*178 (MRCItem +litem &171 +pos 0 +dimension 20 +uid 1366,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 911,0 +optionalChildren [ +*179 (MRCItem +litem &162 +pos 0 +dimension 20 +uid 912,0 +) +*180 (MRCItem +litem &164 +pos 1 +dimension 50 +uid 913,0 +) +*181 (MRCItem +litem &165 +pos 2 +dimension 100 +uid 914,0 +) +*182 (MRCItem +litem &166 +pos 3 +dimension 100 +uid 915,0 +) +*183 (MRCItem +litem &167 +pos 4 +dimension 50 +uid 916,0 +) +*184 (MRCItem +litem &168 +pos 5 +dimension 50 +uid 917,0 +) +*185 (MRCItem +litem &169 +pos 6 +dimension 80 +uid 918,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 906,0 +vaOverrides [ +] +) +] +) +uid 892,0 +type 1 +) +activeModelName "BlockDiag" +frameCount 1 +) diff --git a/Libs/Sequential/hds/counter@up@down@enable/symbol.sb b/Libs/Sequential/hds/counter@up@down@enable/symbol.sb new file mode 100644 index 0000000..5d7a4ac --- /dev/null +++ b/Libs/Sequential/hds/counter@up@down@enable/symbol.sb @@ -0,0 +1,1715 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2006,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 205,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 1 +suid 1,0 +) +) +uid 206,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "enable" +t "std_uLogic" +o 3 +suid 6,0 +) +) +uid 207,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "down" +t "std_uLogic" +o 2 +suid 4,0 +) +) +uid 208,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "up" +t "std_uLogic" +o 5 +suid 5,0 +) +) +uid 209,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 6 +suid 2,0 +) +) +uid 210,0 +) +*7 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 4 +suid 3,0 +) +) +uid 211,0 +) +*8 (RefLabelRowHdr +) +*9 (TitleRowHdr +) +*10 (FilterRowHdr +) +*11 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*12 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*13 (GroupColHdr +tm "GroupColHdrMgr" +) +*14 (NameColHdr +tm "NameColHdrMgr" +) +*15 (ModeColHdr +tm "ModeColHdrMgr" +) +*16 (TypeColHdr +tm "TypeColHdrMgr" +) +*17 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*18 (InitColHdr +tm "InitColHdrMgr" +) +*19 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 212,0 +optionalChildren [ +*20 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *21 (MRCItem +litem &1 +pos 6 +dimension 20 +) +uid 145,0 +optionalChildren [ +*22 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 148,0 +) +*23 (MRCItem +litem &9 +pos 1 +dimension 23 +uid 150,0 +) +*24 (MRCItem +litem &10 +pos 2 +hidden 1 +dimension 20 +uid 152,0 +) +*25 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 171,0 +) +*26 (MRCItem +litem &3 +pos 5 +dimension 20 +uid 172,0 +) +*27 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 173,0 +) +*28 (MRCItem +litem &5 +pos 4 +dimension 20 +uid 174,0 +) +*29 (MRCItem +litem &6 +pos 1 +dimension 20 +uid 175,0 +) +*30 (MRCItem +litem &7 +pos 3 +dimension 20 +uid 176,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 146,0 +optionalChildren [ +*31 (MRCItem +litem &11 +pos 0 +dimension 20 +uid 154,0 +) +*32 (MRCItem +litem &13 +pos 1 +dimension 50 +uid 158,0 +) +*33 (MRCItem +litem &14 +pos 2 +dimension 100 +uid 160,0 +) +*34 (MRCItem +litem &15 +pos 3 +dimension 50 +uid 162,0 +) +*35 (MRCItem +litem &16 +pos 4 +dimension 100 +uid 164,0 +) +*36 (MRCItem +litem &17 +pos 5 +dimension 100 +uid 166,0 +) +*37 (MRCItem +litem &18 +pos 6 +dimension 50 +uid 168,0 +) +*38 (MRCItem +litem &19 +pos 7 +dimension 80 +uid 170,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 144,0 +vaOverrides [ +] +) +] +) +uid 204,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *39 (LEmptyRow +) +uid 214,0 +optionalChildren [ +*40 (RefLabelRowHdr +) +*41 (TitleRowHdr +) +*42 (FilterRowHdr +) +*43 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*44 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*45 (GroupColHdr +tm "GroupColHdrMgr" +) +*46 (NameColHdr +tm "GenericNameColHdrMgr" +) +*47 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*48 (InitColHdr +tm "GenericValueColHdrMgr" +) +*49 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*50 (EolColHdr +tm "GenericEolColHdrMgr" +) +*51 (LogGeneric +generic (GiElement +name "bitNb" +type "positive" +value "8" +) +uid 202,0 +) +*52 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 363,0 +) +] +) +pdm (PhysicalDM +uid 215,0 +optionalChildren [ +*53 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *54 (MRCItem +litem &39 +pos 2 +dimension 20 +) +uid 178,0 +optionalChildren [ +*55 (MRCItem +litem &40 +pos 0 +dimension 20 +uid 181,0 +) +*56 (MRCItem +litem &41 +pos 1 +dimension 23 +uid 183,0 +) +*57 (MRCItem +litem &42 +pos 2 +hidden 1 +dimension 20 +uid 185,0 +) +*58 (MRCItem +litem &51 +pos 1 +dimension 20 +uid 203,0 +) +*59 (MRCItem +litem &52 +pos 0 +dimension 20 +uid 364,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 179,0 +optionalChildren [ +*60 (MRCItem +litem &43 +pos 0 +dimension 20 +uid 187,0 +) +*61 (MRCItem +litem &45 +pos 1 +dimension 50 +uid 191,0 +) +*62 (MRCItem +litem &46 +pos 2 +dimension 100 +uid 193,0 +) +*63 (MRCItem +litem &47 +pos 3 +dimension 100 +uid 195,0 +) +*64 (MRCItem +litem &48 +pos 4 +dimension 50 +uid 197,0 +) +*65 (MRCItem +litem &49 +pos 5 +dimension 50 +uid 199,0 +) +*66 (MRCItem +litem &50 +pos 6 +dimension 80 +uid 201,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 177,0 +vaOverrides [ +] +) +] +) +uid 213,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down@enable/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down@enable/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down@enable" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterUpDownEnable" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "counterUpDownEnable" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "counterUpDownEnable" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counter@up@down@enable/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/counterUpDownEnable/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "counterUpDownEnable" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 66,0 +optionalChildren [ +*67 (SymbolBody +uid 8,0 +optionalChildren [ +*68 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25250,11625,26000,12375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 115,0 +va (VaSet +font "courier,12,0" +) +xt "27000,11300,30800,12700" +st "clock" +blo "27000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,4000,7000,4900" +st "clock : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 1 +suid 1,0 +) +) +) +*69 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "42000,7625,42750,8375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,12,0" +) +xt "34400,7300,41000,8700" +st "countOut" +ju 2 +blo "41000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,8500,14000,9400" +st "countOut : OUT unsigned (bitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "countOut" +t "unsigned" +b "(bitNb-1 DOWNTO 0)" +o 6 +suid 2,0 +) +) +) +*70 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25250,13625,26000,14375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,12,0" +) +xt "27000,13300,31100,14700" +st "reset" +blo "27000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,6700,7000,7600" +st "reset : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_uLogic" +o 4 +suid 3,0 +) +) +) +*71 (CptPort +uid 127,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 128,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25250,5625,26000,6375" +) +tg (CPTG +uid 129,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 130,0 +va (VaSet +font "courier,12,0" +) +xt "27000,5300,31300,6700" +st "down" +blo "27000,6500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 131,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,4900,7000,5800" +st "down : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "down" +t "std_uLogic" +o 2 +suid 4,0 +) +) +) +*72 (CptPort +uid 132,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 133,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25250,3625,26000,4375" +) +tg (CPTG +uid 134,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 135,0 +va (VaSet +font "courier,12,0" +) +xt "27000,3300,29400,4700" +st "up" +blo "27000,4500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 136,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,7600,7000,8500" +st "up : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "up" +t "std_uLogic" +o 5 +suid 5,0 +) +) +) +*73 (CptPort +uid 139,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 140,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "25250,9625,26000,10375" +) +tg (CPTG +uid 141,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 142,0 +va (VaSet +font "courier,12,0" +) +xt "27000,9300,32100,10700" +st "enable" +blo "27000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 143,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,5800,7000,6700" +st "enable : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_uLogic" +o 3 +suid 6,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "26000,0,42000,16000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "26300,16400,32900,17400" +st "sequential" +blo "26300,17200" +) +second (Text +uid 12,0 +va (VaSet +) +xt "26300,17400,38300,18400" +st "counterUpDownEnable" +blo "26300,18200" +) +) +gi *74 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "26000,20400,42200,24400" +st "Generic Declarations + +delay time gateDelay +bitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "bitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +sTC 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*75 (Grouping +uid 308,0 +optionalChildren [ +*76 (CommentText +uid 310,0 +shape (Rectangle +uid 311,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,39000,42000,40000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 312,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,39000,40200,40000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 313,0 +shape (Rectangle +uid 314,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,35000,46000,36000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 315,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,35000,45800,36000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 316,0 +shape (Rectangle +uid 317,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,37000,42000,38000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 318,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,37000,41400,38000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 319,0 +shape (Rectangle +uid 320,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,37000,25000,38000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 321,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,37000,24800,38000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 322,0 +shape (Rectangle +uid 323,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,36000,62000,40000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 324,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,36200,55400,37200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 325,0 +shape (Rectangle +uid 326,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,35000,62000,36000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 327,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,35000,48000,36000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 328,0 +shape (Rectangle +uid 329,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,35000,42000,37000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 330,0 +va (VaSet +fg "32768,0,0" +) +xt "27000,35500,36000,36500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*83 (CommentText +uid 331,0 +shape (Rectangle +uid 332,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,38000,25000,39000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 333,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,38000,24200,39000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*84 (CommentText +uid 334,0 +shape (Rectangle +uid 335,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,39000,25000,40000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 336,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,39000,24800,40000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*85 (CommentText +uid 337,0 +shape (Rectangle +uid 338,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,38000,42000,39000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 339,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,38000,35400,39000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 309,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "21000,35000,62000,40000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *86 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*87 (Text +uid 137,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,-9200,-3400,-8000" +st "Package List" +blo "-11000,-8200" +) +*88 (MLText +uid 138,0 +va (VaSet +) +xt "-11000,-8000,7600,-3000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "127,35,1422,892" +viewArea "-12100,-10300,65372,41180" +cachedDiagramExtent "-11000,-9200,62000,40000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,-58000" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4500,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "NUM" +entityName "topLevel" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "30500,14400,34000,15400" +st "" +blo "30500,15200" +) +second (Text +va (VaSet +) +xt "30500,15400,33100,16400" +st "" +blo "30500,16200" +) +) +gi *89 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *90 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,1600,-2400,2800" +st "Declarations" +blo "-11000,2600" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,2800,-6800,4000" +st "Ports:" +blo "-11000,3800" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,9400,-8000,10400" +st "User:" +blo "-11000,10200" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-11000,1600,-1600,2800" +st "Internal User:" +blo "-11000,2600" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,10400,-9000,10400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-11000,1600,-11000,1600" +tm "SyDeclarativeTextMgr" +) +) +lastUid 479,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/edge@detector/symbol.sb b/Libs/Sequential/hds/edge@detector/symbol.sb new file mode 100644 index 0000000..35ff157 --- /dev/null +++ b/Libs/Sequential/hds/edge@detector/symbol.sb @@ -0,0 +1,1561 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 191,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "in_asynch" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 192,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "edge_pulse" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 193,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 194,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 195,0 +) +*6 (RefLabelRowHdr +) +*7 (TitleRowHdr +) +*8 (FilterRowHdr +) +*9 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*10 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*11 (GroupColHdr +tm "GroupColHdrMgr" +) +*12 (NameColHdr +tm "NameColHdrMgr" +) +*13 (ModeColHdr +tm "ModeColHdrMgr" +) +*14 (TypeColHdr +tm "TypeColHdrMgr" +) +*15 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*16 (InitColHdr +tm "InitColHdrMgr" +) +*17 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 196,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 135,0 +optionalChildren [ +*20 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 138,0 +) +*21 (MRCItem +litem &7 +pos 1 +dimension 23 +uid 140,0 +) +*22 (MRCItem +litem &8 +pos 2 +hidden 1 +dimension 20 +uid 142,0 +) +*23 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 161,0 +) +*24 (MRCItem +litem &3 +pos 2 +dimension 20 +uid 162,0 +) +*25 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 163,0 +) +*26 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 164,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 136,0 +optionalChildren [ +*27 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 144,0 +) +*28 (MRCItem +litem &11 +pos 1 +dimension 50 +uid 148,0 +) +*29 (MRCItem +litem &12 +pos 2 +dimension 100 +uid 150,0 +) +*30 (MRCItem +litem &13 +pos 3 +dimension 50 +uid 152,0 +) +*31 (MRCItem +litem &14 +pos 4 +dimension 100 +uid 154,0 +) +*32 (MRCItem +litem &15 +pos 5 +dimension 100 +uid 156,0 +) +*33 (MRCItem +litem &16 +pos 6 +dimension 50 +uid 158,0 +) +*34 (MRCItem +litem &17 +pos 7 +dimension 80 +uid 160,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 134,0 +vaOverrides [ +] +) +] +) +uid 190,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 198,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 278,0 +) +] +) +pdm (PhysicalDM +uid 199,0 +optionalChildren [ +*48 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *49 (MRCItem +litem &35 +pos 1 +dimension 20 +) +uid 166,0 +optionalChildren [ +*50 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 169,0 +) +*51 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 171,0 +) +*52 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 173,0 +) +*53 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 279,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 167,0 +optionalChildren [ +*54 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 175,0 +) +*55 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 179,0 +) +*56 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 181,0 +) +*57 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 183,0 +) +*58 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 185,0 +) +*59 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 187,0 +) +*60 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 189,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 165,0 +vaOverrides [ +] +) +] +) +uid 197,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/edge@detector/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/edge@detector/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/edge@detector" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/edgeDetector" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "edgeDetector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:18" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\users\\syslo\\dcf\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "edgeDetector" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/edge@detector/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/edgeDetector/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_ActelUserPath" +value "$HDS_PROJECT_DIR\\..\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:18" +) +(vvPair +variable "unit" +value "edgeDetector" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 66,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,15625,24000,16375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 115,0 +va (VaSet +font "courier,12,0" +) +xt "25000,15300,28800,16700" +st "clock" +blo "25000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,11600,8000,12500" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,11625,40750,12375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,12,0" +) +xt "30000,11300,39000,12700" +st "edge_pulse" +ju 2 +blo "39000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,14300,7000,15200" +st "edge_pulse : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "edge_pulse" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,17625,24000,18375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,12,0" +) +xt "25000,17300,29100,18700" +st "reset" +blo "25000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,13400,8000,14300" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*65 (CptPort +uid 129,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 130,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,11625,24000,12375" +) +tg (CPTG +uid 131,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 132,0 +va (VaSet +font "courier,12,0" +) +xt "25000,11300,32100,12700" +st "in_asynch" +blo "25000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 133,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,12500,8000,13400" +st "in_asynch : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "in_asynch" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "24000,8000,40000,19000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "24300,19400,30900,20400" +st "sequential" +blo "24300,20200" +) +second (Text +uid 12,0 +va (VaSet +) +xt "24300,20400,32100,21400" +st "edgeDetector" +blo "24300,21200" +) +) +gi *66 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "24000,23400,37800,26400" +st "Generic Declarations + +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*67 (Grouping +uid 223,0 +optionalChildren [ +*68 (CommentText +uid 225,0 +shape (Rectangle +uid 226,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,48000,42000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 227,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,48000,40200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 228,0 +shape (Rectangle +uid 229,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,44000,46000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 230,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,44000,45800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 231,0 +shape (Rectangle +uid 232,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,46000,42000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 233,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,46000,41400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 234,0 +shape (Rectangle +uid 235,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,46000,25000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 236,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,46000,24800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 237,0 +shape (Rectangle +uid 238,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,45000,62000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 239,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,45200,55400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 240,0 +shape (Rectangle +uid 241,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,44000,62000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 242,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,44000,48000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 243,0 +shape (Rectangle +uid 244,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,44000,42000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 245,0 +va (VaSet +fg "32768,0,0" +) +xt "27000,44500,36000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 246,0 +shape (Rectangle +uid 247,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,47000,25000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 248,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,47000,24200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 249,0 +shape (Rectangle +uid 250,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,48000,25000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 251,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,48000,24800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 252,0 +shape (Rectangle +uid 253,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,47000,42000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 254,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,47000,40200,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 224,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "21000,44000,62000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *78 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*79 (Text +uid 127,0 +va (VaSet +font "courier,12,1" +) +xt "-11000,0,-2200,1500" +st "Package List" +blo "-11000,1200" +) +*80 (MLText +uid 128,0 +va (VaSet +font "courier,12,0" +) +xt "-11000,1500,10700,6700" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "124,48,1413,892" +viewArea "-12000,-1100,66110,50146" +cachedDiagramExtent "-11000,0,62000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +bg "65280,65280,65280" +lineColor "0,16384,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,16384,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,4400,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "NUM" +entityName "topLevel" +viewName "struct.bd" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,1" +) +xt "30500,14400,35500,15900" +st "" +blo "30500,15600" +) +second (Text +va (VaSet +font "courier,12,1" +) +xt "30500,15900,33900,17400" +st "" +blo "30500,17100" +) +) +gi *81 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *82 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,9200,-3600,10400" +st "Declarations" +blo "-11000,10200" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,10400,-7500,11600" +st "Ports:" +blo "-11000,11400" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,15200,-8000,16200" +st "User:" +blo "-11000,16000" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-11000,9200,-3200,10400" +st "Internal User:" +blo "-11000,10200" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,16200,-9000,16200" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-11000,9200,-11000,9200" +tm "SyDeclarativeTextMgr" +) +) +lastUid 302,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/freq@divider/symbol.sb b/Libs/Sequential/hds/freq@divider/symbol.sb new file mode 100644 index 0000000..96bc8fb --- /dev/null +++ b/Libs/Sequential/hds/freq@divider/symbol.sb @@ -0,0 +1,1525 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 187,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 188,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "enable" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 189,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 190,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 191,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 128,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 131,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 133,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 135,0 +) +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 154,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 155,0 +) +*24 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 156,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 129,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 137,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 141,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 143,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 145,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 147,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 149,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 151,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 153,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 127,0 +vaOverrides [ +] +) +] +) +uid 186,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 193,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "divideValue" +type "positive" +value "256" +) +uid 182,0 +) +*46 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 273,0 +) +] +) +pdm (PhysicalDM +uid 194,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &33 +pos 2 +dimension 20 +) +uid 158,0 +optionalChildren [ +*49 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 161,0 +) +*50 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 163,0 +) +*51 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 165,0 +) +*52 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 183,0 +) +*53 (MRCItem +litem &46 +pos 1 +dimension 20 +uid 274,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 159,0 +optionalChildren [ +*54 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 167,0 +) +*55 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 171,0 +) +*56 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 173,0 +) +*57 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 175,0 +) +*58 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 177,0 +) +*59 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 179,0 +) +*60 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 181,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 157,0 +vaOverrides [ +] +) +] +) +uid 192,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freq@divider/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freq@divider/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freq@divider" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freqDivider" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "freqDivider" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:18" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "freqDivider" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freq@divider/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freqDivider/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:18" +) +(vvPair +variable "unit" +value "freqDivider" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 66,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,17625,24000,18375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 115,0 +va (VaSet +font "courier,12,0" +) +xt "25000,17300,28800,18700" +st "clock" +blo "25000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,15400,6000,16300" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,17625,40750,18375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,12,0" +) +xt "33900,17300,39000,18700" +st "enable" +ju 2 +blo "39000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,17200,5000,18100" +st "enable : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "enable" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,19625,24000,20375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,12,0" +) +xt "25000,19300,29100,20700" +st "reset" +blo "25000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,16300,6000,17200" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "24000,14000,40000,22000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "24300,22400,30900,23400" +st "sequential" +blo "24300,23200" +) +second (Text +uid 12,0 +va (VaSet +) +xt "24300,23400,31500,24400" +st "freqDivider" +blo "24300,24200" +) +) +gi *65 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "24000,26400,43800,30400" +st "Generic Declarations + +divideValue positive 256 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "divideValue" +type "positive" +value "256" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*66 (Grouping +uid 218,0 +optionalChildren [ +*67 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,48000,42000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,48000,42000,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*68 (CommentText +uid 223,0 +shape (Rectangle +uid 224,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,44000,46000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 225,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,44000,45800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*69 (CommentText +uid 226,0 +shape (Rectangle +uid 227,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,46000,42000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 228,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,46000,41400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*70 (CommentText +uid 229,0 +shape (Rectangle +uid 230,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,46000,25000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 231,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,46000,24800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 232,0 +shape (Rectangle +uid 233,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,45000,62000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 234,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,45200,55400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 235,0 +shape (Rectangle +uid 236,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,44000,62000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 237,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,44000,48000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 238,0 +shape (Rectangle +uid 239,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,44000,42000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 240,0 +va (VaSet +fg "32768,0,0" +) +xt "27000,44500,36000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 241,0 +shape (Rectangle +uid 242,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,47000,25000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 243,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,47000,24200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 244,0 +shape (Rectangle +uid 245,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,48000,25000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 246,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,48000,24800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 247,0 +shape (Rectangle +uid 248,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,47000,42000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 249,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,47000,39600,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 219,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "21000,44000,62000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *77 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*78 (Text +uid 184,0 +va (VaSet +font "courier,12,1" +) +xt "-11000,0,-2200,1500" +st "Package List" +blo "-11000,1200" +) +*79 (MLText +uid 185,0 +va (VaSet +font "courier,12,0" +) +xt "-11000,1500,10700,8000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "165,37,1433,889" +viewArea "-12100,-1100,63428,50020" +cachedDiagramExtent "-11000,0,62000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +bg "65280,65280,65280" +lineColor "0,16384,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,16384,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,1" +) +xt "30500,14400,36200,15900" +st "" +blo "30500,15600" +) +second (Text +va (VaSet +font "courier,12,1" +) +xt "30500,15900,34600,17400" +st "" +blo "30500,17100" +) +) +gi *80 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *81 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,13000,-3600,14200" +st "Declarations" +blo "-11000,14000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,14200,-7500,15400" +st "Ports:" +blo "-11000,15200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,18100,-8000,19100" +st "User:" +blo "-11000,18900" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-11000,13000,-3200,14200" +st "Internal User:" +blo "-11000,14000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,19100,-9000,19100" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-11000,13000,-11000,13000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 366,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/freq@divider@enable/symbol.sb b/Libs/Sequential/hds/freq@divider@enable/symbol.sb new file mode 100644 index 0000000..db9f4b3 --- /dev/null +++ b/Libs/Sequential/hds/freq@divider@enable/symbol.sb @@ -0,0 +1,1586 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2004,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 187,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +uid 188,0 +) +*3 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "enOut" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 189,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +uid 190,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +*17 (LogPort +port (LogicalPort +decl (Decl +n "enIn" +t "std_ulogic" +o 4 +suid 2004,0 +) +) +uid 372,0 +) +] +) +pdm (PhysicalDM +uid 191,0 +optionalChildren [ +*18 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *19 (MRCItem +litem &1 +pos 4 +dimension 20 +) +uid 128,0 +optionalChildren [ +*20 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 131,0 +) +*21 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 133,0 +) +*22 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 135,0 +) +*23 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 154,0 +) +*24 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 155,0 +) +*25 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 156,0 +) +*26 (MRCItem +litem &17 +pos 3 +dimension 20 +uid 373,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 129,0 +optionalChildren [ +*27 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 137,0 +) +*28 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 141,0 +) +*29 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 143,0 +) +*30 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 145,0 +) +*31 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 147,0 +) +*32 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 149,0 +) +*33 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 151,0 +) +*34 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 153,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 127,0 +vaOverrides [ +] +) +] +) +uid 186,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *35 (LEmptyRow +) +uid 193,0 +optionalChildren [ +*36 (RefLabelRowHdr +) +*37 (TitleRowHdr +) +*38 (FilterRowHdr +) +*39 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*40 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*41 (GroupColHdr +tm "GroupColHdrMgr" +) +*42 (NameColHdr +tm "GenericNameColHdrMgr" +) +*43 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*44 (InitColHdr +tm "GenericValueColHdrMgr" +) +*45 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*46 (EolColHdr +tm "GenericEolColHdrMgr" +) +*47 (LogGeneric +generic (GiElement +name "divideValue" +type "positive" +value "256" +) +uid 182,0 +) +*48 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 273,0 +) +] +) +pdm (PhysicalDM +uid 194,0 +optionalChildren [ +*49 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *50 (MRCItem +litem &35 +pos 2 +dimension 20 +) +uid 158,0 +optionalChildren [ +*51 (MRCItem +litem &36 +pos 0 +dimension 20 +uid 161,0 +) +*52 (MRCItem +litem &37 +pos 1 +dimension 23 +uid 163,0 +) +*53 (MRCItem +litem &38 +pos 2 +hidden 1 +dimension 20 +uid 165,0 +) +*54 (MRCItem +litem &47 +pos 0 +dimension 20 +uid 183,0 +) +*55 (MRCItem +litem &48 +pos 1 +dimension 20 +uid 274,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 159,0 +optionalChildren [ +*56 (MRCItem +litem &39 +pos 0 +dimension 20 +uid 167,0 +) +*57 (MRCItem +litem &41 +pos 1 +dimension 50 +uid 171,0 +) +*58 (MRCItem +litem &42 +pos 2 +dimension 100 +uid 173,0 +) +*59 (MRCItem +litem &43 +pos 3 +dimension 100 +uid 175,0 +) +*60 (MRCItem +litem &44 +pos 4 +dimension 50 +uid 177,0 +) +*61 (MRCItem +litem &45 +pos 5 +dimension 50 +uid 179,0 +) +*62 (MRCItem +litem &46 +pos 6 +dimension 80 +uid 181,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 157,0 +vaOverrides [ +] +) +] +) +uid 192,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freq@divider@enable/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freq@divider@enable/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freq@divider@enable" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freqDividerEnable" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "freqDividerEnable" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:18" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "freqDividerEnable" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freq@divider@enable/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/freqDividerEnable/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:18" +) +(vvPair +variable "unit" +value "freqDividerEnable" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 66,0 +optionalChildren [ +*63 (SymbolBody +uid 8,0 +optionalChildren [ +*64 (CptPort +uid 112,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 113,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,17625,24000,18375" +) +tg (CPTG +uid 114,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 115,0 +va (VaSet +font "courier,12,0" +) +xt "25000,17300,28800,18700" +st "clock" +blo "25000,18500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 116,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,15400,5500,16300" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 1 +suid 1,0 +) +) +) +*65 (CptPort +uid 117,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 118,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "40000,13625,40750,14375" +) +tg (CPTG +uid 119,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 120,0 +va (VaSet +font "courier,12,0" +) +xt "34300,13300,39000,14700" +st "enOut" +ju 2 +blo "39000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 121,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,18100,4500,19000" +st "enOut : OUT std_ulogic " +) +thePort (LogicalPort +m 1 +decl (Decl +n "enOut" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*66 (CptPort +uid 122,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 123,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,19625,24000,20375" +) +tg (CPTG +uid 124,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 125,0 +va (VaSet +font "courier,12,0" +) +xt "25000,19300,29100,20700" +st "reset" +blo "25000,20500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 126,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,17200,5500,18100" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 3 +suid 3,0 +) +) +) +*67 (CptPort +uid 367,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 397,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "23250,13625,24000,14375" +) +tg (CPTG +uid 369,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 370,0 +va (VaSet +font "courier,12,0" +) +xt "25000,13300,28700,14700" +st "enIn" +blo "25000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 371,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,16300,5500,17200" +st "enIn : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "enIn" +t "std_ulogic" +o 4 +suid 2004,0 +) +) +) +] +shape (Rectangle +uid 9,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "24000,10000,40000,22000" +) +oxt "15000,6000,23000,16000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "24300,22400,30900,23400" +st "sequential" +blo "24300,23200" +) +second (Text +uid 12,0 +va (VaSet +) +xt "24300,23400,35100,24400" +st "freqDividerEnable" +blo "24300,24200" +) +) +gi *68 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "24000,26400,43800,30400" +st "Generic Declarations + +divideValue positive 256 +delay time gateDelay " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "divideValue" +type "positive" +value "256" +) +(GiElement +name "delay" +type "time" +value "gateDelay" +) +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +*69 (Grouping +uid 218,0 +optionalChildren [ +*70 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,48000,42000,49000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,48000,40200,49000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*71 (CommentText +uid 223,0 +shape (Rectangle +uid 224,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,44000,46000,45000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 225,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,44000,45800,45000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*72 (CommentText +uid 226,0 +shape (Rectangle +uid 227,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,46000,42000,47000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 228,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,46000,41400,47000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*73 (CommentText +uid 229,0 +shape (Rectangle +uid 230,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,46000,25000,47000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 231,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,46000,24800,47000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 232,0 +shape (Rectangle +uid 233,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "42000,45000,62000,49000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 234,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "42200,45200,55400,46200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 235,0 +shape (Rectangle +uid 236,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "46000,44000,62000,45000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 237,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "46200,44000,48000,45000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 238,0 +shape (Rectangle +uid 239,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,44000,42000,46000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 240,0 +va (VaSet +fg "32768,0,0" +) +xt "27000,44500,36000,45500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 241,0 +shape (Rectangle +uid 242,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,47000,25000,48000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 243,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,47000,24200,48000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 244,0 +shape (Rectangle +uid 245,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "21000,48000,25000,49000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 246,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "21200,48000,24800,49000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 247,0 +shape (Rectangle +uid 248,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "25000,47000,42000,48000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 249,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "25200,47000,35400,48000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 219,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "21000,44000,62000,49000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "32768,32768,32768" +) +packageList *80 (PackageList +uid 48,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 184,0 +va (VaSet +font "courier,12,1" +) +xt "-11000,0,-2200,1500" +st "Package List" +blo "-11000,1200" +) +*82 (MLText +uid 185,0 +va (VaSet +font "courier,12,0" +) +xt "-11000,1500,10700,8000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "165,37,1434,889" +viewArea "-12100,-1100,63500,50020" +cachedDiagramExtent "-11000,0,62000,49000" +hasePageBreakOrigin 1 +pageBreakOrigin "-11000,0" +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +bg "65280,65280,65280" +lineColor "0,16384,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,16384,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32768,0" +lineWidth 2 +) +xt "15000,6000,51000,26000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,12,1" +) +xt "30500,14400,36200,15900" +st "" +blo "30500,15600" +) +second (Text +va (VaSet +font "courier,12,1" +) +xt "30500,15900,34600,17400" +st "" +blo "30500,17100" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "0,12000,0,12000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 1,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,13000,-3600,14200" +st "Declarations" +blo "-11000,14000" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,14200,-7500,15400" +st "Ports:" +blo "-11000,15200" +) +externalLabel (Text +uid 4,0 +va (VaSet +font "courier,10,1" +) +xt "-11000,19000,-8000,20000" +st "User:" +blo "-11000,19800" +) +internalLabel (Text +uid 6,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "-11000,13000,-3200,14200" +st "Internal User:" +blo "-11000,14000" +) +externalText (MLText +uid 5,0 +va (VaSet +font "courier,8,0" +) +xt "-9000,20000,-9000,20000" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 7,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "-11000,13000,-11000,13000" +tm "SyDeclarativeTextMgr" +) +) +lastUid 397,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/reg@latch/symbol.sb b/Libs/Sequential/hds/reg@latch/symbol.sb new file mode 100644 index 0000000..b75dbae --- /dev/null +++ b/Libs/Sequential/hds/reg@latch/symbol.sb @@ -0,0 +1,1162 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2003,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 152,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "load" +t "std_ulogic" +o 2 +suid 2,0 +) +) +uid 153,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "signed" +b "(nbBits-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +uid 154,0 +) +*4 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "signed" +b "(nbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 155,0 +) +*5 (RefLabelRowHdr +) +*6 (TitleRowHdr +) +*7 (FilterRowHdr +) +*8 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*9 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*10 (GroupColHdr +tm "GroupColHdrMgr" +) +*11 (NameColHdr +tm "NameColHdrMgr" +) +*12 (ModeColHdr +tm "ModeColHdrMgr" +) +*13 (TypeColHdr +tm "TypeColHdrMgr" +) +*14 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*15 (InitColHdr +tm "InitColHdrMgr" +) +*16 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 156,0 +optionalChildren [ +*17 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *18 (MRCItem +litem &1 +pos 3 +dimension 20 +) +uid 91,0 +optionalChildren [ +*19 (MRCItem +litem &5 +pos 0 +dimension 20 +uid 94,0 +) +*20 (MRCItem +litem &6 +pos 1 +dimension 23 +uid 96,0 +) +*21 (MRCItem +litem &7 +pos 2 +hidden 1 +dimension 20 +uid 98,0 +) +*22 (MRCItem +litem &2 +pos 0 +dimension 20 +uid 117,0 +) +*23 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 118,0 +) +*24 (MRCItem +litem &4 +pos 2 +dimension 20 +uid 119,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 92,0 +optionalChildren [ +*25 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 100,0 +) +*26 (MRCItem +litem &10 +pos 1 +dimension 50 +uid 104,0 +) +*27 (MRCItem +litem &11 +pos 2 +dimension 100 +uid 106,0 +) +*28 (MRCItem +litem &12 +pos 3 +dimension 50 +uid 108,0 +) +*29 (MRCItem +litem &13 +pos 4 +dimension 100 +uid 110,0 +) +*30 (MRCItem +litem &14 +pos 5 +dimension 100 +uid 112,0 +) +*31 (MRCItem +litem &15 +pos 6 +dimension 50 +uid 114,0 +) +*32 (MRCItem +litem &16 +pos 7 +dimension 80 +uid 116,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 90,0 +vaOverrides [ +] +) +] +) +uid 151,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *33 (LEmptyRow +) +uid 158,0 +optionalChildren [ +*34 (RefLabelRowHdr +) +*35 (TitleRowHdr +) +*36 (FilterRowHdr +) +*37 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*38 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*39 (GroupColHdr +tm "GroupColHdrMgr" +) +*40 (NameColHdr +tm "GenericNameColHdrMgr" +) +*41 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*42 (InitColHdr +tm "GenericValueColHdrMgr" +) +*43 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*44 (EolColHdr +tm "GenericEolColHdrMgr" +) +*45 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 145,0 +) +*46 (LogGeneric +generic (GiElement +name "nbBits" +type "positive" +value "4" +) +uid 146,0 +) +] +) +pdm (PhysicalDM +uid 159,0 +optionalChildren [ +*47 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *48 (MRCItem +litem &33 +pos 2 +dimension 20 +) +uid 121,0 +optionalChildren [ +*49 (MRCItem +litem &34 +pos 0 +dimension 20 +uid 124,0 +) +*50 (MRCItem +litem &35 +pos 1 +dimension 23 +uid 126,0 +) +*51 (MRCItem +litem &36 +pos 2 +hidden 1 +dimension 20 +uid 128,0 +) +*52 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 147,0 +) +*53 (MRCItem +litem &46 +pos 1 +dimension 20 +uid 148,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 122,0 +optionalChildren [ +*54 (MRCItem +litem &37 +pos 0 +dimension 20 +uid 130,0 +) +*55 (MRCItem +litem &39 +pos 1 +dimension 50 +uid 134,0 +) +*56 (MRCItem +litem &40 +pos 2 +dimension 100 +uid 136,0 +) +*57 (MRCItem +litem &41 +pos 3 +dimension 100 +uid 138,0 +) +*58 (MRCItem +litem &42 +pos 4 +dimension 50 +uid 140,0 +) +*59 (MRCItem +litem &43 +pos 5 +dimension 50 +uid 142,0 +) +*60 (MRCItem +litem &44 +pos 6 +dimension 80 +uid 144,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 120,0 +vaOverrides [ +] +) +] +) +uid 157,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/reg@latch/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/reg@latch/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/reg@latch" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/regLatch" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "entity_name" +value "regLatch" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:18" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "regLatch" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/reg@latch/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/regLatch/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:18" +) +(vvPair +variable "unit" +value "regLatch" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*61 (SymbolBody +uid 8,0 +optionalChildren [ +*62 (CptPort +uid 62,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 83,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39625,250,40375,1000" +) +tg (CPTG +uid 64,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 65,0 +va (VaSet +font "courier,12,0" +) +xt "38000,1000,44000,2400" +st "dataOut" +blo "38000,2200" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 66,0 +va (VaSet +) +xt "2000,11400,28400,12400" +st "dataOut : OUT signed (nbBits-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "signed" +b "(nbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +) +*63 (CptPort +uid 68,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 69,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "33250,3625,34000,4375" +) +tg (CPTG +uid 70,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 71,0 +va (VaSet +font "courier,12,0" +) +xt "35000,3300,38500,4700" +st "load" +blo "35000,4500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 72,0 +va (VaSet +) +xt "2000,10400,20600,11400" +st "load : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "load" +t "std_ulogic" +o 2 +suid 2,0 +) +) +) +*64 (CptPort +uid 78,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 85,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "39625,7000,40375,7750" +) +tg (CPTG +uid 80,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 81,0 +va (VaSet +font "courier,12,0" +) +xt "38000,5600,43000,7000" +st "dataIn" +blo "38000,6800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +) +xt "2000,9400,29600,10400" +st "dataIn : IN signed (nbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "signed" +b "(nbBits-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +) +] +shape (Rectangle +uid 67,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34000,1000,46000,7000" +) +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "34910,7700,41510,8700" +st "sequential" +blo "34910,8500" +) +second (Text +uid 12,0 +va (VaSet +) +xt "34910,8700,39710,9700" +st "regLatch" +blo "34910,9500" +) +) +gi *65 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "35000,12200,51800,16200" +st "Generic Declarations + +delay time gateDelay +nbBits positive 4 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "nbBits" +type "positive" +value "4" +) +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *66 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*67 (Text +uid 149,0 +va (VaSet +font "courier,12,1" +) +xt "0,-2500,8800,-1000" +st "Package List" +blo "0,-1300" +) +*68 (MLText +uid 150,0 +va (VaSet +font "courier,12,0" +) +xt "0,-1000,21700,5500" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.ALL;" +tm "PackageList" +) +] +) +windowSize "7,40,1032,788" +viewArea "-700,-6400,47917,28187" +cachedDiagramExtent "0,-2500,49800,17000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,10,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26910,24700,30410,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *69 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *70 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,7000,7400,8200" +st "Declarations" +blo "0,8000" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,8200,3500,9400" +st "Ports:" +blo "0,9200" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,12400,3000,13400" +st "User:" +blo "0,13200" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,15100,7800,16300" +st "Internal User:" +blo "0,16100" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13400,2000,13400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,16800,2000,16800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 182,0 +) diff --git a/Libs/Sequential/hds/register@file/struct.bd b/Libs/Sequential/hds/register@file/struct.bd new file mode 100644 index 0000000..1445886 --- /dev/null +++ b/Libs/Sequential/hds/register@file/struct.bd @@ -0,0 +1,3140 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +] +instances [ +(Instance +name "I0" +duLibraryName "virtexPrimitives" +duName "RAMnXmD" +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +(GiElement +name "addressNbBits" +type "positive" +value "addressNbBits" +) +(GiElement +name "dataNbBits" +type "positive" +value "dataNbBits" +) +] +mwi 0 +uid 179,0 +) +] +libraryRefs [ +"ieee" +] +) +version "31.1" +appVersion "2018.1 (Build 12)" +noEmbeddedEditors 1 +model (BlockDiag +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@file/struct.bd.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@file/struct.bd.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "struct" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@file" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/registerFile" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "registerFile" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "struct.bd" +) +(vvPair +variable "f_logical" +value "struct.bd" +) +(vvPair +variable "f_noext" +value "struct" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:19" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "registerFile" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@file/struct.bd" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/registerFile/struct.bd" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "bd" +) +(vvPair +variable "this_file" +value "struct" +) +(vvPair +variable "this_file_logical" +value "struct" +) +(vvPair +variable "time" +value "13:46:19" +) +(vvPair +variable "unit" +value "registerFile" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "struct" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 41,0 +optionalChildren [ +*1 (PortIoIn +uid 53,0 +shape (CompositeShape +uid 293,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 294,0 +sl 0 +ro 270 +xt "39000,6625,40500,7375" +) +(Line +uid 295,0 +sl 0 +ro 270 +xt "40500,7000,41000,7000" +pts [ +"40500,7000" +"41000,7000" +] +) +] +) +tg (WTG +uid 296,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 297,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "9600,6300,38000,7700" +st "addressA : (addressNbBits-1 DOWNTO 0)" +ju 2 +blo "38000,7500" +tm "WireNameMgr" +) +s (Text +uid 298,0 +va (VaSet +font "courier,12,0" +) +xt "9600,7700,9600,7700" +ju 2 +blo "9600,7700" +tm "SignalTypeMgr" +) +) +) +*2 (Net +uid 65,0 +decl (Decl +n "addressA" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +declText (MLText +uid 66,0 +va (VaSet +font "courier,9,0" +) +xt "2000,8800,26500,9700" +st "addressA : unsigned(addressNbBits-1 DOWNTO 0)" +) +) +*3 (PortIoIn +uid 67,0 +shape (CompositeShape +uid 299,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 300,0 +sl 0 +ro 270 +xt "39000,8625,40500,9375" +) +(Line +uid 301,0 +sl 0 +ro 270 +xt "40500,9000,41000,9000" +pts [ +"40500,9000" +"41000,9000" +] +) +] +) +tg (WTG +uid 302,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 303,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "9600,8300,38000,9700" +st "addressB : (addressNbBits-1 DOWNTO 0)" +ju 2 +blo "38000,9500" +tm "WireNameMgr" +) +s (Text +uid 304,0 +va (VaSet +font "courier,12,0" +) +xt "9600,9700,9600,9700" +ju 2 +blo "9600,9700" +tm "SignalTypeMgr" +) +) +) +*4 (Net +uid 79,0 +decl (Decl +n "addressB" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +declText (MLText +uid 80,0 +va (VaSet +font "courier,9,0" +) +xt "2000,10000,26500,10900" +st "addressB : unsigned(addressNbBits-1 DOWNTO 0)" +) +) +*5 (PortIoIn +uid 81,0 +shape (CompositeShape +uid 305,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 306,0 +sl 0 +ro 270 +xt "39000,14625,40500,15375" +) +(Line +uid 307,0 +sl 0 +ro 270 +xt "40500,15000,41000,15000" +pts [ +"40500,15000" +"41000,15000" +] +) +] +) +tg (WTG +uid 308,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 309,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "34200,14300,38000,15700" +st "clock" +ju 2 +blo "38000,15500" +tm "WireNameMgr" +) +s (Text +uid 310,0 +va (VaSet +font "courier,12,0" +) +xt "34200,15700,34200,15700" +ju 2 +blo "34200,15700" +tm "SignalTypeMgr" +) +) +) +*6 (Net +uid 93,0 +decl (Decl +n "clock" +t "std_uLogic" +o 3 +suid 3,0 +) +declText (MLText +uid 94,0 +va (VaSet +font "courier,9,0" +) +xt "2000,11200,14500,12100" +st "clock : std_uLogic" +) +) +*7 (PortIoOut +uid 95,0 +shape (CompositeShape +uid 311,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 312,0 +sl 0 +ro 270 +xt "73500,6625,75000,7375" +) +(Line +uid 313,0 +sl 0 +ro 270 +xt "73000,7000,73500,7000" +pts [ +"73000,7000" +"73500,7000" +] +) +] +) +tg (WTG +uid 314,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 315,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "76000,6300,100000,7700" +st "dataA : (dataNbBits-1 DOWNTO 0)" +blo "76000,7500" +tm "WireNameMgr" +) +s (Text +uid 316,0 +va (VaSet +font "courier,12,0" +) +xt "76000,7700,76000,7700" +blo "76000,7700" +tm "SignalTypeMgr" +) +) +) +*8 (Net +uid 107,0 +decl (Decl +n "dataA" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 6 +suid 4,0 +) +declText (MLText +uid 108,0 +va (VaSet +font "courier,9,0" +) +xt "2000,14800,24000,15700" +st "dataA : signed(dataNbBits-1 DOWNTO 0)" +) +) +*9 (PortIoOut +uid 109,0 +shape (CompositeShape +uid 317,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 318,0 +sl 0 +ro 270 +xt "73500,8625,75000,9375" +) +(Line +uid 319,0 +sl 0 +ro 270 +xt "73000,9000,73500,9000" +pts [ +"73000,9000" +"73500,9000" +] +) +] +) +tg (WTG +uid 320,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 321,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "76000,8300,100000,9700" +st "dataB : (dataNbBits-1 DOWNTO 0)" +blo "76000,9500" +tm "WireNameMgr" +) +s (Text +uid 322,0 +va (VaSet +font "courier,12,0" +) +xt "76000,9700,76000,9700" +blo "76000,9700" +tm "SignalTypeMgr" +) +) +) +*10 (Net +uid 121,0 +decl (Decl +n "dataB" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 7 +suid 5,0 +) +declText (MLText +uid 122,0 +va (VaSet +font "courier,9,0" +) +xt "2000,16000,24000,16900" +st "dataB : signed(dataNbBits-1 DOWNTO 0)" +) +) +*11 (PortIoIn +uid 123,0 +shape (CompositeShape +uid 323,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 324,0 +sl 0 +ro 270 +xt "39000,4625,40500,5375" +) +(Line +uid 325,0 +sl 0 +ro 270 +xt "40500,5000,41000,5000" +pts [ +"40500,5000" +"41000,5000" +] +) +] +) +tg (WTG +uid 326,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 327,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "13500,4300,38000,5700" +st "dataIn : (dataNbBits-1 DOWNTO 0)" +ju 2 +blo "38000,5500" +tm "WireNameMgr" +) +s (Text +uid 328,0 +va (VaSet +font "courier,12,0" +) +xt "13500,5700,13500,5700" +ju 2 +blo "13500,5700" +tm "SignalTypeMgr" +) +) +) +*12 (Net +uid 135,0 +decl (Decl +n "dataIn" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 4 +suid 6,0 +) +declText (MLText +uid 136,0 +va (VaSet +font "courier,9,0" +) +xt "2000,12400,24000,13300" +st "dataIn : signed(dataNbBits-1 DOWNTO 0)" +) +) +*13 (PortIoIn +uid 137,0 +shape (CompositeShape +uid 329,0 +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +uid 330,0 +sl 0 +ro 270 +xt "39000,12625,40500,13375" +) +(Line +uid 331,0 +sl 0 +ro 270 +xt "40500,13000,41000,13000" +pts [ +"40500,13000" +"41000,13000" +] +) +] +) +tg (WTG +uid 332,0 +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 333,0 +va (VaSet +isHidden 1 +font "courier,12,0" +) +xt "28900,12300,38000,13700" +st "writeEnable" +ju 2 +blo "38000,13500" +tm "WireNameMgr" +) +s (Text +uid 334,0 +va (VaSet +font "courier,12,0" +) +xt "28900,13700,28900,13700" +ju 2 +blo "28900,13700" +tm "SignalTypeMgr" +) +) +) +*14 (Net +uid 149,0 +decl (Decl +n "writeEnable" +t "std_uLogic" +o 5 +suid 7,0 +) +declText (MLText +uid 150,0 +va (VaSet +font "courier,9,0" +) +xt "2000,13600,14500,14500" +st "writeEnable : std_uLogic" +) +) +*15 (SaComponent +uid 179,0 +optionalChildren [ +*16 (CptPort +uid 151,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 152,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,6625,65750,7375" +) +tg (CPTG +uid 153,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 154,0 +va (VaSet +font "courier,12,0" +) +xt "60600,6300,64000,7700" +st "SPO" +ju 2 +blo "64000,7500" +) +s (Text +uid 188,0 +va (VaSet +font "courier,12,0" +) +xt "64000,7700,64000,7700" +ju 2 +blo "0,-200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "SPO" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 6 +) +) +) +*17 (CptPort +uid 155,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 156,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48250,14625,49000,15375" +) +tg (CPTG +uid 157,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 158,0 +va (VaSet +font "courier,12,0" +) +xt "50000,14300,54500,15700" +st "WCLK" +blo "50000,15500" +) +s (Text +uid 189,0 +va (VaSet +font "courier,12,0" +) +xt "50000,15700,50000,15700" +blo "0,-200" +) +) +thePort (LogicalPort +decl (Decl +n "WCLK" +t "std_uLogic" +o 1 +) +) +) +*18 (CptPort +uid 159,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 160,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48250,4625,49000,5375" +) +tg (CPTG +uid 161,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 162,0 +va (VaSet +font "courier,12,0" +) +xt "50000,4300,51700,5700" +st "D" +blo "50000,5500" +) +s (Text +uid 190,0 +va (VaSet +font "courier,12,0" +) +xt "50000,5700,50000,5700" +blo "0,-200" +) +) +thePort (LogicalPort +decl (Decl +n "D" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 2 +) +) +) +*19 (CptPort +uid 163,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 164,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "65000,8625,65750,9375" +) +tg (CPTG +uid 165,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 166,0 +va (VaSet +font "courier,12,0" +) +xt "60500,8300,64000,9700" +st "DPO" +ju 2 +blo "64000,9500" +) +s (Text +uid 191,0 +va (VaSet +font "courier,12,0" +) +xt "64000,9700,64000,9700" +ju 2 +blo "0,-200" +) +) +thePort (LogicalPort +m 1 +decl (Decl +n "DPO" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 7 +) +) +) +*20 (CptPort +uid 167,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 168,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48250,12625,49000,13375" +) +tg (CPTG +uid 169,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 170,0 +va (VaSet +font "courier,12,0" +) +xt "50000,12300,52900,13700" +st "WE" +blo "50000,13500" +) +s (Text +uid 192,0 +va (VaSet +font "courier,12,0" +) +xt "50000,13700,50000,13700" +blo "0,-200" +) +) +thePort (LogicalPort +decl (Decl +n "WE" +t "std_uLogic" +o 5 +) +) +) +*21 (CptPort +uid 171,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 172,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48250,6625,49000,7375" +) +tg (CPTG +uid 173,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 174,0 +va (VaSet +font "courier,12,0" +) +xt "50000,6300,51600,7700" +st "A" +blo "50000,7500" +) +s (Text +uid 193,0 +va (VaSet +font "courier,12,0" +) +xt "50000,7700,50000,7700" +blo "0,-200" +) +) +thePort (LogicalPort +decl (Decl +n "A" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 3 +) +) +) +*22 (CptPort +uid 175,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 176,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "48250,8625,49000,9375" +) +tg (CPTG +uid 177,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 178,0 +va (VaSet +font "courier,12,0" +) +xt "50000,8300,54100,9700" +st "DPRA" +blo "50000,9500" +) +s (Text +uid 194,0 +va (VaSet +font "courier,12,0" +) +xt "50000,9700,50000,9700" +blo "0,-200" +) +) +thePort (LogicalPort +decl (Decl +n "DPRA" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 4 +) +) +) +] +shape (Rectangle +uid 180,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "49000,1000,65000,17000" +) +ttg (MlTextGroup +uid 181,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*23 (Text +uid 182,0 +va (VaSet +bg "0,0,0" +) +xt "48910,17700,54710,18700" +st "virtexPrimitives" +blo "48910,18500" +tm "BdLibraryNameMgr" +) +*24 (Text +uid 183,0 +va (VaSet +bg "0,0,0" +) +xt "48910,18700,53210,19700" +st "RAMnXmD" +blo "48910,19500" +tm "CptNameMgr" +) +*25 (Text +uid 184,0 +va (VaSet +bg "0,0,0" +) +xt "48910,19700,49910,20700" +st "I0" +blo "48910,20500" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +uid 185,0 +ps "EdgeToEdgeStrategy" +matrix (Matrix +uid 186,0 +text (MLText +uid 187,0 +va (VaSet +) +xt "49000,22400,77200,25400" +st "delay = 1 ns ( time ) +addressNbBits = addressNbBits ( positive ) +dataNbBits = dataNbBits ( positive ) " +) +header "" +) +elements [ +(GiElement +name "delay" +type "time" +value "1 ns" +) +(GiElement +name "addressNbBits" +type "positive" +value "addressNbBits" +) +(GiElement +name "dataNbBits" +type "positive" +value "dataNbBits" +) +] +) +portVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +archFileType "UNKNOWN" +) +*26 (Wire +uid 57,0 +shape (OrthoPolyLine +uid 58,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41000,7000,48250,7000" +pts [ +"41000,7000" +"48250,7000" +] +) +start &1 +end &21 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 61,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 62,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "41000,5600,47700,7000" +st "addressA" +blo "41000,6800" +tm "WireNameMgr" +) +) +on &2 +) +*27 (Wire +uid 71,0 +shape (OrthoPolyLine +uid 72,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41000,9000,48250,9000" +pts [ +"41000,9000" +"48250,9000" +] +) +start &3 +end &22 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 75,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 76,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "41000,7600,47700,9000" +st "addressB" +blo "41000,8800" +tm "WireNameMgr" +) +) +on &4 +) +*28 (Wire +uid 85,0 +shape (OrthoPolyLine +uid 86,0 +va (VaSet +vasetType 3 +) +xt "41000,15000,48250,15000" +pts [ +"41000,15000" +"48250,15000" +] +) +start &5 +end &17 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 89,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 90,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "41000,13600,44800,15000" +st "clock" +blo "41000,14800" +tm "WireNameMgr" +) +) +on &6 +) +*29 (Wire +uid 99,0 +shape (OrthoPolyLine +uid 100,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "65750,7000,73000,7000" +pts [ +"65750,7000" +"73000,7000" +] +) +start &16 +end &7 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 103,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 104,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "69000,5600,73500,7000" +st "dataA" +blo "69000,6800" +tm "WireNameMgr" +) +) +on &8 +) +*30 (Wire +uid 113,0 +shape (OrthoPolyLine +uid 114,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "65750,9000,73000,9000" +pts [ +"65750,9000" +"73000,9000" +] +) +start &19 +end &9 +ss 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 117,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 118,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "69000,7600,73500,9000" +st "dataB" +blo "69000,8800" +tm "WireNameMgr" +) +) +on &10 +) +*31 (Wire +uid 127,0 +shape (OrthoPolyLine +uid 128,0 +va (VaSet +vasetType 3 +lineWidth 2 +) +xt "41000,5000,48250,5000" +pts [ +"41000,5000" +"48250,5000" +] +) +start &11 +end &18 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 131,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 132,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "41000,3600,46000,5000" +st "dataIn" +blo "41000,4800" +tm "WireNameMgr" +) +) +on &12 +) +*32 (Wire +uid 141,0 +shape (OrthoPolyLine +uid 142,0 +va (VaSet +vasetType 3 +) +xt "41000,13000,48250,13000" +pts [ +"41000,13000" +"48250,13000" +] +) +start &13 +end &20 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +uid 145,0 +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +uid 146,0 +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "41000,11600,50100,13000" +st "writeEnable" +blo "41000,12800" +tm "WireNameMgr" +) +) +on &14 +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "26368,26368,26368" +) +packageList *33 (PackageList +uid 42,0 +stg "VerticalLayoutStrategy" +textVec [ +*34 (Text +uid 43,0 +va (VaSet +font "courier,12,0" +) +xt "0,0,9500,1400" +st "Package List" +blo "0,1200" +) +*35 (MLText +uid 44,0 +va (VaSet +) +xt "0,1400,18600,4400" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all;" +tm "PackageList" +) +] +) +compDirBlock (MlTextGroup +uid 45,0 +stg "VerticalLayoutStrategy" +textVec [ +*36 (Text +uid 46,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,0,34800,1400" +st "Compiler Directives" +blo "20000,1200" +) +*37 (Text +uid 47,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,1600,37800,3000" +st "Pre-module directives:" +blo "20000,2800" +) +*38 (MLText +uid 48,0 +va (VaSet +isHidden 1 +) +xt "20000,3200,32000,5200" +st "`resetall +`timescale 1ns/10ps" +tm "BdCompilerDirectivesTextMgr" +) +*39 (Text +uid 49,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,5800,38400,7200" +st "Post-module directives:" +blo "20000,7000" +) +*40 (MLText +uid 50,0 +va (VaSet +isHidden 1 +) +xt "20000,7400,20000,7400" +tm "BdCompilerDirectivesTextMgr" +) +*41 (Text +uid 51,0 +va (VaSet +isHidden 1 +font "courier,12,1" +) +xt "20000,7600,37900,9000" +st "End-module directives:" +blo "20000,8800" +) +*42 (MLText +uid 52,0 +va (VaSet +isHidden 1 +) +xt "20000,1400,20000,1400" +tm "BdCompilerDirectivesTextMgr" +) +] +associable 1 +) +windowSize "38,21,947,714" +viewArea "-1303,-10162,79572,36225" +cachedDiagramExtent "0,0,0,0" +pageBreakOrigin "0,0" +lastUid 386,0 +defaultCommentText (CommentText +shape (Rectangle +layer 0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "65535,0,0" +bg "65535,0,0" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4600 +visibleWidth 14600 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +bg "0,0,0" +font "courier,10,1" +) +xt "1000,1000,5000,2200" +st "Panel0" +blo "1000,2000" +tm "PanelText" +) +) +) +defaultBlk (Blk +shape (Rectangle +va (VaSet +vasetType 1 +fg "40000,56832,65535" +bg "40000,56832,65535" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*43 (Text +va (VaSet +bg "0,0,0" +) +xt "1700,3000,5200,4000" +st "" +blo "1700,3800" +tm "BdLibraryNameMgr" +) +*44 (Text +va (VaSet +bg "0,0,0" +) +xt "1700,4000,4800,5000" +st "" +blo "1700,4800" +tm "BlkNameMgr" +) +*45 (Text +va (VaSet +bg "0,0,0" +) +xt "1700,5000,2700,6000" +st "I0" +blo "1700,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +bg "0,0,0" +) +xt "1700,13000,1700,13000" +) +header "" +) +elements [ +] +) +) +defaultMWComponent (MWC +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +) +xt "-600,0,8600,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*46 (Text +va (VaSet +bg "0,0,0" +) +xt "-100,3000,2600,4000" +st "Library" +blo "-100,3800" +) +*47 (Text +va (VaSet +bg "0,0,0" +) +xt "-100,4000,5900,5000" +st "MWComponent" +blo "-100,4800" +) +*48 (Text +va (VaSet +bg "0,0,0" +) +xt "-100,5000,900,6000" +st "I0" +blo "-100,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +bg "0,0,0" +) +xt "-7100,1000,-7100,1000" +) +header "" +) +elements [ +] +) +prms (Property +pclass "params" +pname "params" +ptn "String" +) +visOptions (mwParamsVisibilityOptions +) +) +defaultSaComponent (SaComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +) +xt "-350,0,8350,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*49 (Text +va (VaSet +bg "0,0,0" +) +xt "150,3000,2850,4000" +st "Library" +blo "150,3800" +tm "BdLibraryNameMgr" +) +*50 (Text +va (VaSet +bg "0,0,0" +) +xt "150,4000,5650,5000" +st "SaComponent" +blo "150,4800" +tm "CptNameMgr" +) +*51 (Text +va (VaSet +bg "0,0,0" +) +xt "150,5000,1150,6000" +st "I0" +blo "150,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +bg "0,0,0" +) +xt "-6850,1000,-6850,1000" +) +header "" +) +elements [ +] +) +archFileType "UNKNOWN" +) +defaultVhdlComponent (VhdlComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +) +xt "-850,0,8850,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*52 (Text +va (VaSet +bg "0,0,0" +) +xt "-350,3000,2350,4000" +st "Library" +blo "-350,3800" +) +*53 (Text +va (VaSet +bg "0,0,0" +) +xt "-350,4000,5750,5000" +st "VhdlComponent" +blo "-350,4800" +) +*54 (Text +va (VaSet +bg "0,0,0" +) +xt "-350,5000,650,6000" +st "I0" +blo "-350,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +bg "0,0,0" +) +xt "-7350,1000,-7350,1000" +) +header "" +) +elements [ +] +) +entityPath "" +archName "" +archPath "" +) +defaultVerilogComponent (VerilogComponent +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +) +xt "-1500,0,9500,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*55 (Text +va (VaSet +bg "0,0,0" +) +xt "-1000,3000,1700,4000" +st "Library" +blo "-1000,3800" +) +*56 (Text +va (VaSet +bg "0,0,0" +) +xt "-1000,4000,6100,5000" +st "VerilogComponent" +blo "-1000,4800" +) +*57 (Text +va (VaSet +bg "0,0,0" +) +xt "-1000,5000,0,6000" +st "I0" +blo "-1000,5800" +tm "InstanceNameMgr" +) +] +) +ga (GenericAssociation +ps "EdgeToEdgeStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +bg "0,0,0" +) +xt "-8000,1000,-8000,1000" +) +header "" +) +elements [ +] +) +entityPath "" +) +defaultHdlText (HdlText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,32768" +bg "65535,65535,32768" +) +xt "0,0,8000,10000" +) +ttg (MlTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*58 (Text +va (VaSet +bg "0,0,0" +) +xt "3050,3700,4650,4700" +st "eb1" +blo "3050,4500" +tm "HdlTextNameMgr" +) +*59 (Text +va (VaSet +bg "0,0,0" +) +xt "3050,4700,3850,5700" +st "1" +blo "3050,5500" +tm "HdlTextNumberMgr" +) +] +) +) +defaultEmbeddedText (EmbeddedText +commentText (CommentText +ps "CenterOffsetStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +) +xt "0,0,18000,5000" +) +text (MLText +va (VaSet +bg "0,0,0" +font "courier,9,0" +) +xt "200,200,2200,1100" +st " +Text +" +tm "HdlTextMgr" +wrapOption 3 +visibleHeight 4600 +visibleWidth 17600 +) +) +) +defaultGlobalConnector (GlobalConnector +shape (Circle +va (VaSet +vasetType 1 +fg "65535,65535,0" +bg "65535,65535,0" +) +xt "-1000,-1000,1000,1000" +radius 1000 +) +name (Text +va (VaSet +bg "0,0,0" +) +xt "-400,-600,600,400" +st "G" +blo "-400,200" +) +) +defaultRipper (Ripper +ps "OnConnectorStrategy" +shape (Line2D +pts [ +"0,0" +"1000,1000" +] +va (VaSet +vasetType 1 +) +xt "0,0,1000,1000" +) +) +defaultBdJunction (BdJunction +ps "OnConnectorStrategy" +shape (Circle +va (VaSet +vasetType 1 +) +xt "-400,-400,400,400" +radius 400 +) +) +defaultPortIoIn (PortIoIn +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "-2000,-375,-500,375" +) +(Line +sl 0 +ro 270 +xt "-500,0,0,0" +pts [ +"-500,0" +"0,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "-3000,-500,-3000,-500" +ju 2 +blo "-3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "-3000,-500,-3000,-500" +ju 2 +blo "-3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoOut (PortIoOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Pentagon +sl 0 +ro 270 +xt "500,-375,2000,375" +) +(Line +sl 0 +ro 270 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoInOut (PortIoInOut +shape (CompositeShape +va (VaSet +vasetType 1 +fg "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultPortIoBuffer (PortIoBuffer +shape (CompositeShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +optionalChildren [ +(Hexagon +sl 0 +xt "500,-375,2000,375" +) +(Line +sl 0 +xt "0,0,500,0" +pts [ +"0,0" +"500,0" +] +) +] +) +tg (WTG +ps "PortIoTextPlaceStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +isHidden 1 +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "WireNameMgr" +) +s (Text +va (VaSet +) +xt "3000,-500,3000,-500" +blo "3000,-500" +tm "SignalTypeMgr" +) +) +) +defaultSignal (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,0,3400,1400" +st "sig0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBus (Wire +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineWidth 2 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +sty 1 +stc 0 +st 0 +sf 1 +si 0 +tg (WTG +ps "ConnStartEndStrategy" +stg "STSignalDisplayStrategy" +f (Text +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,0,4700,1400" +st "dbus0" +blo "0,1200" +tm "WireNameMgr" +) +) +) +defaultBundle (Bundle +shape (OrthoPolyLine +va (VaSet +vasetType 3 +lineStyle 3 +lineWidth 1 +) +pts [ +"0,0" +"0,0" +] +) +ss 0 +es 0 +sat 32 +eat 32 +textGroup (BiTextGroup +ps "ConnStartEndStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +bg "0,0,0" +) +xt "0,0,3000,1000" +st "bundle0" +blo "0,800" +tm "BundleNameMgr" +) +second (MLText +va (VaSet +bg "0,0,0" +) +xt "0,1000,1200,2000" +st "()" +tm "BundleContentsMgr" +) +) +bundleNet &0 +) +defaultPortMapFrame (PortMapFrame +ps "PortMapFrameStrategy" +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,50000" +lineWidth 2 +) +xt "0,0,10000,12000" +) +portMapText (BiTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +first (MLText +va (VaSet +bg "0,0,0" +) +xt "0,0,5400,1000" +st "Auto list" +) +second (MLText +va (VaSet +bg "0,0,0" +) +xt "0,1000,10800,2000" +st "User defined list" +tm "PortMapTextMgr" +) +) +) +defaultGenFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 2 +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +bg "0,0,0" +) +xt "0,-1300,17400,-300" +st "g0: FOR i IN 0 TO n GENERATE" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1150,1650" +) +num (Text +va (VaSet +bg "0,0,0" +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*60 (Text +va (VaSet +bg "0,0,0" +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*61 (MLText +va (VaSet +bg "0,0,0" +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +) +defaultBlockFrame (Frame +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "28160,28160,28160" +lineStyle 1 +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (MLText +va (VaSet +bg "0,0,0" +) +xt "0,-1300,10800,-300" +st "b0: BLOCK (guard)" +tm "FrameTitleTextMgr" +) +) +seqNum (FrameSequenceNumber +ps "TopLeftStrategy" +shape (Rectangle +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "50,50,1150,1650" +) +num (Text +va (VaSet +bg "0,0,0" +) +xt "250,250,1050,1250" +st "1" +blo "250,1050" +tm "FrameSeqNumMgr" +) +) +decls (MlTextGroup +ps "BottomRightOffsetStrategy" +stg "VerticalLayoutStrategy" +textVec [ +*62 (Text +va (VaSet +bg "0,0,0" +font "courier,9,1" +) +xt "11800,20000,22600,21200" +st "Frame Declarations" +blo "11800,21000" +) +*63 (MLText +va (VaSet +bg "0,0,0" +) +xt "11800,21200,11800,21200" +tm "BdFrameDeclTextMgr" +) +] +) +style 3 +) +defaultSaCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +bg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,750,3400,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultSaCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +bg "0,0,0" +font "courier,12,0" +) +xt "0,750,3400,2150" +st "Port" +blo "0,1950" +) +) +thePort (LogicalPort +m 3 +decl (Decl +n "Port" +t "" +o 0 +) +) +) +defaultDeclText (MLText +va (VaSet +font "courier,9,0" +) +) +archDeclarativeBlock (BdArchDeclBlock +uid 1,0 +stg "BdArchDeclBlockLS" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,6400,8600,7600" +st "Declarations" +blo "0,7400" +) +portLabel (Text +uid 3,0 +va (VaSet +font "courier,10,1" +) +xt "0,7600,4200,8800" +st "Ports:" +blo "0,8600" +) +preUserLabel (Text +uid 4,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,6400,6000,7600" +st "Pre User:" +blo "0,7400" +) +preUserText (MLText +uid 5,0 +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "0,6400,0,6400" +tm "BdDeclarativeTextMgr" +) +diagSignalLabel (Text +uid 6,0 +va (VaSet +font "courier,10,1" +) +xt "0,17200,11000,18400" +st "Diagram Signals:" +blo "0,18200" +) +postUserLabel (Text +uid 7,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,6400,7300,7600" +st "Post User:" +blo "0,7400" +) +postUserText (MLText +uid 8,0 +va (VaSet +isHidden 1 +font "courier,9,0" +) +xt "0,6400,0,6400" +tm "BdDeclarativeTextMgr" +) +) +commonDM (CommonDM +ldm (LogicalDM +suid 7,0 +usingSuid 1 +emptyRow *64 (LEmptyRow +) +uid 238,0 +optionalChildren [ +*65 (RefLabelRowHdr +) +*66 (TitleRowHdr +) +*67 (FilterRowHdr +) +*68 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*69 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*70 (GroupColHdr +tm "GroupColHdrMgr" +) +*71 (NameColHdr +tm "BlockDiagramNameColHdrMgr" +) +*72 (ModeColHdr +tm "BlockDiagramModeColHdrMgr" +) +*73 (TypeColHdr +tm "BlockDiagramTypeColHdrMgr" +) +*74 (BoundsColHdr +tm "BlockDiagramBoundsColHdrMgr" +) +*75 (InitColHdr +tm "BlockDiagramInitColHdrMgr" +) +*76 (EolColHdr +tm "BlockDiagramEolColHdrMgr" +) +*77 (LeafLogPort +port (LogicalPort +decl (Decl +n "addressA" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 1 +suid 1,0 +) +) +uid 223,0 +) +*78 (LeafLogPort +port (LogicalPort +decl (Decl +n "addressB" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 2 +suid 2,0 +) +) +uid 225,0 +) +*79 (LeafLogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 3 +suid 3,0 +) +) +uid 227,0 +) +*80 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "dataA" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 6 +suid 4,0 +) +) +uid 229,0 +) +*81 (LeafLogPort +port (LogicalPort +m 1 +decl (Decl +n "dataB" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 7 +suid 5,0 +) +) +uid 231,0 +) +*82 (LeafLogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 4 +suid 6,0 +) +) +uid 233,0 +) +*83 (LeafLogPort +port (LogicalPort +decl (Decl +n "writeEnable" +t "std_uLogic" +o 5 +suid 7,0 +) +) +uid 235,0 +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 251,0 +optionalChildren [ +*84 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *85 (MRCItem +litem &64 +pos 3 +dimension 20 +) +uid 253,0 +optionalChildren [ +*86 (MRCItem +litem &65 +pos 0 +dimension 20 +uid 254,0 +) +*87 (MRCItem +litem &66 +pos 1 +dimension 23 +uid 255,0 +) +*88 (MRCItem +litem &67 +pos 2 +hidden 1 +dimension 20 +uid 256,0 +) +*89 (MRCItem +litem &77 +pos 0 +dimension 20 +uid 224,0 +) +*90 (MRCItem +litem &78 +pos 1 +dimension 20 +uid 226,0 +) +*91 (MRCItem +litem &79 +pos 2 +dimension 20 +uid 228,0 +) +*92 (MRCItem +litem &80 +pos 3 +dimension 20 +uid 230,0 +) +*93 (MRCItem +litem &81 +pos 4 +dimension 20 +uid 232,0 +) +*94 (MRCItem +litem &82 +pos 5 +dimension 20 +uid 234,0 +) +*95 (MRCItem +litem &83 +pos 6 +dimension 20 +uid 236,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 257,0 +optionalChildren [ +*96 (MRCItem +litem &68 +pos 0 +dimension 20 +uid 258,0 +) +*97 (MRCItem +litem &70 +pos 1 +dimension 50 +uid 259,0 +) +*98 (MRCItem +litem &71 +pos 2 +dimension 100 +uid 260,0 +) +*99 (MRCItem +litem &72 +pos 3 +dimension 50 +uid 261,0 +) +*100 (MRCItem +litem &73 +pos 4 +dimension 100 +uid 262,0 +) +*101 (MRCItem +litem &74 +pos 5 +dimension 100 +uid 263,0 +) +*102 (MRCItem +litem &75 +pos 6 +dimension 50 +uid 264,0 +) +*103 (MRCItem +litem &76 +pos 7 +dimension 80 +uid 265,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 252,0 +vaOverrides [ +] +) +] +) +uid 237,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *104 (LEmptyRow +) +uid 267,0 +optionalChildren [ +*105 (RefLabelRowHdr +) +*106 (TitleRowHdr +) +*107 (FilterRowHdr +) +*108 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*109 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*110 (GroupColHdr +tm "GroupColHdrMgr" +) +*111 (NameColHdr +tm "GenericNameColHdrMgr" +) +*112 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*113 (InitColHdr +tm "GenericValueColHdrMgr" +) +*114 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*115 (EolColHdr +tm "GenericEolColHdrMgr" +) +*116 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 336,0 +) +*117 (LogGeneric +generic (GiElement +name "addressNbBits" +type "positive" +value "4" +) +uid 338,0 +) +*118 (LogGeneric +generic (GiElement +name "dataNbBits" +type "positive" +value "8" +) +uid 340,0 +) +] +) +pdm (PhysicalDM +uid 279,0 +optionalChildren [ +*119 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *120 (MRCItem +litem &104 +pos 3 +dimension 20 +) +uid 281,0 +optionalChildren [ +*121 (MRCItem +litem &105 +pos 0 +dimension 20 +uid 282,0 +) +*122 (MRCItem +litem &106 +pos 1 +dimension 23 +uid 283,0 +) +*123 (MRCItem +litem &107 +pos 2 +hidden 1 +dimension 20 +uid 284,0 +) +*124 (MRCItem +litem &116 +pos 0 +dimension 20 +uid 335,0 +) +*125 (MRCItem +litem &117 +pos 1 +dimension 20 +uid 337,0 +) +*126 (MRCItem +litem &118 +pos 2 +dimension 20 +uid 339,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 285,0 +optionalChildren [ +*127 (MRCItem +litem &108 +pos 0 +dimension 20 +uid 286,0 +) +*128 (MRCItem +litem &110 +pos 1 +dimension 50 +uid 287,0 +) +*129 (MRCItem +litem &111 +pos 2 +dimension 100 +uid 288,0 +) +*130 (MRCItem +litem &112 +pos 3 +dimension 100 +uid 289,0 +) +*131 (MRCItem +litem &113 +pos 4 +dimension 50 +uid 290,0 +) +*132 (MRCItem +litem &114 +pos 5 +dimension 50 +uid 291,0 +) +*133 (MRCItem +litem &115 +pos 6 +dimension 80 +uid 292,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 280,0 +vaOverrides [ +] +) +] +) +uid 266,0 +type 1 +) +) diff --git a/Libs/Sequential/hds/register@file/symbol.sb b/Libs/Sequential/hds/register@file/symbol.sb new file mode 100644 index 0000000..558e094 --- /dev/null +++ b/Libs/Sequential/hds/register@file/symbol.sb @@ -0,0 +1,1467 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +itemName "ALL" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2007,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 194,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataA" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 6 +suid 1,0 +) +) +uid 195,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "addressB" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 2 +suid 7,0 +) +) +uid 196,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +uid 197,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 3 +suid 2,0 +) +) +uid 198,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "writeEnable" +t "std_uLogic" +o 5 +suid 5,0 +) +) +uid 199,0 +) +*7 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataB" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 7 +suid 4,0 +) +) +uid 200,0 +) +*8 (LogPort +port (LogicalPort +decl (Decl +n "addressA" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 1 +suid 6,0 +) +) +uid 201,0 +) +*9 (RefLabelRowHdr +) +*10 (TitleRowHdr +) +*11 (FilterRowHdr +) +*12 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*13 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*14 (GroupColHdr +tm "GroupColHdrMgr" +) +*15 (NameColHdr +tm "NameColHdrMgr" +) +*16 (ModeColHdr +tm "ModeColHdrMgr" +) +*17 (TypeColHdr +tm "TypeColHdrMgr" +) +*18 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*19 (InitColHdr +tm "InitColHdrMgr" +) +*20 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +displayShortBounds 1 +editShortBounds 1 +uid 202,0 +optionalChildren [ +*21 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *22 (MRCItem +litem &1 +pos 7 +dimension 20 +) +uid 129,0 +optionalChildren [ +*23 (MRCItem +litem &9 +pos 0 +dimension 20 +uid 132,0 +) +*24 (MRCItem +litem &10 +pos 1 +dimension 23 +uid 134,0 +) +*25 (MRCItem +litem &11 +pos 2 +hidden 1 +dimension 20 +uid 136,0 +) +*26 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 155,0 +) +*27 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 156,0 +) +*28 (MRCItem +litem &4 +pos 5 +dimension 20 +uid 157,0 +) +*29 (MRCItem +litem &5 +pos 2 +dimension 20 +uid 158,0 +) +*30 (MRCItem +litem &6 +pos 6 +dimension 20 +uid 159,0 +) +*31 (MRCItem +litem &7 +pos 4 +dimension 20 +uid 160,0 +) +*32 (MRCItem +litem &8 +pos 0 +dimension 20 +uid 161,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 130,0 +optionalChildren [ +*33 (MRCItem +litem &12 +pos 0 +dimension 20 +uid 138,0 +) +*34 (MRCItem +litem &14 +pos 1 +dimension 50 +uid 142,0 +) +*35 (MRCItem +litem &15 +pos 2 +dimension 100 +uid 144,0 +) +*36 (MRCItem +litem &16 +pos 3 +dimension 50 +uid 146,0 +) +*37 (MRCItem +litem &17 +pos 4 +dimension 100 +uid 148,0 +) +*38 (MRCItem +litem &18 +pos 5 +dimension 100 +uid 150,0 +) +*39 (MRCItem +litem &19 +pos 6 +dimension 50 +uid 152,0 +) +*40 (MRCItem +litem &20 +pos 7 +dimension 80 +uid 154,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 128,0 +vaOverrides [ +] +) +] +) +uid 193,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *41 (LEmptyRow +) +uid 204,0 +optionalChildren [ +*42 (RefLabelRowHdr +) +*43 (TitleRowHdr +) +*44 (FilterRowHdr +) +*45 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*46 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*47 (GroupColHdr +tm "GroupColHdrMgr" +) +*48 (NameColHdr +tm "GenericNameColHdrMgr" +) +*49 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*50 (InitColHdr +tm "GenericValueColHdrMgr" +) +*51 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*52 (EolColHdr +tm "GenericEolColHdrMgr" +) +*53 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 187,0 +) +*54 (LogGeneric +generic (GiElement +name "addressNbBits" +type "positive" +value "4" +) +uid 188,0 +) +*55 (LogGeneric +generic (GiElement +name "dataNbBits" +type "positive" +value "8" +) +uid 189,0 +) +] +) +pdm (PhysicalDM +uid 205,0 +optionalChildren [ +*56 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *57 (MRCItem +litem &41 +pos 3 +dimension 20 +) +uid 163,0 +optionalChildren [ +*58 (MRCItem +litem &42 +pos 0 +dimension 20 +uid 166,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 23 +uid 168,0 +) +*60 (MRCItem +litem &44 +pos 2 +hidden 1 +dimension 20 +uid 170,0 +) +*61 (MRCItem +litem &53 +pos 0 +dimension 20 +uid 190,0 +) +*62 (MRCItem +litem &54 +pos 1 +dimension 20 +uid 191,0 +) +*63 (MRCItem +litem &55 +pos 2 +dimension 20 +uid 192,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 164,0 +optionalChildren [ +*64 (MRCItem +litem &45 +pos 0 +dimension 20 +uid 172,0 +) +*65 (MRCItem +litem &47 +pos 1 +dimension 50 +uid 176,0 +) +*66 (MRCItem +litem &48 +pos 2 +dimension 100 +uid 178,0 +) +*67 (MRCItem +litem &49 +pos 3 +dimension 100 +uid 180,0 +) +*68 (MRCItem +litem &50 +pos 4 +dimension 50 +uid 182,0 +) +*69 (MRCItem +litem &51 +pos 5 +dimension 50 +uid 184,0 +) +*70 (MRCItem +litem &52 +pos 6 +dimension 80 +uid 186,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 162,0 +vaOverrides [ +] +) +] +) +uid 203,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@file/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@file/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@file" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/registerFile" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "registerFile" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:18" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "registerFile" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@file/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/registerFile/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:18" +) +(vvPair +variable "unit" +value "registerFile" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*71 (SymbolBody +uid 8,0 +optionalChildren [ +*72 (CptPort +uid 62,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 83,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "49625,-4750,50375,-4000" +) +tg (CPTG +uid 64,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 65,0 +va (VaSet +font "courier,12,0" +) +xt "48000,-4000,52500,-2600" +st "dataA" +blo "48000,-2800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 66,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13900,29000,14800" +st "dataA : OUT signed (dataNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataA" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 6 +suid 1,0 +) +) +) +*73 (CptPort +uid 68,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 69,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,9625,42000,10375" +) +tg (CPTG +uid 70,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 71,0 +va (VaSet +font "courier,12,0" +) +xt "43000,9300,46800,10700" +st "clock" +blo "43000,10500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 72,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11200,19500,12100" +st "clock : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_uLogic" +o 3 +suid 2,0 +) +) +) +*74 (CptPort +uid 78,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 85,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54625,12000,55375,12750" +) +tg (CPTG +uid 80,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 81,0 +va (VaSet +font "courier,12,0" +) +xt "53000,10600,58000,12000" +st "dataIn" +blo "53000,11800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12100,29000,13000" +st "dataIn : IN signed (dataNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 4 +suid 3,0 +) +) +) +*75 (CptPort +uid 90,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 91,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "57625,-4750,58375,-4000" +) +tg (CPTG +uid 92,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 93,0 +va (VaSet +font "courier,12,0" +) +xt "56000,-4000,60500,-2600" +st "dataB" +blo "56000,-2800" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 95,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14800,28000,15700" +st "dataB : OUT signed (dataNbBits-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataB" +t "signed" +b "(dataNbBits-1 DOWNTO 0)" +o 7 +suid 4,0 +) +) +) +*76 (CptPort +uid 96,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 97,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,7625,42000,8375" +) +tg (CPTG +uid 98,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 99,0 +va (VaSet +font "courier,12,0" +) +xt "43000,7300,52100,8700" +st "writeEnable" +blo "43000,8500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 101,0 +va (VaSet +font "courier,8,0" +) +xt "2000,13000,19500,13900" +st "writeEnable : IN std_uLogic ;" +) +thePort (LogicalPort +decl (Decl +n "writeEnable" +t "std_uLogic" +o 5 +suid 5,0 +) +) +) +*77 (CptPort +uid 114,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 115,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "41250,-375,42000,375" +) +tg (CPTG +uid 116,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 117,0 +va (VaSet +font "courier,12,0" +) +xt "43000,-700,49700,700" +st "addressA" +blo "43000,500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 119,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9400,31500,10300" +st "addressA : IN unsigned (addressNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "addressA" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 1 +suid 6,0 +) +) +) +*78 (CptPort +uid 120,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 121,0 +ro 270 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "66000,-375,66750,375" +) +tg (CPTG +uid 122,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 123,0 +va (VaSet +font "courier,12,0" +) +xt "58300,-700,65000,700" +st "addressB" +ju 2 +blo "65000,500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 125,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10300,31500,11200" +st "addressB : IN unsigned (addressNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "addressB" +t "unsigned" +b "(addressNbBits-1 DOWNTO 0)" +o 2 +suid 7,0 +) +) +) +] +shape (Rectangle +uid 67,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "42000,-4000,66000,12000" +) +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +) +xt "41910,12700,48510,13700" +st "sequential" +blo "41910,13500" +) +second (Text +uid 12,0 +va (VaSet +) +xt "41910,13700,49710,14700" +st "registerFile" +blo "41910,14500" +) +) +gi *79 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +) +xt "42000,17000,63000,22000" +st "Generic Declarations + +delay time gateDelay +addressNbBits positive 4 +dataNbBits positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "addressNbBits" +type "positive" +value "4" +) +(GiElement +name "dataNbBits" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +sF 0 +) +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *80 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*81 (Text +uid 126,0 +va (VaSet +font "courier,10,1" +) +xt "0,-2500,7600,-1300" +st "Package List" +blo "0,-1500" +) +*82 (MLText +uid 127,0 +va (VaSet +) +xt "0,-1300,18600,3700" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.ALL;" +tm "PackageList" +) +] +) +windowSize "7,40,1033,788" +viewArea "-21282,-10800,47346,37962" +cachedDiagramExtent "0,-4750,66750,23000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-5000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 2 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,9,0" +) +xt "1000,1000,4500,2200" +st "Panel0" +blo "1000,1900" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +) +xt "26910,24700,30410,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *83 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *84 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,7000,8600,8200" +st "Declarations" +blo "0,8000" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,8200,4200,9400" +st "Ports:" +blo "0,9200" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,10,1" +) +xt "0,15700,3000,16700" +st "User:" +blo "0,16500" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,10,1" +) +xt "0,15100,9400,16300" +st "Internal User:" +blo "0,16100" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,16700,2000,16700" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,16800,2000,16800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 274,0 +okToSyncOnLoad 1 +OkToSyncGenericsOnLoad 1 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/register@logic@vector/symbol.sb b/Libs/Sequential/hds/register@logic@vector/symbol.sb new file mode 100644 index 0000000..b109da2 --- /dev/null +++ b/Libs/Sequential/hds/register@logic@vector/symbol.sb @@ -0,0 +1,1658 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 173,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 174,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 175,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_logic_vector" +b "(registerNbBits-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 176,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(registerNbBits-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 177,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 178,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 179,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 112,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 115,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 117,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 119,0 +) +*24 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 138,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 139,0 +) +*26 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 140,0 +) +*27 (MRCItem +litem &5 +pos 2 +dimension 20 +uid 141,0 +) +*28 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 142,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 113,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 121,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 125,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 127,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 129,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 131,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 133,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 135,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 137,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 111,0 +vaOverrides [ +] +) +] +) +uid 172,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 181,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 168,0 +) +*50 (LogGeneric +generic (GiElement +name "registerNbBits" +type "positive" +value "8" +) +uid 169,0 +) +] +) +pdm (PhysicalDM +uid 182,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 144,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 147,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 149,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 151,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 170,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 171,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 145,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 153,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 157,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 159,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 161,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 163,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 165,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 167,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 143,0 +vaOverrides [ +] +) +] +) +uid 180,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@logic@vector\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@logic@vector\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@logic@vector" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\registerLogicVector" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "registerLogicVector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:46:17" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Sequential/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "registerLogicVector" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@logic@vector\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\registerLogicVector\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ADMS" +value "" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC" +value "" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:46:17" +) +(vvPair +variable "unit" +value "registerLogicVector" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 68,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 69,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,13625,38000,14375" +) +tg (CPTG +uid 70,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 71,0 +va (VaSet +) +xt "39000,13500,42400,14700" +st "clock" +blo "39000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 72,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9000,14500,10000" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*67 (CptPort +uid 78,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 85,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,7625,38000,8375" +) +tg (CPTG +uid 80,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 81,0 +va (VaSet +) +xt "39000,7400,43000,8600" +st "dataIn" +blo "39000,8400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9900,29800,10900" +st "dataIn : IN std_logic_vector (registerNbBits-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_logic_vector" +b "(registerNbBits-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*68 (CptPort +uid 92,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 104,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,7625,54750,8375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 95,0 +va (VaSet +) +xt "48200,7400,53000,8600" +st "dataOut" +ju 2 +blo "53000,8400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,29900,13600" +st "dataOut : OUT std_logic_vector (registerNbBits-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_logic_vector" +b "(registerNbBits-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 98,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,11625,38000,12375" +) +tg (CPTG +uid 100,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 101,0 +va (VaSet +) +xt "39000,11500,43000,12700" +st "enable" +blo "39000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,14900,11800" +st "enable : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 105,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 106,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,15625,38000,16375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 108,0 +va (VaSet +) +xt "39000,15500,42300,16700" +st "reset" +blo "39000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 110,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,14500,12700" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 67,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,4000,54000,18000" +) +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "37910,17700,43910,18700" +st "sequential" +blo "37910,18500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "37910,18700,48210,19700" +st "registerLogicVector" +blo "37910,19500" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "38000,20800,52700,24800" +st "Generic Declarations + +delay time gateDelay +registerNbBits positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "registerNbBits" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*72 (Grouping +uid 206,0 +optionalChildren [ +*73 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,41000,57000,42000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 213,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,41500,53200,41500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,43000,53000,44000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,43500,36200,43500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 217,0 +shape (Rectangle +uid 218,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,36000,44000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 219,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,43500,32200,43500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,73000,46000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42200,67300,43400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 223,0 +shape (Rectangle +uid 224,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,41000,73000,42000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 225,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,41500,57200,41500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 226,0 +shape (Rectangle +uid 227,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,53000,43000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 228,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,41400,47650,42600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 229,0 +shape (Rectangle +uid 230,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 231,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 232,0 +shape (Rectangle +uid 233,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 234,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 235,0 +shape (Rectangle +uid 236,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 237,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 207,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,41000,73000,46000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *83 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 90,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-3000,6900,-2000" +st "Package List" +blo "0,-2200" +) +*85 (MLText +uid 91,0 +va (VaSet +) +xt "0,-2000,17500,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "-71,113,1189,968" +viewArea "31344,6572,56030,23963" +cachedDiagramExtent "0,-3000,73000,46000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "750,1000,3550,2000" +st "Panel0" +blo "750,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7000,7000,8000" +st "Declarations" +blo "0,7800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8000,3400,9000" +st "Ports:" +blo "0,8800" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,13500,3000,14500" +st "User:" +blo "0,14300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15100,7600,16100" +st "Internal User:" +blo "0,15900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,2000,14400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,16800,2000,16800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 375,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/register@signed/symbol.sb b/Libs/Sequential/hds/register@signed/symbol.sb new file mode 100644 index 0000000..00717c0 --- /dev/null +++ b/Libs/Sequential/hds/register@signed/symbol.sb @@ -0,0 +1,1650 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 173,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 174,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "signed" +b "(registerBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 175,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 176,0 +) +*5 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 177,0 +) +*6 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "signed" +b "(registerBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 178,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 179,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 112,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 115,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 117,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 119,0 +) +*24 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 138,0 +) +*25 (MRCItem +litem &3 +pos 0 +dimension 20 +uid 139,0 +) +*26 (MRCItem +litem &4 +pos 4 +dimension 20 +uid 140,0 +) +*27 (MRCItem +litem &5 +pos 1 +dimension 20 +uid 141,0 +) +*28 (MRCItem +litem &6 +pos 2 +dimension 20 +uid 142,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 113,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 121,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 125,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 127,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 129,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 131,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 133,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 135,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 137,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 111,0 +vaOverrides [ +] +) +] +) +uid 172,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 181,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 168,0 +) +*50 (LogGeneric +generic (GiElement +name "registerBitNb" +type "positive" +value "8" +) +uid 169,0 +) +] +) +pdm (PhysicalDM +uid 182,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 144,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 147,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 149,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 151,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 170,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 171,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 145,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 153,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 157,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 159,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 161,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 163,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 165,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 167,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 143,0 +vaOverrides [ +] +) +] +) +uid 180,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@signed/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@signed/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@signed" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/registerSigned" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "registerSigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:18" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "registerSigned" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@signed/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/registerSigned/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:18" +) +(vvPair +variable "unit" +value "registerSigned" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 68,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 69,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,16625,35000,17375" +) +tg (CPTG +uid 70,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 71,0 +va (VaSet +) +xt "36000,16500,38100,17500" +st "clock" +blo "36000,17300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 72,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9000,17500,9900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*67 (CptPort +uid 78,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 85,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,10625,35000,11375" +) +tg (CPTG +uid 80,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 81,0 +va (VaSet +) +xt "36000,10500,40000,11700" +st "dataIn" +blo "36000,11500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9900,28500,10800" +st "dataIn : IN signed (registerBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "signed" +b "(registerBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*68 (CptPort +uid 92,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 104,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "51000,10625,51750,11375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 95,0 +va (VaSet +) +xt "45200,10400,50000,11600" +st "dataOut" +ju 2 +blo "50000,11400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12600,27500,13500" +st "dataOut : OUT signed (registerBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "signed" +b "(registerBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 98,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,14625,35000,15375" +) +tg (CPTG +uid 100,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 101,0 +va (VaSet +) +xt "36000,14500,38600,15500" +st "enable" +blo "36000,15300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10800,17500,11700" +st "enable : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 105,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 106,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "34250,18625,35000,19375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 108,0 +va (VaSet +) +xt "36000,18500,38100,19500" +st "reset" +blo "36000,19300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 110,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11700,17500,12600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 67,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "35000,7000,51000,21000" +) +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "34910,20700,40410,21600" +st "sequential" +blo "34910,21400" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "34910,21600,42410,22500" +st "registerSigned" +blo "34910,22300" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "35000,23800,52500,27400" +st "Generic Declarations + +delay time gateDelay +registerBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "registerBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*72 (Grouping +uid 206,0 +optionalChildren [ +*73 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45000,51200,46000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,41000,57000,42000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 213,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,41000,56800,42000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,43000,53000,44000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,43000,52400,44000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 217,0 +shape (Rectangle +uid 218,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,36000,44000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 219,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,43000,35800,44000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,73000,46000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42200,66400,43200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 223,0 +shape (Rectangle +uid 224,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,41000,73000,42000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 225,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,41000,59000,42000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 226,0 +shape (Rectangle +uid 227,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,53000,43000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 228,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,41500,47000,42500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 229,0 +shape (Rectangle +uid 230,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 231,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44000,35200,45000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 232,0 +shape (Rectangle +uid 233,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 234,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45000,35800,46000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 235,0 +shape (Rectangle +uid 236,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 237,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44000,52400,45000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 207,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,41000,73000,46000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *83 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 90,0 +va (VaSet +font "courier,8,1" +) +xt "0,-3000,5400,-2000" +st "Package List" +blo "0,-2200" +) +*85 (MLText +uid 91,0 +va (VaSet +) +xt "0,-2000,18600,3000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "142,40,1410,885" +viewArea "-3382,-16263,78778,38247" +cachedDiagramExtent "0,-3000,73000,46000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "950,1000,3750,2000" +st "Panel0" +blo "950,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7000,5400,8000" +st "Declarations" +blo "0,7800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8000,2700,9000" +st "Ports:" +blo "0,8800" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,13500,2500,14400" +st "User:" +blo "0,14200" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,15100,5800,16100" +st "Internal User:" +blo "0,15900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14400,2000,14400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,16800,2000,16800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 444,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/register@u@logic@vector/symbol.sb b/Libs/Sequential/hds/register@u@logic@vector/symbol.sb new file mode 100644 index 0000000..8c3e85e --- /dev/null +++ b/Libs/Sequential/hds/register@u@logic@vector/symbol.sb @@ -0,0 +1,1646 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 173,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 174,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 175,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 176,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 177,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 178,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 179,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 112,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 115,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 117,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 119,0 +) +*24 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 138,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 139,0 +) +*26 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 140,0 +) +*27 (MRCItem +litem &5 +pos 2 +dimension 20 +uid 141,0 +) +*28 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 142,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 113,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 121,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 125,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 127,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 129,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 131,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 133,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 135,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 137,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 111,0 +vaOverrides [ +] +) +] +) +uid 172,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 181,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 168,0 +) +*50 (LogGeneric +generic (GiElement +name "registerBitNb" +type "positive" +value "8" +) +uid 169,0 +) +] +) +pdm (PhysicalDM +uid 182,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 144,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 147,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 149,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 151,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 170,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 171,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 145,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 153,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 157,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 159,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 161,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 163,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 165,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 167,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 143,0 +vaOverrides [ +] +) +] +) +uid 180,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@u@logic@vector\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@u@logic@vector\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@u@logic@vector" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\registerULogicVector" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "registerULogicVector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:46:42" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Sequential/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "registerULogicVector" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@u@logic@vector\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\registerULogicVector\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:46:42" +) +(vvPair +variable "unit" +value "registerULogicVector" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 68,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 69,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,13625,38000,14375" +) +tg (CPTG +uid 70,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 71,0 +va (VaSet +) +xt "39000,13500,42400,14700" +st "clock" +blo "39000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 72,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9000,14500,10000" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*67 (CptPort +uid 78,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 85,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,7625,38000,8375" +) +tg (CPTG +uid 80,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 81,0 +va (VaSet +) +xt "39000,7400,43000,8600" +st "dataIn" +blo "39000,8400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9900,29900,10900" +st "dataIn : IN std_ulogic_vector (registerBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*68 (CptPort +uid 92,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 104,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,7625,54750,8375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 95,0 +va (VaSet +) +xt "48200,7400,53000,8600" +st "dataOut" +ju 2 +blo "53000,8400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,30000,13600" +st "dataOut : OUT std_ulogic_vector (registerBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 98,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,11625,38000,12375" +) +tg (CPTG +uid 100,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 101,0 +va (VaSet +) +xt "39000,11500,43000,12700" +st "enable" +blo "39000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,14900,11800" +st "enable : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 105,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 106,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,15625,38000,16375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 108,0 +va (VaSet +) +xt "39000,15500,42300,16700" +st "reset" +blo "39000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 110,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,14500,12700" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 67,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,4000,54000,18000" +) +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "37910,18700,43910,19700" +st "sequential" +blo "37910,19500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "37910,19700,49510,20700" +st "registerULogicVector" +blo "37910,20500" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "38000,21800,52400,25800" +st "Generic Declarations + +delay time gateDelay +registerBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "registerBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*72 (Grouping +uid 206,0 +optionalChildren [ +*73 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,41000,57000,42000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 213,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,41500,53200,41500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,43000,53000,44000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,43500,36200,43500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 217,0 +shape (Rectangle +uid 218,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,36000,44000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 219,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,43500,32200,43500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,73000,46000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42200,67300,43400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 223,0 +shape (Rectangle +uid 224,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,41000,73000,42000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 225,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,41500,57200,41500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 226,0 +shape (Rectangle +uid 227,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,53000,43000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 228,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,41400,47650,42600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 229,0 +shape (Rectangle +uid 230,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 231,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 232,0 +shape (Rectangle +uid 233,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 234,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 235,0 +shape (Rectangle +uid 236,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 237,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 207,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,41000,73000,46000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *83 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 90,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-3000,6900,-2000" +st "Package List" +blo "0,-2200" +) +*85 (MLText +uid 91,0 +va (VaSet +) +xt "0,-2000,17500,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "1,37,1399,954" +viewArea "16878,-9089,74535,29793" +cachedDiagramExtent "0,-3000,73000,46000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "750,1000,3550,2000" +st "Panel0" +blo "750,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7000,7000,8000" +st "Declarations" +blo "0,7800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8000,3400,9000" +st "Ports:" +blo "0,8800" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,13500,3000,14500" +st "User:" +blo "0,14300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15100,7600,16100" +st "Internal User:" +blo "0,15900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,2000,14400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,16800,2000,16800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 421,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/register@u@logic@vector@to/symbol.sb b/Libs/Sequential/hds/register@u@logic@vector@to/symbol.sb new file mode 100644 index 0000000..8c3e85e --- /dev/null +++ b/Libs/Sequential/hds/register@u@logic@vector@to/symbol.sb @@ -0,0 +1,1646 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 173,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 174,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 175,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 176,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 177,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 178,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 179,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 112,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 115,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 117,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 119,0 +) +*24 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 138,0 +) +*25 (MRCItem +litem &3 +pos 1 +dimension 20 +uid 139,0 +) +*26 (MRCItem +litem &4 +pos 0 +dimension 20 +uid 140,0 +) +*27 (MRCItem +litem &5 +pos 2 +dimension 20 +uid 141,0 +) +*28 (MRCItem +litem &6 +pos 4 +dimension 20 +uid 142,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 113,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 121,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 125,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 127,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 129,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 131,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 133,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 135,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 137,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 111,0 +vaOverrides [ +] +) +] +) +uid 172,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 181,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 168,0 +) +*50 (LogGeneric +generic (GiElement +name "registerBitNb" +type "positive" +value "8" +) +uid 169,0 +) +] +) +pdm (PhysicalDM +uid 182,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "Tahoma,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "Tahoma,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 144,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 147,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 149,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 151,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 170,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 171,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "Tahoma,10,0" +textAngle 90 +) +uid 145,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 153,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 157,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 159,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 161,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 163,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 165,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 167,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 143,0 +vaOverrides [ +] +) +] +) +uid 180,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hdl" +) +(vvPair +variable "HDSDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds" +) +(vvPair +variable "SideDataDesignDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@u@logic@vector\\symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@u@logic@vector\\symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@u@logic@vector" +) +(vvPair +variable "d_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\registerULogicVector" +) +(vvPair +variable "date" +value "11.09.2019" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "11" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "registerULogicVector" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "silvan.zahno" +) +(vvPair +variable "graphical_source_date" +value "11.09.2019" +) +(vvPair +variable "graphical_source_group" +value "UNKNOWN" +) +(vvPair +variable "graphical_source_host" +value "WE6996" +) +(vvPair +variable "graphical_source_time" +value "14:46:42" +) +(vvPair +variable "group" +value "UNKNOWN" +) +(vvPair +variable "host" +value "WE6996" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSim" +value "D:\\Users\\ELN_labs\\VHDL_comp" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/ElN/Libraries/Sequential/work" +) +(vvPair +variable "mm" +value "09" +) +(vvPair +variable "module_name" +value "registerULogicVector" +) +(vvPair +variable "month" +value "Sep" +) +(vvPair +variable "month_long" +value "September" +) +(vvPair +variable "p" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\register@u@logic@vector\\symbol.sb" +) +(vvPair +variable "p_logical" +value "C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Libs\\Sequential\\hds\\registerULogicVector\\symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEBinPath" +value "$ISE_HOME" +) +(vvPair +variable "task_ISEPath" +value "$ISE_SCRATCH_WORK_DIR" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "14:46:42" +) +(vvPair +variable "unit" +value "registerULogicVector" +) +(vvPair +variable "user" +value "silvan.zahno" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 68,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 69,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,13625,38000,14375" +) +tg (CPTG +uid 70,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 71,0 +va (VaSet +) +xt "39000,13500,42400,14700" +st "clock" +blo "39000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 72,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9000,14500,10000" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*67 (CptPort +uid 78,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 85,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,7625,38000,8375" +) +tg (CPTG +uid 80,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 81,0 +va (VaSet +) +xt "39000,7400,43000,8600" +st "dataIn" +blo "39000,8400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,9900,29900,10900" +st "dataIn : IN std_ulogic_vector (registerBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*68 (CptPort +uid 92,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 104,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,7625,54750,8375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 95,0 +va (VaSet +) +xt "48200,7400,53000,8600" +st "dataOut" +ju 2 +blo "53000,8400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,12600,30000,13600" +st "dataOut : OUT std_ulogic_vector (registerBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "std_ulogic_vector" +b "(registerBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 98,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,11625,38000,12375" +) +tg (CPTG +uid 100,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 101,0 +va (VaSet +) +xt "39000,11500,43000,12700" +st "enable" +blo "39000,12500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,10800,14900,11800" +st "enable : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 105,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 106,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,15625,38000,16375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 108,0 +va (VaSet +) +xt "39000,15500,42300,16700" +st "reset" +blo "39000,16500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 110,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,11700,14500,12700" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 67,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,4000,54000,18000" +) +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "Verdana,8,1" +) +xt "37910,18700,43910,19700" +st "sequential" +blo "37910,19500" +) +second (Text +uid 12,0 +va (VaSet +font "Verdana,8,1" +) +xt "37910,19700,49510,20700" +st "registerULogicVector" +blo "37910,20500" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "Verdana,8,0" +) +xt "38000,21800,52400,25800" +st "Generic Declarations + +delay time gateDelay +registerBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "registerBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*72 (Grouping +uid 206,0 +optionalChildren [ +*73 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45500,36200,45500" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,41000,57000,42000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 213,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,41500,53200,41500" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,43000,53000,44000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,43500,36200,43500" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 217,0 +shape (Rectangle +uid 218,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,36000,44000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 219,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,43500,32200,43500" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,73000,46000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42200,67300,43400" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 223,0 +shape (Rectangle +uid 224,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,41000,73000,42000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 225,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,41500,57200,41500" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 226,0 +shape (Rectangle +uid 227,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,53000,43000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 228,0 +va (VaSet +fg "32768,0,0" +) +xt "37350,41400,47650,42600" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 229,0 +shape (Rectangle +uid 230,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 231,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44500,32200,44500" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 232,0 +shape (Rectangle +uid 233,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 234,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45500,32200,45500" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 235,0 +shape (Rectangle +uid 236,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 237,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44500,36200,44500" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 207,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,41000,73000,46000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *83 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 90,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,-3000,6900,-2000" +st "Package List" +blo "0,-2200" +) +*85 (MLText +uid 91,0 +va (VaSet +) +xt "0,-2000,17500,4000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "1,37,1399,954" +viewArea "16878,-9089,74535,29793" +cachedDiagramExtent "0,-3000,73000,46000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,3200,1400" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "Verdana,8,0" +) +xt "450,2150,1450,3150" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "Verdana,8,1" +) +xt "750,1000,3550,2000" +st "Panel0" +blo "750,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "Verdana,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "Verdana,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "Verdana,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,7000,7000,8000" +st "Declarations" +blo "0,7800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,8000,3400,9000" +st "Ports:" +blo "0,8800" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "Verdana,8,1" +) +xt "0,13500,3000,14500" +st "User:" +blo "0,14300" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "Verdana,8,1" +) +xt "0,15100,7600,16100" +st "Internal User:" +blo "0,15900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "Verdana,8,0" +) +xt "2000,14400,2000,14400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "Verdana,8,0" +) +xt "2000,16800,2000,16800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 421,0 +activeModelName "Symbol" +) diff --git a/Libs/Sequential/hds/register@unsigned/symbol.sb b/Libs/Sequential/hds/register@unsigned/symbol.sb new file mode 100644 index 0000000..ea079b6 --- /dev/null +++ b/Libs/Sequential/hds/register@unsigned/symbol.sb @@ -0,0 +1,1646 @@ +DocumentHdrVersion "1.1" +Header (DocumentHdr +version 2 +dialect 11 +dmPackageRefs [ +(DmPackageRef +library "ieee" +unitName "std_logic_1164" +) +(DmPackageRef +library "ieee" +unitName "numeric_std" +) +(DmPackageRef +library "gates" +unitName "gates" +) +] +libraryRefs [ +"ieee" +"gates" +] +) +version "26.1" +appVersion "2018.1 (Build 12)" +model (Symbol +commonDM (CommonDM +ldm (LogicalDM +suid 2005,0 +usingSuid 1 +emptyRow *1 (LEmptyRow +) +uid 173,0 +optionalChildren [ +*2 (LogPort +port (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +uid 174,0 +) +*3 (LogPort +port (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +uid 175,0 +) +*4 (LogPort +port (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +uid 176,0 +) +*5 (LogPort +port (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "unsigned" +b "(registerBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +uid 177,0 +) +*6 (LogPort +port (LogicalPort +decl (Decl +n "dataIn" +t "unsigned" +b "(registerBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +uid 178,0 +) +*7 (RefLabelRowHdr +) +*8 (TitleRowHdr +) +*9 (FilterRowHdr +) +*10 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*11 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*12 (GroupColHdr +tm "GroupColHdrMgr" +) +*13 (NameColHdr +tm "NameColHdrMgr" +) +*14 (ModeColHdr +tm "ModeColHdrMgr" +) +*15 (TypeColHdr +tm "TypeColHdrMgr" +) +*16 (BoundsColHdr +tm "BoundsColHdrMgr" +) +*17 (InitColHdr +tm "InitColHdrMgr" +) +*18 (EolColHdr +tm "EolColHdrMgr" +) +] +) +pdm (PhysicalDM +uid 179,0 +optionalChildren [ +*19 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *20 (MRCItem +litem &1 +pos 5 +dimension 20 +) +uid 112,0 +optionalChildren [ +*21 (MRCItem +litem &7 +pos 0 +dimension 20 +uid 115,0 +) +*22 (MRCItem +litem &8 +pos 1 +dimension 23 +uid 117,0 +) +*23 (MRCItem +litem &9 +pos 2 +hidden 1 +dimension 20 +uid 119,0 +) +*24 (MRCItem +litem &2 +pos 3 +dimension 20 +uid 138,0 +) +*25 (MRCItem +litem &3 +pos 4 +dimension 20 +uid 139,0 +) +*26 (MRCItem +litem &4 +pos 1 +dimension 20 +uid 140,0 +) +*27 (MRCItem +litem &5 +pos 2 +dimension 20 +uid 141,0 +) +*28 (MRCItem +litem &6 +pos 0 +dimension 20 +uid 142,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 113,0 +optionalChildren [ +*29 (MRCItem +litem &10 +pos 0 +dimension 20 +uid 121,0 +) +*30 (MRCItem +litem &12 +pos 1 +dimension 50 +uid 125,0 +) +*31 (MRCItem +litem &13 +pos 2 +dimension 100 +uid 127,0 +) +*32 (MRCItem +litem &14 +pos 3 +dimension 50 +uid 129,0 +) +*33 (MRCItem +litem &15 +pos 4 +dimension 100 +uid 131,0 +) +*34 (MRCItem +litem &16 +pos 5 +dimension 100 +uid 133,0 +) +*35 (MRCItem +litem &17 +pos 6 +dimension 50 +uid 135,0 +) +*36 (MRCItem +litem &18 +pos 7 +dimension 80 +uid 137,0 +) +] +) +fixedCol 4 +fixedRow 2 +name "Ports" +uid 111,0 +vaOverrides [ +] +) +] +) +uid 172,0 +) +genericsCommonDM (CommonDM +ldm (LogicalDM +emptyRow *37 (LEmptyRow +) +uid 181,0 +optionalChildren [ +*38 (RefLabelRowHdr +) +*39 (TitleRowHdr +) +*40 (FilterRowHdr +) +*41 (RefLabelColHdr +tm "RefLabelColHdrMgr" +) +*42 (RowExpandColHdr +tm "RowExpandColHdrMgr" +) +*43 (GroupColHdr +tm "GroupColHdrMgr" +) +*44 (NameColHdr +tm "GenericNameColHdrMgr" +) +*45 (TypeColHdr +tm "GenericTypeColHdrMgr" +) +*46 (InitColHdr +tm "GenericValueColHdrMgr" +) +*47 (PragmaColHdr +tm "GenericPragmaColHdrMgr" +) +*48 (EolColHdr +tm "GenericEolColHdrMgr" +) +*49 (LogGeneric +generic (GiElement +name "delay" +type "time" +value "gateDelay" +) +uid 168,0 +) +*50 (LogGeneric +generic (GiElement +name "registerBitNb" +type "positive" +value "8" +) +uid 169,0 +) +] +) +pdm (PhysicalDM +uid 182,0 +optionalChildren [ +*51 (Sheet +sheetRow (SheetRow +headerVa (MVa +cellColor "49152,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +) +cellVa (MVa +cellColor "65535,65535,65535" +fontColor "0,0,0" +font "courier,10,0" +) +groupVa (MVa +cellColor "39936,56832,65280" +fontColor "0,0,0" +font "courier,10,0" +) +emptyMRCItem *52 (MRCItem +litem &37 +pos 2 +dimension 20 +) +uid 144,0 +optionalChildren [ +*53 (MRCItem +litem &38 +pos 0 +dimension 20 +uid 147,0 +) +*54 (MRCItem +litem &39 +pos 1 +dimension 23 +uid 149,0 +) +*55 (MRCItem +litem &40 +pos 2 +hidden 1 +dimension 20 +uid 151,0 +) +*56 (MRCItem +litem &49 +pos 0 +dimension 20 +uid 170,0 +) +*57 (MRCItem +litem &50 +pos 1 +dimension 20 +uid 171,0 +) +] +) +sheetCol (SheetCol +propVa (MVa +cellColor "0,49152,49152" +fontColor "0,0,0" +font "courier,10,0" +textAngle 90 +) +uid 145,0 +optionalChildren [ +*58 (MRCItem +litem &41 +pos 0 +dimension 20 +uid 153,0 +) +*59 (MRCItem +litem &43 +pos 1 +dimension 50 +uid 157,0 +) +*60 (MRCItem +litem &44 +pos 2 +dimension 100 +uid 159,0 +) +*61 (MRCItem +litem &45 +pos 3 +dimension 100 +uid 161,0 +) +*62 (MRCItem +litem &46 +pos 4 +dimension 50 +uid 163,0 +) +*63 (MRCItem +litem &47 +pos 5 +dimension 50 +uid 165,0 +) +*64 (MRCItem +litem &48 +pos 6 +dimension 80 +uid 167,0 +) +] +) +fixedCol 3 +fixedRow 2 +name "Ports" +uid 143,0 +vaOverrides [ +] +) +] +) +uid 180,0 +type 1 +) +VExpander (VariableExpander +vvMap [ +(vvPair +variable " " +value " " +) +(vvPair +variable "HDLDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hdl" +) +(vvPair +variable "HDSDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "SideDataDesignDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@unsigned/symbol.sb.info" +) +(vvPair +variable "SideDataUserDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@unsigned/symbol.sb.user" +) +(vvPair +variable "SourceDir" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds" +) +(vvPair +variable "appl" +value "HDL Designer" +) +(vvPair +variable "arch_name" +value "symbol" +) +(vvPair +variable "concat_file" +value "concatenated" +) +(vvPair +variable "config" +value "%(unit)_%(view)_config" +) +(vvPair +variable "d" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@unsigned" +) +(vvPair +variable "d_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/registerUnsigned" +) +(vvPair +variable "date" +value "08/28/19" +) +(vvPair +variable "day" +value "Wed" +) +(vvPair +variable "day_long" +value "Wednesday" +) +(vvPair +variable "dd" +value "28" +) +(vvPair +variable "designName" +value "$DESIGN_NAME" +) +(vvPair +variable "entity_name" +value "registerUnsigned" +) +(vvPair +variable "ext" +value "" +) +(vvPair +variable "f" +value "symbol.sb" +) +(vvPair +variable "f_logical" +value "symbol.sb" +) +(vvPair +variable "f_noext" +value "symbol" +) +(vvPair +variable "graphical_source_author" +value "francois" +) +(vvPair +variable "graphical_source_date" +value "08/28/19" +) +(vvPair +variable "graphical_source_group" +value "francois" +) +(vvPair +variable "graphical_source_host" +value "Aphelia" +) +(vvPair +variable "graphical_source_time" +value "13:46:18" +) +(vvPair +variable "group" +value "francois" +) +(vvPair +variable "host" +value "Aphelia" +) +(vvPair +variable "language" +value "VHDL" +) +(vvPair +variable "library" +value "sequential" +) +(vvPair +variable "library_downstream_ModelSimCompiler" +value "$SCRATCH_DIR/Libraries/sequential/work" +) +(vvPair +variable "mm" +value "08" +) +(vvPair +variable "module_name" +value "registerUnsigned" +) +(vvPair +variable "month" +value "Aug" +) +(vvPair +variable "month_long" +value "August" +) +(vvPair +variable "p" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/register@unsigned/symbol.sb" +) +(vvPair +variable "p_logical" +value "/home/francois/Documents/HEVs/Kart/eln_kart/04-Controller/../Libs/Sequential/hds/registerUnsigned/symbol.sb" +) +(vvPair +variable "package_name" +value "" +) +(vvPair +variable "project_name" +value "hds" +) +(vvPair +variable "series" +value "HDL Designer Series" +) +(vvPair +variable "task_ActelPath" +value "$ACTEL_HOME" +) +(vvPair +variable "task_ActelProjectPath" +value "$SCRATCH_DIR\\$DESIGN_NAME\\$ACTEL_WORK_DIR" +) +(vvPair +variable "task_DesignCompilerPath" +value "" +) +(vvPair +variable "task_HDSPath" +value "$HDS_HOME" +) +(vvPair +variable "task_ISEPath" +value "D:\\Labs\\ElN\\Board\\Board\\ise" +) +(vvPair +variable "task_LeonardoPath" +value "" +) +(vvPair +variable "task_ModelSimPath" +value "$MODELSIM_HOME\\win32" +) +(vvPair +variable "task_NC-SimPath" +value "" +) +(vvPair +variable "task_PrecisionRTLPath" +value "" +) +(vvPair +variable "task_QuestaSimPath" +value "" +) +(vvPair +variable "task_VCSPath" +value "" +) +(vvPair +variable "this_ext" +value "sb" +) +(vvPair +variable "this_file" +value "symbol" +) +(vvPair +variable "this_file_logical" +value "symbol" +) +(vvPair +variable "time" +value "13:46:18" +) +(vvPair +variable "unit" +value "registerUnsigned" +) +(vvPair +variable "user" +value "francois" +) +(vvPair +variable "version" +value "2018.1 (Build 12)" +) +(vvPair +variable "view" +value "symbol" +) +(vvPair +variable "year" +value "2019" +) +(vvPair +variable "yy" +value "19" +) +] +) +LanguageMgr "Vhdl2008LangMgr" +uid 29,0 +optionalChildren [ +*65 (SymbolBody +uid 8,0 +optionalChildren [ +*66 (CptPort +uid 68,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 69,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,19625,38000,20375" +) +tg (CPTG +uid 70,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 71,0 +va (VaSet +) +xt "39000,19500,41100,20500" +st "clock" +blo "39000,20300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 72,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9000,17500,9900" +st "clock : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "clock" +t "std_ulogic" +o 2 +suid 1,0 +) +) +) +*67 (CptPort +uid 78,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 85,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,13625,38000,14375" +) +tg (CPTG +uid 80,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 81,0 +va (VaSet +) +xt "39000,13500,43000,14700" +st "dataIn" +blo "39000,14500" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 82,0 +va (VaSet +font "courier,8,0" +) +xt "2000,9900,29500,10800" +st "dataIn : IN unsigned (registerBitNb-1 DOWNTO 0) ;" +) +thePort (LogicalPort +decl (Decl +n "dataIn" +t "unsigned" +b "(registerBitNb-1 DOWNTO 0)" +o 1 +suid 2,0 +) +) +) +*68 (CptPort +uid 92,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 104,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "54000,13625,54750,14375" +) +tg (CPTG +uid 94,0 +ps "CptPortTextPlaceStrategy" +stg "RightVerticalLayoutStrategy" +f (Text +uid 95,0 +va (VaSet +) +xt "48200,13400,53000,14600" +st "dataOut" +ju 2 +blo "53000,14400" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 97,0 +va (VaSet +font "courier,8,0" +) +xt "2000,12600,28500,13500" +st "dataOut : OUT unsigned (registerBitNb-1 DOWNTO 0)" +) +thePort (LogicalPort +m 1 +decl (Decl +n "dataOut" +t "unsigned" +b "(registerBitNb-1 DOWNTO 0)" +o 3 +suid 3,0 +) +) +) +*69 (CptPort +uid 98,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 99,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,17625,38000,18375" +) +tg (CPTG +uid 100,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 101,0 +va (VaSet +) +xt "39000,17500,41600,18500" +st "enable" +blo "39000,18300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 103,0 +va (VaSet +font "courier,8,0" +) +xt "2000,10800,17500,11700" +st "enable : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "enable" +t "std_ulogic" +o 4 +suid 4,0 +) +) +) +*70 (CptPort +uid 105,0 +ps "OnEdgeStrategy" +shape (Triangle +uid 106,0 +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "37250,21625,38000,22375" +) +tg (CPTG +uid 107,0 +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +uid 108,0 +va (VaSet +) +xt "39000,21500,41100,22500" +st "reset" +blo "39000,22300" +tm "CptPortNameMgr" +) +) +dt (MLText +uid 110,0 +va (VaSet +font "courier,8,0" +) +xt "2000,11700,17500,12600" +st "reset : IN std_ulogic ;" +) +thePort (LogicalPort +decl (Decl +n "reset" +t "std_ulogic" +o 5 +suid 5,0 +) +) +) +] +shape (Rectangle +uid 67,0 +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "38000,10000,54000,24000" +) +oxt "15000,18000,21000,24000" +biTextGroup (BiTextGroup +uid 10,0 +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +uid 11,0 +va (VaSet +font "courier,8,1" +) +xt "37910,23700,43410,24600" +st "sequential" +blo "37910,24400" +) +second (Text +uid 12,0 +va (VaSet +font "courier,8,1" +) +xt "37910,24600,46410,25500" +st "registerUnsigned" +blo "37910,25300" +) +) +gi *71 (GenericInterface +uid 13,0 +ps "CenterOffsetStrategy" +matrix (Matrix +uid 14,0 +text (MLText +uid 15,0 +va (VaSet +font "courier,8,0" +) +xt "38000,27800,55500,31400" +st "Generic Declarations + +delay time gateDelay +registerBitNb positive 8 " +) +header "Generic Declarations" +showHdrWhenContentsEmpty 1 +) +elements [ +(GiElement +name "delay" +type "time" +value "gateDelay" +) +(GiElement +name "registerBitNb" +type "positive" +value "8" +) +] +) +portInstanceVis (PortSigDisplay +disp 1 +sTC 0 +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sTC 0 +) +) +*72 (Grouping +uid 206,0 +optionalChildren [ +*73 (CommentText +uid 208,0 +shape (Rectangle +uid 209,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,45000,53000,46000" +) +oxt "18000,70000,35000,71000" +text (MLText +uid 210,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,45000,51200,46000" +st " +by %user on %dd %month %year +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*74 (CommentText +uid 211,0 +shape (Rectangle +uid 212,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,41000,57000,42000" +) +oxt "35000,66000,39000,67000" +text (MLText +uid 213,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,41000,56800,42000" +st " +Project: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*75 (CommentText +uid 214,0 +shape (Rectangle +uid 215,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,43000,53000,44000" +) +oxt "18000,68000,35000,69000" +text (MLText +uid 216,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,43000,52400,44000" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*76 (CommentText +uid 217,0 +shape (Rectangle +uid 218,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,43000,36000,44000" +) +oxt "14000,68000,18000,69000" +text (MLText +uid 219,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,43000,35800,44000" +st " +Title: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*77 (CommentText +uid 220,0 +shape (Rectangle +uid 221,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "53000,42000,73000,46000" +) +oxt "35000,67000,55000,71000" +text (MLText +uid 222,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "53200,42200,66400,43200" +st " + +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +titleBlock 1 +) +*78 (CommentText +uid 223,0 +shape (Rectangle +uid 224,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "57000,41000,73000,42000" +) +oxt "39000,66000,55000,67000" +text (MLText +uid 225,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "57200,41000,59000,42000" +st " +%project_name +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*79 (CommentText +uid 226,0 +shape (Rectangle +uid 227,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,41000,53000,43000" +) +oxt "14000,66000,35000,68000" +text (MLText +uid 228,0 +va (VaSet +fg "32768,0,0" +) +xt "38000,41500,47000,42500" +st " + +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*80 (CommentText +uid 229,0 +shape (Rectangle +uid 230,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,44000,36000,45000" +) +oxt "14000,69000,18000,70000" +text (MLText +uid 231,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,44000,35200,45000" +st " +Path: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*81 (CommentText +uid 232,0 +shape (Rectangle +uid 233,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "32000,45000,36000,46000" +) +oxt "14000,70000,18000,71000" +text (MLText +uid 234,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "32200,45000,35800,46000" +st " +Edited: +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +*82 (CommentText +uid 235,0 +shape (Rectangle +uid 236,0 +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "36000,44000,53000,45000" +) +oxt "18000,69000,35000,70000" +text (MLText +uid 237,0 +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +) +xt "36200,44000,46400,45000" +st " +%library/%unit/%view +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +titleBlock 1 +) +] +shape (GroupingShape +uid 207,0 +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "32000,41000,73000,46000" +) +oxt "14000,66000,55000,71000" +) +] +bg "65535,65535,65535" +grid (Grid +origin "0,0" +isVisible 1 +isActive 1 +xSpacing 1000 +xySpacing 1000 +xShown 1 +yShown 1 +color "65535,0,0" +) +packageList *83 (PackageList +uid 5,0 +stg "VerticalLayoutStrategy" +textVec [ +*84 (Text +uid 90,0 +va (VaSet +font "courier,8,1" +) +xt "0,-3000,5400,-2000" +st "Package List" +blo "0,-2200" +) +*85 (MLText +uid 91,0 +va (VaSet +) +xt "0,-2000,18600,3000" +st "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +LIBRARY gates; + USE gates.gates.all;" +tm "PackageList" +) +] +) +windowSize "151,42,1426,896" +viewArea "-1000,-4000,75032,47264" +cachedDiagramExtent "0,-3000,73000,46000" +hasePageBreakOrigin 1 +pageBreakOrigin "0,-3000" +defaultCommentText (CommentText +shape (Rectangle +va (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +xt "0,0,15000,5000" +) +text (MLText +va (VaSet +fg "0,0,32768" +) +xt "200,200,2600,1200" +st " +Text +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 14000 +) +) +defaultRequirementText (RequirementText +shape (ZoomableIcon +layer 0 +va (VaSet +vasetType 1 +fg "59904,39936,65280" +lineColor "0,0,32768" +) +xt "0,0,1500,1750" +iconName "reqTracerRequirement.bmp" +iconMaskName "reqTracerRequirement.msk" +) +autoResize 1 +text (MLText +va (VaSet +fg "0,0,32768" +font "courier,8,0" +) +xt "450,2150,1450,3050" +st " +Text +" +tm "RequirementText" +wrapOption 3 +visibleHeight 1350 +visibleWidth 1100 +) +) +defaultPanel (Panel +shape (RectFrame +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +xt "0,0,20000,20000" +) +title (TextAssociate +ps "TopLeftStrategy" +text (Text +va (VaSet +font "courier,8,1" +) +xt "950,1000,3750,2000" +st "Panel0" +blo "950,1800" +tm "PanelText" +) +) +) +parentGraphicsRef (HdmGraphicsRef +libraryName "" +entityName "" +viewName "" +) +defaultSymbolBody (SymbolBody +shape (Rectangle +va (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +xt "15000,16000,43000,36000" +) +biTextGroup (BiTextGroup +ps "CenterOffsetStrategy" +stg "VerticalLayoutStrategy" +first (Text +va (VaSet +font "courier,8,1" +) +xt "26910,24700,30510,25700" +st "" +blo "26910,25500" +) +second (Text +va (VaSet +font "courier,8,1" +) +xt "26910,25700,29510,26700" +st "" +blo "26910,26500" +) +) +gi *86 (GenericInterface +ps "CenterOffsetStrategy" +matrix (Matrix +text (MLText +va (VaSet +isHidden 1 +) +xt "20000,5000,20000,5000" +) +header "Generic Declarations" +) +elements [ +] +) +portInstanceVis (PortSigDisplay +sT 1 +sIVOD 1 +) +portVis (PortSigDisplay +sIVOD 1 +) +) +defaultCptPort (CptPort +ps "OnEdgeStrategy" +shape (Triangle +ro 90 +va (VaSet +vasetType 1 +fg "0,65535,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,1500,1650" +st "In0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +decl (Decl +n "In0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +defaultCptPortBuffer (CptPort +ps "OnEdgeStrategy" +shape (Diamond +va (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +xt "0,0,750,750" +) +tg (CPTG +ps "CptPortTextPlaceStrategy" +stg "VerticalLayoutStrategy" +f (Text +va (VaSet +font "courier,8,0" +) +xt "0,750,3500,1650" +st "Buffer0" +blo "0,1450" +tm "CptPortNameMgr" +) +) +dt (MLText +va (VaSet +font "courier,8,0" +) +) +thePort (LogicalPort +lang 11 +m 3 +decl (Decl +n "Buffer0" +t "std_logic_vector" +b "(15 DOWNTO 0)" +o 0 +) +) +) +DeclarativeBlock *87 (SymDeclBlock +uid 31,0 +stg "SymDeclLayoutStrategy" +declLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,7000,5400,8000" +st "Declarations" +blo "0,7800" +) +portLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,8000,2700,9000" +st "Ports:" +blo "0,8800" +) +externalLabel (Text +uid 2,0 +va (VaSet +font "courier,8,1" +) +xt "0,13500,2500,14400" +st "User:" +blo "0,14200" +) +internalLabel (Text +uid 2,0 +va (VaSet +isHidden 1 +font "courier,8,1" +) +xt "0,15100,5800,16100" +st "Internal User:" +blo "0,15900" +) +externalText (MLText +uid 3,0 +va (VaSet +font "courier,8,0" +) +xt "2000,14400,2000,14400" +tm "SyDeclarativeTextMgr" +) +internalText (MLText +uid 4,0 +va (VaSet +isHidden 1 +font "courier,8,0" +) +xt "2000,16800,2000,16800" +tm "SyDeclarativeTextMgr" +) +) +lastUid 329,0 +activeModelName "Symbol" +) diff --git a/Prefs/hds.hdp b/Prefs/hds.hdp new file mode 100644 index 0000000..a48c39c --- /dev/null +++ b/Prefs/hds.hdp @@ -0,0 +1,50 @@ +[Concat] +Board = $HDS_PROJECT_DIR/../Board/concat +[ModelSim] +Board = $SCRATCH_DIR/Board/work +Common = $SCRATCH_DIR/Common/work +Cursor = $SCRATCH_DIR/Cursor/work +Cursor_test = $SCRATCH_DIR/Cursor_test/work +gates = $SCRATCH_DIR/gates/work +io = $SCRATCH_DIR/IO/work +LCD = $SCRATCH_DIR/LCD/work +LCD_test = $SCRATCH_DIR/LCD_test/work +Memory = $SCRATCH_DIR/Memory/work +Memory_test = $SCRATCH_DIR/Memory_test/work +sequential = $SCRATCH_DIR/sequential/work +[hdl] +Board = $HDS_PROJECT_DIR/../Board/hdl +Common = $HEI_LIBS_DIR/Common/hdl +Cursor = $HDS_PROJECT_DIR/../Cursor/hdl +Cursor_test = $HDS_PROJECT_DIR/../Cursor_test/hdl +gates = $HEI_LIBS_DIR/Gates/hdl +ieee = $HDS_HOME/hdl_libs/ieee/hdl +io = $HEI_LIBS_DIR/IO/hdl +LCD = $HEI_LIBS_DIR/Lcd/hdl +LCD_test = $HEI_LIBS_DIR/Lcd_test/hdl +Memory = $HEI_LIBS_DIR/Memory/hdl +Memory_test = $HEI_LIBS_DIR/Memory_test/hdl +sequential = $HEI_LIBS_DIR/Sequential/hdl +std = $HDS_HOME/hdl_libs/std/hdl +[hds] +Board = $HDS_PROJECT_DIR/../Board/hds +Common = $HEI_LIBS_DIR/Common/hds +Cursor = $HDS_PROJECT_DIR/../Cursor/hds +Cursor_test = $HDS_PROJECT_DIR/../Cursor_test/hds +gates = $HEI_LIBS_DIR/Gates/hds +ieee = $HDS_HOME/hdl_libs/ieee/hds +io = $HEI_LIBS_DIR/IO/hds +LCD = $HEI_LIBS_DIR/Lcd/hds +LCD_test = $HEI_LIBS_DIR/Lcd_test/hds +Memory = $HEI_LIBS_DIR/Memory/hds +Memory_test = $HEI_LIBS_DIR/Memory_test/hds +sequential = $HEI_LIBS_DIR/Sequential/hds +std = $HDS_HOME/hdl_libs/std/hds +[hds_settings] +design_root = Cursor_test.cursor_tb(struct)cursor_tb/struct.bd +[library_type] +Board = regular +ieee = standard +std = standard +[shared] +others = $HDS_TEAM_HOME/shared.hdp diff --git a/Prefs/hds_team/shared.hdp b/Prefs/hds_team/shared.hdp new file mode 100644 index 0000000..41c9387 --- /dev/null +++ b/Prefs/hds_team/shared.hdp @@ -0,0 +1,23 @@ +[hds_settings] +version = 1 +project_description = The standard HDS shared project +[hds] +ieee = $HDS_HOME/hdl_libs/ieee/hds +std = $HDS_HOME/hdl_libs/std/hds +synopsys = $HDS_HOME/hdl_libs/synopsys/hds +verilog = $HDS_HOME/hdl_libs/verilog/hds +vital2000 = $HDS_HOME/hdl_libs/vital2000/hds + +[hdl] +ieee = $HDS_HOME/hdl_libs/ieee/hdl +std = $HDS_HOME/hdl_libs/std/hdl +synopsys = $HDS_HOME/hdl_libs/synopsys/hdl +verilog = $HDS_HOME/hdl_libs/verilog/hdl +vital2000 = $HDS_HOME/hdl_libs/vital2000/hdl + +[library_type] +ieee = standard +std = standard +synopsys = standard +verilog = standard +vital2000 = standard diff --git a/Prefs/hds_team/v2015.2/hds_team_prefs b/Prefs/hds_team/v2015.2/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/Prefs/hds_team/v2015.2/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/Prefs/hds_team/v2015.2/title_block.tmpl b/Prefs/hds_team/v2015.2/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/Prefs/hds_team/v2015.2/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/Prefs/hds_team/v2018.1/hds_team_prefs b/Prefs/hds_team/v2018.1/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/Prefs/hds_team/v2018.1/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/Prefs/hds_team/v2018.1/title_block.tmpl b/Prefs/hds_team/v2018.1/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/Prefs/hds_team/v2018.1/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/Prefs/hds_team/v2019.2/hds_team_prefs b/Prefs/hds_team/v2019.2/hds_team_prefs new file mode 100644 index 0000000..6eeab5f --- /dev/null +++ b/Prefs/hds_team/v2019.2/hds_team_prefs @@ -0,0 +1,55 @@ +version "8.0" +RenoirTeamPreferences [ +(BaseTeamPreferences +version "1.1" +verConcat 0 +ttDGProps [ +] +fcDGProps [ +] +smDGProps [ +] +asmDGProps [ +] +bdDGProps [ +] +syDGProps [ +] +) +(VersionControlTeamPreferences +version "1.1" +VMPlugin "" +VMRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMRcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hds_vm" +VMRcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/%(library)/hdl_vm" +VMCvsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCvsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMCVSmkIIHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMCVSmkIIHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository" +VMVssHdsRepository "$/hds_scratch/hds_repository/%(library)/hds_vm" +VMVssHdlRepository "$/hds_scratch/hds_repository/%(library)/hdl_vm" +VMDsHdsRepository "sync://:/hds_scratch/hds_repository/hds_vm" +VMDsHdlRepository "sync://:/hds_scratch/hds_repository/hdl_vm" +VMPvcsHdsRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hds_vm" +VMPvcsHdlRepository "$HDS_HOME/examples/hds_scratch/hds_repository/hdl_vm" +VMSvnHdlRepository "" +VMDefaultView 1 +VMCurrentDesignHierarchyOnly 0 +VMUserData 1 +VMGeneratedHDL 0 +VMVerboseMode 0 +VMAlwaysEmpty 0 +VMSetTZ 1 +VMSymbol 1 +VMCurrentDesignHierarchy 0 +VMMultipleRepositoryMode 0 +VMSnapshotViewMode 0 +backupNameClashes 1 +clearCaseMaster 0 +) +(CustomizeTeamPreferences +version "1.1" +FileTypes [ +] +) +] diff --git a/Prefs/hds_team/v2019.2/title_block.tmpl b/Prefs/hds_team/v2019.2/title_block.tmpl new file mode 100644 index 0000000..12ef16a --- /dev/null +++ b/Prefs/hds_team/v2019.2/title_block.tmpl @@ -0,0 +1,273 @@ +version "4.1" +TitleBlockTemplateRegistrar (TitleBlockTemplate +TitleBlock (Grouping +optionalChildren [ +*1 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,70000,35000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,70000,27100,71000" +st " +by %user on %dd %month %year" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*2 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,66000,39000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,66000,37800,67000" +st " +Project:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*3 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,68000,35000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,68000,27800,69000" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +*4 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,68000,18000,69000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,68000,15900,69000" +st " +Title:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*5 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "35000,67000,55000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "35200,67200,44000,68200" +st " +" +tm "CommentText" +wrapOption 3 +visibleHeight 4000 +visibleWidth 20000 +) +ignorePrefs 1 +) +*6 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "39000,66000,55000,67000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "39200,66000,48900,67000" +st "%project_name" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 16000 +) +position 1 +ignorePrefs 1 +) +*7 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,66000,35000,68000" +) +text (MLText +va (VaSet +fg "32768,0,0" +) +xt "19950,66350,29050,67650" +st " +" +ju 0 +tm "CommentText" +wrapOption 3 +visibleHeight 2000 +visibleWidth 21000 +) +position 1 +ignorePrefs 1 +) +*8 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,69000,18000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,69000,15900,70000" +st " +Path:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*9 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "14000,70000,18000,71000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "14200,70000,16500,71000" +st " +Edited:" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 4000 +) +position 1 +ignorePrefs 1 +) +*10 (CommentText +shape (Rectangle +sl 0 +va (VaSet +vasetType 1 +fg "65280,65280,46080" +) +xt "18000,69000,35000,70000" +) +text (MLText +va (VaSet +fg "0,0,32768" +bg "0,0,32768" +font "Arial,8,0" +) +xt "18200,69000,25400,70000" +st " +%library/%unit/%view" +tm "CommentText" +wrapOption 3 +visibleHeight 1000 +visibleWidth 17000 +) +position 1 +ignorePrefs 1 +) +] +shape (GroupingShape +va (VaSet +vasetType 1 +fg "65535,65535,65535" +lineStyle 2 +lineWidth 2 +) +xt "14000,66000,55000,71000" +) +) +) diff --git a/Prefs/hds_user-linux/v2015.2/hds_user_prefs b/Prefs/hds_user-linux/v2015.2/hds_user_prefs new file mode 100644 index 0000000..28e6a03 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/hds_user_prefs @@ -0,0 +1,5510 @@ +version "45.1" +SaPreferences [ +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Builtin" +win32DefaultViewer "Builtin" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultLanguage 0 +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 3 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"TabularWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"StdTabWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"BdTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "/tmp" +projectPaths [ +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/ElN_cursor.hdp" +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +defaultUserLibRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.std_logic_arith.all; +" +defaultVerilogPackages "" +defaultFont "courier,10,0" +tableFont "courier,10,0" +pageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +pageSizes [ +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER/title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "courier,8,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER/remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "task_DesignCompilerPath" +second "" +) +(pair +first "task_LeonardoPath" +second "" +) +(pair +first "task_ModelSimPath" +second "/usr/opt/Modelsim/modeltech/bin" +) +(pair +first "task_NC-SimPath" +second "" +) +(pair +first "task_PrecisionRTLPath" +second "" +) +(pair +first "task_QuestaSimPath" +second "" +) +(pair +first "task_VCSPath" +second "" +) +] +tasksOrder [ +"USER:Generate" +"USER:DesignChecker" +"USER:DesignChecker Flow" +"USER:Register Assistant" +"USER:SystemVerilog Assistant" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:QuestaSim Compile" +"USER:QuestaSim Simulate" +"USER:Precision Synthesis" +"USER:LeonardoSpectrum" +"USER:Xilinx CORE Generator" +"USER:Altera MegaWizard" +"USER:Altera SOPC Builder" +"USER:Xilinx Platform Studio" +"USER:FPGA Technology Setup" +"USER:FPGA Library Compile" +"USER:Xilinx Synthesis Tool" +"USER:Xilinx Place and Route" +"USER:Xilinx Import" +"USER:Quartus II Synthesis" +"USER:Quartus Place and Route" +"USER:Quartus Programmer" +"USER:Actel Place and Route" +"USER:Lattice Place and Route" +"USER:C/C++ Wrapper Generator" +"USER:ModelSim Flow" +"USER:QuestaSim Flow" +"USER:LeonardoSpectrum Flow" +"USER:Precision Synthesis Flow" +"USER:Xilinx Synthesis Tool Flow" +"USER:Xilinx Vivado Flow" +"USER:Quartus II Synthesis Flow" +"USER:I/O Design Flow" +] +tasksToolbarOrder [ +"\"USER:DesignChecker Flow\"" +"\"USER:Register Assistant\"" +"\"USER:SystemVerilog Assistant\"" +"USER:Generate" +"\"USER:ModelSim Flow\"" +"\"USER:Precision Synthesis Flow\"" +"\"USER:QuestaSim Flow\"" +] +tasksMenubarOrder [ +"\"USER:DesignChecker Flow\"" +"USER:Generate" +"\"USER:ModelSim Flow\"" +"\"USER:Precision Synthesis Flow\"" +"\"USER:QuestaSim Flow\"" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:Actel Place and Route\"" +"\"USER:Altera MegaWizard\"" +"\"USER:Altera SOPC Builder\"" +"\"USER:C/C++ Wrapper Generator\"" +"\"USER:DesignChecker Flow\"" +"\"USER:FPGA Technology Setup\"" +"\"USER:I/O Design Flow\"" +"\"USER:Lattice Place and Route\"" +"\"USER:LeonardoSpectrum Flow\"" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"\"USER:Precision Synthesis Flow\"" +"\"USER:Precision Synthesis\"" +"\"USER:Quartus II Synthesis Flow\"" +"\"USER:Quartus II Synthesis\"" +"\"USER:Quartus Place and Route\"" +"\"USER:Quartus Programmer\"" +"\"USER:QuestaSim Compile\"" +"\"USER:QuestaSim Flow\"" +"\"USER:QuestaSim Simulate\"" +"\"USER:Xilinx CORE Generator\"" +"\"USER:Xilinx Import\"" +"\"USER:Xilinx Place and Route\"" +"\"USER:Xilinx Platform Studio\"" +"\"USER:Xilinx Synthesis Tool Flow\"" +"\"USER:Xilinx Synthesis Tool\"" +"\"USER:Xilinx Vivado Flow\"" +"USER:Generate" +"USER:LeonardoSpectrum" +] +taskPreferences [ +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SystemVerilog Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "922x1028-10+14" +diagramBrowserTabNo 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(graphical_source_author).%(graphical_source_group) (%(graphical_source_host)) +// at - %(graphical_source_time) %(graphical_source_date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SystemVerilog Assistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SystemVerilog Assistant" +Arguments "" +) +(ActionState +Name "View" +Tool "USER:SystemVerilog Assistant" +Arguments "" +) +] +) +] +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "courier,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "courier,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +font "courier,8,0" +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +font "courier,8,0" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "courier,8,1" +) +caseExpressionVaSet (VaSet +font "courier,8,0" +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "courier,8,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "courier,8,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "courier,8,1" +) +signalStatValueVaSet (VaSet +font "courier,8,0" +) +declLabelVaSet (VaSet +font "courier,8,1" +) +declValueVaSet (VaSet +font "courier,8,0" +) +stmtLabelVaSet (VaSet +font "courier,8,1" +) +stmtValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_logic" +vhdlBusType "std_logic_vector" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "courier,8,1" +) +cptFontVaSet (VaSet +font "courier,8,1" +) +signalFontVaSet (VaSet +font "courier,8,0" +) +bundleFontVaSet (VaSet +font "courier,8,0" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +hdlTextFontVaSet (VaSet +font "courier,8,1" +) +embeddedTextVaSet (VaSet +font "courier,8,0" +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "courier,8,1" +) +genericAssocFontVaSet (VaSet +font "courier,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +font "courier,8,0" +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +font "courier,8,0" +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "courier,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "courier,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "courier,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "courier,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +waitBoxConditionVaSet (VaSet +font "courier,8,0" +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startLoopLabelVaSet (VaSet +font "courier,8,1" +) +startLoopConditionVaSet (VaSet +font "courier,8,0" +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "courier,8,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startCaseLabelVaSet (VaSet +font "courier,8,1" +) +startCaseExpressionVaSet (VaSet +font "courier,8,0" +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +processDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +processDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +sensitivityListBlockLabelVaSet (VaSet +font "courier,8,1" +) +sensitivityListBlockValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +"Cursor" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +"Cursor_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +"Board" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"Requirements" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 59 +) +] +displayHierarchy 0 +xPos 60 +yPos 120 +width 1177 +height 860 +activeSidePanelTab 2 +activeLibraryTab 3 +sidePanelSize 280 +showUnixHiddenFiles 0 +componentBrowserLibraryNames [ +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "courier,10,1" +) +stateEncodingVaSet (VaSet +font "courier,8,1" +) +stateActionsVaSet (VaSet +font "courier,8,0" +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "courier,8,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "courier,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "courier,8,1" +) +junctionSymbolVaSet (VaSet +font "courier,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +font "courier,8,0" +) +tBlockActionsVaSet (VaSet +font "courier,8,0" +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +font "courier,8,0" +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "courier,8,1" +) +localDeclValueVaSet (VaSet +font "courier,8,0" +) +processDeclLabelVaSet (VaSet +font "courier,8,1" +) +processDeclValueVaSet (VaSet +font "courier,8,0" +) +globalActionsLabelVaSet (VaSet +font "courier,8,1" +) +globalActionsValueVaSet (VaSet +font "courier,8,0" +) +stateRegBlkLabelVaSet (VaSet +font "courier,8,1" +) +stateRegBlkValueVaSet (VaSet +font "courier,8,0" +) +signalsGenStatusVaSet (VaSet +font "courier,8,1" +) +signalsGenStatusValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "courier,8,1" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +genericInterfaceFontVaSet (VaSet +font "courier,8,0" +) +portRowVaSet (VaSet +vasetType 4 +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "std_logic_vector" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +DeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "courier,8,0" +) +order 0 +editSignalScope 4 +) +] diff --git a/Prefs/hds_user-linux/v2015.2/tasks/actel_place_and_route.tsk b/Prefs/hds_user-linux/v2015.2/tasks/actel_place_and_route.tsk new file mode 100644 index 0000000..014f1ac --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/actel_place_and_route.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Actel Place and Route" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_actel.bmp" +hasBitmap 1 +tooltip "Invokes the Actel Designer Place and Route tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"ActelPARInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/altera_megawizard.tsk b/Prefs/hds_user-linux/v2015.2/tasks/altera_megawizard.tsk new file mode 100644 index 0000000..1b63782 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/altera_megawizard.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Altera MegaWizard" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_alteramegawizard.bmp" +hasBitmap 1 +tooltip "Creates Altera Megawizard components" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"\"%(p)\" %(library)" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"AlteraMegaWizard" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/altera_sopc_builder.tsk b/Prefs/hds_user-linux/v2015.2/tasks/altera_sopc_builder.tsk new file mode 100644 index 0000000..5a394b1 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/altera_sopc_builder.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Altera SOPC Builder" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_alterasopc.bmp" +hasBitmap 1 +tooltip "Invokes and imports files from Altera SOPC Builder" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"AlteraSOPC" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/c_c_wrapper_generator.tsk b/Prefs/hds_user-linux/v2015.2/tasks/c_c_wrapper_generator.tsk new file mode 100644 index 0000000..aa121c5 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/c_c_wrapper_generator.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "C/C++ Wrapper Generator" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_cwrapper.bmp" +hasBitmap 1 +tooltip "Generates an HDL wrapper for a C/C++ view" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"CWrapperGen" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/designchecker.tsk b/Prefs/hds_user-linux/v2015.2/tasks/designchecker.tsk new file mode 100644 index 0000000..a955548 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/designchecker.tsk @@ -0,0 +1,43 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "DesignChecker" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_designanalyst.bmp" +hasBitmap 1 +tooltip "Runs DesignChecker" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"1" +"customPrompt" +"" +"forceGui" +"NO_FORCE" +"initialDir" +"" +"noSettingsDlg" +"1" +"promptForRunSettings" +"0" +"runnableObject" +"HdsLintPlugin" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/designchecker_flow.tsk b/Prefs/hds_user-linux/v2015.2/tasks/designchecker_flow.tsk new file mode 100644 index 0000000..2d662f3 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/designchecker_flow.tsk @@ -0,0 +1,57 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "DesignChecker Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_designanalyst.bmp" +hasBitmap 1 +tooltip "Generate and runs DesignChecker" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "DesignChecker" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"forceGui" +"NO_FORCE" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:DesignChecker" +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/fpga_library_compile.tsk b/Prefs/hds_user-linux/v2015.2/tasks/fpga_library_compile.tsk new file mode 100644 index 0000000..eedb81a --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/fpga_library_compile.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "FPGA Library Compile" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_fpgalibcomp.bmp" +hasBitmap 1 +tooltip "Compiles Vendor Simulation Libraries" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"FpgaLibsComp" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/fpga_technology_setup.tsk b/Prefs/hds_user-linux/v2015.2/tasks/fpga_technology_setup.tsk new file mode 100644 index 0000000..f1b887c --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/fpga_technology_setup.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "FPGA Technology Setup" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_fpgatechsetup.bmp" +hasBitmap 1 +tooltip "Sets the FPGA technology" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"FpgaTechSetup" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/generate.tsk b/Prefs/hds_user-linux/v2015.2/tasks/generate.tsk new file mode 100644 index 0000000..d248a15 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/i_o_design_flow.tsk b/Prefs/hds_user-linux/v2015.2/tasks/i_o_design_flow.tsk new file mode 100644 index 0000000..a191262 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/i_o_design_flow.tsk @@ -0,0 +1,72 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "I/O Design Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_blpro.bmp" +hasBitmap 1 +tooltip "Generate and runs BoardLink Pro to define pin assignments" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "I/O Design" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_blpro.bmp" +hasBitmap 1 +tooltip "Runs BoardLink Pro to define pin assignments" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"BoardLinkPro" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/lattice_place_and_route.tsk b/Prefs/hds_user-linux/v2015.2/tasks/lattice_place_and_route.tsk new file mode 100644 index 0000000..d1f9f2e --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/lattice_place_and_route.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Lattice Place and Route" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_lattice.bmp" +hasBitmap 1 +tooltip "Invokes the Lattice Place and Route tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"LatticePARInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/leonardospectrum.tsk b/Prefs/hds_user-linux/v2015.2/tasks/leonardospectrum.tsk new file mode 100644 index 0000000..3ab131f --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/leonardospectrum.tsk @@ -0,0 +1,101 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "LeonardoSpectrum" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_leonardo.bmp" +hasBitmap 1 +tooltip "Runs LeonardoSpectrum data preparation and invokes tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"$HDS_HOME/resources/tcl/plugins/dialogs/SpectrumCombinedDlg.tbc" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "LeonardoSpectrum Prepare Data" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_leonardo.bmp" +hasBitmap 1 +tooltip "Does data preparation for LeonardoSpectrum" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"SpectrumDataPrep" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "LeonardoSpectrum Synthesis Invoke" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_leonardo.bmp" +hasBitmap 1 +tooltip "Invokes the LeonardoSpectrum Synthesis tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"SpectrumInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/leonardospectrum_flow.tsk b/Prefs/hds_user-linux/v2015.2/tasks/leonardospectrum_flow.tsk new file mode 100644 index 0000000..fa1af05 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/leonardospectrum_flow.tsk @@ -0,0 +1,50 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "LeonardoSpectrum Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_leonardo.bmp" +hasBitmap 1 +tooltip "Generate and runs the entire LeonardoSpectrum flow" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "LeonardoSpectrum" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:LeonardoSpectrum" +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/modelsim_compile.tsk b/Prefs/hds_user-linux/v2015.2/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..6bf537f --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/modelsim_compile.tsk @@ -0,0 +1,48 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"exepath" +"%task_ModelSimPath" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/modelsim_flow.tsk b/Prefs/hds_user-linux/v2015.2/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..9e6f746 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/modelsim_flow.tsk @@ -0,0 +1,74 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "/usr/opt/Modelsim/modeltech/bin" +) +(preferedMap +preferedEnum 2 +preferedSetting "MODEL_SIM" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/modelsim_simulate.tsk b/Prefs/hds_user-linux/v2015.2/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..e11f828 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/modelsim_simulate.tsk @@ -0,0 +1,48 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"exepath" +"%task_ModelSimPath" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/precision_synthesis.tsk b/Prefs/hds_user-linux/v2015.2/tasks/precision_synthesis.tsk new file mode 100644 index 0000000..e4a16ef --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/precision_synthesis.tsk @@ -0,0 +1,101 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Precision Synthesis" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp" +hasBitmap 1 +tooltip "Runs Precision data preparation and invokes tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"$HDS_HOME/resources/tcl/plugins/dialogs/PrecisionSynthesisCombinedDlg.tbc" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Precision Synthesis Prepare Data" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp" +hasBitmap 1 +tooltip "Does data preparation for Precision Synthesis" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"PrecisionSynthesisDataPrep" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Precision Synthesis Invoke" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp" +hasBitmap 1 +tooltip "Invokes the Precision Synthesis tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"PrecisionSynthesisInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/precision_synthesis_flow.tsk b/Prefs/hds_user-linux/v2015.2/tasks/precision_synthesis_flow.tsk new file mode 100644 index 0000000..3b1c63a --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/precision_synthesis_flow.tsk @@ -0,0 +1,50 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Precision Synthesis Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp" +hasBitmap 1 +tooltip "Generate and runs the entire Precision Synthesis flow" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "Precision Synthesis" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Precision Synthesis" +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/quartus_ii_synthesis.tsk b/Prefs/hds_user-linux/v2015.2/tasks/quartus_ii_synthesis.tsk new file mode 100644 index 0000000..4d806ce --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/quartus_ii_synthesis.tsk @@ -0,0 +1,94 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Quartus II Synthesis" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp" +hasBitmap 1 +tooltip "Runs Quartus II Synthesis data preparation and invokes tool" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Quartus II Synthesis Prepare Data" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp" +hasBitmap 1 +tooltip "Does data preparation for Quartus II Synthesis" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"QISDataPrep" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Quartus II Synthesis Invoke" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Quartus II Synthesis tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"QISInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/quartus_ii_synthesis_flow.tsk b/Prefs/hds_user-linux/v2015.2/tasks/quartus_ii_synthesis_flow.tsk new file mode 100644 index 0000000..20f9ba1 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/quartus_ii_synthesis_flow.tsk @@ -0,0 +1,50 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Quartus II Synthesis Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp" +hasBitmap 1 +tooltip "Generate and runs the entire Quartus QIS Synthesis flow" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "Quartus II Synthesis" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Quartus II Synthesis" +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/quartus_place_and_route.tsk b/Prefs/hds_user-linux/v2015.2/tasks/quartus_place_and_route.tsk new file mode 100644 index 0000000..1ba58ba --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/quartus_place_and_route.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Quartus Place and Route" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_altera_quartus.bmp" +hasBitmap 1 +tooltip "Invokes the Quartus II Place and Route tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"QISPARInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/quartus_programmer.tsk b/Prefs/hds_user-linux/v2015.2/tasks/quartus_programmer.tsk new file mode 100644 index 0000000..a655174 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/quartus_programmer.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Quartus Programmer" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_programmer.bmp" +hasBitmap 1 +tooltip "Invokes the Quartus II Programmer tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"QISPGMInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/questasim_compile.tsk b/Prefs/hds_user-linux/v2015.2/tasks/questasim_compile.tsk new file mode 100644 index 0000000..1cbe2b6 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/questasim_compile.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "QuestaSim Compile" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_questasim_compile.bmp" +hasBitmap 1 +tooltip "Runs QuestaSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"QuestaSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/questasim_flow.tsk b/Prefs/hds_user-linux/v2015.2/tasks/questasim_flow.tsk new file mode 100644 index 0000000..3a2e9c1 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/questasim_flow.tsk @@ -0,0 +1,66 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "QuestaSim Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_questasim.bmp" +hasBitmap 1 +tooltip "Generate and run entire QuestaSim flow" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "QuestaSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:QuestaSim Compile" +) +(HDSTaskRef +TaskName "QuestaSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:QuestaSim Simulate" +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/questasim_simulate.tsk b/Prefs/hds_user-linux/v2015.2/tasks/questasim_simulate.tsk new file mode 100644 index 0000000..9201889 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/questasim_simulate.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "QuestaSim Simulate" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_questasim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the QuestaSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"QuestaSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/register_assistant.tsk b/Prefs/hds_user-linux/v2015.2/tasks/register_assistant.tsk new file mode 100644 index 0000000..c2c17d0 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/register_assistant.tsk @@ -0,0 +1,45 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Register Assistant" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_registerassistant.bmp" +hasBitmap 1 +tooltip "Invokes Register Assistant" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"" +"noNeedForThroughDesignRoot" +"1" +"noNeedForUseViewSpecificSettings" +"1" +"noSettingsDlg" +"1" +"promptForRunSettings" +"0" +"runnableObject" +"RegisterAssistantInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/systemverilog_assistant.tsk b/Prefs/hds_user-linux/v2015.2/tasks/systemverilog_assistant.tsk new file mode 100644 index 0000000..1b0b2e6 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/systemverilog_assistant.tsk @@ -0,0 +1,45 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "SystemVerilog Assistant" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_svassistant.bmp" +hasBitmap 1 +tooltip "Invokes SystemVerilog Assistant" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"" +"noNeedForThroughDesignRoot" +"1" +"noNeedForUseViewSpecificSettings" +"1" +"noSettingsDlg" +"1" +"promptForRunSettings" +"0" +"runnableObject" +"SvAssistantInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/xilinx_core_generator.tsk b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_core_generator.tsk new file mode 100644 index 0000000..f7da1b9 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_core_generator.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx CORE Generator" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinxcoregen.bmp" +hasBitmap 1 +tooltip "Creates Xilinx ISE CORE Generator components" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"XilinxCoregen" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/xilinx_import.tsk b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_import.tsk new file mode 100644 index 0000000..16050ec --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_import.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Import" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_import.bmp" +hasBitmap 1 +tooltip "Import Existing Xilinx ISE Project into HDS" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"XilinxImport" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/xilinx_place_and_route.tsk b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_place_and_route.tsk new file mode 100644 index 0000000..76ae08d --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_place_and_route.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Place and Route" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_projnav.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE Place and Route tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"ISEPARInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/xilinx_platform_studio.tsk b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_platform_studio.tsk new file mode 100644 index 0000000..20d8090 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_platform_studio.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Platform Studio" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinxplatstudio.bmp" +hasBitmap 1 +tooltip "Invokes and imports files from Xilinx Platform Studio" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"XilinxPlatStudio" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/xilinx_synthesis_tool.tsk b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_synthesis_tool.tsk new file mode 100644 index 0000000..a2db8d3 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_synthesis_tool.tsk @@ -0,0 +1,94 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Synthesis Tool" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Runs Xilinx ISE Synthesis Tool data preparation and invokes tool" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "XST Prepare Data" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Does data preparation for Xilinx ISE Synthesis Tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"XSTDataPrep" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "XST Invoke" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes Xilinx ISE Synthesis Tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"XSTInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/xilinx_synthesis_tool_flow.tsk b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_synthesis_tool_flow.tsk new file mode 100644 index 0000000..7105fe5 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_synthesis_tool_flow.tsk @@ -0,0 +1,50 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Synthesis Tool Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Generate and runs the entire Xilinx Synthesis Tool flow" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "Xilinx Synthesis Tool" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Xilinx Synthesis Tool" +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/tasks/xilinx_vivado_flow.tsk b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_vivado_flow.tsk new file mode 100644 index 0000000..25c63cc --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/tasks/xilinx_vivado_flow.tsk @@ -0,0 +1,72 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Vivado Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_vivado.bmp" +hasBitmap 1 +tooltip "Generate and runs Xilinx Vivado wizard" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Vivado" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_vivado.bmp" +hasBitmap 1 +tooltip "HDS integration with Xilinx Vivado" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"XilinxVivado" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +] +) diff --git a/Prefs/hds_user-linux/v2015.2/templates/text_files/new_document.txt b/Prefs/hds_user-linux/v2015.2/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/Prefs/hds_user-linux/v2015.2/templates/vhdl_architecture/architecture.vhd b/Prefs/hds_user-linux/v2015.2/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/Prefs/hds_user-linux/v2015.2/templates/vhdl_combined/combined.vhd b/Prefs/hds_user-linux/v2015.2/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/Prefs/hds_user-linux/v2015.2/templates/vhdl_configuration/configuration.vhd b/Prefs/hds_user-linux/v2015.2/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/Prefs/hds_user-linux/v2015.2/templates/vhdl_entity/entity.vhd b/Prefs/hds_user-linux/v2015.2/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/Prefs/hds_user-linux/v2015.2/templates/vhdl_package_body/package_body.vhd b/Prefs/hds_user-linux/v2015.2/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/Prefs/hds_user-linux/v2015.2/templates/vhdl_package_header/package_header.vhd b/Prefs/hds_user-linux/v2015.2/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/Prefs/hds_user-linux/v2015.2/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/Prefs/hds_user-linux/v2018.1/hds_user_prefs b/Prefs/hds_user-linux/v2018.1/hds_user_prefs new file mode 100644 index 0000000..0c35b12 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/hds_user_prefs @@ -0,0 +1,5790 @@ +version "48.1" +SaPreferences [ +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Atom" +second "atom %(p):%(l)" +) +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Builtin" +win32DefaultViewer "Builtin" +unixDefaultEditor "Atom" +unixDefaultViewer "Builtin" +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 3 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"TabularWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"StdTabWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"BdTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "/tmp" +projectPaths [ +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/ElN_cursor.hdp" +"/home/francois/Favorites/ElN_local/Labs/ElN_cursor/Prefs/hds.hdp" +"/home/francois/Documents/HEVs/SVN/ElN_cursor/Prefs/hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +defaultUserLibRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; +USE ieee.std_logic_1164.all; +USE ieee.std_logic_arith.all; +" +defaultVerilogPackages "" +defaultFont "courier,10,0" +tableFont "courier,10,0" +pageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +pageSizes [ +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER/title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "courier,8,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER/remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "task_DesignCompilerPath" +second "" +) +(pair +first "task_LeonardoPath" +second "" +) +(pair +first "task_ModelSimPath" +second "/usr/opt/Modelsim/modeltech/bin" +) +(pair +first "task_NC-SimPath" +second "" +) +(pair +first "task_PrecisionRTLPath" +second "" +) +(pair +first "task_QuestaSimPath" +second "" +) +(pair +first "task_VCSPath" +second "" +) +] +tasksOrder [ +"USER:Generate" +"USER:DesignChecker" +"USER:DesignChecker Flow" +"USER:Register Assistant" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:QuestaSim Compile" +"USER:QuestaSim Simulate" +"USER:Precision Synthesis" +"USER:LeonardoSpectrum" +"USER:Xilinx CORE Generator" +"USER:Altera MegaWizard" +"USER:Altera SOPC Builder" +"USER:Xilinx Platform Studio" +"USER:FPGA Technology Setup" +"USER:FPGA Library Compile" +"USER:Xilinx Synthesis Tool" +"USER:Xilinx Place and Route" +"USER:Xilinx Import" +"USER:Quartus Place and Route" +"USER:Quartus Programmer" +"USER:Actel Place and Route" +"USER:Lattice Place and Route" +"USER:C/C++ Wrapper Generator" +"USER:ModelSim Flow" +"USER:QuestaSim Flow" +"USER:LeonardoSpectrum Flow" +"USER:Precision Synthesis Flow" +"USER:Xilinx Synthesis Tool Flow" +"USER:Xilinx Vivado Flow" +"USER:I/O Design Flow" +"USER:SVAssistant Flow" +"USER:Quartus Prime Import" +"USER:Quartus Synthesis" +"USER:Quartus Synthesis Flow" +"USER:Quartus Synthesis Invoke" +"USER:Quartus Synthesis Prepare Data" +] +tasksToolbarOrder [ +"\"USER:DesignChecker Flow\"" +"\"USER:Register Assistant\"" +"USER:Generate" +"\"USER:ModelSim Flow\"" +"\"USER:Precision Synthesis Flow\"" +"\"USER:QuestaSim Flow\"" +"\"USER:SVAssistant Flow\"" +] +tasksMenubarOrder [ +"\"USER:DesignChecker Flow\"" +"USER:Generate" +"\"USER:ModelSim Flow\"" +"\"USER:Precision Synthesis Flow\"" +"\"USER:QuestaSim Flow\"" +"\"USER:SVAssistant Flow\"" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:Actel Place and Route\"" +"\"USER:Altera MegaWizard\"" +"\"USER:Altera SOPC Builder\"" +"\"USER:C/C++ Wrapper Generator\"" +"\"USER:DesignChecker Flow\"" +"\"USER:FPGA Technology Setup\"" +"\"USER:I/O Design Flow\"" +"\"USER:Lattice Place and Route\"" +"\"USER:LeonardoSpectrum Flow\"" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"\"USER:Precision Synthesis Flow\"" +"\"USER:Precision Synthesis\"" +"\"USER:Quartus Place and Route\"" +"\"USER:Quartus Programmer\"" +"\"USER:QuestaSim Compile\"" +"\"USER:QuestaSim Flow\"" +"\"USER:QuestaSim Simulate\"" +"\"USER:Xilinx CORE Generator\"" +"\"USER:Xilinx Import\"" +"\"USER:Xilinx Place and Route\"" +"\"USER:Xilinx Platform Studio\"" +"\"USER:Xilinx Synthesis Tool Flow\"" +"\"USER:Xilinx Synthesis Tool\"" +"\"USER:Xilinx Vivado Flow\"" +"USER:Generate" +"USER:LeonardoSpectrum" +"\"USER:SVAssistant Flow\"" +"\"USER:Quartus Synthesis Flow\"" +] +taskPreferences [ +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis\" \"USER:Quartus Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SystemVerilog Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x918-0+0" +diagramBrowserTabNo 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(graphical_source_author).%(graphical_source_group) (%(graphical_source_host)) +// at - %(graphical_source_time) %(graphical_source_date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "courier,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "courier,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +font "courier,8,0" +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +font "courier,8,0" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "courier,8,1" +) +caseExpressionVaSet (VaSet +font "courier,8,0" +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "courier,8,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "courier,8,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "courier,8,1" +) +signalStatValueVaSet (VaSet +font "courier,8,0" +) +declLabelVaSet (VaSet +font "courier,8,1" +) +declValueVaSet (VaSet +font "courier,8,0" +) +stmtLabelVaSet (VaSet +font "courier,8,1" +) +stmtValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_logic" +vhdlBusType "std_logic_vector" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "courier,8,1" +) +cptFontVaSet (VaSet +font "courier,8,1" +) +signalFontVaSet (VaSet +font "courier,8,0" +) +bundleFontVaSet (VaSet +font "courier,8,0" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +hdlTextFontVaSet (VaSet +font "courier,8,1" +) +embeddedTextVaSet (VaSet +font "courier,8,0" +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "courier,8,1" +) +genericAssocFontVaSet (VaSet +font "courier,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +font "courier,8,0" +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +font "courier,8,0" +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "courier,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "courier,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "courier,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "courier,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "courier,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "courier,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "courier,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "courier,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "courier,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +actionBoxActionsVaSet (VaSet +font "courier,8,0" +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "courier,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +decisionBoxConditionVaSet (VaSet +font "courier,8,0" +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "courier,8,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +waitBoxConditionVaSet (VaSet +font "courier,8,0" +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "courier,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startLoopLabelVaSet (VaSet +font "courier,8,1" +) +startLoopConditionVaSet (VaSet +font "courier,8,0" +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "courier,8,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +font "courier,8,0" +) +startCaseLabelVaSet (VaSet +font "courier,8,1" +) +startCaseExpressionVaSet (VaSet +font "courier,8,0" +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "courier,8,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "courier,8,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +processDeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +processDeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +sensitivityListBlockLabelVaSet (VaSet +font "courier,8,1" +) +sensitivityListBlockValueVaSet (VaSet +font "courier,8,0" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +"Cursor" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +"Cursor_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +"Board" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"Requirements" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 59 +) +] +displayHierarchy 0 +xPos 59 +yPos 138 +width 1177 +height 860 +activeSidePanelTab 2 +activeLibraryTab 2 +sidePanelSize 280 +showUnixHiddenFiles 0 +componentBrowserLibraryNames [ +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +font "courier,8,0" +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +font "courier,8,0" +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +font "courier,8,0" +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +font "courier,8,0" +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "courier,10,1" +) +stateEncodingVaSet (VaSet +font "courier,8,1" +) +stateActionsVaSet (VaSet +font "courier,8,0" +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "courier,8,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "courier,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "courier,8,1" +) +junctionSymbolVaSet (VaSet +font "courier,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "courier,8,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "courier,10,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +font "courier,8,0" +) +tBlockActionsVaSet (VaSet +font "courier,8,0" +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +font "courier,8,0" +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "courier,8,1" +) +localDeclValueVaSet (VaSet +font "courier,8,0" +) +processDeclLabelVaSet (VaSet +font "courier,8,1" +) +processDeclValueVaSet (VaSet +font "courier,8,0" +) +globalActionsLabelVaSet (VaSet +font "courier,8,1" +) +globalActionsValueVaSet (VaSet +font "courier,8,0" +) +stateRegBlkLabelVaSet (VaSet +font "courier,8,1" +) +stateRegBlkValueVaSet (VaSet +font "courier,8,0" +) +signalsGenStatusVaSet (VaSet +font "courier,8,1" +) +signalsGenStatusValueVaSet (VaSet +font "courier,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "courier,8,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +font "courier,8,0" +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "courier,8,1" +) +packageListFontVaSet (VaSet +font "courier,8,0" +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "courier,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "courier,8,1" +) +CompDirValueVaSet (VaSet +font "courier,8,0" +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "courier,8,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "courier,8,1" +) +cptPortFontVaSet (VaSet +font "courier,8,0" +) +genericInterfaceFontVaSet (VaSet +font "courier,8,0" +) +portRowVaSet (VaSet +vasetType 4 +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "std_logic_vector" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "courier,8,1" +) +DeclarativeBlockValueVaSet (VaSet +font "courier,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "courier,8,0" +) +order 0 +editSignalScope 4 +) +] diff --git a/Prefs/hds_user-linux/v2018.1/tasks/actel_place_and_route.tsk b/Prefs/hds_user-linux/v2018.1/tasks/actel_place_and_route.tsk new file mode 100644 index 0000000..014f1ac --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/actel_place_and_route.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Actel Place and Route" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_actel.bmp" +hasBitmap 1 +tooltip "Invokes the Actel Designer Place and Route tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"ActelPARInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/altera_megawizard.tsk b/Prefs/hds_user-linux/v2018.1/tasks/altera_megawizard.tsk new file mode 100644 index 0000000..1b63782 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/altera_megawizard.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Altera MegaWizard" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_alteramegawizard.bmp" +hasBitmap 1 +tooltip "Creates Altera Megawizard components" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"\"%(p)\" %(library)" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"AlteraMegaWizard" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/altera_sopc_builder.tsk b/Prefs/hds_user-linux/v2018.1/tasks/altera_sopc_builder.tsk new file mode 100644 index 0000000..5a394b1 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/altera_sopc_builder.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Altera SOPC Builder" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_alterasopc.bmp" +hasBitmap 1 +tooltip "Invokes and imports files from Altera SOPC Builder" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"AlteraSOPC" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/c_c_wrapper_generator.tsk b/Prefs/hds_user-linux/v2018.1/tasks/c_c_wrapper_generator.tsk new file mode 100644 index 0000000..aa121c5 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/c_c_wrapper_generator.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "C/C++ Wrapper Generator" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_cwrapper.bmp" +hasBitmap 1 +tooltip "Generates an HDL wrapper for a C/C++ view" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"CWrapperGen" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/designchecker.tsk b/Prefs/hds_user-linux/v2018.1/tasks/designchecker.tsk new file mode 100644 index 0000000..a955548 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/designchecker.tsk @@ -0,0 +1,43 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "DesignChecker" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_designanalyst.bmp" +hasBitmap 1 +tooltip "Runs DesignChecker" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"1" +"customPrompt" +"" +"forceGui" +"NO_FORCE" +"initialDir" +"" +"noSettingsDlg" +"1" +"promptForRunSettings" +"0" +"runnableObject" +"HdsLintPlugin" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/designchecker_flow.tsk b/Prefs/hds_user-linux/v2018.1/tasks/designchecker_flow.tsk new file mode 100644 index 0000000..2d662f3 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/designchecker_flow.tsk @@ -0,0 +1,57 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "DesignChecker Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_designanalyst.bmp" +hasBitmap 1 +tooltip "Generate and runs DesignChecker" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "DesignChecker" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"forceGui" +"NO_FORCE" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:DesignChecker" +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/fpga_library_compile.tsk b/Prefs/hds_user-linux/v2018.1/tasks/fpga_library_compile.tsk new file mode 100644 index 0000000..eedb81a --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/fpga_library_compile.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "FPGA Library Compile" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_fpgalibcomp.bmp" +hasBitmap 1 +tooltip "Compiles Vendor Simulation Libraries" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"FpgaLibsComp" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/fpga_technology_setup.tsk b/Prefs/hds_user-linux/v2018.1/tasks/fpga_technology_setup.tsk new file mode 100644 index 0000000..f1b887c --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/fpga_technology_setup.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "FPGA Technology Setup" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_fpgatechsetup.bmp" +hasBitmap 1 +tooltip "Sets the FPGA technology" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"FpgaTechSetup" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/generate.tsk b/Prefs/hds_user-linux/v2018.1/tasks/generate.tsk new file mode 100644 index 0000000..d248a15 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/i_o_design_flow.tsk b/Prefs/hds_user-linux/v2018.1/tasks/i_o_design_flow.tsk new file mode 100644 index 0000000..a191262 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/i_o_design_flow.tsk @@ -0,0 +1,72 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "I/O Design Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_blpro.bmp" +hasBitmap 1 +tooltip "Generate and runs BoardLink Pro to define pin assignments" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "I/O Design" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_blpro.bmp" +hasBitmap 1 +tooltip "Runs BoardLink Pro to define pin assignments" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"BoardLinkPro" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/lattice_place_and_route.tsk b/Prefs/hds_user-linux/v2018.1/tasks/lattice_place_and_route.tsk new file mode 100644 index 0000000..d1f9f2e --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/lattice_place_and_route.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Lattice Place and Route" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_lattice.bmp" +hasBitmap 1 +tooltip "Invokes the Lattice Place and Route tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"LatticePARInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/leonardospectrum.tsk b/Prefs/hds_user-linux/v2018.1/tasks/leonardospectrum.tsk new file mode 100644 index 0000000..3ab131f --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/leonardospectrum.tsk @@ -0,0 +1,101 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "LeonardoSpectrum" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_leonardo.bmp" +hasBitmap 1 +tooltip "Runs LeonardoSpectrum data preparation and invokes tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"$HDS_HOME/resources/tcl/plugins/dialogs/SpectrumCombinedDlg.tbc" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "LeonardoSpectrum Prepare Data" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_leonardo.bmp" +hasBitmap 1 +tooltip "Does data preparation for LeonardoSpectrum" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"SpectrumDataPrep" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "LeonardoSpectrum Synthesis Invoke" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_leonardo.bmp" +hasBitmap 1 +tooltip "Invokes the LeonardoSpectrum Synthesis tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"SpectrumInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/leonardospectrum_flow.tsk b/Prefs/hds_user-linux/v2018.1/tasks/leonardospectrum_flow.tsk new file mode 100644 index 0000000..fa1af05 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/leonardospectrum_flow.tsk @@ -0,0 +1,50 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "LeonardoSpectrum Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_leonardo.bmp" +hasBitmap 1 +tooltip "Generate and runs the entire LeonardoSpectrum flow" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "LeonardoSpectrum" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:LeonardoSpectrum" +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/modelsim_compile.tsk b/Prefs/hds_user-linux/v2018.1/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..6bf537f --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/modelsim_compile.tsk @@ -0,0 +1,48 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"exepath" +"%task_ModelSimPath" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/modelsim_flow.tsk b/Prefs/hds_user-linux/v2018.1/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..9e6f746 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/modelsim_flow.tsk @@ -0,0 +1,74 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "/usr/opt/Modelsim/modeltech/bin" +) +(preferedMap +preferedEnum 2 +preferedSetting "MODEL_SIM" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/modelsim_simulate.tsk b/Prefs/hds_user-linux/v2018.1/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..e11f828 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/modelsim_simulate.tsk @@ -0,0 +1,48 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"exepath" +"%task_ModelSimPath" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/precision_synthesis.tsk b/Prefs/hds_user-linux/v2018.1/tasks/precision_synthesis.tsk new file mode 100644 index 0000000..e4a16ef --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/precision_synthesis.tsk @@ -0,0 +1,101 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Precision Synthesis" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp" +hasBitmap 1 +tooltip "Runs Precision data preparation and invokes tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"$HDS_HOME/resources/tcl/plugins/dialogs/PrecisionSynthesisCombinedDlg.tbc" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Precision Synthesis Prepare Data" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp" +hasBitmap 1 +tooltip "Does data preparation for Precision Synthesis" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"PrecisionSynthesisDataPrep" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Precision Synthesis Invoke" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp" +hasBitmap 1 +tooltip "Invokes the Precision Synthesis tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"PrecisionSynthesisInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/precision_synthesis_flow.tsk b/Prefs/hds_user-linux/v2018.1/tasks/precision_synthesis_flow.tsk new file mode 100644 index 0000000..3b1c63a --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/precision_synthesis_flow.tsk @@ -0,0 +1,50 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Precision Synthesis Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_precision.bmp" +hasBitmap 1 +tooltip "Generate and runs the entire Precision Synthesis flow" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "Precision Synthesis" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Precision Synthesis" +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/quartus_place_and_route.tsk b/Prefs/hds_user-linux/v2018.1/tasks/quartus_place_and_route.tsk new file mode 100644 index 0000000..1ba58ba --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/quartus_place_and_route.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Quartus Place and Route" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_altera_quartus.bmp" +hasBitmap 1 +tooltip "Invokes the Quartus II Place and Route tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"QISPARInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/quartus_prime_import.tsk b/Prefs/hds_user-linux/v2018.1/tasks/quartus_prime_import.tsk new file mode 100644 index 0000000..232d299 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/quartus_prime_import.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Quartus Prime Import" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_altera_quartus_prime.bmp" +hasBitmap 1 +tooltip "Import IP variations from Quartus Prime" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"\"%(p)\" %(library)" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"QuartusPrimeImport" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/quartus_programmer.tsk b/Prefs/hds_user-linux/v2018.1/tasks/quartus_programmer.tsk new file mode 100644 index 0000000..a655174 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/quartus_programmer.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Quartus Programmer" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_programmer.bmp" +hasBitmap 1 +tooltip "Invokes the Quartus II Programmer tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"QISPGMInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis.tsk b/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis.tsk new file mode 100644 index 0000000..a40412d --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis.tsk @@ -0,0 +1,94 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Quartus Synthesis" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp" +hasBitmap 1 +tooltip "Runs Quartus Synthesis data preparation and invokes tool" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Quartus Synthesis Prepare Data" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp" +hasBitmap 1 +tooltip "Does data preparation for Quartus Synthesis" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"QISDataPrep" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Quartus Synthesis Invoke" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Quartus Synthesis tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"QISInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis_flow.tsk b/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis_flow.tsk new file mode 100644 index 0000000..0210e0e --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis_flow.tsk @@ -0,0 +1,50 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Quartus Synthesis Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp" +hasBitmap 1 +tooltip "Generate and runs the entire Quartus QIS Synthesis flow" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "Quartus Synthesis" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Quartus Synthesis" +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis_invoke.tsk b/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis_invoke.tsk new file mode 100644 index 0000000..cb4a2bc --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis_invoke.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Quartus Synthesis Invoke" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Quartus Synthesis tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"QISInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis_prepare_data.tsk b/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis_prepare_data.tsk new file mode 100644 index 0000000..007bff2 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/quartus_synthesis_prepare_data.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Quartus Synthesis Prepare Data" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_quartus_synthesis.bmp" +hasBitmap 1 +tooltip "Does data preparation for Quartus Synthesis" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"QISDataPrep" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/questasim_compile.tsk b/Prefs/hds_user-linux/v2018.1/tasks/questasim_compile.tsk new file mode 100644 index 0000000..1cbe2b6 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/questasim_compile.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "QuestaSim Compile" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_questasim_compile.bmp" +hasBitmap 1 +tooltip "Runs QuestaSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"QuestaSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/questasim_flow.tsk b/Prefs/hds_user-linux/v2018.1/tasks/questasim_flow.tsk new file mode 100644 index 0000000..3a2e9c1 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/questasim_flow.tsk @@ -0,0 +1,66 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "QuestaSim Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_questasim.bmp" +hasBitmap 1 +tooltip "Generate and run entire QuestaSim flow" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "QuestaSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:QuestaSim Compile" +) +(HDSTaskRef +TaskName "QuestaSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:QuestaSim Simulate" +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/questasim_simulate.tsk b/Prefs/hds_user-linux/v2018.1/tasks/questasim_simulate.tsk new file mode 100644 index 0000000..9201889 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/questasim_simulate.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "QuestaSim Simulate" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_questasim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the QuestaSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"QuestaSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/register_assistant.tsk b/Prefs/hds_user-linux/v2018.1/tasks/register_assistant.tsk new file mode 100644 index 0000000..c2c17d0 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/register_assistant.tsk @@ -0,0 +1,45 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Register Assistant" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_registerassistant.bmp" +hasBitmap 1 +tooltip "Invokes Register Assistant" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"" +"noNeedForThroughDesignRoot" +"1" +"noNeedForUseViewSpecificSettings" +"1" +"noSettingsDlg" +"1" +"promptForRunSettings" +"0" +"runnableObject" +"RegisterAssistantInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/svassistant_flow.tsk b/Prefs/hds_user-linux/v2018.1/tasks/svassistant_flow.tsk new file mode 100644 index 0000000..466248c --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/svassistant_flow.tsk @@ -0,0 +1,78 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "SVAssistant Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_svassistant.bmp" +hasBitmap 1 +tooltip "Invokes SVAssistant Flow" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "SVAssistant" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_svassistant.bmp" +hasBitmap 1 +tooltip "Invokes SVAssistant" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"" +"noNeedForThroughDesignRoot" +"1" +"noNeedForUseViewSpecificSettings" +"1" +"noSettingsDlg" +"1" +"promptForRunSettings" +"0" +"runnableObject" +"SvAssistantInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/xilinx_core_generator.tsk b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_core_generator.tsk new file mode 100644 index 0000000..f7da1b9 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_core_generator.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx CORE Generator" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinxcoregen.bmp" +hasBitmap 1 +tooltip "Creates Xilinx ISE CORE Generator components" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"XilinxCoregen" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/xilinx_import.tsk b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_import.tsk new file mode 100644 index 0000000..16050ec --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_import.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Import" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_import.bmp" +hasBitmap 1 +tooltip "Import Existing Xilinx ISE Project into HDS" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"XilinxImport" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/xilinx_place_and_route.tsk b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_place_and_route.tsk new file mode 100644 index 0000000..76ae08d --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_place_and_route.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Place and Route" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_projnav.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE Place and Route tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"ISEPARInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/xilinx_platform_studio.tsk b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_platform_studio.tsk new file mode 100644 index 0000000..20d8090 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_platform_studio.tsk @@ -0,0 +1,39 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Platform Studio" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinxplatstudio.bmp" +hasBitmap 1 +tooltip "Invokes and imports files from Xilinx Platform Studio" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"XilinxPlatStudio" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/xilinx_synthesis_tool.tsk b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_synthesis_tool.tsk new file mode 100644 index 0000000..a2db8d3 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_synthesis_tool.tsk @@ -0,0 +1,94 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Synthesis Tool" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Runs Xilinx ISE Synthesis Tool data preparation and invokes tool" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "XST Prepare Data" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Does data preparation for Xilinx ISE Synthesis Tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"XSTDataPrep" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "XST Invoke" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes Xilinx ISE Synthesis Tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runnableObject" +"XSTInvoke" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/xilinx_synthesis_tool_flow.tsk b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_synthesis_tool_flow.tsk new file mode 100644 index 0000000..7105fe5 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_synthesis_tool_flow.tsk @@ -0,0 +1,50 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Synthesis Tool Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Generate and runs the entire Xilinx Synthesis Tool flow" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "Xilinx Synthesis Tool" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Xilinx Synthesis Tool" +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/tasks/xilinx_vivado_flow.tsk b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_vivado_flow.tsk new file mode 100644 index 0000000..25c63cc --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/tasks/xilinx_vivado_flow.tsk @@ -0,0 +1,72 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Vivado Flow" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_vivado.bmp" +hasBitmap 1 +tooltip "Generate and runs Xilinx Vivado wizard" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Vivado" +bitmap "$HDS_HOME/resources/bitmaps/tools/tool_xilinx_vivado.bmp" +hasBitmap 1 +tooltip "HDS integration with Xilinx Vivado" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runnableObject" +"XilinxVivado" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +] +) diff --git a/Prefs/hds_user-linux/v2018.1/templates/text_files/new_document.txt b/Prefs/hds_user-linux/v2018.1/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/Prefs/hds_user-linux/v2018.1/templates/vhdl_architecture/architecture.vhd b/Prefs/hds_user-linux/v2018.1/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/Prefs/hds_user-linux/v2018.1/templates/vhdl_combined/combined.vhd b/Prefs/hds_user-linux/v2018.1/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/Prefs/hds_user-linux/v2018.1/templates/vhdl_configuration/configuration.vhd b/Prefs/hds_user-linux/v2018.1/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/Prefs/hds_user-linux/v2018.1/templates/vhdl_entity/entity.vhd b/Prefs/hds_user-linux/v2018.1/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/Prefs/hds_user-linux/v2018.1/templates/vhdl_package_body/package_body.vhd b/Prefs/hds_user-linux/v2018.1/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/Prefs/hds_user-linux/v2018.1/templates/vhdl_package_header/package_header.vhd b/Prefs/hds_user-linux/v2018.1/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/Prefs/hds_user-linux/v2018.1/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/Prefs/hds_user/v2015.2/hds_user_prefs b/Prefs/hds_user/v2015.2/hds_user_prefs new file mode 100644 index 0000000..3ecac1e --- /dev/null +++ b/Prefs/hds_user/v2015.2/hds_user_prefs @@ -0,0 +1,6011 @@ +version "45.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SystemVerilog Assistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SystemVerilog Assistant" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SystemVerilog Assistant" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "UltraEdit" +win32DefaultViewer "UltraEdit" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"c:\\DATA\\EIV_Ele_2131\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"c:\\DATA\\EIV_Ele_2131_until_2018\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"c:\\DATA\\EIV_Ele_2131\\Cursor_trial\\Prefs\\hds.hdp" +"C:\\DATA\\EIV_Ele_2131\\Cursor_trial\\Prefs\\cursor.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "\\\\ipp://ipp.hevs.ch\\PREA306_HPLJP3005DN" +pageSizes [ +(PageSizeInfo +name "Letter" +width 783 +height 1013 +) +(PageSizeInfo +name "Legal" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Statement" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A5" +type 11 +width 536 +height 761 +) +(PageSizeInfo +name "B4 (JIS)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 (JIS)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "11x17" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Envelope #10" +type 20 +width 379 +height 875 +) +(PageSizeInfo +name "Envelope DL" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Envelope C5" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "Envelope B5" +type 34 +width 638 +height 907 +) +(PageSizeInfo +name "Envelope Monarch" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "Japanese Postcard" +type 43 +width 362 +height 536 +) +(PageSizeInfo +name "A6" +type 70 +width 380 +height 536 +) +(PageSizeInfo +name "Double Japan Postcard Rotated" +type 82 +width 536 +height 725 +) +(PageSizeInfo +name "Executive (JIS)" +type 150 +width 783 +height 1196 +) +(PageSizeInfo +name "Oficio 8.5x13" +type 151 +width 783 +height 1198 +) +(PageSizeInfo +name "12x18" +type 152 +width 1105 +height 1658 +) +(PageSizeInfo +name "8K 273x394 mm" +type 168 +width 990 +height 1428 +) +(PageSizeInfo +name "16K 197x273 mm" +type 169 +width 714 +height 990 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +(pair +first "designName" +second "$DESIGN_NAME" +) +(pair +first "task_HDSPath" +second "$HDS_HOME" +) +(pair +first "task_ISEBinPath" +second "$ISE_HOME" +) +(pair +first "task_ISEPath" +second "$SCRATCH_DIR\\$DESIGN_NAME\\Board\\ise" +) +(pair +first "task_ModelSimPath" +second "$MODELSIM_HOME" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +2 +"entity.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +2 +0 +] +tasksOrder [ +"USER:DesignChecker" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:Trim librairies" +"USER:Concatenate HDL" +"USER:Generate" +"USER:DesignChecker Flow" +"USER:Xilinx Impact" +"USER:Batch Programming" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +"USER:Register Assistant" +"USER:SystemVerilog Assistant" +"USER:Xilinx Vivado Flow" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +"\"USER:Register Assistant\"" +"\"USER:SystemVerilog Assistant\"" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SystemVerilog Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x200-0+0" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +"Cursor" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +"Board" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +"TemplateManagement::Team" +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 106 +yPos 102 +width 1099 +height 826 +activeSidePanelTab 2 +activeLibraryTab 2 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserLibraryNames [ +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/Prefs/hds_user/v2015.2/tasks/generate.tsk b/Prefs/hds_user/v2015.2/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/Prefs/hds_user/v2015.2/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/Prefs/hds_user/v2015.2/tasks/modelsim_compile.tsk b/Prefs/hds_user/v2015.2/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/Prefs/hds_user/v2015.2/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user/v2015.2/tasks/modelsim_flow.tsk b/Prefs/hds_user/v2015.2/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/Prefs/hds_user/v2015.2/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/Prefs/hds_user/v2015.2/tasks/modelsim_simulate.tsk b/Prefs/hds_user/v2015.2/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..6962f42 --- /dev/null +++ b/Prefs/hds_user/v2015.2/tasks/modelsim_simulate.tsk @@ -0,0 +1,96 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user/v2015.2/tasks/prepare_for_synthesis.tsk b/Prefs/hds_user/v2015.2/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/Prefs/hds_user/v2015.2/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user/v2015.2/tasks/xilinx_project_navigator.tsk b/Prefs/hds_user/v2015.2/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/Prefs/hds_user/v2015.2/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user/v2015.2/templates/text_files/new_document.txt b/Prefs/hds_user/v2015.2/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/Prefs/hds_user/v2015.2/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/Prefs/hds_user/v2015.2/templates/vhdl_architecture/architecture.vhd b/Prefs/hds_user/v2015.2/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/Prefs/hds_user/v2015.2/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/Prefs/hds_user/v2015.2/templates/vhdl_combined/combined.vhd b/Prefs/hds_user/v2015.2/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/Prefs/hds_user/v2015.2/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/Prefs/hds_user/v2015.2/templates/vhdl_configuration/configuration.vhd b/Prefs/hds_user/v2015.2/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/Prefs/hds_user/v2015.2/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/Prefs/hds_user/v2015.2/templates/vhdl_entity/entity.vhd b/Prefs/hds_user/v2015.2/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/Prefs/hds_user/v2015.2/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/Prefs/hds_user/v2015.2/templates/vhdl_package_body/package_body.vhd b/Prefs/hds_user/v2015.2/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/Prefs/hds_user/v2015.2/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/Prefs/hds_user/v2015.2/templates/vhdl_package_header/package_header.vhd b/Prefs/hds_user/v2015.2/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/Prefs/hds_user/v2015.2/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/Prefs/hds_user/v2018.1/hds_user_prefs b/Prefs/hds_user/v2018.1/hds_user_prefs new file mode 100644 index 0000000..c2c84cb --- /dev/null +++ b/Prefs/hds_user/v2018.1/hds_user_prefs @@ -0,0 +1,6826 @@ +version "48.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "SublimeText" +second "sublime_text.exe %(p)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "SublimeText" +win32DefaultViewer "UltraEdit" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "FinePrint" +pageSizes [ +(PageSizeInfo +name "Letter" +width 783 +height 1013 +) +(PageSizeInfo +name "Letter Small" +type 2 +width 783 +height 1013 +) +(PageSizeInfo +name "Tabloid" +type 3 +width 1013 +height 1566 +) +(PageSizeInfo +name "Ledger" +type 4 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Statement" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A4 Small" +type 10 +width 761 +height 1077 +) +(PageSizeInfo +name "A5" +type 11 +width 536 +height 761 +) +(PageSizeInfo +name "B4 (JIS)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 (JIS)" +type 13 +width 660 +height 932 +) +(PageSizeInfo +name "Folio" +type 14 +width 783 +height 1198 +) +(PageSizeInfo +name "Quarto" +type 15 +width 780 +height 997 +) +(PageSizeInfo +name "10x14" +type 16 +width 921 +height 1290 +) +(PageSizeInfo +name "11x17" +type 17 +width 1013 +height 1566 +) +(PageSizeInfo +name "Note" +type 18 +width 783 +height 1013 +) +(PageSizeInfo +name "Envelope #9" +type 19 +width 357 +height 817 +) +(PageSizeInfo +name "Envelope #10" +type 20 +width 379 +height 875 +) +(PageSizeInfo +name "Envelope #11" +type 21 +width 414 +height 956 +) +(PageSizeInfo +name "Envelope #12" +type 22 +width 437 +height 1013 +) +(PageSizeInfo +name "Envelope #14" +type 23 +width 460 +height 1059 +) +(PageSizeInfo +name "C size sheet" +type 24 +width 1566 +height 2027 +) +(PageSizeInfo +name "D size sheet" +type 25 +width 2027 +height 3133 +) +(PageSizeInfo +name "E size sheet" +type 26 +width 3133 +height 4055 +) +(PageSizeInfo +name "Envelope DL" +type 27 +width 399 +height 798 +) +(PageSizeInfo +name "Envelope C5" +type 28 +width 587 +height 830 +) +(PageSizeInfo +name "Envelope C3" +type 29 +width 1175 +height 1661 +) +(PageSizeInfo +name "Envelope C4" +type 30 +width 830 +height 1175 +) +(PageSizeInfo +name "Envelope C6" +type 31 +width 413 +height 587 +) +(PageSizeInfo +name "Envelope C65" +type 32 +width 413 +height 830 +) +(PageSizeInfo +name "Envelope B4" +type 33 +width 907 +height 1280 +) +(PageSizeInfo +name "Envelope B5" +type 34 +width 638 +height 907 +) +(PageSizeInfo +name "Envelope B6" +type 35 +width 453 +height 638 +) +(PageSizeInfo +name "Envelope Italy" +type 36 +width 399 +height 834 +) +(PageSizeInfo +name "Envelope Monarch" +type 37 +width 357 +height 691 +) +(PageSizeInfo +name "6 3/4 Envelope" +type 38 +width 333 +height 599 +) +(PageSizeInfo +name "US Std Fanfold" +type 39 +width 1013 +height 1370 +) +(PageSizeInfo +name "German Std Fanfold" +type 40 +width 783 +height 1105 +) +(PageSizeInfo +name "German Legal Fanfold" +type 41 +width 783 +height 1198 +) +(PageSizeInfo +name "B4 (ISO)" +type 42 +width 907 +height 1280 +) +(PageSizeInfo +name "Japanese Postcard" +type 43 +width 362 +height 536 +) +(PageSizeInfo +name "9x11" +type 44 +width 829 +height 1013 +) +(PageSizeInfo +name "10x11" +type 45 +width 921 +height 1013 +) +(PageSizeInfo +name "11x15" +type 46 +width 1013 +height 1382 +) +(PageSizeInfo +name "Envelope Invite" +type 47 +width 798 +height 798 +) +(PageSizeInfo +name "Letter Extra" +type 50 +width 875 +height 1105 +) +(PageSizeInfo +name "Legal Extra" +type 51 +width 875 +height 1382 +) +(PageSizeInfo +name "Tabloid Extra" +type 52 +width 1077 +height 1658 +) +(PageSizeInfo +name "A4 Extra" +type 53 +width 854 +height 1169 +) +(PageSizeInfo +name "Letter Transverse" +type 54 +width 783 +height 1013 +) +(PageSizeInfo +name "A4 Transverse" +type 55 +width 761 +height 1077 +) +(PageSizeInfo +name "Letter Extra Transverse" +type 56 +width 875 +height 1105 +) +(PageSizeInfo +name "SuperA/SuperA/A4" +type 57 +width 823 +height 1291 +) +(PageSizeInfo +name "SuperB/SuperB/A3" +type 58 +width 1106 +height 1767 +) +(PageSizeInfo +name "Letter Plus" +type 59 +width 783 +height 1169 +) +(PageSizeInfo +name "A4 Plus" +type 60 +width 761 +height 1197 +) +(PageSizeInfo +name "A5 Transverse" +type 61 +width 536 +height 761 +) +(PageSizeInfo +name "B5 (JIS) Transverse" +type 62 +width 660 +height 932 +) +(PageSizeInfo +name "A3 Extra" +type 63 +width 1168 +height 1614 +) +(PageSizeInfo +name "A5 Extra" +type 64 +width 631 +height 852 +) +(PageSizeInfo +name "B5 (ISO) Extra" +type 65 +width 729 +height 1001 +) +(PageSizeInfo +name "A2" +type 66 +width 1523 +height 2155 +) +(PageSizeInfo +name "A3 Transverse" +type 67 +width 1077 +height 1523 +) +(PageSizeInfo +name "A3 Extra Transverse" +type 68 +width 1168 +height 1614 +) +(PageSizeInfo +name "FinePrint 2 Seiten Letter" +type 257 +width 783 +height 1210 +) +(PageSizeInfo +type 256 +width 0 +height 0 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x867+1238+103" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +"Board" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +"Cursor_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +"Cursor" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 381 +yPos 138 +width 1070 +height 838 +activeSidePanelTab 2 +activeLibraryTab 1 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/Prefs/hds_user/v2018.1/tasks/generate.tsk b/Prefs/hds_user/v2018.1/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/Prefs/hds_user/v2018.1/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk b/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/Prefs/hds_user/v2018.1/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk b/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/Prefs/hds_user/v2018.1/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk b/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..6962f42 --- /dev/null +++ b/Prefs/hds_user/v2018.1/tasks/modelsim_simulate.tsk @@ -0,0 +1,96 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk b/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/Prefs/hds_user/v2018.1/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk b/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/Prefs/hds_user/v2018.1/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt b/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/Prefs/hds_user/v2018.1/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd b/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/Prefs/hds_user/v2018.1/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd b/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/Prefs/hds_user/v2018.1/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd b/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/Prefs/hds_user/v2018.1/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd b/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/Prefs/hds_user/v2018.1/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd b/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/Prefs/hds_user/v2018.1/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd b/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/Prefs/hds_user/v2018.1/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/Prefs/hds_user/v2019.2/hds_user_prefs b/Prefs/hds_user/v2019.2/hds_user_prefs new file mode 100644 index 0000000..23b555e --- /dev/null +++ b/Prefs/hds_user/v2019.2/hds_user_prefs @@ -0,0 +1,6487 @@ +version "49.1" +SaPreferences [ +(CustomizeUserPreferences +version "1.0" +FileTypes [ +(FileTypeState +Extension "c" +Description "C Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\c_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "cpp" +Description "C++ Source File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cpp_source.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "Generate" +Tool "USER:C/C++ Wrapper Generator" +Arguments "" +) +] +) +(FileTypeState +Extension "xdb" +Description "Mentor Graphics Binary Synthesis File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xdb.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "None" +Arguments "" +) +] +) +(FileTypeState +Extension "sdf" +Description "Standard Delay Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mif" +Description "Memory Initialization File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\mif.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "hex" +Description "HEX-Format File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\hex.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdc" +Description "Synopsys Design Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ctr" +Description "Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdc.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rep" +Description "Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "log" +Description "Log File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad" +Description "Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "dly" +Description "Delay Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "syr" +Description "Xilinx Synthesis Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "par" +Description "Xilinx Place and Route Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "twr" +Description "Xilinx Static Timing Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "drc" +Description "Xilinx Design Rule Checking Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bgn" +Description "Xilinx Bitstream Generation Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "mrp" +Description "Xilinx Mapping Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pad_txt" +Description "Xilinx Pad Report" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncf" +Description "Xilinx Netlist Constraint File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xcf" +Description "Xilinx Synthesis Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pcf" +Description "Xilinx Place and Route Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ucf" +Description "Xilinx User Constraints File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ncd" +Description "Xilinx Floorplanner File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\ncd.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bld" +Description "Xilinx NGDBuild Log" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\log.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "bit" +Description "Xilinx Bit File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "bin" +Description "Xilinx Binary Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbt" +Description "Xilinx ASCII Configuration File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "jed" +Description "Xilinx Jedec Bit Map File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\placeroute.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "ngc" +Description "Xilinx Netlist File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\synthesis.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "npl" +Description "Xilinx ISE Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xilinx_projnav.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psp" +Description "Precision Synthesis Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\precision.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qpf" +Description "Quartus Project File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\altera_quartus.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "qsf" +Description "Quartus Settings and Assigments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "qws" +Description "Quartus Workspace" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "args" +Description "Quartus Arguments" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_synthesis.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "rpt" +Description "Quartus Report File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "summary" +Description "Quartus Summary File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\rep.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "eqn" +Description "Quartus Equation File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pin" +Description "Quartus Pin Information File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "vqm" +Description "Quartus Verilog Mapping File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\vqm.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sdo" +Description "Standard Delay File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\sdf.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xrf" +Description "Cross Reference File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\text.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "sof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "pof" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "rbf" +Description "Quartus Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ttf" +Description "Quartus Text Tabular Format Programming File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\quartus_programmer.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "pdf" +Description "Adobe Acrobat Pdf" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pdf.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "doc" +Description "Microsoft Word Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\word.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "xls" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "tsv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "csv" +Description "Microsoft Excel Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "ppt" +Description "Microsoft PowerPoint Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\powerpoint.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "vsd" +Description "Microsoft Visio Document" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\visio.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "htm" +Description "HTM File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "html" +Description "HTML File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\web.bmp" +DefaultAction "" +Actions [ +] +) +(FileTypeState +Extension "psl" +Description "Property Specification Language File" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\psl.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "xlsm" +Description "Excel macro-enabled spreadsheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\excel.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "rcf" +Description "RA control file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\RaCtrlFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "New" +Tool "" +Arguments "" +) +(ActionState +Name "Open" +Tool "" +Arguments "" +) +(ActionState +Name "View" +Tool "" +Arguments "" +) +] +) +(FileTypeState +Extension "js" +Description "Javascript" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jsFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "xml" +Description "xml file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "ipxact" +Description "IP-XACT file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\xmlFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "css" +Description "HTML style sheet" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\cssFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "gif" +Description "GIF image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\gifFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "jpg" +Description "JPG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\jpgFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "png" +Description "PNG image" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\pngFile.bmp" +DefaultAction "Open" +Actions [ +] +) +(FileTypeState +Extension "svap" +Description "SVAssistant project file" +Bitmap "$HDS_HOME\\resources\\bitmaps\\types\\svapFile.bmp" +DefaultAction "Open" +Actions [ +(ActionState +Name "Open" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +(ActionState +Name "View" +Tool "USER:SVAssistant Flow" +Arguments "project %(p)" +) +] +) +] +) +(BasePreferences +version "1.1" +textFileExtensions [ +"txt" +"ini" +"tcl" +"dcs" +"edn" +"edf" +"edif" +] +textViewPrintingCommands [ +(pair +first "Enscript" +second "$HDS_HOME/resources/misc/printText.pl \"%(p)\" -printer %(P) --copies %(copies) -orientation %(orientation) -paper %(paper) -dest %(destination)" +) +] +win32ExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "Windows Bitmap BMP" +second "$HDS_HOME/resources/misc/export_tgc.pl bmp \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsEnhancedMetaFile EMF" +second "$HDS_HOME/resources/misc/export_tgc.pl emf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixExportCmdMappings [ +(pair +first "CGM Binary" +second "$HDS_HOME/resources/misc/export_tgc.pl cgm \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "FrameMaker MIF" +second "$HDS_HOME/resources/misc/export_tgc.pl mif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "GIF" +second "$HDS_HOME/resources/misc/export_tgc.pl gif \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "TIFF" +second "$HDS_HOME/resources/misc/export_tgc.pl tiff \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +(pair +first "WindowsMetaFile WMF" +second "$HDS_HOME/resources/misc/export_tgc.pl wmf \"%(psfile_p)\" \"%(temp_d)\" %(library) %(unit) %(view)" +) +] +unixEditorCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)'" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "Emacs (using server)" +second "emacsclient +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)'" +) +(pair +first "XEmacs" +second "xemacs +%(l) '%(p)'" +) +(pair +first "XEmacs (using server)" +second "gnuclient +%(l) '%(p)'" +) +(pair +first "XTerm with Editor" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e \"${EDITOR:-vi}\" '%(f)'" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi +%(l) '%(f)'" +) +] +unixViewerCmdMappings [ +(pair +first "Dtpad" +second "dtpad '%(p)' -viewonly" +) +(pair +first "Emacs" +second "emacs +%(l) '%(p)'" +) +(pair +first "NEdit" +second "nedit -read +%(l) '%(p)'" +) +(pair +first "NEdit (using server)" +second "nc -noask -read +%(l) '%(p)'" +) +(pair +first "Textedit" +second "textedit '%(p)' -read_only" +) +(pair +first "vi" +second "cd '%(d)'; xterm -T '%(p)' -n '%(f)' -e vi -R +%(l) '%(f)'" +) +] +win32EditorCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "Emacs (using server)" +second "gnuclientw.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l)" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\"" +) +(pair +first "WinEdit" +second "WinEdit.exe \"%(p)\" -# %(l)" +) +(pair +first "Wordpad" +second "wordpad.exe \"%(p)\"" +) +] +win32ViewerCmdMappings [ +(pair +first "Emacs" +second "runemacs.exe +%(l) \"%(p)\"" +) +(pair +first "HDL Turbo Writer" +second "TWriter.exe \"%(p)\" -XBufSetReadOnly -G%(l)" +) +(pair +first "Notepad" +second "notepad.exe \"%(p)\"" +) +(pair +first "Notepad++" +second "notepad++.exe \"%(p)\" -n%(l) -ro" +) +(pair +first "Textpad 3.2" +second "txtpad32.exe -r \"%(p)(%(l))\"" +) +(pair +first "Textpad 4.0" +second "textpad.exe -r \"%(p)(%(l))\"" +) +(pair +first "UltraEdit" +second "uedit32.exe \"%(p)/%(l)\" /r" +) +] +defaultTextPrintingCmd "Enscript" +win32DefaultEditor "Notepad++" +win32DefaultViewer "Notepad++" +unixDefaultEditor "Builtin" +unixDefaultViewer "Builtin" +defaultVerilogDialect 5 +verilogSearchPath "" +syscUserIncPath "" +cppIncPath "" +printerCmdString "lp -c" +tabWidth 4 +vhdlEntityExtension "vhd" +vhdlArchitectureExtensions [ +"vhd" +"vhdl" +"vho" +"vhg" +] +verilogArchitectureExtensions [ +"v" +"vlg" +"verilog" +"vo" +"sv" +"svh" +] +verilogDefaultSaveName "untitled" +vhdlDefaultSaveName "untitled" +toolbarVisibility [ +"BdWindow:FormatText" +"SymbolWindow:FormatText" +"AsmWindow:FormatText" +"FcWindow:FormatText" +"StdWindow:FormatText" +"BdWindow:CommentGraphics" +"SymbolWindow:CommentGraphics" +"AsmWindow:CommentGraphics" +"StdWindow:CommentGraphics" +"FcWindow:CommentGraphics" +"BdWindow:Appearance" +"SymbolWindow:Appearance" +"AsmWindow:Appearance" +"StdWindow:Appearance" +"FcWindow:Appearance" +"FcWindow:Appearance" +"FcTabWindow:Appearance" +"AsmTabWindow:Appearance" +"TtTabWindow:Appearance" +"BdWindow:ArrangeObject" +"SymbolWindow:ArrangeObject" +"AsmWindow:ArrangeObject" +"StdWindow:ArrangeObject" +"FcWindow:ArrangeObject" +] +seperateElseBegincheck 1 +ASICDesigner 1 +FPGADesigner 1 +AlteraLibraries 1 +XilinxLibraries 1 +userDefinedSimulatorTasks [ +] +userDefinedSynthesisTasks [ +] +simulator "ModelSim 5.1" +tempDirectory "$TEMP" +projectPaths [ +"hds.hdp" +"D:\\Labs\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\Users\\oliver.gubler\\eda\\VHDL\\labs\\ELN_cursor\\Prefs\\hds.hdp" +"R:\\SYND\\Ele_2131\\ELN\\Labs\\Cursor\\ELN_cursor\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\Projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\projects\\Cursor_trial\\Prefs\\cursor.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\eln_labs.hdp" +"C:\\work\\git\\Education\\eln\\labo\\solution\\eln_labs\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\eln\\projects\\solution\\eln_chrono\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\eln\\projects\\student\\eln_chrono\\Prefs\\hds.hdp" +"C:\\work\\git\\Education\\eln\\projects\\solution\\eln_cursor\\Prefs\\hds.hdp" +"C:\\work\\edu\\eln\\project\\eln_cursor\\Prefs\\hds.hdp" +] +libMappingsRootDir "" +teamLibMappingsRootDir "" +projectSetupRootDir "" +defaultPackages "LIBRARY ieee; + USE ieee.std_logic_1164.all; + USE ieee.numeric_std.all; +" +defaultVerilogPackages "" +defaultFont "Verdana,9,0" +tableFont "Tahoma,10,0" +pageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +printerName "Microsoft Print to PDF" +pageSizes [ +(PageSizeInfo +name "Letter" +width 783 +height 1013 +) +(PageSizeInfo +name "Tabloid" +type 3 +width 1013 +height 1566 +) +(PageSizeInfo +name "Legal" +type 5 +width 783 +height 1290 +) +(PageSizeInfo +name "Statement" +type 6 +width 506 +height 783 +) +(PageSizeInfo +name "Executive" +type 7 +width 667 +height 967 +) +(PageSizeInfo +name "A3" +type 8 +width 1077 +height 1523 +) +(PageSizeInfo +name "A4" +type 9 +width 761 +height 1077 +) +(PageSizeInfo +name "A5" +type 11 +width 536 +height 761 +) +(PageSizeInfo +name "B4 (JIS)" +type 12 +width 932 +height 1320 +) +(PageSizeInfo +name "B5 (JIS)" +type 13 +width 660 +height 932 +) +] +exportPageSetupInfo (PageSetupInfo +ptrCmd "FrameMaker MIF" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPageSetupInfo (PageSetupInfo +ptrCmd "" +toPrinter 1 +exportedDirectories [ +"$HDS_PROJECT_DIR/HTMLExport" +] +exportStdIncludeRefs 1 +exportStdPackageRefs 1 +) +exportHTMLPrintHierInfo (PrintHierInfo +includeViewTypes [ +] +) +customPaperSizeInfo [ +] +exportImageSizeInfo [ +(StringtoTwoInts +name "A4 (134mm x 110mm)" +width 379 +height 313 +) +(StringtoTwoInts +name "A4 (134mm x 221mm)" +width 379 +height 626 +) +(StringtoTwoInts +name "Letter (5.5\" x 4\")" +width 396 +height 288 +) +(StringtoTwoInts +name "Letter (5.5\" x 8\")" +width 396 +height 576 +) +] +titleBlockPath "$HDS_TEAM_VER\\title_block.tmpl" +win32CustomColours (win32CustomColours +color0 16777215 +color1 16777215 +color2 16777215 +color3 16777215 +color4 16777215 +color5 16777215 +color6 16777215 +color7 16777215 +color8 16777215 +color9 16777215 +color10 16777215 +color11 16777215 +color12 16777215 +color13 16777215 +color14 16777215 +color15 16777215 +) +userFileNames 1 +commentGraphicShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +) +pageConnTextVaSet (VaSet +fg "0,0,50000" +font "Verdana,9,1" +) +teamPrefsPath "" +remoteSimPath "$HDS_TEAM_VER\\remote" +mwMVL4 1 +mwVerilogUseSynthesisPragmas 0 +mwVhdlUseBusSlicesChkBox 0 +mwVerilogUseBusSlicesChkBox 0 +vmCheckInDesc "" +userVariables [ +(pair +first "concat_file" +second "concatenated" +) +] +defaultCategoryTemplates [ +1 +"architecture.vhd" +] +defaultCategoryTemplatesPrefs [ +1 +0 +] +tasksOrder [ +"USER:Generate" +"USER:ModelSim Compile" +"USER:ModelSim Simulate" +"USER:ModelSim Flow" +"USER:Prepare for Synthesis" +"USER:Xilinx Project Navigator" +] +tasksToolbarOrder [ +"\"USER:ModelSim Flow\"" +"\"USER:Prepare for Synthesis\"" +"USER:Generate" +] +tasksMenubarOrder [ +"\"USER:ModelSim Flow\"" +"USER:Generate" +] +tasksShortcutbarOrder [ +"Setup DesignChecker" +"\"USER:ModelSim Compile\"" +"\"USER:ModelSim Flow\"" +"\"USER:ModelSim Simulate\"" +"USER:Generate" +] +taskPreferences [ +(TaskPref +taskName "\"TEAM:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"TEAM:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Actel Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera MegaWizard\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Altera SOPC Builder\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Batch Programming\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:C/C++ Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Copy Of Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:DesignChecker Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Library Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:FPGA Technology Setup\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" \"USER:I/O Design\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:I/O Design Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Lattice Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:LeonardoSpectrum Flow\" USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" \"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:ModelSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" \"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Precision Synthesis\" \"USER:Precision Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Concatenate HDL\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" \"USER:Trim libraries\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Prepare for Synthesis\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" \"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus II Synthesis\" \"USER:Quartus II Synthesis Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Prime Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Programmer\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" \"USER:Quartus Synthesis\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Quartus Synthesis Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Compile\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" \"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:QuestaSim Simulate\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Register Assistant\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SPIRIT Wrapper Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "0" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:SVAssistant Flow\" USER:SVAssistant" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Trim librairies\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx CORE Generator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Impact\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Import\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Place and Route\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Platform Studio\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Copy Of Update.xise\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" \"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project Navigator\" USER:Update.xise" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Project navigator\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" \"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Synthesis Tool\" \"USER:XST Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" \"USER:Xilinx Vivado\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "\"USER:Xilinx Vivado Flow\" USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "TEAM:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:DesignChecker" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:Generate" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "2" +) +(pair +first "onPulldownMenu" +second "true" +) +(pair +first "onShortcutBar" +second "true" +) +(pair +first "onToolbar" +second "true" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Prepare Data\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "1" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +(TaskPref +taskName "USER:LeonardoSpectrum \"USER:LeonardoSpectrum Synthesis Invoke\"" +taskPrefStringMap [ +(pair +first "enabled" +second "" +) +(pair +first "hierLevel" +second "3" +) +(pair +first "onPulldownMenu" +second "" +) +(pair +first "onShortcutBar" +second "" +) +(pair +first "onToolbar" +second "" +) +] +) +] +mwParamsVisibility (mwParamsVisibilityOptions +) +autoPopupExprBuilder 0 +showExprBldrHint 0 +searchStrings [ +] +hdlWorkspaceLocation "" +hdsWorkspaceLocation "" +relativeLibraryRootDir "" +vmLabelLatestDontAskAgain 0 +vmLabelWorkspaceDontAskAgain 0 +logWindowGeometry "600x200-0+0" +diagramBrowserTabNo 0 +showInsertPortHint 0 +showContentFirstTime 0 +) +(GenerationPreferences +automaticTypeConversion 0 +genPackages 1 +genDependants 0 +verilogSpecViewHeaderString "// Module %(library).%(unit).%(view) +// +// Created: +// by - %(user).%(group) (%(host)) +// at - %(time) %(date) +// +// Generated by Mentor Graphics' HDL Designer(TM) %(version) +// +%(moduleBody) +// ### Please start your Verilog code here ### + +endmodule" +vhdlGenExt "vhg" +vhdlConfigsName "%(unit)_%(view)_config" +vhdlConfigsFileNameTemplate "%(config)" +vhdlConfigsNameTemplate "%(unit)_%(view)_config" +separateEntity 1 +VHDLEndFrames 0 +) +(CompilationPreferences +) +(DMPreferences +version "1.1" +) +(AsmPreferences +version "1.1" +defaultSaveName "asm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +interruptShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +stateBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 1 +) +stateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +stateBoxMinimumSize "8000,2000" +stateBoxDefaultSize "8000,4000" +compStateBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 1 +) +compStateBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compStateBoxMinimumSize "8000,2000" +compStateBoxDefaultSize "8000,4000" +waitTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,10,1" +) +sBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +sBlockActionsVaSet (VaSet +) +priorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineWidth 1 +) +priorityNameVaSet (VaSet +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "49152,49152,49152" +lineWidth 1 +) +actionBoxActionsVaSet (VaSet +) +actionBoxMinimumSize "8000,2000" +actionBoxDefaultSize "8000,4000" +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "32768,32768,32768" +lineWidth 1 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +compActionBoxMinimumSize "8000,2000" +compActionBoxDefaultSize "8000,4000" +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +decisionBoxMinimumSize "8000,2000" +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startPointMinimumSize "6000,2000" +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 1 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointMinimumSize "6000,2000" +linkShapeVaSet (VaSet +vasetType 1 +fg "0,32896,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +caseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +caseLabelVaSet (VaSet +font "Verdana,9,1" +) +caseExpressionVaSet (VaSet +) +caseMinimumSize "14000,4000" +caseDefaultSize "28000,4000" +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +endCaseMinimumSize "8000,4000" +ifDecodeShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +ifDecodeLabelVaSet (VaSet +font "Verdana,9,1" +) +ifDecodeMinimumSize "14000,4000" +ifDecodeDefaultSize "28000,4000" +endIfShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 1 +) +endIfLabelVaSet (VaSet +font "Verdana,9,1" +) +endIfDecodeMinimumSize "8000,4000" +branchPortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +branchPortNameVaSet (VaSet +font "Verdana,9,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +flowConnectSize "1000,1000" +signalStatLabelVaSet (VaSet +font "Verdana,9,1" +) +signalStatValueVaSet (VaSet +font "Courier New,8,0" +) +declLabelVaSet (VaSet +font "Verdana,9,1" +) +declValueVaSet (VaSet +) +stmtLabelVaSet (VaSet +font "Verdana,9,1" +) +stmtValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +) +(BdPreferences +version "1.1" +defaultSaveName "struct" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +hdlTextName "eb1" +signalName "sig0" +busName "dbus0" +vhdlSignalType "std_ulogic" +vhdlBusType "unsigned" +vhdlConstraintType 0 +vhdlBounds "(15 DOWNTO 0)" +verilogType "wire" +verilogLeftBound "15" +verilogRightBound "0" +bundleName "bundle0" +instanceName "U_0" +globalConnectorName "G" +showAttributes 0 +syntaxChecked 1 +useSymbolAttributes 1 +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declaration" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +showVhdlSignalName 1 +showVhdlTypeConstraint 1 +showVhdlTypeFields 0 +showVhdlInitValue 0 +showVhdlSignalDeclSameLine 1 +vhdlConstraintFullForm 0 +showVlogSignalName 1 +showVlogTypeConstraint 1 +showVlogTypeFields 0 +showVlogDelay 0 +showVlogSignalDeclSameLine 1 +showVlog2kSignalName 1 +showVlog2kTypeConstraint 1 +showVlog2kTypeFields 0 +showVlog2kDelay 0 +showVlog2kSignalDeclSameLine 1 +showVlog2kValue 0 +showVlog2kSigned 0 +showVhdlPortName 1 +showVhdlPortTypeConstraint 0 +showVhdlPortTypeFields 0 +showVhdlPortInitValue 0 +showVhdlPortDeclSameLine 1 +vhdlPortConstraintFullForm 0 +showVlogPortName 1 +showVlogPortTypeConstraint 0 +showVlogPortTypeFields 0 +showVlogPortDelay 0 +showVlogPortDeclSameLine 1 +showVlog2kPortName 1 +showVlog2kPortTypeConstraint 0 +showVlog2kPortTypeFields 0 +showVlog2kPortDelay 0 +showVlog2kPortDeclSameLine 1 +showVlog2kPortValue 0 +showVlog2kPortSigned 0 +connectorShape 2 +blockVaSet (VaSet +vasetType 1 +fg "39936,56832,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +blockPortVaSet (VaSet +vasetType 1 +) +blockPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +hdlTextVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ripperVaSet (VaSet +vasetType 1 +) +portIoVaSet (VaSet +vasetType 1 +fg "0,0,32768" +) +portIoBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +) +danglingDotShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "65535,0,0" +) +signalVaSet (VaSet +vasetType 3 +) +busVaSet (VaSet +vasetType 3 +lineWidth 2 +) +bundleVaSet (VaSet +vasetType 3 +lineColor "32768,0,0" +lineWidth 2 +) +blockFontVaSet (VaSet +font "Verdana,9,1" +) +cptFontVaSet (VaSet +font "Verdana,9,1" +) +signalFontVaSet (VaSet +) +bundleFontVaSet (VaSet +) +cptPortFontVaSet (VaSet +) +hdlTextFontVaSet (VaSet +font "Verdana,9,1" +) +embeddedTextVaSet (VaSet +) +embeddedTextShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +globalConnectorFontVaSet (VaSet +font "Verdana,9,1" +) +genericAssocFontVaSet (VaSet +font "Courier New,8,0" +) +portMapFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,0,32768" +lineWidth 2 +) +portMapNameVaSet (VaSet +) +genFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 2 +lineWidth 3 +) +blkFrameVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "26368,26368,26368" +lineStyle 1 +lineWidth 3 +) +frameTxtVaSet (VaSet +) +foreignCptVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +order 0 +archDeclarativeBlockLabelText "Declarations" +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +blockCellsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +) +componentCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +modulewareCellsVaSet (VaSet +vasetType 4 +bg "0,65535,65535" +font "Tahoma,10,1" +) +ipCellsVaSet (VaSet +vasetType 4 +bg "45055,65535,30000" +font "Tahoma,10,1" +) +embeddedBlockCellsVaSet (VaSet +vasetType 4 +bg "65535,65535,37120" +font "Tahoma,10,1" +) +expressionRowVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +sliceRowsVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,1" +alignment 0 +) +bundleRowsVaSet (VaSet +vasetType 4 +bg "65280,65280,46080" +font "Tahoma,10,1" +alignment 0 +) +propColumnVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +) +groupColumnVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +interfaceColumnVaSet (VaSet +vasetType 4 +bg "59904,39936,65280" +font "Tahoma,10,1" +) +frameColumnVaSet (VaSet +vasetType 4 +fg "65535,65535,65535" +bg "0,0,65535" +font "Tahoma,10,1" +alignment 0 +) +propRowVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,1" +alignment 0 +) +groupRowVaSet (VaSet +vasetType 4 +bg "62965,57054,46003" +font "Tahoma,10,1" +alignment 0 +) +netRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +) +(FcPreferences +version "1.1" +defaultSaveName "flow" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +actionBoxShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +actionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +actionBoxActionsVaSet (VaSet +) +compActionBoxShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineWidth 2 +) +compActionBoxNameVaSet (VaSet +font "Verdana,10,1" +) +decisionBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +decisionBoxNameVaSet (VaSet +fg "0,32768,49152" +) +decisionBoxConditionVaSet (VaSet +) +decisionBoxLabelVaSet (VaSet +fg "32768,0,0" +font "Verdana,9,1" +) +waitBoxShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +waitBoxNameVaSet (VaSet +fg "0,32768,49152" +) +waitBoxConditionVaSet (VaSet +) +startPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +startPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +endPointShapeVaSet (VaSet +vasetType 1 +fg "49152,0,49152" +lineWidth 2 +) +endPointLabelVaSet (VaSet +fg "65535,65535,65535" +font "Verdana,10,1" +) +startLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +startLoopNameVaSet (VaSet +fg "0,32768,49152" +) +startLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startLoopConditionVaSet (VaSet +) +endLoopShapeVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +endLoopLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +startCaseNameVaSet (VaSet +fg "0,32768,49152" +) +startCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +startCaseExpressionVaSet (VaSet +) +endCaseShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,37120" +lineWidth 2 +) +endCaseLabelVaSet (VaSet +font "Verdana,9,1" +) +casePortShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +fillStyle 12 +) +casePortNameVaSet (VaSet +font "Verdana,12,1" +) +flowShapeVaSet (VaSet +vasetType 3 +) +flowJoinVaSet (VaSet +vasetType 1 +) +flowConnectShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +fillStyle 12 +) +archDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archDeclarativeBlockValueVaSet (VaSet +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +processDeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclarativeBlockValueVaSet (VaSet +) +sensitivityListBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +sensitivityListBlockValueVaSet (VaSet +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Local Declarations (Verilog)" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Sensitivity List" +status 1 +) +] +) +(HdmBrowserPreferences +version "1.1" +ViewpointsPresent_V2 1 +Viewpoints_V2 [ +(Viewpoint_v2 +name "Default Viewpoint" +masterDefault 1 +TreeListVPDatas [ +(TreeListVPData +theList "" +columns [ +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Version Management" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Lockers" +displayName "VM Lockers" +width 85 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +(TreeListVPData_Column +name "Version Management Versions" +displayName "VM Versions" +width 85 +) +(TreeListVPData_Column +name "Version Management Labels" +displayName "VM Labels" +width 85 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Versions" +width -1 +alignment 0 +) +(SmartTableVPData_Column +name "Version Management Labels" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "HDL Files" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(Files),C(DesignUnits),C(LogicalObjects)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "Logical Objects" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "H(A(C(LogicalObjects),C(Files),C(DesignUnits)),A(C(DesignHierarchy)))" +) +(Viewpoint_v2 +name "All Views" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits)),A(C(Files))),H(A(C(DesignHierarchy)),A(C(LogicalObjects))))" +) +(Viewpoint_v2 +name "Requirements" +TreeListVPDatas [ +(TreeListVPData +theList "Design Units" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Language" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +ShowSymbols 1 +accessRights 2 +) +(TreeListVPData +theList "HDL Files" +columns [ +(TreeListVPData_Column +name "Type" +displayName "" +width 140 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Size" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Time Stamp" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +(TreeListVPData +theList "Hierarchy" +columns [ +(TreeListVPData_Column +name "Design Unit Name" +displayName "" +width 150 +) +(TreeListVPData_Column +name "Extends" +displayName "" +width 100 +) +(TreeListVPData_Column +name "Name" +displayName "" +width 250 +) +(TreeListVPData_Column +name "Library" +displayName "" +width 120 +) +] +filterString "" +accessRights 2 +) +] +SmartTableVPDatas [ +(SmartTableVPData +theList "Logical Objects" +columns [ +(SmartTableVPData_Column +name "Parse Error" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Top Marker" +width 22 +alignment 0 +) +(SmartTableVPData_Column +name "Type" +width 140 +alignment 0 +) +(SmartTableVPData_Column +name "Don't Touch Indicator" +width 20 +alignment 0 +) +(SmartTableVPData_Column +name "Name" +width 250 +alignment 0 +) +(SmartTableVPData_Column +name "Extends" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Language" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Size" +width 100 +alignment 0 +) +(SmartTableVPData_Column +name "Time Stamp" +width 120 +alignment 0 +) +(SmartTableVPData_Column +name "Requirement References" +width -1 +alignment 0 +) +] +filterNames [ +"Architectures and Modules" +"Configurations" +"Entities" +"Files" +"Packages" +"SV Classes" +"SV Interfaces" +"SV Packages" +"SV Program Blocks" +] +filterString "" +filterColumn "" +matchCase 0 +matchWholeWordOnly 0 +regularExpression 1 +groupNames [ +] +disableFilters 1 +) +] +layoutExpression "V(H(A(C(DesignUnits),C(LogicalObjects)),A(C(Files))),A(C(DesignHierarchy)))" +) +] +WorkTabs [ +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor" +primaryName "cursorCircuit" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Cursor_test" +primaryName "cursor_tb" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +(DeclHierarchy +libName "Board" +primaryName "FPGA_cursor" +secondaryName "" +) +] +activeViewpointIdx 0 +) +(WorkTab +name "LCD" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Chronometer_test" +showingHierarchy 0 +openLibs [ +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Board" +showingHierarchy 0 +openLibs [ +"Board" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor_test" +showingHierarchy 0 +openLibs [ +"Cursor_test" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +(WorkTab +name "Cursor" +showingHierarchy 0 +openLibs [ +"Cursor" +] +declHierarchy [ +] +activeViewpointIdx 0 +) +] +ViewpointsOnOutlookBar [ +"Requirements" +"Default Viewpoint" +"Version Management" +"HDL Files" +"Logical Objects" +"All Views" +] +lastActiveViewpoint "Default Viewpoint" +expandedTemplateNodes [ +] +taskTemplatePage 0 +SplitterClientPrefs [ +"mainSplitter" +(SplitterPreference +hidden 0 +expand 0 +size 180 +) +] +displayHierarchy 0 +xPos 50 +yPos 133 +width 1070 +height 838 +activeSidePanelTab 2 +activeLibraryTab 1 +sidePanelSize 278 +showUnixHiddenFiles 0 +componentBrowserXpos 569 +componentBrowserYpos 300 +componentBrowserWidth 300 +componentBrowserHeight 340 +componentBrowserLibraryNames [ +"ADD" +] +) +(SmPreferences +version "1.1" +defaultSaveName "fsm" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 0 +gridSnapping 0 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "26368,26368,26368" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +visibilityPrefs [ +(StringToBool +display "Architecture Declarations (VHDL)" +status 1 +) +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Concurrent Statements" +status 1 +) +(StringToBool +display "Global Actions" +status 1 +) +(StringToBool +display "Module Declarations (Verilog)" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +(StringToBool +display "Process Declarations (VHDL)" +status 1 +) +(StringToBool +display "Signal Status" +status 1 +) +(StringToBool +display "State Register Statements" +status 1 +) +] +clockNameVaSet (VaSet +) +clockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +resetNameVaSet (VaSet +) +resetShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +enableNameVaSet (VaSet +) +enableShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +) +ccondNameVaSet (VaSet +) +ccondShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "0,32768,49152" +) +recoveryShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +stateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "0,32768,49152" +lineWidth 2 +) +stateNameVaSet (VaSet +font "Verdana,12,1" +) +stateEncodingVaSet (VaSet +font "Verdana,9,1" +) +stateActionsVaSet (VaSet +) +stateActionShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "39936,56832,65280" +lineWidth -1 +) +stateCaseVaSet (VaSet +font "Verdana,9,1" +) +cStateShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "0,0,32768" +lineWidth 2 +) +cStateNameVaSet (VaSet +font "Verdana,10,1" +) +junctionShapeVaSet (VaSet +vasetType 1 +fg "59904,39936,65280" +) +junctionNameVaSet (VaSet +font "Verdana,9,1" +) +junctionSymbolVaSet (VaSet +font "Verdana,10,1" +) +entryPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +interruptPointShapeVaSet (VaSet +vasetType 1 +fg "65535,0,0" +) +linkShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +bg "0,0,0" +) +linkNameVaSet (VaSet +font "Verdana,9,1" +) +exitPointShapeVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +) +wStateShapeVaSet (VaSet +vasetType 1 +fg "0,65535,65535" +lineColor "26368,26368,26368" +lineWidth 2 +) +wStateTextVaSet (VaSet +fg "0,0,32768" +font "Verdana,12,1" +) +tBlockShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +lineColor "0,32768,49152" +) +tBlockLineVaSet (VaSet +vasetType 3 +) +tBlockConditionVaSet (VaSet +) +tBlockActionsVaSet (VaSet +) +tPriorityRadius 40 +tPriorityPadding 100 +tPriorityShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +tPriorityNameVaSet (VaSet +) +transitionShapeVaSet (VaSet +vasetType 3 +) +localDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +localDeclValueVaSet (VaSet +font "Courier New,8,0" +) +processDeclLabelVaSet (VaSet +font "Verdana,9,1" +) +processDeclValueVaSet (VaSet +font "Courier New,8,0" +) +globalActionsLabelVaSet (VaSet +font "Verdana,9,1" +) +globalActionsValueVaSet (VaSet +) +stateRegBlkLabelVaSet (VaSet +font "Verdana,9,1" +) +stateRegBlkValueVaSet (VaSet +) +signalsGenStatusVaSet (VaSet +font "Verdana,9,1" +) +signalsGenStatusValueVaSet (VaSet +font "Courier New,8,0" +) +archConcurrentStatementBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +archConcurrentStatementBlockValueVaSet (VaSet +) +outputSignalStatus 1 +internalSignalStatus 2 +showPropsDlgMsg 1 +) +(H2gPreferences +filesAdded [ +] +h2gFileListHistory [ +] +libraryNames [ +] +librarySearchPath [ +] +embeddedSM 1 +searchLibraries [ +] +) +(TtPreferences +version "1.1" +defaultSaveName "tbl" +gridVisible 0 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +) +CompDirValueVaSet (VaSet +) +defaultPanelName "" +textVaSet (VaSet +) +highlightVaSet (VaSet +vasetType 0 +fg "65535,0,0" +bg "65535,0,0" +) +inputExpressionVaSet (VaSet +vasetType 0 +fg "39936,56832,65280" +bg "39936,56832,65280" +) +inputColumnVaSet (VaSet +vasetType 0 +fg "0,65535,65535" +bg "0,65535,65535" +) +outputExpressionVaSet (VaSet +vasetType 0 +fg "65535,65535,37120" +bg "65535,65535,37120" +) +outputColumnVaSet (VaSet +vasetType 0 +fg "65280,65280,46080" +bg "65280,65280,46080" +) +) +(AnimPreferences +version "1.1" +startTime 0,0 +trailLength 2147483647 +markEvalOnly 0 +currentVaSet (VaSet +vasetType 1 +fg "65535,0,0" +lineColor "65535,0,0" +) +previousVaSet (VaSet +vasetType 1 +fg "65535,65535,0" +lineColor "65535,65535,0" +) +evalVaSet (VaSet +vasetType 1 +fg "45055,65535,30000" +lineColor "45055,65535,30000" +) +visitedVaSet (VaSet +vasetType 1 +fg "29952,39936,65280" +lineColor "29952,39936,65280" +) +unvisitedVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +) +probeRadix 0 +) +(SymbolPreferences +version "1.1" +defaultSaveName "" +packageListLabelVaSet (VaSet +font "Verdana,9,1" +) +packageListFontVaSet (VaSet +) +commentTextFontVaSet (VaSet +fg "0,0,32768" +) +commentTextShapeVaSet (VaSet +vasetType 1 +fg "65280,65280,46080" +lineColor "0,0,32768" +) +requirementTextFontVaSet (VaSet +fg "0,0,32768" +font "arial,8,0" +) +gridVisible 1 +gridSnapping 1 +gridHorizSpacing 1000 +gridVertSpacing 1000 +gridHorizShown 1 +gridVertShown 1 +gridColor "65535,0,0" +diagramBackground "65535,65535,65535" +CompDirLabelVaSet (VaSet +font "Verdana,9,1" +) +CompDirValueVaSet (VaSet +) +defaultPanelName "Panel0" +panelShapeVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +lineColor "32768,0,0" +lineWidth 3 +) +panelTextVaSet (VaSet +font "Verdana,9,1" +) +bodyVaSet (VaSet +vasetType 1 +fg "0,65535,0" +lineColor "0,32896,0" +lineWidth 2 +) +cptPortVaSet (VaSet +vasetType 1 +fg "0,65535,0" +) +cptPortBufferVaSet (VaSet +vasetType 1 +fg "65535,65535,65535" +bg "0,0,0" +) +bodyFontVaSet (VaSet +font "Verdana,9,1" +) +cptPortFontVaSet (VaSet +font "Verdana,12,0" +) +genericInterfaceFontVaSet (VaSet +font "Courier New,8,0" +) +portRowVaSet (VaSet +vasetType 4 +font "Tahoma,10,0" +) +groupRowVaSet (VaSet +vasetType 4 +bg "39936,56832,65280" +font "Tahoma,10,0" +) +headCellVaSet (VaSet +vasetType 4 +bg "49152,49152,49152" +font "Tahoma,10,0" +) +gridVaSet (VaSet +vasetType 4 +fg "49152,49152,49152" +bg "0,49152,49152" +font "Tahoma,10,0" +) +propColVaSet (VaSet +vasetType 4 +bg "0,49152,49152" +font "Tahoma,10,0" +) +visibilityPrefs [ +(StringToBool +display "Compiler Directives (Verilog)" +status 1 +) +(StringToBool +display "Declarations" +status 1 +) +(StringToBool +display "Package List (VHDL)" +status 1 +) +] +cptPortInName "In0" +cptPortOutName "Out0" +cptPortInOutName "InOut0" +cptPortBufferName "Buffer0" +groupName "Group0" +cptPortVhdlType "unsigned" +cptPortVerilogType "wire" +cptPortVhdlBounds "(15 DOWNTO 0)" +cptPortVerilogLb "15" +cptPortVerilogRb "0" +cptPortVhdlConstraintType 0 +DeclarativeBlockLabelText "Declarations" +DeclarativeBlockLabelVaSet (VaSet +font "Verdana,9,1" +) +DeclarativeBlockValueVaSet (VaSet +font "Courier New,8,0" +) +DeclarativeBlockPortVaSet (VaSet +font "Courier New,8,0" +) +order 0 +editSignalScope 4 +showUpdateWhereUsedPrompt 0 +) +] diff --git a/Prefs/hds_user/v2019.2/tasks/generate.tsk b/Prefs/hds_user/v2019.2/tasks/generate.tsk new file mode 100644 index 0000000..215aac5 --- /dev/null +++ b/Prefs/hds_user/v2019.2/tasks/generate.tsk @@ -0,0 +1,41 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 2 +) diff --git a/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk b/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk new file mode 100644 index 0000000..687aa77 --- /dev/null +++ b/Prefs/hds_user/v2019.2/tasks/modelsim_compile.tsk @@ -0,0 +1,98 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Compile" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_compile.bmp" +hasBitmap 1 +tooltip "Runs ModelSim compilation" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"ModelSimCompiler" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"64bit" +"0" +"compAlways" +"0" +"covSwitch" +"" +"coverNoSub" +"" +"dontAskAgain" +"0" +"enableMFCU" +"1" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"logFile" +"" +"logicalLib" +"1" +"mapAllLib" +"0" +"mapQuartusIPs" +"1" +"masterCov" +"0" +"peSe" +"EE" +"prevOnly" +"0" +"quartusSimDir" +"$HDS_PROJECT_DIR/QuartusIPSimLibs" +"replayScriptPath" +"" +"saveReplayScript" +"0" +"server" +"" +"showCmd" +"0" +"transcript" +"1" +"useFlatLibrary" +"0" +"useRemote" +"0" +"useShortName" +"0" +"vhdlSwitches" +" -nologo" +"vlogSwitches" +" -nologo" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) diff --git a/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk b/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk new file mode 100644 index 0000000..35db922 --- /dev/null +++ b/Prefs/hds_user/v2019.2/tasks/modelsim_flow.tsk @@ -0,0 +1,83 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "ModelSim Flow" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim.bmp" +hasBitmap 1 +tooltip "Generate and run entire ModelSim flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +(preferedMap +preferedEnum 0 +preferedSetting "$MODELSIM_HOME" +) +(preferedMap +preferedEnum 2 +preferedSetting "ModelSim" +) +] +onShortcutBar 1 +onPulldownMenu 1 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTaskRef +TaskName "Generate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:Generate" +) +(HDSTaskRef +TaskName "ModelSim Compile" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +reffedTaskName "USER:ModelSim Compile" +) +(HDSTaskRef +TaskName "ModelSim Simulate" +bitmap "" +hasBitmap 1 +tooltip "" +taskSettings [ +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +reffedTaskName "USER:ModelSim Simulate" +) +] +) diff --git a/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk b/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk new file mode 100644 index 0000000..6962f42 --- /dev/null +++ b/Prefs/hds_user/v2019.2/tasks/modelsim_simulate.tsk @@ -0,0 +1,96 @@ +version "1.1" +HDSTool (HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "ModelSim Simulate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_modelsim_invoke.bmp" +hasBitmap 1 +tooltip "Invokes the ModelSim Simulator" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"1" +"runMethod" +"gui" +"runnableObject" +"ModelSimSimulator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"Arguments" +"" +"Arguments1" +"do controller.do" +"Arguments2" +"controller.do" +"Communication" +"1" +"DelaySelection" +"typ" +"GlitchGeneration" +"1" +"InitCmd" +"" +"LogFile" +"" +"RemoteHost" +"" +"Resolution" +"ps" +"SdfDelay" +"typ" +"SdfMultiSrcDelay" +"latest" +"SdfReduce" +"0" +"SdfWarnings" +"1" +"TimingChecks" +"1" +"UseBatch" +"0" +"UseGUI" +"1" +"VitalVersion" +"95" +"autoNames" +"1" +"coverage" +"0" +"excludePSL" +"0" +"exepath" +"$MODELSIM_HOME" +"minimumSimSetting" +"0" +"saveReplayScript" +"0" +"useCustomSimDir" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 1 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) diff --git a/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk b/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk new file mode 100644 index 0000000..afac4f8 --- /dev/null +++ b/Prefs/hds_user/v2019.2/tasks/prepare_for_synthesis.tsk @@ -0,0 +1,162 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Prepare for Synthesis" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_synthesis.bmp" +hasBitmap 1 +tooltip "generates a single file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 1 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Generate" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_generate.bmp" +hasBitmap 1 +tooltip "Performs generation of graphics files" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Generator" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Concatenate HDL" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools/tool_concatenate.bmp" +hasBitmap 1 +tooltip "Appends all HDL files together" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"" +"captureOutput" +"0" +"customPrompt" +"" +"initialDir" +"" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"Concatenation" +"runnableObjectType" +"tcl_plugin" +"useViewSpecific" +"1" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"outputFileNameRoot" +"%(concat_file)" +"outputVerilogFileExtension" +"v" +"outputVhdlFileExtension" +"vhd" +"place" +"0" +"specifyDir" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Trim libraries" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "comment out library declarations for singles file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +".\\..\\..\\Scripts\\trimLibs.pl %(concat_file).vhd $DESIGN_NAME.vhd" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$CONCAT_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk b/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk new file mode 100644 index 0000000..0ea6209 --- /dev/null +++ b/Prefs/hds_user/v2019.2/tasks/xilinx_project_navigator.tsk @@ -0,0 +1,163 @@ +version "1.1" +HDSFlow (HDSFlow +TaskName "Xilinx Project Navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Xilinx Flow" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"flowSettingsDlg" +"" +"taskInvocationScript" +"" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 1 +subTasks [ +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Update.xise" +bitmap "tool_default_tool.bmp" +hasBitmap 1 +tooltip "Update file references in the Xilnx project .xise file" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$CONCAT_DIR\\..\\..\\Scripts\\update_ise.pl $DESIGN_NAME.xise $CONCAT_DIR\\$DESIGN_NAME.vhd $CONCAT_DIR\\$DESIGN_NAME.ucf" +"captureOutput" +"1" +"customPrompt" +"" +"initialDir" +"$ISE_WORK_DIR" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$HDS_HOME\\resources\\perl\\bin\\perl.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +(HDSTool +hasAssociatedFileExt 0 +associatedFileExt "" +TaskName "Xilinx Project navigator" +bitmap "$HDS_HOME\\resources\\bitmaps\\tools\\tool_xilinx_synthesis.bmp" +hasBitmap 1 +tooltip "Invokes the Xilinx ISE tool" +taskSettings [ +"InternalTaskSetting" +(SettingsMap +settingsMap [ +"additionalToolArgs" +"$DESIGN_NAME.xise" +"captureOutput" +"0" +"customPrompt" +"" +"descriptiveName" +"FPGA Technology Setup Plugin" +"initialDir" +"$ISE_WORK_DIR" +"isHierarchical" +"0" +"needsSave" +"0" +"pluginInfo" +"FPGA Technology Setup Plug-in v2.0 +For additional information, exceptions, compatibility issues and updates, visit SupportNet." +"pluginVersion" +"2.0" +"promptForRunSettings" +"0" +"runMethod" +"gui" +"runnableObject" +"$ISE_HOME\\bin\\nt64\\ise.exe" +"runnableObjectType" +"executable" +"useViewSpecific" +"0" +] +) +"TaskSetting" +(SettingsMap +settingsMap [ +"InputFile" +"U:/ELN_board/Board/concat/cursor.vhd" +"RunFromPlugin" +"False" +"RunInteractiveFromPlugIn" +"True" +"createAsciiFile" +"False" +"createBinaryFile" +"False" +"createFiles" +"True" +"createScriptFile" +"False" +"device" +"xc2vp7" +"edifngcPath" +"U:/ELN_board/Board/concat/cursor.vhd" +"effortLevel" +"Standard" +"family" +"virtex2p" +"familyName" +"virtex2p" +"netlist" +"other" +"netlistDefaultView" +"True" +"package" +"fg456" +"simulationModelLanguage" +"Modelsim_VHDL" +"speed" +"-7" +"synthTool" +"Xilinx XST" +"ucfPath" +"" +"vendor" +"xilinx" +] +) +] +PreferedTasks [ +] +onShortcutBar 0 +onPulldownMenu 0 +onToolbar 0 +enabled 1 +hierDepth 3 +) +] +) diff --git a/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt b/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt new file mode 100644 index 0000000..8e54448 --- /dev/null +++ b/Prefs/hds_user/v2019.2/templates/text_files/new_document.txt @@ -0,0 +1,13 @@ +FILE_NAMING_RULE: new_document.txt +DESCRIPTION_START +This is the default template used for the creation of Text Document files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +# +# Created: +# by - %(user).%(group) (%(host)) +# at - %(time) %(date) +# +# using Mentor Graphics HDL Designer(TM) %(version) +# + diff --git a/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd b/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd new file mode 100644 index 0000000..faf9041 --- /dev/null +++ b/Prefs/hds_user/v2019.2/templates/vhdl_architecture/architecture.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Architecture files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(architecture) diff --git a/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd b/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd new file mode 100644 index 0000000..ba6941d --- /dev/null +++ b/Prefs/hds_user/v2019.2/templates/vhdl_combined/combined.vhd @@ -0,0 +1,17 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name).vhd +DESCRIPTION_START +This is the default template used for the creation of combined VHDL Architecture and Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Architecture %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) +-- +%(architecture) diff --git a/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd b/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd new file mode 100644 index 0000000..302dffe --- /dev/null +++ b/Prefs/hds_user/v2019.2/templates/vhdl_configuration/configuration.vhd @@ -0,0 +1,19 @@ +FILE_NAMING_RULE: %(entity_name)_%(arch_name)_config.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Configuration files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Configuration %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +CONFIGURATION %(entity_name)_config OF %(entity_name) IS + FOR %(arch_name) + END FOR; +END %(entity_name)_config; + diff --git a/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd b/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd new file mode 100644 index 0000000..272950c --- /dev/null +++ b/Prefs/hds_user/v2019.2/templates/vhdl_entity/entity.vhd @@ -0,0 +1,15 @@ +FILE_NAMING_RULE: %(entity_name)_entity.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Entity files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Entity %(library).%(unit).%(view) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +%(entity) diff --git a/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd b/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd new file mode 100644 index 0000000..0f95d5d --- /dev/null +++ b/Prefs/hds_user/v2019.2/templates/vhdl_package_body/package_body.vhd @@ -0,0 +1,16 @@ +FILE_NAMING_RULE: %(entity_name)_pkg_body.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Body files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Body %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +PACKAGE BODY %(entity_name) IS +END %(entity_name); diff --git a/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd b/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd new file mode 100644 index 0000000..d4257e1 --- /dev/null +++ b/Prefs/hds_user/v2019.2/templates/vhdl_package_header/package_header.vhd @@ -0,0 +1,18 @@ +FILE_NAMING_RULE: %(entity_name)_pkg.vhd +DESCRIPTION_START +This is the default template used for the creation of VHDL Package Header files. +Template supplied by Mentor Graphics. +DESCRIPTION_END +-- +-- VHDL Package Header %(library).%(unit) +-- +-- Created: +-- by - %(user).%(group) (%(host)) +-- at - %(time) %(date) +-- +-- using Mentor Graphics HDL Designer(TM) %(version) +-- +LIBRARY ieee; +USE ieee.std_logic_1164.all; +PACKAGE %(entity_name) IS +END %(entity_name); diff --git a/README.md b/README.md new file mode 100644 index 0000000..607f5e9 --- /dev/null +++ b/README.md @@ -0,0 +1,93 @@ +

    +
    + ELN Cursor Logo +
    + ELN Project Cursor +
    +

    + +

    Labor Files for ELN Semester Project Cursor Moodle Cyberlearn.

    + +# Table of contents +

    + Description • + How To Use • + Credits • + License • + Find us on +

    + +![screenshot](img/screenshot.png) + +## Description +[(Back to top)](#table-of-contents) + +Laboratory file for semesterproject chronometer for first year bachelor students. + +As it changes each year, all you have to do is search on Moodle Cyberlearn for the course number and select the one starting with the last two digits of the current year. + +Course number is 2131 for SYND + +The course full name is composed as a concatenation of year (YY), school name, course ID and course name: "YY_HES-SO-VS_2131_Electricite / Elektrotechnik ELN" + +## How To Use +[(Back to top)](#table-of-contents) + +To clone and run this application, you'll need [Git](https://git-scm.com) and [HDL Designer](https://www.mentor.com/products/fpga/hdl_design/hdl_designer_series/) as well as [Modelsim](https://www.mentor.com/products/fv/modelsim/) installed on your computer. From your command line: + +![usage instructions](img/eln_labs_deployment-students.png) + +### Launch +```bash +# Change to location for the Sourcecode on your PC for Example Base of Drive U: +U: + +# Clone this repository +git clone https://github.com/hei-synd-2131-eln/eln_cursor.git + +# Go into the repository +cd eln_cursor + +# Run the app +## Linux +./eln_cursor.bash + +## Windows +.\eln_cursor.bat +``` + +### Update Student **Fork** to the latest modification from **Master** Repo +```bash +# goto your student fork repo location +cd u:\eln_cursor\ + +# add upstream Master remote +git remote add upstream https://github.com/hei-synd-2131-eln/eln_cursor.git + +# like "git pull" which is fetch + merge) +git fetch upstream +git merge upstream/master master + +# Push the changes into your own fork repo +git push origin master +``` + +## Credits +[(Back to top)](#table-of-contents) +* COF +* PRC +* ZAS + +## License +[(Back to top)](#table-of-contents) + +:copyright: [All rights reserved](LICENSE) + +--- + +## Find us on +> [hevs.ch](https://www.hevs.ch)  ·  +> Facebook [@hessovalais](https://www.facebook.com/hessovalais)  ·  +> Twitter [@hessovalais](https://twitter.com/hessovalais)  ·  +> LinkedIn [HES-SO Valais-Wallis](https://www.linkedin.com/groups/104343/)  ·  +> Youtube [HES-SO Valais-Wallis](https://www.youtube.com/user/HESSOVS) diff --git a/Scripts/cleanGenerated.bash b/Scripts/cleanGenerated.bash new file mode 100755 index 0000000..ca088c6 --- /dev/null +++ b/Scripts/cleanGenerated.bash @@ -0,0 +1,38 @@ +#!/bin/bash + +#================================================================================ +# cleanGenerated.bash - Clean intermediate files form folder +# +base_directory="$(dirname "$(readlink -f "$0")")" +pushd $base_directory +base_directory="$base_directory/.." + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#------------------------------------------------------------------------------- +# Remove generated and cache files +# +find $base_directory -type f -name '.cache.dat' | xargs -r rm -v +find $base_directory -type f -name '*.bak' | xargs -r rm -v +find $base_directory -type f -name '*.lck' | xargs -r rm -v +find $base_directory -type f -name '*.vhd.info' | xargs -r rm -v +find $base_directory -type f -name 'default_view' | xargs -r rm -v +find $base_directory -type f -name '*_entity.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_struct.vhd' | xargs -r rm -v +find $base_directory -type f -name '*_fsm.vhd' | xargs -r rm -v +find $base_directory -type f -name '*.vhg' | xargs -r rm -v +find $base_directory -type f -name '*.DS_Store' | xargs -r rm -v +find $base_directory -type d -name '.xrf' | xargs -r rm -Rv + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd diff --git a/Scripts/cleanGenerated.bat b/Scripts/cleanGenerated.bat new file mode 100644 index 0000000..0084edb --- /dev/null +++ b/Scripts/cleanGenerated.bat @@ -0,0 +1,42 @@ +::============================================================================== +:: cleanGenerated.bat +:: Clean intermediate files from folder +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR="--------------------------------------------------------------------------------" + set INDENT=" " + + echo %SEPARATOR% + echo "-- %~nx0 Started!" + echo. +::------------------------------------------------------------------------------ +:: Delete intermediate files +:: +set base_directory="%cmd_location:"=%.." + +echo "Delete intermediate files in: %base_directory%" +del /f /s /a %base_directory%\*cache.dat +del /f /s /a %base_directory%\*.bak +del /f /s /a %base_directory%\*.lck +del /f /s /a %base_directory%\*.vhd.info +del /f /s /a %base_directory%\default_view +del /f /s /a %base_directory%\*_entity.vhd +del /f /s /a %base_directory%\*_struct.vhd +del /f /s /a %base_directory%\*_fsm.vhd +del /f /s /a %base_directory%\*.vhg +del /f /s /a %base_directory%\*.DS_Store + +echo "Delete intermediate directories in: %base_directory%" +for /d /r "%base_directory:"=%\" %%a in (.xrf\) do if exist "%%a" rmdir /s /q "%%a" + +:end + echo. + echo "-- %~nx0 Finished!" + echo %SEPARATOR% + popd + endlocal + goto:eof \ No newline at end of file diff --git a/Scripts/cleanScratch.bat b/Scripts/cleanScratch.bat new file mode 100644 index 0000000..132ac91 --- /dev/null +++ b/Scripts/cleanScratch.bat @@ -0,0 +1,38 @@ +::============================================================================== +:: cleanScratch.bat +:: Clean scratch directory +:: +:start + @echo off + setlocal + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR="--------------------------------------------------------------------------------" + set INDENT=" " + + echo %SEPARATOR% + echo "-- %~nx0 Started!" + echo. + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +if "%SCRATCH_DIR%" == "" ( + set SCRATCH_DIR=C:\temp\eda\ +) + +echo Delete scratch directory %SCRATCH_DIR% +if exist %SCRATCH_DIR% ( + echo Scratch directory found, deleting! + rmdir /S /Q "%SCRATCH_DIR%" +) else ( + echo Scratch directory not found! +) + +:end + echo. + echo "-- %~nx0 Finished!" + echo %SEPARATOR% + popd + endlocal + goto:eof \ No newline at end of file diff --git a/Scripts/hdlDesigner.bash b/Scripts/hdlDesigner.bash new file mode 100755 index 0000000..4b54b46 --- /dev/null +++ b/Scripts/hdlDesigner.bash @@ -0,0 +1,154 @@ +#!/bin/bash + +#================================================================================ +# hdl_designer.bash - Starts HDL designer +# +base_directory="$(dirname "$(readlink -f "$0")")" +base_directory="$base_directory/.." +pushd $base_directory + +SEPARATOR='--------------------------------------------------------------------------------' +INDENT=' ' + +echo "$SEPARATOR" +echo "-- ${0##*/} Started!" +echo "" + +#-------------------------------------------------------------------------------- +# Parse command line options +# +command_switches='n:d:p:m:i:u:t:s:c:y:vh' +usage='Usage: hdl_designer.bash [-v] [-h]' +usage="$usage\n\t[-d designDirectory] [-u userPrefsDirectory]" + # set name and base directory +design_name=`basename $0 .bash` +design_directory=`dirname ${BASH_SOURCE[0]}` + +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + esac +done + # continue with preferences directory +prefs_directory="$design_directory/Prefs" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) design_name=$OPTARG;; + d ) design_directory=$OPTARG;; + p ) prefs_directory=$OPTARG;; + esac +done + # finish with other parameters +library_matchings="$design_name.hdp" +library_matchings='hds.hdp' +simulation_directory="$design_directory/Simulation" +user_prefs_directory="$prefs_directory/hds_user-linux" +team_prefs_directory="$prefs_directory/hds_team" +scratch_directory='/tmp/eda/' +synthesis_subdirectory="Board/ise" +concat_directory="$design_directory/Board/concat" + +OPTIND=1 +while getopts $command_switches options; do + case $options in + n ) ;; + d ) ;; + m ) library_matchings=$OPTARG;; + i ) simulation_directory=$OPTARG;; + u ) user_prefs_directory=$OPTARG;; + t ) team_prefs_directory=$OPTARG;; + s ) scratch_directory=$OPTARG;; + c ) concat_directory=$OPTARG;; + y ) synthesis_subdirectory=$OPTARG;; + v ) verbose=1;; + h ) echo -e $usage + exit 1;; + * ) echo -e $usage + exit 1;; + esac +done + +design_directory=`realpath $design_directory` +library_matchings=`realpath $prefs_directory/$library_matchings` +simulation_directory=`realpath $simulation_directory` +user_prefs_directory=`realpath $user_prefs_directory` +team_prefs_directory=`realpath $team_prefs_directory` +concat_directory=`realpath $concat_directory` +mkdir -p $scratch_directory +scratch_directory=`realpath $scratch_directory` + +#================================================================================ +# Main script +# + +#------------------------------------------------------------------------------- +# System environment variables +# +export HDS_HOME=/usr/opt/HDS +export MODELSIM_HOME=/usr/opt/Modelsim/modeltech/bin/ +export SYNTHESIS_HOME=/usr/opt/Xilinx/ISE_DS/ISE +export LC_ALL=C +export LD_LIBRARY_PATH=/usr/openwin/lib:/usr/lib:/usr/dt/lib:/usr/opt/HDS/ezwave/lib:/usr/opt/HDS/bin +export MGLS_HOME=/usr/opt/HDS/license/mgls + +#------------------------------------------------------------------------------- +# Project environment variables +# +export DESIGN_NAME=$design_name +export HDS_LIBS=$library_matchings +export HDS_USER_HOME="$user_prefs_directory" +export HDS_TEAM_HOME=$team_prefs_directory +export SIMULATION_DIR=$simulation_directory +export SCRATCH_DIR=$scratch_directory +export CONCAT_DIR=$concat_directory +export SYNTHESIS_BASE_DIR=`realpath $design_directory/$synthesis_subdirectory` +export SYNTHESIS_WORK_DIR=$scratch_directory/$synthesis_subdirectory + +#------------------------------------------------------------------------------- +# Display info +# +if [ -n "$verbose" ] ; then + echo "Environment variables:" + echo "${INDENT}Design name is $DESIGN_NAME" + echo "${INDENT}Lib matchings file is $HDS_LIBS" + echo "${INDENT}Simulation directory is $SIMULATION_DIR" + echo "${INDENT}User prefs directory is $HDS_USER_HOME" + echo "${INDENT}Team prefs directory is $HDS_TEAM_HOME" + echo "${INDENT}Scratch directory is $SCRATCH_DIR" + echo "${INDENT}Concat directory is $CONCAT_DIR" + echo "${INDENT}HDS location is $HDS_HOME" + echo "${INDENT}Modelsim location is $MODELSIM_HOME" + echo "${INDENT}Synthesis app location is $SYNTHESIS_HOME" + echo "${INDENT}Synthesis base directory is $SYNTHESIS_BASE_DIR" + echo "${INDENT}Synthesis work directory is $SYNTHESIS_WORK_DIR" +fi + +#------------------------------------------------------------------------------- +# Copy synthesis data to scratch +# +if true; then + echo "Copying" + echo "${INDENT}$SYNTHESIS_BASE_DIR" + echo "${INDENT}-> $SYNTHESIS_WORK_DIR" +fi +if [ -e "$SYNTHESIS_BASE_DIR" ]; then + rm -Rf $SYNTHESIS_WORK_DIR + mkdir -p $SYNTHESIS_WORK_DIR + cp -pr $SYNTHESIS_BASE_DIR/* $SYNTHESIS_WORK_DIR/ +fi + +#------------------------------------------------------------------------------- +# Launch application +# +hdl_designer & + +#------------------------------------------------------------------------------- +# Exit +# +echo "" +echo "-- ${0##*/} Finished!" +echo "$SEPARATOR" +popd \ No newline at end of file diff --git a/Scripts/hdlDesigner.bat b/Scripts/hdlDesigner.bat new file mode 100644 index 0000000..ee1e3ea --- /dev/null +++ b/Scripts/hdlDesigner.bat @@ -0,0 +1,246 @@ +::============================================================================== +:: hdl_designer.bash - Starts HDL designer +:: +:start + setlocal EnableExtensions EnableDelayedExpansion + set cmd_location=%~dp0 + pushd %cmd_location% + set SEPARATOR="--------------------------------------------------------------------------------" + set INDENT=" " + + echo %SEPARATOR% + echo "-- %~nx0 Started!" + echo. + +:: ----------------------------------------------------------------------------- +:: Define default environment variables +:: +::remove trailing backslash +if %cmd_location:~-1%==\ set design_directory=%design_directory:~0,-1% + +set design_name=%~n0 +set hpd_name=hds + +if "%ISE_VERSION%"== "" ( + set ISE_VERSION=14.7 +) +set prefs_directory="%design_directory:"=%\Prefs" +set library_matchings="%hpd_name%.hdp" +set simulation_directory="%design_directory:"=%\Simulation" +set user_prefs_directory="%prefs_directory:"=%\hds_user" +set team_prefs_directory="%prefs_directory:"=%\hds_team" +if "%SCRATCH_DIR%" == "" ( + set scratch_directory=C:\temp\eda\%username% +) else ( + set scratch_directory=%SCRATCH_DIR% +) + +set synthesis_subdirectory="" +if %REQUIRE_ISE% == 1 ( + set synthesis_subdirectory="Board\ise" +) +if %REQUIRE_LIBERO% == 1 ( + set synthesis_subdirectory="Board\libero" +) +set concat_directory="%design_directory:"=%\Board\concat" + +::------------------------------------------------------------------------------ +:: Parse command line options +:: +:parse +set usage1="Usage: hdl_designer.bat [-v] [-h]" +set usage2=" [-n designName] [-d designDirectory]" +set usage3=" [-p prefsDirectory] [-u userPrefsDirectory] [-t teamPrefsDirectory]" +set usage4=" [-s scratchDirectory] [-c concatDirectory]" +set usage5=" [-y synthesisDirectory] [-m library_matchings]" + +echo "Search Commandline Parameters" +:parseloop +if not "%1"=="" ( + if "%1"=="-v" ( + set VERBOSE=1 + echo "%INDENT:"=%verbose enabled" + shift + ) + if "%1"=="-h" ( + goto :HELP + shift + ) + if "%1"=="-n" ( + set design_name=%2 + echo "%INDENT:"=%design_name=!design_name:"=! " + shift & shift + ) + if "%1"=="-d" ( + set design_directory=%2 + echo "%INDENT:"=%design_directory=!design_directory:"=! " + shift & shift + ) + if "%1"=="-p" ( + set prefs_directory=%2 + echo "%INDENT:"=%prefs_directory=!prefs_directory:"=! " + shift & shift + ) + if "%1"=="-u" ( + set user_prefs_directory=%2 + echo "%INDENT:"=%user_prefs_directory=%user_prefs_directory:"=% " + shift & shift + ) + if "%1"=="-t" ( + set team_prefs_directory=%2 + echo "%INDENT:"=%team_prefs_directory=%team_prefs_directory:"=% " + shift & shift + ) + if "%1"=="-s" ( + set scratch_directory=%2 + echo "%INDENT:"=%scratch_directory=%scratch_directory:"=% " + shift & shift + ) + if "%1"=="-c" ( + set concat_directory=%2 + echo "%INDENT:"=%concat_directory=%concat_directory:"=% " + shift & shift + ) + if "%1"=="-y" ( + set synthesis_subdirectory=%2 + echo "%INDENT:"=%synthesis_subdirectory=%synthesis_subdirectory:"=% " + shift & shift + ) + if "%1"=="-m" ( + set set library_matchings="%2.hdp" + echo "%INDENT:"=%library_matchings=%library_matchings:"=% " + shift & shift + ) + goto :parseloop +) +echo. + +:: Setting all concurrent variables +set prefs_directory="!design_directory:"=!\Prefs" +set user_prefs_directory="!prefs_directory:"=!\hds_user" +set team_prefs_directory="!prefs_directory:"=!\hds_team" +set library_matchings=!prefs_directory:"=!\!library_matchings:"=! +set simulation_directory="!design_directory:"=!\Simulation" +set concat_directory="!design_directory:"=!\Board\concat" + +:: Getting realpath +:: TODO + +:: create scratch dir +if not exist "%scratch_directory%" ( + mkdir "%scratch_directory:"=%" +) + +::================================================================================ +:: Main script +:: +call "./searchPaths.bat" + +::------------------------------------------------------------------------------ +:: Project environment variables +:: +set DESIGN_NAME=%design_name:"=% +set HDS_LIBS=%library_matchings:"=% +set HDS_USER_HOME=%user_prefs_directory:"=% +set HDS_TEAM_HOME=%team_prefs_directory:"=% +set SIMULATION_DIR=%simulation_directory:"=% +:: Changing Slashed (Needed for Modelsim) +set SIMULATION_DIR=%SIMULATION_DIR:\=/% +set SCRATCH_DIR=%scratch_directory:"=% +set CONCAT_DIR=%concat_directory:"=% +set ISE_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set ISE_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% +set LIBERO_BASE_DIR=%design_directory:"=%\%synthesis_subdirectory:"=% +set LIBERO_WORK_DIR=%scratch_directory:"=%\%DESIGN_NAME:"=%\%synthesis_subdirectory:"=% + +::------------------------------------------------------------------------------ +:: Display info +:: +if !VERBOSE! == 1 ( + echo "Program Parameters" + echo "%INDENT:"=%DESIGN_NAME is %DESIGN_NAME:"=%" + echo "%INDENT:"=%HEI_LIBS_DIR is %HEI_LIBS_DIR:"=%" + echo "%INDENT:"=%HDS_LIBS is %HDS_LIBS:"=%" + echo "%INDENT:"=%SIMULATION_DIR is %SIMULATION_DIR:"=%" + echo "%INDENT:"=%HDS_USER_HOME is %HDS_USER_HOME:"=%" + echo "%INDENT:"=%HDS_TEAM_HOME is %HDS_TEAM_HOME:"=%" + echo "%INDENT:"=%SCRATCH_DIR is %SCRATCH_DIR:"=%" + echo "%INDENT:"=%CONCAT_DIR is %CONCAT_DIR:"=%" + if %REQUIRE_HDS% == 1 ( + echo "%INDENT:"=%HDS_HOME is %HDS_HOME:"=%" + ) + if %REQUIRE_MODELSIM% == 1 ( + echo "%INDENT:"=%MODELSIM_HOME is %MODELSIM_HOME:"=%" + ) + if %REQUIRE_ISE% == 1 ( + echo "%INDENT:"=%ISE_HOME is %ISE_HOME:"=%" + echo "%INDENT:"=%ISE_BASE_DIR is %ISE_BASE_DIR:"=%" + echo "%INDENT:"=%ISE_WORK_DIR is %ISE_WORK_DIR:"=%" + ) + if %REQUIRE_LIBERO% == 1 ( + echo "%INDENT:"=%LIBERO_HOME is %LIBERO_HOME:"=%" + echo "%INDENT:"=%LIBERO_BASE_DIR is %LIBERO_BASE_DIR:"=%" + echo "%INDENT:"=%LIBERO_WORK_DIR is %LIBERO_WORK_DIR:"=%" + ) + echo. +) + +::------------------------------------------------------------------------------ +:: Delete scratch directory +:: +call "./cleanScratch.bat" + +::------------------------------------------------------------------------------ +:: Copy synthesis data to scratch directory +:: +if %REQUIRE_ISE% == 1 ( + if exist %ISE_BASE_DIR% ( + echo "%ISE_BASE_DIR:"=%" + echo " -> %ISE_WORK_DIR:"=%" + if exist %ISE_WORK_DIR% ( + rmdir /S /Q "%ISE_WORK_DIR%" + ) + mkdir "%ISE_WORK_DIR%" + xcopy /Y "%ISE_BASE_DIR%" "%ISE_WORK_DIR%\" + ) +) + +if %REQUIRE_LIBERO% == 1 ( + if exist %LIBERO_BASE_DIR% ( + echo "%LIBERO_BASE_DIR:"=%" + echo " -> %LIBERO_BASE_DIR:"=%" + if exist %LIBERO_WORK_DIR% ( + rmdir /S /Q "%LIBERO_WORK_DIR%" + ) + mkdir "%LIBERO_WORK_DIR%" + xcopy /S /Y "%LIBERO_BASE_DIR%" "%LIBERO_WORK_DIR%\" + ) +) + +::------------------------------------------------------------------------------ +:: Launch application +:: +echo "%SEPARATOR:"=%" +echo "-- Launching program" +echo "%INDENT:"=%Waiting until programs finished..." +echo "-- Finished... YOU CAN CLOSE THIS WINDOW NOW!" +::start /wait !HDS_HOME:"=!\bin\hdldesigner.exe +%windir%\system32\cmd.exe /c start /wait !HDS_HOME!\bin\hdldesigner.exe +echo "%SEPARATOR:"=%" + +:end + popd + endlocal + pause&goto:eof + +::------------------------------------------------------------------------------ +:: Helper Functions +:: +:HELP + echo. + echo %usage1:"=% + echo %usage2:"=% + echo %usage3:"=% + echo %usage4:"=% + echo %usage5:"=% + echo.&pause&goto:eof diff --git a/Scripts/searchPaths.bat b/Scripts/searchPaths.bat new file mode 100644 index 0000000..2b8258c --- /dev/null +++ b/Scripts/searchPaths.bat @@ -0,0 +1,157 @@ +::============================================================================== +:: search_paths.bat - Search for Libraries and HDL Tools +:: + +:start + @echo off + set cmd_location="%~dp0" + pushd %cmd_location% + set SEPARATOR="--------------------------------------------------------------------------------" + set INDENT=" " + + echo %SEPARATOR% + echo "-- %~nx0 Started!" + echo. + +echo "Search HEI Library" +::------------------------------------------------------------------------------ +:: Search HEI library directory +:: +if !REQUIRE_LIBS! == 1 ( + if "%HEI_LIBS_DIR%" == "" ( + set HEI_LIBS_DIR=%design_directory:"=!%\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check at folder one level above project folder, as used on svn for boards libraries + set HEI_LIBS_DIR=%design_directory:"=!%\..\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check at folder one level above project folder, as used on svn for boards libraries + set HEI_LIBS_DIR=%design_directory:"=!%\..\..\Libs + if not exist !HEI_LIBS_DIR!\ ( + :: check on server, as used for ET labs + set HEI_LIBS_DIR=R:\ETE\Ele1_8132\ELN\Labs\Libraries + if not exist !HEI_LIBS_DIR!\ ( + :: check on server, as used for SI labs + set HEI_LIBS_DIR=R:\SYND\Ele_2131\ELN\Labs\Libraries + if not exist !HEI_LIBS_DIR!\ ( + echo "ERROR: No valid libraries found: please verify your project setup." + pause&goto:end + ) + ) + ) + ) + ) + ) + if exist !HEI_LIBS_DIR!\ ( + echo "%INDENT:"=%Found HEI_LIBS_DIR at !HEI_LIBS_DIR:"=!" + ) else ( + echo "%INDENT:"=%ERROR: No valid HEI_LIBS_DIR found: please verify your HEI_LIBS_DIR settings." + pause&goto:eof + ) +) + + +echo "Search Design Tools: HDL Designer, Modelsim, Xilinx ISE, Microsemi Libero" +::------------------------------------------------------------------------------ +:: Search HDL Designer directory +:: +if !REQUIRE_HDS! == 1 ( + if "%HDS_HOME%" == "" ( + set HDS_HOME=C:\eda\MentorGraphics\HDS + if not exist !HDS_HOME!\ ( + set HDS_HOME=C:\tools\eda\HDS + if not exist !HDS_HOME!\ ( + echo "%INDENT:"=%ERROR: No valid installation of HDL-Designer found: please verify your HDS_HOME settings." + pause&goto:eof + ) + ) + ) + if exist !HDS_HOME! ( + echo "%INDENT:"=%Found HDL-Designer at !HDS_HOME!" + ) else ( + echo "%INDENT:"=%ERROR: No valid installation of HDL-Designer found: please verify your HDS_HOME settings." + pause&goto:eof + ) + ) +) + +::------------------------------------------------------------------------------ +:: Search Modelsim directory +:: +if !REQUIRE_MODELSIM! == 1 ( + if "%MODELSIM_HOME%" == "" ( + set MODELSIM_HOME=C:\eda\MentorGraphics\modelsim\win64 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\eda\MentorGraphics\modelsim\win32 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\tools\eda\Modelsim\win64 + if not exist !MODELSIM_HOME!\ ( + set MODELSIM_HOME=C:\tools\eda\Modelsim\win32 + if not exist !MODELSIM_HOME!\ ( + echo "%INDENT:"=%ERROR: No valid installation of ModelSim found please verify your MODELSIM_HOME settings." + pause&goto:eof + ) + ) + ) + ) + ) + if exist !MODELSIM_HOME! ( + echo "%INDENT:"=%Found ModelSim at !MODELSIM_HOME:"=!" + ) else ( + echo "%INDENT:"=%ERROR: No valid installation of ModelSim found please verify your MODELSIM_HOME settings." + pause + goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Xilinx ISE directory +:: + +if !REQUIRE_ISE! == 1 ( + if "%ISE_HOME%" == "" ( + set ISE_HOME=C:\eda\Xilinx\!ISE_VERSION:"=!\ISE_DS\ISE + if not exist !ISE_HOME!\ ( + set ISE_HOME=C:\tools\eda\Xilinx\!ISE_VERSION:"=!\ISE_DS\ISE + if not exist !ISE_HOME!\ ( + echo "%INDENT:"=%ERROR: No valid installation of ISE found please verify your ISE_HOME settings." + pause&goto:eof + ) + ) + ) + if exist !ISE_HOME! ( + echo "%INDENT:"=%Found ISE at !ISE_HOME:"=!" + ) else ( + echo "%INDENT:"=%ERROR: No valid installation of ISE found please verify your ISE_HOME settings." + pause&goto:eof + ) +) + +::------------------------------------------------------------------------------ +:: Search Microsemi Libero directory +:: +if %REQUIRE_LIBERO% == 1 ( + if "%LIBERO_HOME%" == "" ( + set LIBERO_HOME=C:\eda\Microsemi\Libero + if not exist !LIBERO_HOME!\ ( + set LIBERO_HOME=C:\tools\eda\Microsemi\Libero + if not exist !LIBERO_HOME!\ ( + echo "%INDENT:"=%ERROR: No valid installation of Libero found please verify your LIBERO_HOME settings." + pause&goto:eof + ) + ) + ) + if exist !LIBERO_HOME! ( + echo "%INDENT:"=%Found Libero at !LIBERO_HOME:"=!" + ) else ( + echo "%INDENT:"=%ERROR: No valid installation of Libero found please verify your LIBERO_HOME settings." + pause + goto:eof + ) +) + +:end + echo. + echo "-- %~nx0 Finished!" + echo %SEPARATOR% + popd + goto:eof \ No newline at end of file diff --git a/Scripts/start_libero.pl b/Scripts/start_libero.pl new file mode 100644 index 0000000..3e11301 --- /dev/null +++ b/Scripts/start_libero.pl @@ -0,0 +1,37 @@ +#!/usr/bin/perl +# filename: start_libero.pl +# created by: Corthay Francois & Zahno Silvan +# +#------------------------------------------------------------------------------- +# +# Description: +# Starts Libero in the correct timezone for the Synplify License +# Help Parameter : +# Parameter : start_libero.pl +#------------------------------------------------------------------------------- +# +# History: +# V0.1 : zas 08.2019 -- Initial release +# +################################################################################ + +$separator = '-' x 79; +$indent = ' ' x 2; +$verbose = 1; + +$ENV{TZ} = ''; # needed to be able to run Synplify avoinding license error +my $designerExe = "$ENV{LIBERO_HOME}\\Designer\\bin\\libero.exe"; +$liberoFileSpec = $ARGV[0]; + +#------------------------------------------------------------------------------- +# Launch Libero +# + +if ($verbose == 1) { + print "\n"; + print "launching $designerExe\n"; + print $indent, "project file spec: $liberoFileSpec\n"; + print "$separator\n"; +} + +system("$designerExe $liberoFileSpec"); \ No newline at end of file diff --git a/Scripts/trimLibs.pl b/Scripts/trimLibs.pl new file mode 100644 index 0000000..81500ed --- /dev/null +++ b/Scripts/trimLibs.pl @@ -0,0 +1,161 @@ +#!/usr/bin/perl +# ------------------------------------------------------------------------------ +# trimLibs +# Comment regular libraries in an concatenated file +# Help Parameter : +# Parameter : trimlibs.pl +# ------------------------------------------------------------------------------ +# Authors: +# cof: [François Corthay](francois.corthay@hevs.ch) +# guo: [Oliver A. Gubler](oliver.gubler@hevs.ch) +# zas: [Silvan Zahno](silvan.zahno@hevs.ch) +# gal: [Laurent Gauch] +# ------------------------------------------------------------------------------ +# Changelog: +# 2019.08.23 : cof +# * Comment "omment "FOR xxxx : yyy USE ENTITY zzz;"" instead all "For All ... work" lines +# * Seen problems in ELN_Kart +# 2019.06.11 : zas +# * Comment "For All .... work."" instead all "For All" lines +# * Allow Outputfilename as Env var or as Script parameter +# 2015-08-25 : guo +# * added unisim to the list of excluded libraries +# 2015-05-08 : guo +# * added verbosity debug +# * changed this header +# * minor comment modifications +# 2013-08-13 : zas guo +# Handle error if environment variable not found, character'pos('$') -> ') +# was found as env var, added exception +# 2013-06-13 : cof zas guo +# Remove comments from testline +# 2013-01-09 : cof -- +# * Bugfix: no carriage return on commented "use" statements +# * Bugfix: more precise targeting of "library" statement +# * Bugfix: "Library" test after "use" test +# 2012-04-27 : zas +# * Bugfix: on feature added in version 2011-06-10 +# 2012-02-02 : zas +# * Write the output into a new file with the name defined in the +# * $DESIGN_NAME variable +# 2012-01-23 : zas +# * Replaces $env_var_name by the value of the found environmemnt variable. +# * Mostly used to replace $SIMULATION_DIR for initialise bram's from a file +# * placed in the Simulation Directory +# 2011-06-10 : zas +# Replaces +# library xxx;use xxx.yyy.all; +# with +# --library xxx; +# use work.yyy.all; +# 2005...2011 : cof +# Improvements +# 2005-01-29 : gal +# initlial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; +$hdlInFileSpec = $ARGV[0]; +if (defined $ARGV[1]) { + $hdlOutFileSpec = $ARGV[1]; +} +else { + $hdlOutFileSpec = 'trimmed.vhd'; +} + +$verbose = 1; +$debug = 0; + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $hdlOutFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Trimming library declarations from $hdlInFileSpec to $hdlOutFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(HDLFile, $hdlInFileSpec) || die "couldn't open $HDLFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + + # remove all comment for the test + my $testline = $line; + $testline =~ s/--.*//; + + # Replace 'use xxx.yyy' with 'use work.yyy', except if xxx is ieee or std or unisim + if ($testline =~ m/use\s.*\.all\s*;/i) { + if ( not($testline =~ m/\bieee\./i) and + not($testline =~ m/\bstd\./i) and + not($testline =~ m/\bunisim\./i)) { + # if there is any char before "use" except \s, insert new line \n + if ( ($testline =~ m/[^\s]\s*use/i) ) { + $line =~ s/use\s+.*?\./\nuse work./i; + if ($debug == 1) { + print "TEST0099: ", $testline, "\n" + } + } + else { + $line =~ s/use\s+.*?\./use work./i; + if ($debug == 1) { + print "TEST0105: ", $testline, "\n" + } + } + } + } + + # Comment libraries which aren't ieee or std or unisim + if (($testline =~ m/\slibrary\s+/i) or ($testline =~ m/\Alibrary\s+/i)) { + if ( not($testline =~ m/ieee/i) and + not($testline =~ m/std/i) and + not($testline =~ m/unisim/i)) { + $line = '-- ' . $line; + } + } + + # Comment "FOR xxxx : yyy USE ENTITY zzz; + if ($line =~ m/for\s+.+:.+\s+use\s+entity/i) { + $line = '-- ' . $line; + } + + # Search for $Env_Var_Names and replace them by the value of the env_var + if ($testline =~ m/(\$[^\s\/.'"\\]+)/i) { + $envvar = $1; + $envvar =~ s/^.//; + eval { + $line =~ s/\$$envvar/$ENV{$envvar}/; + }; + if ($@) { + print ("WARNING: Environment Variable not found: $envvar \n") + } + + } + + print tempFile ("$line\n"); +} + +close(tempFile); +close(HDLFile); + +#------------------------------------------------------------------------------- +# delete original file and rename temporary file +# +unlink($hdlOutFileSpec); +rename($tempFileSpec, $hdlOutFileSpec); + +if ($verbose == 1) { + print "$separator\n"; +} + +#if ($verbose == 1) { +# print $indent, "Hit any to continue"; +# $dummy = ; +#} diff --git a/Scripts/update_ise.pl b/Scripts/update_ise.pl new file mode 100644 index 0000000..1ce5756 --- /dev/null +++ b/Scripts/update_ise.pl @@ -0,0 +1,91 @@ +#!/usr/bin/perl +# ------------------------------------------------------------------------------ +# update_ise +# replace ucf and vhd filelocation and name in the Xilinx xise project file +# Help Parameter : +# Parameter : update_ise.pl +# ------------------------------------------------------------------------------ +# Changelog: +# 2019-06-12 : zas +# * All parameters given with agruments instead of env variables +# 2015-05-26 : guo +# * update to environment from HELS v.15.0526 +# 2012-05-27 : cof +# * Initial release +# ------------------------------------------------------------------------------ + +$separator = '-' x 79; +$indent = ' ' x 2; + +$iseFileSpec = $ARGV[0]; +$vhdlFileSpec = $ARGV[1]; +$ucfFileSpec = $ARGV[2]; + +$verbose = 1; + +if ($verbose == 1) { + print "Script Parameters:\n"; + print " * iseFileSpec: $iseFileSpec\n"; + print " * vhdlFileSpec: $vhdlFileSpec\n"; + print " * ucfFileSpec: $ucfFileSpec\n"; +} + + +#------------------------------------------------------------------------------- +# program I/O files +# +$tempFileSpec = $iseFileSpec . '.tmp'; + +if ($verbose == 1) { + print "\n$separator\n"; + print "Updating file specifications in $iseFileSpec\n"; + print $indent, "temporary file spec: $tempFileSpec\n"; +} + +#------------------------------------------------------------------------------- +# read original file, edit and save to temporary file +# +my $line; + +open(ISEFile, $iseFileSpec) || die "couldn't open $iseFileSpec!"; +open(tempFile, ">$tempFileSpec"); +while (chop($line = )) { + # replace VHDL files + if ($line =~ m/FILE_VHDL/i) { + $line =~ s/ +# Parameter : update_libero.pl <^PDC File Spec> +#------------------------------------------------------------------------------- +# +# History: +# V0.1 : cof 12.2013 -- Initial release +# V0.2 : zas 08.2019 -- Changed actel to libero +# +################################################################################ + +$separator = '-' x 79; +$indent = ' ' x 2; +$verbose = 1; + +$ENV{TZ} = ''; # needed to be able to run Synplify avoinding license error +my $designerExe = "$ENV{LIBERO_HOME}\\Designer\\bin\\libero.exe"; +$liberoFileSpec = $ARGV[0]; +$vhdlFileSpec = $ARGV[1]; +$pdcFileSpec = $ARGV[2]; + + +if ($verbose == 1) { + print "Script Parameters:\n"; + print " * liberoFileSpec: $liberoFileSpec\n"; + print " * vhdlFileSpec: $vhdlFileSpec\n"; + print " * pdcFileSpec: $pdcFileSpec\n"; +} + + + +#------------------------------------------------------------------------------- +# Project variables +# +$liberoWorkFileSpec = $liberoFileSpec . '.tmp'; + # source directory +my $sourceDir = "$ENV{CONCAT_DIR}"; +my $projectDir = "$ENV{LIBERO_WORK_DIR}"; + +#------------------------------------------------------------------------------- +# Update paths in the project file +# + +if ($verbose == 1) { + print "\n$separator\n"; + print "Updating file specifications in $liberoFileSpec\n"; + print $indent, "temporary file spec: $liberoWorkFileSpec\n"; +} +my $line; + +open(LiberoFile, $liberoFileSpec) || die "couldn't open $HDLFileSpec!"; +open(workFile, ">$liberoWorkFileSpec"); +while (chop($line = )) { + # replace source path + if ($line =~ m/DEFAULT_IMPORT_LOC/i) { + $line =~ s/".*"/"$sourceDir"/; + } + # replace project path + if ($line =~ m/ProjectLocation/i) { + $line =~ s/".*"/"$projectDir"/; + } + # replace VHDL file spec + if ($line =~ m/VALUE\s".*,hdl"/i) { + $line =~ s/".*"/"$vhdlFileSpec,hdl"/; + } + # replace PDC file spec + if ($line =~ m/VALUE\s".*\.pdc,pdc"/i) { + $line =~ s/".*"/"$pdcFileSpec,pdc"/; + } + + print workFile ("$line\n"); +} + +close(workFile); +close(LiberoFile); + + +#------------------------------------------------------------------------------- +# delete original file and rename temporary file +# +unlink($liberoFileSpec); +rename($liberoWorkFileSpec, $liberoFileSpec); + +if ($verbose == 1) { + print "$separator\n"; +} + +#------------------------------------------------------------------------------- +# Launch Libero +# + +#if ($verbose == 1) { +# print "\n"; +# print "launching $designerExe\n"; +# print $indent, "project file spec: $liberoFileSpec\n"; +# print "$separator\n"; +#} + +#system("$designerExe $liberoFileSpec"); diff --git a/Simulation/cursor.do b/Simulation/cursor.do new file mode 100644 index 0000000..de78b85 --- /dev/null +++ b/Simulation/cursor.do @@ -0,0 +1,43 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -group {reset and clock} /cursor_tb/reset +add wave -noupdate -group {reset and clock} /cursor_tb/clock +add wave -noupdate -group {reset and clock} /cursor_tb/testMode +add wave -noupdate -expand -group {Buttons and sensors} /cursor_tb/restart +add wave -noupdate -expand -group {Buttons and sensors} /cursor_tb/go1 +add wave -noupdate -expand -group {Buttons and sensors} /cursor_tb/go2 +add wave -noupdate -expand -group {Buttons and sensors} /cursor_tb/sensor1 +add wave -noupdate -expand -group {Buttons and sensors} /cursor_tb/sensor2 +add wave -noupdate -group Encoder /cursor_tb/encoderA +add wave -noupdate -group Encoder /cursor_tb/encoderB +add wave -noupdate -group Encoder /cursor_tb/encoderI +add wave -noupdate -expand -group Internals /cursor_tb/I_DUT/I_ctrl/current_state +add wave -noupdate -expand -group Internals -radix unsigned /cursor_tb/I_DUT/selectPosition +add wave -noupdate -expand -group Internals /cursor_tb/I_DUT/zeroSpeed +add wave -noupdate -expand -group Internals /cursor_tb/I_DUT/fullSpeed +add wave -noupdate -expand -group Internals /cursor_tb/I_DUT/rampEnable +add wave -noupdate -expand -group Internals /cursor_tb/I_DUT/endReached +add wave -noupdate -expand -group Internals -format Analog-Step -height 50 -max 255.0 -radix unsigned /cursor_tb/I_DUT/amplitude +add wave -noupdate -expand -group Internals -format Analog-Step -height 50 -max 14000.0 -radix unsigned /cursor_tb/I_DUT/position +add wave -noupdate -expand -group {Motor control} /cursor_tb/motorOn +add wave -noupdate -expand -group {Motor control} /cursor_tb/side1 +add wave -noupdate -expand -group {Motor control} /cursor_tb/side2 +add wave -noupdate /cursor_tb/I_DUT/testOut +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {18011924 ns} 0} +quietly wave cursor active 1 +configure wave -namecolwidth 240 +configure wave -valuecolwidth 71 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1000 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits ms +update +WaveRestoreZoom {0 ns} {21 ms} diff --git a/Simulation/divider.do b/Simulation/divider.do new file mode 100644 index 0000000..426d4da --- /dev/null +++ b/Simulation/divider.do @@ -0,0 +1,21 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -format Logic /divider_tb/reset +add wave -noupdate -format Logic /divider_tb/clock +add wave -noupdate -format Logic /divider_tb/testmode +add wave -noupdate -format Logic /divider_tb/enlow +add wave -noupdate -format Logic /divider_tb/enpwm +add wave -noupdate -format Logic /divider_tb/start +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {0 ps} +WaveRestoreZoom {0 ps} {5250 us} +configure wave -namecolwidth 150 +configure wave -valuecolwidth 100 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 + +run 10 ms diff --git a/Simulation/lcdFont.txt b/Simulation/lcdFont.txt new file mode 100644 index 0000000..2ab4422 --- /dev/null +++ b/Simulation/lcdFont.txt @@ -0,0 +1,128 @@ +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +111000111011000000010000000000001110001111100011 +000000000000000000000000000000000000000000000000 +000000000000000001001111000000000000000000000000 +000000000000011100000000000001110000000000000000 +000101000111111100010100011111110001010000000000 +001001000010101001111111001010100001001000000000 +001000110001001100001000011001000110001000000000 +001101100100100101010101001000100101000000000000 +000000000000010100000011000000000000000000000000 +000000000001110000100010010000010000000000000000 +000000000100000100100010000111000000000000000000 +000101000000100000111110000010000001010000000000 +000010000000100000111110000010000000100000000000 +000000000101000000110000000000000000000000000000 +000010000000100000001000000010000000100000000000 +000000000110000001100000000000000000000000000000 +001000000001000000001000000001000000001000000000 +001111100101000101001001010001010011111000000000 +000000000100001001111111010000000000000000000000 +010000100110000101010001010010010100011000000000 +001000010100000101000101010010110011000100000000 +000110000001010000010010011111110001000000000000 +001001110100010101000101010001010011100100000000 +001111000100101001001001010010010011000000000000 +000000010111000100001001000001010000001100000000 +001101100100100101001001010010010011011000000000 +000001100100100101001001001010010001111000000000 +000000000011011000110110000000000000000000000000 +000000000101011000110110000000000000000000000000 +000010000001010000100010010000010000000000000000 +000101000001010000010100000101000001010000000000 +000000000100000100100010000101000000100000000000 +000000100000000101010001000010010000011000000000 +001100100100100101111001010000010011111000000000 +011111100001000100010001000100010111111000000000 +011111110100100101001001010010010011011000000000 +001111100100000101000001010000010010001000000000 +011111110100000101000001001000100001110000000000 +011111110100100101001001010010010100000100000000 +011111110000100100001001000010010000000100000000 +001111100100000101001001010010010111101000000000 +011111110000100000001000000010000111111100000000 +000000000100000101111111010000010000000000000000 +001000000100000001000001001111110000000100000000 +011111110000100000010100001000100100000100000000 +011111110100000001000000010000000100000000000000 +011111110000001000001100000000100111111100000000 +011111110000010000001000000100000111111100000000 +001111100100000101000001010000010011111000000000 +011111110000100100001001000010010000011000000000 +001111100100000101010001001000010101111000000000 +011111110000100100011001001010010100011000000000 +010001100100100101001001010010010011000100000000 +000000010000000101111111000000010000000100000000 +001111110100000001000000010000000011111100000000 +000111110010000001000000001000000001111100000000 +001111110100000000111000010000000011111100000000 +011000110001010000001000000101000110001100000000 +000001110000100001110000000010000000011100000000 +011000010101000101001001010001010100001100000000 +000000000111111101000001010000010000000000000000 +000101010001011001111100000101100001010100000000 +000000000100000101000001011111110000000000000000 +000001000000001000000001000000100000010000000000 +010000000100000001000000010000000100000000000000 +000000000000000100000010000001000000000000000000 +001000000101010001010100010101000111100000000000 +011111110100100001000100010001000011100000000000 +001110000100010001000100010001000010000000000000 +001110000100010001000100010010000111111100000000 +001110000101010001010100010101000001100000000000 +000010000111111000001001000000010000001000000000 +000011000101001001010010010100100011111000000000 +011111110000100000000100000001000111100000000000 +000000000100010001111101010000000000000000000000 +001000000100000001000100001111010000000000000000 +011111110001000000101000010001000000000000000000 +000000000100000101111111010000000000000000000000 +011111000000010000011000000001000111100000000000 +011111000000100000000100000001000111100000000000 +001110000100010001000100010001000011100000000000 +011111000001010000010100000101000000100000000000 +000010000001010000010100000110000111110000000000 +011111000000100000000100000001000000100000000000 +010010000101010001010100010101000010000000000000 +000001000011111101000100010000000010000000000000 +001111000100000001000000001000000111110000000000 +000111000010000001000000001000000001110000000000 +001111000100000000110000010000000011110000000000 +010001000010100000010000001010000100010000000000 +000011000101000001010000010100000011110000000000 +010001000110010001010100010011000100010000000000 +000000000000100000110110010000010000000000000000 +000000000000000001111111000000000000000000000000 +000000000100000100110110000010000000000000000000 +000010000000100000101010000111000000100000000000 +000010000001110000101010000010000000100000000000 diff --git a/Simulation/positionCounter.do b/Simulation/positionCounter.do new file mode 100644 index 0000000..179e166 --- /dev/null +++ b/Simulation/positionCounter.do @@ -0,0 +1,30 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -format Logic /positioncounter_tb/reset +add wave -noupdate -format Logic /positioncounter_tb/clock +add wave -noupdate -divider Controls +add wave -noupdate -format Logic /positioncounter_tb/clear +add wave -noupdate -divider Encoder +add wave -noupdate -format Logic /positioncounter_tb/i1/direction +add wave -noupdate -format Analog-Step -height 40 -radix unsigned -scale 0.20000000000000001 /positioncounter_tb/i1/stepcount +add wave -noupdate -format Logic /positioncounter_tb/encodera +add wave -noupdate -format Logic /positioncounter_tb/encoderb +add wave -noupdate -format Logic /positioncounter_tb/encoderi +add wave -noupdate -divider {Position counter} +add wave -noupdate -format Logic /positioncounter_tb/i0/risinga +add wave -noupdate -format Logic /positioncounter_tb/i0/fallinga +add wave -noupdate -format Logic /positioncounter_tb/i0/risingb +add wave -noupdate -format Logic /positioncounter_tb/i0/fallingb +add wave -noupdate -format Analog-Step -height 50 -radix unsigned -scale 0.10000000000000001 /positioncounter_tb/position +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {52123100 ps} +WaveRestoreZoom {0 ps} {210 us} +configure wave -namecolwidth 198 +configure wave -valuecolwidth 52 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +run 200 us \ No newline at end of file diff --git a/Simulation/pulseWidthModulator.do b/Simulation/pulseWidthModulator.do new file mode 100644 index 0000000..5f22850 --- /dev/null +++ b/Simulation/pulseWidthModulator.do @@ -0,0 +1,21 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -format Logic /pulsewidthmodulator_tb/reset +add wave -noupdate -format Logic /pulsewidthmodulator_tb/clock +add wave -noupdate -format Literal -radix hexadecimal /pulsewidthmodulator_tb/amplitude +add wave -noupdate -format Logic /pulsewidthmodulator_tb/en +add wave -noupdate -format Analog-Step -height 40 -radix unsigned -scale 0.10000000000000001 /pulsewidthmodulator_tb/i0/counter +add wave -noupdate -format Logic /pulsewidthmodulator_tb/pwm +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {0 ps} +WaveRestoreZoom {0 ps} {2100 us} +configure wave -namecolwidth 200 +configure wave -valuecolwidth 50 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 + +run 1 ms diff --git a/Simulation/rampCounter.do b/Simulation/rampCounter.do new file mode 100644 index 0000000..3bf22bd --- /dev/null +++ b/Simulation/rampCounter.do @@ -0,0 +1,20 @@ +onerror {resume} +quietly WaveActivateNextPane {} 0 +add wave -noupdate -format Logic /rampcounter_tb/reset +add wave -noupdate -format Logic /rampcounter_tb/clock +add wave -noupdate -format Logic /rampcounter_tb/en +add wave -noupdate -format Logic /rampcounter_tb/updown +add wave -noupdate -format Logic /rampcounter_tb/start +add wave -noupdate -format Analog-Step -height 40 -radix unsigned -scale 0.10000000000000001 /rampcounter_tb/ramp +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {76751300 ps} +WaveRestoreZoom {0 ps} {210 us} +configure wave -namecolwidth 195 +configure wave -valuecolwidth 55 +configure wave -justifyvalue left +configure wave -signalnamewidth 0 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +run 200 us \ No newline at end of file diff --git a/doc/Board/cursor-chariot-assembly.pdf b/doc/Board/cursor-chariot-assembly.pdf new file mode 100644 index 0000000..4f71a59 --- /dev/null +++ b/doc/Board/cursor-chariot-assembly.pdf @@ -0,0 +1,11693 @@ +%PDF-1.4 %âãÏÓ +6 0 obj <> endobj +xref +6 3035 +0000000016 00000 n +0000065083 00000 n +0000065159 00000 n +0000065350 00000 n +0000095503 00000 n +0000095549 00000 n +0000095596 00000 n +0000095642 00000 n +0000095688 00000 n +0000095735 00000 n +0000095781 00000 n +0000095828 00000 n +0000095875 00000 n +0000095921 00000 n +0000095968 00000 n +0000096015 00000 n +0000096061 00000 n +0000096107 00000 n +0000096154 00000 n +0000096201 00000 n +0000096248 00000 n +0000096293 00000 n +0000096340 00000 n +0000096387 00000 n +0000096434 00000 n +0000096480 00000 n +0000096527 00000 n +0000096574 00000 n +0000096620 00000 n +0000096666 00000 n +0000096713 00000 n +0000096759 00000 n +0000096804 00000 n +0000096850 00000 n +0000096896 00000 n +0000096943 00000 n +0000096989 00000 n +0000097036 00000 n +0000097082 00000 n +0000097128 00000 n +0000097174 00000 n +0000097219 00000 n +0000097266 00000 n +0000097311 00000 n +0000097358 00000 n +0000097403 00000 n +0000097448 00000 n +0000097495 00000 n +0000097541 00000 n +0000097587 00000 n +0000097633 00000 n +0000097679 00000 n +0000097724 00000 n +0000097770 00000 n +0000097816 00000 n +0000097862 00000 n +0000097908 00000 n +0000097954 00000 n +0000097999 00000 n +0000098045 00000 n +0000098091 00000 n +0000098137 00000 n +0000098183 00000 n +0000098228 00000 n +0000098274 00000 n +0000098320 00000 n +0000098366 00000 n +0000098412 00000 n +0000098458 00000 n +0000098503 00000 n +0000098549 00000 n +0000098595 00000 n +0000098641 00000 n +0000098687 00000 n +0000098733 00000 n +0000098779 00000 n +0000098826 00000 n +0000098871 00000 n +0000098918 00000 n +0000098964 00000 n +0000099010 00000 n +0000099056 00000 n +0000099101 00000 n +0000099147 00000 n +0000099193 00000 n +0000099239 00000 n +0000099285 00000 n +0000099330 00000 n +0000099376 00000 n +0000099421 00000 n +0000099467 00000 n +0000099513 00000 n +0000099560 00000 n +0000099606 00000 n +0000099652 00000 n +0000099698 00000 n +0000099746 00000 n +0000099793 00000 n +0000099840 00000 n +0000099887 00000 n +0000099934 00000 n +0000099981 00000 n +0000100029 00000 n +0000100076 00000 n +0000100124 00000 n +0000100172 00000 n +0000100219 00000 n +0000100267 00000 n +0000100314 00000 n +0000100361 00000 n +0000100407 00000 n +0000100455 00000 n +0000100502 00000 n +0000100549 00000 n +0000100596 00000 n +0000100643 00000 n +0000100690 00000 n +0000100737 00000 n +0000100784 00000 n +0000100831 00000 n +0000100878 00000 n +0000100925 00000 n +0000100972 00000 n +0000101019 00000 n +0000101067 00000 n +0000101114 00000 n +0000101161 00000 n +0000101208 00000 n +0000101255 00000 n +0000101302 00000 n +0000101348 00000 n +0000101395 00000 n +0000101442 00000 n +0000101489 00000 n +0000101536 00000 n +0000101583 00000 n +0000101631 00000 n +0000101679 00000 n +0000101727 00000 n +0000101775 00000 n +0000101823 00000 n +0000101869 00000 n +0000101916 00000 n +0000101964 00000 n +0000102012 00000 n +0000102060 00000 n +0000102107 00000 n +0000102155 00000 n +0000102202 00000 n +0000102250 00000 n +0000102297 00000 n +0000102344 00000 n +0000102391 00000 n +0000102438 00000 n +0000102484 00000 n +0000102532 00000 n +0000102579 00000 n +0000102626 00000 n +0000102673 00000 n +0000102720 00000 n +0000102767 00000 n +0000102815 00000 n +0000102863 00000 n +0000102911 00000 n +0000102959 00000 n +0000103005 00000 n +0000103053 00000 n +0000103101 00000 n +0000103149 00000 n +0000103197 00000 n +0000103245 00000 n +0000103293 00000 n +0000103341 00000 n +0000103387 00000 n +0000103435 00000 n +0000103483 00000 n +0000103531 00000 n +0000103579 00000 n +0000103626 00000 n +0000103673 00000 n +0000103721 00000 n +0000103769 00000 n +0000103817 00000 n +0000103865 00000 n +0000103913 00000 n +0000103961 00000 n +0000104009 00000 n +0000104056 00000 n +0000104103 00000 n +0000104151 00000 n +0000104199 00000 n +0000104246 00000 n +0000104294 00000 n +0000104342 00000 n +0000104390 00000 n +0000104438 00000 n +0000104486 00000 n +0000104534 00000 n +0000104582 00000 n +0000104630 00000 n +0000104677 00000 n +0000104724 00000 n +0000104771 00000 n +0000104817 00000 n +0000104865 00000 n +0000104913 00000 n +0000104961 00000 n +0000105009 00000 n +0000105057 00000 n +0000105105 00000 n +0000105153 00000 n +0000105201 00000 n +0000105249 00000 n +0000105297 00000 n +0000105344 00000 n +0000105390 00000 n +0000105437 00000 n +0000105484 00000 n +0000105531 00000 n +0000105578 00000 n +0000105625 00000 n +0000105672 00000 n +0000105719 00000 n +0000105766 00000 n +0000105814 00000 n +0000105862 00000 n +0000105910 00000 n +0000105958 00000 n +0000106005 00000 n +0000106052 00000 n +0000106098 00000 n +0000106145 00000 n +0000106192 00000 n +0000106238 00000 n +0000106285 00000 n +0000106333 00000 n +0000106380 00000 n +0000106428 00000 n +0000106475 00000 n +0000106522 00000 n +0000106570 00000 n +0000106617 00000 n +0000106665 00000 n +0000106711 00000 n +0000106757 00000 n +0000106804 00000 n +0000106851 00000 n +0000106897 00000 n +0000106943 00000 n +0000106990 00000 n +0000107037 00000 n +0000107083 00000 n +0000107130 00000 n +0000107177 00000 n +0000107225 00000 n +0000107273 00000 n +0000107320 00000 n +0000107368 00000 n +0000107415 00000 n +0000107462 00000 n +0000107510 00000 n +0000107557 00000 n +0000107604 00000 n +0000107651 00000 n +0000107698 00000 n +0000107746 00000 n +0000107792 00000 n +0000107839 00000 n +0000107886 00000 n +0000107933 00000 n +0000107980 00000 n +0000108027 00000 n +0000108074 00000 n +0000108121 00000 n +0000108168 00000 n +0000108215 00000 n +0000108262 00000 n +0000108309 00000 n +0000108356 00000 n +0000108403 00000 n +0000108450 00000 n +0000108497 00000 n +0000108544 00000 n +0000108591 00000 n +0000108639 00000 n +0000108686 00000 n +0000108733 00000 n +0000108781 00000 n +0000108828 00000 n +0000108875 00000 n +0000108921 00000 n +0000108968 00000 n +0000109015 00000 n +0000109062 00000 n +0000109109 00000 n +0000109155 00000 n +0000109202 00000 n +0000109248 00000 n +0000109295 00000 n +0000109342 00000 n +0000109389 00000 n +0000109435 00000 n +0000109482 00000 n +0000109529 00000 n +0000109576 00000 n +0000109623 00000 n +0000109670 00000 n +0000109717 00000 n +0000109764 00000 n +0000109810 00000 n +0000109857 00000 n +0000109904 00000 n +0000109950 00000 n +0000109997 00000 n +0000110044 00000 n +0000110091 00000 n +0000110137 00000 n +0000110184 00000 n +0000110230 00000 n +0000110277 00000 n +0000110324 00000 n +0000110372 00000 n +0000110419 00000 n +0000110467 00000 n +0000110514 00000 n +0000110562 00000 n +0000110608 00000 n +0000110656 00000 n +0000110703 00000 n +0000110750 00000 n +0000110797 00000 n +0000110844 00000 n +0000110891 00000 n +0000110938 00000 n +0000110985 00000 n +0000111032 00000 n +0000111079 00000 n +0000111126 00000 n +0000111173 00000 n +0000111220 00000 n +0000111267 00000 n +0000111313 00000 n +0000111360 00000 n +0000111407 00000 n +0000111454 00000 n +0000111501 00000 n +0000111549 00000 n +0000111596 00000 n +0000111643 00000 n +0000111691 00000 n +0000111738 00000 n +0000111785 00000 n +0000111832 00000 n +0000111879 00000 n +0000111926 00000 n +0000111974 00000 n +0000112021 00000 n +0000112068 00000 n +0000112114 00000 n +0000112162 00000 n +0000112209 00000 n +0000112256 00000 n +0000112303 00000 n +0000112350 00000 n +0000112396 00000 n +0000112442 00000 n +0000112488 00000 n +0000112536 00000 n +0000112583 00000 n +0000112631 00000 n +0000112678 00000 n +0000112726 00000 n +0000112773 00000 n +0000112821 00000 n +0000112869 00000 n +0000112916 00000 n +0000112964 00000 n +0000113010 00000 n +0000113057 00000 n +0000113103 00000 n +0000113149 00000 n +0000113195 00000 n +0000113242 00000 n +0000113289 00000 n +0000113336 00000 n +0000113382 00000 n +0000113429 00000 n +0000113477 00000 n +0000113524 00000 n +0000113571 00000 n +0000113618 00000 n +0000113666 00000 n +0000113714 00000 n +0000113762 00000 n +0000113810 00000 n +0000113857 00000 n +0000113905 00000 n +0000113953 00000 n +0000114001 00000 n +0000114049 00000 n +0000114097 00000 n +0000114144 00000 n +0000114192 00000 n +0000114239 00000 n +0000114287 00000 n +0000114334 00000 n +0000114381 00000 n +0000114428 00000 n +0000114476 00000 n +0000114523 00000 n +0000114571 00000 n +0000114618 00000 n +0000114665 00000 n +0000114712 00000 n +0000114760 00000 n +0000114807 00000 n +0000114855 00000 n +0000114902 00000 n +0000114949 00000 n +0000114996 00000 n +0000115043 00000 n +0000115090 00000 n +0000115137 00000 n +0000115185 00000 n +0000115233 00000 n +0000115280 00000 n +0000115327 00000 n +0000115374 00000 n +0000115421 00000 n +0000115468 00000 n +0000115515 00000 n +0000115563 00000 n +0000115611 00000 n +0000115658 00000 n +0000115705 00000 n +0000115752 00000 n +0000115799 00000 n +0000115846 00000 n +0000115894 00000 n +0000115941 00000 n +0000115988 00000 n +0000116035 00000 n +0000116083 00000 n +0000116130 00000 n +0000116178 00000 n +0000116226 00000 n +0000116273 00000 n +0000116318 00000 n +0000116366 00000 n +0000116414 00000 n +0000116462 00000 n +0000116510 00000 n +0000116557 00000 n +0000116605 00000 n +0000116653 00000 n +0000116700 00000 n +0000116747 00000 n +0000116793 00000 n +0000116840 00000 n +0000116887 00000 n +0000116934 00000 n +0000116981 00000 n +0000117027 00000 n +0000117074 00000 n +0000117121 00000 n +0000117169 00000 n +0000117216 00000 n +0000117263 00000 n +0000117311 00000 n +0000117358 00000 n +0000117406 00000 n +0000117453 00000 n +0000117501 00000 n +0000117548 00000 n +0000117596 00000 n +0000117643 00000 n +0000117691 00000 n +0000117738 00000 n +0000117785 00000 n +0000117831 00000 n +0000117878 00000 n +0000117925 00000 n +0000117972 00000 n +0000118019 00000 n +0000118065 00000 n +0000118112 00000 n +0000118159 00000 n +0000118206 00000 n +0000118253 00000 n +0000118299 00000 n +0000118346 00000 n +0000118393 00000 n +0000118440 00000 n +0000118486 00000 n +0000118533 00000 n +0000118580 00000 n +0000118627 00000 n +0000118674 00000 n +0000118721 00000 n +0000118767 00000 n +0000118814 00000 n +0000118861 00000 n +0000118908 00000 n +0000118955 00000 n +0000119002 00000 n +0000119049 00000 n +0000119097 00000 n +0000119144 00000 n +0000119191 00000 n +0000119238 00000 n +0000119286 00000 n +0000119334 00000 n +0000119382 00000 n +0000119429 00000 n +0000119476 00000 n +0000119524 00000 n +0000119572 00000 n +0000119620 00000 n +0000119668 00000 n +0000119716 00000 n +0000119764 00000 n +0000119811 00000 n +0000119859 00000 n +0000119907 00000 n +0000119954 00000 n +0000120000 00000 n +0000120047 00000 n +0000120094 00000 n +0000120141 00000 n +0000120187 00000 n +0000120234 00000 n +0000120281 00000 n +0000120329 00000 n +0000120376 00000 n +0000120422 00000 n +0000120468 00000 n +0000120515 00000 n +0000120562 00000 n +0000120608 00000 n +0000120655 00000 n +0000120702 00000 n +0000120749 00000 n +0000120796 00000 n +0000120844 00000 n +0000120892 00000 n +0000120940 00000 n +0000120988 00000 n +0000121036 00000 n +0000121084 00000 n +0000121132 00000 n +0000121179 00000 n +0000121227 00000 n +0000121274 00000 n +0000121322 00000 n +0000121369 00000 n +0000121417 00000 n +0000121464 00000 n +0000121511 00000 n +0000121558 00000 n +0000121606 00000 n +0000121653 00000 n +0000121701 00000 n +0000121748 00000 n +0000121795 00000 n +0000121842 00000 n +0000121889 00000 n +0000121936 00000 n +0000121982 00000 n +0000122018 00000 n +0000122065 00000 n +0000122112 00000 n +0000122159 00000 n +0000122205 00000 n +0000122252 00000 n +0000122298 00000 n +0000122345 00000 n +0000122392 00000 n +0000122438 00000 n +0000122486 00000 n +0000122534 00000 n +0000122582 00000 n +0000122630 00000 n +0000122677 00000 n +0000122725 00000 n +0000122771 00000 n +0000122818 00000 n +0000122866 00000 n +0000122913 00000 n +0000122959 00000 n +0000123007 00000 n +0000123055 00000 n +0000123103 00000 n +0000123151 00000 n +0000123199 00000 n +0000123245 00000 n +0000123293 00000 n +0000123340 00000 n +0000123387 00000 n +0000123435 00000 n +0000123483 00000 n +0000123531 00000 n +0000123579 00000 n +0000123627 00000 n +0000123675 00000 n +0000123723 00000 n +0000123771 00000 n +0000123818 00000 n +0000123866 00000 n +0000123914 00000 n +0000123961 00000 n +0000124009 00000 n +0000124056 00000 n +0000124104 00000 n +0000124152 00000 n +0000124199 00000 n +0000124247 00000 n +0000124294 00000 n +0000124341 00000 n +0000124389 00000 n +0000124437 00000 n +0000124484 00000 n +0000124531 00000 n +0000124577 00000 n +0000124624 00000 n +0000124671 00000 n +0000124718 00000 n +0000124766 00000 n +0000124814 00000 n +0000124862 00000 n +0000124910 00000 n +0000124958 00000 n +0000125006 00000 n +0000125054 00000 n +0000125101 00000 n +0000125148 00000 n +0000125195 00000 n +0000125242 00000 n +0000125290 00000 n +0000125337 00000 n +0000125385 00000 n +0000125433 00000 n +0000125480 00000 n +0000125528 00000 n +0000125576 00000 n +0000125624 00000 n +0000125672 00000 n +0000125719 00000 n +0000125766 00000 n +0000125813 00000 n +0000125860 00000 n +0000125908 00000 n +0000125955 00000 n +0000126003 00000 n +0000126050 00000 n +0000126098 00000 n +0000126145 00000 n +0000126191 00000 n +0000126239 00000 n +0000126286 00000 n +0000126333 00000 n +0000126381 00000 n +0000126428 00000 n +0000126476 00000 n +0000126523 00000 n +0000126570 00000 n +0000126617 00000 n +0000126664 00000 n +0000126710 00000 n +0000126757 00000 n +0000126805 00000 n +0000126852 00000 n +0000126899 00000 n +0000126946 00000 n +0000126993 00000 n +0000127040 00000 n +0000127088 00000 n +0000127136 00000 n +0000127184 00000 n +0000127232 00000 n +0000127280 00000 n +0000127328 00000 n +0000127376 00000 n +0000127424 00000 n +0000127472 00000 n +0000127520 00000 n +0000127568 00000 n +0000127614 00000 n +0000127661 00000 n +0000127708 00000 n +0000127755 00000 n +0000127802 00000 n +0000127849 00000 n +0000127897 00000 n +0000127944 00000 n +0000127991 00000 n +0000128038 00000 n +0000128085 00000 n +0000128132 00000 n +0000128180 00000 n +0000128227 00000 n +0000128274 00000 n +0000128321 00000 n +0000128368 00000 n +0000128415 00000 n +0000128463 00000 n +0000128510 00000 n +0000128557 00000 n +0000128604 00000 n +0000128651 00000 n +0000128698 00000 n +0000128746 00000 n +0000128794 00000 n +0000128842 00000 n +0000128889 00000 n +0000128937 00000 n +0000128984 00000 n +0000129031 00000 n +0000129078 00000 n +0000129125 00000 n +0000129172 00000 n +0000129218 00000 n +0000129265 00000 n +0000129312 00000 n +0000129359 00000 n +0000129406 00000 n +0000129453 00000 n +0000129500 00000 n +0000129548 00000 n +0000129595 00000 n +0000129643 00000 n +0000129690 00000 n +0000129738 00000 n +0000129785 00000 n +0000129832 00000 n +0000129879 00000 n +0000129927 00000 n +0000129974 00000 n +0000130021 00000 n +0000130068 00000 n +0000130115 00000 n +0000130162 00000 n +0000130209 00000 n +0000130256 00000 n +0000130303 00000 n +0000130350 00000 n +0000130397 00000 n +0000130444 00000 n +0000130491 00000 n +0000130538 00000 n +0000130585 00000 n +0000130632 00000 n +0000130679 00000 n +0000130727 00000 n +0000130773 00000 n +0000130820 00000 n +0000130867 00000 n +0000130914 00000 n +0000130961 00000 n +0000131008 00000 n +0000131055 00000 n +0000131102 00000 n +0000131149 00000 n +0000131196 00000 n +0000131243 00000 n +0000131290 00000 n +0000131337 00000 n +0000131384 00000 n +0000131431 00000 n +0000131478 00000 n +0000131524 00000 n +0000131572 00000 n +0000131619 00000 n +0000131666 00000 n +0000131713 00000 n +0000131760 00000 n +0000131808 00000 n +0000131856 00000 n +0000131903 00000 n +0000131950 00000 n +0000131997 00000 n +0000132044 00000 n +0000132092 00000 n +0000132139 00000 n +0000132186 00000 n +0000132234 00000 n +0000132281 00000 n +0000132328 00000 n +0000132374 00000 n +0000132422 00000 n +0000132469 00000 n +0000132517 00000 n +0000132564 00000 n +0000132611 00000 n +0000132657 00000 n +0000132704 00000 n +0000132752 00000 n +0000132800 00000 n +0000132847 00000 n +0000132894 00000 n +0000132940 00000 n +0000132987 00000 n +0000133034 00000 n +0000133081 00000 n +0000133128 00000 n +0000133175 00000 n +0000133222 00000 n +0000133269 00000 n +0000133317 00000 n +0000133364 00000 n +0000133411 00000 n +0000133458 00000 n +0000133506 00000 n +0000133554 00000 n +0000133601 00000 n +0000133648 00000 n +0000133695 00000 n +0000133743 00000 n +0000133791 00000 n +0000133838 00000 n +0000133886 00000 n +0000133933 00000 n +0000133980 00000 n +0000134027 00000 n +0000134075 00000 n +0000134122 00000 n +0000134169 00000 n +0000134217 00000 n +0000134264 00000 n +0000134311 00000 n +0000134359 00000 n +0000134406 00000 n +0000134453 00000 n +0000134501 00000 n +0000134548 00000 n +0000134595 00000 n +0000134641 00000 n +0000134688 00000 n +0000134735 00000 n +0000134782 00000 n +0000134829 00000 n +0000134876 00000 n +0000134922 00000 n +0000134968 00000 n +0000135014 00000 n +0000135060 00000 n +0000135105 00000 n +0000135151 00000 n +0000135199 00000 n +0000135247 00000 n +0000135294 00000 n +0000135342 00000 n +0000135389 00000 n +0000135436 00000 n +0000135483 00000 n +0000135531 00000 n +0000135578 00000 n +0000135624 00000 n +0000135672 00000 n +0000135719 00000 n +0000135766 00000 n +0000135814 00000 n +0000135861 00000 n +0000135908 00000 n +0000135956 00000 n +0000136004 00000 n +0000136051 00000 n +0000136099 00000 n +0000136146 00000 n +0000136192 00000 n +0000136240 00000 n +0000136288 00000 n +0000136335 00000 n +0000136383 00000 n +0000136430 00000 n +0000136477 00000 n +0000136524 00000 n +0000136571 00000 n +0000136617 00000 n +0000136663 00000 n +0000136709 00000 n +0000136756 00000 n +0000136803 00000 n +0000136850 00000 n +0000136897 00000 n +0000136945 00000 n +0000136991 00000 n +0000137038 00000 n +0000137085 00000 n +0000137133 00000 n +0000137181 00000 n +0000137229 00000 n +0000137277 00000 n +0000137323 00000 n +0000137371 00000 n +0000137418 00000 n +0000137465 00000 n +0000137511 00000 n +0000137559 00000 n +0000137606 00000 n +0000137654 00000 n +0000137701 00000 n +0000137749 00000 n +0000137796 00000 n +0000137843 00000 n +0000137890 00000 n +0000137937 00000 n +0000137984 00000 n +0000138032 00000 n +0000138080 00000 n +0000138127 00000 n +0000138175 00000 n +0000138221 00000 n +0000138267 00000 n +0000138314 00000 n +0000138361 00000 n +0000138408 00000 n +0000138455 00000 n +0000138502 00000 n +0000138548 00000 n +0000138595 00000 n +0000138642 00000 n +0000138690 00000 n +0000138737 00000 n +0000138784 00000 n +0000138831 00000 n +0000138878 00000 n +0000138925 00000 n +0000138972 00000 n +0000139019 00000 n +0000139066 00000 n +0000139113 00000 n +0000139160 00000 n +0000139208 00000 n +0000139255 00000 n +0000139302 00000 n +0000139350 00000 n +0000139398 00000 n +0000139445 00000 n +0000139492 00000 n +0000139539 00000 n +0000139586 00000 n +0000139632 00000 n +0000139679 00000 n +0000139726 00000 n +0000139773 00000 n +0000139820 00000 n +0000139867 00000 n +0000139914 00000 n +0000139960 00000 n +0000140006 00000 n +0000140052 00000 n +0000140100 00000 n +0000140147 00000 n +0000140194 00000 n +0000140242 00000 n +0000140289 00000 n +0000140336 00000 n +0000140384 00000 n +0000140432 00000 n +0000140480 00000 n +0000143701 00000 n +0000146793 00000 n +0000150003 00000 n +0000156113 00000 n +0000162575 00000 n +0000168856 00000 n +0000169079 00000 n +0000169763 00000 n +0000170150 00000 n +0000175590 00000 n +0000180573 00000 n +0000183243 00000 n +0000183826 00000 n +0000184264 00000 n +0000184535 00000 n +0000184841 00000 n +0000184955 00000 n +0000185189 00000 n +0000185376 00000 n +0000185631 00000 n +0000185926 00000 n +0000186216 00000 n +0000186560 00000 n +0000186903 00000 n +0000186966 00000 n +0000187147 00000 n +0000187203 00000 n +0000187376 00000 n +0000187644 00000 n +0000187932 00000 n +0000188195 00000 n +0000188494 00000 n +0000188699 00000 n +0000188934 00000 n +0000189051 00000 n +0000189268 00000 n +0000189329 00000 n +0000189564 00000 n +0000189953 00000 n +0000190289 00000 n +0000190873 00000 n +0000191335 00000 n +0000191579 00000 n +0000191883 00000 n +0000192324 00000 n +0000192381 00000 n +0000192608 00000 n +0000193401 00000 n +0000193638 00000 n +0000193928 00000 n +0000194479 00000 n +0000194869 00000 n +0000195309 00000 n +0000195801 00000 n +0000196262 00000 n +0000196650 00000 n +0000196850 00000 n +0000197076 00000 n +0000197589 00000 n +0000198120 00000 n +0000198572 00000 n +0000198980 00000 n +0000199209 00000 n +0000199929 00000 n +0000200466 00000 n +0000201179 00000 n +0000201663 00000 n +0000202073 00000 n +0000202420 00000 n +0000203121 00000 n +0000203659 00000 n +0000204126 00000 n +0000204504 00000 n +0000204568 00000 n +0000204803 00000 n +0000205531 00000 n +0000206076 00000 n +0000206200 00000 n +0000206408 00000 n +0000206469 00000 n +0000206705 00000 n +0000207480 00000 n +0000207583 00000 n +0000207780 00000 n +0000208542 00000 n +0000208862 00000 n +0000209223 00000 n +0000209477 00000 n +0000209758 00000 n +0000210035 00000 n +0000210333 00000 n +0000210598 00000 n +0000210898 00000 n +0000211161 00000 n +0000211460 00000 n +0000211666 00000 n +0000211908 00000 n +0000212260 00000 n +0000212622 00000 n +0000213024 00000 n +0000213424 00000 n +0000213485 00000 n +0000213662 00000 n +0000213964 00000 n +0000214272 00000 n +0000215027 00000 n +0000215564 00000 n +0000216301 00000 n +0000216838 00000 n +0000217198 00000 n +0000217587 00000 n +0000217830 00000 n +0000218058 00000 n +0000218681 00000 n +0000219226 00000 n +0000219455 00000 n +0000220205 00000 n +0000220443 00000 n +0000220555 00000 n +0000220768 00000 n +0000221005 00000 n +0000221290 00000 n +0000221511 00000 n +0000221843 00000 n +0000222188 00000 n +0000222261 00000 n +0000222449 00000 n +0000222684 00000 n +0000222906 00000 n +0000223161 00000 n +0000223401 00000 n +0000223669 00000 n +0000223730 00000 n +0000223979 00000 n +0000224076 00000 n +0000224268 00000 n +0000224335 00000 n +0000224517 00000 n +0000224574 00000 n +0000224803 00000 n +0000225003 00000 n +0000225246 00000 n +0000225542 00000 n +0000225842 00000 n +0000225906 00000 n +0000226145 00000 n +0000226442 00000 n +0000226750 00000 n +0000227057 00000 n +0000227374 00000 n +0000227603 00000 n +0000227829 00000 n +0000228086 00000 n +0000228177 00000 n +0000228372 00000 n +0000228481 00000 n +0000228682 00000 n +0000228746 00000 n +0000228964 00000 n +0000229212 00000 n +0000229519 00000 n +0000229824 00000 n +0000230156 00000 n +0000230556 00000 n +0000230977 00000 n +0000231038 00000 n +0000231216 00000 n +0000231515 00000 n +0000231829 00000 n +0000231896 00000 n +0000232078 00000 n +0000232272 00000 n +0000232512 00000 n +0000232603 00000 n +0000232802 00000 n +0000233137 00000 n +0000233499 00000 n +0000233789 00000 n +0000234084 00000 n +0000234306 00000 n +0000234569 00000 n +0000234834 00000 n +0000235121 00000 n +0000235357 00000 n +0000235606 00000 n +0000235964 00000 n +0000236345 00000 n +0000236570 00000 n +0000237199 00000 n +0000237682 00000 n +0000237928 00000 n +0000238049 00000 n +0000238262 00000 n +0000238564 00000 n +0000238900 00000 n +0000239134 00000 n +0000239604 00000 n +0000240040 00000 n +0000240387 00000 n +0000240737 00000 n +0000240963 00000 n +0000241695 00000 n +0000241931 00000 n +0000242191 00000 n +0000242432 00000 n +0000242786 00000 n +0000243185 00000 n +0000243261 00000 n +0000243477 00000 n +0000243707 00000 n +0000244213 00000 n +0000244717 00000 n +0000244962 00000 n +0000245262 00000 n +0000245494 00000 n +0000245707 00000 n +0000246026 00000 n +0000246105 00000 n +0000246293 00000 n +0000246643 00000 n +0000246971 00000 n +0000247344 00000 n +0000247726 00000 n +0000248049 00000 n +0000248374 00000 n +0000248601 00000 n +0000248897 00000 n +0000249201 00000 n +0000249528 00000 n +0000249628 00000 n +0000249822 00000 n +0000249913 00000 n +0000250110 00000 n +0000250325 00000 n +0000250577 00000 n +0000250653 00000 n +0000250839 00000 n +0000250951 00000 n +0000251199 00000 n +0000251399 00000 n +0000251669 00000 n +0000251972 00000 n +0000252260 00000 n +0000252602 00000 n +0000252945 00000 n +0000253297 00000 n +0000253650 00000 n +0000253928 00000 n +0000254214 00000 n +0000254344 00000 n +0000254607 00000 n +0000254802 00000 n +0000255083 00000 n +0000255307 00000 n +0000255534 00000 n +0000255896 00000 n +0000256246 00000 n +0000256490 00000 n +0000256560 00000 n +0000256844 00000 n +0000257068 00000 n +0000257280 00000 n +0000257589 00000 n +0000257897 00000 n +0000258222 00000 n +0000258461 00000 n +0000258531 00000 n +0000258816 00000 n +0000259070 00000 n +0000259356 00000 n +0000259589 00000 n +0000259909 00000 n +0000260275 00000 n +0000260457 00000 n +0000260679 00000 n +0000260908 00000 n +0000261264 00000 n +0000261617 00000 n +0000261805 00000 n +0000262042 00000 n +0000262273 00000 n +0000262596 00000 n +0000262929 00000 n +0000263162 00000 n +0000263440 00000 n +0000263667 00000 n +0000264260 00000 n +0000264728 00000 n +0000264980 00000 n +0000265266 00000 n +0000265339 00000 n +0000265574 00000 n +0000266391 00000 n +0000266912 00000 n +0000267106 00000 n +0000267339 00000 n +0000267887 00000 n +0000268302 00000 n +0000268662 00000 n +0000269037 00000 n +0000269514 00000 n +0000269932 00000 n +0000269993 00000 n +0000270166 00000 n +0000270257 00000 n +0000270453 00000 n +0000270695 00000 n +0000270974 00000 n +0000271228 00000 n +0000271512 00000 n +0000271566 00000 n +0000271737 00000 n +0000272120 00000 n +0000272461 00000 n +0000272632 00000 n +0000272884 00000 n +0000273172 00000 n +0000273564 00000 n +0000273919 00000 n +0000274104 00000 n +0000274334 00000 n +0000274993 00000 n +0000275538 00000 n +0000275821 00000 n +0000276127 00000 n +0000276607 00000 n +0000277152 00000 n +0000277410 00000 n +0000277703 00000 n +0000278166 00000 n +0000278636 00000 n +0000278813 00000 n +0000278904 00000 n +0000279107 00000 n +0000279345 00000 n +0000279625 00000 n +0000279822 00000 n +0000280062 00000 n +0000280346 00000 n +0000280636 00000 n +0000280965 00000 n +0000281318 00000 n +0000281854 00000 n +0000282238 00000 n +0000282432 00000 n +0000282654 00000 n +0000283115 00000 n +0000283476 00000 n +0000284006 00000 n +0000284403 00000 n +0000285161 00000 n +0000285527 00000 n +0000285848 00000 n +0000286449 00000 n +0000286975 00000 n +0000287295 00000 n +0000287625 00000 n +0000287918 00000 n +0000288259 00000 n +0000289063 00000 n +0000289863 00000 n +0000290400 00000 n +0000290566 00000 n +0000290780 00000 n +0000291115 00000 n +0000291417 00000 n +0000292106 00000 n +0000292643 00000 n +0000292946 00000 n +0000293282 00000 n +0000293361 00000 n +0000293549 00000 n +0000293909 00000 n +0000294286 00000 n +0000294594 00000 n +0000294922 00000 n +0000295195 00000 n +0000295577 00000 n +0000296107 00000 n +0000296546 00000 n +0000296818 00000 n +0000297116 00000 n +0000297778 00000 n +0000298265 00000 n +0000298326 00000 n +0000298501 00000 n +0000298628 00000 n +0000298844 00000 n +0000299180 00000 n +0000299605 00000 n +0000299922 00000 n +0000300261 00000 n +0000300803 00000 n +0000301182 00000 n +0000301279 00000 n +0000301483 00000 n +0000301821 00000 n +0000302167 00000 n +0000302448 00000 n +0000302724 00000 n +0000303002 00000 n +0000303305 00000 n +0000303574 00000 n +0000303850 00000 n +0000304191 00000 n +0000304547 00000 n +0000304951 00000 n +0000305397 00000 n +0000306167 00000 n +0000306697 00000 n +0000307230 00000 n +0000307521 00000 n +0000307834 00000 n +0000308558 00000 n +0000308819 00000 n +0000309118 00000 n +0000309323 00000 n +0000309771 00000 n +0000309956 00000 n +0000310186 00000 n +0000310424 00000 n +0000310880 00000 n +0000311182 00000 n +0000311481 00000 n +0000312167 00000 n +0000312704 00000 n +0000312761 00000 n +0000312937 00000 n +0000313257 00000 n +0000313594 00000 n +0000313655 00000 n +0000313835 00000 n +0000313941 00000 n +0000314183 00000 n +0000314371 00000 n +0000314613 00000 n +0000314941 00000 n +0000315249 00000 n +0000315545 00000 n +0000315848 00000 n +0000316312 00000 n +0000316779 00000 n +0000317093 00000 n +0000317428 00000 n +0000317687 00000 n +0000317963 00000 n +0000318279 00000 n +0000318621 00000 n +0000319298 00000 n +0000319825 00000 n +0000320049 00000 n +0000320312 00000 n +0000320594 00000 n +0000320893 00000 n +0000320954 00000 n +0000321129 00000 n +0000321353 00000 n +0000321603 00000 n +0000321733 00000 n +0000321945 00000 n +0000322277 00000 n +0000322623 00000 n +0000322882 00000 n +0000323172 00000 n +0000323500 00000 n +0000323834 00000 n +0000324091 00000 n +0000324386 00000 n +0000324462 00000 n +0000324683 00000 n +0000324993 00000 n +0000325306 00000 n +0000325497 00000 n +0000325725 00000 n +0000326011 00000 n +0000326320 00000 n +0000326444 00000 n +0000326654 00000 n +0000326839 00000 n +0000327075 00000 n +0000327335 00000 n +0000327636 00000 n +0000327889 00000 n +0000328172 00000 n +0000328447 00000 n +0000328750 00000 n +0000329078 00000 n +0000329403 00000 n +0000329482 00000 n +0000329673 00000 n +0000329993 00000 n +0000330328 00000 n +0000330684 00000 n +0000331041 00000 n +0000331523 00000 n +0000332060 00000 n +0000332257 00000 n +0000332510 00000 n +0000333049 00000 n +0000333583 00000 n +0000334321 00000 n +0000334538 00000 n +0000334980 00000 n +0000335175 00000 n +0000335616 00000 n +0000336334 00000 n +0000336879 00000 n +0000337213 00000 n +0000337547 00000 n +0000337882 00000 n +0000338376 00000 n +0000338714 00000 n +0000339075 00000 n +0000339288 00000 n +0000339726 00000 n +0000339980 00000 n +0000340246 00000 n +0000340474 00000 n +0000340938 00000 n +0000341038 00000 n +0000341270 00000 n +0000341491 00000 n +0000341921 00000 n +0000342127 00000 n +0000342409 00000 n +0000342482 00000 n +0000342668 00000 n +0000342959 00000 n +0000343257 00000 n +0000343583 00000 n +0000343911 00000 n +0000343990 00000 n +0000344176 00000 n +0000344258 00000 n +0000344449 00000 n +0000344506 00000 n +0000344678 00000 n +0000345129 00000 n +0000345521 00000 n +0000345770 00000 n +0000346055 00000 n +0000346230 00000 n +0000346303 00000 n +0000346526 00000 n +0000347261 00000 n +0000347798 00000 n +0000348076 00000 n +0000348390 00000 n +0000348472 00000 n +0000348681 00000 n +0000349046 00000 n +0000349426 00000 n +0000349747 00000 n +0000350087 00000 n +0000350353 00000 n +0000350671 00000 n +0000351243 00000 n +0000351718 00000 n +0000351998 00000 n +0000352300 00000 n +0000352539 00000 n +0000352812 00000 n +0000353012 00000 n +0000353252 00000 n +0000353587 00000 n +0000353937 00000 n +0000354004 00000 n +0000354186 00000 n +0000354271 00000 n +0000354498 00000 n +0000354628 00000 n +0000354838 00000 n +0000355059 00000 n +0000355316 00000 n +0000355434 00000 n +0000355648 00000 n +0000355718 00000 n +0000355936 00000 n +0000356224 00000 n +0000356532 00000 n +0000356849 00000 n +0000357187 00000 n +0000357462 00000 n +0000357785 00000 n +0000358009 00000 n +0000358262 00000 n +0000358497 00000 n +0000358762 00000 n +0000358844 00000 n +0000359030 00000 n +0000359390 00000 n +0000359738 00000 n +0000359926 00000 n +0000360366 00000 n +0000360638 00000 n +0000360944 00000 n +0000361074 00000 n +0000361293 00000 n +0000361567 00000 n +0000361855 00000 n +0000362158 00000 n +0000362497 00000 n +0000362907 00000 n +0000363218 00000 n +0000363394 00000 n +0000363622 00000 n +0000363793 00000 n +0000363969 00000 n +0000364042 00000 n +0000364223 00000 n +0000364519 00000 n +0000364804 00000 n +0000365360 00000 n +0000365781 00000 n +0000366062 00000 n +0000366368 00000 n +0000366909 00000 n +0000367377 00000 n +0000367652 00000 n +0000367972 00000 n +0000368069 00000 n +0000368319 00000 n +0000368422 00000 n +0000368670 00000 n +0000368916 00000 n +0000369217 00000 n +0000369393 00000 n +0000369627 00000 n +0000369700 00000 n +0000369940 00000 n +0000370125 00000 n +0000370353 00000 n +0000370568 00000 n +0000370811 00000 n +0000371104 00000 n +0000371389 00000 n +0000371675 00000 n +0000371980 00000 n +0000372181 00000 n +0000372432 00000 n +0000372950 00000 n +0000373371 00000 n +0000373646 00000 n +0000373953 00000 n +0000374050 00000 n +0000374255 00000 n +0000374535 00000 n +0000374928 00000 n +0000375037 00000 n +0000375238 00000 n +0000375531 00000 n +0000375840 00000 n +0000376252 00000 n +0000376654 00000 n +0000376904 00000 n +0000377205 00000 n +0000377513 00000 n +0000377862 00000 n +0000378203 00000 n +0000378580 00000 n +0000378901 00000 n +0000379232 00000 n +0000379299 00000 n +0000379511 00000 n +0000379720 00000 n +0000379973 00000 n +0000380264 00000 n +0000380574 00000 n +0000380692 00000 n +0000380905 00000 n +0000381026 00000 n +0000381233 00000 n +0000381550 00000 n +0000381886 00000 n +0000381956 00000 n +0000382176 00000 n +0000382511 00000 n +0000382862 00000 n +0000383125 00000 n +0000383439 00000 n +0000383715 00000 n +0000383999 00000 n +0000384320 00000 n +0000384669 00000 n +0000384863 00000 n +0000385099 00000 n +0000385359 00000 n +0000385642 00000 n +0000386104 00000 n +0000386556 00000 n +0000386974 00000 n +0000387452 00000 n +0000387641 00000 n +0000387879 00000 n +0000388460 00000 n +0000388850 00000 n +0000389084 00000 n +0000389332 00000 n +0000389435 00000 n +0000389637 00000 n +0000390361 00000 n +0000391135 00000 n +0000391663 00000 n +0000392204 00000 n +0000392749 00000 n +0000393581 00000 n +0000394126 00000 n +0000394909 00000 n +0000395454 00000 n +0000396213 00000 n +0000396758 00000 n +0000397378 00000 n +0000397771 00000 n +0000397877 00000 n +0000398081 00000 n +0000398819 00000 n +0000399364 00000 n +0000399485 00000 n +0000399737 00000 n +0000399913 00000 n +0000400215 00000 n +0000400522 00000 n +0000400854 00000 n +0000401213 00000 n +0000401544 00000 n +0000401868 00000 n +0000402062 00000 n +0000402298 00000 n +0000402602 00000 n +0000402930 00000 n +0000403256 00000 n +0000403591 00000 n +0000403924 00000 n +0000404279 00000 n +0000404495 00000 n +0000404766 00000 n +0000404948 00000 n +0000405171 00000 n +0000405244 00000 n +0000405472 00000 n +0000405539 00000 n +0000405755 00000 n +0000405980 00000 n +0000406229 00000 n +0000406504 00000 n +0000406825 00000 n +0000407133 00000 n +0000407465 00000 n +0000407592 00000 n +0000407801 00000 n +0000408129 00000 n +0000408452 00000 n +0000408737 00000 n +0000409027 00000 n +0000409200 00000 n +0000409415 00000 n +0000409591 00000 n +0000409809 00000 n +0000410173 00000 n +0000410564 00000 n +0000410910 00000 n +0000411331 00000 n +0000411814 00000 n +0000412359 00000 n +0000413036 00000 n +0000413581 00000 n +0000413793 00000 n +0000414229 00000 n +0000415023 00000 n +0000415394 00000 n +0000415896 00000 n +0000415993 00000 n +0000416188 00000 n +0000416357 00000 n +0000416685 00000 n +0000417107 00000 n +0000417437 00000 n +0000417601 00000 n +0000417926 00000 n +0000418294 00000 n +0000418691 00000 n +0000418935 00000 n +0000419334 00000 n +0000419672 00000 n +0000420038 00000 n +0000420277 00000 n +0000420527 00000 n +0000420799 00000 n +0000421060 00000 n +0000421166 00000 n +0000421370 00000 n +0000421702 00000 n +0000422018 00000 n +0000422617 00000 n +0000423025 00000 n +0000423092 00000 n +0000423294 00000 n +0000423475 00000 n +0000423751 00000 n +0000423975 00000 n +0000424246 00000 n +0000424313 00000 n +0000424530 00000 n +0000424751 00000 n +0000424997 00000 n +0000425301 00000 n +0000425624 00000 n +0000425890 00000 n +0000426158 00000 n +0000426552 00000 n +0000426917 00000 n +0000427211 00000 n +0000427549 00000 n +0000427752 00000 n +0000428114 00000 n +0000428330 00000 n +0000428574 00000 n +0000428695 00000 n +0000428904 00000 n +0000428968 00000 n +0000429144 00000 n +0000429437 00000 n +0000429767 00000 n +0000430086 00000 n +0000430511 00000 n +0000430870 00000 n +0000431275 00000 n +0000431448 00000 n +0000431782 00000 n +0000432076 00000 n +0000432485 00000 n +0000432703 00000 n +0000432959 00000 n +0000433180 00000 n +0000433463 00000 n +0000433734 00000 n +0000434050 00000 n +0000434165 00000 n +0000434389 00000 n +0000434586 00000 n +0000434821 00000 n +0000435279 00000 n +0000435816 00000 n +0000436113 00000 n +0000436439 00000 n +0000436719 00000 n +0000437233 00000 n +0000437564 00000 n +0000437937 00000 n +0000438308 00000 n +0000438823 00000 n +0000439342 00000 n +0000439815 00000 n +0000440278 00000 n +0000440815 00000 n +0000441539 00000 n +0000442281 00000 n +0000442586 00000 n +0000443119 00000 n +0000443594 00000 n +0000444139 00000 n +0000444827 00000 n +0000445364 00000 n +0000445671 00000 n +0000446153 00000 n +0000446875 00000 n +0000447621 00000 n +0000447973 00000 n +0000448505 00000 n +0000449243 00000 n +0000449788 00000 n +0000450612 00000 n +0000451157 00000 n +0000451651 00000 n +0000451990 00000 n +0000452072 00000 n +0000452261 00000 n +0000453084 00000 n +0000453621 00000 n +0000454416 00000 n +0000454953 00000 n +0000455754 00000 n +0000456521 00000 n +0000457066 00000 n +0000457800 00000 n +0000458337 00000 n +0000459076 00000 n +0000459613 00000 n +0000459846 00000 n +0000460085 00000 n +0000460741 00000 n +0000461165 00000 n +0000461392 00000 n +0000461681 00000 n +0000461907 00000 n +0000462149 00000 n +0000462379 00000 n +0000462631 00000 n +0000463427 00000 n +0000463964 00000 n +0000464749 00000 n +0000465006 00000 n +0000465302 00000 n +0000465586 00000 n +0000465911 00000 n +0000466186 00000 n +0000466496 00000 n +0000466617 00000 n +0000466835 00000 n +0000467593 00000 n +0000468138 00000 n +0000468422 00000 n +0000468720 00000 n +0000469520 00000 n +0000470065 00000 n +0000470296 00000 n +0000470811 00000 n +0000471258 00000 n +0000471480 00000 n +0000471974 00000 n +0000472406 00000 n +0000472470 00000 n +0000472717 00000 n +0000472920 00000 n +0000473162 00000 n +0000473662 00000 n +0000474048 00000 n +0000474362 00000 n +0000474688 00000 n +0000474969 00000 n +0000475232 00000 n +0000476038 00000 n +0000476583 00000 n +0000477302 00000 n +0000477847 00000 n +0000478201 00000 n +0000478585 00000 n +0000479121 00000 n +0000479570 00000 n +0000480375 00000 n +0000480920 00000 n +0000481671 00000 n +0000482216 00000 n +0000482938 00000 n +0000483383 00000 n +0000483580 00000 n +0000483864 00000 n +0000484584 00000 n +0000485129 00000 n +0000485940 00000 n +0000486174 00000 n +0000486395 00000 n +0000486643 00000 n +0000486867 00000 n +0000487226 00000 n +0000487565 00000 n +0000488092 00000 n +0000488496 00000 n +0000488611 00000 n +0000488873 00000 n +0000488991 00000 n +0000489238 00000 n +0000490011 00000 n +0000490548 00000 n +0000491036 00000 n +0000491420 00000 n +0000492239 00000 n +0000492776 00000 n +0000493069 00000 n +0000493331 00000 n +0000494035 00000 n +0000494580 00000 n +0000494808 00000 n +0000495500 00000 n +0000496045 00000 n +0000496371 00000 n +0000496701 00000 n +0000497171 00000 n +0000497505 00000 n +0000498053 00000 n +0000498452 00000 n +0000499274 00000 n +0000499806 00000 n +0000500078 00000 n +0000500326 00000 n +0000501003 00000 n +0000501548 00000 n +0000502315 00000 n +0000502860 00000 n +0000503659 00000 n +0000504204 00000 n +0000505037 00000 n +0000505582 00000 n +0000505810 00000 n +0000506073 00000 n +0000506383 00000 n +0000506444 00000 n +0000506677 00000 n +0000506976 00000 n +0000507304 00000 n +0000507545 00000 n +0000507765 00000 n +0000508502 00000 n +0000508958 00000 n +0000509197 00000 n +0000509486 00000 n +0000509710 00000 n +0000510018 00000 n +0000510335 00000 n +0000510628 00000 n +0000510944 00000 n +0000511255 00000 n +0000511590 00000 n +0000511811 00000 n +0000512485 00000 n +0000513030 00000 n +0000513266 00000 n +0000513988 00000 n +0000514533 00000 n +0000514762 00000 n +0000515517 00000 n +0000516062 00000 n +0000516306 00000 n +0000517057 00000 n +0000517602 00000 n +0000517814 00000 n +0000518050 00000 n +0000518862 00000 n +0000519407 00000 n +0000519598 00000 n +0000519880 00000 n +0000520181 00000 n +0000520506 00000 n +0000520814 00000 n +0000521124 00000 n +0000521218 00000 n +0000521412 00000 n +0000521488 00000 n +0000521681 00000 n +0000522013 00000 n +0000522342 00000 n +0000522638 00000 n +0000522957 00000 n +0000523084 00000 n +0000523347 00000 n +0000523613 00000 n +0000523894 00000 n +0000524621 00000 n +0000525158 00000 n +0000525997 00000 n +0000526534 00000 n +0000527274 00000 n +0000527818 00000 n +0000528591 00000 n +0000529136 00000 n +0000529924 00000 n +0000530461 00000 n +0000531232 00000 n +0000531769 00000 n +0000532481 00000 n +0000533026 00000 n +0000533818 00000 n +0000534363 00000 n +0000534582 00000 n +0000534809 00000 n +0000535042 00000 n +0000535262 00000 n +0000535332 00000 n +0000535513 00000 n +0000535758 00000 n +0000535840 00000 n +0000536029 00000 n +0000536263 00000 n +0000536580 00000 n +0000536912 00000 n +0000537123 00000 n +0000537416 00000 n +0000537726 00000 n +0000537974 00000 n +0000538053 00000 n +0000538241 00000 n +0000538472 00000 n +0000538551 00000 n +0000538740 00000 n +0000538973 00000 n +0000539269 00000 n +0000539592 00000 n +0000539893 00000 n +0000540199 00000 n +0000540269 00000 n +0000540449 00000 n +0000540549 00000 n +0000540751 00000 n +0000541103 00000 n +0000541470 00000 n +0000541889 00000 n +0000542304 00000 n +0000543101 00000 n +0000543646 00000 n +0000543918 00000 n +0000544215 00000 n +0000544979 00000 n +0000545524 00000 n +0000545884 00000 n +0000546252 00000 n +0000546683 00000 n +0000547096 00000 n +0000547818 00000 n +0000548355 00000 n +0000549146 00000 n +0000549929 00000 n +0000550474 00000 n +0000550698 00000 n +0000550926 00000 n +0000551147 00000 n +0000551386 00000 n +0000551686 00000 n +0000552018 00000 n +0000552238 00000 n +0000552545 00000 n +0000552853 00000 n +0000553099 00000 n +0000553160 00000 n +0000553337 00000 n +0000553573 00000 n +0000554025 00000 n +0000554351 00000 n +0000554590 00000 n +0000554660 00000 n +0000554842 00000 n +0000555060 00000 n +0000555773 00000 n +0000556301 00000 n +0000556528 00000 n +0000557318 00000 n +0000558097 00000 n +0000558642 00000 n +0000559471 00000 n +0000560016 00000 n +0000560077 00000 n +0000560257 00000 n +0000560977 00000 n +0000561522 00000 n +0000562310 00000 n +0000562428 00000 n +0000562632 00000 n +0000563391 00000 n +0000563936 00000 n +0000564733 00000 n +0000565486 00000 n +0000566031 00000 n +0000566851 00000 n +0000567396 00000 n +0000568157 00000 n +0000568702 00000 n +0000569490 00000 n +0000570035 00000 n +0000570823 00000 n +0000571368 00000 n +0000571596 00000 n +0000571946 00000 n +0000572294 00000 n +0000572527 00000 n +0000573321 00000 n +0000573653 00000 n +0000573975 00000 n +0000574274 00000 n +0000574577 00000 n +0000575019 00000 n +0000575512 00000 n +0000575730 00000 n +0000575797 00000 n +0000575977 00000 n +0000576213 00000 n +0000576596 00000 n +0000576914 00000 n +0000577140 00000 n +0000577556 00000 n +0000577947 00000 n +0000578184 00000 n +0000578693 00000 n +0000579116 00000 n +0000579908 00000 n +0000580114 00000 n +0000580344 00000 n +0000580772 00000 n +0000581117 00000 n +0000581235 00000 n +0000581517 00000 n +0000581623 00000 n +0000581829 00000 n +0000582545 00000 n +0000583090 00000 n +0000583832 00000 n +0000584377 00000 n +0000585203 00000 n +0000585748 00000 n +0000585815 00000 n +0000585999 00000 n +0000586277 00000 n +0000586585 00000 n +0000586905 00000 n +0000587253 00000 n +0000587542 00000 n +0000587846 00000 n +0000587919 00000 n +0000588103 00000 n +0000588349 00000 n +0000588629 00000 n +0000589431 00000 n +0000590212 00000 n +0000590993 00000 n +0000591801 00000 n +0000592098 00000 n +0000592430 00000 n +0000592867 00000 n +0000593236 00000 n +0000593521 00000 n +0000593823 00000 n +0000594240 00000 n +0000594699 00000 n +0000594760 00000 n +0000594937 00000 n +0000595650 00000 n +0000596125 00000 n +0000596255 00000 n +0000596471 00000 n +0000596715 00000 n +0000597059 00000 n +0000597404 00000 n +0000597623 00000 n +0000597844 00000 n +0000598090 00000 n +0000598530 00000 n +0000598937 00000 n +0000599176 00000 n +0000599443 00000 n +0000599742 00000 n +0000600034 00000 n +0000600267 00000 n +0000600526 00000 n +0000600747 00000 n +0000600988 00000 n +0000601159 00000 n +0000601418 00000 n +0000601527 00000 n +0000601773 00000 n +0000602068 00000 n +0000602355 00000 n +0000602671 00000 n +0000603013 00000 n +0000603348 00000 n +0000603692 00000 n +0000603979 00000 n +0000604289 00000 n +0000604471 00000 n +0000604740 00000 n +0000604906 00000 n +0000605173 00000 n +0000605420 00000 n +0000605710 00000 n +0000605889 00000 n +0000606117 00000 n +0000606503 00000 n +0000606864 00000 n +0000607099 00000 n +0000607319 00000 n +0000607544 00000 n +0000608146 00000 n +0000608600 00000 n +0000608825 00000 n +0000609381 00000 n +0000609856 00000 n +0000610044 00000 n +0000610278 00000 n +0000610475 00000 n +0000610701 00000 n +0000611042 00000 n +0000611346 00000 n +0000611698 00000 n +0000612021 00000 n +0000612254 00000 n +0000612614 00000 n +0000612944 00000 n +0000613333 00000 n +0000613688 00000 n +0000613900 00000 n +0000614207 00000 n +0000614437 00000 n +0000614763 00000 n +0000615131 00000 n +0000615598 00000 n +0000616016 00000 n +0000616212 00000 n +0000616446 00000 n +0000616676 00000 n +0000616976 00000 n +0000617314 00000 n +0000617674 00000 n +0000618330 00000 n +0000618770 00000 n +0000619059 00000 n +0000619358 00000 n +0000619527 00000 n +0000619752 00000 n +0000619876 00000 n +0000620094 00000 n +0000620158 00000 n +0000620334 00000 n +0000620651 00000 n +0000620986 00000 n +0000621095 00000 n +0000621302 00000 n +0000621578 00000 n +0000621870 00000 n +0000622170 00000 n +0000622479 00000 n +0000622543 00000 n +0000622719 00000 n +0000622979 00000 n +0000623279 00000 n +0000623489 00000 n +0000623726 00000 n +0000623901 00000 n +0000624132 00000 n +0000624406 00000 n +0000624711 00000 n +0000625057 00000 n +0000625341 00000 n +0000625649 00000 n +0000625725 00000 n +0000625911 00000 n +0000626184 00000 n +0000626488 00000 n +0000626715 00000 n +0000626946 00000 n +0000627182 00000 n +0000627508 00000 n +0000627842 00000 n +0000628206 00000 n +0000628528 00000 n +0000628774 00000 n +0000629572 00000 n +0000629798 00000 n +0000629928 00000 n +0000630147 00000 n +0000630677 00000 n +0000631055 00000 n +0000631224 00000 n +0000631441 00000 n +0000631698 00000 n +0000631943 00000 n +0000632188 00000 n +0000632460 00000 n +0000632692 00000 n +0000633009 00000 n +0000633354 00000 n +0000633722 00000 n +0000634073 00000 n +0000634351 00000 n +0000634660 00000 n +0000634908 00000 n +0000635204 00000 n +0000635325 00000 n +0000635542 00000 n +0000635742 00000 n +0000636020 00000 n +0000636108 00000 n +0000636316 00000 n +0000636522 00000 n +0000636775 00000 n +0000637056 00000 n +0000637332 00000 n +0000637668 00000 n +0000638000 00000 n +0000638121 00000 n +0000638351 00000 n +0000638524 00000 n +0000638783 00000 n +0000639067 00000 n +0000639352 00000 n +0000639674 00000 n +0000640019 00000 n +0000640354 00000 n +0000640705 00000 n +0000640998 00000 n +0000641280 00000 n +0000641518 00000 n +0000641754 00000 n +0000641989 00000 n +0000642218 00000 n +0000642438 00000 n +0000642676 00000 n +0000642902 00000 n +0000643147 00000 n +0000643653 00000 n +0000644022 00000 n +0000644261 00000 n +0000644494 00000 n +0000644600 00000 n +0000644813 00000 n +0000644943 00000 n +0000645153 00000 n +0000645412 00000 n +0000645698 00000 n +0000645825 00000 n +0000646036 00000 n +0000646387 00000 n +0000646714 00000 n +0000647044 00000 n +0000647372 00000 n +0000647626 00000 n +0000647931 00000 n +0000648104 00000 n +0000648331 00000 n +0000648398 00000 n +0000648612 00000 n +0000648914 00000 n +0000649247 00000 n +0000649556 00000 n +0000649891 00000 n +0000650123 00000 n +0000650405 00000 n +0000650719 00000 n +0000651056 00000 n +0000651413 00000 n +0000651746 00000 n +0000651828 00000 n +0000652049 00000 n +0000652339 00000 n +0000652638 00000 n +0000652823 00000 n +0000653045 00000 n +0000653381 00000 n +0000653725 00000 n +0000653825 00000 n +0000654024 00000 n +0000654689 00000 n +0000655130 00000 n +0000655765 00000 n +0000656194 00000 n +0000656511 00000 n +0000656862 00000 n +0000657319 00000 n +0000657712 00000 n +0000657939 00000 n +0000658210 00000 n +0000658322 00000 n +0000658566 00000 n +0000658623 00000 n +0000658797 00000 n +0000659091 00000 n +0000659397 00000 n +0000659615 00000 n +0000659856 00000 n +0000659959 00000 n +0000660157 00000 n +0000660491 00000 n +0000660828 00000 n +0000661039 00000 n +0000661281 00000 n +0000661592 00000 n +0000661916 00000 n +0000662381 00000 n +0000662740 00000 n +0000663180 00000 n +0000663528 00000 n +0000663905 00000 n +0000664248 00000 n +0000664442 00000 n +0000664680 00000 n +0000665404 00000 n +0000665936 00000 n +0000666452 00000 n +0000666869 00000 n +0000667123 00000 n +0000667431 00000 n +0000667751 00000 n +0000668103 00000 n +0000668580 00000 n +0000668979 00000 n +0000669421 00000 n +0000669827 00000 n +0000670116 00000 n +0000670603 00000 n +0000670996 00000 n +0000671496 00000 n +0000671891 00000 n +0000672429 00000 n +0000672735 00000 n +0000673251 00000 n +0000673740 00000 n +0000674277 00000 n +0000674344 00000 n +0000674526 00000 n +0000674898 00000 n +0000675421 00000 n +0000675745 00000 n +0000676059 00000 n +0000676347 00000 n +0000676832 00000 n +0000676893 00000 n +0000677069 00000 n +0000677443 00000 n +0000677785 00000 n +0000677954 00000 n +0000678173 00000 n +0000678698 00000 n +0000679150 00000 n +0000679387 00000 n +0000679632 00000 n +0000679888 00000 n +0000680177 00000 n +0000680510 00000 n +0000680838 00000 n +0000680938 00000 n +0000681135 00000 n +0000681205 00000 n +0000681393 00000 n +0000681700 00000 n +0000682022 00000 n +0000682381 00000 n +0000682677 00000 n +0000683060 00000 n +0000683421 00000 n +0000684188 00000 n +0000684499 00000 n +0000684837 00000 n +0000684934 00000 n +0000685130 00000 n +0000685569 00000 n +0000685954 00000 n +0000686218 00000 n +0000686516 00000 n +0000686917 00000 n +0000687298 00000 n +0000687365 00000 n +0000687577 00000 n +0000687759 00000 n +0000688011 00000 n +0000688251 00000 n +0000688534 00000 n +0000688743 00000 n +0000688990 00000 n +0000689102 00000 n +0000689313 00000 n +0000689945 00000 n +0000690482 00000 n +0000690570 00000 n +0000690762 00000 n +0000691165 00000 n +0000691510 00000 n +0000691830 00000 n +0000692155 00000 n +0000692676 00000 n +0000693213 00000 n +0000693289 00000 n +0000693478 00000 n +0000693746 00000 n +0000694012 00000 n +0000694320 00000 n +0000694647 00000 n +0000695175 00000 n +0000695645 00000 n +0000696306 00000 n +0000696784 00000 n +0000697151 00000 n +0000697601 00000 n +0000698229 00000 n +0000698735 00000 n +0000699182 00000 n +0000699548 00000 n +0000699908 00000 n +0000700278 00000 n +0000701055 00000 n +0000701463 00000 n +0000701865 00000 n +0000702059 00000 n +0000702295 00000 n +0000702615 00000 n +0000702945 00000 n +0000703280 00000 n +0000703625 00000 n +0000703900 00000 n +0000704209 00000 n +0000704436 00000 n +0000704720 00000 n +0000704790 00000 n +0000705008 00000 n +0000705247 00000 n +0000705499 00000 n +0000705835 00000 n +0000706163 00000 n +0000706233 00000 n +0000706448 00000 n +0000706795 00000 n +0000707131 00000 n +0000707518 00000 n +0000708023 00000 n +0000708699 00000 n +0000709244 00000 n +0000709734 00000 n +0000710279 00000 n +0000710929 00000 n +0000711409 00000 n +0000711901 00000 n +0000712323 00000 n +0000712432 00000 n +0000712634 00000 n +0000712957 00000 n +0000713291 00000 n +0000713554 00000 n +0000713823 00000 n +0000714062 00000 n +0000714498 00000 n +0000715300 00000 n +0000715837 00000 n +0000716019 00000 n +0000716244 00000 n +0000716961 00000 n +0000717482 00000 n +0000717785 00000 n +0000718212 00000 n +0000719024 00000 n +0000719569 00000 n +0000720285 00000 n +0000720830 00000 n +0000721574 00000 n +0000722111 00000 n +0000722912 00000 n +0000723449 00000 n +0000724185 00000 n +0000724730 00000 n +0000724942 00000 n +0000725233 00000 n +0000725336 00000 n +0000725567 00000 n +0000725649 00000 n +0000725839 00000 n +0000726180 00000 n +0000726503 00000 n +0000726573 00000 n +0000726757 00000 n +0000727086 00000 n +0000727423 00000 n +0000727499 00000 n +0000727687 00000 n +0000728034 00000 n +0000728372 00000 n +0000728602 00000 n +0000728854 00000 n +0000729050 00000 n +0000729300 00000 n +0000730046 00000 n +0000730591 00000 n +0000730901 00000 n +0000731339 00000 n +0000731492 00000 n +0000731844 00000 n +0000732048 00000 n +0000732407 00000 n +0000732653 00000 n +0000733067 00000 n +0000733877 00000 n +0000734422 00000 n +0000734586 00000 n +0000734932 00000 n +0000735288 00000 n +0000735665 00000 n +0000736369 00000 n +0000736798 00000 n +0000737214 00000 n +0000737479 00000 n +0000737907 00000 n +0000738722 00000 n +0000739259 00000 n +0000739549 00000 n +0000740009 00000 n +0000740293 00000 n +0000740602 00000 n +0000740775 00000 n +0000741115 00000 n +0000741879 00000 n +0000742415 00000 n +0000742762 00000 n +0000743134 00000 n +0000743568 00000 n +0000743987 00000 n +0000744776 00000 n +0000745580 00000 n +0000745668 00000 n +0000745889 00000 n +0000746147 00000 n +0000746421 00000 n +0000746754 00000 n +0000747095 00000 n +0000747421 00000 n +0000747770 00000 n +0000748087 00000 n +0000748405 00000 n +0000748595 00000 n +0000748847 00000 n +0000748959 00000 n +0000749215 00000 n +0000749470 00000 n +0000749745 00000 n +0000750063 00000 n +0000750405 00000 n +0000751217 00000 n +0000751738 00000 n +0000752006 00000 n +0000752411 00000 n +0000752696 00000 n +0000753121 00000 n +0000753331 00000 n +0000753700 00000 n +0000753942 00000 n +0000754302 00000 n +0000754508 00000 n +0000754836 00000 n +0000755658 00000 n +0000755930 00000 n +0000756299 00000 n +0000756973 00000 n +0000757443 00000 n +0000758234 00000 n +0000758382 00000 n +0000758735 00000 n +0000759016 00000 n +0000759309 00000 n +0000759549 00000 n +0000759858 00000 n +0000760668 00000 n +0000761046 00000 n +0000761436 00000 n +0000761607 00000 n +0000761851 00000 n +0000761978 00000 n +0000762185 00000 n +0000762894 00000 n +0000763429 00000 n +0000764016 00000 n +0000764477 00000 n +0000765097 00000 n +0000765549 00000 n +0000765616 00000 n +0000765867 00000 n +0000766623 00000 n +0000767135 00000 n +0000767506 00000 n +0000768282 00000 n +0000769057 00000 n +0000769594 00000 n +0000770091 00000 n +0000770505 00000 n +0000771293 00000 n +0000771776 00000 n +0000772188 00000 n +0000772655 00000 n +0000773075 00000 n +0000773248 00000 n +0000773473 00000 n +0000773819 00000 n +0000774195 00000 n +0000774911 00000 n +0000775448 00000 n +0000775730 00000 n +0000776014 00000 n +0000776312 00000 n +0000776745 00000 n +0000777180 00000 n +0000777580 00000 n +0000777885 00000 n +0000778299 00000 n +0000778943 00000 n +0000779428 00000 n +0000779984 00000 n +0000780388 00000 n +0000780455 00000 n +0000780661 00000 n +0000781266 00000 n +0000781725 00000 n +0000782126 00000 n +0000782500 00000 n +0000782570 00000 n +0000782751 00000 n +0000782818 00000 n +0000783040 00000 n +0000783568 00000 n +0000783956 00000 n +0000784026 00000 n +0000784213 00000 n +0000784391 00000 n +0000784726 00000 n +0000785157 00000 n +0000785517 00000 n +0000785745 00000 n +0000786009 00000 n +0000786287 00000 n +0000786562 00000 n +0000786668 00000 n +0000786868 00000 n +0000787163 00000 n +0000787472 00000 n +0000787687 00000 n +0000787976 00000 n +0000788361 00000 n +0000788810 00000 n +0000788880 00000 n +0000789114 00000 n +0000789286 00000 n +0000790028 00000 n +0000790522 00000 n +0000790986 00000 n +0000791374 00000 n +0000791923 00000 n +0000792468 00000 n +0000792716 00000 n +0000793026 00000 n +0000793248 00000 n +0000793894 00000 n +0000794439 00000 n +0000794786 00000 n +0000795241 00000 n +0000795595 00000 n +0000796063 00000 n +0000796256 00000 n +0000796644 00000 n +0000796818 00000 n +0000797172 00000 n +0000797362 00000 n +0000797732 00000 n +0000797984 00000 n +0000798267 00000 n +0000798453 00000 n +0000798822 00000 n +0000799056 00000 n +0000799328 00000 n +0000799506 00000 n +0000799842 00000 n +0000800096 00000 n +0000800355 00000 n +0000800482 00000 n +0000800764 00000 n +0000801141 00000 n +0000801464 00000 n +0000801624 00000 n +0000801979 00000 n +0000802425 00000 n +0000802816 00000 n +0000803229 00000 n +0000803607 00000 n +0000803674 00000 n +0000803929 00000 n +0000804500 00000 n +0000804947 00000 n +0000805277 00000 n +0000805652 00000 n +0000806025 00000 n +0000806379 00000 n +0000806485 00000 n +0000806715 00000 n +0000807338 00000 n +0000807816 00000 n +0000808224 00000 n +0000808602 00000 n +0000809133 00000 n +0000809663 00000 n +0000810058 00000 n +0000810458 00000 n +0000810903 00000 n +0000811303 00000 n +0000811910 00000 n +0000812447 00000 n +0000812994 00000 n +0000813531 00000 n +0000814099 00000 n +0000814630 00000 n +0000815200 00000 n +0000815745 00000 n +0000815984 00000 n +0000816272 00000 n +0000816526 00000 n +0000816821 00000 n +0000817018 00000 n +0000817432 00000 n +0000817629 00000 n +0000818006 00000 n +0000818124 00000 n +0000818412 00000 n +0000818643 00000 n +0000819037 00000 n +0000819429 00000 n +0000819791 00000 n +0000820060 00000 n +0000820431 00000 n +0000820666 00000 n +0000820978 00000 n +0000821500 00000 n +0000821962 00000 n +0000822390 00000 n +0000822833 00000 n +0000823114 00000 n +0000823453 00000 n +0000823863 00000 n +0000824293 00000 n +0000824951 00000 n +0000825496 00000 n +0000825723 00000 n +0000826041 00000 n +0000826370 00000 n +0000826664 00000 n +0000827193 00000 n +0000827654 00000 n +0000827899 00000 n +0000828190 00000 n +0000828508 00000 n +0000828869 00000 n +0000828933 00000 n +0000829162 00000 n +0000829596 00000 n +0000829988 00000 n +0000830247 00000 n +0000830553 00000 n +0000830650 00000 n +0000830851 00000 n +0000830921 00000 n +0000831135 00000 n +0000831371 00000 n +0000831669 00000 n +0000831739 00000 n +0000831959 00000 n +0000832130 00000 n +0000832369 00000 n +0000832656 00000 n +0000832937 00000 n +0000833267 00000 n +0000833506 00000 n +0000833778 00000 n +0000834154 00000 n +0000834557 00000 n +0000834979 00000 n +0000835319 00000 n +0000835801 00000 n +0000836272 00000 n +0000836787 00000 n +0000837263 00000 n +0000837667 00000 n +0000838057 00000 n +0000838709 00000 n +0000839254 00000 n +0000839515 00000 n +0000839835 00000 n +0000840038 00000 n +0000840343 00000 n +0000840555 00000 n +0000840808 00000 n +0000841349 00000 n +0000841779 00000 n +0000842156 00000 n +0000842528 00000 n +0000843267 00000 n +0000843361 00000 n +0000843560 00000 n +0000844348 00000 n +0000845028 00000 n +0000845485 00000 n +0000846251 00000 n +0000846771 00000 n +0000847572 00000 n +0000848109 00000 n +0000848843 00000 n +0000849388 00000 n +0000850139 00000 n +0000850684 00000 n +0000851202 00000 n +0000851553 00000 n +0000851632 00000 n +0000851820 00000 n +0000852188 00000 n +0000852590 00000 n +0000852958 00000 n +0000853337 00000 n +0000853636 00000 n +0000853962 00000 n +0000854189 00000 n +0000854475 00000 n +0000854837 00000 n +0000855195 00000 n +0000855920 00000 n +0000856457 00000 n +0000856789 00000 n +0000857150 00000 n +0000857533 00000 n +0000857926 00000 n +0000858120 00000 n +0000858366 00000 n +0000858644 00000 n +0000858941 00000 n +0000859728 00000 n +0000860068 00000 n +0000860419 00000 n +0000860672 00000 n +0000860942 00000 n +0000860996 00000 n +0000861167 00000 n +0000861362 00000 n +0000861601 00000 n +0000861876 00000 n +0000862144 00000 n +0000862779 00000 n +0000863200 00000 n +0000863499 00000 n +0000863759 00000 n +0000864506 00000 n +0000865027 00000 n +0000865840 00000 n +0000866377 00000 n +0000867162 00000 n +0000867707 00000 n +0000868359 00000 n +0000868885 00000 n +0000869313 00000 n +0000869790 00000 n +0000870107 00000 n +0000870572 00000 n +0000871100 00000 n +0000871624 00000 n +0000872177 00000 n +0000872710 00000 n +0000873082 00000 n +0000873466 00000 n +0000873807 00000 n +0000874171 00000 n +0000874274 00000 n +0000874483 00000 n +0000874806 00000 n +0000875139 00000 n +0000875221 00000 n +0000875452 00000 n +0000875898 00000 n +0000876285 00000 n +0000876598 00000 n +0000876907 00000 n +0000877500 00000 n +0000877982 00000 n +0000878293 00000 n +0000878627 00000 n +0000878968 00000 n +0000879353 00000 n +0000879679 00000 n +0000880032 00000 n +0000880478 00000 n +0000880900 00000 n +0000881121 00000 n +0000881368 00000 n +0000881832 00000 n +0000882333 00000 n +0000882418 00000 n +0000882654 00000 n +0000882967 00000 n +0000883283 00000 n +0000883522 00000 n +0000883813 00000 n +0000884240 00000 n +0000884736 00000 n +0000885302 00000 n +0000885714 00000 n +0000886053 00000 n +0000886538 00000 n +0000886767 00000 n +0000892916 00000 n +0000893192 00000 n +0000893415 00000 n +0000060996 00000 n +trailer +<<4189B3AA51FBE741AA664FD8AE20860C>]>> +startxref +0 +%%EOF + +3040 0 obj<>stream +xÚìX}pT×u?÷¾ÏýÒ®V«ÕJ|ì“Ä"d´ŒñÈ ì,XÀ:æãaVlË.ãÈD óvªÁk"M‹Ûç–I»Û„9c'· vTJÓMˆÜ:õ똸 +¥öƃš^IHZŒ“;ÓÉ›y#Ýóõ;¿sž-º§WÇÎzÅÙø¸G8}•9½92}Õôf>±ùâ„"sz5<~ÏW4¾:aUèÆÍ«cîßèÂäꄃ׳0eäX4¼ã±JMfÐ3«Ô„¢I«¦\pßœOÞ+6™ýIlL„ +z¦À`Nè0ò÷'%ïªÉXM­Þ”Ð 0`þÍã³*t“yÁIM&Ô›Ÿ” EñÉÀN꽤|#ñˆ|Ú扔Ó92¯¯Âäæ©hLèåÓzãS +]&ÿl^œCù¡›¶ùºU‘ŒäÒkO(rç{”º¼À¦¦Å©ì|"¿Ê gqªØ4ž' ç&xçeÁœ„ÙðFïy¦°1å`|*ÞéÀR¼!ÎÓ¡»WŸRtö§…7¿ó³ùÈÉÿ ¡Ë‹äïÿäÍàùC% ÞO¡9mU(Ï_˜p0u3à´ûS¬ò #½7ßœgÆ4]ã' +'?ìyùMUèT±ÛÓVMPî¹Å®çobàI›ù$¹ÝTVŸN_ùzóV§hdÚ_N¾2óŽï­m?Žy2ëaóÙ#E÷„zõoº S΋p'|ƒœ–½Z®ˆU›*oÆ J¶æÄ §†íÄõäAhUá@~ ~YQ[ ß“Ûf׳.*Ñ3j²€•¥kal'¿”þMEí lÄý,N/Ëï„£æbg#ÀO”6í +ån.õäQ\EVzRºJÎ`·±K%geC‹Ú‹Ù"ü ²š¾£d`PÏ–³&ò€õ±²O;ï6ÂR'Nª¬kä '¦&e6êY'¾B“òysaÄY€á9’6÷KÙ"ÔøZ(&iZí°rÐ`—¥PC^®z%|)› Gi/8³ Wñ§¬Re¡×1œ;ÐGÉr)NÜñ*s=v‰ì•z´˜?S +‹Ùóä^²ÏÕäñn»–=IjI:èÅ¢ö¹¬ÎÚƒ„K ®\8£À-°ƒ\ú¥&yYØXÍ‹±î•Óîîb{ŽÓ̶[2È=\ŽêÄؽ8Iš¢¦…p>‰Wi«|Îåeg³Xï‘ÒyÅñäbünlƒ +’£9 ÐÌvaùHjÓ²ÞäÜ€káûä :†]XjÄùÓÖÎÅ­äÛô°6ài¾Å˜ÇÖ4–Y—hBîÓõ¢€ ?„Q‰+w!Tâ­ì%òT-eôø\#aªì¼´Éo¬0]åÀñ6’”\r6,±ú5qä3n(Eì{ø[òò?rK1ÆðüSx–’b>#`Î_á(“ˆÜîj#é âÐGŽËiTÌ/Â/¬0Ý$ujXˆÕÌfã«ä iHOˆà˜uðEØFLÙve|¹¨Ù³ðKª‚­ v57a”VSiw%K!‡ðmáÄ«z*È* 7¿ËšI7 âÑg8+ìÛcýVºèQúKÍ"gWãFr¶Èý®ÔL»Š¯g)ë(9%õ©PÆuX[¬Ã¤Eh7£N#>C*aM‘vW†ÚðÏV+yAº(§h²Ä|™âG´”¶Pz>¬a}ð>mÐM߀Ÿ•³zþ8ž }òIW–ä‚—ÛqÙB/ªÃŠf:ÞWE¥´ºÚ rsœ:؃?!ga@΄Ø\¨†³p™Î$Ê@Å•üÖã´šÆUÐÛ ÑÅš-™|¤^ÝŸ,çØ|HpEÍÈñ2lÀCØCz W5]IAˆü‹Äëmîd™Ó?¤³áŠÒìgµvm°­Xo÷™åF·Ò佮Ʌa>Ûƒ¿£•¤YéV’ÅæjvLÔB»ðh¨ ^Á—C'™E£*+€Ù΃˜%¤½´UMVjÙB|V‘un^˜Ío7ºkÊíJ¸/š] ÷²9Яt +¾ê÷‰ü¯Ä¯ +fxJ>îZ +«à(© ›ä®›eVâV¬"ŠíÉ–Ù·ò#V€&É¥-Èæ8+X|ì¦q%ÂZó.äÖ¥Q·ìö@=¼†ß²Þ„}´SŽYœ­EFÊiŸrBÅp¦ŠoÀ]x I‡hÜU°š”Z{aí“»ýP‚˸ÿŽ<&5ëfan&_ß%k©,(‰Æ¨Á— Aãº4ç˜;ØóÖ£$(÷ë’d˜/…-¢8.È&íðð±¿E>¦n¹[³g9•N û–õ®ô†zÞ—Š&7AŸ²~#=¬] ™Ñd¹óˆµ™¾A;4^œ‹fïÇ.ö+R[hk¤/šÜæìo|O•µ+Å©hv.ß £ä9•Š” q#ßÍ’8¢¤´+‘„×,1‹ú\E·i'=(çf +ýÂÁ—.i·V1ö(=.JÂh¶R„ýmR#ƒr2’ŽÂ,\L˜uM9­])ŠfwÚ]Ì„÷h¥è)±@{%.b[èkR³T+<â°š¡¡ÕòIep®s»]O6“±ö´Ü?ìƒ{Í.–‚A5 ]‘‡üÀͬ›a/í Ì•­‚µì ™§EO‰û“›ÌýψN‡Z®Df·ÁþÆG„Ø,Ì°£Ù°SÇïÇh¢Mj7uŠŒ…ØcÅÈ6YÖÓ>¦˜Õ°ç“z)¤…¹rcBö¹œP¦ÎÙö÷‚®‡äP˜G³1¼^·žP¶hçKúdn·ëĬð +9&.æÁr§ ¾¥¤L2|4JM]4‘ÓÊZF©3¶8]=Ö5¨§¬Ø32„-ô-iÔs1Ü&À]ŠÐ9ÂfØÜÇáuå²lë¹@{¹¹ /âi`¦²âÌV‡? ;ÉQ­Õ©cÅ,ŽgÈb8! ´ÛÅU;È5µV»#.6›5Á’ £r\P+c·‘.úkåMo@±Þ¸‡uZn:ª›Zì‡xœ"¶œuõ{ÚKŒØ"]‚¬ì„sÕöH[¿¢-àLÞÏX—¥V×_6”YÄ{á?ÉŸÓ^1É´/H.Àûðs086ö¸REÉYN“h¸#¢œ‡Ô”«DÂwéÃrÆ=2+™„.ö¹&ŸSs,b¸Œyø´U#Æjª¶óýl-¼¦lÐzõT¤}‡¨”ѼºE9G“›qŒs~OI^1ØÕÆ^½ôNù”à¬v>‹;`ˆ0)ŽG³¬—YËIRñªéÛä]Œ‘%âs¤%äDÒuøvFòH—¤óc…QÁúè4,¿ã£vój#Bƒh@Z{0]m4ãq«”6Ð6•Ë|.á_²Êh«×ðÇ +p±‚ý_¦&%Üb\®Ä3ø8¹K¾ª–ôi0×¾!ž£Þˆ;ÓfÜ‚/*+µs…‰²ôZh?þB±µvwǶÅÜÏÞ·ö)ŽzÕÃËrq¨`Û¬%´ßmûûý¦h"ø†õ4’<ÙjHa¢¤E¿èK„³5b°iÆ(Ù«e<@ 3Ÿµþ”vK ‰J™åâ"Ñ +zN.Î.²uñ}Ô­Òb>3 Ìèe${]Ýþá¢öósbLŽrså\ö<¾¾ŒÇ„ƒÍÔ*\‚ˆIõ²Ò¢h|®³ÿÖz‚ž„Nu¨¼}‘¹¿m­§$¢*3KÙVx‘|(½£÷˜¥é[ðµ~']Ò“þŽrSô~þ…ªô'ëÔØ!<?¦ç”´;^žç,ä‡Å˜Þ¢Þ²ÊÙŠ¯Ó‡¥QzUÏ“û2;?3p›;FxÄ؉ßwéL1_Ũóêá+c#©¦ü™ÙöZ1o\¦ýÊ«Þ@,å{ø‡Öu”î"ÍÎ4ÖÀ=–O|Ù¶ú#vÝB¶ã2²zl|(KÞÇž D·Ú;ÑŽ—³»Ç¿qú”·YÜn8͸Gi¢wG“U°ž½díßVÍZ:ÜꬃçD>Ír•+5oå{éf‰Ê35¨m_nÿˆü/iÔeû“\j¬fD•eõ¿»æã:vB rŸ—;]ÍÔ‰˜»ño¬bZ¡ŸòåD9³uìe«‚îSÛ¼OºLLõø¤å{3QgŸiN~µ@÷¯Ëa+Öüñêÿ—ßÑâ'oB¼ +øìWÆÿý_nñ +endstream endobj 7 0 obj<> endobj 8 0 obj<> endobj 9 0 obj<>/ColorSpace<>/Font<>/ProcSet[/PDF/Text/ImageC/ImageI]/ExtGState<>>> endobj 10 0 obj[/Indexed 573 0 R 24 2370 0 R] endobj 11 0 obj[/Indexed 573 0 R 194 1318 0 R] endobj 12 0 obj[/Indexed 573 0 R 86 1329 0 R] endobj 13 0 obj[/Indexed 573 0 R 65 1341 0 R] endobj 14 0 obj[/Indexed 573 0 R 194 1347 0 R] endobj 15 0 obj[/Indexed 573 0 R 88 1353 0 R] endobj 16 0 obj[/Indexed 573 0 R 151 1357 0 R] endobj 17 0 obj[/Indexed 573 0 R 225 2647 0 R] endobj 18 0 obj[/Indexed 573 0 R 87 2649 0 R] endobj 19 0 obj[/Indexed 573 0 R 116 2651 0 R] endobj 20 0 obj[/Indexed 573 0 R 195 2688 0 R] endobj 21 0 obj[/Indexed 573 0 R 64 2693 0 R] endobj 22 0 obj[/Indexed 573 0 R 28 2700 0 R] endobj 23 0 obj[/Indexed 573 0 R 210 2704 0 R] endobj 24 0 obj[/Indexed 573 0 R 166 2706 0 R] endobj 25 0 obj[/Indexed 573 0 R 177 2708 0 R] endobj 26 0 obj[/Indexed 573 0 R 4 2710 0 R] endobj 27 0 obj[/Indexed 573 0 R 152 2438 0 R] endobj 28 0 obj[/Indexed 573 0 R 145 2444 0 R] endobj 29 0 obj[/Indexed 573 0 R 121 2446 0 R] endobj 30 0 obj[/Indexed 573 0 R 90 2486 0 R] endobj 31 0 obj[/Indexed 573 0 R 135 1405 0 R] endobj 32 0 obj[/Indexed 573 0 R 100 2488 0 R] endobj 33 0 obj[/Indexed 573 0 R 60 1409 0 R] endobj 34 0 obj[/Indexed 573 0 R 14 2493 0 R] endobj 35 0 obj[/Indexed 573 0 R 196 1413 0 R] endobj 36 0 obj[/Indexed 573 0 R 74 2491 0 R] endobj 37 0 obj[/Indexed 573 0 R 2 1419 0 R] endobj 38 0 obj[/Indexed 573 0 R 59 2497 0 R] endobj 39 0 obj[/Indexed 573 0 R 60 1431 0 R] endobj 40 0 obj[/Indexed 573 0 R 107 2499 0 R] endobj 41 0 obj[/Indexed 573 0 R 81 1425 0 R] endobj 42 0 obj[/Indexed 573 0 R 119 2495 0 R] endobj 43 0 obj[/Indexed 573 0 R 34 1437 0 R] endobj 44 0 obj[/Indexed 573 0 R 32 1443 0 R] endobj 45 0 obj[/Indexed 573 0 R 66 1449 0 R] endobj 46 0 obj[/Indexed 573 0 R 8 1453 0 R] endobj 47 0 obj[/Indexed 573 0 R 185 2739 0 R] endobj 48 0 obj[/Indexed 573 0 R 4 2743 0 R] endobj 49 0 obj[/Indexed 573 0 R 104 2747 0 R] endobj 50 0 obj[/Indexed 573 0 R 4 2751 0 R] endobj 51 0 obj[/Indexed 573 0 R 5 2755 0 R] endobj 52 0 obj[/Indexed 573 0 R 114 2759 0 R] endobj 53 0 obj[/Indexed 573 0 R 63 2763 0 R] endobj 54 0 obj[/Indexed 573 0 R 49 2761 0 R] endobj 55 0 obj[/Indexed 573 0 R 17 2765 0 R] endobj 56 0 obj[/Indexed 573 0 R 75 2767 0 R] endobj 57 0 obj[/Indexed 573 0 R 4 2501 0 R] endobj 58 0 obj[/Indexed 573 0 R 31 2503 0 R] endobj 59 0 obj[/Indexed 573 0 R 35 2542 0 R] endobj 60 0 obj[/Indexed 573 0 R 77 2544 0 R] endobj 61 0 obj[/Indexed 573 0 R 57 1504 0 R] endobj 62 0 obj[/Indexed 573 0 R 82 2546 0 R] endobj 63 0 obj[/Indexed 573 0 R 6 1507 0 R] endobj 64 0 obj[/Indexed 573 0 R 62 2548 0 R] endobj 65 0 obj[/Indexed 573 0 R 63 1511 0 R] endobj 66 0 obj[/Indexed 573 0 R 49 2550 0 R] endobj 67 0 obj[/Indexed 573 0 R 83 1517 0 R] endobj 68 0 obj[/Indexed 573 0 R 5 2552 0 R] endobj 69 0 obj[/Indexed 573 0 R 67 1523 0 R] endobj 70 0 obj[/Indexed 573 0 R 51 2554 0 R] endobj 71 0 obj[/Indexed 573 0 R 82 1529 0 R] endobj 72 0 obj[/Indexed 573 0 R 86 2556 0 R] endobj 73 0 obj[/Indexed 573 0 R 25 1535 0 R] endobj 74 0 obj[/Indexed 573 0 R 5 1541 0 R] endobj 75 0 obj[/Indexed 573 0 R 63 1547 0 R] endobj 76 0 obj[/Indexed 573 0 R 51 1551 0 R] endobj 77 0 obj[/Indexed 573 0 R 59 2797 0 R] endobj 78 0 obj[/Indexed 573 0 R 55 2805 0 R] endobj 79 0 obj[/Indexed 573 0 R 52 2801 0 R] endobj 80 0 obj[/Indexed 573 0 R 96 2809 0 R] endobj 81 0 obj[/Indexed 573 0 R 119 2813 0 R] endobj 82 0 obj[/Indexed 573 0 R 4 2817 0 R] endobj 83 0 obj[/Indexed 573 0 R 168 2819 0 R] endobj 84 0 obj[/Indexed 573 0 R 88 2821 0 R] endobj 85 0 obj[/Indexed 573 0 R 96 2823 0 R] endobj 86 0 obj[/Indexed 573 0 R 17 2825 0 R] endobj 87 0 obj[/Indexed 573 0 R 5 2558 0 R] endobj 88 0 obj[/Indexed 573 0 R 86 2560 0 R] endobj 89 0 obj[/Indexed 573 0 R 45 2598 0 R] endobj 90 0 obj[/Indexed 573 0 R 16 2600 0 R] endobj 91 0 obj[/Indexed 573 0 R 32 1591 0 R] endobj 92 0 obj[/Indexed 573 0 R 9 2602 0 R] endobj 93 0 obj[/Indexed 573 0 R 32 1595 0 R] endobj 94 0 obj[/Indexed 573 0 R 5 2606 0 R] endobj 95 0 obj[/Indexed 573 0 R 71 1601 0 R] endobj 96 0 obj[/Indexed 573 0 R 81 2608 0 R] endobj 97 0 obj[/Indexed 573 0 R 143 1605 0 R] endobj 98 0 obj[/Indexed 573 0 R 84 2604 0 R] endobj 99 0 obj[/Indexed 573 0 R 77 1611 0 R] endobj 100 0 obj[/Indexed 573 0 R 7 2610 0 R] endobj 101 0 obj[/Indexed 573 0 R 113 1617 0 R] endobj 102 0 obj[/Indexed 573 0 R 48 2614 0 R] endobj 103 0 obj[/Indexed 573 0 R 84 1623 0 R] endobj 104 0 obj[/Indexed 573 0 R 41 1629 0 R] endobj 105 0 obj[/Indexed 573 0 R 21 1633 0 R] endobj 106 0 obj[/Indexed 573 0 R 76 1637 0 R] endobj 107 0 obj[/Indexed 573 0 R 101 2857 0 R] endobj 108 0 obj[/Indexed 573 0 R 50 2861 0 R] endobj 109 0 obj[/Indexed 573 0 R 126 2865 0 R] endobj 110 0 obj[/Indexed 573 0 R 116 2869 0 R] endobj 111 0 obj[/Indexed 573 0 R 49 2873 0 R] endobj 112 0 obj[/Indexed 573 0 R 155 2877 0 R] endobj 113 0 obj[/Indexed 573 0 R 52 2879 0 R] endobj 114 0 obj[/Indexed 573 0 R 84 2881 0 R] endobj 115 0 obj[/Indexed 573 0 R 3 2883 0 R] endobj 116 0 obj[/Indexed 573 0 R 115 2885 0 R] endobj 117 0 obj[/Indexed 573 0 R 91 2612 0 R] endobj 118 0 obj[/Indexed 573 0 R 37 2616 0 R] endobj 119 0 obj[/Indexed 573 0 R 11 2655 0 R] endobj 120 0 obj[/Indexed 573 0 R 58 2657 0 R] endobj 121 0 obj[/Indexed 573 0 R 17 2364 0 R] endobj 122 0 obj[/Indexed 573 0 R 84 2659 0 R] endobj 123 0 obj[/Indexed 573 0 R 25 2366 0 R] endobj 124 0 obj[/Indexed 573 0 R 79 2661 0 R] endobj 125 0 obj[/Indexed 573 0 R 58 2368 0 R] endobj 126 0 obj[/Indexed 573 0 R 76 2663 0 R] endobj 127 0 obj[/Indexed 573 0 R 91 2372 0 R] endobj 128 0 obj[/Indexed 573 0 R 38 2665 0 R] endobj 129 0 obj[/Indexed 573 0 R 240 1904 0 R] endobj 130 0 obj[/Indexed 573 0 R 19 2667 0 R] endobj 131 0 obj[/Indexed 573 0 R 85 2374 0 R] endobj 132 0 obj[/Indexed 573 0 R 57 2669 0 R] endobj 133 0 obj[/Indexed 573 0 R 57 2376 0 R] endobj 134 0 obj[/Indexed 573 0 R 28 2378 0 R] endobj 135 0 obj[/Indexed 573 0 R 4 2380 0 R] endobj 136 0 obj[/Indexed 573 0 R 71 2382 0 R] endobj 137 0 obj[/Indexed 573 0 R 60 2916 0 R] endobj 138 0 obj[/Indexed 573 0 R 41 2920 0 R] endobj 139 0 obj[/Indexed 573 0 R 98 2924 0 R] endobj 140 0 obj[/Indexed 573 0 R 13 2927 0 R] endobj 141 0 obj[/Indexed 573 0 R 197 2930 0 R] endobj 142 0 obj[/Indexed 573 0 R 238 2934 0 R] endobj 143 0 obj[/Indexed 573 0 R 216 2936 0 R] endobj 144 0 obj[/Indexed 573 0 R 223 2938 0 R] endobj 145 0 obj[/Indexed 573 0 R 143 2940 0 R] endobj 146 0 obj[/Indexed 573 0 R 8 2942 0 R] endobj 147 0 obj[/Indexed 573 0 R 81 2671 0 R] endobj 148 0 obj[/Indexed 573 0 R 250 2673 0 R] endobj 149 0 obj[/Indexed 573 0 R 141 2713 0 R] endobj 150 0 obj[/Indexed 573 0 R 251 2716 0 R] endobj 151 0 obj[/Indexed 573 0 R 77 2384 0 R] endobj 152 0 obj[/Indexed 573 0 R 154 2718 0 R] endobj 153 0 obj[/Indexed 573 0 R 52 2386 0 R] endobj 154 0 obj[/Indexed 573 0 R 135 2721 0 R] endobj 155 0 obj[/Indexed 573 0 R 75 2388 0 R] endobj 156 0 obj[/Indexed 573 0 R 28 2725 0 R] endobj 157 0 obj[/Indexed 573 0 R 95 2390 0 R] endobj 158 0 obj[/Indexed 573 0 R 93 2727 0 R] endobj 159 0 obj[/Indexed 573 0 R 9 2392 0 R] endobj 160 0 obj[/Indexed 573 0 R 130 2723 0 R] endobj 161 0 obj[/Indexed 573 0 R 69 2394 0 R] endobj 162 0 obj[/Indexed 573 0 R 42 2769 0 R] endobj 163 0 obj[/Indexed 573 0 R 32 2396 0 R] endobj 164 0 obj[/Indexed 573 0 R 87 2398 0 R] endobj 165 0 obj[/Indexed 573 0 R 15 2400 0 R] endobj 166 0 obj[/Indexed 573 0 R 192 2402 0 R] endobj 167 0 obj[/Indexed 573 0 R 218 1834 0 R] endobj 168 0 obj[/Indexed 573 0 R 247 1836 0 R] endobj 169 0 obj[/Indexed 573 0 R 135 1838 0 R] endobj 170 0 obj[/Indexed 573 0 R 9 1840 0 R] endobj 171 0 obj[/Indexed 573 0 R 250 1842 0 R] endobj 172 0 obj[/Indexed 573 0 R 239 1844 0 R] endobj 173 0 obj[/Indexed 573 0 R 226 1847 0 R] endobj 174 0 obj[/Indexed 573 0 R 215 1849 0 R] endobj 175 0 obj[/Indexed 573 0 R 218 1851 0 R] endobj 176 0 obj[/Indexed 573 0 R 229 1874 0 R] endobj 177 0 obj[/Indexed 573 0 R 106 2771 0 R] endobj 178 0 obj[/Indexed 573 0 R 5 2773 0 R] endobj 179 0 obj[/Indexed 573 0 R 220 2776 0 R] endobj 180 0 obj[/Indexed 573 0 R 132 2778 0 R] endobj 181 0 obj[/Indexed 573 0 R 175 2780 0 R] endobj 182 0 obj[/Indexed 573 0 R 118 2430 0 R] endobj 183 0 obj[/Indexed 573 0 R 53 2782 0 R] endobj 184 0 obj[/Indexed 573 0 R 35 2434 0 R] endobj 185 0 obj[/Indexed 573 0 R 193 2785 0 R] endobj 186 0 obj[/Indexed 573 0 R 184 2827 0 R] endobj 187 0 obj[/Indexed 573 0 R 122 2829 0 R] endobj 188 0 obj[/Indexed 573 0 R 168 2831 0 R] endobj 189 0 obj[/Indexed 573 0 R 239 1878 0 R] endobj 190 0 obj[/Indexed 573 0 R 142 1881 0 R] endobj 191 0 obj[/Indexed 573 0 R 135 1884 0 R] endobj 192 0 obj[/Indexed 573 0 R 38 1888 0 R] endobj 193 0 obj[/Indexed 573 0 R 75 1892 0 R] endobj 194 0 obj[/Indexed 573 0 R 239 1896 0 R] endobj 195 0 obj[/Indexed 573 0 R 210 1898 0 R] endobj 196 0 obj[/Indexed 573 0 R 90 1900 0 R] endobj 197 0 obj[/Indexed 573 0 R 149 1902 0 R] endobj 198 0 obj[/Indexed 573 0 R 231 1927 0 R] endobj 199 0 obj[/Indexed 573 0 R 107 2833 0 R] endobj 200 0 obj[/Indexed 573 0 R 122 2835 0 R] endobj 201 0 obj[/Indexed 573 0 R 188 2837 0 R] endobj 202 0 obj[/Indexed 573 0 R 172 2839 0 R] endobj 203 0 obj[/Indexed 573 0 R 172 2841 0 R] endobj 204 0 obj[/Indexed 573 0 R 181 2843 0 R] endobj 205 0 obj[/Indexed 573 0 R 61 2887 0 R] endobj 206 0 obj[/Indexed 573 0 R 14 2889 0 R] endobj 207 0 obj[/Indexed 573 0 R 50 2845 0 R] endobj 208 0 obj[/Indexed 573 0 R 5 2891 0 R] endobj 209 0 obj[/Indexed 573 0 R 246 1931 0 R] endobj 210 0 obj[/Indexed 573 0 R 205 1935 0 R] endobj 211 0 obj[/Indexed 573 0 R 201 1938 0 R] endobj 212 0 obj[/Indexed 573 0 R 127 1942 0 R] endobj 213 0 obj[/Indexed 573 0 R 196 1950 0 R] endobj 214 0 obj[/Indexed 573 0 R 245 1946 0 R] endobj 215 0 obj[/Indexed 573 0 R 231 1952 0 R] endobj 216 0 obj[/Indexed 573 0 R 238 1954 0 R] endobj 217 0 obj[/Indexed 573 0 R 248 1956 0 R] endobj 218 0 obj[/Indexed 573 0 R 195 1979 0 R] endobj 219 0 obj[/Indexed 573 0 R 49 2893 0 R] endobj 220 0 obj[/Indexed 573 0 R 5 2895 0 R] endobj 221 0 obj[/Indexed 573 0 R 64 2900 0 R] endobj 222 0 obj[/Indexed 573 0 R 50 2898 0 R] endobj 223 0 obj[/Indexed 573 0 R 50 2902 0 R] endobj 224 0 obj[/Indexed 573 0 R 99 2904 0 R] endobj 225 0 obj[/Indexed 573 0 R 97 2944 0 R] endobj 226 0 obj[/Indexed 573 0 R 96 2946 0 R] endobj 227 0 obj[/Indexed 573 0 R 70 2948 0 R] endobj 228 0 obj[/Indexed 573 0 R 46 2950 0 R] endobj 229 0 obj[/Indexed 573 0 R 211 1982 0 R] endobj 230 0 obj[/Indexed 573 0 R 224 1985 0 R] endobj 231 0 obj[/Indexed 573 0 R 225 1988 0 R] endobj 232 0 obj[/Indexed 573 0 R 242 1992 0 R] endobj 233 0 obj[/Indexed 573 0 R 84 1996 0 R] endobj 234 0 obj[/Indexed 573 0 R 13 2000 0 R] endobj 235 0 obj[/Indexed 573 0 R 7 2002 0 R] endobj 236 0 obj[/Indexed 573 0 R 95 2004 0 R] endobj 237 0 obj[/Indexed 573 0 R 82 2006 0 R] endobj 238 0 obj[/Indexed 573 0 R 5 2032 0 R] endobj 239 0 obj[/Indexed 573 0 R 93 2952 0 R] endobj 240 0 obj[/Indexed 573 0 R 212 2954 0 R] endobj 241 0 obj[/Indexed 573 0 R 81 2956 0 R] endobj 242 0 obj[/Indexed 573 0 R 100 2958 0 R] endobj 243 0 obj[/Indexed 573 0 R 35 2960 0 R] endobj 244 0 obj[/Indexed 573 0 R 63 2962 0 R] endobj 245 0 obj[/Indexed 573 0 R 189 1855 0 R] endobj 246 0 obj[/Indexed 573 0 R 46 1857 0 R] endobj 247 0 obj[/Indexed 573 0 R 240 1863 0 R] endobj 248 0 obj[/Indexed 573 0 R 8 1335 0 R] endobj 249 0 obj[/Indexed 573 0 R 9 2035 0 R] endobj 250 0 obj[/Indexed 573 0 R 89 2038 0 R] endobj 251 0 obj[/Indexed 573 0 R 81 2041 0 R] endobj 252 0 obj[/Indexed 573 0 R 8 2044 0 R] endobj 253 0 obj[/Indexed 573 0 R 8 2047 0 R] endobj 254 0 obj[/Indexed 573 0 R 82 2050 0 R] endobj 255 0 obj[/Indexed 573 0 R 84 2052 0 R] endobj 256 0 obj[/Indexed 573 0 R 5 2054 0 R] endobj 257 0 obj[/Indexed 573 0 R 15 2056 0 R] endobj 258 0 obj[/Indexed 573 0 R 82 2081 0 R] endobj 259 0 obj[/Indexed 573 0 R 223 1906 0 R] endobj 260 0 obj[/Indexed 573 0 R 211 1908 0 R] endobj 261 0 obj[/Indexed 573 0 R 36 1910 0 R] endobj 262 0 obj[/Indexed 573 0 R 212 1912 0 R] endobj 263 0 obj[/Indexed 573 0 R 58 1959 0 R] endobj 264 0 obj[/Indexed 573 0 R 70 1963 0 R] endobj 265 0 obj[/Indexed 573 0 R 216 1967 0 R] endobj 266 0 obj[/Indexed 573 0 R 50 1969 0 R] endobj 267 0 obj[/Indexed 573 0 R 73 1972 0 R] endobj 268 0 obj[/Indexed 573 0 R 24 2008 0 R] endobj 269 0 obj[/Indexed 573 0 R 92 1337 0 R] endobj 270 0 obj[/Indexed 573 0 R 147 1343 0 R] endobj 271 0 obj[/Indexed 573 0 R 2 1349 0 R] endobj 272 0 obj[/Indexed 573 0 R 14 1359 0 R] endobj 273 0 obj[/Indexed 573 0 R 76 1355 0 R] endobj 274 0 obj[/Indexed 573 0 R 69 1365 0 R] endobj 275 0 obj[/Indexed 573 0 R 83 1361 0 R] endobj 276 0 obj[/Indexed 573 0 R 45 1415 0 R] endobj 277 0 obj[/Indexed 573 0 R 45 1421 0 R] endobj 278 0 obj[/Indexed 573 0 R 61 1427 0 R] endobj 279 0 obj[/Indexed 573 0 R 63 1212 0 R] endobj 280 0 obj[/Indexed 573 0 R 25 1214 0 R] endobj 281 0 obj[/Indexed 573 0 R 36 1216 0 R] endobj 282 0 obj[/Indexed 573 0 R 57 1280 0 R] endobj 283 0 obj[/Indexed 573 0 R 32 1284 0 R] endobj 284 0 obj[/Indexed 573 0 R 69 1288 0 R] endobj 285 0 obj[/Indexed 573 0 R 61 1292 0 R] endobj 286 0 obj[/Indexed 573 0 R 53 1299 0 R] endobj 287 0 obj[/Indexed 573 0 R 12 1297 0 R] endobj 288 0 obj[/Indexed 573 0 R 36 1301 0 R] endobj 289 0 obj[/Indexed 573 0 R 133 1929 0 R] endobj 290 0 obj[/Indexed 573 0 R 68 1933 0 R] endobj 291 0 obj[/Indexed 573 0 R 79 1940 0 R] endobj 292 0 obj[/Indexed 573 0 R 153 1944 0 R] endobj 293 0 obj[/Indexed 573 0 R 70 1439 0 R] endobj 294 0 obj[/Indexed 573 0 R 61 1948 0 R] endobj 295 0 obj[/Indexed 573 0 R 7 1433 0 R] endobj 296 0 obj[/Indexed 573 0 R 68 1974 0 R] endobj 297 0 obj[/Indexed 573 0 R 57 1445 0 R] endobj 298 0 obj[/Indexed 573 0 R 74 1976 0 R] endobj 299 0 obj[/Indexed 573 0 R 84 1451 0 R] endobj 300 0 obj[/Indexed 573 0 R 1 1103 0 R] endobj 301 0 obj[/Indexed 573 0 R 82 1455 0 R] endobj 302 0 obj[/Indexed 573 0 R 2 1044 0 R] endobj 303 0 obj[/Indexed 573 0 R 89 1457 0 R] endobj 304 0 obj[/Indexed 573 0 R 41 1990 0 R] endobj 305 0 obj[/Indexed 573 0 R 36 1461 0 R] endobj 306 0 obj[/Indexed 573 0 R 9 1513 0 R] endobj 307 0 obj[/Indexed 573 0 R 59 1519 0 R] endobj 308 0 obj[/Indexed 573 0 R 53 1525 0 R] endobj 309 0 obj[/Indexed 573 0 R 80 1305 0 R] endobj 310 0 obj[/Indexed 573 0 R 65 1303 0 R] endobj 311 0 obj[/Indexed 573 0 R 72 1376 0 R] endobj 312 0 obj[/Indexed 573 0 R 63 1379 0 R] endobj 313 0 obj[/Indexed 573 0 R 32 1383 0 R] endobj 314 0 obj[/Indexed 573 0 R 1 1391 0 R] endobj 315 0 obj[/Indexed 573 0 R 73 1387 0 R] endobj 316 0 obj[/Indexed 573 0 R 81 1393 0 R] endobj 317 0 obj[/Indexed 573 0 R 2 1395 0 R] endobj 318 0 obj[/Indexed 573 0 R 17 1397 0 R] endobj 319 0 obj[/Indexed 573 0 R 34 1994 0 R] endobj 320 0 obj[/Indexed 573 0 R 73 1998 0 R] endobj 321 0 obj[/Indexed 573 0 R 2 1961 0 R] endobj 322 0 obj[/Indexed 573 0 R 87 2125 0 R] endobj 323 0 obj[/Indexed 573 0 R 4 1531 0 R] endobj 324 0 obj[/Indexed 573 0 R 70 2131 0 R] endobj 325 0 obj[/Indexed 573 0 R 44 1537 0 R] endobj 326 0 obj[/Indexed 573 0 R 116 2180 0 R] endobj 327 0 obj[/Indexed 573 0 R 71 1543 0 R] endobj 328 0 obj[/Indexed 573 0 R 116 2184 0 R] endobj 329 0 obj[/Indexed 573 0 R 45 1549 0 R] endobj 330 0 obj[/Indexed 573 0 R 208 2188 0 R] endobj 331 0 obj[/Indexed 573 0 R 9 1553 0 R] endobj 332 0 obj[/Indexed 573 0 R 117 2198 0 R] endobj 333 0 obj[/Indexed 573 0 R 66 1559 0 R] endobj 334 0 obj[/Indexed 573 0 R 99 2228 0 R] endobj 335 0 obj[/Indexed 573 0 R 91 1555 0 R] endobj 336 0 obj[/Indexed 573 0 R 42 1597 0 R] endobj 337 0 obj[/Indexed 573 0 R 39 1603 0 R] endobj 338 0 obj[/Indexed 573 0 R 67 1607 0 R] endobj 339 0 obj[/Indexed 573 0 R 33 1399 0 R] endobj 340 0 obj[/Indexed 573 0 R 82 1401 0 R] endobj 341 0 obj[/Indexed 573 0 R 86 1472 0 R] endobj 342 0 obj[/Indexed 573 0 R 83 1476 0 R] endobj 343 0 obj[/Indexed 573 0 R 55 1480 0 R] endobj 344 0 obj[/Indexed 573 0 R 15 1484 0 R] endobj 345 0 obj[/Indexed 573 0 R 39 1488 0 R] endobj 346 0 obj[/Indexed 573 0 R 6 1490 0 R] endobj 347 0 obj[/Indexed 573 0 R 70 1492 0 R] endobj 348 0 obj[/Indexed 573 0 R 82 1494 0 R] endobj 349 0 obj[/Indexed 573 0 R 33 2238 0 R] endobj 350 0 obj[/Indexed 573 0 R 84 2242 0 R] endobj 351 0 obj[/Indexed 573 0 R 100 2249 0 R] endobj 352 0 obj[/Indexed 573 0 R 79 2302 0 R] endobj 353 0 obj[/Indexed 573 0 R 58 1619 0 R] endobj 354 0 obj[/Indexed 573 0 R 147 2311 0 R] endobj 355 0 obj[/Indexed 573 0 R 18 1613 0 R] endobj 356 0 obj[/Indexed 573 0 R 56 2315 0 R] endobj 357 0 obj[/Indexed 573 0 R 82 1625 0 R] endobj 358 0 obj[/Indexed 573 0 R 93 2322 0 R] endobj 359 0 obj[/Indexed 573 0 R 71 1631 0 R] endobj 360 0 obj[/Indexed 573 0 R 139 2360 0 R] endobj 361 0 obj[/Indexed 573 0 R 22 1635 0 R] endobj 362 0 obj[/Indexed 573 0 R 50 2362 0 R] endobj 363 0 obj[/Indexed 573 0 R 5 1639 0 R] endobj 364 0 obj[/Indexed 573 0 R 100 1003 0 R] endobj 365 0 obj[/Indexed 573 0 R 58 1643 0 R] endobj 366 0 obj[/Indexed 573 0 R 85 1689 0 R] endobj 367 0 obj[/Indexed 573 0 R 77 1693 0 R] endobj 368 0 obj[/Indexed 573 0 R 82 1697 0 R] endobj 369 0 obj[/Indexed 573 0 R 8 1496 0 R] endobj 370 0 obj[/Indexed 573 0 R 9 1498 0 R] endobj 371 0 obj[/Indexed 573 0 R 6 1573 0 R] endobj 372 0 obj[/Indexed 573 0 R 107 1567 0 R] endobj 373 0 obj[/Indexed 573 0 R 69 1575 0 R] endobj 374 0 obj[/Indexed 573 0 R 166 1577 0 R] endobj 375 0 obj[/Indexed 573 0 R 64 1579 0 R] endobj 376 0 obj[/Indexed 573 0 R 167 1581 0 R] endobj 377 0 obj[/Indexed 573 0 R 62 1583 0 R] endobj 378 0 obj[/Indexed 573 0 R 141 1655 0 R] endobj 379 0 obj[/Indexed 573 0 R 154 1015 0 R] endobj 380 0 obj[/Indexed 573 0 R 37 1021 0 R] endobj 381 0 obj[/Indexed 573 0 R 107 1032 0 R] endobj 382 0 obj[/Indexed 573 0 R 3 1038 0 R] endobj 383 0 obj[/Indexed 573 0 R 31 1701 0 R] endobj 384 0 obj[/Indexed 573 0 R 2 1097 0 R] endobj 385 0 obj[/Indexed 573 0 R 4 1705 0 R] endobj 386 0 obj[/Indexed 573 0 R 3 1109 0 R] endobj 387 0 obj[/Indexed 573 0 R 62 1709 0 R] endobj 388 0 obj[/Indexed 573 0 R 87 1184 0 R] endobj 389 0 obj[/Indexed 573 0 R 24 1713 0 R] endobj 390 0 obj[/Indexed 573 0 R 6 1255 0 R] endobj 391 0 obj[/Indexed 573 0 R 84 1715 0 R] endobj 392 0 obj[/Indexed 573 0 R 149 1307 0 R] endobj 393 0 obj[/Indexed 573 0 R 69 1717 0 R] endobj 394 0 obj[/Indexed 573 0 R 35 1309 0 R] endobj 395 0 obj[/Indexed 573 0 R 29 1721 0 R] endobj 396 0 obj[/Indexed 573 0 R 225 2979 0 R] endobj 397 0 obj[/Indexed 573 0 R 253 2981 0 R] endobj 398 0 obj[/Indexed 573 0 R 232 2983 0 R] endobj 399 0 obj[/Indexed 573 0 R 164 1659 0 R] endobj 400 0 obj[/Indexed 573 0 R 16 1663 0 R] endobj 401 0 obj[/Indexed 573 0 R 231 1666 0 R] endobj 402 0 obj[/Indexed 573 0 R 249 1670 0 R] endobj 403 0 obj[/Indexed 573 0 R 233 1672 0 R] endobj 404 0 obj[/Indexed 573 0 R 227 1674 0 R] endobj 405 0 obj[/Indexed 573 0 R 177 1676 0 R] endobj 406 0 obj[/Indexed 573 0 R 17 1678 0 R] endobj 407 0 obj[/Indexed 573 0 R 218 1680 0 R] endobj 408 0 obj[/Indexed 573 0 R 14 1736 0 R] endobj 409 0 obj[/Indexed 573 0 R 147 1313 0 R] endobj 410 0 obj[/Indexed 573 0 R 97 1316 0 R] endobj 411 0 obj[/Indexed 573 0 R 68 1322 0 R] endobj 412 0 obj[/Indexed 573 0 R 85 1333 0 R] endobj 413 0 obj[/Indexed 573 0 R 193 2985 0 R] endobj 414 0 obj[/Indexed 573 0 R 29 1327 0 R] endobj 415 0 obj[/Indexed 573 0 R 130 2987 0 R] endobj 416 0 obj[/Indexed 573 0 R 72 1345 0 R] endobj 417 0 obj[/Indexed 573 0 R 99 2989 0 R] endobj 418 0 obj[/Indexed 573 0 R 73 1339 0 R] endobj 419 0 obj[/Indexed 573 0 R 164 2991 0 R] endobj 420 0 obj[/Indexed 573 0 R 69 1403 0 R] endobj 421 0 obj[/Indexed 573 0 R 171 2993 0 R] endobj 422 0 obj[/Indexed 573 0 R 89 1407 0 R] endobj 423 0 obj[/Indexed 573 0 R 97 2995 0 R] endobj 424 0 obj[/Indexed 573 0 R 24 1351 0 R] endobj 425 0 obj[/Indexed 573 0 R 84 2997 0 R] endobj 426 0 obj[/Indexed 573 0 R 16 2999 0 R] endobj 427 0 obj[/Indexed 573 0 R 78 3001 0 R] endobj 428 0 obj[/Indexed 573 0 R 122 3005 0 R] endobj 429 0 obj[/Indexed 573 0 R 111 1740 0 R] endobj 430 0 obj[/Indexed 573 0 R 93 1744 0 R] endobj 431 0 obj[/Indexed 573 0 R 83 1748 0 R] endobj 432 0 obj[/Indexed 573 0 R 50 1750 0 R] endobj 433 0 obj[/Indexed 573 0 R 61 1752 0 R] endobj 434 0 obj[/Indexed 573 0 R 17 1754 0 R] endobj 435 0 obj[/Indexed 573 0 R 81 1756 0 R] endobj 436 0 obj[/Indexed 573 0 R 170 1758 0 R] endobj 437 0 obj[/Indexed 573 0 R 182 2404 0 R] endobj 438 0 obj[/Indexed 573 0 R 76 2406 0 R] endobj 439 0 obj[/Indexed 573 0 R 75 1417 0 R] endobj 440 0 obj[/Indexed 573 0 R 89 1411 0 R] endobj 441 0 obj[/Indexed 573 0 R 25 1423 0 R] endobj 442 0 obj[/Indexed 573 0 R 87 1435 0 R] endobj 443 0 obj[/Indexed 573 0 R 172 3009 0 R] endobj 444 0 obj[/Indexed 573 0 R 95 1429 0 R] endobj 445 0 obj[/Indexed 573 0 R 85 3013 0 R] endobj 446 0 obj[/Indexed 573 0 R 23 1441 0 R] endobj 447 0 obj[/Indexed 573 0 R 119 3017 0 R] endobj 448 0 obj[/Indexed 573 0 R 62 1447 0 R] endobj 449 0 obj[/Indexed 573 0 R 154 3021 0 R] endobj 450 0 obj[/Indexed 573 0 R 125 1502 0 R] endobj 451 0 obj[/Indexed 573 0 R 88 3025 0 R] endobj 452 0 obj[/Indexed 573 0 R 1 991 0 R] endobj 453 0 obj[/Indexed 573 0 R 130 3029 0 R] endobj 454 0 obj[/Indexed 573 0 R 226 1509 0 R] endobj 455 0 obj[/Indexed 573 0 R 108 3033 0 R] endobj 456 0 obj[/Indexed 573 0 R 165 1005 0 R] endobj 457 0 obj[/Indexed 573 0 R 81 1008 0 R] endobj 458 0 obj[/Indexed 573 0 R 117 1017 0 R] endobj 459 0 obj[/Indexed 573 0 R 128 2408 0 R] endobj 460 0 obj[/Indexed 573 0 R 46 2410 0 R] endobj 461 0 obj[/Indexed 573 0 R 19 2412 0 R] endobj 462 0 obj[/Indexed 573 0 R 1 2414 0 R] endobj 463 0 obj[/Indexed 573 0 R 81 2416 0 R] endobj 464 0 obj[/Indexed 573 0 R 43 2418 0 R] endobj 465 0 obj[/Indexed 573 0 R 97 2422 0 R] endobj 466 0 obj[/Indexed 573 0 R 16 2420 0 R] endobj 467 0 obj[/Indexed 573 0 R 4 2458 0 R] endobj 468 0 obj[/Indexed 573 0 R 93 2462 0 R] endobj 469 0 obj[/Indexed 573 0 R 94 1515 0 R] endobj 470 0 obj[/Indexed 573 0 R 165 1521 0 R] endobj 471 0 obj[/Indexed 573 0 R 37 1527 0 R] endobj 472 0 obj[/Indexed 573 0 R 10 1533 0 R] endobj 473 0 obj[/Indexed 573 0 R 156 1023 0 R] endobj 474 0 obj[/Indexed 573 0 R 21 1539 0 R] endobj 475 0 obj[/Indexed 573 0 R 228 1028 0 R] endobj 476 0 obj[/Indexed 573 0 R 80 1545 0 R] endobj 477 0 obj[/Indexed 573 0 R 204 1034 0 R] endobj 478 0 obj[/Indexed 573 0 R 14 1585 0 R] endobj 479 0 obj[/Indexed 573 0 R 225 1040 0 R] endobj 480 0 obj[/Indexed 573 0 R 16 1587 0 R] endobj 481 0 obj[/Indexed 573 0 R 205 1078 0 R] endobj 482 0 obj[/Indexed 573 0 R 56 1589 0 R] endobj 483 0 obj[/Indexed 573 0 R 19 1083 0 R] endobj 484 0 obj[/Indexed 573 0 R 6 1593 0 R] endobj 485 0 obj[/Indexed 573 0 R 81 1088 0 R] endobj 486 0 obj[/Indexed 573 0 R 46 1093 0 R] endobj 487 0 obj[/Indexed 573 0 R 14 1099 0 R] endobj 488 0 obj[/Indexed 573 0 R 37 1105 0 R] endobj 489 0 obj[/Indexed 573 0 R 2 2466 0 R] endobj 490 0 obj[/Indexed 573 0 R 51 2474 0 R] endobj 491 0 obj[/Indexed 573 0 R 27 2470 0 R] endobj 492 0 obj[/Indexed 573 0 R 96 2478 0 R] endobj 493 0 obj[/Indexed 573 0 R 15 2480 0 R] endobj 494 0 obj[/Indexed 573 0 R 5 2482 0 R] endobj 495 0 obj[/Indexed 573 0 R 86 2484 0 R] endobj 496 0 obj[/Indexed 573 0 R 91 2517 0 R] endobj 497 0 obj[/Indexed 573 0 R 11 2513 0 R] endobj 498 0 obj[/Indexed 573 0 R 7 2521 0 R] endobj 499 0 obj[/Indexed 573 0 R 68 1599 0 R] endobj 500 0 obj[/Indexed 573 0 R 14 1609 0 R] endobj 501 0 obj[/Indexed 573 0 R 73 1621 0 R] endobj 502 0 obj[/Indexed 573 0 R 68 1615 0 R] endobj 503 0 obj[/Indexed 573 0 R 75 1111 0 R] endobj 504 0 obj[/Indexed 573 0 R 4 1627 0 R] endobj 505 0 obj[/Indexed 573 0 R 48 1116 0 R] endobj 506 0 obj[/Indexed 573 0 R 22 1682 0 R] endobj 507 0 obj[/Indexed 573 0 R 18 1120 0 R] endobj 508 0 obj[/Indexed 573 0 R 81 1687 0 R] endobj 509 0 obj[/Indexed 573 0 R 91 1150 0 R] endobj 510 0 obj[/Indexed 573 0 R 71 1685 0 R] endobj 511 0 obj[/Indexed 573 0 R 180 1153 0 R] endobj 512 0 obj[/Indexed 573 0 R 35 1691 0 R] endobj 513 0 obj[/Indexed 573 0 R 22 1156 0 R] endobj 514 0 obj[/Indexed 573 0 R 83 1695 0 R] endobj 515 0 obj[/Indexed 573 0 R 127 1161 0 R] endobj 516 0 obj[/Indexed 573 0 R 104 1170 0 R] endobj 517 0 obj[/Indexed 573 0 R 159 1175 0 R] endobj 518 0 obj[/Indexed 573 0 R 42 1180 0 R] endobj 519 0 obj[/Indexed 573 0 R 88 2525 0 R] endobj 520 0 obj[/Indexed 573 0 R 206 2529 0 R] endobj 521 0 obj[/Indexed 573 0 R 190 2533 0 R] endobj 522 0 obj[/Indexed 573 0 R 130 2535 0 R] endobj 523 0 obj[/Indexed 573 0 R 100 2537 0 R] endobj 524 0 obj[/Indexed 573 0 R 110 2540 0 R] endobj 525 0 obj[/Indexed 573 0 R 142 2570 0 R] endobj 526 0 obj[/Indexed 573 0 R 83 2574 0 R] endobj 527 0 obj[/Indexed 573 0 R 213 2584 0 R] endobj 528 0 obj[/Indexed 573 0 R 240 2580 0 R] endobj 529 0 obj[/Indexed 573 0 R 45 1699 0 R] endobj 530 0 obj[/Indexed 573 0 R 6 1703 0 R] endobj 531 0 obj[/Indexed 573 0 R 46 1707 0 R] endobj 532 0 obj[/Indexed 573 0 R 76 1711 0 R] endobj 533 0 obj[/Indexed 573 0 R 46 1190 0 R] endobj 534 0 obj[/Indexed 573 0 R 4 1760 0 R] endobj 535 0 obj[/Indexed 573 0 R 94 1220 0 R] endobj 536 0 obj[/Indexed 573 0 R 33 1762 0 R] endobj 537 0 obj[/Indexed 573 0 R 101 1186 0 R] endobj 538 0 obj[/Indexed 573 0 R 48 1764 0 R] endobj 539 0 obj[/Indexed 573 0 R 5 1223 0 R] endobj 540 0 obj[/Indexed 573 0 R 4 1766 0 R] endobj 541 0 obj[/Indexed 573 0 R 42 1226 0 R] endobj 542 0 obj[/Indexed 573 0 R 44 1768 0 R] endobj 543 0 obj[/Indexed 573 0 R 5 1231 0 R] endobj 544 0 obj[/Indexed 573 0 R 76 1770 0 R] endobj 545 0 obj[/Indexed 573 0 R 77 1236 0 R] endobj 546 0 obj[/Indexed 573 0 R 89 1241 0 R] endobj 547 0 obj[/Indexed 573 0 R 78 1246 0 R] endobj 548 0 obj[/Indexed 573 0 R 170 1251 0 R] endobj 549 0 obj[/Indexed 573 0 R 241 2588 0 R] endobj 550 0 obj[/Indexed 573 0 R 209 2590 0 R] endobj 551 0 obj[/Indexed 573 0 R 219 2592 0 R] endobj 552 0 obj[/Indexed 573 0 R 239 2594 0 R] endobj 553 0 obj[/Indexed 573 0 R 222 2596 0 R] endobj 554 0 obj[/Indexed 573 0 R 243 2628 0 R] endobj 555 0 obj[/Indexed 573 0 R 91 2632 0 R] endobj 556 0 obj[/Indexed 573 0 R 118 2635 0 R] endobj 557 0 obj[/Indexed 573 0 R 65 2643 0 R] endobj 558 0 obj[/Indexed 573 0 R 242 2639 0 R] endobj 559 0 obj[/Indexed 573 0 R 59 1772 0 R] endobj 560 0 obj[/Indexed 573 0 R 103 1774 0 R] endobj 561 0 obj[/Indexed 573 0 R 72 1776 0 R] endobj 562 0 obj[/Indexed 573 0 R 74 2426 0 R] endobj 563 0 obj[/Indexed 573 0 R 42 2424 0 R] endobj 564 0 obj[/Indexed 573 0 R 130 2428 0 R] endobj 565 0 obj[/Indexed 573 0 R 96 2432 0 R] endobj 566 0 obj[/Indexed 573 0 R 224 2436 0 R] endobj 567 0 obj[/Indexed 573 0 R 55 2440 0 R] endobj 568 0 obj[/Indexed 573 0 R 77 2442 0 R] endobj 569 0 obj[/Indexed 573 0 R 78 2266 0 R] endobj 570 0 obj[/Indexed 573 0 R 28 2268 0 R] endobj 571 0 obj[/Indexed 573 0 R 23 2270 0 R] endobj 572 0 obj[/Indexed 573 0 R 3 2272 0 R] endobj 573 0 obj[/ICCBased 976 0 R] endobj 574 0 obj[/Indexed 573 0 R 90 2274 0 R] endobj 575 0 obj[/Indexed 573 0 R 73 2278 0 R] endobj 576 0 obj[/Indexed 573 0 R 38 2258 0 R] endobj 577 0 obj[/Indexed 573 0 R 3 2282 0 R] endobj 578 0 obj[/Indexed 573 0 R 99 2262 0 R] endobj 579 0 obj[/Indexed 573 0 R 2 1267 0 R] endobj 580 0 obj[/Indexed 573 0 R 58 1271 0 R] endobj 581 0 obj[/Indexed 573 0 R 63 1273 0 R] endobj 582 0 obj[/Indexed 573 0 R 0 1275 0 R] endobj 583 0 obj[/Indexed 573 0 R 130 1282 0 R] endobj 584 0 obj[/Indexed 573 0 R 112 1277 0 R] endobj 585 0 obj[/Indexed 573 0 R 242 1286 0 R] endobj 586 0 obj[/Indexed 573 0 R 187 1290 0 R] endobj 587 0 obj[/Indexed 573 0 R 86 2084 0 R] endobj 588 0 obj[/Indexed 573 0 R 168 1294 0 R] endobj 589 0 obj[/Indexed 573 0 R 2 2087 0 R] endobj 590 0 obj[/Indexed 573 0 R 78 1363 0 R] endobj 591 0 obj[/Indexed 573 0 R 121 2090 0 R] endobj 592 0 obj[/Indexed 573 0 R 67 1367 0 R] endobj 593 0 obj[/Indexed 573 0 R 5 2093 0 R] endobj 594 0 obj[/Indexed 573 0 R 102 1369 0 R] endobj 595 0 obj[/Indexed 573 0 R 208 2096 0 R] endobj 596 0 obj[/Indexed 573 0 R 131 1371 0 R] endobj 597 0 obj[/Indexed 573 0 R 232 2100 0 R] endobj 598 0 obj[/Indexed 573 0 R 252 2102 0 R] endobj 599 0 obj[/Indexed 573 0 R 2 2104 0 R] endobj 600 0 obj[/Indexed 573 0 R 145 2133 0 R] endobj 601 0 obj[/Indexed 573 0 R 96 2129 0 R] endobj 602 0 obj[/Indexed 573 0 R 59 2010 0 R] endobj 603 0 obj[/Indexed 573 0 R 218 2012 0 R] endobj 604 0 obj[/Indexed 573 0 R 254 2014 0 R] endobj 605 0 obj[/Indexed 573 0 R 217 2016 0 R] endobj 606 0 obj[/Indexed 573 0 R 228 2018 0 R] endobj 607 0 obj[/Indexed 573 0 R 234 2020 0 R] endobj 608 0 obj[/Indexed 573 0 R 229 2022 0 R] endobj 609 0 obj[/Indexed 573 0 R 213 2024 0 R] endobj 610 0 obj[/Indexed 573 0 R 236 2026 0 R] endobj 611 0 obj[/Indexed 573 0 R 89 2058 0 R] endobj 612 0 obj[/Indexed 573 0 R 171 1374 0 R] endobj 613 0 obj[/Indexed 573 0 R 113 2464 0 R] endobj 614 0 obj[/Indexed 573 0 R 61 1381 0 R] endobj 615 0 obj[/Indexed 573 0 R 105 2468 0 R] endobj 616 0 obj[/Indexed 573 0 R 78 1385 0 R] endobj 617 0 obj[/Indexed 573 0 R 181 2472 0 R] endobj 618 0 obj[/Indexed 573 0 R 245 1389 0 R] endobj 619 0 obj[/Indexed 573 0 R 64 2476 0 R] endobj 620 0 obj[/Indexed 573 0 R 185 1459 0 R] endobj 621 0 obj[/Indexed 573 0 R 98 2139 0 R] endobj 622 0 obj[/Indexed 573 0 R 43 2507 0 R] endobj 623 0 obj[/Indexed 573 0 R 174 1463 0 R] endobj 624 0 obj[/Indexed 573 0 R 109 2142 0 R] endobj 625 0 obj[/Indexed 573 0 R 59 2505 0 R] endobj 626 0 obj[/Indexed 573 0 R 65 1466 0 R] endobj 627 0 obj[/Indexed 573 0 R 4 2136 0 R] endobj 628 0 obj[/Indexed 573 0 R 19 2509 0 R] endobj 629 0 obj[/Indexed 573 0 R 60 1468 0 R] endobj 630 0 obj[/Indexed 573 0 R 39 2148 0 R] endobj 631 0 obj[/Indexed 573 0 R 108 2515 0 R] endobj 632 0 obj[/Indexed 573 0 R 241 1470 0 R] endobj 633 0 obj[/Indexed 573 0 R 140 2145 0 R] endobj 634 0 obj[/Indexed 573 0 R 242 2511 0 R] endobj 635 0 obj[/Indexed 573 0 R 109 1474 0 R] endobj 636 0 obj[/Indexed 573 0 R 113 2150 0 R] endobj 637 0 obj[/Indexed 573 0 R 201 2519 0 R] endobj 638 0 obj[/Indexed 573 0 R 21 2152 0 R] endobj 639 0 obj[/Indexed 573 0 R 17 2154 0 R] endobj 640 0 obj[/Indexed 573 0 R 81 2178 0 R] endobj 641 0 obj[/Indexed 573 0 R 77 2182 0 R] endobj 642 0 obj[/Indexed 573 0 R 113 2060 0 R] endobj 643 0 obj[/Indexed 573 0 R 61 2064 0 R] endobj 644 0 obj[/Indexed 573 0 R 236 2062 0 R] endobj 645 0 obj[/Indexed 573 0 R 225 2066 0 R] endobj 646 0 obj[/Indexed 573 0 R 92 2068 0 R] endobj 647 0 obj[/Indexed 573 0 R 120 2070 0 R] endobj 648 0 obj[/Indexed 573 0 R 211 2072 0 R] endobj 649 0 obj[/Indexed 573 0 R 232 2075 0 R] endobj 650 0 obj[/Indexed 573 0 R 211 2106 0 R] endobj 651 0 obj[/Indexed 573 0 R 21 2109 0 R] endobj 652 0 obj[/Indexed 573 0 R 64 1478 0 R] endobj 653 0 obj[/Indexed 573 0 R 65 2523 0 R] endobj 654 0 obj[/Indexed 573 0 R 75 1482 0 R] endobj 655 0 obj[/Indexed 573 0 R 181 2527 0 R] endobj 656 0 obj[/Indexed 573 0 R 67 1486 0 R] endobj 657 0 obj[/Indexed 573 0 R 116 2531 0 R] endobj 658 0 obj[/Indexed 573 0 R 55 1557 0 R] endobj 659 0 obj[/Indexed 573 0 R 146 2562 0 R] endobj 660 0 obj[/Indexed 573 0 R 25 1561 0 R] endobj 661 0 obj[/Indexed 573 0 R 2 2186 0 R] endobj 662 0 obj[/Indexed 573 0 R 253 2564 0 R] endobj 663 0 obj[/Indexed 573 0 R 85 1565 0 R] endobj 664 0 obj[/Indexed 573 0 R 25 2190 0 R] endobj 665 0 obj[/Indexed 573 0 R 185 2566 0 R] endobj 666 0 obj[/Indexed 573 0 R 71 1563 0 R] endobj 667 0 obj[/Indexed 573 0 R 102 2193 0 R] endobj 668 0 obj[/Indexed 573 0 R 18 2572 0 R] endobj 669 0 obj[/Indexed 573 0 R 31 1569 0 R] endobj 670 0 obj[/Indexed 573 0 R 51 2200 0 R] endobj 671 0 obj[/Indexed 573 0 R 62 2576 0 R] endobj 672 0 obj[/Indexed 573 0 R 1 1500 0 R] endobj 673 0 obj[/Indexed 573 0 R 50 2196 0 R] endobj 674 0 obj[/Indexed 573 0 R 209 2568 0 R] endobj 675 0 obj[/Indexed 573 0 R 99 1641 0 R] endobj 676 0 obj[/Indexed 573 0 R 71 2202 0 R] endobj 677 0 obj[/Indexed 573 0 R 31 2582 0 R] endobj 678 0 obj[/Indexed 573 0 R 50 2204 0 R] endobj 679 0 obj[/Indexed 573 0 R 44 2206 0 R] endobj 680 0 obj[/Indexed 573 0 R 164 2236 0 R] endobj 681 0 obj[/Indexed 573 0 R 179 2233 0 R] endobj 682 0 obj[/Indexed 573 0 R 227 2111 0 R] endobj 683 0 obj[/Indexed 573 0 R 227 2114 0 R] endobj 684 0 obj[/Indexed 573 0 R 247 2116 0 R] endobj 685 0 obj[/Indexed 573 0 R 224 2118 0 R] endobj 686 0 obj[/Indexed 573 0 R 233 2120 0 R] endobj 687 0 obj[/Indexed 573 0 R 234 2122 0 R] endobj 688 0 obj[/Indexed 573 0 R 209 2156 0 R] endobj 689 0 obj[/Indexed 573 0 R 224 2158 0 R] endobj 690 0 obj[/Indexed 573 0 R 250 2160 0 R] endobj 691 0 obj[/Indexed 573 0 R 4 2162 0 R] endobj 692 0 obj[/Indexed 573 0 R 73 1645 0 R] endobj 693 0 obj[/Indexed 573 0 R 72 2578 0 R] endobj 694 0 obj[/Indexed 573 0 R 38 1649 0 R] endobj 695 0 obj[/Indexed 573 0 R 98 2586 0 R] endobj 696 0 obj[/Indexed 573 0 R 91 1647 0 R] endobj 697 0 obj[/Indexed 573 0 R 251 2618 0 R] endobj 698 0 obj[/Indexed 573 0 R 66 1651 0 R] endobj 699 0 obj[/Indexed 573 0 R 95 2620 0 R] endobj 700 0 obj[/Indexed 573 0 R 36 1657 0 R] endobj 701 0 obj[/Indexed 573 0 R 93 2244 0 R] endobj 702 0 obj[/Indexed 573 0 R 30 2622 0 R] endobj 703 0 obj[/Indexed 573 0 R 170 1653 0 R] endobj 704 0 obj[/Indexed 573 0 R 36 2240 0 R] endobj 705 0 obj[/Indexed 573 0 R 50 2624 0 R] endobj 706 0 obj[/Indexed 573 0 R 57 1661 0 R] endobj 707 0 obj[/Indexed 573 0 R 97 2247 0 R] endobj 708 0 obj[/Indexed 573 0 R 69 2626 0 R] endobj 709 0 obj[/Indexed 573 0 R 216 1668 0 R] endobj 710 0 obj[/Indexed 573 0 R 41 2251 0 R] endobj 711 0 obj[/Indexed 573 0 R 35 2630 0 R] endobj 712 0 obj[/Indexed 573 0 R 29 1719 0 R] endobj 713 0 obj[/Indexed 573 0 R 84 2254 0 R] endobj 714 0 obj[/Indexed 573 0 R 77 2637 0 R] endobj 715 0 obj[/Indexed 573 0 R 103 1725 0 R] endobj 716 0 obj[/Indexed 573 0 R 126 2256 0 R] endobj 717 0 obj[/Indexed 573 0 R 106 2641 0 R] endobj 718 0 obj[/Indexed 573 0 R 47 2260 0 R] endobj 719 0 obj[/Indexed 573 0 R 195 2264 0 R] endobj 720 0 obj[/Indexed 573 0 R 98 2304 0 R] endobj 721 0 obj[/Indexed 573 0 R 25 2309 0 R] endobj 722 0 obj[/Indexed 573 0 R 77 2166 0 R] endobj 723 0 obj[/Indexed 573 0 R 63 2164 0 R] endobj 724 0 obj[/Indexed 573 0 R 71 2168 0 R] endobj 725 0 obj[/Indexed 573 0 R 6 2170 0 R] endobj 726 0 obj[/Indexed 573 0 R 55 2172 0 R] endobj 727 0 obj[/Indexed 573 0 R 31 2208 0 R] endobj 728 0 obj[/Indexed 573 0 R 18 2210 0 R] endobj 729 0 obj[/Indexed 573 0 R 70 2212 0 R] endobj 730 0 obj[/Indexed 573 0 R 78 2214 0 R] endobj 731 0 obj[/Indexed 573 0 R 83 2216 0 R] endobj 732 0 obj[/Indexed 573 0 R 106 1723 0 R] endobj 733 0 obj[/Indexed 573 0 R 40 2645 0 R] endobj 734 0 obj[/Indexed 573 0 R 164 1727 0 R] endobj 735 0 obj[/Indexed 573 0 R 76 2675 0 R] endobj 736 0 obj[/Indexed 573 0 R 252 1729 0 R] endobj 737 0 obj[/Indexed 573 0 R 82 2677 0 R] endobj 738 0 obj[/Indexed 573 0 R 66 1731 0 R] endobj 739 0 obj[/Indexed 573 0 R 55 2679 0 R] endobj 740 0 obj[/Indexed 573 0 R 142 1734 0 R] endobj 741 0 obj[/Indexed 573 0 R 27 2313 0 R] endobj 742 0 obj[/Indexed 573 0 R 66 2681 0 R] endobj 743 0 obj[/Indexed 573 0 R 39 1738 0 R] endobj 744 0 obj[/Indexed 573 0 R 76 2320 0 R] endobj 745 0 obj[/Indexed 573 0 R 49 2683 0 R] endobj 746 0 obj[/Indexed 573 0 R 38 1742 0 R] endobj 747 0 obj[/Indexed 573 0 R 52 2317 0 R] endobj 748 0 obj[/Indexed 573 0 R 75 2686 0 R] endobj 749 0 obj[/Indexed 573 0 R 67 1746 0 R] endobj 750 0 obj[/Indexed 573 0 R 67 2324 0 R] endobj 751 0 obj[/Indexed 573 0 R 30 2691 0 R] endobj 752 0 obj[/Indexed 573 0 R 51 1778 0 R] endobj 753 0 obj[/Indexed 573 0 R 56 2326 0 R] endobj 754 0 obj[/Indexed 573 0 R 63 2695 0 R] endobj 755 0 obj[/Indexed 573 0 R 44 1780 0 R] endobj 756 0 obj[/Indexed 573 0 R 22 2328 0 R] endobj 757 0 obj[/Indexed 573 0 R 107 2698 0 R] endobj 758 0 obj[/Indexed 573 0 R 9 3003 0 R] endobj 759 0 obj[/Indexed 573 0 R 37 2330 0 R] endobj 760 0 obj[/Indexed 573 0 R 78 3007 0 R] endobj 761 0 obj[/Indexed 573 0 R 77 3011 0 R] endobj 762 0 obj[/Indexed 573 0 R 66 2218 0 R] endobj 763 0 obj[/Indexed 573 0 R 32 2220 0 R] endobj 764 0 obj[/Indexed 573 0 R 26 2222 0 R] endobj 765 0 obj[/Indexed 573 0 R 56 2224 0 R] endobj 766 0 obj[/Indexed 573 0 R 30 2226 0 R] endobj 767 0 obj[/Indexed 573 0 R 18 2276 0 R] endobj 768 0 obj[/Indexed 573 0 R 74 2280 0 R] endobj 769 0 obj[/Indexed 573 0 R 62 2284 0 R] endobj 770 0 obj[/Indexed 573 0 R 41 2286 0 R] endobj 771 0 obj[/Indexed 573 0 R 49 2289 0 R] endobj 772 0 obj[/Indexed 573 0 R 22 1782 0 R] endobj 773 0 obj[/Indexed 573 0 R 24 2702 0 R] endobj 774 0 obj[/Indexed 573 0 R 3 1784 0 R] endobj 775 0 obj[/Indexed 573 0 R 225 2729 0 R] endobj 776 0 obj[/Indexed 573 0 R 70 1786 0 R] endobj 777 0 obj[/Indexed 573 0 R 66 2731 0 R] endobj 778 0 obj[/Indexed 573 0 R 91 1788 0 R] endobj 779 0 obj[/Indexed 573 0 R 95 2733 0 R] endobj 780 0 obj[/Indexed 573 0 R 129 2735 0 R] endobj 781 0 obj[/Indexed 573 0 R 106 1790 0 R] endobj 782 0 obj[/Indexed 573 0 R 80 3015 0 R] endobj 783 0 obj[/Indexed 573 0 R 94 2737 0 R] endobj 784 0 obj[/Indexed 573 0 R 44 3019 0 R] endobj 785 0 obj[/Indexed 573 0 R 39 1792 0 R] endobj 786 0 obj[/Indexed 573 0 R 165 2741 0 R] endobj 787 0 obj[/Indexed 573 0 R 10 3023 0 R] endobj 788 0 obj[/Indexed 573 0 R 82 1794 0 R] endobj 789 0 obj[/Indexed 573 0 R 180 2745 0 R] endobj 790 0 obj[/Indexed 573 0 R 50 3027 0 R] endobj 791 0 obj[/Indexed 573 0 R 43 1796 0 R] endobj 792 0 obj[/Indexed 573 0 R 5 2749 0 R] endobj 793 0 obj[/Indexed 573 0 R 159 3031 0 R] endobj 794 0 obj[/Indexed 573 0 R 44 1798 0 R] endobj 795 0 obj[/Indexed 573 0 R 151 2753 0 R] endobj 796 0 obj[/Indexed 573 0 R 165 977 0 R] endobj 797 0 obj[/Indexed 573 0 R 63 1800 0 R] endobj 798 0 obj[/Indexed 573 0 R 66 979 0 R] endobj 799 0 obj[/Indexed 573 0 R 52 1013 0 R] endobj 800 0 obj[/Indexed 573 0 R 126 1019 0 R] endobj 801 0 obj[/Indexed 573 0 R 121 1025 0 R] endobj 802 0 obj[/Indexed 573 0 R 72 2291 0 R] endobj 803 0 obj[/Indexed 573 0 R 65 2293 0 R] endobj 804 0 obj[/Indexed 573 0 R 7 2295 0 R] endobj 805 0 obj[/Indexed 573 0 R 66 2297 0 R] endobj 806 0 obj[/Indexed 573 0 R 11 2332 0 R] endobj 807 0 obj[/Indexed 573 0 R 39 2334 0 R] endobj 808 0 obj[/Indexed 573 0 R 65 2336 0 R] endobj 809 0 obj[/Indexed 573 0 R 88 2338 0 R] endobj 810 0 obj[/Indexed 573 0 R 22 2340 0 R] endobj 811 0 obj[/Indexed 573 0 R 28 2342 0 R] endobj 812 0 obj[/Indexed 573 0 R 117 2787 0 R] endobj 813 0 obj[/Indexed 573 0 R 20 1802 0 R] endobj 814 0 obj[/Indexed 573 0 R 42 2757 0 R] endobj 815 0 obj[/Indexed 573 0 R 41 1804 0 R] endobj 816 0 obj[/Indexed 573 0 R 103 2789 0 R] endobj 817 0 obj[/Indexed 573 0 R 190 1806 0 R] endobj 818 0 obj[/Indexed 573 0 R 53 2791 0 R] endobj 819 0 obj[/Indexed 573 0 R 88 1808 0 R] endobj 820 0 obj[/Indexed 573 0 R 43 2793 0 R] endobj 821 0 obj[/Indexed 573 0 R 208 1030 0 R] endobj 822 0 obj[/Indexed 573 0 R 118 1810 0 R] endobj 823 0 obj[/Indexed 573 0 R 50 2795 0 R] endobj 824 0 obj[/Indexed 573 0 R 126 1036 0 R] endobj 825 0 obj[/Indexed 573 0 R 98 1812 0 R] endobj 826 0 obj[/Indexed 573 0 R 49 2799 0 R] endobj 827 0 obj[/Indexed 573 0 R 23 1042 0 R] endobj 828 0 obj[/Indexed 573 0 R 141 1814 0 R] endobj 829 0 obj[/Indexed 573 0 R 46 2803 0 R] endobj 830 0 obj[/Indexed 573 0 R 16 1047 0 R] endobj 831 0 obj[/Indexed 573 0 R 186 1816 0 R] endobj 832 0 obj[/Indexed 573 0 R 24 2807 0 R] endobj 833 0 obj[/Indexed 573 0 R 77 1050 0 R] endobj 834 0 obj[/Indexed 573 0 R 179 1818 0 R] endobj 835 0 obj[/Indexed 573 0 R 37 2811 0 R] endobj 836 0 obj[/Indexed 573 0 R 55 1052 0 R] endobj 837 0 obj[/Indexed 573 0 R 126 1822 0 R] endobj 838 0 obj[/Indexed 573 0 R 67 1054 0 R] endobj 839 0 obj[/Indexed 573 0 R 52 1085 0 R] endobj 840 0 obj[/Indexed 573 0 R 6 1090 0 R] endobj 841 0 obj[/Indexed 573 0 R 54 1095 0 R] endobj 842 0 obj[/Indexed 573 0 R 67 2344 0 R] endobj 843 0 obj[/Indexed 573 0 R 80 2346 0 R] endobj 844 0 obj[/Indexed 573 0 R 82 2348 0 R] endobj 845 0 obj[/Indexed 573 0 R 68 2350 0 R] endobj 846 0 obj[/Indexed 573 0 R 20 981 0 R] endobj 847 0 obj[/Indexed 573 0 R 33 983 0 R] endobj 848 0 obj[/Indexed 573 0 R 69 985 0 R] endobj 849 0 obj[/Indexed 573 0 R 89 987 0 R] endobj 850 0 obj[/Indexed 573 0 R 3 989 0 R] endobj 851 0 obj[/Indexed 573 0 R 60 993 0 R] endobj 852 0 obj[/Indexed 573 0 R 108 2815 0 R] endobj 853 0 obj[/Indexed 573 0 R 193 1824 0 R] endobj 854 0 obj[/Indexed 573 0 R 56 2847 0 R] endobj 855 0 obj[/Indexed 573 0 R 226 1826 0 R] endobj 856 0 obj[/Indexed 573 0 R 56 2849 0 R] endobj 857 0 obj[/Indexed 573 0 R 98 1828 0 R] endobj 858 0 obj[/Indexed 573 0 R 56 2851 0 R] endobj 859 0 obj[/Indexed 573 0 R 130 1832 0 R] endobj 860 0 obj[/Indexed 573 0 R 21 2853 0 R] endobj 861 0 obj[/Indexed 573 0 R 4 1101 0 R] endobj 862 0 obj[/Indexed 573 0 R 120 2448 0 R] endobj 863 0 obj[/Indexed 573 0 R 70 2855 0 R] endobj 864 0 obj[/Indexed 573 0 R 72 1107 0 R] endobj 865 0 obj[/Indexed 573 0 R 135 2450 0 R] endobj 866 0 obj[/Indexed 573 0 R 71 2859 0 R] endobj 867 0 obj[/Indexed 573 0 R 76 1113 0 R] endobj 868 0 obj[/Indexed 573 0 R 152 2452 0 R] endobj 869 0 obj[/Indexed 573 0 R 158 2863 0 R] endobj 870 0 obj[/Indexed 573 0 R 12 1118 0 R] endobj 871 0 obj[/Indexed 573 0 R 123 2454 0 R] endobj 872 0 obj[/Indexed 573 0 R 72 2867 0 R] endobj 873 0 obj[/Indexed 573 0 R 3 1122 0 R] endobj 874 0 obj[/Indexed 573 0 R 192 2456 0 R] endobj 875 0 obj[/Indexed 573 0 R 216 2871 0 R] endobj 876 0 obj[/Indexed 573 0 R 53 1124 0 R] endobj 877 0 obj[/Indexed 573 0 R 145 2460 0 R] endobj 878 0 obj[/Indexed 573 0 R 78 1126 0 R] endobj 879 0 obj[/Indexed 573 0 R 75 1158 0 R] endobj 880 0 obj[/Indexed 573 0 R 86 1163 0 R] endobj 881 0 obj[/Indexed 573 0 R 49 1167 0 R] endobj 882 0 obj[/Indexed 573 0 R 63 995 0 R] endobj 883 0 obj[/Indexed 573 0 R 39 997 0 R] endobj 884 0 obj[/Indexed 573 0 R 21 999 0 R] endobj 885 0 obj[/Indexed 573 0 R 63 1056 0 R] endobj 886 0 obj[/Indexed 573 0 R 63 1058 0 R] endobj 887 0 obj[/Indexed 573 0 R 39 1060 0 R] endobj 888 0 obj[/Indexed 573 0 R 89 1062 0 R] endobj 889 0 obj[/Indexed 573 0 R 109 1064 0 R] endobj 890 0 obj[/Indexed 573 0 R 2 1066 0 R] endobj 891 0 obj[/Indexed 573 0 R 71 1068 0 R] endobj 892 0 obj[/Indexed 573 0 R 80 2875 0 R] endobj 893 0 obj[/Indexed 573 0 R 123 2906 0 R] endobj 894 0 obj[/Indexed 573 0 R 155 2908 0 R] endobj 895 0 obj[/Indexed 573 0 R 158 2910 0 R] endobj 896 0 obj[/Indexed 573 0 R 121 2912 0 R] endobj 897 0 obj[/Indexed 573 0 R 7 1172 0 R] endobj 898 0 obj[/Indexed 573 0 R 208 2914 0 R] endobj 899 0 obj[/Indexed 573 0 R 52 1177 0 R] endobj 900 0 obj[/Indexed 573 0 R 43 2918 0 R] endobj 901 0 obj[/Indexed 573 0 R 8 1182 0 R] endobj 902 0 obj[/Indexed 573 0 R 155 2922 0 R] endobj 903 0 obj[/Indexed 573 0 R 83 1188 0 R] endobj 904 0 obj[/Indexed 573 0 R 237 2932 0 R] endobj 905 0 obj[/Indexed 573 0 R 76 1192 0 R] endobj 906 0 obj[/Indexed 573 0 R 100 2965 0 R] endobj 907 0 obj[/Indexed 573 0 R 15 1194 0 R] endobj 908 0 obj[/Indexed 573 0 R 12 1196 0 R] endobj 909 0 obj[/Indexed 573 0 R 73 1228 0 R] endobj 910 0 obj[/Indexed 573 0 R 58 1233 0 R] endobj 911 0 obj[/Indexed 573 0 R 31 1238 0 R] endobj 912 0 obj[/Indexed 573 0 R 222 1070 0 R] endobj 913 0 obj[/Indexed 573 0 R 216 1072 0 R] endobj 914 0 obj[/Indexed 573 0 R 92 1074 0 R] endobj 915 0 obj[/Indexed 573 0 R 107 1128 0 R] endobj 916 0 obj[/Indexed 573 0 R 2 1130 0 R] endobj 917 0 obj[/Indexed 573 0 R 4 1134 0 R] endobj 918 0 obj[/Indexed 573 0 R 70 1132 0 R] endobj 919 0 obj[/Indexed 573 0 R 35 1136 0 R] endobj 920 0 obj[/Indexed 573 0 R 12 1138 0 R] endobj 921 0 obj[/Indexed 573 0 R 82 1140 0 R] endobj 922 0 obj[/Indexed 573 0 R 63 2967 0 R] endobj 923 0 obj[/Indexed 573 0 R 0 2969 0 R] endobj 924 0 obj[/Indexed 573 0 R 39 2971 0 R] endobj 925 0 obj[/Indexed 573 0 R 62 2973 0 R] endobj 926 0 obj[/Indexed 573 0 R 182 2975 0 R] endobj 927 0 obj[/Indexed 573 0 R 33 1243 0 R] endobj 928 0 obj[/Indexed 573 0 R 70 2977 0 R] endobj 929 0 obj[/Indexed 573 0 R 50 1248 0 R] endobj 930 0 obj[/Indexed 573 0 R 56 1866 0 R] endobj 931 0 obj[/Indexed 573 0 R 57 1253 0 R] endobj 932 0 obj[/Indexed 573 0 R 65 1868 0 R] endobj 933 0 obj[/Indexed 573 0 R 35 1259 0 R] endobj 934 0 obj[/Indexed 573 0 R 22 1872 0 R] endobj 935 0 obj[/Indexed 573 0 R 92 1263 0 R] endobj 936 0 obj[/Indexed 573 0 R 65 1876 0 R] endobj 937 0 obj[/Indexed 573 0 R 130 1265 0 R] endobj 938 0 obj[/Indexed 573 0 R 12 1269 0 R] endobj 939 0 obj[/Indexed 573 0 R 77 1320 0 R] endobj 940 0 obj[/Indexed 573 0 R 237 1325 0 R] endobj 941 0 obj[/Indexed 573 0 R 200 1331 0 R] endobj 942 0 obj[/Indexed 573 0 R 67 1142 0 R] endobj 943 0 obj[/Indexed 573 0 R 47 1144 0 R] endobj 944 0 obj[/Indexed 573 0 R 63 1146 0 R] endobj 945 0 obj[/Indexed 573 0 R 42 1198 0 R] endobj 946 0 obj[/Indexed 573 0 R 7 1200 0 R] endobj 947 0 obj[/Indexed 573 0 R 19 1202 0 R] endobj 948 0 obj[/Indexed 573 0 R 37 1204 0 R] endobj 949 0 obj[/Indexed 573 0 R 75 1206 0 R] endobj 950 0 obj[/Indexed 573 0 R 90 1208 0 R] endobj 951 0 obj[/Indexed 573 0 R 89 1210 0 R] endobj 952 0 obj[/Indexed 573 0 R 62 1870 0 R] endobj 953 0 obj[/Indexed 573 0 R 2 1001 0 R] endobj 954 0 obj[/Indexed 573 0 R 1 1010 0 R] endobj 955 0 obj[/Indexed 573 0 R 3 1886 0 R] endobj 956 0 obj[/Indexed 573 0 R 137 1890 0 R] endobj 957 0 obj[/Indexed 573 0 R 64 1894 0 R] endobj 958 0 obj[/Indexed 573 0 R 90 1919 0 R] endobj 959 0 obj[/Indexed 573 0 R 146 1921 0 R] endobj 960 0 obj[/Indexed 573 0 R 20 1923 0 R] endobj 961 0 obj[/Indexed 573 0 R 21 1925 0 R] endobj 962 0 obj[/Indexed 573 0 R 250 1257 0 R] endobj 963 0 obj[/Indexed 573 0 R 160 1261 0 R] endobj 964 0 obj[/Indexed 573 0 R 124 1311 0 R] endobj 965 0 obj<>stream +H‰”WKŽ$» ÜÏ)úÖ“(ê·7`xiø^xõ`¾?`eMU%“‘IQ³ªêžè C¡ õÇßþ™~þý¿_ÿýR +¥ÖØÆÏ_ZhyÌ?ñ§04ÿ$ªF,‰~þõç¯?þþgúùë~ýãƒm%NÌ­tàRÈÇߨ?)·ÐÓÄÕ7Žœ8óc,”Þ¸|ÁÙµjNÞÀjÞrÁÖ>Òè¯zÓ{Ö\cèeò¾¡õJKÏ´ +Ú6*n²ì¶oÐöúì6°cÅ›âøý¥Ï9ä óÇñ‚îñ*T¯¡Û™®nª¥ÓïškŸÀÁ“çH^J퉔½Hí…|.(‹ŒÚ„<Þ—&}…æo8ô)aÕlñ…A¯w…¹ÔÇó¾¥k%˜ûºÚÍC|aÇ·¨·nˆæ&û†¤ÔB®1Æ„—‹Ì+’¨„ÈH2‘™B›ôzƒ4ž8†£ÏÙ?"yéXÑ«6·LÚE¤B7×öÎk-6¯ÀÀ¹p®(¦ápCa Fo½š4»­œdª+¬¤ÕͶ•R«›Ùä&N3o%8«·ZÐÖv‘@‚¶Ý[-p/RsòÕCkyš‚jAáäŸz=aå¡ÑJº‚šÑ;v5¡šžV“zb;ˆr”—ƒfˆ¼‘ìì(½mc³Û| ýS|A@N5?q¿”PÖñ ÖéŽAPGZ˽q«I8ÇE“§é(ÖWäOlñð™€Åœ%˜z 9çgV½i}"XæúDhçDqçD€›×›)Ñ„ËS,öÃä…áô²÷y +Ëwª¬R3ª*h஋ƒ›ˆºÓÅ¿­é ]šeX¹‰hN{X + P£úbWÿú¾J”çNÔ^ßRsÖdrŠ]D©[ÝËšV·šcO®"šÒ½«eõv ʺw5P¶{ÛN÷®¦9ÛbWîÛN\Õáç6.6ï39݉)¨Êµ :›÷¢i³ï‹@§}_N ¢ºö…H­n³/ÌiAä´/Œ@§×¢ZÐÖ¾0©µíæR#«ÕœÝv®@'-.)÷ç—yî#…1¡ó‰ñ†.œ{"SÌs!›Pþ@•‹n–£RB+ósAÞ«‘îö†ŒÔ«½¡æ×òx×p³>‘ÈjçoK!Îzça§í¦”C¹©óQæÓ %}:Š?ãm,X@©¾r?},5®–bž«ÚÓémÞ9[`fwѹ½’â;”Ç"Á”GÈsBÒ·æõ®.jⶃî¾H‡"«™˜ +¬Å[/ +]½PÙ²^`uwŠ¤}1ÄÆRcè3ÄOìb6h£×{Aè4–³Aì+š9¯oƒ@yNþ¦{}*–OðbwØQ^¾ú¾¯RÎ;]#5ï´ìeѶX]@ñjw- (w³Æ“\]€µ[Æ–H$þfAhŽînAeNÞn‘–¼í"kv[šb}ÅGþÆ»<”z ¹̃ù`ˆ¥o¨w^=7ìî"¡]%[Œråôr—({½œŠ²ºìä²—U~þDÅ‹ûíƒrsѽ¡õ>}nX‹»bTÚ[727oÉHë ®V÷c â².bK@!/Ëz ‹ ˜·öK ¯äoR³fw×›•wºFê²Ó6²_Íi?Qó«=UõîÖ=– #ËØ ¤-ît Z·ämtnämY³·_de·©1.Û"óò²Õ SßP· Sß°/ƪ¨b³ 7r³¯gª(¨ûN‚Ý°Ó†¹QóžæF½;;Í}Ãê}7Þ°zß¹7J{sëFes¬Ê‚‘Õ\È:ôXó¿]œÇï/³æB¯¡obŽµ±¼²J‘q5syænåuÀg䵯ÕÒ¦]¾R§r1¦»²oÅšUx î³ïöC%D~¢­Ö1I(²ªu­óxûê®hÔj‘[Û[ $†âP»Sž!Õo‹Ö¬£·_E:kŠœékè]‘T‹L1›¬ÃáÅiðmVEV6£Àäí.&©¨IÝ­i³Ò\úaôyïgL}‘ö“ãDÎp iˆ“¡8LR¡‘&M¶}O$’¦d’ +€Ô>ÒyCj®s²^:‚8˜d¿_2ç@—rÍ)+ËN¯Ó¶‘@2øøíjûH@K½z7 sÚpqJ4×âýi#°¤‚Çs)³Çù¹ 3Ñzmp$×{Q;-Þ®«“‚®’áb ÊFÞõÃUÀºš]sÈíþ ‰šÝ°À¢ÖÝœ=-…8 ž›ø ­¹ýI(°fýlÅEƒÒY»ó¹a-s&s‰¢‘ÕÎ9ÒÕ«UXRs^º9´ÿ€õ }Þ&K½fFÏË»+öAd楓Èý›mW÷År& mº½µösßA#ùX´Ø Aî±;ÕZd]^¹"+Y®–P õïüZæÁn(h<ÜK?°V/IÛÎM1´6‰û¾c+Žt±á*M£ÛUš7G·«Õë*$u»ŠS¾z9G·­˜J kÅ^[ÝÐz}uÃÚG+Ö}usìv»«înŽcég±¤sÒoJsÇÑäÉ¿š©+œÓb +¨¾Ã9m-fÀ¼†äÊ^æ^w#¸ÚþŸ÷»Y—Xn-HÚ-_K(zß’ 3E/$&ïSIÍ´’H$Íþ )I‹¼:±“Tv.02× 7#¹û=‰aIîд¤×$RçÔBöœv®0HžÉél;gïFRv:I½Oɽau£qóÖ‹¬Þ´ºa½úªÏë‘ÊíÏ5´ã Û>P6…:-é€~C’“¾Â3L¾*‰{€¤î)xêkz¦ÕÇK€Ô;cع»Ó’˘ Ÿ•/^…;eOÇ Ó»—@Í[i‰ä×®y¾¹f–ÜÝ”»y¯’–:©uG™ZÞ`-¦©%XwÖ<â’¼íj‘u»Ï!‰§›½Ýé–«:…z¹Š…vl5›OGà}šÇŽÖÈîÖ˜ {µÖ¬~­5iòΣ š9KüÞ¿’½ÐÔ;ó8E2_…¢^`Õ7ቤޕ½PYùDš/Q‰ä¨Bª¨hN‡‰t„Ôӟ=ÎO—K«¦çà>èDÎö¦­Go?µ‡1W þrV;gN$pV½ëÇñö~{{_TÖ›Šç»Üò@ïJõ˜ä²+ó6z5«Ñã©Iá_ˆ¹½0âórÑÙÿìÃÑíŸ;9n^@p˜Ã2n;í¨Fæ-8ä‹£ÑXu߶‡ ôÓü£?(–Ûp°šõ °èsqî`6¬³m +endstream endobj 966 0 obj<>stream +H‰œWA¶$'Ü÷)þ&„@°÷f–s¯ýüæþ›¡ê÷¯ +„½j»"2’þøû×ýïWøŠñÊ©H«_ÿ’«Ô¯ðE,—¤Ö$÷¿ä«¶9~ýùׯÿç¯Të× +Zr¥Ô¦P*uh¤´ о)cëÿ¼±á +¹µJ_1ÉUcÇ–l n,·+¥éSr‹Þ’‘–¼PdMTrèÿçJ/hÎWêËŒ”Hä̦ÜÐ~Wù*tLÿ£´¯XÂUs'þ ËÈ_êÞUH¡+%ËÍ]’¨ Q®Ð+¨¤œ–+ åŒGo­éª]ªtW\½mùíc’´ykYý¯µ¤F —®›V!‘T6a¬Ö@Øž®Ï+$(ܶm«–@`Ž'g/Gÿºo¥Žä†‚Ö6ªÖE3Ÿ ‘ܺkÙÀ-\"¼~àÞ+’ Á‘£xI½g$’6o¹1k‘7ª˜òEC½½õ"«7«&¬Éß 1¹HИòI #óɉä⮵vÇÖDìýVUu:É-dOqó©ÕN™™6Ñ¥°60SZö°Ú +‘•—ÆVP ÍîzmV¦â†BP&ñ ¬ë¸RH$mµ\žQc6‘õ¸OZ‰9n[X­„–÷l{nïlefrAeÞPU0ðæ4’ïBXò&în,$¥]ûŸ{Y›ÓÐ@šƒ»^ÈȼÙÎ2¯Ç¨ªi“Š¬|н rÎ>?£Èå¤{‘WüŒäÕY5 +ÝœHй짧ªˆK<#;x–ÊwÝÒõíŸ[b]µkEŸ¬+imi…-|Q ¯ýæ-4Ô2Ö,õâ.X™ï3KÁ-w.ÖØü³ ÏJ—|ÕW—|3†¶Ê8ÊhNæüüjä­'¯j»âß¹–÷*ÞëA¹e{ + Z‹‰½ÆµÑ?íåæ÷Ý1¡]§ž‚"kÚ~aU4(-›óAa­Ìb¬ÙçíïдE#kñ¾He3„•%[ç¿lΆšb¸b¾PÛFµr¤e®ûÜ»ÑH^7UN¯ƒ÷Ö Âç™Ò0 rS²¡íßóm¨»´›¬\ R» =ÑÙ8ÚlZÊ–Àê5ô„t³ª‚Aå¡$^o†ª`KÚ‚i9[ÜEU +ñê‹wë©„¡ÑhùZµ©Ñ6†ÒXûiÛÚP +Šß¶å]j¤Ð®Ø«„ÌÛóL¡'äkcéÂᯥ¡ð‘íð]mˆKØ¥FîFƒ™Å4Åòþl¤–©ãòæHÄ÷Z{ž!’݃,1‹0ûçA.C'ØT_Ž1à-ádµÜì¿E†N8˜bÒ.KÞ6‘.ÙóÉ +ܽc©ýcÁè\BuŽ± «{,XÒèÞœO¿®BZ…ã²ýt¹†³ÄèE‚£"ù;·õ?ê´ø­ÌÆĈ|Ò»È|Ò»Hî¾9&cª¸±²ÂR¾h¬ú¤'ÔÛi¤áÀNÁßÁVð]HßP+¶ éçþ…L^[gvW "“»' +“·`Kk*^ -©A®¶ALHò¯ƒ6"édœ0­ƒ@îßmV’„°¤£uÐ2§£uÈ“=8Zl¦ö{µ½“w)´R§eÿê¥9yej Òì¬4.N Ê+Þb³z‘HÚüÍ ñÈë%Eaaš8ß4/2Ó“‘|°ºnHgve:)™O GçƒîEÁÅgk„ë髽‰œËíYAñWgµ rN$è›—»‚®I—S[Cw³÷õõ2ÑúIªfö"mÚääEBÚäå’‘RëèêÖ´h'ù¶¯üØW1ƒ—²8‹/ååù§kFÒµo(–à-ÌT¢ +.kªz‘uy:j(²n•åz\™ôyY¯ÙŠ¯O0Å’eÅ9_±ÿKÌRFKqŒ¥¥ÁËÔ“üõS¯};õÌi!÷óÞV_XC¹^ñýéú$F°D¯Cöò>-ªLXe} )¨e…ÓZWל»UzPñ§æV¼Ï•xÅ—Ðôð\{ú©š-+/ý¬‘–4ÂYBé3@¨gyéÕVš)LKGj,Hl2¹gQïpmHêÏééÛn7 ·ävÚÚR:G÷›Aèd…¶+¤.ˆ·Û”F[n¶÷ÒÒ•ú#tú-ÝøŠ¯ØJç–¶¬~K[Òõ–¡Êí ®@È>ÎZ®Úù»«¸}6 Îz'¬Ë=CC‘µøÍ +ûÍ ™ˆÌl¹™eO”7¥®UO¨Û ØkXå4‡+ä˜Ó +»Éi;ù»øn_d­ëù« –´TÚxZU|Ð +º hUªâÃé«›éËÔ(Åû³ö­,õjg3°š:^~ +‰òòvø–ôÙº‘8o}¬àÈn£Úb3ßè®Ý +]ª8_m….µnK•ÄûîUp`oÁoéÓ˜VÐ]L?[Ú’–æî_KZš;p0%[rc!";KžÐ®'°‚"뉡Qf¯¡Qå#C#ñ‘¡-»„૤–H«´:(yB¼¿Ù­»V;t¦úíÞI»Ã‡ìP–M¬¶C •¥«Ò’FÛËÇæø2—Öªy_Û7ƪÞ×ktoàÀã&ŸÕbhUŽ›¸SPùdFbÞZ¡ÁW†|ùf«µÿt­ÏÞ Ä'§p›Ó!§nç:uˆð;=”Zî­–þÇ^rù@í„àŸn˜é…Ìþ›©Ç3çò(],–ûàLkÒû¼¦üÃLn{•ž%±7Eº±á¤j¤Þ™>aß5•Z`l?¯_­ ÐÌ”—±©`-Kc+$’Šÿ±C#ý_€ʽH +endstream endobj 967 0 obj<>stream +H‰œW=Ö9Ì} +]`{ød>‰Ã9ÃÄ~ûöþÉB²¤F£Ø$hGÒ÷\ª"X,ýüEññ÷üóã?Â#Æ£…öø5vù÷Dá¥÷–¥æ#–Pb~üûëÇ_?É -Kì/t{!ùàÜåRâQ{ ßHº"ki)‘ÀY®ÈÖc7ŠK9²(æGIíà(à7–S»€¹ayÓ(‡ŽJN_d¿5”ö[ðSçoZQä#WäÍኮ5ð=ÈsÜ8³-u.Ñyh(µ½ßù¡áŽëΡ-95sfêoÕ#g2U—LÈÜ®nõ,ºë«såwµ­7-+Ë'§­´¸õv–—&•*c½h ýˆâË|èÒâD"§A&~šïÆ̶Â1e§™¡Àæ¬D¥Þš.6ö/[nòÆT9:‹U¶.ž+¶ÄFñ äŠ\ÛW4 GÆåz°Ð&þ> š{Ya©ñ‰=CÖÔ*÷À抹$õªCê²<²‚#û5p˜j¹•^ú«=Ð f÷±9ÚæņVº‘zílv +³«~=„Þå¥a½Ws‡ÂB±éš³-§Ë™>=ËK‘ru¤­§Á¥ ÈJ‹+V’¡Î´Hi…Å"kš9KiFÚê=.²²ßÑ-={¿®Us;ºÓµó3­g-eI .ëaKÁ½,|y*Ø«M„oÉJrc#?ÝuNK\Ö}ÊPÓÙ‹ßÛXñÅ°¥°Xnözi›×ÛÈÚ½Š±Ò5¸±PæêMŸí|¶TPd…9^…tñÈÏŒFi97´ÆÚ¸´£ ¶ãüšÄeļ|nÉíÄeí¬…CfÖù¢±šµ¬²K˶Ôë•I£‘üú–(õ$«ã÷¢c}ýΨ›uÉÌ™ + M±¶Y+Ή¹-HûÌÑ +¬|}ƒµõØÍ-šm•³‰øûÓB…Í{0i¥%ƒ«æƒ‡†"kö»R’ýn†˜ä-7#õ–‘}>+嘖<Ÿ?4â’—#±’Ôm9h8°·¸am(yKNkCµ[öZIÉkmd->½ƒ2;#kPavÊNG EÖ>Äz8Lí_l_Œ +JÁ„G«7¬C`N+3k4’/’K+/ñi«³b«QAk¾Ú*ÂÀ3;3/cK£-÷jôÐó!T{žÕŠ¥ö.‰Èêݤ«Q)¶eæ¾ØÖYþì=­¥m!xkY[°ÙLý9#W2½Òã[­R{’¾Î.ªëØÑD¥Þ:ÒòÊoîÚRÓâš”êÎrR°2V=ÖWKÑ7Ð…fKl4ÏÑÀmУkVÞܾf…]\sÉ•ßÍßzÓ²¶àÞ-i ‹kR‚woø„®nx"H‹‰œežWz.41Ù‚{†œlagFæ9z@¾i­ÓÒ?Hc\öSuܤ=n ÒPò蜣±Úö ßÎÑÈ飤eã¬Xhï(5fïa‘Ô=J#k_島%$ešOÓ Q™â¼kG"ñr˜Öpäžg­Öm³%wxýA'Öª‘y'¼€¼%ãìôTyçl¬8;Ån³N¬í‰¤Ýël`ÍÁ©Êœ£‰ÎÓÁAËEÒi WЫ5dhf¢Íé ùÒøQS8j–:} —•©4\>†«nëɾÅJ¯Aˆ–FÌ<}H‹´¦—vj=s ý–ÏiãbGSP˺y´bÊò÷Ë-u÷iK1^¦0ë Z2ÐRôžY¯n– +gñßE´`òs>Ä2S+³W¤Pe„ÁÏÒÆ‚|䊼)-G ·ä°¯@÷WÊm±s™&‡BÚZÛ3æ;­ÚÓ²1h´%·Þ½»j5©EóÅCAeG r*yó(mT‰½îBÞºSiK¼WiKn*}¯ºõ£\ßâ´ûžH–éãš”öv犑¸oÀ‘½\_# y“É.óœÜÒ"Céí ·3âµ5qA qÔ#æ3žÆB|-ÓK\ArYu@ìI/_¤6(¥2$º éµ/%úÂK¡Wáe.ù5ö^byÉ +»ºd3~ô,/TjÕ÷ÇÝ?”àÝÛUÐÕíšö é¼;($p®ŽªÜí7²Íç=#ÿAoPèEo˜É¶! ‘s …€^o¤Z³%vô– ÜË´R¦Ü½d]]ò½ŸÔígà,n¹»—{BW—;Ñ ¤Å‰DN»N^ò8ËÏ¢–i?5v»éæÐ(¿Ta+ËG á°‹šûX ßÌe ÝïºZõ~×ÕèE׬†9Ö×O o+Óá]!±ÔuÖw³Œ€$DÊ€”g–ÖPd].…J³-t+ÝyÚåRxM*-HkôžÖ²¶üvÞŒe Ýï½Úû½W£7{¯–½Ï +ŠËQÝÊýæ«ÐrÚ0µ-w6«Ù½©ášý¦¶¤­&¯©ý÷^®-r«Õ‡xÚCµZ$õfÕ€Õfõ·ÞÑ8“Í>µ³ÆŠŠEuû`ùDåvñbùÑQÒrû‡gÎå(Y*vÊvï L|µUã´£©w¦ï»}Âx×j:´%/ÆÚ³ÉÒÖÛ>â\ùv>„‹ÎÓG¬¡@ꢡÒC´­²{ˆFR÷7[WÍP£4“lân²Y À< h-¡ËðÑý‡çᣱ CBöèV¼-îtRȽܲ7}©¡à‘¶3=`ö´eti8²›ìIO™7ãàâÎ~<(v%nHêîªÈÚœz±ÌÎé+܃W.vïô?`½Ú‚b¬ý÷fÇŸ{}íGrÛõAYäËø‘NøÕ”üôbVPjG|Bãg¤4¡Õrª±(h?X$È—ë|ÔRPË*¤Š¾v{Þ"¿$ž¢^½çåxD–J§/tš³Z4²6ïy-«éIrõ)Ÿn7<¿ì%Pã)§°XdórlòYÖÐ(_$VÔë|VpËÞCšwC-Ý–º‡EB+¬­ud‹ +­ÒÔeçØÈ^wœÝ$-¯Uc¯³;QÎ&½ìm^g#k÷:Xcp +”Ô@bï[ª½ÐÈOsQÿ +Žóô<`N–Š¬ä·4Ôx1Gk,y9˜jO"5ïXÙçkžR>¨öb¸TX(w +²‘:íä×€=Í•×ô™È1ûR^E!€¹‰!}Ò¼%s8HÎËi­~Íà°ÄnÍ`¯4Ï-¥iç¹¥ ÀšõTŒÎÊÑ[åyr’´óäRPd5ÉeæaÙjÃs«åQ¡æKà ®^( +^GV+dz¥–!ÜŽj³.\R{=ÁïLì/ …ë+²ãô}¶¤änK–3Ú7?=k‰Ï7¤KÕ½‡­ÙXƒ‚÷°–ÕZKjN;ëÁ¶ÄïVAm‘;¥ŒÌy§!¹ðÀRû µÎ;ggÑÎ$=p—zƦdz…Žª•w‚.­EpýBM'Êò‚ÚЕÈê ‘µ¸'èÎR)QùB½t ýˆbÈ|BÝ4²zï€õjäÚè#xäG[eqÛ˶ÌÆËD¥ÞZn·l9ÙR“~ÆZ/«;(vÝQm©íc˜¢Ü gÓ$tBÿtAYú´#©É&t±Ãíp¤5øÏ +!Yý§…„¬É{ÚÍþ«HjŸ°ìxF³ìl™«ÙŒîGB,sYv#5ñú1(4’óΩñ–›÷ØXï¾sl`æ°sn`ç¸ låPNNnwC_ä<íÆÊ£HK^{#kq+¶‰iZÌ +qÝï:W'S'±ßšÚV9&÷[Æ*÷E7VžÄÛ]w&î¼²¡Ö-:¡Xë–vDÿA3>Ñrš;³çƒäK->-/º›Cú´ÅkRXˆž656…x¿˜6›Ö˜ZŠ ֛¢»ú,µ´j íÁ{``í‹)B)S÷EFŸX4VŸæ––Œ´ÓŒVÐëÕUfí¡Ðî—­^½P<],t x:\R¬=s#Ž &)9²,Q£/…£f9ëçļ›e}}Ô­,ã¨NMŒ2Zò¼ÞÝrÄkúûýðÇJ7e1ªî£!÷̲½\7ÄÌ„iæi¥{À;oà +;àe“î…mEíxƒZ»—ËQ±»ÿ_hõ0RÓnCÂmK$ý˜Ö¨Zâ†Ê-$͘AŽÖàÂÖªFr:ËMHñ:ûMIIæffh-MC¼å²^p£èvs„^s€2ÏÄY +endstream endobj 968 0 obj<>stream +H‰”W»®Ä¸ íïWÌÄÑûÑ/$@Š`ËEª¤I`#Øj?‡¢äi]o5c‰G‡¢)ê—ÿ}ýãë÷/ó²v‹5G[_Ê[*/óò¾n9׊”fM´ñõ¯ãëÏ=¬±åõË„M±8¿Ææ²™h¢ÏolØlm­3Öm9½R©[Ì&ÔtbyˆÍÆâ¯ðÙÙ§>/xÝCìŠ×KŸCŠ I®WB‡¼yüM~iº˜ò-øj“…ÝÎ~àcÜ,>JX’'‰OÉäïñ þüØùEÌËSð*èõ'ž_ɽù~Áï­ÂÇ Còß6¹-Gµ¾’3[òàö[?œø„mzŸy¥ÔJ ¥º·L³Ù<ÎnÅšn>A/¤ñƒ¾'‡ƒÇ8$2Ê=Þ-nÔ)Éýê69­‰ƒºÝ «Ü."ì‹—>·D€2ó"ÎÆËãÍÑø^ÂœÂ^m|TÁ2%IQ–¸¡Õ¸¦þœÎ~A/Óickùvë:ä>Ú§;¿Ä\ {UÈ&Ï*+?ÙùBhõ¹Æ¯e4˜Ç¿ÖÐ`Ÿj|A|mMدìôµ|†Ç5lQ;C|êõ‚øi[ñþHÞ:Ú?÷"Øõ'úÖÔáGy}eæ©ç‹€—‡ØEÀ£¾$oý¾rG÷üŠ__ËNÒ:]M¢*áßw¡.l†N·¼±ª%ó)w‘éNrÁ{/î »àÍO}ö^!Nöi·¿ÂV•Tað®¹ëžÓ‡Ú9¯›NR`!Du]N=Ü‚ú³¼&ü‚ýC\¿Æ-…Ÿ/ðñcܧ"¼Ø|z\Á;Ï·"Ÿªð‚ø^lvÁ«Äæ Ëü]_p秅pÁ?im*E 敲»*eê½Qý4ª?oLØkK˜UG*_¡ÕÜVVíhw'=c¼Rž×†lòúÚæïÒ |í³ê%eC6»½ ®O·|å-Rœ!ÛÄ-£ +/B]ì]õŸ±×Pw{Ä6m’Â.yoŸY3vÁ«åÐð9å~N³ß×X—øtÏ‹X§Û3žü^ðæ§{^ð–ç²¾¶û¥>–õµ ¬æ©¬¯ÄÕ>•õ‚×=vúÚÖWì¼hÿêãú³ ¾}£Lدnãn…½ˆöm+7cѾ/^“8¼÷ÅkÂ^x­1O}¾ÄÚš§ÅëkkÜSŸ/¼÷'üF®Xï¯Ô@÷7\F¥¸Ö=kü} ˜Ñ—êƒÇÔ—òƒêS<ÖÄbyÏÏ]¿è õë±ë Iqb²øï]¿’[ó“­_ù­}ìüUáö¾Nà…جnýn=_|iLøÿ}¢àuHO´æ«¨Ý¾hgìÂïû{ö]ù¬‹×Ñtu\ÚuT »Î\þ¯X§/Úe)[àºÂÌë/_öõ·—}ý÷äÜ/¨÷ï¯ßþi^ÿÆÔ^_–N̽¼ÁÊ<Úž”4½}íz€-BÂ@Ùüi1 ‹b•E‘,ˆýiA~XƒËb€ n³<*[ˆ¶¥ ”8,¦¶@·ˆn–-rÜ$¶’›A”|Ü|7l%h øa1 L(üÒ‚&–´Õ,Xxà8ýÊ[´³ŸüÍó±}×:æßß<_hÁ2â+È"m®´Ôvê«£3J÷ wr1mžç!AL“i^ÚïÍÞQãOƒÀ  ‡#@®ƒ¡5© \]Pˆ]#MJKà HÊèÙÂm¨ +ÕœiÃí•@…'é¡WZ »VWïÌ`yÞeörì ¸?±+ŸG¡ãF}í›@}Ý,½?B/¡ À¢¦“eC×J€>?Û3>Q‡n»$‰±Á/=€]ÅH >œeQ@ʧTßt”vDIX€Ô‘ XßæQ‘È`d·ðI9Ú²¢GA våÓ¡0Èy+ôØ= X‘Þ÷¼òb(]NÀ¢O®uo}~¶gÇö5Ž´BWH 5.]ˆ]#ÅI2|4fÃ%Çqò˜y)ŠùP{VIÀÒ l6´l?+Ó²]§…—B:-dWnA‘0m_6}„ÆjΙ‰cLmº Fسè}jöÝ@˜ÀE2Õ“`pÇƵ ±kÄ¡Ii ßj˜C²Û*ª.µ²í„⑉@, J’ˆƒšOÊ%p!€~\ô†d`×>AÁöÈÿˆÚ7‚Ýd©¨5Šä6ð‡f€um_5¯g¯ð +hŒðò(5sTíƒDìq(RZâÂùQsSG 24eÂéEn'Œ‹¦‡JBVt^®­á"“´Ù.i·Eº7~\œ“ý®=:´=,b¢óÛ¢©2BÞ¦[Ä6‹ž|¹ÝÆ4$%¼DÄwìÉ +†(8·.>Ìö»²?ák;˜Ôé)Ș.þ=Bq+õ(Îö«y,i sèf,E2°?X Pö%H &ö¼߇^‘È­î¸Ö#ô(Á¢4Æ>_x¾oRVXŽW>_tð¯»èÌ8I‰è&DW„ìÊ«C#` qC©#§XOÊQ·àš¨ÄnH‹’ ÅÂ,¸‚ Òó +2¶¤~ã†Dì +qhR +—Áñ˜®„ +WY¨£õ†*umþ4^M⤶_rèDšï—œðIU|Ž;FÚïÊ›CÚc§C[I4Íë£á›”ÒðDjó])°7€gDO9` +Cˆ³ P¦{R_œ]CÍJrtµ…\[÷ÎÂ]ð6éí¼óT`CFvñV$di ÐÀÙƒâhÑ<¤ !|`‰=/ Ùµc‡†P<\Û\8Õ®HªJ=¦–ÎFTBXÕЬ?_7ÁKdFÔ3·Pk‰d½vCBv 9+-Û‘† œc “A%ƒ³Ï”ˆ•–ˆ©­aûê#YtqH›'Z?ªº„ìʯC#`€ê‹û>à­…FíÙ°…g7$„s‰TlËd1Axˆï8%s¤o¼€]MI+”vBiH±¢zBùd‡AÆwÁ€Å<¾Ï¦o<ìÈ —Sà%ý{7.H‰ØµO‡†ì_å ×~0o‹&MOe.³Ej©kFkª œ é´fÁÝè⃲ñu4§?d×CÓRÀ ]œ’ìE»µg{jl£=›O YZzºÐþd ¤ ?.J უo3¤#»öëPŠ†i[+o´Ø|¦µYàN¥X°z„î€]ªBð…nJäI^»¡ »†Š•–ðtzü;‚Qñm†5P_é«ëÑRˆ…-Tô…ZÕAb›ëÚˆ~fŒ3bW^@Áò´¯dÎp7yzªs=š® À‚…#œG¤ßNà%\ÜÄï@§Þß祰kÀ¡9÷¯_It‹b¾ß4 +\š>ÕÓ do3è±’ˆ…(ýNu%RRùlº($€ÏËÑFr¿$b×N‹ÜzïËØG×&jë(ñ®YteIgê¥÷£çUfñ=/¥Äÿ ¯²k‡uÖÊ­àk—ë˜6’þà"‹rfÞW"Ú(.`’Mæÿ8Fœñ9f|ÏM¹ÄÔG‚&n.$v+Mß +i¼ÔÛ §üb`Tekí°Ã|#NÑgC Ô¾aÆç<×÷˜\€Ù ¹ü4eæÖ-(L»Ìuâ šeŠ3ÂÝ¥žõ¸&ß„é"«¦ßLjS>ç”ï¹-͕䕲«`š •“ºÌ šÅ#ó””ü=§üdL6Hì¬úÚ…¡•‡;Gœ¢ÏÆ@Èu1”Ïy°ï9ÉíN6Y¼i1AK‡%:ö²‚5ÍÑ~Ö‚ÎJ£wdk~ Wu¤Íâó¢v8`—æ cè×^Ww•ž1a`Å%¡Õ(CšØQh­ž=Ãà©põKW¸( {Z­ ’¾Ó4G÷Î7ë—§„Â#_Ý•)Z™ÆPO‘Ùî%õ^ê¯MïàÚdÓàÔzG¤Ñö×زHƒ‰!ÎÓ"ìŠ-Ñj­¯nÒoë¬óÒÝN­!ûÚ9äì—^mÛK\¿Äó¡ïßMÆK +Bï%IHã—v9”É) Þ:î]m_Õô¨ú/åñ®¯Ü©w­|%³3U½’ê;¹WIª×j%<Øåïšqæñ'*ÅêÃÅse†¨£IRãé&d»Ü5…‚ÁT‰)cé¬Â5¹©%]d¿;,£ÊîˆÐ.KS2R\Ó‡g®#ãáÕw†T‡_I¨—ô"Q•%™áÀL÷jr@á÷{òt“lɪÒa±&4•Mâš¼iá³ò¦I ŽPFÁ¦Ý-L˜è;Ž•=–Œ“%4Lͺç”S“%™ ˜\/Â0˜­¶.*¯f:î¸ö÷ÜðÇ Ž[}NPÇjŒ>×qIŠ5S½F(Þ;bL?ÐÌñ‚éwà©‘¶f*ÿÃñ”_k¼œ÷×A"lÍž«<@8‡E{~[#^ÖÂÎ€Ï (£è”±DÅü°hˆ:Í¨Õ ””6ÕKåÚV¾€'pyTéJÌ^wòHÞ"—›à²‰ë§µfm¿c=Ìø±Â™,~RÇ£9á‡#Ü÷±Â#ÞKœ÷d&š øœ€®‘t<|“güË–¯”VÅ ˜®Ž™éÅsÃç¾’=˜7FÈ€#ç°KÈûõõ¤aÌï]R_7 hºÓö"VY;Ì{#@ÆíiÏ1,EòÐÞ=Œù=É«RÞª-"@F–'Ùoe g¸R;€_¥ +õʈÝì••‚ž‹36@JyÏ C:Ö|ër#°1TÌ? (4+Ôx¶E6¿ÂÁ Ù{û|×åbƨâÖ¸~þ|˜S,æG1z±cìc~—Tò™í2†DôóÔø¥ q$€«§CŠà.6·¼eÈ»yuÊw»ÖI ç¸»<‚¥š¿Þ”÷hC­›“í:º8ÆPh ¢‰M"Ž5~(\¡Áô¡öó¼?ŸÓãy?‡±¾‡±~œÿ´nÂb\’‡‹-B’–êsd$¦š‰@™}Ç%åòsd\ô`—1 ŒA=ˆ†¨9c”!ZnZ¿xcXh€2æêD>ÇXor©*Õ÷$‡¦½îh¯lÁyʨ:%/‹n€2F>l¾ʸe|-Â3~¾_&l [õö?;ÂT3í;ðœ@Nû© †Xªùkô]fp¥Ýn<põuÄ(£îMÄ€Á<¨cÝ$Œõ{ÑŽA{¾ cz×1eØÁÍE" Œ¬À\Œ PFËÕExÆúýR×Ö°?€g…Ûjf?gx".v).SÖM7à±€;صgâ¸Á7À^Äœ¬'y}ÕF l‘¿OÌX1·ß3YÚ?wþ«åvÿcÛ0H·36€ é&k™~Ò(CÇZ¹ãX¿Œ‡ÕÜ8Öêæÿ9!å\]€´6Ù€Ñ< +"`ûðjÕc9ʨY€üÜõ¯¢«ii7Wõ†ç”ìKûeÐ £ó•v“@yŠÛbl€2JÎጠØÖ¦0`;²@ çP`»Kó”³G =öx€¯[íe³¨ƒÉýœ £ü3¸l‰(#(Ö§Y^½.D@Eµ°ÀW”ž|4TÏX¿«»•õýë÷ª¦¹a”QÔ§ûpÆlk ¸Ã +Ø)b4Àî¡À\Œ Ølq/íe¨×_ËXÏX_„îÀÏ{UÒÖ›mÀã#Ë·,:A€ˆKl†E4€‡±Ê`§ÀryÀ¸¥˜æ9]•D@ÒuM¯ž #I—1W@G@·.j‚^qÁÈåÞ2Èe1ÎÖSìcýÎwF®·+«¥ p%o: G+ª£<Ôh«-Ùmm†N™Í[› PF涗åÐP†\í²äu«ÅB©4‹ÀÆȦ6@·Œïá„g¼¢˜–<e0·£g©«Ûeèø^æbÞIm5P Ï5ãë¡6¦nÇú}Ln'lÀW‡±º-eLÙs˜|ÁéоO2èî,€•°(£œŒ…ÇòE?' Œ¤€•ŸÁ–c@a¬ßáœÒ©ÝNØ2Û˜LÕc{@rðæ?ʸTð¼ ²”ï¿3Š$•À؀͢ͅE”¡'Ÿ‹ðŒ¿òŠyÊå-OE@CÍcDÈè¬7¬DE@rðáš“ÿ´´4JÆyi—}¬ß‘Ås+Þ‚E`c<Å:¶GÀÞ5ÊHœÒ<'G@åÏ$ð(Ê(ƒI€õ +X*·±NH­ P~Û‹`öJKV#ž/)ùù..õnä^ôlv΋²¿ÖÛDV†<`…G|˜w1Mâsi¬0ãsúô÷˜Á¬‚U–tiŒðÅ +Ó›»0þµ„%Ó´|›PsYXÑî™gD@+-pNË?#FÓò‡Çs¾ç¶j ,A¥ÏÎdü—ëŠÄ0æ÷Ϊ™kwýôÚâ\áuÓ°%Œ‰è@räCšµÏ‹¾™"6eoîLŸùòôyOÑ:xI?xŒÑFÞKĵ?ÖESŠ]L‘ ·›Š9¨x9Kµ)°õe‹óÉr-T!éÎÖCdTyâ]½G•n1]KøÄ ßcÂ{ ±¾POÓÑi þ¦<‡…¼eäÿpJÿG—°lÆú]ù– Â˜ßaSN¸ÿ¹l‡QÅ´\w€ X* àº3ddqö<PÝYªxMZÊtÔ/õ+Ë‚`ÜNÖef ]"Œ5®éÌ#F€Œ&ꀫðQé«È6Õ–ØÇü^$K°Ì2’•×ôFÈ€B­Xúê”LËÚlù>š­»sU· xª +«óª %Ãж5`­§v@³ñ±wpûX¿³PxÒõhv`$ç±õx  q„•k#`­¦Œëêx‡Úåå/õÛ\)ŽUÃ64Qj®Ÿ´H-[ã€+l¤-Â3~¾?²ëw$Þå^gØ€¯‹z¹íbl€õ<¶§ÖX½…ÅÕmÀêp¸Ž€2ñ7k±‘C*uGŠcfFñø„~<~RrÆ(ãæ”ÕÍEàYÚÈÏ (¢›)¾-Æ(ƒ—¿†³h¸¹h÷v.ÊhU€² +ͨ9nµÇXöÚ€Ã?¬°\›EYÙ9öö±~¿hk… £Ó›«Ûøï@*À >€TŠD…9ÈPD“E¤ÂâpX‘‹&ñª)D ´#‰* P­Ðn"*­ HsMxö‡ +endstream endobj 969 0 obj<>stream +H‰|WË•ä8 »OŸ­¿âØ4ºò¿.AP2©î™S=€(Y¢øSzž«¯üÜWîÏ×çOzÒ5ÒW¾ç5æóõ}P”ëJ”NE  ¨Wâ_ž‡Š@@Ѯ܄W³¯Š~UÅÙÃ>®š”¸3€b^µѯnŠ@ˆ"É©‡µª"P<Üx¿2O *zSâ™Ká(Ò•߶„Ç´·vúDY]/×ÓüÑR¾Æ?ˆUñ¨Kðbîb*ž{/~O!ÞþÄôCᦾüàz»ñ‚j_ÞvoŒÿϺ°»;—0êáÎI0j2C±æW©„pÃy2R]áì(²Þ‡+Á¤© žë±5݇üØY#¿ÜðŸàikz,vÙ î\VÎ\2PH.ètW$ èšT²Ý›»ˆíjE‰¬ŠÿN +šJä«Ù* ¢*¶Ø +˜öK–<‘ âNJ$;KAø Îyý£ñlvm§ &ÍçLšï?9W²&?sŠ gà^Õî1íMaífÞVù0 ÔADE>ù _xù¯øãO°¾*ž°ia/WáÛT»Ç°gÛѤÙAX5Ìeßߊ« <†ýF‚˜•‚@ˆ"ÍëÆŽ$RõÃ.‹¸gµ{ {CÙiyX*| Wðv‰[ +ÍJíÞŠÀ¬1…”>vÊH@!ÅEÖ,÷:¥Çb—Ê—vú1`؇ÆjYç  è±Ù„µ¡íš=¦ýN惀a¯èYÀÙÖ8²æ¥HP‘‰ç¼Øì\²?[ð¶‹C0ÿAð\ˆw·«tœ]J‰<**}yï5AbR®k>Ká(ò•šiŸ¼ð¼ì/†½ Hß´{LÏ`Kpmß#艪8e»à =]Sb¬èÙÑ7˜1V‡"Áø~4)‡%€Ç–!Ãg¹ÇÌ1œWÊÂØ9æ(Ê*‘`ž³ÚN[ÂcV«÷vŠH@1˜ØÒj:Ðz¦¨°ZnÀJ˜‡â'[%|±«¥2JôPKI°÷¤DaE‚Õ¼%Là1»®M‚o5ƒ Ù}Ôçiõa﬋ÉJHÀ´«3$?¶ÀTL®¸úU  hÒ~@EýK›=?«DHqÆz¡Víªðl…#\½L×]CÁ$AÅ´ +ÚMðb«ÇM1»NÀÌ©‡eÆš$¨˜¡ìxÌžÑYZ_MÃÌÊ‡ÌÉÎ>7æêZŽ`VŽvt>G0+ïæ{§ÇÌÉÔbï}qèÞé9ºwzV֢ѸÒ2¸‡nYðJѾ²p¦èÍée¥` ˜¤â²sôÅ´ÏÂù'›ýÅ.…Û +›H„ÌrÔcÚçðCªÇLñ0Ï9HkÑÜ_˜p-_]‰s¼xƒ½ßJHEOŒ¿X£ëŽ°ä¬ *$&@ج (š>o…`U8(ø€¢›"P.º2ö  ÐàQl€âAÓÁ.tPèÜ–K^ÇHࢭ„½ž"…V‚\öë(P4} Ñì±>vð-âž%Pd:¨ÚDpPˆïðYñµ}%PÜúˆ¢ÚÀ«V" +D_g‰ó<ˆÊFŠ†¡ ÷A¨BаGˆG°U}ö a猯Ó2õ¡ø­X9×âaÛ”(ùâ£Dá_ìR…ÈTô¼¥cÆþèLJ¼G¸Kq™aã$¨hE‰´æº.‘¸ûr#œs«ÈEgÎW¬‚WØ5øæ\7øbf¼ø¹¯ pi2~­DŠ„îçÓ& èR/zcgk$ð—ʳîŒsQ}þ¬Ø‹ó¹²ÐXüFŠI­jÂdíó‚¥èfµˆ‹“Ä*4€BŸ©y?:‚%±)î}Uij¨&mUGPѸdKá–ndó‚ +xôUüH•Kvõñí[whK²„ÄBSF®‘põi컵r§)>ÑÃ>So)±ŽrKü~á!•w>v¿¹¢ »¸ûJX9û=¼»î¦5÷ÙF Vª¤¢B!üÜ5 +Yô™¿Ï¿wB®i—»)ŽJ_õ¹×¥ÑgLp‚l«ÈÁîí­Ç9Ë=hùäM½#Ø*Ú°®ÐòUÓW™ÝFìÕ-WÎ}£­Ù4sð‰¹OGnv„–|õI}á.×|Ÿ{Úâ½Æµƒ ¢+®»¼˜väewIGEš‡Âú‹#ŠúÂŽ6Âg3†<¿Ñ@°ü5muë@¼ÝÚù+,²½lŸ uã%X?K}eÐÏÝ­Áv¡9ìÝrÖ­µ·[b[lXn®¹%oìÝ;Ë"Á\G5XM/PÜÚägµÖ@ S§ëÙ%P m7ÏžI#…ä‹âa%ÇcVƒlÕ ¯zá(*&Á´Du˜±k–#¨À7órEÀ°g^øÐ6*´ˆåå«HP´ÃP´×pDXÃ*x$¨è-(Š¶÷¿vø6º/ó+y_â#5ÿ^o‚ZàðÔ‡uæÿööÆs»ñÔH³.ýP„U¿õè5òk`Ò¯´fTžWY–ä¢àé“m ­¤ˆÿøìÑEbl݉Á—ü>—ü¹ËsDZD ÅZÎ-ƒÓ +Ò?üåA0)1©™)})Å‚ù~8º 4Š™«ý $>b<‚­£ƒ(öç@Pq“èy)EÃC“á=—kï¢D©¿ø‹>1@¬ÛáŽaò±˜I@˜§"¿È0¡¸›ÀcØoõËzçDL{nJ¤ºŽP…¢bŽxcX¯vZï8*ºb–‰ˆß#c~š¿G ¾r1g境Á2L¡3‚F)EYx[8UT M°ù ÁÏVÅÙÂÜcØ;2Kðmvi¯X0Û¸T ­/…#¨˜E‰²×pDTäS±÷Ñçý‘Ó^Ú±‚#艙Â.AEjá$ø¨{ËÞ¯õ4E xÍW”çºfG°Xé¢ÅÅ’#XyR „@°òÜ)Ô¦@ b7ö–žÎÓ¶¡‘`Ö[yËI X*ËIê«68Âe„ê–‚ÑX=v-p“½‘è»8šó×*g×Çgx ð—Ž1„õH@¡O"‚ûñÑká7aÙ (27þ~$TdÖD#AÅàÅ?[áˆ÷ŽÒ;‚>Jˆé@¼>GŸAÞÍ7ÞHУºè°×îAЧ™ÂØÍÑ.aûªy‘øè@p³’Ì=28‚…CßV ˆ‹Ïh¡¢‰É7T)hµx®yŠµ§ìa'¬£5ôGÝ”øöŒçì~™…7¯É$ìZ¼“>v¿O‚}'+n»ï¿˜K¯$­;Š„ë~iÏ»°N?”X=%P †ÆcsüAP¡Wò,G‚Šv®ÑÎ5”ðû8¦…Sñ³z…¤†Bò}|áUcA­#àm±ØÛD(ašâ¦½”h1ìÃÝ»~À´ßmíçûÀ°c Ã%Úß„UgIL'ôaÀ°ëœˆQÏìÃ./X@Kai_3À `¹õ”}µÿ€Å^¥h|Íõ Ö¦>«® »¦ïœ+B†]ælÛöû¾Ë%[zÂów9–dYö:²ÛûŸ†¢ƒÒɨŸi[´o;/‡ç íÑŽæµ¾ùËy!Ñ,^+€Ç@ðµ#R¯x4Œ–íåYxÈP€wž¾Œbãù…²`9Q~ŸuáŸ?šI‘š¼à."§ýôÛ…cµñüÔùV–K5p ó@ î·ËÛíß>‘ÿÀÑTä\ŽØh?Šà!¹"¯¸-»ñÊÆWÚ"›&¾¹|¶j¶ÄúßôFk4òɃŸä*»h)-úyZŠwÊÍBÀ¸p¨Üþ¦78†ítû =z\öŽøË_‘Rƒ¿Œåé/¿ûÂ>û_¾_úY.½kèpUýÒoÄ7À ÀãA› 8Ý=æî1w«|$Ûå ϳ½ÁC´¦Ø]ÇÞßÍæ>Æô}$`Ñ{)˜{ Àc1øºÉè ÐãÀáŒH‘à¡m`Ú: +€Ç„²xìR `"¼Åsz$À{—–`-Š+G©9‹à²T,à£ej©ýDÙzm<×Á‰dŠ¬V×›Àú}ê¡– Ïd})ˆv©Š]zö²ð_¸ãåÒ¶ÚxµÜ¥þz¦g›5s] +¦¥q8G©j{vd›åH—Ôì6À›xXŸ®HÑà1 ú¬TTP*sûÞj÷„ÔR0Ñõ÷ãê/z .Âä¼d +€ &q%WÁ¹¿€G*¼cyñÖ…Ì`³Aœ 1ÆeÁ¸ŽUH#ƪØ';>;£QÀ> ±$àôF›Á'òJ€Uö +4ÑÄ:cg¯Å;Ψ6 °Ü4µÛòœ{m>Gg©ë­º€"—í¤j©f\\gÄEðèz¸ÓEâ9'jq‹¯ðFŽ» +9ƒyXîo—^¡Ë“ +JZñŸhTÀƒX€y 6M‹;Ʊ['ë³à1˜À+b«€¢e2uðÙk6×Ä‘ÔŸÃWz«Ýc¡¯Í,ÁJ5q5[w‚Í ˜Íw’w‚î$àx|' 0¤"JÙó^“mîôQ»‡˜}mêe¤TÝ‚96+Ä°ßh‘'kÆÄ—mfnkº ­€ãí:­nm€M—,Àf” +>»ÐfléŒrD© à:¨z ÖÁÐCeæwŸ=Px%Gàñg†ç½Ô°ùox9¦;$ÀÈyŠC¶ùí'}¢€·BÇœ²®e? :CkFŒ +x/ W0\ç]æ³ÞÔL#^LÍN`×XÁÔR~ì’*xµ¨/þ°=\FWF`ØcÒp†&K€’ ÿ¸BKf›a­#àŒ°O€AKbüL'€Ç¥ÝåÚÞQN ©øO œ¯Í#«€Ù| L€%2^€IÇ +l¤ÄÖ‡'p‡Ú> fûï£^ä&¶îÆàqißCƒ´w¬¿µð +ð—µ +bìñómç¦pqífÜ@Vx²Þï¨÷âQ=Æùý+c¹G£ôÍß‘=&ÿbG\=Öæ°¶ç÷½}#ê±vU¿1·o̯ç[êåì©‘¼]t”C±ç;¢…Íç(*Í`^µò†lóyïÉa^_¹^dY”eóÞdYE–Y‰­ÀdÁxB–½€²ìTÛæ›bS”TiV-+ø¨à_TiÇò mi*À.ïxa±™aAZL Pæ,_Þ%²ý¶oOH€=áTéµo ¯ÍŽ ³TÖ0 °Þ7kÃk5öˆÙæñjÎú?ÃáµYË3õƒ Ѓ6âï”~ðŽ ¼B70³2`ãR0žh/ˆØÛJq±)”ŽK[ˆçW©§¼]§€·¢ 8V®h´§h‡YvX¯eý† ÈϲxÜ|݌ɪö¤»kƒ¹—÷¤¬'©}hIa³#uëÎÐã&èËû Æ·Õl|ìíÑwF‹äEdv«DFC?žÓÓsq•i¼;Ä>Áþ"1#è6o/"»’Ûj×—¢-ßĪçH¡„½£ù¤^ÃÆ:Þ}ÿîRÚØ­À«Ä—96UÐß}€úš¤ÿò¸Ø7³G&캂µ\Ø|Ç;¾$À¿@R ð…@›_™¡Pµ¨VHÅ°¨$;_7¦+ÉèqrÑ^C °‹vˆÑ×æóÅ8s9_=b1¤%À +¨ÂfZíÙ=´´OK© Ðãä_fhâL5saÖÑ*ˆJ,vW<–Cyz”ètÑ|¸Vºç`¥™’⊿$@YE\ôXE¡eÛŠ{/Ò¨zÑLRi* Î<•Ól¿·&JËc©Š·NÐB½%ÀXj}“o 0‚u?ÏÞ*þ«ÿ°óÎðH€A¼TÀ1¿jü¦jÛÇ„®òûùl*è*®ÏðHàÎ'¶R=V–ÜÅNo¸=1ï^TÌã à_zÏ÷hãÌÛÔ*xëíÐÃH—&ð¡¹RéÞ=ú™êî>*©òÌZm>ï–9¦ÁnÆÊ鵫‚‚¹ýãµù¼çä,6Ÿ¹l€ÂpÝy[ÐãÊ= ?®*UøÝAñ°~RÁ{¼ÿ0^fÙ ƒ0ÝJWÐc3 ï)ìÿ·‘IŽÛ~êòbhtŠÈÿ¶ñÅŒcöõ`<(Jú Ôòˆß¼ÙPÜãú3ä¨X·qÁÕ~§¸Ê1µ!_¶ö¡öêÈо»ËÙ¡GNg–îNºg’@š^x â¨% ž¤ø̳ûÇüÖt~#·Üùœ+OÝø|'êÚrÍ—`Še¡Ý90d"±µM´6Öß¹›´™õ6¯'Ig¤Å1(¸‚® `»¯ÖÕÚs½ ¸òlEÅl¶Ûƺ̨‡tˆ¯ð?‹Ì¨iÝöq“\m×½È{¥u×འ¬÷2b…´ªC¦â3ò€FáÀ?ÊÉíâ<ë„cMµ±Î!ײ¬àÛMl”No †pd `gæ UUa"¬à‰P€."_1ÞpH¾ÿ7hö”o{ÿbÛøAIA`\8„I@q´ 0`ÞnZiàµEy´çƒb BàWwŠ.®ç@ vNþÄYûÁ1ß}N€z¿[‘Ê3Ø9S]›ÜͶu‘¤ÑcÀŽ¯ýÂ@‘Óxżۭ0`ÄDvŸ‹S§?Òÿo€‘Bv¹æIuæZî̓ËYL²àŠŠ5üÈ‹YŤg0,À¿ýú`o] l +endstream endobj 970 0 obj<>stream +H‰ŒWɵ,¹ +Ü+žuR³dG»qËÿí$ÐÍVU˜•ÖóyòŸ<Ö§·ôççi®Ïêÿ‘ã…ø‚¸þ3Æ÷c +1‹)8âß5"±^]#ßC¬ö_,ÚÉ“ÌšX㯛zÑù'"á6ä¦TeŸQATØpåO¢“ÌùIØ…ˆ"8S8òV/…ùRäºÇÏM@£‘çø¬bŽ€FÑ—i8 DÙk8‚5ê'ó]ûgè€Fœ›) yã%›u$ 1×}!ïUˆ´Wp„Ûƒ,0  Qø“ìNáh©ÉÂÞ¯aˆï)œKI*\Ëv"Ê!Hƒt3ݶVɶ/ˆ B4JîŸô§r”É&%MÎϺ,DK¦ÅþÔ1Õ¢Ä~VþÓžþ)X¡T>VK™âGŸªåôi{Lò‡Òp)Ÿ +Zª2A5býF ø”…­GføŒôº a +ÆvÊÁ¦it›\^W¸ ‘ [ªRÚ "¼Äó•ˆõIâ1^“ŽÉ¶M–ðKBÞȶ”¶‡·í‹­iËÔ…¨åu‡$uŒ1’è"Dƒ—9H °$q¾1nºx pÁ_ ó”§‚HZ®˜ úU)2×0 G|ŹO¢'ó¶#àíŸäímG°Æd;NkM³|ɒܱt@@c ®[áàWrª?do$Ÿ”¥*Rë" Q@àÁ„1¸ÈEw[Â1åBš¦àZT|0ËÉsYð€Üc–I]ÂðÖE@£n’‰?†\r½iu¸Öèrä¦y±—#µ#ÆÏ +€F™a‹@@c^‡œáŒŽ9× 4ĹÇR€/¨N:[{ _ï«€-ÉyÚCHG‘ˆ¯h Áæ1ä3Æk X£ÀuÔ³u@@£ <ó2 GDr)•_â Íâû³¼Çß; ‘øì*(a ¾Ü‹´üE‰Ý&%ÎA”ÀŒ^¦&Ð`»a5<(Á [>ÅJ°# ÑøÔí³kôÁ,xh•v8ȵMD|AÃÐ(=îq0î¹p¦±ôšC®GÞf8xP¾tRKb777DÞÌø¤õv…©çø…uL˜ Ú©%„ë F/Jø`lG°F“ŠI„µš@ìŽ×¸­ÓðCÞºàº{¦# ‘A´fŽ€'"è™\$Ùá©ËMQEÙ}DTÕhŸ íWD ©hké + ®/]À­¨©Ù¥×ká1“A]X+LEHØv}òvLîo(zÝN¹°¦x0G&?ZòÑJ]°i¦ç¢(мC0Y r.jD̽€#XCm™ö! nÂDh¥Œl™—¦·ØÒŽÓö…#`l ³GËÔEw1š³$0:`_ÚÐ6Ü]›`§iînè¥<ønüÛñƒß¬`í3ó\(Y²5š$S¯,ApY «¹2ô&+©…g­äj‰#tf%4õûƒv1eâ”:G`²ð‰Nœ‘€_9h8Ô­ý.¡j\ñ;)Ðb h,Á)™ÂÁ,_( ±{ÌÃÿ³8Š1 ÖÏ€¡ßAäd +ŽZ¤#6‘{G@#ß9hÐ=f÷»D„5Õ@è+4ÄÇ_qÇVAr‚Õµ]ýºit(¯Ð¸ôÔI…=½…)W~¬Ñ[gíÒ"JD¡ìYq†Ì2®~ä6'&¦¨6’ƒ—m1QÅ“Ù¹¦À9݄Ƈ–ñ†&Ù¡fpQÉ­$ãÞSí@I,…fiá ±Gú^ú/wüeºtri¦Ûñƒ×jˆïÕÞš„?Óϵåk 4ŠÈË°ßÛ5¯¾ºkžÇ__ÄË‹/õ4ÆAÄQ®¯‘„¡cì.–ü¹?ùÞŸüÜFù^®Ý3d”Z(ôÖºž2RêYÃ&ÝÊÓ’Ë4º¦'1áJïÔ€¹ˆï}0¤ÈØ +CŒ ;F 8©K½X»¾;bW­(?Þõ_°U ƒ!¯—¼^òS±0Š¶þrê\êç¶þ÷þí®¿„½ÇÞâªQ¥ö£@§ Á!&RÏc–Wy Q¨Ë0œ{G.ËþN=kâÔ¦Å<–.ª‘"/ÈÇâ¶gt{XÄ#½±-_4®éLݹú×xv¢Êɾ.o¥r2#g~+c¡85ÌiBçñ¸K ‚^'ÝTاï“Á5ýÆ:Xâ¤gDßø”çÒ­øzÌò)†°îç1^ OœlôJ+ÏÛÅÞ¦Y@ä¦Õù`–Ë„×JUÃ\^"fDä¢3íÁ,ob•¢Óµ‡,íòT-6Ž\kLy™–ŒdÎsA!kÜS»y2·D‚gŒ/’ž0`–WD”=V“7a=S$dºøÓòÒ!Ù#–Q¾e!*Îç1ËéOœp16°óÜsE X#É°JMÑ¢à^"~òà±IÄë¦É*àÁ,¯<×3~¤a yöŸ;¨Ò°»Çþë¼âçy]»ë áüã¾À°Ž*F Ò¡óî<vqyÌÍÉQG^¬Y¼V§>…â½I±]Å_ÊuÜ0Š¯ýx’ŸÒÈ“H«RtêúBáø—AF]ìü‘bžh=še8ËýÜçý}Àˆ¿qA>ßbÈ#…¸7œ†Å]z Ͳb¯þ²øm½ëø¿×åÃO•—ÃýZ¾á5W–¶€yûÆ­òL ¹ >O]ôÆYï÷ âo&±‹Ûà ÿçÂ/‡Œ[’O-ÝÏ•‘ ~ Š´òå*ÆÜLá×´eë{DHRQ/¦áÖXòJ!‡˜-…WNNÚ¤†œ‰Ž:–)8‚5r÷Ñ>`ȹ8`nù¹0äò\°±ö"œÆÐx ØíЯúÙáÁì¦Ñ ÜRÞŸÅfH@ƒ +Ïk©|CXyÔ+ 9çSâ·çO„ðb “\$XcˆÑ‰@BÌrÍߥå.`ÈSÇ[¶¨ü`–7ÙðÈ=fy•ýÛõiboó9¥cÎCz5È`ÙÔ%´÷@͛ÌlânÏé—í#xð©ez  ÁSA¥±º¨ÂÁ,/ÒBéˆæ£@à’ÜC‰À0äô6­]»ZÄ0"m"4Ÿ#+H‘éVÖ#GóðC„Žº‘@°pzñ';XOêÖp¼…›#˜=”PP,ß7Bð«…¬ªi$ 1á½A$ŽâºKŸt¦Ÿ“®Ž8W^¾d(qÖ8ÕÙãs¶LqgÖ‚0÷Kçç&Ô˜æ±ÃÆ+Ìî‘€FÁ(n¾<Ù1‹oGŒhY’eµY° yBÖ©%#ñÝyš­Á8¨W+ ##–Óºæ±È4M'ÈƬõ`Y6:ù,{í—E$ ÁUœˆi +£¦èSÇjF ¾» +ѵª¯JÀ,à2zê +€ÆÀä²Láà#Ÿf UŠ¨4✀‚«…(qî€JʳEO–%´4Q±æƒ3¶$Å?+Î F-©›…*¼Å•#Äz±NxÉ­›¦£Ç,<èеafY:¹rOÔÞë1äz£iòƒY¾Ð+sB$ø‚Lÿ<º`‹HÀ9£€3’#X#û‚ãÈdZ¨»G‚5ŠmXud Ü”à&TÅ€!± Öhˆ^«iCÞÅ+vE!_âÔeŸoÈÒ.m†b†î@@#iùZ¦á·†elÀ8Á¬nJrIà´ýgƒG#5`µ¢¼Ût!}ðÈ4~äFCD27ŒHèÈ­fp0⌳|ž.€FB.îd5ˆHæ>F¸. äÿS^eÉä(ðFÚ—sô5^Ýÿw€ ÊvÌÌ—#“”¬èbÜ…†íôªyŒ»4¡GQö„R°Îetî²|ñ´º TŽF†½ŠËnµ¸7qɶoðöŽ˜–S®…”¼|*B Tá—‡nýi¬‘€búÖðóª dŸ]ÊIÊ4‚±ðÆ@0Û‡$v¢j/˜SM†Ó€Á ¸ËM}l&›ã"ñ!o„ãÆH°bËìɲ=Pp#Š–¬$Òt,:”tm>—iIŽo€aôz¹`pêöE¼.ˆÞ‡HzããàZ¯÷Ô ÜN=øô`†ó¶`À;byóÅúßaw¹"k¡r7âúó%…‰}ò5nÞ¡BvG¦K¸¡kŸÉ"ÏÓÎ[,Pdüí<£#îkaÛd0ìrÙ“›O¡ˆ;ã:ŠÑ„(çUá}w`I}˜Ñ~Ât„™0êy|‹ v¡È(á&ëqB? –ó´ºøæi/qÅÃ_õÎRÂ=Áü4è(26]gG¸GÚ°A&a¢Ü'¹VyM×î}ûm`|¿&—„ž•}ö>—¤§bßãï­ìâ?_¡Ï¥WìñQ)Ã-eá3ç*žbë”,Ÿ„²²º~wa[âÂMÛ`=|¥•ø{PȪ½1‰(ØCgØHð’‰ÞH§42Â{êxÌúêÖ¬_DŠ\„Ð~ Vt“ºÞ±å¨j¿˜ííÈ–;ëÆÍü÷M©¦8ì¿ÐŽEÄ^á+N©‰ðúþˆ|•àÝÕÕUÃÞ5:Uí#z©„€Š®9RMá(6–ÁÅOnðÆ»7FX>e¹©×jªRåu\9ýÄ6yý^ºØcØ9˜Ø&p+ð¸XYGEŒ2b¶' äYô"è÷Ƽ“­ÑFŠ,xg\ÌöõO噪X;‰+¦¼1‰ÐgV$ ¨‚õ™0Û‡\"&²9PLÁè€aX ³»Ã9à >ûDaçY‚ˆt>ÀðR†ãW7/9Š b?:‘¨¼j¡p„¾A"Œ@ïn qñͨ›µÃ.O+Ê£mG ¯3ðÉû‹CÞ·ùÊûvnNNñ%V¯h¾–<ï«Ê +©¿=M´Âš6ç`OãlRd:²àÉ](@¶fž&7x2PÌ!&±ÁŠÂý› ¤Í‹€BSmÄ°Ï"X欈ƒ}ÌãœaŒ—ÂPÔÿãb|çÆ™dv=òqÃÅðólòÑY=(\[·)h,‘É›£Ýàê5?0ë'g-gCÖô ° n_ð#8cÁ<G°BºvµÁ +™Ä;EfÄ°÷!ØúM  ( z7…# ÈMT¾ë^•/7CŠÙ÷U³Z™Ù»òÆÕžeðTŠ4~¼$IAî§Ä{ ;Oç÷• R¬zØ­ÆG +©©ìAoØ¢l#ô[ŽÅ¯\ÚY"M»ÿ²€aßðEë&p>­âœú$‹}½ó'~Þ¿%ÌS[ÿ§¢rG¬µY¿©U0®©‹¯DmôO(²aeRßAï+äÍG4A)'—®w~€ þp¤k\Aÿ1³½ò°™äMtæ·€É>¶d"?e@hx»è•¢‚tÆu›Ï"D³Í/~ä0] &KÀlG_bÏÊ|0Û+fÉlŽ[°³½Iï仢‚@°Bɦ¯H°bÒéÍ!±a`N6RD +žÄˆ°‚óŸS.Ù%B4™ã©ðtµ_üÝ^É‚^–á¿~ÞI¼Õª>LPõá1虲êà·½š}——ÀÏë?|¯UR'Æ/Ù‹wÂçå=×þIg^]±ªèÝ"n¢lÔ¨ÃD ó"g õLÿŽÀ¼¸°$eá^)Uoá‹À<'O ++/=I6Œ}múÀ/³+‡AŠ¶2%a\GÚˆûÿ-€–8>ù¼z‚°(Ö#Vÿå6×g`õp3ù)  œÑO5Ev˜•ÐŒñü± tÌ7‰Ö<¯(9cuäæ[Là+ÇÛZ8º÷Os½3.JW=ŽÓP«ô3VqÔÔ"·|rùók”±Ÿb>+u;IÌzggXA:Ð;±Žß9ç×0x …+ ©uÒ0_yѺÆ1Ã17a|¯³ù%FºñýÙ¶>1›ÌßØ]ÕÁéo@øV÷ ¾ý‰{ÿ•Ý]°ðw!¬z‹rÂcfì‰á_žGùz¾«­œ@¼ëÙ•¹]ã oÍëäŸÎòÐU‹Qø+‡B®Yf Þtt­ñµPc̳â§,±M°8èF}áÞiÎ)pÐF½­ñ‚ëO¼ÕMžMÄòùû`3gµ +endstream endobj 971 0 obj<> endobj 972 0 obj<> endobj 973 0 obj<> endobj 974 0 obj<>stream +H‰”—IÖä¬D絊ZAúfÿ6*÷?}@¡ ý5oWa F9d÷êíoŽñ•ýß÷Ÿptìþ￈#DuG+ ø¼ÃÅËáÎC‡W¯jPxM T§p”°@Ñ! €#—rÃÑ_2ÖÞÑ„êŽñÔ^÷)®Ã…L£~·ï’fî*ÏÜÕ+î¯øõmÜõi}]ÿ꙾.9Z¿­Û:Ú„þe¼,P=å9J¾%S®Z‡äÈýräN'b€H'à{Gª`>â_~é(ó²ú—q¬4t5ÀŽx>5üëöuh;nƒÆ›‰§þÊ##B•Ò2´K—(ñ£q`ê’a#£‡¶G·zÆëÚ·¡å›3€£HÆ1€#NÐ_j8qŸ–®QâGÏxyõñÜ+È­F¼¶j–øшӦ’F<Ó¡c`á•¢5h¡epÞ÷¦)Ñ©ÓÎ +p´´@U‡øjnæI>_õhÄCYºåm0ŽYýʾqH#¾jÏxs•øÑÈ7uÝljÁ)ÖU1’oN¡Iý%-¹Ü–ÆÕAñÿ^ 7Ÿèæ’4à\ÝÜÄG›²Ó_™ŠLiȺÊy=ñž–.»"=ã#‰èù°²Y_gätçušÇûóñÃÏó\v|8ã˜nrû|ÎÝ°›DzÆÓ«—µ«˜éI›Ö äÆe€ê˜cò:˜ƒc¦Ñ#t™ÃÑï{Ò0Ì}#”=ÀÑÿB¾Ì™Ã9ïøü†dø©ýÂÿt'†F±ò1Ò÷Ú·K‡ßj³%^Ú0ÒOñ²ÒØêÖ~Ðu-¿ÕIuÊLü!QJ¬´QçMÔí +OöŒ¬ú6È!=ÏÒž0GÂñKÞè0£ €c– $ƒ¬F†Ì”¢)§NP5‡ @¯&*íBÐ&í H7¾tSÃѨ¤q>õF$ Å}訥öèSȶü@KçT ©1Ë{o¥Í»/°Ú´êú¼ÕèõéõFÎ?<·ÎùX°ù—àÊ€Ftnn9¼)„¯î✱wmßG ¦ÃÏÏ>$(ƒé¹:Æt;}Hc„ÑN{?ÊŠß#€YŒ’ç}ÚùÃà}O+‘1äô3ø÷ç¿g+ÆsrHÎ0X“Z•õÐÂjù¼-pýr¸®ŽÛpé’~¹] Ý/ýÈ&BG5¨~ŽÇ„ö#ð_êÇÔ» Ða×FÕr ‘†myýë6@ŽX/Gô`>²Êé^–A€Î_wƘ+õûêb@Žê/‡ôp ðH+ ÈÍÏŽšˆ:†ä[—¥-àub‡ÿjäGÐåG. T©âXºèGÛx®Ïú-b£BH@˜TÐ! €#`R^g ƒÓI®Ç»®%ÀS9þ0(hùr4ýaéÚÍ$DŸYPt°Ãßo¿9 GΗ#gûÅHôÅ°#Þ»«dÈñè9~oÆÂå•€ß: +æ»Í^숷#Ú3ÂŽöìpõr8:ͦ ð½£õpŠÈ:1~ëèè9ªNÝvøÛ!`Œ6ÎE~uy¢ãÓ_Ã1O€l8Ц5=ã~þ‚ÌûFÊ8"5 àXu*ìä`G ¶Ä20-< ä-6GI#Ž¯t!GãKÌÍÕÝK3€#]öA#ýXgÖ눣²ŽlßV¿×¯³ô¶'0«Áž`w1¦£¼Ú|$jq$‡/ ìêH`:ò+¥v~XÉô¬uLq^ŠU¯<›­è™CZOlÃÇK>n¿uß¼àÀ9nÃÑï?¾÷Õe €3t8V*RS.G fß/‡èò–qfR§?€÷eé¦OxDA[rq˜²ÅñÌÕrãЫـòô¥óèDóRÑgÚ³E«vÚàȶ»¼€|T‡ó5 €£¯“òÞF¼÷€š¹6êZÖ=4Ž +ÐuPN[’µ-v»ÚÖþðÄ<$¾h/Dí}\:å}ŽF¼âª´ÓºÛNG´é<ëÝ›žƒŠ?„¡éñ‚“ôÅóçz† ð¾«Ãp„²fQË.®!ÏPã®ï¤g<ÎuUým!=ã~ý¨Ö´/XažÒZ÷EÏs˜ÉZÛ¾P¼ïic2FÖuô¢àßàKý6:yŽ'¿ã3Èð ÂO ”|©ßFË_iÄç“á¤ðˆ÷Kâ¯5&ðN¹/|áðí®ü¾P9Æa(_mLŽHHÈײ;-ähõr”þðHC¾f}ÄrH b Ž°@8c@Ÿ/‡ëÔ¡ó¾ûIÛxí¯úü¬Aè×2ŽÜÈ:)È‘âåHgŒ°@Ô¥Ǭùx¨äpõr8#bêN?†p„¶jYÓ™ Ž€j§cÇlòjÒºC@K§ºëcÇKöy#€tïx‰?ðX–?:è¾Øy9ÝÈ£O|ßþ¬ÍÊÉP¾pÄÛÁß7JÃvrÔ|9j¦]$G»kã£9а#ÞŽHùÍ‘7à{Ç©˜ƒ¥¡½À¯XmäãµP€JóßÌÁ¦À;žÀ©6Ñ”«£)¯¸N¼…Ë`€q„ý;ÝšCs»‰Š·_ß9ÈŽ¾´ü’žñ°zÚÑ­ìw€£¡Ÿ y; ˜Žˆ¤ÕF€+7ôJa‡I©¼ ÌZ‹È<šÍQXË*AßBŽù'Wý+÷í0ŽùŸPÝ>‘ ðÍgSº~Sﵑ ú½³À1§^Ú® fvŒ=\zZ«g¼­o€]g À1»r0uŠBüb%~u‰g­£¹ùÓü¾í8i¥…nXëoùt„ÕzPä4€Ãã‘}ùÀ­²N“Óë‘?N«<âíN“žq‡“àõ€C²§äíˆH8 e¢ƒ‡çÚäÐAžK‚Àû€s-+ØM'ó–¬Od¦Ô°Yx(g­À‘¥OV‡pT4ßU×jë·}–`@Õ6ŽUÜ»~Q+¿–ÚWìQȽYtG†{ÍNà˜§d€Ý&@GãqJNÏcóÓŒƒå´ç1@{š¡ƒíyDŸëVŽæ¿È¿ÆP»ù=êü­ÙðúIý +¼ïZðïOœWGø›šþ÷uf]v£ðÔeÍÓ’(/ñQäƾdW¥ã ÿc¼\Î#Ju ¼Ÿ(&‡7Ä1i¸óß^¤’@R·¯½²õS‡æ! +á~ò;tI‚JéÒÒ¾0„Dg¸¥"[ó.ˆct|‡0„0$è2„ø{ÔiÇ{;O¢ÓsŠ~"i6“úþ'u^iºßPH¦¾ÿÛq•_ð1µsõB×Z¤ØŠ{ÄÝécj_4fºìðx +Š½°\4UðŠÃþ8 +.ÝÔ¤Ã`…Ö{oãÜnL_ì܃cØ.®Š½ü?™Çú£6F;ôíLýÆÔ>éhÐåw@FAÍu–÷RtzãБI¢pபe³@+8Ò ºVö¡! qkJ‘g2¨í Oö” Q8@ +6Rª’¬œ¤`C' këÀV´]:p ƒ÷1Úkf Kçu¹ÿ 'Ú¤3O@ÖÒƒƒOà•\þKÕ l#¤ÆÝ)3›ÜÓï]¼)úƒÒäf +Š…OtKÀ +'|Òš®°wœ¢åVýÜ6ãpŠ +P†* €bL7[`R ?›N +E;+øb´Ó™ ƒ=9kÒœNÿ³¨ÅÅô^éZÁ'zÞ£è\ÊÆ8Ì]¦¡‡Ù€{Ü7PKw–Q½Y:À¶ÃQ—ż,©ÔcI@±Ž±~‡¦Wá¼éعîJXÇ‹9³ZàX±kq)G’‡&â¶¯Â±ä •Õë!¶1ô?/ùäÁ‹=g`Ò„ò +Þ¹$…YPT|"æÁ˘‹\¾.¶í僑}eö²NíáhaV&⶯ ±ä¤(I·ÉR46ˆúè²xEâ/ÔrmüŠCþ8Š‡÷¢f½É÷(vML}Èv¿³Ó«/é£u›ä.F{9úïßyÞjÂ(³7¦öJÏ>”Òƒ˜ÅêÖ <€âéÖNöâ¥n-Êú$©s>ò‰XÞäì؃­¨K/9‹bÒ{-—ý¢C¦y@ŠWéê"µã<ë›/€ƒÄñ:‚S{ãäZR¸í<Ó¡§ÅRT,ðÐõôŠ‰OÄáé2ßñ^€qëfFI—D-_y}îâ]18ÒæaÝIJÃ@Q8Ûq±´÷(èAѦÁì/è¦n˜q:EsÛ`e7snâU}0Å8KùbInt©gêá‚{µLpbØT  Êt=ë8SS»pŠ†Në9¹ÜþANj@ÑsÚ–ÃOúÎò +xÒ§€Ö¾åžu1Ú¼¸c4€OGY÷Db»ÏõÝ  ˜¸Oæ±vH1èµc} ¾T7<ó_ E@AÕõb ¨/øDË(¸ +Û¯”ó¶0 +®¿×¹Ÿ',pÙ—±äÕXEfE~^˜YŽD~—%¹H­):ž½Ev%R,€,§(€­H &ÉÞHñð@#õà‡þq¯»­CÇÁ Iyû>Ò”)S‘’‹ÆE;+¥dø ÈÜ2À8s»sèt5›P1¥ª»àFN µA9s3€“{ƒîözƒõ `ÁÈeRÓmñéAgtƒ64= EåÛaƒ) +HQ(ÓÞ-É0S{æ÷ÀM@AWõs©ÂR$Ζ4N;@Š‡K´ ŠÌÕ(ènК* €¢à]bœbÈFÂdÓÒ¹¸ŒÃTrç,®ësGtœå0Š†Ot뀢Ϡ0 +Jô\¥Š ûx…PT?Nr8Ápí•£);r#¤^AgºR@1`ý¤ïƒYöôHÆc±Þí ]–Óƒ8U2GÍE X5Xª0»š•9Å‚Ùõ¦ +®!l çÊ(È팥:ÛiøÙtlÇX×]Ǻ pÖ>S°öyÌá“vÌßwÔ.z®˜ÙÝâN›ÿ~òó7 +²¿üh6n03ƒÙ>ú¤°Qo Þ(8)M¹„ëµ|ødqœ– +nü‚©àƒt]æ‚×± $ós×WpŠš‚¢´òúLŽ-©2pŠ4‚âiÀMý©o6»öâÛçxÍaœú¢óÀ)ú +Š^>ãS.Ïœ¢¶ ¨Í¹ÕösïVN‘GPäëˈÏÜnlÛ¯)ßØxòüzÎÔ p®½†S ©CFLàx‡íë¥à†ÂŨàMán¹!Îõ7â(žø£ÿð(8?0Ñ[Û^„U˜óW#Ä=þˆ/ ÆŠë.mEËÔþ(ñW²ï‰WÏÅ1¹ðÙà^‘À9êI+HÑ¿öU¤ Èp_uHÑp²¯?;…ä»@ѧ;ê@1»³R0Rzgщ:R @<ÎdoŒvJ¸–Î(ÀŠ6ù¬†Øª 7¨ÇO ¸^Ù²) x¤mÙ䂧ؼ]¸åjÅ°êÉ#éVµb˜‹ïÐY4yÖÃŽ3›^f"ó“aƒ©z@ŠÂsŸUÝ×ôAñY-cà9£0à¥Î2× (Û6HGa€üJÌÏí%´7Ê¢©ç ŸHíäÁ߉óyO]¬Ê¯(QQT‘‚ }l—Ìs±´÷(è?(RTœyP4OözðWEÁÄÚ™ˆU ®g*7ví)´ˆE‰–3jþªh˜h>{l€S¤錛®#£ˆ'âýÌÈÚ4Ó‡€§9EբѳiUËH¼"EE + +Ô‘ÿEd¬jiéS´-PÛpBÕÊÒŶ=ßžÓ{lŽfÕ:Ò§xüñ.Z7zà8™Ç¶=€ÂU.F»œ ýQ¤ç`à‰G.9£—·ŸÂÑ(8µºŠX>úbè£ÃÅØ€ 0Ÿ`¼ršëÉ€×MÎ=üä²à–ž· £xmøÍÒ„óŠbIæFg¿>¦øå'L:®è€Q”Ó…¯þýßRr˜0 +endstream endobj 975 0 obj<>stream +H‰ìWK²) ÜÏ)|‚Šâçð5ºî¿D +Ôկ߳=ž™ï:SY4HB®¥ÃÕo>Æ#;÷íñ—ÓÄÕ‰|G)H¼íî(MÚ¯±`èø< +ÿA<Ê°þ^b¶ç×Ä¥gn*ª7Š¿@Œ}ä»e¡Ûqæ×Ä% Œ‚(œ7Šg¢¾&.IœÅ(Î"c!¯1ë±Íse‡ ^(¢ÿ5b)Ò–ÿñ^"EEØ4 G†/ò +xŽ#‘ãJ&|0 +dª†ÃùÀÊî´ýT{òG-;‹Ñç¦q2ß'ó}4ßó}{ó½3ߟæû3hWãóÒ 6ú\ 6¥!¶ç>v Ñ‚ Y\¹ÕFñÚ¯ÆA¸6‚Њ`ëZ•j‚PŠâ‚`…· +o9£ìŒÄ EŠ¯ qoÂQ×ñqí˨þ…"XEP5@*±ëÎî!Îî°í¼N*Q?•ÂʼnM¥uRA|R±²ï¡ÐµÈ6+Bt¥ž­+ÿ!:›TB–˜hJÌSƒÀŸç‘¼èçDTqCÂÍc;^CB1+‰!ð/ÜNc˜ÿ"(Ê(ؘư‡þAhG[+‚ÎÚhÒèس³$†Ý÷M…ºê"HQÇ…éĬ0Š EÎéÄ)ÇÂk<â5· Pjæ•ü} ;1ÖˆáÅOŠ(f©TÞ™ÅZ¤ð8[[íCPŒ;s®¨R¸9iÍ:¦(’WM@PĬژ"xª®" ¨~ú‡%ø,(ÁmVPŒzÚËê:­ àÓàGäÜj@‚Àu;£‰­ ĕΫ8*âÚU;‹,ˆË¦]…®øæK”îJßP_³4Þ†!HQ¨1vµª:æѪÅY_—$b1ŠX–Â[…&º»³%ÞàR¿@àÂþÓu?EÎFñL¼Á)"Ú?ýˆµ Q{Xb×7)ðñáª!Nõ'Îä©çìo¨^Ö7M"`U4!+ï51²‡ „Ð]MG[[Üvz“t—))ÜÔKœ·JPx<+h‚'BfŸÔÆ·á˜ýì«ôLé8ìéÍ +hz«~ÍfmËêVàVCZãI‘æÙæØ£(Æ厫@(‚g‹«*ŠÁ9¸‚aÌØ¢·* +®~s‚S\Êæ>EŽª-* +Î×9z(g¡[Ñs~«Š€‚JD'¶?ŸD.-¯ ‚‹.B»êöÆ»ëq2<,qÍ*Óñ¾¼ï×ÁZ!Äñ@-ç<½$ 6&»§C-ŒÙËmVORœPœSH€©‚ãH_ø0…bÚ¯O8¡?íz'$Ô>Môè>“½ÿ(ž–ÍߊwýñAfzøQKBWfZ/ðøÏñ”¥?€ˆ( pEÔ’±åþÁ¥yÈFD™nêx@Ÿøˆ¾Ì[èvòI?>Ô^ ŸìÅDu¸Pó‚›Ç[’p¼××óóÿC£ÛFD*ü}ßoow)Ö¯uà†Ëõó…ÄÞ‡øºr혜,¨¿Ügù˜bØo.Ä“ ûœò¤/€ -¤Þ†G9í0×ÆS YñNO:ðQr#F~ùP}p™h ‰†‰Nî)!EêüD”áeG^ô…ðì +׃Rzü…°{c÷Êî©Ђ™¬ (NüeZ +AÂÍMÁ‘ +“½Ç¨¢© 0ì©ë{Æ 2Š^܉à`j¢+¨ëQ¹BÞzªùqrØÃN(í³§êZ~p J¹!à˜2p\ŽÙvªÝµ°}c;ÃQ!Ìc Šà‡ø÷ ¿`ÓÝ-mx ÿƬ½¦ò LÙܼÅ‘ œ>¡{™È܆ƒzü#û âL3›nwi¥¸Êù;E³¨u +Ã>jcš!1nº£ãÌ`M@ý¨¿„—¬Ï\+49ŠFÏÆ‚…ÝÍHhŠEASø¶^¡º>%…Šêל@ qGwßÏiÆPdjL‰'C\«HÎ95¤ž }—¾»2Ý× \Ça…¥Ýióú?ª½†òÐÄ¥Ë6ÙÏÑúÿ77ý²Ütk?©/‘§`c|ï}b·nÌëgu@E@AÙÔ Œ + »óÇÃw¤%4ÖQyúŠgz%ð*w{‰ÑÍ3àB ‰Û¿xRdD&Î"­ ôì8’焧†½"Ûòœ»6æS _Ý:Å°·ùž+| ¯¤9R +#hè¡\)œ +Ã^D‡ðs‰6Vñ°Äµ£Ög#FPxyÛ†*>pmº@PD„-§©ÄM®„BU"·sºé)zµóò9›þä&õOÉÓØÚÖŸí~Ï +ßFs=g2õ†âü"–€‚*,m*A +7f€¾-~KhŠŒŒò£0ì  zOåmÏ-{?Nö0|KþiSPÍÞøù˜Šx¯óÏ!þ(¾®0û¦jû[ì?‹¯'û÷·Š½ü +_ +;gðÿ +¿¼^M°RâÅ÷m~^u©;A>ª ûÿ7¶öÿ2ÞWè gÜÁM!ÌNkŒòy«‰÷ŠŸ%x˜øÝÄn™¿—¿ÏãÅ×óKï_$\ú•5¿‡¸¦~˜ áô§ ³œê4¡|–?„ à±êežjâ½âww.¼["â‹u’­ýßÁ©þ#8þ÷‰§$û÷“ýqGüàŠ_ >Ê–K¡Åá%‘ãˆK¨8ß-u£ù±£ ¾.6nŸÂ¢é}¿¿ž/©Ú5Þü§Mµ3êÔÚ˜ìõÈ^æÍóãK½ÍO½¶nžow^Æ^øDm +g"ïLòØxj½:Gûž}ýð‰ø|H~ƒžx߄îGå™*™ˆÂ~ßxºMPŒù¹K°1ìŽqaûÆ×ØBñçÀ[ÚøÚ[,GåÑ@8åR'Zc¯lŒGW‰ã~Nüc´h¦0gaw~¥ÌÀ<Îg¼“Uä’"HÑ÷–‘!öXå«G¤ÈGS¯@M@¡¯\6 Ç•ù¨¥˜ù“v‘Žs÷ô‹àèÕ×âñÉ +çƈæ8G:|›I.(ˆè¦BˆxÆšy]vA옧£ª˜‹˜çÃâ‚ ˆÑŠZÂäiúa²Öó£ð“ɇ¿Ë|_^ÿ¿üß—JGOMm”—(ov\0áüÌÄ¥6‘mÔSÃÌ‹_»¬h¹<µÔÏ8±ô^è5ÀÈT^¥ýh5ô\ã£üþtÀ~\YÏãÏ ezF¡^ÞP £ž‡ØF~ßúÇ”Çx.·SOQø9 ÛõòȦ&¡óAÀDÓr=¸Î4~¦@N˜œù_æµ7ÑלY‡wà°l3½÷v­²B•çëOMþûA€6¿8`ŠO~ åø‹kéÇåþ%`Š Àá©)f€ý˜fÍGï×ø~ ö«÷ö¢|² ñÃd{.¿¿:/hp,…‹gœ¥ n4›×¼iÒ0MœÇÍ?Ó–×sâ»má[p)8Iv¡½˜ BÓ¸M÷M†Hyù7$ÛŸ'º|Zæß9‹·,‘¢`72­“dËq²é&f6Z€4”» àpÝRü²62­[“dmy½ÊÂ)øFÓÙk$$¹H«Y ˜)ÉÁrDªLSç˜ÄX‚Î0z £!ld‘®åë³PïGJÅ&€4QÏ_CRÆõqí›T‘2­›v"oÒÐí8ÕFÎ6X‚ЙC ²É2Ó6]’I_‘¾ÏöªL4.ÉL•”‰ÊHrÔ9»Àd£E¨“1k Ð ²-_U>¶ƒ`ŸäJ>ÈSä“Lë:¥¾.5^e"6Å$®ÎÔ €ÂÄ0Å·¸ÔH œÈ'!Ò*›yµˆ´ª=•RÞ\e^§r²³†mf-ú¦c4À¡äM-A)/u”6ó(jº}#€âŽ=§ïR^ø8€3í¼Gy™¼ç>´½‡(»\O˜a.‹ûVÌ«“¶Ír–l¬®·ò¡= —5:ç”_OÉûÑF|œµ qLO…Sä.jxLT§÷ lØ8BæJjä9 mØÎN‘yd6@‡´;È$ú¥tÖjÀ›æ é@€'m4@Ò^ +‰|´.ÔgjŒøø  ø @tœÑ3€428k5~¾"oÑBZzl4 ˆÁƒ6²†ÈãËS¹‹HÃS˜¢!Š<ˆÈj(À÷ðÎÙVy FÉ;•wJÔ ò.l4ó^3\H•w2g Py×#¿ô3 ò€Õ’w*ïÚqož•U¨Üh%« 4FÏ4Œ–¼kxÍ£äʻօ³¨¼`¬äÂ;6ïXh× m€@€.MC¨qJ@@‘¯-€ÍMQ‰-pH½JQZÛÒ¼@ž®È@ C¥‘»@©4òà6H#N©D]ÑjXx%°ÊóU¤Lë†ga †r©Ù0/-@^pÃâÎѤ‘ÖÈïå  x%š|α8ÌߘÄlz ¸õÏ_ø=i"*3ñ â5oÑ™ø‰N±v ¯F²á‹ß`ž¡Y)žÀZ™Y& –¡m”§¾2Ë63[¹°Œ@´‚å –m´¹“´@aÙFWr¾ +ËÄ XÎ@aßøQ –3PXÎSvÛºq‚Æ*&,ï¯Üõ ƒTÐÜ·>¬G#4Fh ªnÙº&UMÞÂ@±á¥OÞÁrYn’Bõ‚1B` Qt¬7Íòå:ƒñB!…¢`…zÄlÇùç\j±ó9o~D]¿¾úÝæê7›ét·ùöJuø-¤m·â_Õ¥~ g"磻ʹ^]­à² +&ÝÍK7ï®ú?ìŽÿ8> ›ïÐœËæ h|}¬ø̇ø´&s¦Û<‚ê—°ú]Um÷öÕÑ!ÏxÑÿé·ÃÊ­Çþ9ÿ~q=üeó%ªhëc·‚4óÐÓ7Ïx—£mNê±þ|XÁÓ’·>ûÁ˜F?‚ þGun²˜µí¥ÁKqëþùö›‡Çã›»ûÛݯ­Ð ß<Ùmyçm²¹}¼]§Mw·ï.…Öxˆ  ¡c:[®ªçͳÝÑ;0Òß©¿`¶±ýý¯uÿOãèrŒõDÛ?Ç;¸¢‚xý}‡R«±‰¡‰ýëûÝ©š€§³ K°Ñƒ‡ûì€h…ÚÿkPäăô†UÞ?ñ >ß Ð-ú7¸Í÷ÿN·x  m„ÁØŽ±Æc(p”ÜÇÓS$"º”ÎЃó% ÞkêÁÎÚпà=ÛƨæÑ»âY.åOáB]¨ Õ[}¿ßå‚•õóÀZÚúÕöx<ž02ºß~Ã÷?Oc—"´{xq£.å ×êðRŸn®ºÿ ÙwÎ +endstream endobj 976 0 obj<>stream +H‰œ–yTSwÇoÉž•°Ãc [€°5la‘QIBHØADED„ª•2ÖmtFOE.®c­Ö}êÒõ0êè8´׎8GNg¦Óïï÷9÷wïïÝß½÷ó '¥ªµÕ0 Ö ÏJŒÅb¤  + 2y­.-;!à’ÆK°ZÜ ü‹ž^i½"LÊÀ0ðÿ‰-×é @8(”µrœ;q®ª7èLöœy¥•&†Qëñq¶4±jž½ç|æ9ÚÄ +V³)gB£0ñiœWו8#©8wÕ©•õ8_Å٥ʨQãüÜ«QÊj@é&»A)/ÇÙgº>'K‚óÈtÕ;\ú” Ó¥$ÕºF½ZUnÀÜå˜(4TŒ%)ë«”ƒ0C&¯”阤Z£“i˜¿óœ8¦Úbx‘ƒE¡ÁÁBÑ;…ú¯›¿P¦ÞÎӓ̹žAü om?çW= +€x¯Íú·¶Ò-Œ¯Àòæ[›Ëû0ñ¾¾øÎ}ø¦y)7ta¾¾õõõ>j¥ÜÇTÐ7úŸ¿@ï¼ÏÇtÜ›ò`qÊ2™±Ê€™ê&¯®ª6ê±ZL®Ä„?â_øóyxg)Ë”z¥ÈçL­UáíÖ*ÔuµSkÿSeØO4?׸¸c¯¯Ø°.òò· åÒR´ ßÞô-•’2ð5ßáÞüÜÏ ú÷Sá>Ó£V­š‹“då`r£¾n~ÏôY &à+`œ;ÂA4ˆÉ 䀰ÈA9Ð=¨- t°lÃ`;»Á~pŒƒÁ ðGp| ®[`Lƒ‡`<¯ "A ˆ YA+äùCb(Š‡R¡,¨*T2B-Ð +¨ꇆ¡Ðnè÷ÐQètº}MA ï —0Óal»Á¾°ŽSàx ¬‚kà&¸^Á£ð>ø0|>_ƒ'á‡ð,ÂG!"F$H:Rˆ”!z¤éF‘Qd?r 9‹\A&‘GÈ ”ˆrQ ¢áhš‹ÊÑ´íE‡Ñ]èaô4zBgÐ×Á–àE#H ‹*B=¡‹0HØIøˆp†p0MxJ$ùD1„˜D, V›‰½Ä­ÄÄãÄKÄ»ÄY‰dEò"EÒI2’ÔEÚBÚGúŒt™4MzN¦‘Èþär!YKî ’÷?%_&ß#¿¢°(®”0J:EAi¤ôQÆ(Ç()Ó”WT6U@ æP+¨íÔ!ê~êêmêæD ¥eÒÔ´å´!ÚïhŸÓ¦h/èº']B/¢éëèÒÓ¿¢?a0nŒhF!ÃÀXÇØÍ8ÅøšñÜŒkæc&5S˜µ™˜6»lö˜Iaº2c˜K™MÌAæ!æEæ#…åÆ’°d¬VÖë(ëk–Íe‹Øél »—½‡}Ž}ŸCâ¸qâ9 +N'çÎ)Î].ÂuæJ¸rî +î÷ wšGä xR^¯‡÷[ÞoÆœchžgÞ`>bþ‰ù$á»ñ¥ü*~ÿ ÿ:ÿ¥…EŒ…ÒbÅ~‹ËÏ,m,£-•–Ý–,¯Y¾´Â¬â­*­6X[ݱF­=­3­ë­·YŸ±~dó ·‘ÛtÛ´¹i ÛzÚfÙ6Û~`{ÁvÖÎÞ.ÑNg·Åî”Ý#{¾}´}…ý€ý§ö¸‘j‡‡ÏþŠ™c1X6„Æfm“Ž;'_9 œr:œ8Ýq¦:‹ËœœO:ϸ8¸¤¹´¸ìu¹éJq»–»nv=ëúÌMà–ï¶ÊmÜí¾ÀR 4 ö +n»3Ü£ÜkÜGݯz=Ä•[=¾ô„=ƒ<Ë=GTB(É/ÙSòƒ,]6*›-•–¾W:#—È7Ë*¢ŠÊe¿ò^YDYÙ}U„j£êAyTù`ù#µD=¬þ¶"©b{ųÊôÊ+¬Ê¯: !kJ4Gµm¥ötµ}uCõ%—®K7YV³©fFŸ¢ßY Õ.©=bàá?SŒîÆ•Æ©ºÈº‘ºçõyõ‡Ø Ú† žkï5%4ý¦m–7Ÿlqlio™Z³lG+ÔZÚz²Í¹­³mzyâò]íÔöÊö?uøuôw|¿"űN»ÎåwW&®ÜÛe֥ﺱ*|ÕöÕèjõê‰5k¶¬yÝ­èþ¢Ç¯g°ç‡^yïkEk‡Öþ¸®lÝD_p߶õÄõÚõ×7DmØÕÏîoê¿»1mãál {àûMśΠnßLÝlÜ<9”úO¤[þ˜¸™$™™üšhšÕ›B›¯œœ‰œ÷dÒž@ž®ŸŸ‹Ÿú i Ø¡G¡¶¢&¢–££v£æ¤V¤Ç¥8¥©¦¦‹¦ý§n§à¨R¨Ä©7©©ªª««u«é¬\¬Ð­D­¸®-®¡¯¯‹°°u°ê±`±Ö²K²Â³8³®´%´œµµŠ¶¶y¶ð·h·à¸Y¸Ñ¹J¹Âº;ºµ».»§¼!¼›½½¾ +¾„¾ÿ¿z¿õÀpÀìÁgÁãÂ_ÂÛÃXÃÔÄQÄÎÅKÅÈÆFÆÃÇAÇ¿È=ȼÉ:ɹÊ8Ê·Ë6˶Ì5̵Í5͵Î6ζÏ7ϸÐ9кÑ<ѾÒ?ÒÁÓDÓÆÔIÔËÕNÕÑÖUÖØ×\×àØdØèÙlÙñÚvÚûÛ€ÜÜŠÝÝ–ÞÞ¢ß)߯à6à½áDáÌâSâÛãcãëäsäüå„æ æ–çç©è2è¼éFéÐê[êåëpëûì†ííœî(î´ï@ïÌðXðåñrñÿòŒóó§ô4ôÂõPõÞömöû÷Šøø¨ù8ùÇúWúçûwüü˜ý)ýºþKþÜÿmÿÿ ÷„óû + +endstream endobj 977 0 obj<>stream +H‰ò þ'''000///...---445b`ezxyw~((("""+++222>>?fej###:::PORljpA@A^\aqovyw333LLOvtzSST!!!212,,,NMPxv|{y€hhj545>=>;:;111011PNRvu{yx~²°µ”’–š˜œ¡ž£’”TSU=<=JJKNNOBAB***223GGI\[_lkqnlrrpvÖÓÚÌÉÏÎËÑÓÏÖ¿½ÂkhlJIK]\^bbcPOQ)))PPS`_dfdjêçîëèïíêñàÞ䪩­–”˜¢ ¤¦¤¨ŽŒWUX434&&&101CCEJJLIHKSSVechhglgfkèåìæãêåâééæíÏÌÒ‘CCD;;<<<=::;MLOONQONPêçïîëòÚÖݤ¢§CBDZY[baca`b]]^?>?666DCEGFHEDFDDEãáçÉÇÍ)()’•»¸½¼¹¿¾»Ácce<<<===899ÎËÒ„‚‡igl½»ÀÜÙßÚ×ÞÛØß±®³›Ÿœ  ž¢|{~[Z\áßåÌÊЙ—ŒŠÎÌÒåâêäáçäáèâßæÖÓÙÏÍÓÒÐÖ£¡¥vux ulà[ + +endstream endobj 978 0 obj<>stream +H‰b`dbfaeA6vN. àæáÅ.ÁÇ/À‚…„Á"¬¨â,¢bâ` &4q I)i„ +3 ÂVY9°.yEf6%6V¨³²Š*XBW]CS‹Y›"!££«–Ð70426153·°šdemckgÏÅÉÉéàèäìâêæîá©ä”P÷öñõWêðó÷ + à猊Ž‰u‹‹OHLLä””Ô´ôŒL~­¬lÓ¬ˆœ\±¼|°D¿aQqLIiYyEyeUuMm]}¤64–55·´¶¶é·‹vtv±#$º{zûú'L˜è0iò”©Ó¦Ã%fÌœ5{ÎÜyóæ/X¸hÑâ%K 5“P + +endstream endobj 979 0 obj<>stream +H‰Á‡PÐÿÿë’BFÚ’ç<ª£hãÜ›'Ÿ4*Ý»/ñÊxDN‰…çeö‹ƒZ½ä|ÎÐÂË‹‹ôûô"œûÝ5[[Æ- ‹,~;wg·µJ“Y iX†Ïô¤™‹9ö0°Ó®–4{úñbè×:nÔ>LÖ«-‘ß͈¥y†ä„Ú˪kû²Ú­þfÅ'Q/ÇHÝö ð h'ÕÌ㻇(.°2ŽM;jßQÉÐú_€€ìœ` + +endstream endobj 980 0 obj<>stream +H‰lׂ0D/x "j°#XöØëÿ”ã+7ûxfgçÌüc˜%ËvÊP º¯Zc„ûõF³ÎÛa§Û£XDýÁŠœáÈOŒ3œÆ³„Ê0L㹆æÂZjäÅJÊLP›|½Ù:œÔwûÃ1TsÿdËó…ÌùõvOt’çK#ÿN?™¢O‚Š¾.}~ tú + +endstream endobj 981 0 obj<>stream +zw~yw~ywyx~zxzy«ª¬ª©ªŽ~ƒ€ƒ~|zx~ÛÛÛØØØ¥¥¦ˆ‡‹‰ˆ‹‰ˆŒƒ‚†zy~ + +endstream endobj 982 0 obj<>stream +H‰b`F2QŠ˜Á˜dd&ݨӘ‰´§;P™ÄšÅèŽf†ŠcSÏÊŠ]œƒ“‹“›Í(^^>~A!a4õwª + +endstream endobj 983 0 obj<>stream +H‰f™ÿyw~xv}†‘–•“š“‘˜–”›³°·ÆÄËÆÃÊÅÂÉÓÐ×ÞÛâ~|ƒˆ†Šˆ‰‡Ž‹‰ ¤­«²«©°ÃÁÈØÕÜyx~zx}„ƒˆŸ¤º·¾zw~{y€|z‚€‡yw ¥å=Œ + +endstream endobj 984 0 obj<>stream +H‰b`@F&fV6vN.ndÀËÇ/ ($,,"*âŠ#ËIHJI1IË É‚Ååäååø´IH0dqʈ£óÄñ(Æ©t{qªRŠ„¬a*ဿP + +endstream endobj 985 0 obj<>stream +H‰Ò-ÿÿÿÿýýýÓÓÒ¶¶¶µµ¶··¸§§¨Š‰Œ…„‡†„ˆ„„‡|{€yw~zx~zw~ççç×××ØØØÃÃÄ››œ”“––•—““•~ƒxu|xv}xv~òòòÊÊÊÂÂÃÅÅÅÁÁššœ…„ˆ†…‰‚…zyzxyx÷÷÷öööõõõÜÜÝÌÌÌËÌÌÎÎÏ®­®~}‚ïïïää䎑‡†Šˆ‡‹{yüüüøøøùùùñññÞÞÞÚÚÚÜÛÜ×Ö×¢¡£…‚‡þþþüüû¸¸¹”’•èèèÙÙÙ Ñ”² + +endstream endobj 986 0 obj<>stream +H‰b``dbfaecçàäâæ^ æãa``à—””â–‘•“WPTRRVQ5˜8£º††¦–¶Ž®ž>*¯*\=òË›‹›š"‹›™›[XZYÛØÚÙs2 3G3u'gtq0ptÅ*> @€  c + +endstream endobj 987 0 obj<>stream +H‰ÌOO‚Pðoï!¼¨Ø+ã¥ÒQj^,/6}¹q椄Ž±97àAÝ¡õû?XA XÁUˆiˆÅÆ5ÏÕiÀBŠKWPG}nÝÞ³UŽxíy„^OS”Žª>ɲŠQ P¨,Ë$IǙτüÌfßÝn_l\5ÿ·Æét +‚À÷ýívëy¿Ó¯é` ëýU£!Šã8 ÃÝnw×j7Å›š 24w8V«ÕȆÙïiˆá1+E‘çùå’kýERT¥#KªÀ‹U€÷ûýdòi[öx4þ0-éQ©×šˆÒ4KÓôx<.—Ëáphï¦i¶$Ä +Qm6>Y˲³,;ŸÏ®ëþ 0ƒÖ¶ö + +endstream endobj 988 0 obj<>stream +H‰b````d@(|I!NI&f,:€ V6vN‡ Œ`€›‡—™™™Ã%ü‚BÂ"¢œœœb(‰KHJIËÈÊÉË+°ðµALWTRVQUS×ÐÑâ@VÚ::ºRzú†0»˜ âŠÚÚFÆ&¦flææÌHêAÀÒÊÚØÆÖÎިǑO89»¸º¹xxx¢Š[zy{ûøúù‰c€`å•Ð0LñpEÅH€{/ + +endstream endobj 989 0 obj<>stream +ÿÿÿøøøñññüüü + +endstream endobj 990 0 obj<>stream +H‰b`À™° +303bÔ Ã + +endstream endobj 991 0 obj<>stream +èåìçäë + +endstream endobj 992 0 obj<>stream +H‰b`ƒ0"s ¥ + +endstream endobj 993 0 obj<>stream +H‰·HÿéæíãàçÙ×ÞØÕÜÖÔÛÄÁȲ¯¶³°·«¨¯‡„‹uszvt{wu|xv}yw~èåìçäëäáèâßæÒÏÖ¿ƻ¸¿”zx|z{y€{xéåìÜÙàÁ¾Å¶³º·´»·µ¼¨¦­Šˆ…ƒŠ†„‹}„æãêÑÏÖ¦¤«›¢Ÿ¤Ž•‚€‡†ÛØß¾»Â¸¶½¹·¾¤¢©‘–”ŽŒ“ÌÉа­´±®µ­ª±àÝäÖÓÚáÞå ƒ}¶ + +endstream endobj 994 0 obj<>stream +H‰b`dbffaeccçàäâææá>àdda—”°H ÉÈÈ0ËÊÉ+(*)«¨¨‚´ñ#€€šš€º†¦––¶ŽŽŽ.Bœôô ŒM´MùQH—š›¹…%?`emm-ŒMB@PPЛÄP4þ + +endstream endobj 995 0 obj<>stream +H‰ÁW‚0ÐûßÀøaâ¨!UA©2EdÊpÜÁ÷ò­ËOU¼QJpV¨NB”F¸ÄMè¥×‹­J†e> jÛê—Å…®š,³^²œ*E^ÇAòd<þ~s nKÔ¸`Å‚n§ç9‘¡YP”O¢bßù¬kÊUWL†^ò[qЧÌeän¹[N F³8„~*ìᔢùè¹àxj8“ ¶ZÛtüW,´$B8¯œ‡xÉ_€ÙH˜Â + +endstream endobj 996 0 obj<>stream +H‰b`€F&fl€‘•‹8'7/¦z~A!aL &Q1q I) >iY9aLõ ,ò +ŠJœX$Ø•UTåE°¸‰MM]«/ØT4µ´±¸UDGWO_ÓIR†FÆ2L˜:XMLÍÌÙ0ÑYÇBÜ‹ÍVÖ†*6X>stream +H‰x‡ÿèåìéæíåâéÝÚáØÕÜâßæçäëâàæÖÓÚÎËÒßÜãæãêÞÛâÍËÑÃÁÇÇÄËáÞåÎÌÒ¯­³°­´ËÈÏÝÛáÉÇÍ©§­ÑÏÕ¾¼Â¥£©·µ»âÞæåãéÛØß¿½Ã«©¯¹·½ÑÎÕäáèÕÒÙ²°¶ ž¤ÆÄÊ ”=`Å + +endstream endobj 998 0 obj<>stream +H‰b`Ü€‘DõLÌ,¬ØÄÙØ98¹°ÆÍÃËÇÏŒEB@PHX›Q1qa ,v0qHJIË`ŠËÊÉ+(*qc˜Ä¨¬¢ª¦.À†* +`óÅb + +endstream endobj 999 0 obj<>stream +èåìãáçÔÑØÁ¾ÅÖÔÚéæíæãêØÖÜÆÄÊÙÖÝéåíâßæÕÓÙàÝäåâéçäëáÞåØÕÜÜÚàÑÏÕÙ×ÝÎËÒ + +endstream endobj 1000 0 obj<>stream +H‰b```dbfaeÀlìœ\XÄY¹yx±?vñáø° ³ `fÄ*Î/$ŒÝQ€Ë9+ + +endstream endobj 1001 0 obj<>stream +ËYËZËY + +endstream endobj 1002 0 obj<>stream +H‰Œ + þÿèèPÖBJˆÒeZÕlfz7É\ +!T¸ƒL@”)ˆôÂÓ|¸9Ò4‰àx´b¾Oói&KDNìs :At1C + +endstream endobj 1003 0 obj<>stream +H‰/ÐþËYËYÌ\-Ïl=Ñv;Ït8ÀlI·so½z¿–x¹’„²•¢·«¼»½¾º½ËÈËûúûÿÿÿÌZÍa&Îf%Íe"Æa1ÃhTÈ_ʉ\Äa³‹µœ¹¼¼¼º½ÁÀÁòðñúùùúùúýüüþþþËXËXÍ])Ïi/Ñm-Íj.¿eN¾ywÄ–zÄ—w·‰´š¥º­³»·ÇÌÉääãççææææééêËZË[Ì]Ì]É[Ç_nÏ•¡Ö¹Ñ´•·£²¿·ÁÅÃÃÅÄÇÉÈËZÍYÌXÌVÍ[SÔ†{Ú¢yÖŸp¸Œ†±– ¶ª§·®©¸°ÌZÌ]Ì_Ì_È[0ÈjmÏ•€Ò¢{Ê›ËWÎ`8Ñs@Òy?ÎvÌ\Íb"Íc!Ìc Õ °ó + +endstream endobj 1004 0 obj<>stream +H‰b`dbfaecçàäâæáåã„!aQ1q I)iY9yE%e ```PUcTS×ÐÔÒÖÑÕÓ704226153g€ °´²¶±µ³wptrvq…»1¨»‡‡§—·¯Ÿ@`·Ð°ðˆÈ¨hˆzŨªŸ„IB@bRr +º0„´ÆAÖ¢ÊuC$ ¸a3C‘6.T ÀŸ¶ + +endstream endobj 1005 0 obj<>stream +H‰ò þèåìêæìÝÝì³½é¢ævätŽâTnÀ#>9Š:‹9‹8ŠëçìÙÚ뤲烚åq‹ßPk¾#>‘:ŒìèìÖ×듦æy’æpŠÞRl¿7Q£!<Ž!< ;æäìËÐ늟årŒâf€Ô8R¥$?‘#>!<çäìÛÜë¼Å醜ål†Ü[vÉ4O¢%@’$?"=ŽéæìæãìÄÊꜬç~–äa{ÐGa´1L'B”&A“&A’%@‘äâ챼肙åx‘äXsÆ6Q£.I›*E—*E–)D•'B“%@“çåìÞÝ즴çw‘åuâTnÂ0K,G™,G˜+F—(C•êçìØÙ문艞æsâl‡ÚNi¼/J›.Iš-Hš-H™+F˜ÏÓë“¥å{“äság‚ÕLg¹2Mž/J/JœíèìËÐê„šäränˆÜUoÂ@[¬3N 2MŸ1LŸ1Lž0KœÅËêtåj„×C^°4O¡5P¢éåì×Ù볽耘äuåiƒ×B]®3O 4P¡3NŸáà첽鈞åy’ätŽãgÕ5Q¢7R¤6R£ãâìÒÕ럯çz”ävãb}ÐNhº>Y«8S¥9T¥8T¥7S¤7R£6Q¢çäëßÞìÇÌê–¨æYsÅ?Z¬>stream +H‰b`€F&fV6vN.nnn„8/¿« 0—P‚IBTL\BRJšCFFVVNIB^AQIY…C•ƒCMM]!®¡©¥­£«Ç¡¯ªjÀ¡f726153·°´²²¶¶±U5@HØÙ;8:9»¸º¹»[yX{Â%¼¼}|ýüƒ‚ƒÝBB¬¬aâ¡aá‘QÑ.1±qñA ®np·&&%§¤¦¥ddº¸ÄÆÃ$²²sróò +‹ŠKJKccáV”å”WTVUWU¥[Z–B„käkëꛚ«[ª +[[‹,-¡nmkïèìê®ìéííëéiiƒhèŸ0qÒä)S§MŸ1sú¬Ù³çTÏ Ò0oþV¶…‹/™1cÆô¥K{{g;I)T` + +endstream endobj 1007 0 obj<>stream +H‰|1C=ÿÿÑ'6#WY–u¥Á€ƒú`+Ô_ï—>\hT&v¨ƒ¬.šÞ¡)¯ÖÿúÛƒzéKô‘{hc_NÙC?e¶ÏË¥ÿBâU + +endstream endobj 1008 0 obj<>stream +H‰ Êé–`€áë0£¤ÅžÒb*I‘¥RÌE™¡MYZ„+ïœç×{^Hp!a_ýþ>!ðûðÀOàv5éX“°x€D·Âïêò GXt벇)¦øèØ#ÔWC\ °I€Ž}D>U…}C;Z„ka[OZzÒœÅÍé¹hæIˆ*>,:ókg~iÍbdä‘«{oyï.nÝŵ­ƒ˜4§1> (3ï›YßH{Ëm”•“FÊØåÀ~ÐvA¯‹¾‘‘«”Û¾¸Í‹Ý<§dìÇ`]ÐVN™ÙðûÍo߬ódò_€¬ÆP’ + +endstream endobj 1009 0 obj<>stream +H‰TÎ[[‚@€á:*²  …P`((š•i¥vøÿ¦Y×.šÛ÷ùfq/4¢$Ë'§tÎÎÙ Žã*²,ÿEUµ&¢Œ$IÇ®ÕjëzGÑ ƒ– +¢*aŒA 3Œ£HLˆiZmšiBˆçyÚ‰ÝÞ…mšŽƒ]·£([Ú‡G½Ë«žmâ`ìêºr¨¸~_üÁupv£Ø&·€°ÞM”¤þ`aÅY¾×ú‹–Æy:)ŠÏëÆqYÓ¢]G­¦³lT' ³0Œâ’=:_TÓGȱ4³–OÏ/‹jµzýáa'!ëÍÛûòc»Ýí÷³,«Çy2ñ¡ôÂϯõæûh¾Û¯(ÕyšúÅ0ø`>0+# + +endstream endobj 1010 0 obj<>stream +ËYËZ + +endstream endobj 1011 0 obj<>stream +H‰œ + C÷îé É´/%ˆ:œS%$sK¨Ñ ÙH0:õî­K±Ý šÚ«’³oD§jßòçVÿ—_0ìµ.¤"À)„. + +endstream endobj 1012 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?èºVºŒ3Sÿ?Û Õy·V뿨Ú[Œö7NÁwZ-¹î-pª†σù¯-6µïqžL­>W†8ƺËR×Ëf^NÅ=N—×Ó“Ĥªô¬A•yk„² ‘Ù%f´¶'Ò¾¹È]Cþ+ø…ã­URY¸vlÍëþ¬ñgÉ%È$´¿A¯úOÿÙ + +endstream endobj 1013 0 obj<>stream +H‰ÁiB`Ðû¡éG3M¥Åˆ,Q¢P ™Œ}߉ÎÐ{m54å·H›·j8-wò]kË¡«Ç¾ù}€ÍPl]ôIX<$Û“(BèªÙUcäg@·Ê¬u¬€"NëœÅÕBóµïÄUÞyvÄ]xƒF¥x{N'3šdã ÜäÊI<+:Ojí8VPd=ôR;Z†{¦yØ@³þ g~u + +endstream endobj 1014 0 obj<>stream +H‰b`F&fV6v“‹Â`àáåãd‚ð8„E  Q1q >aàà„Ò’ÌRÒ2 è@VN^AQ‰C\XYEUC˜A]CE“Óu>-f qFm]=}LSxÔu E±‰kaq £¤±‰(¦­xIªIzÐ + +endstream endobj 1015 0 obj<>stream +H‰Ñ.þÿÿÿúùùåæåôóóóòòòòòöööþþþÄÍÇ×ÚØÙÙÙÙØÙããäûûû}±’œµ¦´¸·¶¶¸ÃÄÃíìíøøùøøøüüü=ºmX·}y¼•}¼—~¶”µŸ°»µÀ½À¿¼¿Ù×Ù Ä_8ÄnXÉ„\ʇX¾€d°‚˜´¤»¹½¶¶¹ÊÈÊú÷ùúùúùùùúúúýýýÌXÎa.Ðl/Ñm-Éi2½g\ÀƒzĘwÁ•xµ¶Ÿ«º²³»·µ¼¸ÐÔÒæçæçæææåæíííËYÌ]ÍaÍbÊ`!Å_4ÆlAÈu@Çt=¼nT¶z•¾§«Â·¥º¯¸Â¼Õ×ÕØ×Ø×Ö×âááûúûËXÌXÍYÌXÌW#ÎdeÕ’}Ú£uϘs²Œ³œ¤·¬¦¶­®»³ËÒÎ×ÚÙÚÙÙòññÌZËZËZÌ^Í_Ì^Ç\@ÊuzÑ‚Ó£x¿”…±–¥¶¬·¸¹¶·¸ÙÙÚñññËYËWËVÌV_×fØ“]ņd°ˆ²˜Ÿµ©ž´¨¶Ã»ÊÒÌÌ] Íb!Íc Êa#Ä`CÆv\ɇ\Ɇ]³~l¯†ËYÍZ#Ïd0Ðm0Ðn/Àf8»kÌ^Æ_#Äa üÔ)e + +endstream endobj 1016 0 obj<>stream +H‰b` 0â’`bfaecÇ"ÁÁÉÅÍËE‚_@PHXDTT MB\BRJZFVN^MBQIYEUM]CSK[GWOŸ †FÆ&¦fæ–VÖ6¶vöŽNú` gW7wO/o_?ÿ€À àÐ0ˆ„sxxxDdTTtLl\|BbRrJjWz†¾3dfffeçäæå—”–•WTB$²€¸ªº¦ºÖ¸®¾¡±©¹¥µ $˜‘«í]Ý=½}ý²œa « +¤­*|â¤ÉS¦N›ž5ˆ« *2g¸¹Íœ`äWGµ + +endstream endobj 1017 0 obj<>stream +H‰bþ7R¤7R£6Q£5P¢5P¡4O 3NŸ2Mž1L0Kœ/J›.I›.Iš-H™,G™,G˜+F—*E—*E–)D–8S¥8S¤6Q¢3N 2MŸ1Lž0K/Jœ-Hš+F˜9T¦9T¥4O¡3O 2NŸ1Mž:U¦5Q¢4P¡6R£;V§%@‘(C” &w,~!<Ž%@’$?‘$?=W©;V¨6‰4†0 &vo#t 'x.3Q§/L (E’/~o!r3„6‡5†'B“QoÈJh»B`­ <‹np1‚)D•=X©OmÃGeµEb²">n*{4…2ƒHf·@]¨If¹&B• p qHe·@]¬PlÅ*Eœ'B”Gd¶?\«MiÄ(C›4† NvÐ + +endstream endobj 1018 0 obj<>stream +H‰TgSÂ@E³i”HM#$hÐ@,$ˆ`CÅ’`[(V¬ØËÿwÎ8ÞOoî™wÞ.pœ IŠ¦Á`(ÌôEØh,Þ?ÀñŽ ˆ´”ÊJ˜IFØT,®jB]OJC YV&É(Çq†g2Ãé´(I>R@@UUDÀH† uªG’!Í4î2bR×E)›£ÐÔcþ€@ºhŽOäeÛ¶$Ôxÿ…Da²8U*•Y6ÅhÓŽa8¾ù +3³s–5_©,,òKUÓrÜòÊêšëzµšµž‹D£0pcskÂÏój»{õú>MÓ”a:ÆÁáÑ1l@›ºžd³ùS­ešgç—M¿GõUýn·oÊ U­ÞÞÝ?üöÝ>stream +H‰ ÊmSÁpðïUß@/êE^'Vº[{²ýg¨„:ŒÔ«®¥âtX¬îÖDî&óÐ0ã|‹ü^ÿ0„€!µ“œÀãf¼ }âÀ8B‘ð£‘ëP0ÊÐLjͣËI%)®XÊfráà% ÝNpL`ô‰ÇÇ—ß{½^SlòåL&—;ærùDâÉÃxq”:„¾¾¾UUU†Š(6«ÕZ­þÃq¥sˆ¦Ü€t±áXéÿëýÍf³étª(CYî÷ûƒVKâù|¾X(pñÇ3ïé`noî[ãñx2™ ‡J§Ó‘$©Ýns\™e¯hౘìÉgUò\ÀÔí@V †ØˆøÝC·ÛFF#ŽmmnCú³Éz §,Ë¢(¦Ó/¾ÓÀÊʪF³f0@†³l¤^¯ÏçóT*e‚­ +Ö×7´ÚmÚƒaØårU*•Åb1 ¤¶”L>–#3ªÛÙÕë Æ}ãI’‚ T¿«÷ñ„݆ۭĿdÆî] + +endstream endobj 1020 0 obj<>stream +H‰b` 0‚I&„333V…,¬lìè‚œ@õ\Ü<¼|üÚÙ…„EDÅÄÅÅ$€ÉI)iY9yE%e e„>U5u UM-m]>>=¸f}C#cSS3s K++k[¨¿½ƒ±±£ƒƒƒ“³‹«‹›»XØ“™ÙËÁÈ ¼ÕÔŒÔ\}|Á¶øù9#@pH(X",<"2*Z !–ˆOHLJNI… «9¦%¦g€$2³²sróò¡ÂÎ…EÅ%`ËKËÊ+*«À:\Sªkjë8AI03 + +endstream endobj 1021 0 obj<>stream +H‰rÿÿÿÿøøø÷ø÷ùùùýýýÖ×Ö×Ö×ÛÛÛòòò§¶®»¸»·µ·ûúûúùùûûûþþþiÁ‹zĘv½’}³’™¸¥¯º´²º¶ºÀ¼ÙÜÚçççæææ:ÇpBÉv@Ås@¹ne¸…¿¬¦À³£¹­¿ÉÃÕ×Õæçç †WÏ + +endstream endobj 1022 0 obj<>stream +H‰b`h€‘‰™«+;V N.n6^f>~@–—”’BÑ*-#+'¯ ¨¤¬ÂʪÊÇ‚Ð`ƒ>R + +endstream endobj 1023 0 obj<>stream +H‰ɲá`F_°á,T±Wl”*ªÐ ˆ˜"d0I„Ò†—J»î½ºªû ûï>Ûó}uúýÑp8g“É|6[Ìçêb¡kš¡ë+Ã0 cgšÖvû}¿??ŽGû|¾Úöýzuî÷‡ã<Ïçó×××ï×ë(öey8ŒEÓ´µalÖëÝfcívË:—óùv¹ÜßÞÇùxu:½nWQeYôûÃÑh:*ªºÔ´ÕriZÖÙ¶o·ÛÏF£ÕjqÇw:Ý^OYŠ¢«ªašûýþt8اӅeëõz³Ùl÷z’$ &e:]¨ªNQ•J…a˜Z­4×nó×åyP%IžÏ5]_¯V['‚$IŠ¢hš®Öëv»#†á8^.— š®T« Ë6F£Š +…b±X*•0‚ H’®Y A Êe†á\.—ÏçQ;†ay^Èþã‚ ÿI&“©T*Nƒ9‚  ‰Dâñx6› d|>Ÿ×ëõûýáp8& ð†aÈív»\.ÇB¡P0ŒÅb™Lð¿ u2ÙÆ + +endstream endobj 1024 0 obj<>stream +H‰b`dbfaecçàäâæáåã—”’IÉ°±ËÊÉ+(*ñ)«¨ª «kˆijiëèêIë3°Èƒ$MøL…ÌÌ-,­´¬mlÁ Xíì98åxœ]\Ý@Rî6R@mž^2Þì m¼J>¾~þ®æAÁ!6¡ m,avöár‘QÑ1±¾~.qænñVÁÖ ’R ŒúÌ^av‰IÉ@©”T?ÿ4s  Tˆ H*ÝÓ+#3+(™“›’—_àâ +vKˆƒtaFfQvvbdqtnŠ/PÊì–I’Ò²ÂòŒÌŠl°™)y©•þ mšUÕ5µu¥õ @¹ÌìÄÄd ¤o¾¿«›[ccSs]KiYCkkfVVbÐ:ŸØÔÊ´¸öööŽæÎêÚº®–ú†ÖŒî¬ìì¤È✔”žžÞ¾þ í&NšT7¹¤´´°¼¼(«há”)S§MŸ1sƬÎÙÕ@}@·4”wgfÍI0Ð"vÉ + +endstream endobj 1025 0 obj<>stream +H‰n‘þyw~‚€†ˆ†‹\[]667111///...000mknÊÈÎäáèéæíèåì€~…„‚‡UTV778zx{ØÕÜzx~|ƒsrxYX[==>..---,:::~}»¹¾åâéêæîzw~}{‚dcg767-.-+++CCDµ´¹ëèïyx~yw}uty[Z]444***BBC¸¶»íêñywvt{ecgJJK333011NNNº·¼trxQPR666YYZ›šÓÐÖzx€rpvHHJ---nmo½»ÀÞÜâhglBBD,,,222GGHŒŠŽæäêsqwbae@@A566WVW›™žáÞåJILAABÅÃÈçäê{y€][_)*)LLLÁ¾Äëèî{x\Z^&'&IHIÄÁÇîêòwu{YX\%$%EEFÀ¾ÄíéñzwsqxXWZ@?A101a`b¢ ¤ØÕÛêçîpntIHJ233ƒ„ÑÎÔäáç hˆªí + +endstream endobj 1026 0 obj<>stream +H‰b`€F&fV6v666N.n^˜8¿;PFPH˜‡&!"*&.! TÎ&%-#+'“PQTRV‰³©ª©k5ðjBd´´ut!zú†   Ð$cS3Åìlæ–P VÖ6l` [;{8TÂÁщl3«‹«BÂÈØÝìÁËÛGØ—.!âç – +áA’pUåæ$¥ ±":&6Ž—!Ÿà‘HLJNA–HMz(Åžž‘™•Èº5'7/l5GAaQ1BGdIiØ v›òŠJ¨@€&Ë + +endstream endobj 1027 0 obj<>stream +H‰ŒÑ + ½ÿÿéH‚65È'ÝΆE@HÉp ²e7tHe7G¸“Œo]jøœ1Uq2¶ä;~²î†¹”È×K€,è3 + +endstream endobj 1028 0 obj<>stream +H‰ ŽÙRÚEÿ­vÆ7k_ìÔ>t:AI ö=[Ø×°MöÕ¥Ú~N3sï=çÞß·šÍN«Å±,ÿððÈóÃÇÇñ`0fOOËÙl½Xl—Ëýjõ¼^¿l6¯ÛíÛvûg·ò¾ßŸ/•JµZ­ÉóƒnWx5fT…wú«N§Çíöbîõp<„‰L*%(Š¹\©R¡†ã¹>™¤ACÊjuØí.—ËëñàÁ`$&‹Å +Ûæ‰HE‘ƒÙd²šÍv‹Åa³¹ìv·Çãóù~ˆ "¡P4M +eŠªºñœXÐé (j2-‹Å0Ìïó~0"I2åÀÒ"A‚è´ZEÍF£·ÙlN§s¹|Ž'²D(­Bˆ"§J‚ F­†4†u¢'R­ÅÏ~YNÎM··www€J€Z­†5†µZ­^§Cõz£Û-ü *××sÃÑ7T*½¾¾–Ëå7 +Å­R)l@ƒÁâpxìÏo©éð:<…//Åb±D"¹ººÚ2™L~s£µªÔ泟ڃcðó1xq!‰.%©L¦@Q#›Ä2ôä;ôé8øü`d§ŸÙ + +endstream endobj 1029 0 obj<>stream + +  !"# $%&'()*+,-./012 !3#4 56789:;<=>?@ABC2DE FGHIJKLMN'(O?P-Q 2RESTUVWXYZ[\M]'^?_+,-`abcdefghijkWXYZlmno'^p_+qBrstuvfwxijkyzYZKLno{>p_|}~€‚ƒ„w…h†‡ˆ‰XŠ89M&‹Œ)@Ž‘€’uvf“…”•–k—I˜lmMo'O™š›œžŸ ’¡„¢£x†j¤WXJ8¥¦§¨©ª«¬­®‘€’¡vf“…”•‡ˆ¯°±²³´µ¶·¸¹º»¼‘ ’¡vfw½”j¾¿ÀÁÂÃÄųƴǪ¸›œÈ€’uƒÉÊËÌÍÎÏÐÑÒÓÄÔ³´©Õ·¬¹œ»¼‘Ö×ØÙÚÛÜÎÏÝÑÒÞÄÔ³´¶ª·¬­º»ßàáâãä + +endstream endobj 1030 0 obj<>stream +H‰sŒýª¨­›™srsfff~~~¸¸¸ØØØäääåååæææàààÐÐÐÌÌÌÅÅÅ®®®œ††‡jimpnuzxyw~ËÈÏ´²¶wvwzzzªªªÝÝÝÆÆÆÁÁÁ¹¹¹   †‡†oop^]`ljpîëòÏÍÒ||}ghgrrr‰‰‰¾¾¾ÞÞÞÍÍÍÄÄÄ«««¦¦¦ŽŽŽHHIDCEVTXdchcbglkptryëèï‚‚‚kkkjjjmmm­­­ÑÑÑ¢¢¢žžž‘‘‘aaa;;:333;;HGJYY]èåìæãéÚ×Þœž„„„‹‹Œ¡¡£À¾Âµ³¸lkm\[]`_a__aEEG334777éæí«ª­ttt}}}ŒŒŒ””•››œ¯¯±ÔÒ×ÏÌÒ‰‡Šxvy|z|zy|YY[???èåíÂÀÅ“’•qqq||{•””­­°²²µ»º¾ÕÓÙäáèâßæÐÍÓËÈÎÍÊП¢zy}ØÕÛ³±µ~~€€€ŒŒŽ™™›¯®±ÉÈÌÎÌÑÕÒØæãêëçïËÉϲ°¶âßåÃÁƈˆ‰ƒƒƒ‹‹Ž¦¥©ÅÃÇØÖÛÜÚßßÜâçäëÚØÞÎÌÒÙ×Ý©¨«›šœ—–™“‘–¹·½ÞÜâßÝãÂÁŲ°³¥£§›™žÀ¾ÃãàçéåíÅÃɱ¯µ±®µÏÌÓåâéÙØݺ¸¾ª©¯Á¾ÅÚÖÞáÞåÏÍÓª¨®¦£ªÜÙààÞäÖÔÚÃÀÇÇÅËâàæÝÛáÐÎÔÉÇÍÌÉÐÖÓÚ <â + +endstream endobj 1031 0 obj<>stream +H‰b`dbfaecçàäâæáåãg–äŠKIËÈÊÉ+(* ƒ$”UTÕÔ54µ¸µutõäô™ ŒMLÍÌU,,­¬mluíìœ]\ÝÜÝ=<½¼}|ýüýå䃜‚BBÃÂ="""£¢cbãâ-ý‚õ’B’SRÓÒ32³²sbrcòò- + õ‹ŠŠKJËÊ+*«ªkjbjcêê ƒ›š[ZÛÚ;:»º»zz{cbbúú'Ltš4yÊÔiÓgäæz››Ïœ5{6P|ÎÜyó ,\´xÉÒe@nmLîò+€ ó•«V¯Y»n}Lnn äz{ƒÈ 7mÞ²u[ lß"g¬ß±s×î °gï¾ýbŠ:|äè1o áã'Vœ>stream +H‰D»þÌ[Ì\Ë\ÊZ@ÏwoÔ˜pÓ˜i»Š{¯˜³¤¡´ª¤µ¬ÀÉÃÑÔÒÚÚÛöõöÿÿÿÌZÌ[Ë[ËZ,ÍjEÐ{FÐ|BÁsT¸z„¾œ–Á©’º£¤¼­¼ÄÀÎÌÎôòôËYËXËVÌVÏaSÕ†hÙ–`Ì‹a±‚±” µ©¿ÊÃÏ×ÒÏÖÒÖ×ÖéèèÌa"Íc!Ëb!Ä_=Çr[ƒ`±b­r°Š¥³­ÉÆÉöôõüùûúùúËY*ÏiBÊvC·oB±lT´y¹¡¬»³ÌÓÎÑ×ÓÓ×ÕÙÚÙÍ]Ëa Å^Ã]%Äb:ÇqC½rD´nE´o„¼›¡»­ËZÊYË[Ì_È^Ã[Ã[nΕ’È©ÌZÌ[Ë[ÊZ1Ïn?ÌuËWËWËXÌYÊYÉXÈX kÚ¶ß + +endstream endobj 1033 0 obj<>stream +H‰b`dbfaecçàäâæáåã€A!aQ1q I)iY9y˜¸"()+«¨ª©khjiëèêé€ÄE!€ÁÐÈØÄÔÌÜÂÒÊÚÆÖÎN$!"íííœ]\ÝÜ=<½‘·¯Ÿ@`PpH(X5T‹ˆbsxDdTtLlT¥\O|BbRRrŠ"Vš†¤I§}:ˆ5X•aÇ"(†ûpK‰@Ãâ30ì +dffeyï;‘ + +endstream endobj 1034 0 obj<>stream +H‰g˜ý!!!777???>>>LLLZZZ\\\]]]^^^___```aaabbbccc + + +'''...CCC``a (((BBBDDDFFFRRRVVV ! <<ÍÊÑäáèíêñÜÚड§“‘–”’—ihk<<=:9;<;=**+¬©°µ±¸ÔÑØàÝäãàçèåëåãêæäëçäêµ´¹‚€„}ƒ…bbd878š˜Ÿ¢Ÿ¦¿½ÄÊÇÎÉÆÍØÕÜëèðìè北¨£¡¦¦¤©‰ˆ‹baczx€~…’—™—ž˜–˜•œ¼¹ÀâßæçäëÔÑ×»¹¾yw~{y€‚€‡„‚‰“‘˜¤¡¨¥¢©£ §­ª±ÏÌÓÛØßáÞåÙÖÝ|z††„‹‡„‹…ƒŠÀ½ÄÐÌÓÎËÒãàè ó"è + +endstream endobj 1035 0 obj<>stream +H‰b```dbfbaecgçàäââæááåãb`—äâ +ƒ$¤ø¥„€@FVDDDN^ARQIYY™“‡WEUFM†A „ÕeÕ54µµutt™ôô ¦1tK˜˜š*(™™[XZY‹Ùb5¶¦¦vööŽNÎ..²q Ðpus÷ðôòöñõó# I@AŒŒÁ!!¡aá‘QÑ1±qñÌ ‰‰I`&AJjZzFfVvNn^~AAa‘‘‘‘PqIiYYrryErreUuMm]}C£^S³tKZk0HO2ÐÀ¶öŽ¬Î®îžÞ¾þæ 'M.)™RSK§MŸ1sÖì9sçÍ_°pÑâÅK€FÅ“CB–.óX¾båªÕkÖ®[¿aã¦Ía­a[€(YÚÚš²uÛö;wíÚµ{ÏÞ}ûtw?¶+yÊáíkŽÁÑcÇO¬;yêôéÃ¥@PV¶å @€:@” + +endstream endobj 1036 0 obj<>stream +H‰}‚þyw~{y€gek878---/////0GGH„‚…ÙÖÜëèïèåì...101VVW–”˜ÜÙàêçïxv}zxfej778111yx{Á¿Äãàçéæíusywt|dbgæâêdbhediXVZ556777›Ÿëçï99:999989656000444JIK¦¤¨èæí@@@<<>stream +H‰b```dbfa6vN.n(`€‹óðòñ ÂÄ…„„„EDAâ,bâ’R0qiiiY¨8¿\˜[VV^AQ‰dŽ²Š*B\M]CCS $¬­£«‡×73©fe1261Eˆ›™[X²°e¬¬ml¹ÀÎÞÁÑÉÙ…•ÕÕÍÝIÜÓËÛÇ×Ç…Õ? 0Iœ+8$4,<"2*:&V +I\*.>!1)9%5-=ƒdfeçHaKåæåHIaˆÇÆ›bˆÇ•”–•W`šRYU]S[‡*`(Î- + +endstream endobj 1038 0 obj<>stream +ËYËYËZËZ + +endstream endobj 1039 0 obj<>stream +H‰|O[À0zÿ;¯kªâÌäC âË`Œp±9Š @X¨þ((hµ@OäK—ËßUP{FE“T:Ú¨\d8wþü¿ÐúÚçèãxO`W + +endstream endobj 1040 0 obj<>stream +H‰ÛnÒ‡“RN³ƒÂ³- 6q0…r,…Â"c (P`…•B9ÉFö.sñ¿¿¿_,ËD5š¬Y}u›¿~ÏßApaa‚ Ng0ŒFc<O§Ó…R%¼[Ýò’VióÕÉdV«Õãñ¸Ýîh4ò % +n´lAª[HM*•.//;‹Áþ‡6¤hAj:­N«åÃÚõ;¶Å5le3cr•îÂåM7àx7וJÅÒí5å¢]Û]5g ¶ü‹g¯^>]!ªJ…ò‰Åb‘H +AP,È,W¶„t[ú¸ÞšûvõóÝ›çggŸ\œ?œºœ®ÕÕ½^@@¨ 3¨„Ej·PKozåK¡¿þýúñûòó×ËOWW_¾¿ûq/·çE¼‰D,@©mr§@á•.¡þ/À¶Æÿ + +endstream endobj 1041 0 obj<>stream + +  ! " #$%&'()*++,-./0 1 234567889:);<,-.=>?@ABCDEF9:)G;<HIJKLLMNE88OO9:)*<+,-PQRSTUVWXEDEY8Z::G*<[,\]^_`abcdeffg8:::h9))G<ijklmnopqrssVtutvwxyyz9{|}}~€p‚‚‚ƒ„…„e†‡‡‡yOˆ‰ŠŠ‹ŒŒ€€€€€€€ooŽ‘‘’“”•––——Šo€€ooo˜™„„„š›œ––—žžŸ  b€€€€€€€pppp¡¢£¤¥¥¥¦§¨©©ª€oo€€€oooo«¬­®¯¯¯°¥±²²³´µŽ¶·¸¸¸p€€¹º»¼½½¾¿ÀÁÁÁÂÃÄÅÆÇÈÉÉsÊËÌÍÎÎÏÐÑÒÒÒÓÔÕÖ×ØMÙÙɘÚÛÜÝÞßßßàá + +endstream endobj 1042 0 obj<>stream +ãàçÒÐÖ¬ª°¹¶½ÞÛâçäëèåìáßåÍËÑ¢ ¦³°·áÞåéæíåâéÔÑØ­«±»¹¿äáèâßæÈÆÌÎÌÒæãêÓÑ××ÔÛ + +endstream endobj 1043 0 obj<>stream +H‰b`dbfaeÃìœ\Ü>stream +ËYËYËZ + +endstream endobj 1045 0 obj<>stream +H‰|ŽA Ã`ÿ´Æl +"’àÙ‚Y.·»ð ©ÄIQÍt5u"‚}Å’UÐnîótcG@´<¸‰¢¨xATýξ=!í`Jòa + +endstream endobj 1046 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?©õ§®eÝÒíª²nvÇ×i^~h{gaŸ%ÚzŒx‡‰T¿¦bXÏlµÓ<«Õ¼kÆ:0ÙïöºW:¬è_m.­®Þà!Zý‡Ô| ×ÔŸW¥Uf^èìt…ùÃÖ?q¿wûQá^ááüQzüº¿ÿÙ + +endstream endobj 1047 0 obj<>stream +èåìåâéâßæéåíßÝã×ÕÛÝÛáÔÒØÙ×ÝÐÎÔçäëÞÜâËÉÏÀ¾ÄÛØßÃÁǶ´º + +endstream endobj 1048 0 obj<>stream +H‰b`(€‘ »83 +lì8LâàÄ*ÎÅÍË]‚_ À¿” + +endstream endobj 1049 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?á:®ShXÆ»sXvϘåW´ù)åàZn¶Ç4‡\ãØNª«1®uh îî´FLÑÜqñÕƒ†­:˜Y¾€ÛÒd«ß´™û¥eÓÓ² õÖÂâ?¹ö ÿp'ýê±¾Ë}¢ÿÿÙ + +endstream endobj 1050 0 obj<>stream +H‰êÿèåìéæíáÞååâéÏÌÓÛØßçä뽺ÁÍÊÑÝÚáÞÛâàÝ䊈£ §¼¹À¾»ÂÅÂÉâßæêçîéåìzx“—«¨¯­ª±¶³º×ÔÛßÜããàçyw~~…‰‡Žˆ‡Ž‡†”§¥¬®¬³­«²ÌÉÐìéðëèïxv}wu|{y€ŒŠ‘‹‰«©°ÉÆÍÊÈÏÉÇÎÎËÒáßæ‚€‡„‚‰•’™¤¢©¥£ª£¡¨¯­´ÑÏÖÛÙàÙ×Þ†„‹²°·¾¼Ã¼ºÁÍËÒßÛ↛¢¹¶½ywyx~”’™®«²œš¡›™ zx~zw~ <£ì + +endstream endobj 1051 0 obj<>stream +H‰b`À±Š3111cgaaaeccÃgggçàäââF—ááááåãçF“ä–’’F—‘‘‘•“WPTRVQQRSb„¸(®¡¡¡©%§­£­«§o`È%hdÄ -cS3s K+k#FF$ "#£ikgïààÈå$ƒ +4œ]Ì,,\YX€l7¨˜;˜ t ˆ‡§Š :ÐÐÔÔäñò+ƒ22>¶ˆ–’9èÀJû" UÖ" + +endstream endobj 1052 0 obj<>stream +H‰¨WÿèåìàÝäÁ¿Åª¨®ãàçÁÀŤ¢¨ÎËÒ´±¸äáèéæíÞÛâçäëÍÊÑÓÐ×åâéêç°·´»×ÔÛßÜ㚘Ÿ¤¢©Â¿ÆÊÇÎÉÆÍÙ×Þéåìzx†”’™™—ž˜•œ˜–¿½Ääàçyw~{y€‚€‡„‚‰•“š¤¡¨¥¢©£ §¯­´ÒÏÖÛØßÚ×Þâßæ|z‚†‡„‹…ƒŠÃÁÈÐÍÔæãê ôºyÕ + +endstream endobj 1053 0 obj<>stream +H‰b`À™˜± 3°°²ag`çÀ.ÎɈ]œö€‹ »87#Vq^>~lz…„ED81ÄEÅÄ%$%¥¤ÑõÈÈÊÉ+(*)ósòó\\EUM]]]CSK[GWO_߀‹ ¢SEÆŒŒM4LÍØÙõÍ$`ý | + +endstream endobj 1054 0 obj<>stream +H‰Á r‚0Àÿ¡ã´Žâ‰Š(•AT®äÐ*rÅ"D<ú†îÞËW]½QJlËcÜ·°‚iNoOS·›_Ìt"`x#˜¼óRR:íÁj±&9-‹:KðncŽ†SI”}÷·.ßñÊKµË Õµ…YE®Ž~ÈçŸVàžôïtˆ¶ªLe$ÐÞTE– “<‰®úî§ßm’gã£) ò=†f‹^‡54ŘçDÏ9Dç¼ÄOÄ8„ð TY†ó/ÀÍo † + +endstream endobj 1055 0 obj<>stream +H‰lׂ@ DgUŠb] *ͺvÀ®ˆýÿ’÷dçžÌ$D¡XÒtDB7Ë‹úFµVo4[‚Ùît{¶$ /CÇõ|ڌƓéŒVXsS-–´«õf»£A@Åû3€POt¥\gí¢|\o÷„yðˆÓ'çãõþpA€›}yðK¸#rý½X +­ + +endstream endobj 1056 0 obj<>stream +H‰Á‰B@Ðÿÿ…ÊT%‘$Ô ©F‡$…Ad눎oè½Wñ©Ëoòó銵û#b’ÄySýRXºÕiõˆÁ¸ÈjÏ UyK饠d°¬òwô€ke×ëâU‹ÃÔµI»Øà¨%j@”´ó|&² o[^êÐ+QõÝÈ2!N¹÷<3ýhÒÔLZÈ(©òôeß<£ÓþÂL¸«a;w_àWúÁäX$hëâðœ´ßé9“ÌœáýB•Ì + +endstream endobj 1057 0 obj<>stream +H‰lŽÛPE×A(9t¨ãr©„;ÿÿWÞÛ{?αÇ\€¨ÕF³…ꉶiunwe¯ïˆ*Vƒ¡ëiEßGãÉÔ'؟̓0"‹¥ W1± g½1¶6Ü%i¶§‘ЉgæDƒü #jŽ§âLÛËÕ*;ÊÛýAíÀ3}i†«ws³ø|œrü +a + +endstream endobj 1058 0 obj<>stream +H‰Á @ÐûŸÁ0þQD†Š"I’‘|jÛv·61®à=J>9)Ì1¤—z'¶îÉñ *Šì›‚¬×LÆbô„¦a·šŒÀÏ|/*ÇŽTYÇ uŽž0šá”>Âxµ\W+ mm€Ý«4WÙï»AIaðÚj¦¾Ù?C ¬´~/”ÄxÌOaLhö1¶V½ÖrI‹ëå.K¦Ã-D%¹¡[,Ã/EåâÝÌÝiöËû 0¤ ˜j + +endstream endobj 1059 0 obj<>stream +H‰b`F&f¬€……‹(;'7†07'/¦8¿€ ¦8+³°ˆ¨˜8¦8Ÿ¤€¦¸´Œ¬;†{Øä•”U0,eR•PS×À0…›SSKÓWÜ:º"z˜n×ç704bÁô”±‰©™¹¦¸¶¥•µ õ¶v–<Ø“ÃÌ›0@€,ç +, + +endstream endobj 1060 0 obj<>stream +H‰x‡ÿèåìéæíçäëãàçàÝäåâéÞÛâÖÔÚÖÓÚâßææãê×ÔÛÅÃÉ¿¼ÃÛØß¾¼Â¯­³ÕÒÙêçîäáèÒÏÖ²°¶›¡ÎÌÒßÜãÇÅË°®´©¦­ÓÐ×±®µ®«²ÇÄËßÝãÍÊѨ¥¬ÙÖÝÜÚàÉÇÍ´²¸áÞå |a + +endstream endobj 1061 0 obj<>stream +H‰b`:€‘›(3 +6q6vN,:¸¸yxù°‰³ó  +aŠ ‹ˆŠ‰cŠ3IHJIË`ˆ32ËÈÊÉK`˜Ï¤ ¨$ Ì…i«Šª’š:¦s ?lÏ + +endstream endobj 1062 0 obj<>stream +H‰ÁçR‚à‡.™ +*¸À…£,Oí*ÿTj.pP1Ëó¹ÜˆÚx…¾1Âz ms»>Ä´ˆב„Á +:Åè1¦%òl9þœJ¼¤“ñì]8ª¹@€KÔh!ƒA¼Ûé 5±Qo‹÷T‚5 +á(¬_.¶Òt¹˜­½q1_cÓ…\¶ =šIš$(A‘FÛ~sÜ­Õ•¼›Œ¤ÏÁl<œúÓt2ç´{í´ÇN1êþ»ÀUò\¥«²™b(xïvú×euvge{Ú,¾ÚJÄ2¯±LôáÉBÒÜ|T~N‡ß³ú×ûrÙR0ñynüÞ[y¾[]¾& +õvSè®Ã²´‘f«&ÿ&M¾þÀ׶ + +endstream endobj 1063 0 obj<>stream +H‰b`ÀŒLÌÌÌ,(B¬ 6vvvL @ÀÉÉÉȆUزœØ$¸Ø¹¹y±Hðòñ ò ‹ˆŠŠBµŠq I)iY669F @Ò!¯  ¨¤¬¢ª¢¦®¡©Å†°Q[GGGWOßÀÐÈØÄØ”¡É ”Ì-,­¬YllíäD@ºàööŽNÎ.®nìÜîl OO/{o'' _?-F3à ££Êd†<ÂÂ#Ì1$ÌÌ@40ìj&Õ + +endstream endobj 1064 0 obj<>stream +H‰Á WÁàÆÜW¡Äs-4×›»ˆå¹6ç˜9“×OèûbF¥ò$‘#°L­ò. +‡óñï´¿w¿‡íyÃïgã3˜zÌw« !&ƒÅãöb(‘ˆ£n—?GƒHÀÎPÅå\Ø‹?Ûõq·9­W;ž9V(¿T‘Hœ$2•×Z±PÒ¨U¯µè4fÇíCÁð$…&HM7ëmn.pìš­†½Ñˆž²SnÂÌ $T +™”_C ¶Yk5Þ>?_ÓÑ‚_ŠÒ+P&U:íîDŒHƈx§û“ao<莎»‹ +Ò…áh†,¤SyŠÈUKõÅŒ·Yœ6³Ãj²§ðl6ý>stream +H‰b`dbfaecgçà.n^>~A!aQ1q I)ii°„—¬œ¼‚¢’²Šªšº†¦–¶Ž®ž¤¾¤4›˜š¹…¥…•˜µž­½½½¬X¯™ƒƒ™£££™¹“³‹«¶­›»‡»'P› 8š›;zyûøúùpÉÊÊpš!sµÀ `‘P Ÿ0d‰p3G Ë õȨhñ˜X @²& 55µx3 àh™ˆ)av¨96 ³$TóQ……E2ȇŽè)©>iªªæ@÷ H¤gdjeegçä‚|Ž,`Ù¬Ov + +endstream endobj 1066 0 obj<>stream +èåìçäëæãê + +endstream endobj 1067 0 obj<>stream +H‰b`À™° +º€ + +endstream endobj 1068 0 obj<>stream +H‰Ø'ÿæãêçäëáßæÇÅÌ»¹À½»Â¾¼Ã¬©°”’™’–”‘˜Œ“}„wu|xv}xw~yw~zw~åâéØÕÜÒÏÖÓÐ×ÔÑؾ»Â ž¥ž›¢ ¤š–†xw}èåìéæíêçîëèïÚ×ÞÃÀÇ¿ƽ¹À“‘˜•“š–“šŠ‡ŽàÝäÌÉÐÄÁÈÆÃʧ¤«{y€|zzx×ÔÛÐÍÔÑÎÕ¸¶½–”›‘–„‚‰~|ƒãàçäáèÝÚáÕÒÙÖÓÚËÈÏ›¢‹‰‹’Û×Þ®¬³œš¡žœ£ÙÖÝ †u–p + +endstream endobj 1069 0 obj<>stream +H‰b`dbfaecçàäâæáåããAFF!aQ1q I)i^>Y(“““WPPTRVQV•RS×Ðàƒ‰ƒ£ƒ–¶²Ž®¾¡€!T\^^^ÁÈØDÔÔLMÝÜÂÒR YY[ÛØŠÙÙ;8:É¡kkgW7tq9F  Ýmm1Äå .Ä*>„@€‚ß/ƒ + +endstream endobj 1070 0 obj<>stream +H‰býuuvLLK...???___qqqnnnXXX;;; 444QQQmmm€€€"""$$$AAAjjjyyy~SSS666###'''CCCaaasss:::RRRMMM222!!!DDDlllzzz¹·»£¡¥–”˜‘xwz[Z\nmn€€xwyZZZ555999WWWbbb***,,,IIIppp{{{æãêäáèãàçåãé¿Ą‚†Œ‹œ›“’”BBB''(../^^^ttt[[[>>>NNN|||éæíèåìêçîÑÏÕ¨¦ª­ª¯¸¶º±¯³ˆ‡‰ZZ[BBDIIK^^_ccdYYYPPPçäëåâéæâ鼺À’~…„ˆŽŒxxyrrswww`_` 888vvvÚØÞËÉÎÅÃÈÇÅËÇÄÊ®­°¦¥¨©¨«ž nmp#$$777TTTxxxçåìæâêâàæáÞåâßæÞÛâÇÅʾ¼ÁÁ¿Ã»¹¾ˆ‡Š011(((@@@\\\wvw¬©°«©°±®µÎËÒßÜãÝÛâÝÚáßÝäÙÖÝØÖÜÀ½Ã”’–Ž’›™§¦ª—–˜ˆ‡ˆŽŒ“‹’•“š¾¼Ã×ÔÛÕÒÙÔÑØÙ×ÞãáèÆÃÉËÈÎÏÍÒ“‘“{y€zx€~…š˜Ÿª¨¯©§®¨¦­²°·ÌÉÐÓÐ×ÒÏÖËÉϼ»¿yw~ˆ†ª§®³±¸²¯¶ÇÅÌàÞåâàçáßæxv}wu|vt{~…š—ž¡Ÿ¦ ž¥´²¹ÍÊÑÏÌÓÏÍÔàÝä}z~|ƒ}|ƒ}{‚ŒŠ‘›¢Ÿ¤¤¡¨ywyv}}„‡…Œ†„‹Š‡ŽŸœ£·µ¼yx~|zŽ‹’Œ‰ ]Ôix + +endstream endobj 1071 0 obj<>stream + +     !"#$%&'()*+,-./012345#6"789:;<=>?@ABC,DEF8GHIJ!KLMNNOPQRSTUVWXYZ$[ +" \HLNNNN]^_]<`abcdefghijk:OOOMMMMMNlmnopqrstuvwxyHz^^^]{{{NN|}~€‚ƒ„…†‡ˆ‰Šz‹ŒŽ‘’{MMOMN‘“l”•–—˜™š›œžŸ ¡¢£^NNNNN^=¤=“o¥¦§s¨©ª«¬­®¯°±²³³‘NMMMMMN]N]´µ¶¶¶ª©©ª·¸¹º°»¼½¾;NNNNN];¶¶¶¿ÀÀÁÂÃÄÅÅÆÇÈÇÉÊMMMNNMO¶¶¶¶¶¶¶ªËÌÍÎÏÐÑÐÒÇ;^^;]NN¶¶¶¶¶¶Ó¶¶Ô¿¿ÕÖÖ×ØÙŒŒ­Ú¡€‘¶¶¶Û¶¶¶¶¶¶¶¶ÜÌÌÌÕ·ÝÝÞл²³ + +endstream endobj 1072 0 obj<>stream +H‰‹tý‚‚‚pppPPP000$$$222QQQvvv•••rrrOOP//0!!!==>aaa………ŸŸŸ˜˜˜ttuOOO335+++RRSlll~~~LLL,,,###555TTTwwwŠŠŠmmmKKK--. !@@@eeeˆˆˆœœ“““nnoIIJ112../VVVoopttt^^^""" :::ZZZyyyŽŽŽ}}}===)))'''GGH‹‹Œ’’“‚‚ƒ^^_556```xxxkkkRRR666 ??@„„„&&&...NNOrrs‰‰‰---;;>?€€€cccFFF(((GGGfffuuuxxw|||___???DDDqqrƒƒ„]]]JJJiii777<<>>MMM†††jijnnndddIIICCCBBB444utv[[\DCD..-323rqqzzzUUU¬ª® ž¢Œ‹Ža`bVUWŒ‹Š‰ŠcbcAAAgggYYYæãêçäëÚ×Þ Ÿ£‰‡‹žœŸ°®²¢¡¤{{{êçïìéñäáç¹·¼§¥ª¶´¸ÂÀŵ³·‡†ˆihjWWXOOQJIKqrqèåìçäìäáèâßæåâéÛØÞÀ½Ã°®³ª¨­¥£¨{z}non++*HHHsssÝÚáéæíÔÑØÒÐÖÐÎÓº¸½¥£§°¯³´³·¤£¦jjk>>=,,-YXXÒÏÖÛØßãàèàÞäàÝäÖÔÚÎËÑÕÓÙÆÄÉ‚€ƒ@@B &“` + +endstream endobj 1073 0 obj<>stream + +  !"#$%&'()*+,-./01%234567819:;<=>?@4:ABCDEFGHIB6JKLMN7ONPQRSRTUG2VW6SX"PYZ[\]^2_`abPcdefCg1%F.h7ijklmno%d3pq!rG2s5' +tFupvo%d$LDqUw2xoJyZPy6z{Mr;|C}~LK€0CB;‚tiƒ„…†‡Eˆ‰Wbdb}0 +5s2rŠ8‹ŒŽN‘’“d”p• +E‚”s–i—˜™š›œžŸ*]‚FTX +fL Y“o6¡¢£¤¥¦§¨©ª«¬­®TX¯a~°Y€jC±±²³´¤µ¶·¸¹º».¼g}e½ :¾a¿À´±ÁÁÁÁµšÂÃÄÅÆÇÈÉÊËÌIÍRDÎϘ˜˜˜™™Ð´ÑÒÓÔÃÕÖ× Øf| + + +endstream endobj 1074 0 obj<>stream +H‰ÁNÂPÐK+ý]¿t¤P°Š€ŒJ)ÈH`dH£ F@ eÙÇ|E Žš ŒfÌ–€ŽG®b—  ÎØljèÆD H°(€¢ÝÉ1†@EŽ:^–¶Ò¯kƒRQ +ñ`  +Æ$ŸL$@HPûÍ__ÿRëÕò³Z©î+®Sß™Kxq»ú™Ž–zÛè¶V£“ËשäMú6‘ñ¸ÏM80ÁÍò{·þ]Íw­÷öG£óÙÔs™‚Ûé· Šä(‚]/ö#cfôÆÃþä­ÞäYG[Y³e>Y/¦›§êKHŽÊRX D$oÐÊ‹$Îh­n!_~È•Òwy¿G>>r‡óAoÜÑ墚Jf—³mM}ý`*î¹Ù + +endstream endobj 1075 0 obj<>stream +H‰b`@ŒLLŒÌ,¬lh 쌌Œœ\\7ª$;;ºàeÂ*ÌÇ/ÀÈÎÀÎÀ‡$&"„„E˜™EAJ`ˆ‰KHJI‹òÈÈ9r0 Ü‚ò +ŠJÊ*Bªjjêì2H†ihj‰iëèêéÊñÈÃäL444LÍ´Í-,­ ­mlíx9 7 {GG'g]+)W7Fˆ8˜¹{xzyûøú‰23û³› I؇„rq1#‹›˜Ø›Ù›„Ý`-`‚4ÌÂ#Ä´"£ÐÅÆEGG[Ä9ÿ(< + +endstream endobj 1076 0 obj<>stream +H‰ŒPAÀ0þÿ襫â<ÔC“" Â*Åóþ@$Z)g _øùÈUb[,iÈ/b.ÇÕðO?íošã¯A Æ/«1“±Ò'ñ‹Üzï`ZÏj + +endstream endobj 1077 0 obj<>stream +H‰¬Á ByÿÿÓm™µ”­Kš$‚%`JºÄ\9Ž “TéE®Ñ}’Ná-Ïs·ã'`¾#xföþzë`,‹1 + +endstream endobj 1078 0 obj<>stream +H‰NiOÚ`þ¶d1Y²lNcâÆœÑLhKèñö>i¡@+lº)¥´@kA§î¯¯&ϧ疺ro«ôÕþNsöºû¤_?ƒ¿­á³ùëÅúýÚ¾ù§9;ÕÝ©ÎVêÞóí°îy{­ öÖí«hoTg×<›ÃÍÝ+½­ìì„îFêr¯í‚2V´±âíBv·\lBg-w·JïQ¿Þ3'µ)oe¬™ãÒ b\ž7Ô”1– µbÍLhbg-ÙùíêƒÐ)¸ö}S™£Ü”’gM)FùáúabŒ 1­-€±â¬\´×œ™3kÈ3” !‚Áf„ ë|D*sRM(5¥õ%ÛÊ(-%Õ´©$MyNÊsZM0.¬QDû;%•„Ö •ÒŒVS” ªäb&0ðq1"¤¸!Í}‰ !&D”:gôf¼*9ª’w5j\£=”0!€Wç¦(Rj‚°”Ÿbo|Øâ«ÒLÖÆ“:ëWjîdÈè ZK!z\£ÊªRJœ|n^õI-Æ*yr1ãƒ#îð»Áw2`.1D*§É»÷‡à°¢óvŽqS„C”W£ï Æ;8澜™B7§õ¡=¸5þøU9ýÙ»Ào.ñÛKâÏ·ªstn\´Y+Sœhç@KH)Æùe}LÞ}¢ÎÁçŠþáD¬@.!GrÿAu·j-w²¦|€s~™ü`RÕ±Ï + +endstream endobj 1079 0 obj<>stream + +     !"#$%&&'()&*+,-./012345.$%67889:;.<=>?@ABCDEF3G4.H$6&7I;J4KLM?NOPQARSTUFV34W.$J3XYZ[\]^_`OaaL[bTXcc3dJWT[[e\f]gh_ijjklmL=TTXcnFkokpqrostuvwvxyokklLL=XZzz{|},~utttut{€€kkl‚X=ƒ„…|X†suutttt‡~ˆˆ{xx€o}l‰Š‡/‹ŒtŽtttz…‘{>€€T’“‡”•qsŽv–—tt˜sszz~ˆˆ{T…™š~›œžŸŸŸ–  ˜˜sszz…T…¡¢~£†¤¥Ÿ¦ŸŸ  „„„„§§¨¨l‡©ª‘«¬ž¥­®®¯ŸŸ°°°±²²³³´µ¶·t¸¹º»¼½¾¾¿­­ÀÀÀšÁÁÁŠŠÂÃÄÅÆÇÈɻʽËÌÍÍ + +endstream endobj 1080 0 obj<>stream +H‰| + ÿ:BÄkKŠÄÅÖÀJ—VÊGWåIìaS«DGX¹¤X¤eD!Š¶ß9¦>,`æLGÝ>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?æF@x"ÀïŸÔò+ªö›Û¨íÚ¡ÛÒíÊc®iÛ­$ +ð$lXiµÒrXn:IòI,\sCj&HÔüJIÜg°¾ê¤JÕ?ͅǤ˜ö%ǤŠŸÿÙ + +endstream endobj 1082 0 obj<>stream +H‰ŒQ + C·ÝÿÐa%¥)´Ð^N 0QÚ§x%AD¼U¯ ¬R ž¡,à,È#-pž:6gzýê©ô±Çe¦Ú +ÿIÕÍçBC€BÊU + +endstream endobj 1083 0 obj<>stream +èåìéæíÝÚáÇÅÌ¿ÆÄÁÈ­ª±–“šãàçØÕÜÖÓÚ×ÔÛÕÒÙ½ºÁ£ §êçîÙÖÝÇÄËçäëæãê + +endstream endobj 1084 0 obj<>stream +H‰äÉ· 0?9›°ÿ®%aÔŠh!•VÆZ:9bÊ¥\A`Fm펥ñïøÚ`g® + +endstream endobj 1085 0 obj<>stream +H‰Á‡ +‚@Ðÿÿ†(,¢EŠ˜••5±pä8 —ÍŠ¹›©ú¸l}/æ9šÌ­›MpŸ‚BSMf˹vÐ7_‰ë{P$=K`™×Ë]/i˜ã @U6Æ£)Ëð¨ 5ê@”«’áÙaùª^ù©x<ÇA6´?‚ú4.ö;qF-žn¤È:Kó/Pþmb€e + +endstream endobj 1086 0 obj<>stream +H‰b```dbfaecç`@Œœ\Ü<¼Œâ |ü‚¬B˜"¢b‚âXtHJI JÉ`‘•“WPÄWR–RWeÇ`TãP×PÃ4‡‘SSKP[S‚AWOßÀ›‡¢‘±&O‰7lQ4Qªƒ»8© À–  + +endstream endobj 1087 0 obj<>stream +H‰„10¹ÿº‹5Ake"D$Zq-àݲ$‚a>ÏÒ”ì_}^·aê[æ~_‘ ªwêøÈG€& + +endstream endobj 1088 0 obj<>stream +H‰ö ÿ˜•œ“’™†xv}yw~zw~¥¢©Ÿ¤…ƒŠyx~ywÈÅÌ¿Ƨ¤«˜–š˜Ÿ›™ Ž•|zçäëãàçÒÏÖÉÇÎÌÊѵ³ºˆ†}{‚~|ƒ{x{y€zxêçîÛØßÕÓÚØÕÜÅÂÉ ž¥—–™—ž‹’€~…èåìæãêåâéâßæÜÙàÚØ߸µ¼“‘˜‘—”‚€‡éæíÕÒÙÀ½Ä¿½ÄÀ¾Å»¹À¢ §”’™•“šwu|áÝäÙÖÝØÖÝÙ×ÞÓÑؤ¢©¥£ª¦¤«}„êæíÆÃÊÇÄ˼¹À ¤ÞÛâÚ×ÞÐÍÔ¹¶½³±¸´²¹ óË© + +endstream endobj 1089 0 obj<>stream +H‰b`db(`…1XØØ9࢜œ\pqn^>~A!$]}Â"¢bbâ’RÒÒB2²²²r0IyaEE%eU55u&& !˜„¦¦–¶¶¶Ž®‚®ž¾ ¡‘1‹œœXL@ÀÔÌÜÂÒÊÀÚF]È–™Yìì$œ]\ùݘa: ÚÜåµD=<¹½¼Õøù5Q°½½¯Ÿ@` šÈ6ym%SSSt 0ºS«•@€f3 + +endstream endobj 1090 0 obj<>stream +ÆÄÊçäëéæíèåìÂÀÆÊÇÎäáè + +endstream endobj 1091 0 obj<>stream +H‰b`dbÆXpˆ³âgÃ*ÊÌŒ]õ(  ÈU + +endstream endobj 1092 0 obj<>stream +H‰„Q BõÝÿÐÍÍJÊ""jpë< z´å'W|ˇ,ÀHÑ'K(^9àlƒ¨wQ{ÿ;ÏœñUë†Ïro`0H` + +endstream endobj 1093 0 obj<>stream +H‰ÁÛ +‚0Ðÿÿ‡è!(’ÒP,Ìòš7š‚ép6ÛtÓYö 3²/ï&‚mùП9jˆ}7ŠÀ¨`D”EíÚÁùd%aúnú$JwÒÁ¾øUX'`Ù\Mw¹X9Öm»Þg÷Á6¹ïDðÙôd´L$Œ¨"kºf6¿‰C mdU9Nﮰ焺jü1Ìq¢ + +endstream endobj 1094 0 obj<>stream +H‰b`¤€‘‰™C•ƒ“‹]˜›‡—SX@PHXÃQ1q N QfI)i ¹ddå„åÑMfUàáPTRÆp“¬Š*“†!êšZÌÚ6êèjêÁ„ È`1 + +endstream endobj 1095 0 obj<>stream +H‰Á0Ðÿÿ ç’£\ŽsI%¦fØÒdkÕRä¼W‹¶©~"orV±´ˆpLbŠ\do±m®9` gS£®ÚÉ Ýîväªød´ ñÝ4m4-yý¸¥Ð¿lÝÃÞ 8gZ‹Ø_„OÆúÒv“˜6âGÓܨßSoQr¿ÇrÕáxµôÀö%Åœ;ïòû|0w½—¥¡·Ê@ Àé/À¤‰ƒl + +endstream endobj 1096 0 obj<>stream +H‰b` 0bfbÆ®š…»z6v¬Âœ\XŹyx± óñ bÃ"..!)%Å‘2²rò +XÅ•”1ÅUTÕÔy50Å™4µ´uØ0ÅUuõôÙ80„ ŒMÄ 0Õ³™˜š10ª›Ô + +endstream endobj 1097 0 obj<>stream +ËZËYËY + +endstream endobj 1098 0 obj<>stream +H‰lÀ ÛþÿÑ:¤53Šô$ È/*ò ×[‡R.ác-SÓ-èÄR^å6÷¨Òtaõ{gŽŒ,yî5ÐyûyìÜÞßNÕ¾iæ)– + +endstream endobj 1099 0 obj<>stream +èåìæãêäáèçäëåâéáÞåÏÌÓ¿½ÃÛØßéæíâßæÚ×ÞÃÀDz±¶ØÕÜ + +endstream endobj 1100 0 obj<>stream +H‰b`4ŒL̘‚Ì,¬l윘â\Ü<¼|q€ + y + +endstream endobj 1101 0 obj<>stream +ÿÿÿåååÇÇÈòòòããã + +endstream endobj 1102 0 obj<>stream +H‰b`À +™°‹30³àT• + +endstream endobj 1103 0 obj<>stream +ËZËY + +endstream endobj 1104 0 obj<>stream +H‰t‹ + oÿÿÓELg¾v‰"qÛëäÌwEŒ!hJMé_ ˆ.£NS]ÎòÃráé`B"ÅŸÙgj9Œ„ÖZ ba + +endstream endobj 1105 0 obj<>stream +H‰rÿèåìéæíäâèÖÓÚ´²¸§¥«ÃÁÇÛØßãàçÑÎÕ«©¯¢ ¦ÏÍÓäáèÍËѳ±·ßÜãêçîçäëÝÚáÒÏÖÊÈÎÉÆÍÒÎÖÜÙàÍÊÑÀ¾ÄÚ×ÞæãêÛÙßÄÂÈ­ª±ÊÇÎÞÛâËÉÏ·µ»ÐÍÔâßæ ÖE\œ + +endstream endobj 1106 0 obj<>stream +H‰b`€F&fV6vtÀÈÁÉÅÍË!ÎËÎÇÏ/ ˆ.,$,"*&Îˈ!.!)%*-ƒaŒ¬œ¼†(P\QIYÃt„ª¦cÀÅ°s(€L + +endstream endobj 1107 0 obj<>stream +H‰ÁÉNƒ@Яֲ¦ÃªÑ{¨^êt‡²ŒDþB Ë.\ [¾gY–m;§Ó·$*<”^fh@nØ4M³,Ãøg¿×_Õ7M{WUÛBâqK캮UUù¾1ö<ϱÏã—$>)ò3Mr˲Ìó>stream +H‰b``dbfaeacGœ\Ü<¼|ü@ä Â$„„„„EDÅÄ%$¥¤X¥‘t%ddåääE””UTZÔ@,êšZÚ:’ººzúÒÒÒHr†FÂÆ&&¦¬fææ 8°´²¶±µ³wÀptâtæpáãÁW7ìîØ%×> A + +endstream endobj 1109 0 obj<>stream +ËZËYËYËZ + +endstream endobj 1110 0 obj<>stream +H‰„QÀ C_·ûßY—‚!ÅæÙ¢èñ¢t²$~ý{db1¾+ÙejDÏ3ùÆqÒ?˜Ÿ£SΛr7º:¾‹N&ñ¸øøŽËß¡%À<¿Ö + +endstream endobj 1111 0 obj<>stream +H‰ÁÙR‚PÐÿÿ¦ÇÌT‘”¥Æ‰íÊÖAö Rö 3t¿u‰|/æ˜ÏŠ®åW×î†îeÞZ'[¬YZHá5cSöÀ …w ÇH]1ûöç U>,_^5ù£,‰ó=¯Ì¦ Ó8Yú¦¸Ç‡‰kûov:™Ÿ½hìÿP=êªÉlyguH‚¶¿@UtóÙŠ"à„'e¨yZ´O|EŠ‚xëîQðœøü´ôì@ÚiNà۱ΚrÌ’²­†8Hɸ$…ç›õVÞëm=ü 0ܱô + +endstream endobj 1112 0 obj<>stream +H‰lGÂ0%ÀÈd09ˆLŽ&çôÿÿp¤`˜cWWm/cŸqŸ? ûn„Â5­X\‚Nv"é¤$è”ÎdsyÀ‚ +Å’†G/«Jµ¸n7T³…~Û쨮 \èF¯ÿ/~01^N¦³ùø’¼Õzƒ? ò¶»=¶3~8:'Œ<_¬ë c˜{<__ú[€%˜ Q + +endstream endobj 1113 0 obj<>stream +H‰ ˽n‚@à§VŽŸãNA +‚Ú(ÚRÐG(4µ0Ø­®Ä&H¸K]º|ÛGS< xæ.… ‹1À¾¾XŠ<“¦Š,=LD™cpŽ³³,Û±·«åñ5àÀ–ey:ý†axü9š«Ítr/3EVEAbiÄÔ¶m]×UUEAHBÉóüpøFpŒ‘ȱ˜¨ïû®ëš¦¹Ýºó96Íõ\3 ý±ª®Y–Åqœ$‰ëz†±Ðõ…ªÎ!7C>MSß÷÷Ÿ{ÿË÷¼ç§å‹,ƒ/—¿(ŠÜw×~s¶ÎNÓ Çÿ Ë)™‘ + +endstream endobj 1114 0 obj<>stream +H‰b`ÀQ¹Lxä°f"Ô (F×À‚K1+`“`çàäâæÁâ^>~A!a6tm¢bâb’RÒ2²§ž† + +endstream endobj 1115 0 obj<>stream +H‰„É ÄHÿMûðZ.e|8°‰hv ++ ± 4 (¸! gîmŠÓõzaîHæ.•ÿ >»8§»$Ž#$ôbPºC€$2- + +endstream endobj 1116 0 obj<>stream +H‰Á‰@Ðÿÿ +Ã0“«¢b•™Bé¾ÛÚÝò ÞkÑ×}]6 G @®šw«Ì`‡‡8ÌeQ¥I6ò³ÀK8†f}óK£ò,iäjK­™ÐKí—wÓŒ"­øÉb0;öP‚V¿šË9% + +†}žTª¢yùi¼;4 ªóÝX:]64g=\Ç +öœ° Hωþ ̺v£ + +endstream endobj 1117 0 obj<>stream +H‰b`´€‘‰™›8 +;åœ\Ü<˜âŒ¼|ü¬˜Ê…„ED1Õ3‰‰ˆˆK`ˆKJ‰KËÈbÚ*Ç)¯ (‡¡\IYLEUM]\]CSK[Ó ]=}u$€êhÝ + +endstream endobj 1118 0 obj<>stream +ÿÿÿéééÔÔÔÖÖÖºº»œ›žòòòåååççæÒÒÒ¼¼½þþþûûû + +endstream endobj 1119 0 obj<>stream +H‰b`@ŒLLÌ,¬ lììœ\˜â À̓]|  |GX + +endstream endobj 1120 0 obj<>stream +èåìçåëæãêåãéãáçéæíâßæÛÙßÝÛáãàçÞÜâÍËѽ»ÁçäëÜÙàÇÅË°®´ÅÂÉæäê + +endstream endobj 1121 0 obj<>stream +H‰b`DF&ìâÌ, ¬ØÄÙØ98±‰sqópóbÑÁÇ/ („0-Á + +endstream endobj 1122 0 obj<>stream +yw~ywzw~yx~ + +endstream endobj 1123 0 obj<>stream +H‰b` !J™™a 4šXÀÈÀȈn&NƒÐ]Dªe„´á6«F„£Ð=dDâ`˜ÏÌ`&»5 + +endstream endobj 1124 0 obj<>stream +H‰¢]ÿyw~yx~zx|z” ž¥Ÿ¤žœ£¤¢©Á¾ÅÍÊÑÌÉÐÕÒÙçäëêçîéæízw~{y€„‚‰ŒŠ‘‹‰’—³°·À½Ä¿¼Ã¾»Âæãêêæíéåìèåìxv}wu|“—œš¡œ™ ›˜Ÿª§®ÅÃÊÊÇÎÈÆÍÉÆÍywzy€…ƒŠ—•œš˜Ÿ™—žwv}}„‹’|z‚}{‚zwzx~ ×Sg¨ + +endstream endobj 1125 0 obj<>stream +H‰Œ× E°£Lì +v]KËÿÿšg ¤ÌxžvïÙ`!”R`•j­Þh¶8owºA`c`•½0ŒúƒáhÌ'ÓÙR”Öš-–«õf»Û’Kˆ_‰G)åé|‰cÈ ôUÝ"cLV| wÆ€E +àù«^EÂÝ"e7|È?Cé,Ëÿ‰yáso‰Ó½A„ x + +endstream endobj 1126 0 obj<>stream +H‰‹;OƒPFu¹à^ªé`)°1F +. r™jZç:2•D:@&Þˆ_Î9Û§ªl©¬DDñ ¤ïƒëÌ1Å€"H MS7M~E3uf˜Æ£ºÖˆ´±"aE„ó‘ŒãX–eúû¿kz=Ÿ^ž_µ®Ð{(ÈP H1 Ó4õ}_…뺖µslgý°É²,MÓ(ŒlÛÙYsÞ¶Û'°áBF麮mÛär‰ŽÇ0ŒN§ïýþHwÈUUåy~»I’ŸžÇ9÷gED꺂€i†®Ã0ÄqìsÿO€재 + +endstream endobj 1127 0 obj<>stream +H‰b```dbbbfaElllìLLœ`++7Dœ‡—‡_@PHXDTTŒ•U¦^BBBRJZFVN^@@AQQI"¬¢ªª*¡¦¦¦®¡©¥¥Í!ÂÁÄ^i]]=}C#qcSVV¨¸„™¹¹¹…¥•µ­—8 ‹*°wpptrvqucwgRE¼¼ž^Þâ>>>¾®~âªb@`üÔ2º + +endstream endobj 1128 0 obj<>stream +H‰ ÎgS‚€áÝC%Ï…» ­ ÄAÃQwV6.Åœ§fV–ÁUýƒüò~}Þdüò!Ïvšýn{0è}ÌgÚr±ÕÕ¦¬5e¥Êº"骼T¥¥X©ÇcL„8¡ÈXœfþÛ…cVÌç9UøWà…²Xdy:'Ž"^0˜ÜN?M&csÁd„R­Ýì·½V½û9kÊFW·+íg­ÿn–òtQxæîr÷`6BĈ™`+fqD’¦˜(™¤NEVP¥Ý¤6ù’Á}Äã†᠟ȦsF¯ÛyƒAÔl:°Ûðã0% +áëh<’\_þæ©ÊקßóÙXñâ¡r±Ê—j¸+À$R€Â€Þ4CàŽF­¨Ý‚خҹëìíY"…Y†=XàÄÇ<‹"¶à‹á + +endstream endobj 1129 0 obj<>stream +H‰b`dbfbaecgçàäâæáåã—”’Š³sȬœ¼‚¢’²Šªšº†º¦–¶Ž®‡>;‡¡‘± Ÿ©™¹…¥¥•µ­½+·£ Èp;9›»¸¸¨Z¹º¹»{èzêz9³š˜ðp°³ñ˜ƒ€·¥¯˜˜«Ÿ K H‹9XùøX‡hi1‡†††!Ľ-,,|‚ÄÂÃÅ#"%üÍQ*DÙÙÚFÇ€x1± 2*gíêêꎦ>ˆ.t±07O@‘ˆ…P‰(‚1P„…¤-¨+))Ù HIMKÏPUuA1 ¨7S2KÌ2;ÛÒÕ%PRá + +endstream endobj 1130 0 obj<>stream +èåìçäëåâé + +endstream endobj 1131 0 obj<>stream +H‰b`ôŒ8„™˜°ÉG + +endstream endobj 1132 0 obj<>stream +H‰Õ*ÿÜÙàÝÚáØÕÜÅÂÉ»·¾»¸¿½ºÁ­«²ŒŠ‘ƒˆ„‚‰~|ƒxv}yw~ãàçáÞåÖÔÛÑÎÕÓÐ×À½Ä•“š‰‡Ž‹‰zxyxèåìéæíçäëæäëæãêÛØß¿½Ä¸¶½º·¾¹·¾¦¤«Ž•ŽŒ“”€~…ÌÉЯ­´ª¨¯†}„êçî×ÔÛÂÀÇÀ¾Å½»Â£¡¨•’™–“š}{‚ÎËÒ¿ÆÄÁȱ®µ‡…Œ{y€|zßÜãÒÏÖ¼¹À¶´»·´»åâéäáèàÝäÖÓÚÔÑØ ‡E–¸ + +endstream endobj 1133 0 obj<>stream +H‰b`dbfaecçàäââæ^(àããã‰I€II)iYi9yE%eU5Uu¨6I(–––ÕÐd×ÔRQ×ÖÖ‡KHéž¾¾¡‘±ˆ‰ª)\X—¬¬4Ÿ™¹¹…¥•µ$*’¶•“c°³wp”DNÎÎÎ.®nn` ++‹]èFì  Ãÿ)y + +endstream endobj 1134 0 obj<>stream +èåìàÝäÝÚáåâéãàç + +endstream endobj 1135 0 obj<>stream +H‰b`À +™°‹30³àT• + +endstream endobj 1136 0 obj<>stream +H‰l“ÿèåìçäëÜÚàÊÈÎÁ¿ÅéåíæãêØÖÜÄÂȽ»ÁéæíÕÒÙ¶´º«©¯äáèÓÑ×›™ŸÝÛáÇÅË­«±©¦­áÞåÌÊЧ¥«ÎÌÒàÞäÍËÑÜÙàâßæÑÏÕ´²¸ßÜã×ÔÛãáçãßçáÝå ¸wWß + +endstream endobj 1137 0 obj<>stream +H‰¼É[@ Fá"ƒQ¡ÈeÜí6ð?;çS +¤³Ü _PYÕ˜ë øÖu¨q@0¦ÉΖ5A°íG8xI×@?òÅÌðÿÖ'ÀúvN + +endstream endobj 1138 0 obj<>stream +Á¾ÅÎÌÒãàçéæíèåìÌÉÐÜÙàæãêÑÎÕÊÇÎÐÍÔâßæçäë + +endstream endobj 1139 0 obj<>stream +H‰b`dbfÁXÙرŠ³p`WωK‚ ‡8 7. \Ãÿ¥Y + +endstream endobj 1140 0 obj<>stream +H‰ùÿäáèåâéçäëèåìâßæãàççãêéæíÇÄËÆÄËÈÅÌÖÔÛáÝäàÝ䋉ŠˆŽŒ“±®µÉÆÍÑÎÕzxyw~}{‚¡ž¥¹¶½¸µ¼·´»ÃÀÇÛØßßÜã…ƒŠŒ‰‹ˆ˜–³°·¶³ºº·¾ØÕÜëèïêçîyx~{y€ˆ…Œ¦£ª£ §§¥¬ÌÉÐxv}}|ƒ‰†Ÿœ£¬©°ª§®¼¹ÀÞÛâ€~…„‚‰‚€‡˜•œÀ¾Å×ÔÛßÝäzw~yv~}„¤¡¨©¦­«¨¯ÅÂÉÕÓÚÔÒÙywyx~|ƒ¡Ÿ¦¸¶½·µ¼wu|vt{“‘˜£¡¨¢ §zx~ B˜©/ + +endstream endobj 1141 0 obj<>stream +H‰b``dbÆXXXÙØ]œƒ“‹›‡——]¿€ ‡0#šQ1q I)i^Y^F$qQQ9yyE%IeU5uuu¨>Q QMMM-qm]=VV˜ëDÁ@ß@ËÐPÁÈØØÄÔŒ•‰]DÌ-,,­¬¹8…lxmÁ"v¢¢ö@q1ss>G']gW7˜b °¬»‡¨ˆˆ¨§—·(Ð÷õõñ„«† ¨Mšš0¦;š& „dp‡ hÀ  {P#d + +endstream endobj 1142 0 obj<>stream +H‰Ì3ÿèåìéæíßÝäâßæçäëÓÑØÛØ߶´»ÄÁÈàÝäßÜãåâé¡Ÿ¦¯­´ÉÆÍÎËÒÍÊÑÏÌÓzx‰†¡ž¥¦£ª¤¡¨©¦­äáèãàçvt{†”‘˜—”›•’™™—ž¹¶½ÌÊÑËÉÐÊÈÏÔÑØæãêyw~~{‚}{‚}„‹‰’—”©§®×ÔÛÞÛâÝÚáçäì€~…ŒŠ‘¤¢©§¥¬«©°ÅÂÊÕÒÚÕÒÙÛØà{y€|z‰‡ŽŠˆŒ”²¯·ÇÄÌÆÃËÑÎÕ ý† + +endstream endobj 1143 0 obj<>stream +H‰b`€‘»83 VqV6FlzØ9Ø8¹8¹1Äyxùø91ô ‹ˆŠ‰óKHJr£X$%-#+'¯ ¨¤¬"¡ªª +—SRW×ÐÔÒÖÖÑÕÓ70`aa1‹ƒ€†‘‘¦±‰¸©™¹…¥ŠÈ…qki[;[{G'sg UU€ê1 + +endstream endobj 1144 0 obj<>stream +H‰ÁB@à÷Š653™ÌLfJ͆tù»îÎ9Ê;ô} Ý:C?ÏžM¿™|ÑHßÍ{Bñ:¡…|ØØáŒyZÄšºM¢AZ— sßì‹c™7M50œ‹¬VdMॣx:p„—»íÊ’:tèáÅÜŽ7ÏתxåIeè¿/¡dZä:ªèeÖüÙÌt + +endstream endobj 1145 0 obj<>stream +H‰tŽE€@XÜwwÿÿï€3!ÇîšIÇ „~#RIV%耪¾Ñ~¸n`NL ›9‹®çC¡šA4ÅP$i–ÃWŠ_”ˆWrÝ4H´]?Œhë4/«ôÛ~dç•Ü )ê +d + +endstream endobj 1146 0 obj<>stream +H‰ÁÙB@ÐÿÿƒNZiU©TŽRÄ­ £íº7¼#C·÷¼$ìŽú¤Ï‚䟿7<ÉÚ–TE‡Aœ§•c»Ížê^åïfù‹Ùº^kÅSU|éÂŒÙ^g Ín›¦ûcpu’8ϳjά"˜à”<£Œ™°(¢ [†‹bOSÍø‘B?Ö/à|×¾Ã)²×AP¸%Ÿ¡#â9pHO›Áß{°3n@MBˆ$Qå7â_€C1š + +endstream endobj 1147 0 obj<>stream +H‰b`dbfaecÇœ\Ü<¼˜âì|ü,‚XÄÙ…„EDÅ°IˆKHJa•–‘•Ãb5»¼Œ‚"6õJÊ*\ªØ4°2¨©k`“PÔÔÒ–Ç"Φ£«‡ÕIlúâØ b740âÃ&aÈÊil‚Í S3s lYjXYÛ`“`çµµ³0Hhö + +endstream endobj 1148 0 obj<>stream +H‰Œ À By÷¿ô²ˆ¶&kjš PQúŠ˜¸Ì]qß¿g +Ó0W“bØ0H#Ô=º ?k +Jg¡ÃWøøCxà”$§z—7> + +endstream endobj 1149 0 obj<>stream +H‰lP À0þÿèÍÖ SjL=!š9`ü_*€Íȃìg.˜DÅ2eÈ­œen=Aм½ù~±§NK%Iáå@§„ÎÅË>èÑ©g 9±»O€j΂ + +endstream endobj 1150 0 obj<>stream +H‰Á SÁà?3f#+Íc¶Û0ó…rJnGQŠ¤¡PW–2–yÔ_èû@¶Zèð{©8'Äciž„döæú¾/ {Oƒnçåuð¡ÿ¬×Ë_Cßârù0Âç!½AèóB¹QoÝÖšÒãób¾Zë;CÛZÌvÀ‘xˆ‹$¹p"ÂƳ™³æ]§Óî£ûŒ†0C0䂬N6Ä™ölfTë,‹0<æÆðbGA <ŸjŸce"ÏÞFr>[$üA*@û1 +"ËÅf¥mUE¯UBâ$“Ê y>šò¸ñéD•ß¿'c¥ßNKéTŽ"˜Íê¯' šR»Õ-—ªÑpâõjªñ%ÏÄË«òE¥T <ô/Àsù¹¯ + +endstream endobj 1151 0 obj<>stream +H‰b`F `bfaecçàäâæáåãç‹ €$…„EDÅÄ%8$¥¤ed@VNNŽI^AQIYERU• 0211©©+ih KÈÊiÊj‰033£K-d`ÔYL@„QV«.]=9l2úŠ†:FÆÆÆ&LASS3ss K+ky[-S;;FS¸¿½½ƒ£“³‹«›» šš1#\—Ø{xzzyû°ûúú1­3…K8ðóñû;+j„„"$€@&,ÜÓÓS2"2"* Wèg + +endstream endobj 1152 0 obj<>stream +H‰ŒÁ B}ÿÿÓÊÔb%Š†Møt‚kT1¨tJ èßö\v¤Q×HwîðïO ºzQÚ`µ* +¨«†%›+ + +endstream endobj 1153 0 obj<>stream +H‰àýèåìçäëãà繶¼™—›š˜ž› ŒŠŽTRU>=?==A(.B<3 3 24æãêÒÏÕÂÀÅÃÀÆÆÃɱ¯´qpsXWYUU\9@Y'J5ÙÖÝéæíêçîëèïíêñßÝã³°¶¤¡¥›š£ho>Js%1X!-T#/VB½»ÂáÞååâéäáèÔÓß—¸cp™Ua‰R^†T`ˆQ]…(3[78«¨¯ÇÄËÊÇÎÉÅÌÌÈÏÚ×ÞâßæëçïßÝèÂÄ׌•·kw `l•_k“am•^j’=Hp ,S‚†‡…Œ…ƒŠŽŒ“º·¾ÓÐ×ÑÎÕÐÍÔÖÓÚ»½ÒƒŒ±v©r}¦p{¤p|¤kvŸfq™yw~xv}}{‚–“š¤¢©£ §¢Ÿ¦­«²ÈÅÌÏÌÓÏÍÓÎËÒ¦©Às~¨t¨s~§zx{y€…‚‰Šˆ‰‡Žˆ…Œµ³º¾¼Ã¾¼Â ¶v€©ywyx~wu|vt{€~…–”›œš¡œ™ ›™ ‚†œdp˜cn˜cn—ep™ny¢|z†„‹‰‡Š‡ŽswŒWbŠUaŠVaŠYdjužxv|ut|jkzW^yGRzFQzEPyHS|ZeŽitmx¡{x~pp|PVu@Jr>IrALuCNwBMvQ\…`k”oo|JSs;Fp=Gq=Gp>stream +H‰b````dd`bfaecçàäâæáåãã`€A!aQ1q I)i>e““ÊÈ+((*)«¨ª©khjijóñéèê1é 2M©§g`hdlbjfnaiemckgïà «ÏÈ çèäìâêæîáéå­éãëëç¢ÔŸ˜”œ’š–ž‘ž•“““›››—_PX”P\RªSVž•• =UUÕ5µuõ MÍ-­m`‰X(ˆkï(ììêîéíëŸ ±p7qÒä)S§MŸ1³¥¥eÖl¨h%ÐÄ9sçÍ_ -Z¼d)BGìœeËWx­\µjõš5k×­G–Ø°qÓšU«V­‚Í‹Ptl\±j•—¯Zµ¥EbPÃJÔªUw.‹Ü + +endstream endobj 1155 0 obj<>stream +H‰tOY!îè©œÔÒü±‡,¤°†óMÄî{rÎd:;¿Ì‰‡lžüi-MŸ;z9Ù„•ï¾ÌäÖß®ò*t˜ÖëNàþ­«?ð 0E2R + +endstream endobj 1156 0 obj<>stream +èåìåâéÙÖÝÔÑؼºÁ¡Ÿ¦Ÿ¤—•œ‹ˆçäëßÜãÝÚáÍËÒ»¹À½»Â¬ª±–”›èæíæäëÔÒÙ¹¶¾äáèÞÛâ + +endstream endobj 1157 0 obj<>stream +H‰b`@ŒLÌÌÌ,¬l¬ìÈ œ\ÜÜÜ<,¼|ü @PHHPXSDÅ°‹B ÀÂF + +endstream endobj 1158 0 obj<>stream +H‰‹±Nƒ@@?»pÜq¤”bÄ SM´-J«I¤jñ&F.$u¡ÄÔ…°€P_Þð–FŠ8((@‰‚"’DDïlsz«@¼ÛîVîz¢›5U‡¾^Û,Ë6›·Å|á,Ÿ¦Æ .€%€ú¾/Š"‚ýþãpðæ†n³ëº¦i~ÿiŽÇ/ß÷c/ëWq$_.?œóˆó8ŽÙ'£–­mÛªªêº.Ëòü}N’$MSÇyF²ŠdE‘çm½wÏuWDkDÏóü4œÂ0œÍî-‹Rjÿ 03¶šÉ + +endstream endobj 1159 0 obj<>stream +H‰b`Ä +™€3œG°`5!ËÊ1I%²¹)F666¬°s°¡‰BLáäâæáááÀ°š—_@PPÃuÂ"¢bââ’RÒÈ1ÊÈÊÉ+((ò+) J¢ˆ«¨ª©«kh*hiëè211³A(zú†FÆÊ&Hâ¦ffæ–VÖV6¶v&ÜLpqˆ$Ø;8ò:ñ;»¸ ‰«¨È¨¸º¹[{xzy{{:ƒ + +endstream endobj 1160 0 obj<>stream +H‰„O ÿ?ºZ…R¶œm EÈl`Q¾Öã܈8© §ÇAœGðsýJ¥ ÝÊH*ùBå!ð¥ù€Ô’ê©h ;xE + +endstream endobj 1161 0 obj<>stream +H‰€þ‚€‡}{‚yw~vu~hizPWv>Hp=Gp=Hp†„‹}…xv}zx~sr}\_wHQs=Hq¥¢©•’š…ƒŠ„‚‰{y€oo|HPsIsèåìáÞåÚ×ÞÓÐ׺¸¿•–¦rx“^hŽJU~IràÝ䪭Ãu~¤ny¡fqš_j“CNwBMvALu=Fo=Goéæìéæí°³Ëu€¨r}¦s~§bm–R]†OZƒDOxêçí±´Ìit`k”[fMXIT}IT~IU~çäìàÞç©®Èu¨s¨ny¢^i’XcŒYdãàéÍÍÝ£Àt¨q|¥ep™ÚÙ䥫Ƅ±t§t~§Ø×㛡¿}‡­s§ÓÓá‹“µs}§ÞÜæ¾ÀÓƒŒ±q|¦ÕÔဉ¯ IÖ + +endstream endobj 1162 0 obj<>stream +H‰TÌ÷7ÃPÀñ¨qŠ„ªŠšOíØR+jF(ÕÔÞ£ˆÕÚû_÷VðÍIrÎç¾w%IÊó±ò +‹dö(ŠR\BòùKËʦÒ!hšVQHUþ`uˆr ýÈ ëzm¸.\ßÐljf€B-‘ÖH[{GgW7ˆä†Ñ£ë½}ýƒCæi‚ÌîÈÄQ4ŠFFÇÆ'¬ÉØ”J#ƒ‡¦gfçæmÛZpœEu)¾¼’XMbŒÝ5ËZßp'ç©Mæ[¶½½³»· üðèø„:éôìü"Ç/¯®=æžçÝܺ®Ë=uwÿ!šeo’$üñéã¶'CïxÂ_ßÞùv1ÄÜ?>¿¾ñ¿¸ÿ$~sžå¿?º!T½ + +endstream endobj 1163 0 obj<>stream +H‰úþèåìÚØßéæí¿Æâßæåâéãàçäáèæãêçä뮫²ÈÅÌÊÇÎÉÅÌÍÉÐÛØßáÞå‚€‡‡…Œ…ƒŠ‘Ž•¾»ÂÓÐ×ÑÎÕÐÍÔ×ÔÛäâéyw~xv}}„˜–¤¢©£ §¢Ÿ¦¯¬³ÉÇÎÎËÒÔÑØêçîzx|zŠˆ‰‡Žˆ…Œ·µ¼½»ÂÆÄËwu|wu}vt{—•œš˜Ÿ¥¢©Á¾ÅÉÆÍ}{‚‘–¨¥¬­ª±ìéðëè’Š‘‹’¦¤«ÇÅÌÊÈÏÈÆÍÙÖÝyx~{y€|{|{‚‚†‡„‹‹‰¶³ºÓÑØ~|ƒ¥£ªÀ½Ä¿¼Ãzw~{x„ˆywzw o°Ú + +endstream endobj 1164 0 obj<>stream +H‰”×ÁPãŠQ£[½ÝHôÞ»è½üÿ§¸‚/æmwvÎRÔ¿h(„~ +-­cX½ FÎd¶XÚƪ„çN—Ûãõù¿#CáH4O$u)¤|ăàH‹R(“ÍÙÐWAš|¡h/•+ÕÇù¨G/ê<ßhÆZFµ;âA²ºØíõÃÑØ4aè·˜‚,ÌæÂB’–«õÆ ð©X–ñv·?<æãs{„ÓYAÅåù/¯l®ª‹›Þ’! + +endstream endobj 1165 0 obj<>stream +H‰„ŽQ Báþ—n-+54>œ%¦ˆ ô #®Bóí®A<‰C¼{dDÌP‘÷Ë(‡ÎÒþÊwú¥â‚RC€$~) + +endstream endobj 1166 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?áòzQÅ/nk—7…g£Ðá[¬îy]êT¾·H>stream +H‰–iÿèåìéæíçãëÙÖÝÏÍÓËÉÏÝÚáçäëÜÙà×ÕÛÚ×ÞãàçâàæäáèèæìâßæÑÎÕÖÓÚ½ºÁÅÂÉØÕÜßÜãÞÛ∆”’™µ³ºÀ¾Å¾¼Ã½»ÂÎÌÓæãêwu|}„–”››¢œš¡›™ ­ª±ÆÂÉÉÆÍÇÄËÊÇÎyw~|z†„‹‰‡Ž—•œ¬©°¯¬³±®µ |p + +endstream endobj 1168 0 obj<>stream +H‰b`@ŒLÌ,¬lŒèÂ@ÀÎÁÉÅEœ‡—›ø Ø|ü¼ìØ%¹Ø±É ‹ˆŠ±ñcJˆKHJIËÈÊaØ%¯ ¨¤¬¢ª¦®¡É"©¥­£«+®§o j(€"`¢>™ + +endstream endobj 1169 0 obj<>stream +H‰|!ÃXÿÿhTñ ÎE³^Z'sgwj“Ä<¾ª"+(óBX‚($.¤y\cfúËsºünõÝsçƯRòæâ¯@=Oäüøl0U”h + +endstream endobj 1170 0 obj<>stream +H‰ ÎÛNÂ0€á7 "°S·®]Û­í¬J”˜ƒÌéÜz˜„èâ…ï-É÷Ýü× ž†‡IP½Ýh¹-¶co?Ÿ™Øº€ÚyÒÜÄ/W^5Zì&Áa–¼…ÔÖ‡äÝC¯ ØÌ@= ö³¸ñp“•*]*LÂMœk·KÔáÒáÒ"a!7í<ÔúY¥Ë¤CÒŹ ÈE –É!å:I›Š0‡¥E—r¹ 1GJ ó.)4¼Ä5:) »u˜kvwÌïT ׬4¹w?ëêW­¿2aíQñN¤Ym¾×ÕY=}bn#¢ç`ï§5SruJŠËí‹ñ>&mHôÃæO=Ÿ‰:E´]ÂÚÇý¿›“eÝ + +endstream endobj 1171 0 obj<>stream +H‰b`dbfaaeecçàäNnNàáeäJð Â%„8…„„8…EDÅÄÅ%$¥¤`µ JHHFVN^AA‘W .2Ê*ªjjêšÈ RK[FF[YYG—“K*.FrúZ:†ºœz`1!0 Fƪª&:¦f@û$„8Í-Ô,å䬬ml¹Æ€½ƒ£“³‹ ˆÃ%Öö¢«›»‡§‘'ŠÅ xûøúù¢øL‡„†…GA톡ȨèŸXn¨-Ä ¨ž¸x™„Ä$ˆbäÀâLNIMKÏ»É 0ø %L + +endstream endobj 1172 0 obj<>stream +yw~zwyx~zw~yw|z€€„zx~ + +endstream endobj 1173 0 obj<>stream +H‰b` 0200á“gÆaÁ®DSpiÀa:~ãÑtc± ];qÆáW¶Œ»3˜ÙØÙÙ±È0'S + +endstream endobj 1174 0 obj<>stream +H‰„I ;ÿÿ´F%©p¢ÂAB»ºµ=[ ½*$°÷ '±OŸFchl\¹U¸?ðóÛ—àçÚ‡ÆæÂM€'Ö0 + +endstream endobj 1175 0 obj<>stream +H‰ÐýOÚ@Æñl@y9¸—^¡×ë‰"o²‰2 +½¶ô®¥€n%›™‹Î˜LƒâöÃþÌu¿>É7ùä)@¿Ðð+fYD¸&nBD‚]moº?†Ó‡Ñìq–¼ùË?ž:\.žÇáSùU ¶ ®6EŠÿ'ënÞ—öèf²Ø{ú0Óo³äàé×”– b'6EBÅó4ãß5|ÐJN>|ïOî{—wçòWÉ*•˜Å–XZ"«·TUKÕššuw½É=°$q°bì®ÅÙ7@¥é¦ Ë$ª™>)áI•.J((BYhÈ2ñkÖ:‘)4æªLBçø9hIÈ#"qUƒå˜À@óªå×Y€xŒ…F®ö‚¶¯+4®Y>Ê‘Ô™*Óðhtc¶¯!Ó5K;6H0‰_ÎfCïÁî|V¹Â¹äxl5O¦wvç +rm¶W|¸Ãb]‘A¢hó×Ó/'ï·ThØŠ› 7e½ÏÑóéø:Yƒ-ëvê©ýQcº1ɯK§¿|üÙ9¿E|…øºBÕTíÝnfŠœñÁnª^ÇÁ“~mu¶öé¿¸x + +endstream endobj 1176 0 obj<>stream +H‰b`d`d``bfaeecçàäâæáåååã +32 + ‹°±ŠŠ‰KHJIóÈÈÈÊÉ3Å•”UDEUÕÔ54µ´utuõxx@ßÀPUUÕÈØÄTÁÔÌÜÜÜÂÒ,neml6@#5ÖÙÚÙIF}{e ¸ƒ‰#Ø6F'ssFµÎ†ª..®nî £ÐBFFOA/o__?r(Ð`d  + g«Æh¦©g +²KQS¤>>!)16&)9%Õb D}Z|zFflLrrV¶ÄdPÀ0æäæå—(€DˆKÊÊ•*Š*«ªkjk5àæÔÕ7465·´¶µwttvi@´00zÖu÷ôªõõO—ÖÑ™8)~ò”)SAA¡Y7múŒ>ñ™³dxgÏ–™3wÞüùú@ïšKI + +endstream endobj 1177 0 obj<>stream +H‰Ÿ`ÿyw~zx…ƒŠŽŒ“ŒŠ‘š˜Ÿ»¹ÀÃÁÈÂÀÇÔÑØàÝäãàççäëèåì~…†ƒŠ…‚‰‹’£¡¨§¥¬¦¤«¨¦­ÅÃÊÜÙàéæí{y€|z}{‚¢ §¿»Â¿¼Ã¾ºÁÆÃÊÚ×ÞÞÛâÝÚ⃈‰‡Ž‡†–”›¹¶½À½Äywyx~xw~‡…Œ¨¥­¯¬´®«³Šˆzw~yxzw (gT + +endstream endobj 1178 0 obj<>stream +H‰b`F&ffV6vN...n^^^°8?¿€ °ˆ¨˜¸¸8„„0JJIJËÈÊÉ+(*))#‰ƒ€Šªªšº†¦œ&ƒPLIRGWOß@ŸH몪"ñàIJJaQO0BåicŠj3 -Ü\#ˆVmc¬:Iw˜€@€‹ê +W + +endstream endobj 1179 0 obj<>stream +H‰„Q BÅûº­Òmù¡›ø‚̢অ5XRõ8Bœ¶O%q LÙ¡&ú­R>ˆÝ\s>‰â¡ˆ¤{rb!À2Ž> + +endstream endobj 1180 0 obj<>stream +H‰J/šœ;5,±Ý;¬ÊÉ·ØÖ£ÐÖ£ÀÖ½ §|AfɜĜÉÉ]¾õ^Å6n6îy•‹ò«Õ.Ë(žžÔíèSjã–›9)9Faõ’è´~'ß2[÷ÂÐÄ®˜ô 9eóC:mÝòý+<‚kBâ;>stream +H‰\P‡‚!ly´iýMêo¯÷ÀDÔ,õŽãl4[íŽÅ…tu{ýÁp4Îo«q“él¾¨–±2$‚·Zo¶;Ç-ò;eö‡úH'õ ðÞùr½eJÐÝ;¬/W=ž?£ÀâôªÞ%_¬±É‹Ö¥Eâ´¦@SB1|D#Ar7ÇñÀ_J3b:˜2ÖJaÚÿþ°”Ÿ88Ä 5¬¡ãi + +endstream endobj 1182 0 obj<>stream +ÿÿÿïïïÆÆǽ½½¾¾¿÷÷÷àààÛÛÛÜÜÜ + +endstream endobj 1183 0 obj<>stream +H‰b`ÀŒLÌ,,˜Â ¬lìXÄG @€Fè1 + +endstream endobj 1184 0 obj<>stream +H‰÷þËYËZËYÆWÃUÂU¦H šCÄV¿S½S –A +…9ÇW½RªJ žD ›C +z5l.ÌYÀT¥H ˆ9 t1 q/k-h-ÌZ»R ™B ~5o/l-i+g+ÍZ³N +‚8 +u3 r2 o1 k. h.½R£IEhjj›~h˜|g–{ÅUºUh·ˆ¢¶­¡µ¬Ÿ²©ž±¨ÌXÊ]a½…”³¢“±¡–žœ˜˜›ÌXÉ[E±ofŸ}eœ{m}tqpsÉZ%³[0¡[0žZ>stream +H‰b`@Œ ÌÄÌÂÊÆŽ& +Lœ\Ü<èxùø…„Уˆ¨˜¸„¤”4†2²rò +ŠJÊ`ëÀF@¬`TQUS×ÐÔÒF7ŠAFGWOßÀЈCÆØÄÔÌÜÂÃrF+k[;{ˆŸmwtrvqusÇÐÁ âáéåíã‹ìe˜œŸ@`š/ D0CH(†£ RaáH–Ãü +f‰À„`J 6÷E^ + +endstream endobj 1186 0 obj<>stream +H‰ ÈÙR‚PÐò¡ ÁPLQqIåWÔØJ'³É)kÒIKÓJñ3ë<4wůiÞ¥s~¦4”©yýÃØ càý6À²f¼çkF°…!Á't‡/z)Þª£º9ÞΉv°×ºÛ¶ûUÕf§lÏbÅ^°‚KfœTÞc A©õ¬÷¶Fÿ[+µ³.4žÎ³~Y¢”ŽÒ#z5cæD1ɇWö‡P%‰ÿ7ÅúCËY›ƒ¬Ï)!*÷ \Kž†Yi ÀOËßóå;SQÚ"¹~NKÊ«¬Ï.Š·”àñ•ðwMk‰2]½·¹lNdý­Ôz9&¶»nZ µ³JRðˆ°ípŸ“ÌäÊc7qˆ` ™Zw“•Æ \x–î#8ø`”@3 + +endstream endobj 1187 0 obj<>stream +H‰b`dbfaaecgààääâæáááåããd`façää””’’’æååå—‘•“WPTRV‘*çädU55u MU-m]V=NÐÉJHpJ›(™šq"}s K+k[;{0.Á`aéèäìâªÊ7 $Ü Ü=<½D½Aâƒ|ø|ýôüT$8QA oWpH(š0§FX8'gªȸȨ(°8¦8'eŽ‰Âæäò‰‹ÇfHH”IJÂ"®–ŽUÜ0%5) ÀÁT%ª + +endstream endobj 1188 0 obj<>stream +H‰ ÂKS‚@à_­>stream +H‰b`ddbfaevv6‹“‹‹›‡—ŸŸ  + ‹ˆŠ‰KHJIII#Äedeåä•”UTÕÔUYàâ  ©¥-¯£«§/®j```´*nÆ&¦fæ–VÖ6¶¶0õ`9;{G.')C„88Û+¹(¹º¹{xÚj`A/o1Lq_?ÿ€À@Lq +Â*nŒUœ Àa8 + +endstream endobj 1190 0 obj<>stream +H‰rÿèåìéåìÁÃÕ‘˜¹xƒªr}¦s~§éæ츻ЃŒ±u€©¯³Ët§s§u€¨t~§çäëßÞ窮ÈéæíáßéÆÇÙ™ ¾t¨ÞÜ淺З¸r}§ëèí×Öã–¼y„«ÏÏ݆³o{¥åãëËËÛq|¦ÖÔá±´Ì€Š¯ÆÇؘŸ¾{…¬°´Ë±µÌw‚© s%b + +endstream endobj 1191 0 obj<>stream +H‰b`F&fV6fgçàäbÃ&ÎÍQ΋*ÎÀÍÇÊ©^@Pˆj>stream +H‰ ÎÍn‚0৞”B[êÔ!nÌl;Ltg—@Y2b2‰{Â…„ !ðüü|çr˜ç0„71w‡À-æš|¯ +P‚>stream +H‰b`„&FÀ FX œ `7`€+f† @Èʆ]=;'ŠË@7/?†¸€ °ˆ¨˜¸¸¸Šœ¤”´Œ¬œ¼‚˜˜"ŠÃ•””%•UTÕÔÔ54%ĵà)¶ŽŽ®ž¾¡‘1Š¸²‰‰‰©™¹…¥¾33 (Áµ­”­½ƒ£“3—‹ BÜUÅÍMÀÆÝÝÃÓËÛÇ ÀAñ + + +endstream endobj 1194 0 obj<>stream +ÿÿÿûûûøøøùùùææå¾¾¾···¸¸¸¶¶·þþþýýýôôôàààÜÜÜÝÝÝÚÚÛ + +endstream endobj 1195 0 obj<>stream +H‰b`@ŒLLÌ,¬lì¨Â œ\\œÜ<¼|ü £€. ÀÍÏŽ + +endstream endobj 1196 0 obj<>stream +èåìæãêÝÚáÛØßÜÙàÊÇξ¼Ãåâéäáè×ÕÜÏÍÔäâéáßæ + +endstream endobj 1197 0 obj<>stream +H‰b`@ŒLÌÌ,¬lâ ìœ\X$@€›‡Ä( ‡Yb + +endstream endobj 1198 0 obj<>stream +H‰~ÿèåìæãêÜÙྼ£ §À½ÄßÜãåâéÚØÞº¸¾ž›¢ÄÂÈãàçäáèÒÏÖ²°¶œš ÍÊÑêçîÝÚáÅÃÉ°®´®«²ÔÑØÙÖݼºÀ¯¬³¹¶½éæíáÞ夡¨ª§®ÖÓÚàÞäÊÈΠž¤­ª±ÆÄÊÌÉÐçåëåãéâßæçäë ôef; + +endstream endobj 1199 0 obj<>stream +H‰b`@ŒLÌ,¬lìè Œœ\Ü<Œâ¼|ü‚B˜Ê…EDÅÄ1ÅÙ%$¥¤%dÐ…edåä0m•QTRV‘ÅP4‡CU‹¸º†&¦0ƒ;¦éƒäªµ + +endstream endobj 1200 0 obj<>stream +èåìçäëãáçÙÖÝÕÒÙæãêßÝãÝÚá + +endstream endobj 1201 0 obj<>stream +H‰b`ÀŒLÌ,X„XÙرŠª€* + +endstream endobj 1202 0 obj<>stream +yw~yx~ywzwyxzw~zx~zx¦¥¦¦¦§¢ £„ƒ‡xw}ËËËÌÍÌÅÄÄ‘“|z€}|‚|{€ + +endstream endobj 1203 0 obj<>stream +H‰ŒŽK€0yÕâßj½ÿ]MËÂ&í[†uFÄd•AmG¢øMª¢‡­p­Ñâîûbºkyýw¡Óªaæ©Äšó²n;àøq¦ëÎùaÃ_gT> + +endstream endobj 1204 0 obj<>stream +H‰rÿyw~yx~xv}|z“˜ ž¥Ÿ¤žœ£­ª²ÅÃÊÊÇÎÉÆÍËÈÏ{y€†„‹‹’ŒŠ‘œ™ µ³ºº·¾¹¶½»¹Àwu|vt{‚€‡”’™—•œ–”›™—žyxzw~zx}{‚~|ƒxw}zwzx~yw P—Aå + +endstream endobj 1205 0 obj<>stream +H‰ŒŽG€0 Ð{ï`BûÿAôP{ØÃxG2=T%YQ5Ý0‹e;ŽëùA­`“h'i–%+œªfŒ5;'‡ÙR +Ó èæÞÄe‚·îá5xùçÈ<Ëȳê·_ƒs†áÝ$O§Q€)Bâ + +endstream endobj 1206 0 obj<>stream +H‰ÁMO‚`àö¾ ß4Z­6×ÉÑU [nv lfg ›M0pa|(Ÿ'MÓ,Ë’$ysÞuÝ0 s>šNå1ÉB@&5 8˲,Š"‚ÝÉ·çyËå‹(J ͳŒpŽ“Ø@0 CE‹ç…þ¨›†©i7×·4őiš<ÏmÛ™ÍEQ'“;…8Jô}ﺮµú÷j;Žªj¢ q¬Às"Ç`4nÛ6Žãíök½þØl>-ku)]aðýàpø=}×ÝÉò½À_Ôu]UU†û“Ÿ?7d¢× + +endstream endobj 1207 0 obj<>stream +H‰b`dbfaecçàäâæá…> æç——”’–†JñÊ@€¬œ¼‚¢’²¸¸ +ª¸ªšššº†¦–¢6ŸŽŽ®ŽŽ è雘š™[ ˆËX +ð[YÛØÚÙ; ˆƒ€€£“³‹«©º¸ž»‡‡€§—7ºøpA.c + +endstream endobj 1208 0 obj<>stream +H‰ÂMO‚`à-ß¼ ßÖAELkS·ìAÍì6/yEh:‚åƦd\°²g†Ð0”Æ/‘K K5®*’8 0€£À÷ƒÅâM‘¯¸ªÄs23h…Bª,Ë0 çóùtú2›½šæ¸^WyN4—¦©çyÛív·ÛÙ¶­ë#UÕ´V»­ÝHb B躮e-—ËÕjeŒLE¹Y—I‚‰ãøûŸÁçz­?ëÃûáãÃS¿?`­BeY¶¿øÙ»îW¯7àXÀAžçI’‡ãñE‘õn†9OºÝ;T)’¥)–&Ù¢( üÝl6Îm³Ùj4ÔÓ)uÂâ|Îÿˆ¹ä + +endstream endobj 1209 0 obj<>stream +H‰b`ÀÁ$ŒÍˆ$e0!™ÌÌØÍaf©cbA7S=+˜dcçàäääB1¸yxùøÙ…„Pu ‹ˆŠ‰KH²KII£ŠËÈÊÉ+(*)«¨ +BÔÔ54µ´utõô ˜™!.ˆ›˜š™[XZYÛØØÀÅÁÀÖÎÄÞÁQ—ÍÉèB„¸‹«›»‡§—„·¯Ÿ€0*T R  U +Æá@F`Œrq±0Úà + +endstream endobj 1210 0 obj<>stream +H‰Á‰N‚П.Q%Щåµav8ÊZÙ%²šå–ºÙBÁ8½;~¡÷LÃ]/~Vóo]3·«¿ÍòW®=öÕQ¯;T;ƒñHóìÕÂÝ0T"Ée8ö "\Žõ/Ý™Mí¥·ÅQ’$"D²ÅæS»Ûzë¼öÕö»öaX3ϵ–0„ü8Ù§£,O‰Õ: ˆøA '%A–õ»‡š¨´šª1±æÎQDÉ0£L”ÍexñV®K ITùyª™~}A`7àÛCX$̦ éT>Ÿ-ö»Ã^gà˜ $Ž’ñÍñg—Bµ"Üœ—¯Ú/=cb“a†À©Óã‹Æ}GH,¸—â²%¾ è¿‹W¶ + +endstream endobj 1211 0 obj<>stream +H‰lŽÕ‚PEÅll¼ +êxín¯ÝÝñÿ?â] +Ê~˜ØçaFAQ”R•Z£‘ ´:½Áh¢iúÇ›-«Íîp:L ȼËíöx}þD…¾I˜ã8á£1Ö0ÒŸSŒ!‘L¥3ÙR üË@ X*—+ÕZ½Ñl >]ûYH§ÓíõÑv>stream +H‰À?ÿèåìæãêæäëäâééæíÆÄËÐÎÕßÜãáÞ妤«¸µ¼ÓÐ××ÔÛÖÓÚØÕÜäáèêçîxv}”µ²¹º·¾¼¹ÀÔÑØàÝäßÛâãßævt{‡„‹ ¤¤¡¨¢Ÿ¦§¤«À¾ÅÎËÒÍÊÑÌÉÐyw~zx{y€}„™—ž§¥¬¤¢©»¹ÀÞÛâãàçâßæâàç„‚‰‰‡Žˆ†•“šª§®¬ª±«¨¯±®µ|z}{‚…ƒŠ‘–’—ÆÃÊÝÚáÛØß ŸF‰¶ + +endstream endobj 1213 0 obj<>stream +H‰b`Ú€‘ »83 `Š³²±spp0bˆsrqóðòñ  kág—D—’–‘•“WPTRæa@Ö£¢ª¦¦ª®¡É©¥­£«§·HÕ ŒMLÍÌÅùùA.‰«¨ZXZZXYÛXkØÚÙÛ³3@€v ¿ + +endstream endobj 1214 0 obj<>stream +yw~ywyx~zw~zx~zx{x€„{y{z€§¦¨““•””–••—‡‡Šxw}xv~xv}ÁÁªª«««¬­­®—–˜}||z|{ + +endstream endobj 1215 0 obj<>stream +H‰tŽW€ DS@ÁŠïQ˨€À~$ÙMdÄwÅ÷‹‘!›LŠ\À_Åɧž¨;£„YúÍùnŸ#r‘F–‰Ù-2¥ÒZEn ªnÚ®7ƒ YyœæeÝìn¥8å n­Ñ + +endstream endobj 1216 0 obj<>stream +H‰ª,¯«©jl¨km¬okiêœ9mî’…+/X¾hþ²-›v^:í꥛W.Þ¨®l¨­nª«iîhëíéšØÕÑ¿hÁòãGNŸ9yáô‰ógO]¬,¯¯(«-/¢š²’êŽÖž…ó–.[¼ªª¢¾ª¼®ª¢®„ê Œ6A + +endstream endobj 1217 0 obj<>stream +H‰Œ ƒ EkµŠhqEé6ÒûŸQ"âNâKÂû™,Ä»yþ=QL:k`$%Ù“ó¼(«ºÑB,´|½?Aø…#?ÆX¶—¨{¤”hNýX•ñ6‡Iâ¦ËAïðjZg濲ÂVtϺ®o\^¬6› Ý?2  &J> + +endstream endobj 1218 0 obj<>stream +H‰|Ñ + wÿÿÓ!A¨3÷P’·)IB¡{¦ª^©?ˆÚvk †uÚÕI|Kci°Oã9ÿ0à‰cÒ`!h) + +endstream endobj 1219 0 obj<>stream +H‰b`€F d@pP#¦L†8L-#v£âŒ0ÅŒ¨ZQÂ50"HBÃj1q€§ÃI±‡-<Q%ñš¦ %Â* + +endstream endobj 1220 0 obj<>stream +H‰ÌÛN‚Ðo–ÔÌqUÊ°´µRæƒöà‘«—$*Ê-ÅÊ® ÒU‚ Šø Ùvžç¬îꮣerR,Q`jk¡ºª‘/*qœ Š9²ÛòšC`eéš6="/#(í{ëÉØ¡XÏÔÆ)wæOL'ŠÑŽå7¥˜à#HµÛ‘¥›8ÎeüW¯Øhÿ.úæn¶±òÖºneóííD-–d"hÕŸúÐ:.Èসå<9¬øX,w +g +žiÀ)Ùçw’Ì ¦?žÚ7n;Ÿ¹¢rx*esJßW¾þàêO|c@–,- „Ei×öMÃ[¡9[Ïeº ¥¸x>ŸùCͺïz}£}õž>¹@þO€ ˆÇA + +endstream endobj 1221 0 obj<>stream +H‰lÎÕ‚@à11Önl ìîî.ì~ÿÇŒQÎÝþ{fvA ‰%RŒ†ä +%Â~*µFËç:½ÁÈç&³Åj{qv?€Ýaqþ¾À8¸Üïwÿã@øüž>ÉöÙÆ?3/G¢Ü¯â/ÅÉTúO&›Ë¼¡×‹¥r¥Z«7M®·Ú.ÙëCz±Î\Æ“ét6_ ëËo‡UIEQÄz³Ýí±G:'â|¹Þö÷ûC€<< + +endstream endobj 1222 0 obj<>stream +H‰|À [þÿ艓–LƒW¬P÷i%þßBM²XD®m~}¨?ƒÖnLîfZZ¾ð|v}IcôzØMNac>îùpæÌØçö0<âO + +endstream endobj 1223 0 obj<>stream +=Hp=Gp=Hq>stream +H‰TP Ä0iÿÿå­‚Ý4™xA” ѯo›DO@»¢ø²CÍŸI4 ÔÉXð +d +Ò”¼Ôaµ‘Ò<}·_ÉtŒ䶃<˜ÛM–¸)3p(‘ùHe0-îz¬%¬>üœrˆ*;ÞÞà-œZèå|\ªW!Îaφø` ûn + +endstream endobj 1225 0 obj<>stream +H‰tA0íÿ?Ý[*“®ÌÒª^TÔb$ü‹LEÏä`p¸0G3±\~=…9SèJkÔ±ÿ+|né[‘ø 0$2 + +endstream endobj 1226 0 obj<>stream +H‰*®[žW¹(.s’“_¹µ[¾­{Aqýò¬ÒyQ©}Ž>¥6@‚Äœ©Þaõö^Å%uËÓºí<‹lÜ lÜR…¶nùEµËò«‡ÄwT/IΛîÑXR¿"§|‹…­{aZá,g¿òÔ‚™É=N¾eI¹ÓŠk—Wµ¬.kXYÖ°¢´a@€~7 + +endstream endobj 1227 0 obj<>stream +H‰lë‚0 …률«Â¬Q&xW|ÿ´#1qMÚ_NÎ9Ð3™Îæˆ1ËdóÅÒ%rX­ Ly vÃûdõ¼EB­îv¨sª}=Êdž(åþT·Øë>>—–ÂÝ_ÁaÎ#˜µ¾º¶FÜYûç·»´§[þ\Â[¦`áÉ_Ö‰M'ÉÏ.r~½ûþãc‚¿ Æ + +endstream endobj 1228 0 obj<>stream +H‰Þ!ÿº¸¿»¹À¸¶½—•œ|zzxxv}yw~ÌÊÑÍËÒÉÇ΢ §‚€‡ƒˆ†ywàÞåàßæßÜãËÉм¹À½ºÁ´²¹‹‰ŒŠ‘…ƒŠzx~èåìêçîÞÛâ·µ¼¦¤«¨¦­œš¡†„‹~|ƒéæíëèïãà羻¿¼Ã¿½Ä±¯¶™–”’™•“šçäëæãêØÕÜ¿»Â¹¶½œ™ ‚†€~…{y€äáèÚ×ÞÙÖÝÆÃʶ³º¸µ¼®¬³ŠˆyxåâéÎËÒÐÍÔÃÁÈàÝäáÞåÛØßÅÂÉ»¸¿ÜÙà ò¬œ¯ + +endstream endobj 1229 0 obj<>stream +H‰b`dbfV6 `Gœ\Ü<<¼|,¬@â“‚BÂŒ"¢bÌâ’HÚ¤¤Á@FVN^AAQ‰‡—WjPTEFFUK]CSK[GWG ªK +ôôõõ DŒŒMLùLÍXY¥‘€¹…¥…•µµ­½²„žƒ¾¾ƒ¥££“³®)4:0wqqus÷ÁYfîii‰!@‡b— 0Ž* + +endstream endobj 1230 0 obj<>stream +H‰Œ€0{ýÿ£5P$F›%kØ1ŠByŸkˆz÷@/ÿà[¿êÔùä™UvŠŸÉ ¼? àe.^ôÚ—»ñ.õÜ°džæ™ªüÒ!À6“H + +endstream endobj 1231 0 obj<>stream +=Gp=Hq=Hp>stream +H‰d !CáIïåùtwŠŽ`$£™Û ´Gw±aáXëð¤Èl™ñSTUî1m‰'ßmŠ'¿ÅÅÚî%ø<„ÃWn#÷ê:£‡0jØ¡ŒÕrr*_ך0î`ÛµÖ২ï*³ce¥ô“oXáýNOüÄ#¾ õË( + +endstream endobj 1233 0 obj<>stream +H‰Á‚Àÿ?À醱€H¹á e„€Hw*Ƽ«‹÷³üTy“%•k…¦î$aQf/ßyÛô_Õ·HŸŽvì +! ÍÎâJSM!úÀP–´¦þ¥Q©ÊúÁ9V ½ô +ƒÞȳ£ãáÒn+˜ì´ºyR+Ò}Mnf ÌìÏÃÇ‹ó‘÷ø¦JM'$¨„-aÜ2¼(È$^…æ¨È+žŽ"è¿ÉS‹O + +endstream endobj 1234 0 obj<>stream +H‰b`FF’3 +6=ìœ\Ü<˜âŒ¼|ü‚Ø$„„EDÅÄ1%$$E¤¤e°Ø!#+'"ÏŽÅnE%^lŽâPPQÁ”`’PUS×ÀÔÁ¨¢©¦¥­Ã„i›®ž¾¦;‹¶¡‘1«MLÍô̱”…¥œVOÅ_¤ + +endstream endobj 1235 0 obj<>stream +H‰Œ + wÿÿtšƒ,"Ýt®$ U‘­S õ]ãA9;ЩïšÀRº¾•ý¸O‹XžHR¨ÁëËZ-N¸uÂT ,¡8 + +endstream endobj 1236 0 obj<>stream +H‰êÿ4…4„3„.9‹VpÃpŠÞuã/#>^xË5…3ƒ5…1LžRl¿m‡Ûvä5†4†2ƒHbµ1ƒ1‚Ga´6‡5‡7ˆ6ˆ6‡3„"<Ž@Z®`zÎ2ƒ(B”RlÀk…Ù8‰8‰7‰7ˆ1Mža{Ïsá8Š ;8S¥fÕ:‹9Š8R¥WqÅo‰Ý ;Œ&A“TnÂvã!<Ž!<:Œ$>‘6Q¤]xËx’æ"=ZtÈnˆÜ%@’%@‘$?‘4N¡f€Ô'B“&A’5P£j„Øw‘å Ri[ë + +endstream endobj 1237 0 obj<>stream +H‰TÌm[‚0†á‰ËµÊJ³Å¦fµ@Ý4**D‹ÊÔ¬ÿÿwbl\Ç>Ýçñ P1Y–U…5¤%8ÄGfGÇ@§ä¤Þh¶l§ª3mÐAÙn@Ëy÷ÂÞ¹T¥\¾p(aLC¯?¸B(ÊKax}s›¿s=Ï#$¹sGãI‚s%!TNgv÷ú<É#âáñ©2”ùB<¿$ókúTá\Q!_,ßÞQ!‡¡”2Ï⎾VßDZ¤ëM6鿶?)…t÷ûWºø`-Ž— + +endstream endobj 1238 0 obj<>stream +H‰`ŸÿèåìéæíäâèÝÛáÒÏÖÙÖÝçäëßÜãÊÈή«²Á¾ÅæãêÚØÞÄÂÈ©¦­¿¼ÃâàæÐÎÔ¹·½¨¦¬éåíåâéØÖÜ»¸¿©§­½»ÁÖÓÚãàçÓÐׯ®³£¡§ËÉÏ žM1 + +endstream endobj 1239 0 obj<>stream +H‰b`F€§v&fV6lìœ\ÜXôpóðòñc‘`Æ¢CDTL\BR +ÓFiY9yiL ‰DI + +endstream endobj 1240 0 obj<>stream +H‰ŒÛ + C·ÿÿéˆXy E|Ð㔓àNW2ÁG‚Š†Ô¥(ߎÂaXK~þŸ®g¨’%z%³PÞ¡ÇdŽ5îN–(Ô2 + +endstream endobj 1241 0 obj<>stream +H‰ñþuãvävävæv‘çv‘évçuâsßuävåv‘èvèuŽâsŒÞq‹Ýl†Õvãuåq‹ßhÑayÈtäj„×]vÅWo½w‘çrŒà\uÇIb¯E]¨w‘æqŠÞVo¿@X£=Tp‰ÝSl»>V >stream +H‰b`@ŒLÌ,¬lìèâ œ\lÜ<̼|ŒÌÜÜl\ü ‚¨êA¸…„EDQ• B$ÄÄ%$Ñ bbš$%-#+‡.Át’¼‚¢’26 fU5u4'l`ÖÐÔÒÖZ +•ÐeæáææÒÓ×20DÕÁ´ÚˆÉØÄÔÌáR°'X¸Y„,,­¬mlQ}Ç ”°³wptrvA“àævÕps÷ðôòFzŽ•ËÇ×Ï? 0Y‚‹••™18$4,<" À—Ï‹ + +endstream endobj 1243 0 obj<>stream +H‰f™ÿØÖÜÂÀÆ®¬²ÎËÒèåìÙ×ÝÈÆ̾¼ÂÖÓÚ¿Æãà稦¬ÜÙàéæí¥£©©§­ÞÜâêçîåâ鯭³âßæäáèÞÛâ´²¸ÉÇÍÚØÞ¾»ÂßÜãçäëÒÏÖÏÌÓàÝäÖÔÚÛØß „ÌS + +endstream endobj 1244 0 obj<>stream +H‰b`dbfÁXÙØ9°‰³qrpaçææáÅ&ÎÇ/ ˆEXH˜]‹zQ1q Q,â"’RÒ2˜Â¼Ò²rØlå•WPÄæQi¬ÂƒI¤§ + +endstream endobj 1245 0 obj<>stream +H‰„ + C}÷¿td)}–‰JÍ­†f`&ôò´ÞÎZé;ð ÅFÝä³1Cº¨$qBMnåXB jÑA`þÊÖÒ­/˜´ß-s? + +endstream endobj 1246 0 obj<>stream +H‰íÿ(C•(C”'B“&A“%@’$?‘'@“.I›WqÄuãvä)D–)D•'B”7R¤[uÉ,G™+F˜*E—2MŸQk¾iƒÖ-Hš>Y«j…Ø/Jœ/J›-H™5P¡Lg¹pŠÞw‘å2Mž1L0KPk½mˆÛ3N 3NŸUoÂ4O "=ŽOi¼7R£6Q£6Q¢5P¢4O¡XrÆ8S¤:U¦Gb´b|Ð9T¦8S¥E`²a{Îo‰Ý=W©Kf¹h‚ÕrŒà;V§Ga³ZtÈq‹ßvãNi»d~Òsá>stream +H‰lÌÙP†á”d—’"JÈÉÛ,s™ïÿrÔ‰§Ýö¾k=‘ )*I§˜4`Ad\†àyŠæ„,@&æ$‰#H9_@QÄðT,±¨«£”E½R­¡.õ†¦6[ ¶vÇ04¥ b ë¦©ôú˜[{hŽÆ˜OËv¦³x@8‡‹å +sÇëÍv‡¹ëºûÃñW?Eü|¹²(ó|ÿvÇ*?¼' ýõþüy_€Ÿ: + +endstream endobj 1248 0 obj<>stream +H‰Áé@à÷㇆Ádªi”Ò!!]ºt·µ;»È3ø¾±›Z€];àXATØ|}/Öü: ¯(Wå‹óðA‰zü)2 Kçùlaèfè'<'Ý [WoôžcéCä§"¯P;&KªÕrA#ǨéÞýϵÏ G2åi]åmU´O7²L¯.áIÔ6kŠÀñÈËt Èzê + +endstream endobj 1249 0 obj<>stream +H‰lÍÙ‚0ÐAé ,‚TqZêƦ"þÿÇùî$÷ä$ä-–¾"þ©`µ¥Gq²I3Á¼ÍõŽe÷…>æãé\"¯êÜfk‡üR\oRwDr¾U¡SêìÈ>™Ír8&ˆ³×{Bü13ôo<×?i‰W + +endstream endobj 1250 0 obj<>stream +H‰|‹ Ýÿÿ´ D»‡,aÕž#.¤aÕ9èùIÂ=ú*¢ Ú…… WL¾Ñm *¦ È~΋àv};·8&2 + +endstream endobj 1251 0 obj<>stream +H‰ýOqÆÿ0WÌL¸/"“A€wÜ ï‚€wpwèT0ƒãŽB  $„ 5Zm¬¨fmÒª­–¥fˆõ_týú|ölŸçaøߌ0b…KV¸b“¼ñsGø³ÖóRŠÕ¯ë*×49žAœy6)Ò1ûiY?Ö,ö ¼v.É]½=k¥°ÂˆÆ®ÍoóÞ¾ÒÚ‚°² ÎëöœL–òs ?¦öÐ'¥½ ˆ'R¤8yk õT|«;fE~Äð—ž»'ÄÊ‘ÂÒxUŠ<º¡MáKz½à]Ž³¼h8f“iî_>šµu€±ðÇ!'G¶LT™µ|·ë4÷ ¡ßS5™¡²*cÆÆTép‡æÎú50î¼"Q§eó)+]%ƒ-_è w¼±³…¸ú„•&”ü´.é^k0‘ÎRô‡ÊñTNT!´8¡ä¦µ‚kµÁFºŽðµëùŒ¹мDÅøž[Ì7ºÖÀpÎñLn¬Ë±=`ÈLiJ,íYk2‘îâÆW3PXº Á÷h +v>$þ;Ý`¼g |œ1í¬ !9™NP›3f_… +¶±·Ý§b§°o 76V”êÓSêæ.$¶ûµæ±_¸"£§ÄÊ•£ñ“2@s’¹(ê*öNéÝàíw÷扞|5kí¬$Õò“ÿëE:tX¬¾Ïÿ 0æ¾ + +endstream endobj 1252 0 obj<>stream +H‰b`€F&ffV6vN.n$q^>~A!aQ1„¸8/‹£¤”´Œ¬œ¼²8 ‹¢’²Šªšº†¦DL›AGh ¯®ž¾¡‘±‰©\ÜÜÂ’ÅÂÊÚÆÖÎÞÁÑÉjˆŽ83PÜÅÕÍÝÃÓËÛÇ.nÁkÉkîëçw¤ ‹ExD¤jTtL¬Oh’ãy-â­“’SR}BÓ ¦è€¯”ž‘i—•“ëšv Ø‘,ºáù…EÅ%¥e>¡åÚ`S™XXxu**«ªkjëêCÁââŒ@[ùš"›[ZÛÚ;:C»º¡ŽçµdÑééí3ìŸ0qRhhçä)pñ©Ó¦Ï˜9kvÊœ¹¡¡óæ/‹ƒ?uá¢ÅªKŠ—.[¾"´så*€ˆèJu + +endstream endobj 1253 0 obj<>stream +H‰Áé@à÷ãc £š˜ä(¥Ë•T²»mÎgð} ùÔÕ+Ï0)Ÿ¸hîqþ¢¿gý-ñ½‚ËÕOŠŽ;è3<+äœ%ÅÞ°{Ý7š”¨ö`0ež6ھʩcAQXÛMoH‘õv«£«;Šß W’ÆŽÆÞ)°M¸Õ̓áH‹5ÏM3…c&Ì—æª*o¢ EY^bëpLcä}JÞ·ð~ç¿[Š; + +endstream endobj 1254 0 obj<>stream +H‰lÎW‚@EÑI0D Ž‚:¨€ 0³ÿ±€~ýy«úÔc\H†N9ÊYÆ“)×´{3€Å2\ÅIº6Ô›t›IÚóÝþàYÊÇÓí,«Ú¡ +³—JÌìõfcû@]>š8R´§Y?_oƒôOüý!ýß P®C + +endstream endobj 1255 0 obj<>stream +ËYËZËZËYÌ^ËXÍ` + +endstream endobj 1256 0 obj<>stream +H‰„I ·ÿ?ÙDb5J8P(´˜õ åøáà·&ºqîw_ÞC_Üüø\¥ôG|æ›<Üé_î§Ñ ÇŒéî:—*À,hI + +endstream endobj 1257 0 obj<>stream +H‰ÙoÒ‡ÿ*_ôÁ蛣1¢ÑÄDã•x&[ftÉæ=¹Ê1¶1¨ÒÁ€±«0Ë)P°¬PŽvmWJ×q3`‡‘±lsþÞ¿|_~w†ÐëO`É3øÁHðÅxèÕürÔ9&C’)®]ïÔ+íFu«*6ƒHT è¤Àô”že˜~¿|||w½ùlåÞPèéhäõ‡ÐðGßðÏgU(…q|ñ‡UÊÉo_ ñ{«Õ<<<¼ö¾òÀñèmtd">¡|Ÿ:Ü©•`ž$…Úf³Qi7k2·iúaQÊÕF˜N§.Üš;/1ÜF¾L&m.,õ›`VàE¾´Á—DQ¨Ö6[^ \ª’Ë€t;:::{Ýpæªîös—r6MP$Ió'€ rÏÐë ]˵»‘øõ[? â8~ê’æôeàÆCr`F«ÑhT*•rY i&ƒgSIlæq,·´àÖiõr9ÍfÏI ’§æq¹3Š¶w¶··{N§^¯“$ǃ UdÂÁ˜~ +ꥥåjµzñÞÜ“·Ëzè'‘göþìlww·Ýn‹Åp8ìóùŠyªUïV6+pP!SOëfvvv¿ó«@Ë0ÍV»ÿ·ßëõ‚ðz½‹ŽÅ\¦À®ñÎ%¯tBa·½‘FÌN¢$Ô÷÷÷ƒÁIS&“q:v›ÀóbmÑî’I•.—[iˆ…âq³ÚÙÚêv»(ŠºÝnØë# 4G ~oÀ8kRÈTf³Å`­®âE²,[>yDòù| ðûWRhš¥yÃÌ@©Ñ½8¾šËå(Šâ8.™LÂ0ŒüD²ÿ½T(›šœ•IO2“Çã H$’H$0 ‹F£.—Ën·‡CŽ˜F5j†aF?ÙlV‡Ãa±Ì[­Öد¿.ÂD«žVÊ5ZAn§ûæ=83£A£Éd²X,4·°à@ã)ŽÜË>@¡5ÏYDQìþ 0j (0 + +endstream endobj 1258 0 obj<>stream +H‰b`dbfaecçàän^>~A!aaQ1q I)iˆ—¬œ¼‚¢’²°°Šªšº†¦–¶Ž®PX߀×ÐÈØÄTXXØÌÜÂÒÊÚÆÖÎÞÁ‘‹‹ÇÉÙÅÕÍ(.ìáéåíãëçÌíæ⎈ŒŠŽ‰‹OHLJÖwLIMsIÏKdfeçäæå—èó”–É—WT‚%ªªkjëꛚ[ZÛÚ;ø:»LºÁ=½}ý„„&NšZزðñ“§Ïž¿xùêõ˜ÄÛwï?||ôäÓç/_¿}wùñóL À8b’š + +endstream endobj 1259 0 obj<>stream +H‰l“ÿèåìéåíéæíâàæáÞåãàçæãêÜÚàØÕÜÝÚáäáèÕÓÙÈÆÌÇÄËÜÙàÖÔÚÁ¿Å³±·ÔÑØÒÏÖ´²¸ž›¢ËÈÏêçîÆÄʱ¯µ«¨¯ÑÎÕ®¬²¬ª°ÍÊÑ«©¯²¯¶ÛØßçä븵¼ ,uVÚ + +endstream endobj 1260 0 obj<>stream +H‰b`€‘‰ «83 +6qvN.lâÜ<¼|ØLâÂ&.,"*&ŽE\BRJ›¸Œ¬ 6sä•0E•Ød”±)gã0=ô + +endstream endobj 1261 0 obj<>stream +H‰ÁûSÒpðÙº2‰Å G Ûw&l#@/CÅx%ñŒKA¼‘Çd0¸ôŽèóÑ~&`Æ0” Š¢Ün·Ïç‹Fc|®ðW¨gÒ¿ìGøx<¬ªªÛíú›L&‹ÅÂ0ŒßïOq©zµU.Õ1îÈJ…N‹ÅžFAN§ÓëõF£‡+Bu8³79öØ£¤ó«K„årùêõîÛÝ=­ÒhÞ›Íæ«ôµ$ÊbOŠ\$̆b±X|µZí¼ÑC¿€ Æý}C;îû£r±ôŸ¢ˆ•ãR;Àö3ÏWOß]ž±4©Vš^6HŠ(À³Ùì;C”ao;-‰»LýG]IU åBœ²Ó¥R P×ñdMì+½ŽØnöÆÃI¥T0cVžçŸžþùByAGÃéD~œÈ3YšÞµðʲÞù|~/wïdYRFª2~,ò•óP„Ä)’°%“Üóós*ÓPƪØ]ÆÓŒÝ %IZ¯_¸«F§Ù„6’9;;ŸÍf›Í:sÓºÍæ}ì ¬›Íæw®«ŒÔv£—ˆrN‡§V:h7ŠÞoÞv»}?P¦s# …bþ@ÛÈ!n·ÑÿÛZYõ + +endstream endobj 1262 0 obj<>stream +H‰b`dbfaecçàäâæáåã‡A!FfaQ1q I)i¸¸¬œ¼‚¢’²Šªšº†¦–6\\GWO_ÎÀÐÈØÄÔÌÜ.ÌoiemckÇaïàèÄãŒæwqus÷àôôòöñõóG + wŠˆŒBŽ‰‹OK OJNIEOKÏÈÌÊÎáâÎÍËGæ/(,*.É.-+¯¨¬B¯.©)ή­«wjhlBo.®)NhimKjïèDï*®) íîéÍíCæï/ž5qÒdTÇÁ”ââ,ñ©Ó|§Ï@ŸY\>stream +H‰Á WÁpðO]3ÇþÂflv0WO¡W^=ls¦i$ž¤ç6st|…~?Bôë`´b6ÂŽ’8æâ±t*/$s…Ûâçx¶W¿µí †€6› Äh&éô²tˆöã—›2Z/v˹ª;7YÌö €ÅÂWédNHd¡3£^ªb#}çu3î!”`_“ÅS³ ÅY?ÏÑ!%Oû¿ÙtÕj´«R³&?f„ÆÏû(ÎŒ »Í±¯ŒÄbU*Õî e¯‡=ì~ŽÚoç¹×m÷S‰,Ç„l$ÈF(­®›¥6L”—A¯ûÞ–d‡Ý t9Û®æêx8­WZR¹.‹ ¹\OÆ3.ÂG8('î™~ÌÅRç¢ÿ Щºƒ + +endstream endobj 1264 0 obj<>stream +H‰b`d``b€fVV6vN.n^¨ó  + + ‹ˆˆŠ‰KH2 )Aii6Y9y$1&tE˜@JJAAQQ»$¿"P^ +‹aÒBüŠp]ÈJÊ*ªjjêRmP ¡©¥­££«ˆa—ž¾¡‘¡±‰‰ˆ©™DÄ@s °°´´²¶1“‘R„éåá±µ³³å¶·w0ptrvqTäç‡J€¯››¹»‡§—·¯ŸŒŒ HHøû‡„†…«FD"I€,“Ј҈ŠŽqr0™ª + +endstream endobj 1265 0 obj<>stream +H‰ÁÇNÂпáÀ±FOz'áBøâ ´t©4X‰FQ"£t0œ( LTÙ¶P(”áþß >ÇÊ×dø=V¾‡ýö{¯YªåÆk±šJdŽ<>Ž ]^ÄãWI'4Z­V©T0¥Õj ƒ1w;J¿;Rä‰,)Ýö üR½Ifï2¹üCñé¡`³Qz½~zz€Ù¹9N7¿°€¡hÐÏ_ÅRo¥z£*Ô+n2èÅ–ÔzÝv_å»ì=Cóî½CǶ˸’«$EÙA#ºµ¹;K¤ÙëxæåùMûAžŒ~Z 1àú¼ž ÍÒvä¡i?ð²Å|¹ðô*KÃÑÏçø÷kò—NÝ^'Ó·™b° 7C ¼ìtìsL”e¢ >ŽÆVë†ukÝBQ6GÀLJϣ¡³š½É!0£¤rî:\;n¡)A J`k´ã‚–7kb«Þ†L˜ÕB…¸“çÇR©P1Qp ñ{Y6Á±5Ä0d•\Ù€@ü_€¨ÿá + +endstream endobj 1266 0 obj<>stream +H‰b`dfV6vN.n^>~A!aQ1qF ’—”’–‘•“WPTRVQUS×ÐÔÒÖÑÖicÒÓ704261553·°´²¶±µ³µwpp”ba„'gW7wO/o/;_? .F&ÿ€@Ý àаððˆÈ¨è˜Ø8-?]]qˆ–P0ˆOHHLJNIMK÷cŠƒ,„HDFFfdfeçD¥åŠëêê1A( ä…åÇÇÇ'¥ëk—ÀÄKCÀÚ"óËÊ+||+CQAUUuuuRWrm(thx6 ®p‘z$] U@€M¦d ’¦& À§iÞ + +endstream endobj 1267 0 obj<>stream +ÿÿÿüüüùùù + +endstream endobj 1268 0 obj<>stream +H‰b`ƒ02œ + +endstream endobj 1269 0 obj<>stream +èåìéæíÛØßÅÂÉ¿ÆÃÀÇÀ½Äâßæ×ÔÛÕÒÙÖÓÚÒÏÖêçî + +endstream endobj 1270 0 obj<>stream +H‰b`@ŒLÌ,¬l Œìœ\ܘ⠌Œ<>stream +H‰Á‹B@Ðÿÿ£B¢½KVÍ4"fµ‘×F%3y„Aç,ËbÄuü<+é«ï{MÓ©Ë +þiÛ–D6!K +^ÎóÌ9Ö"ÙÓ(ϳ7cLeÇò²¸€½FxòÇq·E¾wÀ/go ZÈâ¦iáàUU…(²šÜù4M”REF7?:˜¦ŽI¶m¯W‚urº_0Ò×õw§"צæ–¢ + +endstream endobj 1272 0 obj<>stream +H‰lÉG‚@EQÂoD”FI­¢b"J2‡ý/ ¬rÖïïQ9UÓ‰ÀgÆÈD0¶&Swfs×Àü ¸³X®†/A¤®7¿/ÛÆ‚ìöÂñ”@H³¼Ð”çª&’§MÛ!ˆ.×›‡àþx¾ ¼?_ñÿÔ 0òœñ + +endstream endobj 1273 0 obj<>stream +H‰ÁÙB@Ðÿÿ¢œìKS̨dkêAºwß÷yžçƒ¸coVöÓ4†y ãu]]×¼ø@´­ÇmÛ¾ß"«ILYÑ#„TY+ó¶­Æ4M¹vܺèšjH0¼^#áɾÆA׌;Ä=›!¢ Å·¤«ß˲X–-‰$¹¢¨¦îä´úÍ?Œ°"k¯¬nXs<ʦnûžŸ‘‚R*Š ¢ pôü 05r¢® + +endstream endobj 1274 0 obj<>stream +H‰lÈÛ@Щü9dFÎ)BT䬑÷+7¬²æÛ—›±‚¢jú*5Ó«5ôõ†Ñäò‹–ÙîüJ·×€Z#Û?ž¸D৳9zo±ôÁ‹ \­ÁG›íŽ£ßŽŽü§sœ”û÷—kjƒnéHþGö$ðâ•¿ùÿÌø ¥ + +endstream endobj 1275 0 obj<>stream +èåì + +endstream endobj 1276 0 obj<>stream +H‰b`ƒ  + +endstream endobj 1277 0 obj<>stream +H‰ ‡n‚@Ðÿÿ•6jpLÁ“Ã… )GM¬‰‰Qh_^UUêr5JŽåé5O.UY.æ‹ÅTùçóù½ßå ²vÎ9¿=ŸOË4‡¼`›þ)û¹Ýnp ^ Üȶm*q˜»®Ë2œ¦eYjšF‘Ìΰ^¯BÊ*?÷ûdE_mÓè”'W„dÏóÇ9ì¬^'ÿßïot#9fY|Î’s£VÃ0¬ÞhtÚíåtæZžï„G7Šƒ´Ûé6›­ï¯ïN«É @4Ÿ.4[Îfæf—†E_H’ƒŠèã$Ï–a‡4DIW×úÊÐT}«ÞÁK£" ‹,.Hš4GP, hšæ(Š8Aõz>stream +H‰b`F&fVTgcçàdÅ"ÁÅÍÃˇM‚_@PH›„ˆ¨˜8º . I)ta°„´Œ,† 9yE XY•¸”UT1l`eUcP×ÐÔÒÆ”ÐÑÕÔÇ¢ÕÀÐÈØÄ”ÕÌÌMÂÂÒÊÚÆVÕÎÞÁ UÂÑÉÙÅÕÍÝÃÓË›ÕÌIÂ×Ï? 0(8$4,<"2*:&66"Ÿ˜”œ’š–ž‘™•“›—Ÿ_1 ÀFC„ + +endstream endobj 1279 0 obj<>stream +H‰b`ƒ˜ + +endstream endobj 1280 0 obj<>stream +H‰ÁéB@à÷ƒ¦:¤Ie*C—"#©k­›t¼BßW¤Ÿ§àï¦í-Wœ/]àû0ZIÊ_€Ý‰ê + +endstream endobj 1281 0 obj<>stream +H‰lY‚0’Ñ°«(«pCV¼ÿÅ<=Ÿ]ïuõ0E]iœ–ÇÖ¡ SX¶¹ÛÝÞ;,sãè¡ @'ñ ÉÅ9H%È)Ë/W¤¦ÛýQ Ÿe…¾ ^7m‡š^ï¼[‰÷¯ ¦¡4–i⃠rH¿œ4ÿ¿5ø + +endstream endobj 1282 0 obj<>stream +H‰ÉnÂ@Dÿÿ3À»Çž½{lã%Q¸ ‰â\â ¥6K†ÒSZUÕêÇãq½^/—Ë0 ÷ûýv»­V+D̬Œy}iúýþt:5Mãº^àû¡ï1š¶íÇ8ŽÃ8vÝ·1Æó|ÏqÇ œiBˆ¶RJ+9›ïv»ÃáðóûÔz½öý "BRÅIœ:ïL8¥›Íf»ÝvÝW×u}ß×ucí +2À\+ÔR+&iÊ’$QÌHú.¥T +!9W‚ŸÏ‹Å{‘”+SÔ˜W˜•˜Í4fJ£6.$eÔG‚„Çã±,+û—ó³ +5Û[F RƒRiÁQ°4匡†°SAf)4äZ"Hh?[ÎE¥`J ¶¤DcÛJÈ,303À _.—SÇ‹¦±P`7< Ë»n#¤ + +endstream endobj 1283 0 obj<>stream +H‰b`€‘‰‰‰™Sœ•ƒ“‹Cœ…‡—_@PHXX„‘…I£¨˜8Ÿ„¤”´Œ¬œ¼‚¢’2\BEUM]CSK[GWOßÀÐÈf±‰©™¹…¥•µ­½ƒ£“³ TÂÕÍÝÃÓËËÛÇ×Ï? 0H:8*埘” u’qJjZzzFF&PÎÇ'+;'"‘—_PXTœ%%¥eå•U‰êšÚºúâŒÌŒô††Æ¦Â²2ïh€)‡,Ž + +endstream endobj 1284 0 obj<>stream +H‰cœÿèåìäáèæâêéæíâßæãàçÛÙßÓÑ×ÓÐ×àÝä×ÕÛÈÆÌÁ¿ÅÙÖÝÑÏÕÂÀÆ¿½ÃØÕÜËÉÏÉÇÍÀ¾ÄÄÂÈÞÛâæãê¡ž¥°­´áÞ奣©º·¾âàæ²°¶ÈÅÌÝÛá ,ÞP + +endstream endobj 1285 0 obj<>stream +H‰b`Œ€‘‰» +lìœXõpqóðb•àãÄ*!$ÌˈÕQ1q¬’RØÝ+-ÊM‚QVNž›„”@€ÕŸR + +endstream endobj 1286 0 obj<>stream +H‰KS£@Fÿÿz¦B/y† ènš~’ƒ!‰3åÆò±²Æ•U®Œ KNÕ·ê¾ç;÷þüü|}}Õ5LÒEU75lkH¢µ + 5 Y^ó ©`[õK¤§ÇÇÇ,+ªªmƒçy5@žŸŸË²JÓ‚V¼Íàïïïûûûù>stream + + + +   +    !"#$%&''()*+ !",-./012345)678 99:;<==>?@ABCD6++)EEFGHIJKLMNNOPABQC(RSTUVWXYZ[\]^_`abcdefCgghijklmnopqrst]uvNNwx4B'yz{|}~€‚ƒ„„q…]†‡ˆ‰vPŠ‹ŒŽ}‘’“W”•–—˜t^™ššaO›œžŸ ¡¢£¤¥¦§“¨©ª«¬­Jr^K®¯°±²  ³³´µ¶·‘¸¹”oº»¼½r¾¿ÀÁ±  ŸÃáÄÄÅÆÇÈÉlWÊËÌÌÍÎÏÐÑÒjÓÔÕÖ×××ØÙÚ|ÛÜÝÞßàáâãäåæçèéêë×ììØØسíîïðñò + +endstream endobj 1288 0 obj<>stream +H‰Á R‚@Ðû¡©±fÆXÃ(‚@+âòY Zp]–æz–íÐÞzþÇÙ€3"Kêl:çthhŸ%ÅB”_Çï-»œ~$-ñCªÎM€"]îþƹ ÒBAnX^ ÚþèáÅÔ­W€÷wºÚæB +ºÛ:sAL"̪®©û$Æ_+müü†ü°k®»ÆQ¦*†0û<þœpJ›­¶6ó¬¦íÁÀèŒëýΛN„ß”|öÓh²Z®-à(òæœ~û`Dº¦T + +endstream endobj 1289 0 obj<>stream +H‰b`dÂÌ,¬lì˜ÂLœ\ÜX„yxùø0……„ED±ˆóŠ‰KHb—’–‘•Âb¾œ¼‚"/†¨’²Š*«š:†¸†¦–¶.º°ž¤¾¡†¸†±‰©™9F 02è[(Z +bŠóZ©*j`†£µ­¦¸®½ƒ#¦z^'Cg%WtqvNgi À0’ + +endstream endobj 1290 0 obj<>stream +H‰ínÛ0 EßÿiK$E}Ø¢l§ŽíÄiÓ¦ÃÒ.)–¶—;àþ! {x(ä„.!i":è¬E0–É1ü?KŒûi¿ìO»åüãíãr»^n_¿ï÷Ï¿àiX»É#:RHe¹Vº"EšæAÊËãñý|};_ÞÏ—ÛÇ×ýó¥¤EMM>Y [Tº›ªÊ¬W+ªÖ½”ݼŒã¼§Óñôë|µ¨­ÈÖ`bfΘ¶n¦a´Ð¡TNeW«Ä¾+`0È•u°Á˜ÀEäHNM•Éˆì\`ŽJ]+ÜE¢@”€ô®`Á[]ŠÞqC®6:zƒÞ{Œ1v>ö™\ƒ®vßI\eÙ’7êú!¦>¦.Æ6èKÎ9Ï"S)S‘1ç!¥>„–¨FÕSIëÖ¥,¥]Úv_ÊœóÖ{-ŠãŒèKwì7§®–rhòœ%³äQÿ;aõ¤H~ˆÍ¾‘ƒ´Ãöu^ûþ(²kòÔ4cS+PQqesHS-KÛ?oÇŸýæED[»,{Q²2kÕÛ¤oùâƒü`Ù~¢ + +endstream endobj 1291 0 obj<>stream + +   !"#$%&'()*++,-./0123456789:))));***<=>?@ABCDE;;(:;;;;++,>stream +H‰Á PÐûßÁ4C¡‘‘m”TÆRñÛKËoµ^Á{õ˜„y[½ºú nÁɴʬ‰ƒÌ±ÜÐKŸí÷fyÆáT—}ß|<©;]•ªèîŽÏs2Có5ìçSrˆ`°hqŒ@#˜·«%C-Ù¬=âòh\Ðád+î“ 7õ3>&4ÅL£"O+M5YZ°¯ Ï8Ì$A ý´.ú '‘僸*;fÍÏðEà&¯îGS Ú%ð/ÀÚ^”R + +endstream endobj 1293 0 obj<>stream +H‰lÐÉ‚0 P AiA«â‚¢‚B]qßÿÿ·ä>Éñ2“4„eãr\†í¦ç·P-·Hd%èÃ,Q]Ýës> xÈùÈONôt–2mæ‹eæ#Ë|µ.Jt£7Û]¾?8Ǩ‚³Ô‰Î—«Â67º?ž/t¾?Ä=Í|ƒ¨Sÿ KÛ ê + +endstream endobj 1294 0 obj<>stream +H‰ P râ0üÿg6XsH>8|Èxc l á°…ŽØ™êšR©zz¦û6<ï——«{WwmÓ]Ú[YI-þ-Wîà®Ãó:5±„6þ/Àðc… + +endstream endobj 1295 0 obj<>stream +H‰b`À±Š31³°bgcçàäâæÁçåã`aàA‘—”’–‘•“gU@WTRVQUS×ÐdÖÒÖQàV€Ééê雘š™[XZYÛØÚÙÛƒ\èàèäìâêæîáéåíãëçotapˆ³³®^h˜AxDdTtLl\|BbR2cJjZzZ†£££³KfVvNn^~AaQqIi`jjYYZFFFHˆKvyExeUutMm]}CZjcSsSs PS+P—s[y{‡rgWwYcccsSSYZZFpHвŠÅÞ>Õþ 'Mž2uZc*¤MŸž1cÆL§Y¡³çAÙÜy“ç/h,[¸p!P_Æ¢E!‹§/Y2}ÎÜyKç/›ØØ´p!Ð —gd¬X`·|dÝ + +endstream endobj 1296 0 obj<>stream +H‰b`´Œ¸$˜pIô + +endstream endobj 1297 0 obj<>stream +èåìçäëäáèáÞåéæíÝÚáæãêØÕÜ×ÔÛÜÚàÏÍÓÍÊÑÞÛâ + +endstream endobj 1298 0 obj<>stream +H‰b`€‡8qfìâ¬8Äq©§`dÃîxfvfl2œ\Ü>stream +H‰Á‡ +‚@Ðÿÿ‰Š J +,Í‘z®s¤¦§—{kãzϳCŒŠ©ÿˆ¼â@ìÞgú¢«ph—yø†>jÊ'E¦Ëø‹‚´Èš WeÖ¶Õø ߪ¼ üØvWOiœCÓã®â•ê²è.‰Wu5`í©£¥»uÑ¿’R• ’ LÝAQÆsòfEhŠ•ãZTjw`¡oæÍl×ä_€©1‚‹ + +endstream endobj 1300 0 obj<>stream +H‰b`d +˜YX±Š³±spbçâÆnVÕLL¼|Xjù±‰"€ÅA!a,Ê…EDù±¸žULœ]‹rI)iY,Ž‘“WPTÂ".¨¬¢ª†Åu M-a,®ÔÖÑÕÃTÏ¡o`h$eŒaº1¯‰©ª$+@€ « + +endstream endobj 1301 0 obj<>stream +H‰oÿèåìæãêßÝãÒÐÖ»¹¿¯¬³×ÔÛêçîäáèÛÙßÑÐÕÁ¿Åº¸¾ÚØÞéæíáßåÀ¾ÄÒÏÖãàçÞÜâÉÆͤ¢¨µ²¹âßæßÜãÉÇÍ£¡§¶³ºåâéÐÎÔ±®µ¿¼ÃÕÓÙ»¸¿ÇÄËçäëÜÙà óCZ + +endstream endobj 1302 0 obj<>stream +H‰b`@ŒLÌ,¬lì 考“‹›‡CœŸK€[PˆC\XDTLC˜OBRJZ‹8¿¬œ<6q!E%FLqe! eLa,ª‡$0[•Ü + +endstream endobj 1303 0 obj<>stream +H‰Æ9ÿèåìéæíêçîÊÈÏÉÇÎÍËÒßÝäçä묪±ª¨¯²°·×ÕÜæãꇅŒ†„‹”¸¶½ËÈÏÈÅÌÇÅÌÒÏÖáÞåàÞ冀~…ž›¢¨¥¬§¤«¥£ª¹·¾ÔÒÙÖÔÛØÖÝåâéyw~yxzw~xu|“‘˜·µ¼»¹À¹¶½¿¼ÃÖÓÚßÜãÞÛâãà犈‹‰‘Ž•®¬³»¸¿º·¾¸µ¼ÌÊÑywyx~zx{y€~…š¡©¦­¥¢©½ºÁÜÚáàÝä uŒ+ + +endstream endobj 1304 0 obj<>stream +H‰b`Ú€‘‘«8V fV6vvvtqN.ny(úxùø…„EØDÅDyÄÅ%ø$¥¤edå¸å™š””””•TTÕÔ54µ´uttõâ ¡o ohdlbjÆÎÖ£¤dn¡d¡dieeimc+mgïà¨ë´ ÀhÜ f + +endstream endobj 1305 0 obj<>stream +H‰ó ÿäáèêçîéæíèåìáÞå¿ÆÎËÒÍÊÑÌÉÐØÕÜ›™ ¨¥¬§¤«¤¢©¼¹ÀßÜããàçâÞåæãꔘ–—•œ–”›ª¨¯ÈÅÌÊÇÎçäë|z}{‚…ƒŠ’—“‘˜‘–ËÈÏÞÛââßæxv}~|ƒ†„‹‡…Œ‹’·´»ÈÄËÑÏÖáÝäyw~{y€Š‡Ž‰‡Žˆ…Œ¡Ÿ¦ÇÅÌËÉÐÉÇÎÍËÒàÝäwu|vt{ˆ†¢ §ÂÀÇÜÙà€~…Šˆ‹‰Ž•­«²»¸¿¹¶½ÓÐ×ywš—ž˜•œ³°·ÅÂÉœ™ ¨¦­xv~yx~zw~zw ¤| + +endstream endobj 1306 0 obj<>stream +H‰lŽéPFSI„ÈX·ŒWÆ›™LežÅû?»XR²µ¿3>’$)/ô?‰ñ36HygB\8åcñ˜àö‰d*ɲ"ÃK‚ }=e äò…P‘æKe óöSQ«J­.fMžnÑï…£*j»ÓíõÃјüøP×'Ó^dÆÍñ‡ 9€†¹4WëͶ¾#Ú#׌–8Ó§3újŠÙ™^®¿'ð Åi,;’¯»»Ò‡Ýí¸ïYð©-ô`¬*22 + +endstream endobj 1307 0 obj<>stream +H‰Â=þÿÿÿýýýþþþîîîööõõõõùùùÁÈÃÙÚÙÚØÙÙØÙçæ磺«½ÅÀÃÅÄÂÄÄÓÕÔïïïóóóòòòúúúB¸oh¹ˆ¿¤‘¾¤”·¢­¾³ÁÄÂÇÃÆÉÆÉêéêÍW6ÒscØ’eד]Àƒk®…³ž¡¶©Ÿµ¨·Ã»Ñ×Ô×××àààËY'Îg>Ñx?Ñx=ÃpG¶qmº‹€¾˜}º•‡³˜¢¸¬»¼¼À»¾ÉÇÉöôõËYËXÌ]6ÏrFÓ|CÎy@ºncµƒ›¼ª¥½±¢·«ÂËÅÕØÖÖ×ÖÖØ×åæåôôôøøøüüüËXËWÍ[(Ïh2Ño0Îm.ÀfG¼sqÂ’x×u¹„´–£¹¬´»·³º·ÆËÈåææéèèèèçïîîËZËZËYË\Ë`ÌaÉ_"Ä_jË£Ô¸žÎ³—¶£´ÂºÂÆÄÎÏÏàááÍZÍ[Ì[ÌZÊ[OÐ{× yÓœq·Œ…±— ¶©¦·®®»´ÀÉÄË\Ì]ÉZ-ÉhpÑ—…Ô¦|À˜³”ÌZÍ_8ÐsAÒy?Çs?¼oÇWÉXÊYÌ[Ía!Íb!Êa!Æ` ~€J + +endstream endobj 1308 0 obj<>stream +H‰b` `dbbÂ&ÎÌÂÊʆEœƒ“‹›Sœ‡—_@PHXHU\TL\BRJZFVU\^AQIYEUM]CSKKa“Ž®ž¾¡‘±‰©™¹…¥\ÜÚZGÇÆÖÎÞÁÑÉÙÅÕÍÝÃÓË›•ÕÇ×ZÇÏÏ? 0(8$4,<"2*:&6.>!‘Í’¬““RRÓÒ32³²sróòù + +!Â~~6:EÅ%¥eå•UÕ5µuõPõÖ:`FJCccSsKk[{GH,žbøéØtvu÷ôöõO˜z°’‰“&O™ +`ž?‡ + +endstream endobj 1309 0 obj<>stream +H‰l“ÿÿÿÿñññòñññòò÷÷÷ÖÙ×ÚÚÙÚÙÚéè顶©¶¹¸·¸¹ËËÌôôôøøøùùùþþþ]¸€{½•|¼•˜·¥»»¼¾½¿»»½ÀÀÁëëë;Æq\ʇdËŒŽÀ£¸¹»»¹½¹¸¼¹¸ºÜÜÜýýý ïVTâ + +endstream endobj 1310 0 obj<>stream +H‰b`ø#3 6qV6vlâœ\Ü<¼|,ühâ‚BÂ"¢bâ’hâRÒ2²rò +ŠJ,,,üÊ@0fóÿ + +endstream endobj 1311 0 obj<>stream +H‰wˆþ:U¦A\®ZtÇl†ÚtŽâuãvã9T¦D_²d~Ñsá@[­Ql¿nˆÜw‘åIc¶`zÍq‹ßväId¶gÔuärŒàId·Jd·LfºgÖh‚×nˆÝMg»^xÍ_yÎj„ØG]¥Md«Nd«Yq¾eÓf€Ôg‚ÖpŠß>M>L€DXšLe·MfºLe¸Pj½fÔ@M{?LzIw>Kz=Iy?MDW–FZFZœQg±]wË_yÍ^xÌ`zÎn‡Ût‰Î r«³… + +endstream endobj 1312 0 obj<>stream +H‰b`dbfa6VTÀÎÁÉÅŠ póðò±bÓÁ/ („U‡°—(† H7¿v ¬â,|Ø%$¸pè”’–Áb›¬œ¼«º+«¢’²Šªššºš‰¢ššZÚ:ºzú,\\\,, C#cS3s K+k[;1{{H‰ +98:9»¸¸º¹{xzyûøúùù@$ƒ‚CBÃÂ#"£¢cbãⓤ“SRÓÒ32³²sróœò + +‹ŠKJKËÊ+*«ªÓk `û'w + +endstream endobj 1313 0 obj<>stream +H‰¼Cþ —BªJ¿TÀTÁUÉXÌYËYËYËZ +€7 ›C¹Q»Q»SÆWf+ +z4 ‘> > = ‘?ªJÊXe*b)_'[&V%\' +7ªKÇXd)^'Y%U$P"T$ q0DÌZ d, ^*Z'V%S$U$ ‚8ÃVÍZg•zD\g:b5a5]2 R' +|5ÃUÌ] Íbž²¨h“{-pG(kA*jC$f>V* }5ËXÍ`*Îi›š€ˆfŠvuš…¡o—)uF Š9ÄVËW2Ïn_Õvsw}›–¯»¸ÁÊȨ¼³=\”=ÅVËU@Ñx„Ú§GkVa„p‰«™³ÔÄÊçÙ¯ÜÄB±m ¬HÈX$Íeo×™·ßÊ- Y.¡Z9«dXÉ„g×”ZÓ‹*ÉhÆVËZQÓ„±ßÇÒãÝ#°Y"­V%±Z=ÉsHÓ@Ñy"ÎdËX_ÔŽÇáÕÛäãÅYÅZË`!ÍbÍaÌ\s×›ãåéèåì3ÐoŽÛ®ìåïÍaMӞܹëåî ýí»u + +endstream endobj 1314 0 obj<>stream +H‰b`dbffaecçNàâæáåá㇊#€€ °ˆ¨“8ª0§„¤”´Œ¬œ¼ª„¢’²Šªšº¿&\)˜ÐÒÖÑÕÓÓ704ñ8áV›˜š™[XZY!TsrØØÚÙ;8:9»¸Å9ánîž^Þ>¾~þFH–‡„†…GDFEÇÄj"‰ÇÅ'$&%§¤¦¥gd"‰sfeçäæå— ù×­´¬¼¢²ªº¦¶®¾I¼¡´±©¹¥¥µ­½£“ƒìNˆxWw››P¡Þͦ·¯¿Æcç䄺¿aÂÄI“'µl7ô + +endstream endobj 1315 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?¸7Q¼YiÛ¨njd\Úª.Nƒâ‰õc¡ÙŸ“kòj"‡k§—JØÍBµa,~®ã‹2±«±ºL¸|ué1baaWÓrr.×]pu÷J‡íû¼¾åGÝwÓ…›„ð׋ÿÙ + +endstream endobj 1316 0 obj<>stream +H‰Ì;NÃ0€á[°!îÀˆ¸'`Aì0ÁÄÀXØèÀˆH‰èѦ©òh´Žwb'NÒd­Ô¡”þôïëçGÖõ­×{_Ž Ó¶ gn8†fú# AdYþt]——œ1ÖuÝv»ÝÓÎÍ«ò¦‡ˆàÈ÷b‚"S·•‰ªLfSe6|«S5ðò¦”n6›ýâÄ>¢)g-g«’Ö4åE^£…/Ã/ ”à ?${ž‡1nšæx~#x=5]Vtç›,.’¦)š(J@ ˲Öëõ©}÷ä‹nrºªŠ¶.»_q÷”GÃZ¢ËÅ£“…yR8ñq£pò£è9΋š¶…†fÝ{/qβ˜½FãŒr3%ŒVmÿfê¤ + +endstream endobj 1317 0 obj<>stream +H‰b`dbfaecçàäâäæáåãã€A!aQ11q I)iY9yE%ˆ„²Šªš:hhjjiëèrééó ˜˜š™[@–¥•µ•­ž½ƒ££“³‹+LBÝÍÝÝ݃ËÓËÓÛÇÇ×Ïø‡pr…†…£É-´ˆˆ”ˆŠF—˜  Ø8s,@]ñ ®X$€ »ð€i™PÎ + +endstream endobj 1318 0 obj<>stream +H‰ËÙRÚPà“?ÐC$,²F!ç䜬W´N­­KÕ™ +.ÁÚÞ·oW;óÝ~ˆXd¬oûݾ§ÖìœBó +MK$šDô =ƒÔî‡ÜIªö(4_…ÆJl¼¶Ý?Ãé߶õ{»v`b#½3F­®W­;ZÝ)T¬5Ž®ñ^„w£Â4^ºØP®X媉ŸuçEëߪëÞð´?<ÝAsB÷žêƒéÐw{~^±„‹D,6ƒb)3–vc‚Ǥ=HyµÎáfsw]]mTÃxu%Ö:ƒ³mã¸?œcsÏÄÆÂAR"I‰¦$ÊfIí£ïØSJ&Œ‚üÆj¡Ö»lêç ý˶1'dZ3Ç™´:nQµJª••)›Á–ë9c×3¹K¦¸ŒUÞØj˜Ð^8í¥Ôº­ëÝÁ™mM©Æ¡Q¯ï—7ížîCæ#ÝEå0*¯bå7akQnß(íï[ý¯È< dFÈ £`gà»ö’$]ö!÷-ªü\W±ÚjC +kbú6yNà;$†ÀÀS& Ò=”cå0Q]rÕ§-¹Ú“Ú¹‚„ÎÞáÄ#Fº‰ÊOëåE¼ü¯,Åú¢Øºƒü%#Ý2¥gF^EÞK*ñ2gŒtÏ~ÀPX@ï€8‡ìgÈžCb<ô.¤÷A8áÄcà0ðv„3"þ'ÀŠï> + +endstream endobj 1319 0 obj<>stream +H‰b`dbfffaeecçàäââæáåã—”’––‘å“—WPTRVVQUS×ÐÔÒÒÖÑÕÓÓ70426153·°´²±±µ³³wptrvvatuss—öðôò6óñõó÷÷ + ÓÑ ˆŒŠŠŽ‰‹OHLLLJNIMMK ÉÈÌÊÎÉÉÍË/ˆO(,,,ò/..)-+/¯¨¬ª®©Éª««¯ohhlÊ5·´¶µµóVtthh‹vvu÷ôööõsr6rM˜8qâ¤ÉS¦N›>èBMÍ™3gÍž3§Ÿsî¼yóæ/X¸h±ý’¥ Ð7³oæ̾>1kî²eË–¯ºÐåÊ™3AÂ3WÍœÙÛ·zÞ¼5k×­ß°qã¦M3AêÁHoÞ>stream +H‰êÿ£¡¨—•œ˜–™—žŒŠ‘zxxv}yw~zw~³±¸¥£ª¦¤«¨¦­–”›{y€wu|ÒÐ×ÈÆÍÉÆÍÊÈϸµ¼œ™ ˜•œ–“šƒˆyxzy€æäëæãêæâéçãêÜÙàÏÌÓÍÊÑÆÃÊ~|ƒ€~…éæíêçîãàçÛØßÙÖÝÓÐ׫¨¯—”›™–š—ž‘Ž•†èåìçäëäáèÝÚáÚ×Þ¾ŕ“š“‘˜ÑÎÕ¤¡¨‹’|{‚âßæØÖÝ×ÕÜ´²¹¨¤«£ §…ƒŠêæíÒÏÖÄÁȘ—žÖÓÚ¿¼Ãµ³ºØÕÜÙÕÜßÜã 6 ¤t + +endstream endobj 1321 0 obj<>stream +H‰¼ÉÙ@€aÉLD‹la5‘µ!û–}íý_GÇrH®ý—ß ‚t@™0óY„Æ8^ð¹—ÉTšÎÈY>ÞžG…bI)+•Œªi*p{8ÆX¯ÖŒZ½Ñlµ;²ijü݉[!Ô³úýÒ€r#“O¥ÑØd*Ë3¯ãùbiˆöj½ ñŒ­®£´g©ù®kÇ“}ö9Á˜X—«ßÝæŽóÓÿÐM€çk<ì + +endstream endobj 1322 0 obj<>stream +H‰Ï0ÿËYËYËZËW+ÎjƒÙ¦ÃáÓêåíéåíÊU.Ïl›Ü·ÔãÞ%Îf]ÕŒËáÙíåïèåìËXÌ[hÖ”¿áÏáåçËWÌ\|Ù¡ÛåäÌZÙ¤äåéÌYÍa‡Ú©çåëcÖ±ßÆçåì<ÐužÜ¹ÓãÞéåìÌ^HÒ~±ßÇÞäåËZ3ÐoqךÒãÝìåîÌ\QÓ„™Ü¶ÛäãëåîÌ^ŒÛ­ååê‘Û±ìåïÌ`‘Û°ËW-Îks×›½àÎ@Ñx¤Ý½×ãà Í[‡Ý + +endstream endobj 1323 0 obj<>stream +H‰dÐ× Pšª£*uT­{Ö½÷ÿÿM(œjyr BÄÐ@ìôH4f Hç3‹â‰d +‘@ÐÙj¦3YJe–t+—/‘;¨|og—Êê øË×jÍðH¯7š-æ"Ðø5°Ìv§‹ÊU§×P7ìÃÑxžýum:›/–a·VëÍv§äìýáHiÀ‰ôÓÙ.ÚÈÿéÕ¹\i¨þíþx¢ë»hÏ·^ï_ž~ËwD + +endstream endobj 1324 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?È¿*ýíƳÝ[\!Àk÷®ÿ§ufÓ‚ÊÙ±åpŒvúƒˆÕ½ÖÏJY}CÌ8ƒàÝJ¹ÌFDDÄåŠY5Vïå=ö·ÿ…~çÇ"xI\èlnoU¿4ˆm#mzhfG)*Œ¯ÕüÇÌ.‹¢ÿKñoÿ©^6’½?–^L1Ü?G}Sþ€ÿøÂ’ùÅ%A™ÿÙ + +endstream endobj 1325 0 obj<>stream +H‰Ê5ýgggMMM222!!!@@@```uuuxxxdddFFF(()"""111NNNooo‹‹Œ{{|___AAA&&& !===hhhzz{LKK###BBBaaavvv%%%333QQQqqq‹‹‹yyy[[[$$%kkk|||||}XWX...EEEeeewwwnnnXXX::: 999VVV„„„PPP223##$)))ppp~~ž vuxBAC112;;;WVWiii444>>>fffGGG**+///LLLº¸½”“–a`bQQRYXZpopxwx???]]]rrrCCD'''‚‚‚éæíÌÉÏŸž¢”’–™—›¤¢¦’‘“|}}WWWDDDYYZ<<=777SSSßÝäÐÎÔÌÉÐÎËÑÑÎÕÃÁƳ²¶­«¯¥£§…„‡445EFEcccmmmWWXxxyèåìæãêãàçâßæãàæ×ÕÛÌÊÐÉÇÍÆÄÊ©§¬GGI//0OOPlllwvwSST555==>åâéêçîäáèßÝãßÜãÞÜâÒÏÕ£¢¦˜–š¦¤¨³°µ§¥©„ƒ„iijPPQ878>=>sstáÞåèæíçäëàÞåÑÏÔÍÊÐÒÐÖ×ÔÛÁ¾ÃyxyccdMLN^]]rrsÂÀÇÎÌÓÍËÒÌÊÑÔÒÙëèïÛØß¾¼À°®²™—œ{y}kjl€}}~sss›¢©§®¨¦­¦¤«·µ¼Ù×Þçå뿼Á¢¡¤­¬°“’•€Ž•™—ž—•œ¦£ªÄÁÈËÈÏÊÇÎØÕÜëèðÏÍÓ¿ĩ§«—–™{y€|zƒ€‡’––“š”‘˜º·¾×ÕÚÎÌÑyw~xv}|y€†‚€‡¥¢©ÇÅÌÜÙàäáçàÞäzx}zˆ††„‹‹’µ³ºÒÏÖ „ýmÇ + +endstream endobj 1326 0 obj<>stream + +   !"#$% &'()*+,-./01234!56789 +:;<=>?@A/.B CDE$!'FGH8IJKLMNOPQR D STU#VW.XYZ[\]^_`a1bU!cd2ef#XYghijklmnopq%rs!tfqu@vwxyz{|}{~€‚ƒ„…†‡tˆ‰Š‹c(ŒYYyŽ‘’“”•–—˜™š›œ$žŸyyyy ŒŒŒ¡¢£¤¥¦_§¨©Eª«U¬­®¯°zYYYY±±²³´•µ¶·¸¹º»¼½¾¿À|žžŽyyyyyÁzzzŒÂÃÄÅÆÇÈÈÉÊËÌÍÍΠy  yYϱÐLÑÒÓÔÕÕÔÖ×ØÙØÚž|žžz    yzŽŒÛÜÝÝÝÞßàááÖÙ¾¾â¼ãÎ¥¥ä YYYåæÝÝÝÝçÕèèèáééêëìÌÍÍí{ zzzŒ + +endstream endobj 1327 0 obj<>stream +H‰Ä» +€ Ðÿÿ$íJa™¥NŠ5¹ >ZD«3œÞ{­µµæ½O)—Ròß@´ÖÎ9kíuÝ1Æ™2„ð€aPRcBç¡·UlLì\Òi!dd ã+“Kâ + +endstream endobj 1328 0 obj<>stream +H‰b`h€‘ °I0³°²±3b‘ààäâæáÅ"ÁÇ/ ($,Â-Š.!&.!)%-#ËŒ.`MÁÉ + +endstream endobj 1329 0 obj<>stream +H‰Á]O‚PÐÿ¿¸pµ‘ÔKmXYèVdMæªÙ°¨È$ŠØÜp<€vNàÿ>NŸO”Ódz˜ —Aìv»ï¯hµü뉯!@¡bYV’$ËE`͵wA`½ÖX¯×o/‹ëѸ~Ø°TÜÍ£V¸úsl÷\½2C¡¾z¶Ùlæ3[–æ»®ëºY–y«éäIäeYÃ0¶Ûí»óywkÕbR6MÓ¸8VzÞç0Ñ´aE#íÐlU’ç5MS¥Ùa(ÌÐh¨ ³,çËdXµß÷>stream +H‰lÍE1DÑÀ èàƒÃàîîn÷? +ût×ò¿E1Æ FÅd¶€4Æ­6»p8]2p·Ç+TŸ_îÀÁP8Å‹'’©tFÃÍå ÅRA¥ª«µºÖ@Ðlµ;ÝîÐ Gã î0ÕgóÅ’€Õz³Ýö‡ãéLr¹Þˆkqž¯7ÙáóýÑð`Rž + +endstream endobj 1331 0 obj<>stream +H‰[¤ýƒƒƒaaa???+++---IIIooo’’’™™™ˆˆˆccd===###667XXX€€¥¥¥ªª«‹‹‹eeeAAA''(GGHccc‹‹Œ}}}\\\:::(((000MMMrrr”””–––‚‚‚^^^889 888[[\ƒƒ„¥¥¦¨¨¨………^^_(()KKKgggmmnMMN,,-"""666UUUxxxŽŽŽqqqNNN!!"ddd‰‰‰ŸŸŸ˜˜˜ttt334../VVWwww```BBB<<<|||“““„„„bbb@@A**+&&&GGGmmm———>>?555yyy>>>!!!___Ž||}[[[;;;)))***KKJppp€€€]]]778'''887nnnSSSDDDƒnno//0112QQRuuvŠŠŠPPP--,kkkJJJ///iiizzz$$$““’CCC%%%EEE,,,LLLvvv{{{‘‘’uuu‡‡‡111~~~777†††222%%&444sss333RRRVVVHHHgghxxy@@@999``aCCDYYY...kkl~€ihjSRS99:ZZZvwvOOOwwxÅÃÇÀ¾Ã¬ª¯a`bfffFFFÝÚàÜÙßÓÐ×°®² ž¢®­±»¹½¯­°yyzEDETTThhhåâèäáèáÞåÖÓÙÐÍÓÕÓÙÈÆËŒŽkjl\[\ZY[VUWa`ajjj þ÷ê5 + +endstream endobj 1332 0 obj<>stream + +  !"#$%&'()*+,- ./012345678"9:;<=>?@A3BCD:EFG HIJKLMNOPQR9S +=TOUFVAWX&WYJZ[\]^_`abcdefg=Jhi6j5k[lm;nPopqKr:st5 u10vw&xy=kPz'V{#=|}| ,~&€u$X ](‚ƒd PfQ„…WP5†sa‡h7ˆ‰zyŠas‹Œw;‚>]z iŽus‘}kV1xv}’‡r76H '“„=”‰^•–8Y—z}˜cr™š_]›J$—^Hœ8:“'‰P# +žŸ ¡¢£JdWf&†¤2¥RiU‘PGYcL¦§¨©—MJdIP}6i:Eª/w«f¬J­®¯°±²³´µD¶šQ·:„F|¸/¹8Aº»¼½¾¿®ÀÁÂÃÄÅAEÆG^ÇÈ„a + +endstream endobj 1333 0 obj<>stream +H‰ ÂrC0à÷"’pC‚0j»nMÄÏ…ªÆØmwºS\Y¿ûö}Ÿ¦ižçÇãq:±,ËÆq:Ô4=MÅ}¾?-˲mÛÛáHýAÓ4LŽƒ¥”}ßw]wû»•y²< q<?û2“$)òb†®ýnÏ_M¥Ñ;ÔD°Ë9B¤iZ¹º צÏxÒÈwê…c—¸Jƒ^ý ÝoHc AÏó㌱u]kÙB–YÅ>„eÚºŽ”Rgy}¥±ý‚‹ØÀˆ¢˜3.˦Õç‘{n@ÿRwÿ í×3 + +endstream endobj 1334 0 obj<>stream +H‰b` `dbÆ.ÁÂʆ]‚ƒ“‹››‡P%øø…„EDÅÄÄQ$$$¥¤edåäXYQ$”””UT”UÕÔÕ54µ´utá6êéé++ëËÊ™˜š™‹"$@@ÉÂÂRÏÊZ]ÝÆÖŽEÄ.ŽŽNÎ.®n®Bî@‡ê¡%O/o_?;;T =ý€ÀÀÀ `é4 =% ÐSñðð@—åÐÐP€‚b%( + +endstream endobj 1335 0 obj<>stream +ŸŸ¡ÐÐÐùùùÿÿÿ¨¨ªäääüüüÇÇÈóóô + +endstream endobj 1336 0 obj<>stream +H‰b`dbÆXXÙ°Š³cefæÀ!> +Èß%Ò + +endstream endobj 1337 0 obj<>stream +H‰ ÌRÁà§f›f3›Ÿ•bÜiÕuJ±ef¶P§¢9"›¿Ô3Ô÷ ˆ€v'éÛç™4/¤!sq§=´Œçæc×htÆ£™õµÁPo ‡&Ì]_I•rMUªŠ¬¿õGæ| Ø`» Þƒ\š=Ž§ãc“â|_3 +;°€Ÿöy‚!ŠT–ÔTòd<ü䢉dzG.ăÀølböºí–õŠR-ŠeŠ 㘜Y[»Íòg>µÎ„ìù饘Ÿp“ÛÕo³ÑÑÕz.[ˆ2q†fQ'±2¿—‹íÆÚ•e])jùÜ-:DÂíò-f«÷éh0ÑÔº˜/I¥(©/½áS³×nõ»íW/Aý 0Þºª + +endstream endobj 1338 0 obj<>stream +H‰b`d€&fV6vN.n^dÀÇ/À/($,"*&Ž"Î$!!)%-#+'¯À€¥””””1Ę€€OS¤”°‹uá—U•R”RT„pÔ€˜‚Õ5”˜ –¡¨×äÑÒÖQÑåãÓSD×70426ÑbV65j2‡ŠZ0X•µ:»­¬½ƒÜ}–VVVŽ¼N†Î.Z®:nîP‹,ÁÀÃÓÃKÌ[ÝÇ×Ï? À],aÀ*0(8H,$4,œE‰I¨ÇÓ#0"2*Z(†/ ÀÔ » + +endstream endobj 1339 0 obj<>stream +H‰Þ!ÿËYËZËXÍ`BÑz©ÝÀååêèåìËX#ÎeTÓ†³ßÇèåíÊV9ÐsÚ¯ÏâÛéåíÊUPÓƒÍâÙéæìÌYXÔˆØãáíåïËYÌZÍbfÕ’ÙãáìåîË[3Ïp|Ø¢ÛäãëåîËWÌ]yØ ËâØÌ`AÑy«ÞÂíåð)ÎhhÖ”»àÎêåîéåì£Ý½ÖãàÌZÌ\MÒ·ßËàäçË[0ÏnvØž×ãàÌ[PӄݹßäåÌ]‹Û¬æåêÌ[Û­ëåí"Íc’Û±êåí %äà + +endstream endobj 1340 0 obj<>stream +H‰b```#&fV6v( @'7;B˜ xùøaªàÊ…„EDÙá ’ã—D)iY9y$aF°r)E%e ÕªjêlpÁdddàÐÔÒÖAˆÂ¬TÕÕÓ70D;PLJËÈI1ÔlS3s C aK+kvta&[;{ aG'gd“¡!¨êâê†E˜ÑÝÃU À†¤$ + +endstream endobj 1341 0 obj<>stream +H‰I ‚PFÿØ»6绚eQÐa6¨O³y‚E› ÚÕ¦èfðqðPƒä»@ƒõû€C@‹Ý4 +¥¼Hf Ôøv$íÇ•`à –Pm‚ËN©¶&8äR^\™SmCÐ +K£„ºÀü–üC•'Rq§VD°AdJåPÔÏ º…æ©Þ¿“ÿ»ÝbÏ’~i³ Ã‰^Tž&sË’~m³7 )—÷5óÖñ>á´_hœtûaøߟñÆJT + +endstream endobj 1342 0 obj<>stream +H‰\O WB! 0hÑ“|*š¯ÔÔ,µï²ïìÿÿ)Ù€ã9íƒ{Ùî…œ@*@lÚ4[ +RˆÈ£bâè8)^О”mwÚÿUW;×ë‹Ür¾×ˆ¤$¹+Ve CE/ ” ”-ÎŒÕçQ-Á“-ô…O¦"a“'s9›/®d‚í&by=¸Y%0p'ãÖõæVƒ…w]}ï×õê!W¤él£4Î&OŒ)>‘%´y~yݾ½‹¬LKØB·ýxûùõÍ^âAÃV¡Óìgø»ûÛ 0q³ Î + +endstream endobj 1343 0 obj<>stream +H‰¼CþéæíèåìéæîÔÒض´¹©¨¬¦£©Ÿ¢ƒ‚…‡†ˆ——˜‹‹`_`...(((JJJjjjrrrkkkÞÛâÏÌÒÉÆÌÈÅË¿¼Âš˜œ˜–™¦¥§œžjik//.JKJqqqhhhÉÇÎÉÆÍÍÊÑáÞåéåìçäëêçîëèïìéðæãéÁ¿ÄÈÇËÆÄÉ›˜œecfUTV`_btstvvvooofff•“š“‘˜Ÿœ£ÏÍÔåâéâßæäáèæãêÔÑؼ¹¿µ³¹»¹¾‹ŠŒmmn‰‡Ž‡…Œ‘–º·¾ËÉÐÓÐ×àÝäßÜãÐÍÓËÉÏÏÍÓÉÇÌ¢¡¤ŽŠ‰‹|z~|ƒ…ƒŠˆ††„‹¤¡¨ËÇÎÎËÒÌÉÐÐÍÔäáçãßçãáçâàæÜÙßØÖÜ×ÕÛyw~xv}”’™¹¶½½ºÁ»¸¿À½ÄÖÓÚ†Šˆ‹ˆ°­´¼¹ÀÁ¾Åyx~xv|wu|’–š—ž ž¥¾»ÂËÈÏÊÇÎÖÔÛ{y€ŽŒ“®«²­ª±«¨¯ÆÄËzx‰ˆ¤¢©ÈÅ̃ˆ‚€‡’—¥£ª¦¤«ª¨¯zw~zx~yv}}„xu|yw}{‚ YÒŒ + +endstream endobj 1344 0 obj<>stream +H‰b```dddbfaecçàäâæáåãf‹3ˆˆŠ‰KHJIËÈÊññË +(*)«¨ª1ª3hhji‹ëèê雘š™[XZYÛØتµµµ½ƒ£‹³±‹«›»‡§’²—·ªª £ƒ†¯Ÿ@`PpHHhXxxDdTtL¬*Ð*»¸ø„Ĥä”T0HKÏÈÌÊÎññ¶c„ˆxjn^¾«{Aa‘ˆ&Ä@ñb°†’ÒÒ²òŠÊªjåuFuõT¨ s­‹¬ohlR×``*/†I¤¥5G䵄·¶UUǤ¢€æÜööŽÎ®îîžâÔ^°½ÈÒ}ýR1AZiÉÄf,@0 hY†(ÐÜɵµµØô´u{õ + +endstream endobj 1345 0 obj<>stream +H‰ÁÑvC0Ðÿÿ£‰FR•H¢âœYÑJ„6tlvðÀƒ»÷»ýº?u7ÄÀq@)•2‘RƱ´¶=Ž£ïÆZÛHHF…/ðä!„=EaÔ‹£Ëziú•çyvË”RÓ4mÛ¶®ë¾ïÝkÐ&¹~>uLdbŒÑZãø¶?µ²E¦¹Æ .8㌱²,çy^–¥}öUÙD<‰`4¢„Ÿ\HyÛáiº¦jëÊž‘ï8·oÇ[z ©ø`µ¥ + +endstream endobj 1346 0 obj<>stream +H‰b```dbfaecçàäâF^>~A!!aTq”¨˜¸„¸¤”´Œ¬œ¼‚‚"LœAITYYYEUUUM]CSS .Π :ººzbú†FÆ&¦ H@ÉÌLÉÜÂÒÊÚÆ– ØÙ;Ø;:9» ‹3ðð¸ò¸¹{`ˆƒ€+VQ2ƒÆw‹ + +endstream endobj 1347 0 obj<>stream +H‰ÁYOÚà_gæt›ótŠŠ 8@T¦¨¸á¼ '=,å†"ÒµU†EWlˆ1ºÅćE_M ‘‰Ç¾¯¥Ã¡P¹útžAchÐìÆßô9ÛTÀ¨…±|¦–yó2?·š¨ãLî\.|À8Y©TžŸŸ[:VÚÔ`¿Á?<íD_õlh§cf;¿¸™õ„åƒÜY± y=AÐû¼þ«««ßÞöCsDgM C +¥«g”Ô[¦%n =Ìþæs'ñXŠpS8F‹GÕjõe÷úûȈ™Ñ[w†ŒáN fZúSÇ¿.þýÈŠn„4aêõúÓÓS»ìÕni§·G-1•ÞÛ=BŒÞ1Û9˲0ã؃©¢ôóœK 0ˆN$¾h6›*==1—TOøJ oŒ2.²Ó_˜G¶²³EЄ.ˆeÙf³1iKOÚvÕãÞÖ®µYÇ^8qº—)Sd…qtG£ÌÃÃÀ!0¹À~ZH·v¯›ìœ­”Ë|tXÅZ­6ba&æwJçÂÆî— +â…t$ÓTàææf|.ùnéÕRc3ñU¤äÎs¹2Ïî“8"Çq···c3Ûüu¯ScŠH¥Ë}¡àó„ÂFÃ`KiLaÝl¥dùw:Ń.4•Ü½¿¿ÿ0ä&ýÅÂg’€æyáññ±]æSÖ•}”–BŒè÷Å0Œ(•JJm[Ϥ¸‹ÌL`^ÄcÓìõõõÒf6/^–¤3ŠôCæF ùD¾»«ÿ`:b + +endstream endobj 1348 0 obj<>stream +H‰b`dbfaecçàäâæáåãQ1q I)viN.Y9yE%e°„ªšº†¦š–¶'—®ž¾¡‘±‰)XÂÌÜÂÒJÍZÚ†‹KÆÖÎÞÁÑÉÙ,áêæîáÉîåíÃåëç + Ó ˆ4‹Šæ䊉‹OHLJNHˆ§¦¥KeDÙpúdfeçäæå @$ +‹ŠÓ¥J€¥º¶S¦N›>Ce&LbÖl× µ9œ\>sçÍwX°pL\D']Kj±7''Ï’¥Ë‚–¯X —_%¥–¾škÍÚuë{ò6ltKl23óš`#³yËÖmÛwìÜ%‚,•æ£»{ÏÞ}û<„0ë*d¿ + +endstream endobj 1349 0 obj<>stream +èåìåâéçäë + +endstream endobj 1350 0 obj<>stream +H‰b` ™°‹Þ + +endstream endobj 1351 0 obj<>stream +ÿÿÿüüüëëëËËËõõõøøø÷÷øùùùýýýþþþ½»¿»»½¼»¾»º½ÀÀÁáááíííöööÍËÐÃÂÆÄÁƼº¾ÑÑÑÞÞßÝÝÝ + +endstream endobj 1352 0 obj<>stream +H‰b`ø#q&âÌ,¬l윜œhâ\Ü<¼|üLL‚¨âBÂ""¢b⌌Œq€5׊ + +endstream endobj 1353 0 obj<>stream +H‰ ‹N‚Pàã' +—çð(–B*Ë,u’¨€âu+ÁKe[nåÒÍ­Ëj=c~ûÕ ¾kÚ½ìnnñ€øía66Ëï7ÞO±ñ ¸’¿ŸìYÕM©õÍÅk{‰ –%ôÙ™½=:}Tì¤ú²±‹e¾ºÑJoIóˆ+*jÌôÒʬ¬3…—„1äp¸©XO—·q½’õ™”›€Ô•¡b-Š¯ÜÕ+f!VC^2…%a!/µÚ?<¾#jDÔ)Q'rx¹#*#”½\çˆ+ЫfG>stream +H‰4éVÂ0F“Li©UA¬,EQZwq7u Å ×÷'Ó69É›œoî cŒñl °J¶Svç¼ù…EΉãa WÊÕ%¯¶\ã>A¼ñ•z£Ùl!JØNÛ]]ë¬ot‰dˆ‡n´¹µ½³›eû欽}Œz‡GÇ™I¡ƒüäôìüBÆ”î3ax`WÚîe½qÕ•×Æ3·û&¼½»WýDJ2g‚¡–õ€|ð˜ª$‰Ïõ…€aÉ Gã4Ö“‰TbvÁŸÆ©Ö +yîÈòfÝç©ÒRªÙ¦ˆ¢WÃßZ¦)²y§!|`¼–ÓN/Ÿq1„™§•VŸ_ß…OÆ«3ü®õÏïß¿Î !ˆ + +endstream endobj 1355 0 obj<>stream +H‰çÿ˜–Šˆ‹‰ŒŠ‘†„‹zxxv}yw~¤¢©’—”’™•“š‹’}{‚ÉÇξ»Â¿¼ÃÀ¾Å³±¸š—ž”‘˜çäëèåìÚ×Þ·´»¹¶½š¡‚€‡ƒ€‡†|zzw~éæíêçîëèïáÞåÍÊÑÈÅÌÉÆÍÇÄË°­´™—žš˜Ÿ–”›ƒˆæãêåâéÙÖÝÌÉÐÎËÒÅÃÊ~{‚}„~|ƒäáèßÜãàÝäÜÙཹÀ½ºÁ¿»Â¯­´”ˆ†ÞÛâÛØßÇÅÌœš¡ÄÁÈ—•œØÔÛØÕÜÙÕܸ¶½©§®ÔÒÙÊÇÎ z Ã + +endstream endobj 1356 0 obj<>stream +H‰œÎY@€aa¤B‘FDMömZd;þÿß#ƒS¸ñܾç["D4 ”¤h&•&¡a¹lŽÏ3t<4%,°bQ,IR¹"TQH©jµVo4[m¾ÓíõÁk'|Àk]ŽzcÃ0_á“eÛ§5ÍËA˜ï h¶ÜõÚÙl)šîË0!¤º;–ã’{øÁ*Ž§óå3@ïQõzûü‹øG@èGøÇ]€æ!$s + +endstream endobj 1357 0 obj<>stream +H‰È7þTb”IW‰JXŠbp¢s³t‚´Š•¾©®ÊÅÃÍ«©¯”““–ÇÇÈþþþÿÿÿP^uƒ´¥È¹¼Ï¶´½¡Ÿ¥‘”ªª¬ÜÛÜKY‹N\Ždr¤r€³v„µ³¸ÒÌÊÑžœ¢“˜–™áááM[Xf˜iw©¦«Ã»¹¿™—Ž’¨¨©ííîIVˆO]]kky«uƒµ‹¹£§¾²°¶—•›”“–···òòòHVˆR`’jwªp~±ƒ¼ª±Ï©ª¸œš ’•©¨ªäääüüün}®s´‰”¾¸»Ò©©´”’˜’´³µùùùKZŒWf˜o}¯{ˆ¸‹”¸¤¥³œ£’–‹ºººýýýRa“_n q±Ž™Âž£¾——Ÿ—–˜ÊÊÊXg™fu¨s€²Ÿ§Ê´¶Éš™ “‘—¬«­ÞÞÞ€Œº¹¼ÔÍÊÑ› ŽŒ’—–™|‰¸“œÃ»¼Ðÿƚ˜žŽŒ‘£¢£îîîap¢ˆ“½»¾Õº¹Å¨¥«”’—œ›ËËË÷÷÷et¦ÂÄ׸¶À ¤¤£¤ÛÛÛúúúo}±—¾ÈÈÖ³±·•“™²²²÷÷ø˜Ÿ¿®®¸¢Ÿ¥‘•Ž’ÀÀÁ ¦ÀŸž¨˜–œÊÉÊ ·$& + +endstream endobj 1358 0 obj<>stream +H‰b`dbfaecçàäâæáåƒ~¸€ °ˆ¨˜8B˜IBRJZFVN^AQ‰Y\YEUZ@M]CSKY\GWOßÀÐÈØÄÔÌYÜÂÒÊÚÆÖÎÞÁÑÉYœ‘ÁÅÕÍÝÃÓËÛY˜Ï×Ï? 0(8$4,E<"2*:&ÖS4.žE>stream +èåìæãêàÝäßÜãÐÍÔÁ¿Æ»¹ÀçäëåâéäâéÞÛâØÕÜÑÎÕéæíåãê + +endstream endobj 1360 0 obj<>stream +H‰b`@ŒLÌÌÌ,¬¬¬l¨â ìœ\ÜÜÜ< X/ða“$€ÁÇ + +endstream endobj 1361 0 obj<>stream +H‰üÿèåìäáèØÕÜËÉÏÞÛâáÝäçäë ž¥®¬³­ª±¾»Âìéðêçîéæ펌“š˜Ÿ˜–­«²ÚØßãàçåâé€~…„‚‰ƒˆ‹’¡Ÿ¦¥£ª¤¡¨§¤«ÆÃÊÜÙàÝÚáßÜãyw~zx|z{y€~|ƒ¤¢©¿¼Ã¿½ÄÇÄËÛØß’‘˜£¡¨¢Ÿ¦¯¬³ÊÇÎÐÍÔÏÌÓywxv}ˆ†©¦­°­´®«²±®µËÈÏâßææãêwu|vt{‚€‡›¢œš¡žœ£¶´»ÈÆÍÇÅÌÖÓÚ}zŒ“›™ ™—ž¸µ¼ÔÑØzw~wu}†„‹”¼ºÀ}{‚‚~†‡ƒ‹ Êx«€ + +endstream endobj 1362 0 obj<>stream +H‰”G‚PA1‚bÎóÁŒ³˜FDïQÆRö²§ú Aüiâ-&ÞJQ”þöv†q8I—ÛíÁ¼y/Ër>?ÉóóòÁP(‰Æâ‰d*ÊÏ0HÄl._pKøùô Š¢P–¤JµVoÔ3Æ'€¦q„Üjwº½>nCx +ÊhÌM¦³ùb±\ñðƒëªnwû»9Ü££'M‹ÄÎðI7º.\®î5ˆà'Ýîï"ô + +endstream endobj 1363 0 obj<>stream +H‰Ánƒ0ÐûߦbxaÕ¨­‚HH)ã'0ñb„;ô½Å¾WwÌ£Ö“õf_ÌÞý5ì¼xóözËR~–r€qz¾¦^åÃ’óÛµjkhª¦¾þðÔÊëÙ½&k” ?‚8ˆMN©œ°((~rè€ênèz«×„!”PŠ1Âatâi&¿¥”ŸBœ³¯¶¾C×C÷ºÕ“^í±ØSŽH‚1C˜Æ1Ž#D0cŒSJH¤4¹eYVeq¹åùôÙٜ޼Ùþ¿® + +endstream endobj 1364 0 obj<>stream +H‰b`ž€‘»83 +¦8;' ¦87/¿€  Š¸°ˆ¨˜¸„¤”´ Š„¬œ¼‚¢’²Šªšº BJSK[GWOßÀÐÈØÄÔÌÜÂÒ’Ñ +$nmckgïàèäìâêæîáéåíãë0üz o + +endstream endobj 1365 0 obj<>stream +H‰Á‡BPÐÿÿ…ö8-IêPÉxé5eÅËßнyú‰üì¦Y²¸•ÖÊn{òQ¬žô%'(`×m䆣è{ñ+y#'lÔ: ví9Až|¢ ÓTƒ¯†}¼È¾iT7‡¡Ùzµ­Èû$̳¸DÄil@ê³|ýlÃãÒ˜˜Î¦œuw”œ6$)‚yºTŽíFïa=mÓxP«´˜ «]Œ^wDSs¸9éW¿šÉ4ëÝTE~ƒc”kû3š"ü 0Þ\¤6 + +endstream endobj 1366 0 obj<>stream +H‰b`ÀŒLÌ,¬XÄØØ98¹°Ip³òðòaçÁ—äÄÔ!%-#+'¯À!¡¨Ä©¬¢ª†i’‚º†¦–¶¦„Ž®ž¾® C#}ci,n5153—Æf……°¥†4¦Iü|¬VšÖŠ6 +¶vöŒ˜I8:éc±AÊÙÅΕl@€fÚ t + +endstream endobj 1367 0 obj<>stream +H‰ ÇÛn‚0ÐÿÿØT*rm´@[„btÉHDAºÁ”èJ˜çíŒã˜ebe®IA9n°@X©¿µå!— ÃP–%4ÞKŸ¯çÆv´Û'Ÿ}ßCm|&×ûýêÖ–ž™G/„ÐæyËmÓ4A€çÐaâ‡åmž&Sh¬˾•zy>^¢˜Ð ÝßhÚ(¥ŠâK7LŸx*»®`9î.:ÓäFyM“ZJ9ÓL78†¬ŠyóJ&EÄëˆWÿ {Óªý + +endstream endobj 1368 0 obj<>stream +H‰b`À +™°‹30³à`eÃ.ÎÎÁ‰Uœ‹›‡«¿€ V !aQ¬bâ’ØÄ¥¤edå°IÈ+(*)c“PQUSWÇ"®¡©¥­£‹)®§o`h¤£ƒ)albjfna‰)aemckgoÅMŽNNÎέS +I + +endstream endobj 1369 0 obj<>stream +H‰ ÈËr‚0Ðÿÿ™ÎØJÑB±äyŒ¸Ñ–iBv¥ K±ÎœÕ¹ßï—ËEÊÚóSD5¯*ûóùœm¶¯‹5æ†×îx<Ížƒ(æLô¬î™ì©t»z +D —“n a«D@ýÓ¶m¬ÒL1ᨘ”R/óe–ï)8 +C’džŸä‹BO…»^¯‡ÃÁóã·,æá–‚%Ð[kÃ÷xî¯+¦1<¾kšf6_%Û¦âq£ÔÞ[nòê„x7Ž#c>stream +H‰b`@ŒLÌ,¬lllh ìœ\ÜXÄyxùø…0Ä…EDÅÄÄÄÅÑ„%$¥¤±‰ËÈÊIË+(**¢‰+)«ˆ©ªªaˆ«khÊkiiik£ˆêèê阠ˆ›š™[XZY¡‰[ÛØÚÙÙÛs¡ˆ;8:ÙÙdœ ‘…]\ÝÜ=<<=½¼í¼‘Å}|ýüí0Ń‚CìíCÃÂì<‘Ä#"£¢cbãâããCüÄ“’SRãÒÒâCCã -í#„ + +endstream endobj 1371 0 obj<>stream +H‰Ìér‚0†áû¿ž.ŠÄbk[- „œ¡0 ¸´00n3ϯóÎwn·ÛÐ÷I’>stream +H‰b`€F&fV66vvv € 3prqóð²ó±óó²±#‹  + ‹ðñ±³ó‚ô ÄEÅÄ%$@â|||llqI)i>>  ,;B\VN^AQI$®"ƒdŽªšº†¦¢¢’Œ XLXK[‡OWOßÀÐȬ &nbjfnaie¥`­ohck§·wPqtr²t¶Òаvqus‡ +{xzyûøúúù[)@ŃCBÃÂ#"£¢,,,­¢ â1±qññ á‰IN~~~Pñä”Ô´4 ŒPKPÂ"œž‘™•“ ”ÊËKð‰ðõõ…*Ï/(,*..É.Í-+77÷q„8²¢²ªº¦¶®®¾¡´±©¼¹ÙÜ Àv < + +endstream endobj 1373 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?ï~±å_UÕïvç¶'Ú?Ú²iëÏiÛ’ÂÂú·Upê–•€ÁñPn^@Ûh '¹NEº4gâ^=¯øY6tªŸîƳ^ÐRNã[Mßé×ÿ\ Ÿ¤¼q$΋Ÿyé¼—ƒ$‚ŸÿÙ + +endstream endobj 1374 0 obj<>stream +H‰{Wª@Å¿ÿ(PAÈšYùH¯–øN¯¤ 3 ìÖ½•ƒ};­µÿ8k¯sÎþ­Ýí‹'8[ƺ?˜µ:£ë›ž^¿+•›Eµ^P*¹BIÊi‚¤òB‘»PÎTäD—.Å|E’õÉ|»ûÍ6X¬ðx¶>®º½qó¶_«·ËåU«ËŪ˜Ó.Ä"/(<;çeNPyQ³Ý7J°€îǽ=ƒ—ÕÆ›.Àh¼ù5\vî'7wÃz£SÑoµrCQk¹"å/…œÊ +ÇËgLœŒÂ G™enD½—Œì3/J?èÍÜEË2¦æàaÙî2¤^k)šžWJR^Dõœ/œq~;ÑÉÛŸÈë·ù²ñ_ÓŠž~ãÑØl°6®Ú¥jSÕj(<áŸ8ê„Ô‰¨Ë‚‚“/€ß×2_ÚÆäùêº[®6U‡Aæ„)fýƒ…ß·ö~¹ÆÆú¾?mu èSPÌÂ1"ŸÐýg¡?æ.\›ÞlaÛ„Ú$…„B?sÃdg¿/$µ=Š‚ 3ÿˆÉyŸ–›—Ú^ŠŠBF•YN˜‰€ Nj¹ ôS€b‹9n>stream +H‰Îe_ÂPÆa c¶Ø… vìîîa+¶žÙºÎ ƒ¡lLQ1¾¤gÇÃÓËÛGâëç +A$44,<"2*:FŸ˜”œ’˜–.ÏÈ@23ò²#rrʼü‚Â"UqIiYyEeUuz R[Wª5 MÍ-ÊÖ¶öŽÎ®îžòÞ¾þùàаZ32:ÖÔ<>195]8£’ÌÎÍ/H«Bä‹ðPL«Ó KËÊ•˜Õµu•dcsKj„‡¢(†©5zÝöÎnÎÞ¾ì îð耣¨˜AÔœžíž_\^]߬¤Ép£Ùb˜V«×7Ü*–Wî¨{š0g¸‰Z,–í‡Ç§gÊjeY–†€ÇÍf›íÅ¢{}µsVŽã(š†êF ÃØ^x‡ Øß8+Eq,ñNâ‹0ÃmN'Ï|8Ç'7©/šÐ º\Nç÷÷Ï;`ú)®’¬û;¿.ñÄÒŸ p%f$ù/ÀÍX¡I + +endstream endobj 1376 0 obj<>stream +H‰Á‰R‚@ÐÿÿƒÊ)'É+¹\Î`ƒÆ%%E\Xp¹„Ž_车ü®H{ ±µ\±3À³b$mý[äMàÇoÊ‚¥®šIœßªŸ—Ûµ7ÎxF¡{ÅŠ2ûÒ¯|×üöÁœ—l bD¨çqŠ®“­©†,jk¸óv¾¡[§C„âÌ\Øá @yL‚cœ¥…»ùâaHMÿ|K«Š + +endstream endobj 1377 0 obj<>stream +H‰dÐÇ‚@EÑF‚£è`eP1 ¨˜f1‡ÿÿ"wVÉ{˳èºÕDÿ“R²¢jiJŽe²z.Ï“ÌB±TfàdZ•ª,œZ½!»v³Õî {]?z"é¼?‡£1\—&Ó™âÌ!Ò^,õU„ñ‘¿MÎð¿Ý.ÆkÖþ1$Øñ$ÇkÎõŠ/à,¾ÝIô|½??ÿ +0Ð_5 + +endstream endobj 1378 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?×dR|#Å®¦t€[vcQ`÷5R¿£Tù,ÐüT”V£eøÖø©úuþøTné95êÝ~?eÌóKè—ÿÙ + +endstream endobj 1379 0 obj<>stream +H‰Á‚0ÀÿBGœ NAAU U,2K[ +8Þà]Á?47•µ¦«‡'9­’ˆMk:^¨Ê¾ä_ž•Ø W‹M§=0õs²2ÿ2R@ÇKóV£û€~‘H¯–;Ÿ®&’üÂñN5†úéRVN&ìÄ´^kÚW$ÏÖºvˆ^DÛÃþÙÏ;ÂífÏ(t½AOº»Xð7´½JüÈœsJDdQ@XVä¬ú 0`Ô›‘ + +endstream endobj 1380 0 obj<>stream +H‰lϹ‚0ÐHAE¼1*oÅûúÿ¿²³p²å+ff‰þU¬ªÍ¹ãz5t!ë~6À£¸Ùj'b:i·×·#Œ Gcl¥8É& +YLÝ™¯ F±y,й.–Ù +sØÚÛl%°ÚíóñÄ1úTXúlðËõvǧÏWjOê-?&*_Rt k + +endstream endobj 1381 0 obj<>stream +H‰‹‹ Ä C÷_íú ±á(] ½.•ž"Û±CêšLSG4$£ó5fg0?ÌÇD™,Ëçäù¨7óÀ1X&|èýc†Ø;²šÆ¡nc“÷Zˆ#pˆ[Ñ„]Ømç½ãø°m¨Û«=©B¶âZ^êêðZµ +j@ßX?žëõ`Aož½ + +endstream endobj 1382 0 obj<>stream +H‰,aWƒ …•¹inV¬Ü Q2§Ã©YÙúÿ«N÷‡sŸóÜ×óZÁz³Ù„aôow»ä1yzöBØ÷ƒõÚ¢8Ú¿ì’$yM<ò}´Zi +èFÇíö˜B ²†A?Ø{ÛÇ1fŒB팱sQÌ9ÇYFþŽÄ-Ç1!DN$Fišr^p\–%Lؾª„°^Æ؉1׿cœ‰<G©>stream +H‰cœÿèåìéæíãàçÏÍÓ­¬±¯­³ÊÇÎáÞåàÝäÉÆÍ¡ ¥°®´ÙÖÝåâéçäëäáèØÖÜÅÄÉ©¦­¿½ÃæãêÝÚáÔÒØÌÊÐÅÂÉÓÐ×ßÜãØÕÜÓÑ×ÐÍÔÚ×Þäâèåãé ÀQP + +endstream endobj 1384 0 obj<>stream +H‰b`€F&fV6vF4ÀÈÁÉÅÍË.ÌÇ/ ($̇¡\DTL\B’]˜OJZFV‹¸</¦0Ð ,¢#CK¡ + +endstream endobj 1385 0 obj<>stream +H‰ÌQŽÄ €áû_nT@Ô©>l²Ùl BçC“/¼ð½¯3Ü4ï:?>stream +H‰LŽmW‚0†ÙÐD{Á²ZÈ„-ua(‰.È‚0-ÿÿÏ陞Óéþ°÷u®çž†°®7zS‡œ´ZF»Ó9=;¿05„ºÝ”ØåUË0zíë›[BîL¢D–Þ +ẔR¦Bʃ~쉉ûȵ§êDÓàBþS„âQI6!Žã-4óýùÜ „,zæ”r€jl±˜ŠA +—QÄ"ÛžÔ/V+)%°—$ Â4…µ×5Ž©¢¤Ù[’Äž7qÙšsN³÷<RÅGYV ?Ž•Ã>³ì_/ó@8Y²´†”Ç^=EYU~’„éæk»ÛÕUUIù­Híýl²ívWï÷uõ× æ¿ }¯9e + +endstream endobj 1387 0 obj<>stream +H‰ ÎIS‚Pàðx,iSIÒ¢Ö%=j,†òŠ›B¸‚ Ãa`àľåÌ÷¾(Š<Ïó}߶mUE‚ J’üÈ?ãW¸À(€ÑFWU•eYžçaZ–¥ëºaË÷À)§NÃжmš¦qÿžNŠ²ÛÊ[åSá8ž"Y^C’8Ó÷}×u}Ï^óÙëbþÆOŸh8" †, ˜ãÑÜïTuúZ¯7Üd:ÝÞŒïêºnš&MÓ>6‚xyŠÒäþ¶,K×uÏçÃáGå¢(Ç1M+I’€êœ + +endstream endobj 1388 0 obj<>stream +H‰b`ddbfaeceçàäââæ^>>~A!a!Q1QQq„„HJ1H3ÈÈÊɳ*(*))«À%À@UMMO]CSK[GWOOŸ[¨›˜š™›+£JHHZXXZYÛØÚÙ;H`G&''gWL U^77GwL 0àóÄ!aÉ‹Cb€@€ð(W + +endstream endobj 1389 0 obj<>stream +H‰PÙ’Ú0üÿ§ÛÀ³@Xˆ× Y’%ù–/lŒ!{&l*ù8Uó0ÕÕÓÝÓ;ÛÙ&â&b&¢Q`ZXY²®³F¬ ˜â"Äæ1Xãl ^Clll0@ ˜tkƘ`4 –og ‰ën=7óÜÔ¶cFCJËòˆåbbcâ€×­Þ˜–Uì>stream + + +  +    !" #  +$%&'()*+,---,,.//01123456789::*+";<=> 11?@ABCD5E6FGH"IJ KLMNOPQRB$S'((HT--UVWXYZ[@\]^_5`a`bcd-IIefghijjjkMlmnopqr6std*uvwxyz{L|}~€‚p$EE''ƒ)**„…†‡ˆ‰Š‹ŒŽL‘’“”•–—`s˜™š›œžŸ ¡¢£¤¥¦§ZZ¨©ª«¬­­®¯°™™›…±²³´µ¶·¸¹º§»kª¼½¾¿ÀÁ®ÂÙěÅÆÇÈÉÊËÌ͸ÎÏÐÑÒÓÔÕÖ×ØÙڮۙܚšœÝžuÞßàÍáLÎâãäåæçèéêëì™įíîïžðñòóôhõ + +endstream endobj 1391 0 obj<>stream +ÿÿÿýýý + +endstream endobj 1392 0 obj<>stream +H‰b`ÀqˆÚ€ + +endstream endobj 1393 0 obj<>stream +H‰ ÂMO‚`àíûB|$ NW¦kTG³ù¦kµx™1ì gàNX΃ ¨g(P 1HáÃÿÔòU—Ò|þÌ2<è =Ïó}!ûn2ƒ½+’Y–åyž$Ézý­iº®¦i-/7$‰þår9Ÿ‹4MÃ0Œãx6½gú¨®ëN§BȲÞEÜL†çØ!M±mÛÖu}ü=~¼Š¢´’dy¥Â#_WUµÛní/ÙÈqUÕÇ£[~0òcšdŠ¢ØïÓÍæÇuÝá ²,Ë,χƒ¡›ºfH’Ü4MEaAð'ÀX¥ª + +endstream endobj 1394 0 obj<>stream +H‰b`À˜€H2âRÂŒ×ü€…•…•  |&˜;'7º^>~A!! &ÕÌ7°ˆ¨˜¸„¤”´Œ +ŠYY9yE>%eeVd UPS×ÐÔÒÖÑÑeE“ÐÓ×7042615cfaaE’s K+k[;{{T U}GYY'g^W7wwUTàáé%ìÅç-îã‹&á' ^þ +Þ!W + +endstream endobj 1395 0 obj<>stream +ÿÿÿùùùüüü + +endstream endobj 1396 0 obj<>stream +H‰b`À +± 30áTë + +endstream endobj 1397 0 obj<>stream +yw~zw~ywzwyx~yx‡†Š}}~}~~|{˜—š„„ˆ†…‰‡†‰‚†zyzx~ + +endstream endobj 1398 0 obj<>stream +H‰b`À˜ ÊÓ Ì8Õ¢$Acå2k&*`f`Åa/#Lª-,,*Iµœ]‹vFTelìœ\X‚‘›‡—_@PPä&$MNÑø + +endstream endobj 1399 0 obj<>stream +H‰f™ÿyw~€~…‡…Œ‰†œ™ ¨¦­¦¥¬µ³ºÜÙàèåìçäëzw~|z~|ƒ}„‹’–”›”’™¥£ªÓÑØâßæàÞåzx‚€‡†‰‡Ž ž¥¨¥¬§¤«ywxv}wu|zwyx~ ĸ=í + +endstream endobj 1400 0 obj<>stream +H‰b`@F&&&fVV6vN.¸(7///¿€€ °ˆ(ã—”’–A—@Y,brròòX„ˆÓŽÍD‚@‘M´²P7!ù Àµœ\ + +endstream endobj 1401 0 obj<>stream +H‰ÁMO‚`à ¼ òmsN_pÕ¡¬Ku³MÔò€±A³ ù4$‡’ƒ>ØóXÖçtªiÚl±x{? +‚ÌsÏKlG P +`Ôn—l6®ëzžç/ß—Š2 „j¯×oá4†¡p”º\.‡Ã¯aº®¯VóÙUQèJb—nsu]—eYÅétrÇ4Íõúëþn CI€’išaFÑwkSM¯^& †mkWU•çy–eqo·[Û¶8bh–ë-‚iš¦nêãñïùé¥ßÀ¡¢@•cÅóù¼ßÿx¾Ádòzs}†‘iZI’ü 0Nf®N + +endstream endobj 1402 0 obj<>stream +H‰b`dbfaecçàänÅÅÃËÇ/ ($,"*&&&”àâ’)iY9y6E%.8‰+«¨ªª©k0hji‹éèBŒ“„e=}C#c6S333ss˜¸¤…¥ªŠ•µµ­½ƒƒ#—$2prVvrqus÷ð4•D^Þ>¾~þâ`ˆ]Ü*»øàVÂ+ä + +endstream endobj 1403 0 obj<>stream +H‰Ò-ÿËYËZËWÌabÕ¬ßÃâäèêåíèåì%ÍeÙ¥Èâ×ååêéåíÍaHÒ}¯ßÅçåìËY6ÐqwØžÆáÕìåïFÒ|—Û´ÒâÝëåîËXËXgÖ“ÖäàÌ[o×™áäçëåïË[#ÎezØ¡àäçêåî"ÍceÖ’äåéÊU)Îh’Û°ÕãÞæåëéåì#ÎdWÔ‰ÂàÒðæò8Ðs|Ø¡ËâØYÔ‰´àÈÜäädÕ‘ÈâÖâåèÍ`ãåéCÒ{—Ü´ååé]ÕŒ©ÞÁæåê ÇM + +endstream endobj 1404 0 obj<>stream +H‰lÎi`†áéµ4!2vRö-»dáÿÿ%ñ­æ×¹Ïy@‘H¦Ò*F.dZ&›Ó£2ä 1ÖP©\©"s¢Z½ÑäÞ2ÛV\ÿ½Ýéö¸S0¹‹ÉÔ˜éÜùb¹Z3§ÍvçòYTöÞ=ÿ맳*qór½ñUDß»Ër|<YŽ¯÷Gê_˜å + +endstream endobj 1405 0 obj<>stream +H‰ MOâ@@ÿX‡ +J biýÄÄh²Ü­- +fJ vJ¥bY7ënvOl¼hŒ&&&Fþ-I^Þí€&LAZùÀ@€ÎêÜ•ÁúÆ1Òã/¿ÛÎ5zPñ~û¯Ilï @ 3€WI/¯¸¥F€tŽuÞ:ùGøs¼xà©€{b•”7©éÇ7qörÿø-i 6£RƒÕZ™Çï–·/ù*…M&i¡XuEÅÛ; +ûδ ¸°bƒ—7YN¦9™lPÓòÔÍ+¤ÔC=eZÕ‡íï>!ÀN±6*(t«E‹Øl­îUoM%û‡Ä%lÊSPqÖ7übÍ/ª¤¾M¾ž¸lÌgÉU¡æ—ë¤uHíŽIz‘|ž“‡Õ¯hIJ\ß ’øòr¶È+´Ô˜Ø–øÑ<½å¡jPÛ¦>stream +H‰4Ðõ[AàÝ»%8kÔdM,l±8 »°»»»ý·9÷Çwæùvfg +cª*lv‡Ss¹=ºž¢§¦¥sÎŽò r@ÏÌÊFÃ6Ãëó»r ƒÌÍË/ ÅR!yQ°X貤´¬œ‘2.BvìVx ²ªº¦Vpë¡;üZ]=y¸¡±©™3Uvò–ˆ R¶¶µwtR§i¼]Zw´À4[{ûú’ÓÃëðÅ)^†ÝCÃ#£I&÷ÇÆ"äñ‰É©iœ’+B„Ðã3šrvn~aq‰rëÿ¾¼²º¶ž úvémÒ¤ÜÚÞÙÝÛgV>õÇMŒG?:NœXÿtœÓhÈÝgç—Wta\ãRÁZö6|wÿðøôœìGy}#‡÷ϯïŸß? 3. + +endstream endobj 1407 0 obj<>stream +H‰ Á’B@à÷¢ì®eIwä†ä',ùkÏÅ3L£û¾mÛú¾†áý~+Šbšæ<Ï@BH]×Ó<½^¯u]µ£ADA„yÌ#Þó¼¦lã0S÷š$Ȳ¸°à8Žë^¢(š¦éQýåiùc9¦q¶ Û4l‹<˜D‘PJÛ¶eŒÕÅougI”_ÝпDªr”ˆ¬ëúéŸvêºnÆ"k²¤ÈÓʶyÐá úW? ÂÀ÷iJ—e)s–%%‹4¾A€JDjšfGV=Yý;98x ¨m¹bn¾³ùe—ù#‰²[H? rváÑ + +endstream endobj 1408 0 obj<>stream +H‰b` -`dbÆ*ÎÂʆUœƒ“‹››Cœ—_@@PC\XDTL\LBRHJš‘MF®QVN^AQIYEUPM]CSS&®¥¥ :ººzú†FÆÒ&ŒŒ¦Ì`qa 037·°´²²²¶±µ³wp‹Ã€“³‹³«›;¿‡§—Œ·7B\ØÇ×ׇ×Ïß?€UHJJ 8Š‡ ‰í’•³ ÓÂá‘‘ø×# + +endstream endobj 1409 0 obj<>stream +H‰ÁM ‚0п‘N·¦‘…†T–‚ ¥£íX7ñ¨  ‚¬Ù{öæu<=4Õ"KØ÷ƒ4M»®sz¿½ÇÚT1”pÇeY’ãór¾êêÐ4­<Ï7ëÀ¶—I’0ÆæÖJA#g»«ª +CÈu½~ yHa?& °aÌè›òŽ#¨„a”e™(@)¥MÓH.ì¥6Ò£0ªëÚÝ{mÛú^À9?È÷ó-Šâ/À +Ü…´ + +endstream endobj 1410 0 obj<>stream +H‰b`dbfaecGœ\Ü<¼|âü‚BÂ"Âì¢\Ìbâ˜â’RÜÒ2˜Âì²rò +X”³+* )«`WUS×ÐÄ".¯¥­ƒE˜]WOß›¸¡Ç±‰)Vq3s¬Âì–ØÅ­°y +¬q¨·Á.Ì`kÈ+ + +endstream endobj 1411 0 obj<>stream +H‰Én‚0Ðÿÿ¢)-”2¹Ú)"×Њq"r„·½ä½^¯yž‡a˜¦‰sÞ4 ±‰mÆXß÷ÏçÓ2ˆePceA ëºa˜¦ëîÆq,/õíÒ\ò›©Û‹7i¹’ljÂ0‚¿eY~Ÿ+ßlƒ"E¡ ¡œ$ñµ¸'ûÃ;Ö‘ŒUES BHõý £$Mº®{<Gžo7Þš8ºý°72D@‚c!D]×UUÝ«ûø3§2ýÊ‚Ýgèí5u…5RJþQÎxÛ¶"+x*ì´¦[i ,ÓŠã¸È˳¸ù9c9‹3 çF¦N~„yâW + +endstream endobj 1412 0 obj<>stream +H‰¬ËÙP€aeËÇα”}ß³f'‘%áý_DÌ0Mºô_~3¿ÁðŸ0 Óu€QÏq'0Éd6[‚°Ú4NÚN—Ûå¡4îõA  …#ÑX\åÁI&Sišf2*‡"„²¹|¡X*WªÑZ ñõf«ÝéöX†í†£ñäíï8¥él6_,WëÍ–ç?®Lh'ûƒÈ²âñt‚êÎgx‘®’ÜKß 6åãîòÇ_I²ü`ºnµ + +endstream endobj 1413 0 obj<>stream +H‰O°ý=Gp=Gq=Hq=Is=Iu=Iv=Jx=Jy@M~HV‡IXŠHW‰Ub’yƒ¬¨«À²°·‰††„‰¨¨©ÖÕÖ=Hr=IwJXŠHVˆ[g–Œ•¹¾ÀÓÃÀÇ‹‘ˆ†‹°°±ÝÝÝ=Hp=It>L|CQIWˆM\p{¦¸¼ÓàÞêÔÑØ•“™—–˜ÓÓÓïïïL{GVˆ[h—Ž˜¾ÉÊÝåáèÑÎÕ¥¤¨¡¡¢ííì=Ht?L|FT…FUˆamš£©ÈÔÔãßÜãÁ¾Ä  £³³³ññð@M}JX‹M\s~¨ÂÄØàßéÖÓÚª§­¥¤§×××úúúIX‹Tb–Š³ÍÎÞäá颠¥ª©«èèéK{BP€HWˆKZŒRa’p|«¢ªËÝÜçÎËЧ¦«‘”½½¾DR„N]‹“º½ÀØáß躷½‘”œžÑÑÑQ_’ixª©¯ÍÝÜèçä층¼ÊÊÊóóóUc•y…´º¾×æãêàÝ䱯µ—–™âáá ž‚aE + +endstream endobj 1414 0 obj<>stream +H‰b``dbbfaecçàäâââæáåãf``daf‰‹qq‰KHJIËÈÊÉ+(01‰(²ˆ²+)«pq‰©ª©khjiëè20(01ë±²éq‰‰›˜š™[ÈYZ100AÄ­ml¹Äììœ]\ÝÜ€â"Š¬¢ìî¶\\ž^Þ>¾~þ@qñ¬AìJÁ@ñаðˆÈ¨è77F¦XÖ¸ø„D.1£¤ä”Ô´ôŒÌ,z‘XÑìœ`N.±Ü¼ü‚¢â’R7°x«hvyÐÖʪêšÚºú†F 8ЕŠ@Ï6=ÕÜÒÚÖÞÑÙÕÝVöl0zûú'L,š4yŠ%P|*ȳúÓ8ŦϘ9köœ¹Qóæ»ÄÁ°`á"®ÅK–.[¾båªÕ ånL",¬Aúk¸¹ÖÚ¯[¿aã¦Í[ÀêEÊ@Æ(srmݶ}ÇÎ]«vïq‹CAÌhï¾ý:|¬Ü ÀZ"f + +endstream endobj 1415 0 obj<>stream +H‰ŠuÿèåìéæíæãêÉÆÍÀ½ÄÕÒÙãàççäëÏÍÓÝÚáåâéâßæçåìÈÅÌØÕÜâàçäá艆Žˆ…Œ‰‘·´»ÔÒÙÓÐ×ÒÐ×ÙÖÝ{y€{x€}{‚“‘™£¡¨¢ §¡Ÿ¦¯­´ÊÇÎÐÍÔÏÌÓßÜãêçˆ††…‹˜–œ¹¶½¿¼Ã¾»Â×ÔÛ X±g+ + +endstream endobj 1416 0 obj<>stream +H‰b`@ŒLÌ,¬l ˜€•ƒ“ ‹8P«èÐŒŒŒXŹ¹Ù¸ØÙÙyÐÅyy™ùøùùÐý/($,"*&.Ò„,.)%-#+'¯ ¨¤¬¤¢Š°LJJRM]]CSK[‡E—‰ ¦ ÀF¹M + +endstream endobj 1417 0 obj<>stream +H‰Ám·B0àÿÿ‡dÔ¤¼­t“ͬ3-ºŸpœã¸Ïóâ Ï«‚‰ë…Àº¡oBˆB)eŒÍ󼮫x½«BÖe›ÝŸØ}ÞÉs-×u=Ï¢hš&YZÑ©æ«ä÷#ÿÞMïŒ0 “$¡„*¥Ê§È³‚=Êkx3ÁV×Øš¦c|†aÇeY¤èò”£ƒcÁÃÞBpgC¸ã˜Ò„sÞ÷}ž”Ÿñ²ÈvLs‹ÜTŠe%¹ÝiœÂõK²Vô²îþ}ò¾ž + +endstream endobj 1418 0 obj<>stream +H‰b`ddbfaeecçàäàâæ^>>~A!aQ1q1 I¸¸HËÈÊÉ+°²°(*)«pªÂÅÁ@M]]CSK[GWO_Ò€Û..md$olbjfnaiÅam#… x5lÕíìœQÄ¥\€ÀÕÍÍÝÜC +€\èi)^òØÅ¥¼qˆ:`zú, + +endstream endobj 1419 0 obj<>stream +ýýýÿÿÿþþþ + +endstream endobj 1420 0 obj<>stream +H‰b`Ępˆú€G{™ + +endstream endobj 1421 0 obj<>stream +H‰ŠuÿÝÚáèåìæãêÛÙßÇÅ˾¼ÂãàçéæíØÕÜÁ¿Å¹·½åâéÔÒس±·¨¦¬äáèÒÐÖª¨®œ™ ÜÙàÄÂÈ­«±«©¯ÞÜâÈÆÌ¢ ¦¸µ¼ÕÒÙÖÓÚº·¾¦¤ªÅÃÉàÝäÑÏÕ´²¸®«²ÌÊÐáÞåÓÑ×¾»ÂÛØßØÖÜÉÇÍçäëÚØÞæäê ÛTm¨ + +endstream endobj 1422 0 obj<>stream +H‰¬ÍG€ DQAÌ9bNèý¯çzi/ÿ«©15-›Î©ãzè€ éÂ(Nà‹4Ë eU7-‚®Ôˆ`š—uC°ê®[2`{BŽ:Ñ/Ìÿï`ôÆ + +endstream endobj 1423 0 obj<>stream +ÿÿÿðððôôôýýýÙÚÙãâãûûû»º»ÄÄÄêêêôõô÷÷÷þþþÉÆËÀ¾Â»»¼¼»¼ÇÇÇööößÜâÐÍÓ»º½¸·¹¼¼½èèèúúú + +endstream endobj 1424 0 obj<>stream +H‰b`ø#3VqV6¬âìœ\Ü<˜â¼|ü‚B˜âÂ"¢bâBBB’ÌÌÌHú :—‘ + +endstream endobj 1425 0 obj<>stream +H‰ö ÿèåìçäëÞÛâßÜãæãêÃÁÈÂÀÇÈÅÌÞÚá²°·±¯¶·´»ÐÍÔÝÚáÜÙààÝ䈆‡…ŒŠ‘¦¤«³±¸°®µÃÀÇäáèêçîéæíxv}wu|{y€‹‰“‘˜’—‘–¢ §Á¾ÅÇÄËÅÂÉ×ÔÛåâéyw~‚€‡†„‹…ƒŠŽ•¦£ª¥¢©§¥¬ÉÆÍãàçâßæ|z†„‚‰§¤«Â¿ÆÙÖÝ~|ƒ€~…¢Ÿ¦ ž¥ª§®ÉÅÌÓÐ×ÒÏÖzx~yx~wv}ƒˆ¨¦­´±¸³°·ÄÁÈ}„–•œŸœ£ž›¢¯­´ywzxzy€¡Ÿ¦zw~ ƒI¥Ô + +endstream endobj 1426 0 obj<>stream +H‰¬Ì×Á@†áD«Dð'Z5zï÷3–aFL=G;ï?ßRÔÐ4í؆u9u·Çë£6þ@0Äñ|ø÷‰Æâ RH¥B_]Ìàl._ŠrI.WˆwÀŠªVkR½ÑÔtÃÐ)ô€H´ÚJ»ÓzE¹Ï2ŒþêOØ4ÍANŽÆ“élÆ#ôŒsøX,WëÍv»ã8øBþËìÇÓé¬ip;˺^³·!yÝÉÞ~3UœX?Ä#d + +endstream endobj 1427 0 obj<>stream +H‰Á‰@Ðÿÿ¦1 3(•È­"6:¦í°m)µ}ƒ÷ŽŠá;a]¾ßä÷ª¿YZFÙ–‹Qñ¬>(É}7ôØ<Û$ÄöÙ¦ÈÔíªxËÙ®• 3¥:4y4øVèÚ™ñÃÃt·QùÉLEV_€7r’¸¶ôKž>Œ ºôRÚ^¬Ì2'GÕ€~rÇÕf%ߢ,ðc›÷¨~ae¯±ccņ´ÞNyé ë*ÿ ò°“© + +endstream endobj 1428 0 obj<>stream +H‰lÐY‚@ P'‰Ê("8îŠ 8â¸ïzÿky:ŸýªºR]SÄR½z£éhs«ív@.ìu}T$ômúBE G¨g<™Îæ(\D1‚e’®,‚u¶ÙîÐOÞ>µ9ã¥{èƒ=žÀlΗ«@·û㾪¿?Ñ÷Çò`JË Æ + +endstream endobj 1429 0 obj<>stream +H‰ ÎYr‚0ÐûŸ¨…ED–€€&Ð +Ša1,Ú_²8XgÞÞûý~>Ÿã8¾^¯u]cq·m;ϳ®muÍÄHÛ&ç¼(‹eYÎiqÉŠŸä¬* C¢¨”²4ÍN§4˲aJ.*ÞðüÔÞçÃ"©º¾±mDz,Çq„M}ooNüöÍߣØñ[–€,Aé †É(£Gšçù%å®íÛ;×ÜÚ`Œ0Æ +„èVvž(HS±däûþçÞ¶Ý4MMÝ'ôä»O½º ‚SJEÕ󼦇äÆÇ(!n€‘Bñ<¯ºŠò*ø¥ +÷´©î¢î‹¼þ`¸Dï¯ + +endstream endobj 1430 0 obj<>stream +H‰b`ÀÈÈÄÌ‚!ÎÊÊÆÎÈÈÈ.ÎÉÅÍÃËÇËΦI@PHXDTDL\BRJI\FVN^AQIYEUM]I\SSK[GGWODßÀÐÈØØÄ”&”ÑÒ63·P°´²¶±UgDˆƒ¥´´íìœ]XÙ\ݘ4îž^Þ>¾~~¶þÒÒšÈ @30(8$4,>stream +H‰Á‰@Ðÿÿf˜aL‡µJΦ²¥„èV*Ýrü‚÷ªü©®cÀàà˜÷Wù-³&ðby¯‚"1ØT¿8̲NÙ¦œ“G^ŸüY»VÀm„N»·aù"}YWOà )$©i\Ú¦T/ +:zvøŒŠËÉdè=ž‘8$°‘ï<\;à9iBÍC?¹Îj± ivÉI‚2èó)kœ­,©Ö«]»Õy‰*]5înô`h® + +endstream endobj 1432 0 obj<>stream +H‰b`F&fV6 ÀÎÁÉÅÍʃ)ÎËÇÍ/ („!!,"*&ÎŽ).Á+)%-À)!+'Ï© ˆi³’²Šª¤0 Ay5u ,nÖÔRÓÖÁ"!««§oÀˆ)Á®chdlbŠá&! M3s ,žê°´²Æ"ôŸ 6q avì XÅ ‚o Ñ + +endstream endobj 1433 0 obj<>stream +yw~zw~zx~yx~ywzxzw}{ + +endstream endobj 1434 0 obj<>stream +H‰b`ÀŒ`„0á“DÌ ,¤¨‡:¬D0ãWŠ0›‡8ºk ¼ž$°‚LfÃ&Ž°31a N€+4F + +endstream endobj 1435 0 obj<>stream +H‰ωn‚0Ðÿÿ!¥¹/ãƒeL9ZJ¹aCL ¶½?xuѧIî¹þíꙺ9è8n×u}ß·m;Ž¯mÛö}¯ò.§u¦ñ“ /0uÛÐLC³I3 ƒB)­ªjY–"kIœ%!ÅQ~%²¨ðPOB~Fá3†¡d]ÉZ–V)Î3R^ÎW€ >stream +H‰b`dbfaeeecçàäâæá…>~A!aQ1q I )i¨ƒŒ¬œ¼‚"««²Šªšª:T445µ´µutõô ô ŒMLÍø €ÁÜÂÂÒJÉšÕÆÖÎÎÞÁ‘8É +8»¸º¹{xz¡ˆ300˜{ûøúù)ùóa€€À àPLq &†°pLq°EZØÅ0¡É!i + +endstream endobj 1437 0 obj<>stream +H‰i–ÿèåìéæíÜÙàÝÚáåâéÇÅÌËÈÏàÞåêç§¤«ÎËÒàÝäßÜãâßæçäë…ƒŠ‰‡Žš¡¦£ª¥¢©¤¡¨½ºÁãàç{y€}{‚ˆ…ŒŒŠ‘Œ‰‹ˆ©¦­ÔÑØØÕÜ×ÔÛæãê ÛsM¶ + +endstream endobj 1438 0 obj<>stream +H‰b` ÀȈ]œ‰™«8+;#Mœ\Ü<¼¼|ü@€". ($,"*Æ#ÎÇǬOBRJZFVN^AQOII ® ÀvÃM + +endstream endobj 1439 0 obj<>stream +H‰Á‰n‚0Ðÿÿ„Å,fÑ0 S$e“KAªbå,W¥\õØü…½Çš{Y4îÎñŸÓ±œp]²®¾‘¬Ú;ˆNfÓyJÚÐKÖÆöKXj«uC/—ö·­®q˜-ÊžB?ùQtE×î/ +Riž{/pëú(©÷Ôg’©ÙEJ‹ŒBË}NÆBŠIuîX}'yµ1o CÛÍðȪ¡Ù@^ ¸‘´ÜÜû7öØ9Ç÷©ÛŠ¬Z&Ì“²¦ G¹®n ÕJ¢â_€#d© + +endstream endobj 1440 0 obj<>stream +H‰lÏׂ0 à” +QQÑRWëÀ½qoœïÿH^y!!wùNΟ࿘Á¦ÑÂd*mgx6çä ²,:¥2£ã²RµU0`ÝkèÍV›ºku|Þí‘­Ø GŒ0ˆ±æÞ„øt¦æ‹%=½Z›2æøÍÖ é Ôn8FvòÏú” ®·ðNsÏ×û#~ÝW€tè ¬ + +endstream endobj 1441 0 obj<>stream +ÿÿÿøøøúúúðððõõõÊÊÊÕÔÔííìñññÉÇ˽¼¿ÂÂÂÉÉÉÈÇÈËÊÊèèèãàçÊÈͺ¹»½¼¾½»¾¾½¾ØØÙþþþ + +endstream endobj 1442 0 obj<>stream +H‰b`ø#vqfìâ¬l옚8¹¸yxùø1Ä…„EDÅ8˜™YÄ‘Å -79 + +endstream endobj 1443 0 obj<>stream +H‰cœÿèåìæãê×ÔÛ½»Á¢Ÿ¦¼¹ÀéæíäâèÓÐ׺¸¾£¡§½ºÁéåíäáè×ÕÛ¹·½©¦­¾»ÂçäëâßæÑÏÕ­«±¥¢©ëèïÖÓÚ³°·ÜÙààÞäÓÑ×ÏÍÓåãéáßåáÞå …JP + +endstream endobj 1444 0 obj<>stream +H‰b`F&fVF6 ÀÎÁÉÅ)ÁÃËÇ/ È$„¡žMXDTŒIÓ 6^ ~I), ŒÒ2²ÂX¬f`“W`Ä&ÎÀ „ióð › + +endstream endobj 1445 0 obj<>stream +H‰®Qÿyw~xv}‚€‡—•œ›˜Ÿš—žœš¡´²¹ÄÂÉÃÁÈÏÌÓåâéêçîéæíèåìyx~~|ƒ‰‡Ž‹ˆŠˆŒŠ‘£ §²¯¶±¯¶¯­´Â¿Æëèïxv|wv}†…Œ”‹’Ÿ¤ÂÀÇÈÆÍÇÅÌÉÆÍØÕÜãàçâßæzx{y€}{‚|z€~…‡…Œ‰†¸¶½ÕÒÙÔÑا¤«À½Ä¿¼Ãzw~ƒˆˆ†zx~yw ¼pÉ + +endstream endobj 1446 0 obj<>stream +H‰b`F&fV6vN.n^^>>>(?£€ °ˆ¨˜¸„$·P†— +¥edåää•”UTÕÔ@CSKK[GWOWDßÀhØ$¸$HÎÈØYÐ$šfææ(Š, º4ð~¼²H¶@‚æX"YŽËl~¬L¼¶[  +YâS`²E n + +endstream endobj 1447 0 obj<>stream +H‰Á‹ +‚0Ðÿÿ èE™w›…i¬b¥&机²FóÝ9ã8v]G)ÏG¢Ìø³iÇqóå™2­+Œ‰½sã;ÏÓWß÷yž#ÀQTUÅ37GBJil³0 WËõåt˸lÛvkÙé£ÐZ»ŽËξïïï &Â`)õÀ×K ™ðyDB)uð<0I¾ïO'Ó½}¨ëÚ4Pp†a(Ë’ËÙ{x;¢ + +endstream endobj 1448 0 obj<>stream +H‰b`€F&fV68€‹3°spra•àæáåãÇ"! ($Œ$ŽÇ*!!)%UBFVNž ‹„“¢ eNU5lêš(n‚Khiëè²a‘`ÔÓ7àÇ&ahdl‚UÂÔÌœ ‹„…Š¥6 k[;%t €7 + ( + +endstream endobj 1449 0 obj<>stream +H‰Á n‚@ÀÿÁhj¢´\DÁ ÁH-²«r®Ü°ZÛ7tfh_eF´y ÒðÝøÙÿ ä•'µ©#À¬‚.s‚,{Aó<'Bóúè~Ûú£ìk|›ÌYíšgàaí`v¥kVSõQ\{2Îq˜ÖEçÚÁF—@ðœ¨Ê[–^¾Ï™ÈOp˜T=‰ R ÒFÉîUO~ ~P¬¸Þ:W¿){e«ŽGÓ"mö»ot²#>stream +H‰b`€F&fV6 ÀÎÁÉÅÂE‚‡—_€QC\HXDTLS\œGBRJšCBPFVŽS^ÓAE%eU!,jrêšXœ$®¥­®¢ƒEB—ULJOS€ÃÐÈØÄ‹'4ÍÌE °Ø`¡d)e…©^QÉšSS‹[mlíì1Å-ì1œ`¤i , + +endstream endobj 1451 0 obj<>stream +H‰ÎÏk‚PÀñÿ:}Ï|ÏÌõë©w®Õl×i‚¬ëB…‚?êà˜7(µßÛçòÍ4Q|èÿ7ÀH  ^¾¬Qe™Jý!ÛáÃCyžoš;ÓØY–µÖßd¢ãÑpÂs=¶ƒ.—KÛ¶iúmÛövklÞ7d¢@Ýn·¢(|ßwÇuÝÃça>_ŒGd2’ÉXá †A€!‹¯×kÓ4u]WU•¦ia†ÁwÄ÷8€ï’çyY–šö¨È”ªSE¡¢ u¡ÀAát:GQ”$ÉjùÚ$ÏóÌû±e=-ž)fYÇñþc¯ëëã×±,³ŸìO€Š_­È + +endstream endobj 1452 0 obj<>stream +H‰b`dbfaE6(ÍÎÁÁÉÅÊÍ̓$ÇÊËÊÊÇ/ ($,"*"&Ž,Ã*!)))%-Ã/+Ç#¬ +@qE PRVQUS×ÐÔâÒÖÑÕÕãe…Šë›˜˜š1š[XX‚mP„+kkU[;{Gm'gK=¸¸>ظ¸º™¸Ë Ûy Äáòžf^Þ>¾âŠ~¦¦þ˜â`¹ ìâÁ!ØÅi Ü2 + +endstream endobj 1453 0 obj<>stream +èåìçäëãàçåâéÝÛáÙ×ÝãáçÛÙßßÝã + +endstream endobj 1454 0 obj<>stream +H‰b`Ž€‘ »83 vq&Vìâlì8Ìá Í^Ò@€¬- + +endstream endobj 1455 0 obj<>stream +H‰ÊÉjƒP@ѯ6NOŸ©5j”´]T•¶ë:¤›„Ü)Tÿ@Œ  w‚Ä©)\Îêâ(£¸‹ÑØ‚ÂÔ#¿âŠd Ã2 K×·,äP`…"TÇI’DQ$ðâJDAâ–·º±Û™ª²¡ 8MSUUišfY†áûÛ‡iZ¯š> ÃåòãûßÇãI×€dÿO¢£ + +endstream endobj 1456 0 obj<>stream +H‰b`dÀ˜pÊàVÎÌÀÄŒbƦ`dÂdA +ŠBƒÅt&fµœ\Ü<¼|ü,è6 +q ‹ˆŠ‰KHHJ!‰KËÈÊÉ+(*)«HJª"Y£¦¦¦®¡¡©¥­£«§/n``•Š©«›˜š™[XZé[Û ÄÁÀÖÖÖÎÞÁ‘ÓÉÙÅÀ@!®nîîž^ŽÞ>Vü,Hâj¾FFFÒ~þæAÎ<– + +endstream endobj 1457 0 obj<>stream +H‰ñþèåìéæíâßæäáèçäëÓÏÖÙ×Þæãê¾»ÂÈÆÍÛØßÞÜãÞÛ⇄‹™–º¸¿À¾Å¾¼Ã¿ÆÚ×Þxv}Š‡Žª¨¯°®µ®¬³²°·ÍËÒåâé~|ƒˆ†‰‡Ž‹‰¢ §´²¹ÖÓÚëèïêçîyw~wu}xu}‡…Œ”“‘˜³±¸ÇÅÌÆÄËÐÍÔàÞåãàçzx†…ƒŠ™—ž¥£ª¤¢©¢¡¨¶³ºØÖÝßÜã|z’–º·¾Á¾Å¿½ÄÓÑØÞÚá{y€ˆ…ŒŸ¤£¡¨ÐÎÕÏÍÔÏÌÓ}{‚›¢±¯¶¯­´ÄÂÉÕÒÙyx~wu|Ž•žœ£œš¡Šˆ—•œzw~|ƒƒˆ{x !®¹| + +endstream endobj 1458 0 obj<>stream +H‰b`Àqˆ31³`gecgaÁ"ÅÁÉÅÍÃÃŒ!ÎËÇ/ ($Œaˆ¨˜¸„¤4š¸Œ¬œ¬¼‚¸¢’²Š +###T§ªªˆšºª†¦–6§Ž®ž>³;T Œ5LLÍÌ-,­€2D$e-#cmckg/äÀÃãÈÄ7´¶vrvqU0µws÷à’VE††ªž^Þâ>¾~0!"`ä,n‡¤ØªÇÉÉ0$!†¤$>stream +H‰‘énÛ0„ßÿeZSä^¼$[¶%EQtØNE'Ž›ö%ºþ fv¾YEà€z( +’Gb$ѧ\®SJÙû:•Ó0-óiž–åq8?¿^/_·Ÿï?ß¿ ‘Õ.ˆÌX¡h[@çÀY‡ WñÌ7cÉ8Z9Å ´Ž—„(€ìTgUî-ˆQjÓ” +Ç+K+«k‹t-‰3qBŠ¼EÝCI”¬b;2 ÞoDÖ•HÅœáÞB㸀Rã>„mu¸ËÔ˜  ‹+ǸªËÜ¥Øĸ‹aëeÍ”›æ¹®çõz(«>ç.§6Å=RŒ]~x>stream + +  !"#$%&'()**+,-./0123456789:;<==>>*?@ABCDE/0FGHIJK;LMMMN*?@OOPQRSTUVWXYYZ[[Z\]]]]*^_@``Pabcdeef;YYLL;gf**hee^^aijekYlmm[;f*>>=f*^noopqrs+tffkkkkk;;;kkNusssvqwwissefxkyYYZkkz>**]{|}~~~vw+€‚xkkxk;LLLL=ƒ„…††‡ˆ‰wwwŠŠ‹Œ+txYmmmŽ‘’…“”•~~‰pp––is?txyyYZŽ;—˜™š›œ“…“‡~––ŠžŠwwiiŸtYm  ¡¢£¤¥¦™§¨†©©ª|«¬¬vpwŒet­®¯°±²³´µ¶‘’……·‡~}~¸v¹¹wi + +endstream endobj 1461 0 obj<>stream +H‰oÿèåìæãêàÝäÓÐ×ÖÓÚçäëäáèÝÚáßÜãéæíÞÛâåâéÈÅÌÇÄËÊÇÎêçîš¡œ™ ¢Ÿ¦ÊÈÏâßæáÞåãàç…ƒŠ‡…Œš—ž¥¢©¤¢©¢ §·´»ÙÖÝ|z}{‚‰‡Ž¡ž¥ÍÊÑÔÑØ œÿT- + +endstream endobj 1462 0 obj<>stream +H‰ÔI€ ÀfDdq_ÁQþÿF½˜ˆ°Ç&=à##¼¡ ã4à„ê_">f“iÈgyÁË@TÕMÛõý@o|¯”§yY7Î$z1»²V§#Ž#â] n + +endstream endobj 1463 0 obj<>stream +H‰ér¢P…ßÿ *QYD‚JÜ5Æ-8&a wa™LG.¯0¤êTWŸîªîï¨o§âµ¢Í—/wÓMïvÙìLêò@”:\E.1]òt…Êq™,“Î2©¤R\:ÇQ¡P–X±ÝhOÚý¥Þ5ã÷ËÞVv`µy/ŸG“Çî`.7Gb­Ë 7eNº*‰º’¡Øt–Íäxº\ãªmAØ¿ˆí‡È9ü¥Ã÷ç½½ù¡ß?$A±¼ØG}7FIX÷ ¬/Ó‰A"—@— ?2mbÚɘ84­ÄF‡ i¬À´ô" +ïm¨ƒ‹Ó!ÿwñ + +endstream endobj 1464 0 obj<>stream +H‰b`dbfaecçàäâæáåã—”’–‘•“WPTRVQåUSWÔÖÔÒÖÑÕÓ74426153·°´²¶±Uã°³wptrvqus÷ðôòöñõó +± wppŒˆŒrŽ‰‹OHLJNIMKÏÈ´ ‡,çlלÜ<¸ü‚¢bÿ’Ò²òòò2 TEEeTTUuMm]|}CcSsÅ[ZZ+*+³[sÛÚ;:»º{zz€åå½½å}-ýŽŽŽ••ô&Nò˜ ‘èíí-ëëëjrttž2uâ´é3fLž*_¼dÑҥ˖$çϘ _¾¼·wÅÊU«,\¸zõBÆE‹ÀÚfNž¼fíÊ• €Â«—,Y´põÂEë@“'O0±Z² + +endstream endobj 1465 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?Ûfvm7⪺ž5¾ÛšZï-Ò³›­ùªWôzÝ«º­géVñº·´ Õ%AÝ?*ƒ5’> !¢]äëæÔ“–¿GÙÁI|à’ ÿÙ + +endstream endobj 1466 0 obj<>stream +H‰ÊIà ÐûŸ­Ø™·Á&ô +ußÓF_B6`¶Äö!K‘b@†Å‘-!Î Äi{ieÓÒ“ï/ïQ»œ¯‘ÁGH§uÈùå£ÓÖQoÚGÖç'Ào¨j + +endstream endobj 1467 0 obj<>stream +H‰<ŽÙZÂ0„Û˜Z@S +IYZlcí"T|ÿ—rRüœ‹sñÏ73Dzmò@)£”özŒó¾ã8®;XbÃ!øhDÙ7Üu…±93 êy=ÆøxÜïûBL&„L¹‘ yð _‚ Xᙘ‡Ã¤4E³ùb.–Ë)†±eÌ’³ŽGQ°Z­ˆýÌÁã\(%¥L’IE(DŽéHØ¥’¹VJ D! ýϱ¬ÍñÉKše:/þLXÚWÊ÷Åz³MÓ]–ß9üWÙñ·²ªÖõ&Õ¬(ŠFJLHù¾/Ëê#Ýé}GkûñyÜŸÊ󹂗á‰"˜_mÛ/'¤¾‡z›^ñxxç—ýíV¢°®®×¬iÂðW€’ý'` + +endstream endobj 1468 0 obj<>stream +H‰ŽÁÄ0û¯Í‚ œí¤ˆSföÃ" ¶áž‹Ä{Åc[ WŸ9 +²Ô—á½ðŠ— Äi¶}œ†Ù|v/ÅÓQxuLÁ’ ,e%8235Ksi00,·å1š?‰ÑƒõÑ|tžc,ùÉ”$¥÷Ò{ †äú 0Ûšm + +endstream endobj 1469 0 obj<>stream +H‰4Ž‹r‚0Eói*DH¢„. +Òw}ôaªþÿwuW§w2™Ì=9;˸BÊ(Š'Bð$Iî†a8Fˆ8žÜGñtÊÓTecúq1Ë£8F›3¦TÁX–ñë“s)ål–K!ÒÔhm­"èæ‹Å-)É)½÷NÄž2G“§"/1•µÆ²Î1íœJEP—¾iʲc–N1­]–)×´mÌ×°\šBg«ÕzÝ·¨kßÔèc +{ëû®ð':ðl*‚zŸ†g’ Üà»°ãËëÛûǶïûÝh‰r¿?øêsüúŽ!èLÛÒÞßTGÌø{:aúíöŒÃõ!\.x_Hê†áºà­kêCθÀŸ»G%‚ + +endstream endobj 1470 0 obj<>stream +H‰ŽW[QE|AêP†¢T¥FÅ‚(  ¦Ü;w˜FKP)ä)×ï[Oç¬söâ'è€þ;ÛÃÌØÞœéÎaÊ3šŸQ4ípÓ6|k×ø ÄècgJ 3 +ƒfmˆ·¯P^Ay ¥%Ä‚øÁâ‡_Úœâ¦HÝ EãdÌš“W¬øÉö±0cz8hÎ6HÕ ´‚x..Øþ§0Úà ¯jH]#ed—lÔ%¬y¼h@ZqùŒüÁcŒÙ +Ã-h¼¢!eÃ)k€³¤eyd+”ÒD ºBÃM&•ÈäÂñ3ÏaØêömn½…ÐYœz«Çì<$Çd$u”¸8Î3wõ« +ýð,tĹ0Ù £0Ü5:jã¹X®ænnS™@4îò-.ユЛ ®mvê-n£ÃoóF=‘t(}“*<êð _zoŒ´ò’ˆ¨Å‚&EÕZ­j½y_©^Š‰ìÏPü” FìdÀä V—Þì2~ñ„“GgùDþ×U•¦@CŽæƒ8¢gš)×›×÷¥ôe>–Lû#Ç„ïÈâ"õÄ£ý›Áú}ß®³’f2쉥Oò¥ÜCó¿c¦1Ì + +endstream endobj 1471 0 obj<>stream + + +     + !""#$%&'()* +,""$%%-./0)123345"6#$-778'''9:2;<33"""$==>&''?@ABCD2E,3FG"HIJ//&''KLMNOPQRSTU;+E,VWXYZ[\]^_`abcCd;+efHHgI=Xhijklmnopqrstuv<1wwx,Vyz{|}~€‚ƒ„…†‡ˆ‰Š‹Œw+fVHHŽ{‘j’“”‚\•–—˜`a™š;+x!›œžŸ ¡¢£¤¥¦§¨©ª«?¬‰­Œ®¯°±²³´µ¶·¸¹º»¼½¾¿ÀÁÂÃĬÅÆÇÈÉÊËÌÍÎÏÐÑÒÓÔÕ¼kÖ×ØÙÚÛ«ÜÈÝÞßàáâãäåæçè éêëìíîïðñ + +endstream endobj 1472 0 obj<>stream +H‰ ÁMO‚`à_M¼|ƒ ¯_éºT¶¥|t°@˜ÃÜà ›xÐ]<€lnl\±žCi¥1@“8K` IRdùá~4A +ÜQî—»q7ëõ§4UºBŠ,-`(ƒ&‰“ûýÞ²Vó×…ºÐ4Í Ç(B„ºÝn×ëõt:‡ãñ'ŽÇqzp(vûÁ].—4M³,Ëó<Ó´–ËwUÕH‚¥H®mÛóù¬kÆd<•%Š}g˲Ü~oÍseÙ¶í<=Îøä;¢ÀCŽš¦©ëª®ëÝ.2Œ7]7fÏ/,#à€.Š"ùMâ8Ž¢È2­Å\­ª* CÏó|ßÿ`<ɱ™ + +endstream endobj 1473 0 obj<>stream +H‰b`@Œè@!L1F¬j™P(âšbffVlâlìÌœ\\è®`äæáåãó… DDÅÄ%$¥¤edå8Q4ÉË+(*)«¨ª© ðk°°@-‹kjiëèêéëð±@Ü ,ÆÆÆ&¦f|æ––– Mò`emckgïàèäÌ‚".ïâêææîaàéåíÃ"|ýüýƒ¤ÐÅ‚ƒƒ}CBà •ùž + +endstream endobj 1474 0 obj<>stream +H‰ŒÉrƒ0ÿÿ£rÌ!  ™Ñ†1[›„YìÜ‚«úòººÇžaÇÐEèö‡ŽCÏ cÂEÂq8v&ÚH´‰œb9r90ꢷw \ªCª}ª|"=£>‚.'LH”ÕÄå(¬6¤Æ'ÚÇrâ42 Âx¼Ìx¹aÀúÔú˜ÆZº.˜ßá2'j¢ëßólSµªf7õ!Ë“•®÷VCC + +endstream endobj 1475 0 obj<>stream +H‰TŽYW‚PF¹ˆf],‡Â«b$ È`%Q©!™Cƒ Ú`¥ÍeÿÿµC=´:/g­oŸý­C!š¦}>„à –ß˜ ÎÎaæNûY*˜_G¢£X ¡EäMp‰¥(–ãâq’HF¢)NiÄóAŽKsK-Ç V"ò—³¢$Ë$›’9%ŸgxbÈRUIÒ%„œ¢`Æ«óq0ºQ(¬Šâ ¤Èº‚Q:],š%C×Ëe˜LHV¨Â8«n”,Ë2ôÍ­mQÓU*á\.ƒkõªi©¡îHÒ2!‰X¶Ýl:»?’¾×hì{ïy’{ÐjÕ¥’a v§ÛktÒ1p N–ON{}÷Ìíž×.êuǼ ‡P¨^]ßÜŽîîÆ·ßó +Gï Ëxz~y}{uÆíÉGÿÓ¶§SÇ4¿ƒoš># + +endstream endobj 1476 0 obj<>stream +H‰üÿçäëèåìéæíàÝäãà禣ª¹¶½ÓÑØ×ÔÛÖÓÚâßæwv}’—¶³º»¸¿¼¹ÀÐÍÔßÜãÞÛâæãêvu|ˆ† ž¥¤¢©¢ §¥£ªÎËÒÍÊÑÌÉÐÑÏÖãáèêçîyw~zx{y€~|ƒ–”›©§®§¦­¦¥¬°®µÕÒÙáÞåäáèxv}‚€‡‰‡ŽŽŒ“¥¢©®«²­ª±¬©°Â¿Æ|z€~…”•“š”’™¯®µÜÙàåâéyx~”¨¥¬¨¦­†ˆ…Œ‹ˆ®¬³æäëwu|Ž•£ §£¡¨¾»ÂËÈÏ™–¦¤«wv|‹’—•œzw~zwyw äGª + +endstream endobj 1477 0 obj<>stream +H‰lŽ‡‚0†UPQÜŠõÀ€8êÞ÷~ÿw±Ô%ø¥¹4÷ÝßžÍîÀØ­P´ óG8]nÆÃx­1Ö縂!*¡¢&ãâ‰d*˜ÎðÙ\þ7‚( +…b©\©Ö¼’Tÿ +Œ,(ªÊ5´f«Ý©ãù"B¨Û+öÃ-IccM˜€q ÙUeªÍœóÃÐX¼ÛN¡§.WkžlÀŒÌê:lwûÃ>/ý€=ÿ Y¿\owãö õN*ŠyÖ&sOSã%À:a)ä + +endstream endobj 1478 0 obj<>stream +H‰Ñà C÷_-€A6$íÁ€If¨{÷¾¤wR–™e•êêF3>7_ÎÃç•ï[ÄÐ6>stream +H‰LŽÑRÂ0EÛÆRPlR…j—CƒEm-âÿ•›Ê8ž™äáÜÜÝa±«8îõzI’ôûƒÁàú†ˆp8ŒF#>'žÉÄg „™Œ±Û»1çÓYǤó ²{ iÈXLç<çYQ(LÃÅ2"7r¾>®Q”%fT¤RÈžbò«ÅBÒ 8GM@†KöÌUžçfcèVøëý£õ±ªòñAšÒ'Pkmé ¢R2¿ .^Ì­Õ¨ªm—I™ƒÂ²ÔVdÞSGUU@©„¢Ðº|±?¯®µV;c6’:¯oÿ= IlÕ{ãœÛ.ÛŽÜ}óÙ:wjÚýáÐe–|ýEûÎÎ}Ÿš¦umûç룵? 7.N + +endstream endobj 1480 0 obj<>stream +H‰¨WÿèåìéæíÍËÒÌÊÑÐÎÕáÞåêç­§¥¬®¬³ÐÍÔãßæâßæçäë™—ž˜–žœ£»¸¿ÌÈÏÊÇÎÉÆÍÑÎÕãàç|z}„Ž‹’–“š•’™“‘˜¨¥¬ÖÓÚäáèyw~zxƒ€‡‚€‡‚†‹‰¡Ÿ¦§¤«¥£ª¦¤«¼ºÁØÕÜ×ÔÛzw~yw{y€}z‡…Œˆ†‰‡ŽËÈÏÍÊÑÞÛâæãê C.tZ + +endstream endobj 1481 0 obj<>stream +H‰b`€‘‘‘DLÌ,¬lØ´±sprq³²òð¢Kðñ  + ‹ˆŠ1ð¢Ê‰‹KHJIËÈʵÉóò"d%””UTÕÔ54µä´u´å¡ê*èéH«‹˜›š™››ƒ´và + +endstream endobj 1482 0 obj<>stream +H‰ÌI!ÐûÎPœöm)b]¡©ä…À‡@íR¿©k²:Œæ¡‘ÅããiEÚTÕát߸׬˜’8˜opAÞ!m0E®` oÓÃòh¯¶‹3D’`=¬¿ãŒÃn + +endstream endobj 1483 0 obj<>stream +H‰$év‚0„YéŠK‚1ÔR›´ (¢¸°ÄZh-¶úþïÓ\:çžü˜/3£iº®¦Ù阦iÙv×¹º¾¹½»wµ^O7 Ó0öû¶å8ƒÁpˆ\MÓ0V‡uRžeÙŽÓBÐx Hõy D©O&ÈE¸Ía äѲ|ß$ +µ ð<ŒŸ¦ÓgÆ_^9÷)ys]äd”/ˆ)ù”òÙœN&j­í‹B$aÈ8gQ4§þlFBËå*]/6Ûd±L1Î#N ¡åyQ”±\ ªºýž)ªrü|•J)Å{0Æø-ã8nYQU²Þ†»,;0þ¹Wã/ð󴪎õF$I˜©¾ïF‚Êt*ÔX)~~“D‚nd‘Sûª±º>*¿9Ÿ›Ëå߇XZ”Ç?©7© + +endstream endobj 1484 0 obj<>stream +yw~yx~zw~ywyxzyzx¥¤¦‹Šxv}ÈÇÈžŸ|z€}{|{{y + +endstream endobj 1485 0 obj<>stream +H‰b`@FTÀÄ€0ÁºFÜFâØÔ2£Pä™jB†Z\&ÂT2ÁpÚÃÊ–°spI6 õ\Ü<¼¼|üâ8ˆÄ + +endstream endobj 1486 0 obj<>stream +H‰‹áƒ ßÿÑæZ´¥¢N÷O +(¸WXI.Mzù8黓†Ž%4¦|"E$ìzEV`uRœdô-äô¦ø¢è$!'Eoí ó +Þ°6ƒ æìÂåæ‚’Á§1Üc/œ ­uZŒDi©(…?·Fk›‚É(Ç#ûã÷Æ{£­ŽVI’ïÏo•-&ï¿Ç2¬Ý + +endstream endobj 1487 0 obj<>stream +H‰L}[‚0Å4(c[B,#pk6@%()Í,ûþß©»õzþÙóüÎι÷"ÇqAÞ Æöõƒ 8ÎÁð€‡Fî'„RƘã¹.Æ8´!t1&QD㘱Kß÷’so|E@†O`R’˜:3êhš’(M'£›,Ïá+žN9¿ÊPÌ`‰cÎå·]ä6¥y¡$ • B„ ‚³ ¡™åâ›Ãj6üNÏ +¥Ti]!¥)E(¦Õ|¡—EñÇ ‰¢´nVUµÐ&#ÄÚ8RÂý¬n›¦ªæZ/ï õw}]?@èѤfª”›õ†§çm·ÛÕu»2Þ‹ÞïKÃ_‡á°íúþí¬öxü€Qkèû`‡…%ª + +endstream endobj 1488 0 obj<>stream +H‰x‡ÿyw~yx~xv}}„—•œ¡ž¥ ¤³±¸ÉÇÎÊÈÏÏÌÓâßæêçîéæízw~|z‰†Ž‹’Š‘¢ §»¹À¼ºÁÃÀÇàÝäywwu|wv|‡…Œ™—ž˜–¡Ÿ¦¿½Ä{y€€~…Ž•–”›•“š†„‹‰‡Žzx ú3JA + +endstream endobj 1489 0 obj<>stream +H‰b```d&fV66vN.n^>àŠƒ€T‡¤¤”´ŒŒ¬œ<'€ÍSàçWPTRVa@LLL +ªjjâ@ Ô„" M²06è@‚:F¼²p½Œ¯YŒ ܦã³ À0œ + +endstream endobj 1490 0 obj<>stream +ÿÿÿûûûîîîëëëýýýøøøööö + +endstream endobj 1491 0 obj<>stream +H‰b`À™˜™±‰3°°²±a•T+¹ + +endstream endobj 1492 0 obj<>stream +H‰Á9o‚Pà]yïqT<*©¦³éPÁv±P&d À@)a€pÍpú}MÓDQd†ö«ý醢܄Ñ$hŠd(œ+V`°mÛ$Iâ8Nþ“óù“FˬiÈáZ–eÇ YQoê]½_ÞöÇò¯ÜRì4MÃ0Ôu­kúåKEétü p„DÔuïû¦i>–$]wÛ¿Þmø=$€¡yžû¾Ï²Ìó<۶ױ,ë]8a/$ŽÁªªŠ¢(Ë2Ïs×qeYIÓ4 ç_.“ÿ + +endstream endobj 1493 0 obj<>stream +H‰b`dbfaecçààäâÅËÀÇ/À(($,,"Ê Ä@@\BRJZFVN^^UBLQIIIYEUMM]CTSKÄà@[GWOOßÀÐÈÐØEÂTÉÌÌÌÜÂÒÊÚÆ–‡ÇN hÛ;8:9»HJê£I(jkkó*»ººº‰á¼¸$”pI ,05X%Ë + +endstream endobj 1494 0 obj<>stream +H‰ Ä9o‚`Ð]ñû¸äF©=L'íâÑJ;Ö*5¤6†"!Ã,„ö C†@üà(diŽÀ!Ñ!‡²B‘ Ù ÃÐ×ú|¾ †4Õcižeø$MN§“ã8³é‹,«Š¢©Š&ðŽô¦Û¶mUU›ÏÍök»ÛíV«×[Iæz"Ïõ!`pÖu}>ŸmÛÞï÷¦iÆ÷øîCºEQDQäyžïûÇ£»\,¾Ïs" ¨¦iʲ¼\.yžÇIÇqš¦¿®ûøðD†&YÐ¥,ËZ¿­ßõét& +Cs×ë5˲ Â0<ü&“gUÕF£ñŸ«¨$ + +endstream endobj 1495 0 obj<>stream +H‰b`Àqˆ£&°Jf0›»>stream +ÿÿÿôôôÌÌÍ¿¿ÀÁÁÁùùùãããÛÛÜÜÜÜ + +endstream endobj 1497 0 obj<>stream +H‰b`ÀŒLÌ,X„XÙØ9°‰ê€5x% + +endstream endobj 1498 0 obj<>stream + Ý»Øäáçåëèåì¶ßÊæåêÔãÞëåîäåêæåë + +endstream endobj 1499 0 obj<>stream +H‰b`dbÆXX± +3³±cçÀ.Ì̉C|@@€u+ + +endstream endobj 1500 0 obj<>stream +ÿÿÿþþþ + +endstream endobj 1501 0 obj<>stream +H‰b`ƒ0™ + +endstream endobj 1502 0 obj<>stream +H‰ÁûSÁpðº0²yd +ËTÊu=Üê‡"rÄ·ÚŒš&$¯Ù×zðƒŸ¼ú|Öëµ2V2YºÀ {H?òð{Ð<æ(áµ1(`øÓn·_JEž, QËEH¿š¦+lm<ú].— ÃPdþ³Ù[­VÓé4—Í=?•¥þx6›±, +†óäS¹XiÔÛ2qµïrû.ƒ_8“"yN¨ñïUNjAWîv»Î=—ÙdubNìðŠ¸ÎfòéY ž{I‘ÿšÍ¦ÉhQmliTzõæ–Õâðx.<Çç„×OJÕJýCì´Z-³ …Ô°Aí»¸ÃæÚǃhüæ>MÞF“E†“z`>Ÿó<¯ƒ ³mŬ(öRä¹r-Uyö­ÿ5šN&ÔcÞ€lCX«A •Þ[ŽÜ§‘P,¾KÄӢЄº×KèuFtÛ~I…lšŠÇâجÕÀÑëLŽ]ßsãØ¡ßЪ‘jŸ, + +endstream endobj 1503 0 obj<>stream +H‰b````dbfaeC@avN.n,â<¼|lè(Ì/ („M\XDT ‹¸¸„¤º­@qiY9)yLqE%eUV @WS×ÐÔÒÖÑÕÓ7@–a042615Ó6·°´²ƒK1ØØÚÙ;8:9»¸º¹ëèxÈsɃ-cðôrð¶÷ñõóõ +1eaaZnëà ‘QQÑZ1±qñR VV‰IÉö)©Þöiö©é>>>™Y™Ùñª99¹yùöÞá]PXT\\RZV)‡IÙWTVUW× ÔÖÂôT@€^k=: + +endstream endobj 1504 0 obj<>stream +H‰Á‰@Ðÿÿ c& “’B­Ò¡¨TZÛ¡È/x¯)?0¯ì³·àDbJëÛcõjßÕ·F]ö€†hŠã™¥eº6‰Âz‚ᆲÖe÷L8]/*á; +SEÞÑ$·`Åäž·uoÀp0bi!‹½vZ +ò#~šG[–6pϦӠE0ŽuEE#ðÒ›…~ÜÕ¿•¨’øü$mÕ›†­*šmyE^þÂ%‹ + +endstream endobj 1505 0 obj<>stream +H‰b``dbfaecg@œ\Ü<¼èâì|ü‚BÂèªDDŸÅ1La”’–‘•Ãç”WPTâÀfPRVPÁt ƒªšº†c€€W]SI S˜AJ[G‹0ƒž¾!6q#cSlâfæJXle`·°Ä*ÎÅOä€zå + +endstream endobj 1506 0 obj<>stream +H‰b`1Å · + +endstream endobj 1507 0 obj<>stream +yw~yx~zx~zw~yxywzw + +endstream endobj 1508 0 obj<>stream +H‰b`@Ft#*`d ˜q)cƦš…q¸4°âQƒb9ºB è/d¥¨á„Õc€©fc`D R€$}6 + +endstream endobj 1509 0 obj<>stream +H‰ ’‹V!@³òÌ+IòÙè„ +K¤¶«ñØ$ó0ƒaŒ¼ Ç µ[í.m/‘¶ý„õ÷Üsî}}iœ46üþ0A2™b*•ÛÙù¢Ó­@àôô ü×`0r8\&svv–ÅåòVWõp‚aé·×‰«TË?λ\Fã ÇH2›H$!ÞÞvÎÏ 8žR©r»}‚¿>¿CQD¸¸ètî¡hŠ ò„Â0Ön_õzý»»_8N¸ÝGN§K¯_Ÿ››ãóù6›#E‡Ïïಾn +Âñ8Q(ÔZ­N¯÷g4Ç/ÝîB¡’H¤Ž¾ŠÅb‡Ã‚èxøO©\ÞÝ=A ÇÏóùj­Öº¿ Þúý‡Nç{©tã™@àÄb±mnZ|¾ÀËã0Ž²Ùl&“©V¯ú`8‘N¢X¯·oo{Ýîm³Ù./R©l,–ŒÇ3×÷þïG’ș͟ …B™ˆkµ:·Û‹ ÉT*ŸËU+•f±XÏçkççåÑàïÏ›^ø[dE£¥Ñé333"‘Äfs†Ã †$YÊfË$Y¼îܘ·¬‡J¥²Xl™La0˜ìöŸ/ˆax¹\/—$9©VªV[Ëk½nNgˆÅRæ“ñ"•J£Zmf2…FãòjB3[©T +Ÿ?ïõƒáP(‰ÀÑh ‚°X,¢8Å"ð$tJ£Ñ¦§§Y,–P(Òh´.×Þþþ¡Ûíñx|ÇÇ~¿?A»ÝI¦s³•Á`òx|©T®ÓéM¦-«Õn·;ŒÆMµZ;xz;‹€“o¦¦¦ètú¤¼hiI,‘Hår¥J¥f³¹|¾@  +…‹"Ñ’D"“Éär¹B¡XNÆS<O*•ý`Ą̊™ + +endstream endobj 1510 0 obj<>stream + +  !"#$%&'()*+,-./01/23456789:;"<=>,?@ABCDEFGH$IJK:L(6MNOPQRESTUVWXYZ[\+]^_`abcdefghijklcmnopqrstuvwxdyz{|}PP~€‚ƒ„…†‡ˆ‰ˆŠe‹ŒsƒŽ‘’“”•–ˆ—ˆ˜ˆj™š›ŽƒƒsœtžŸ ¡¢£Š•¤¥¦sƒs§œ¨¦©ª«¬­®c¯°°±²~³´µsŽ´œ¶·¸¦¹º»¼½¾¿À noÁÂœµsŽ´rÃÄÅÆÇqÈÉ4¹¹ÊËÌÍÎÏs´Ðr`¶_¨ÑÒÓÔÕ$ÖML7ƒŽ´Ð`Î×tØÙÚÛÜÝÞßMàIôÐr`Î_á7"ÑÚÛÔâÝÞßM + +endstream endobj 1511 0 obj<>stream +H‰À?ÿyw~zx~{‚|ƒ~|ƒ†ƒŠ™–žœ£›¢œ›¢³°·ÎËÒÑÎÕÐÍÔÒÏÖáÞåêçîéæíèåì{y€|y€‡„‹‰‡Žˆ†‡†¢ §ÃÀÇÇÄËÅÂÉÈÅÌÜÙàçäëxw~xv}wu|œ™ š¡¡ž¥¹¶½ÉÇÎÈÆÍÇÅÌÏÌÓãàçzw~yw}{‚“’™¡Ÿ¦ ž¥­«²ÔÑØßÜãÞÛâ”’™“‘˜’—¼ºÁÆÃÊ}„Šˆ‹’Š‘yx~ ƒÏ~2 + +endstream endobj 1512 0 obj<>stream +H‰b`dbffaecçàäâæáåãFaafQ1q I)iY9!yy!°((***‰+³«¨ª©khjiµ1 €#£®ž¾¤¡‘±‰©)’H£˜™¹‡¥•Œ k °$‹µµ5‚« !tuÏÞUè`3“f©ŒÄoY@€‹¶ + +endstream endobj 1513 0 obj<>stream +yw~ywyx~zw~yxzx~xv}yv~}||z€ + +endstream endobj 1514 0 obj<>stream +H‰b`@FŠ€ ˆ™Q̤Øpò¬›i°ÌLP;v=œ¬ €$Ζ00X + +endstream endobj 1515 0 obj<>stream +H‰ÁëVÁà—Ž1¶3r+—´é,œ\–T'õ#q’£E3m±™±Íf”^¡ïÛ¬Öª5åçÊ\³Œ_miŽG¼(È[s/‹«fãá©Õf‡_܈&¢eî'ì÷qìä(š¢‹ÕJ¹^.VooZÒT1õ"ëö[ÀÁ±0qzNY"M]äéþ+Ã} +Òlih[Cßu_ú©8»}nE ó&㙦dI]̵¥¬°°Ëéq°ß +âÑ +}Í Ø!ñ#~!©ªbÜ7A(äòÆ"É ‘í<÷†ïã[*\9ì`sÛ\ØŸ£ +—åúj±îugd.ŠÓ¥`ƒv›¿™ S™<Šà óÑ Ñp¢Q»ìP*Af©‚ þ 0hă + +endstream endobj 1516 0 obj<>stream +H‰b````dbfaaa@,¬lì,X$88¹¸yxÙøÑdø…„EDÅÄ%%Ä%¥¤edåä•ØØ”UTUT Æ«©khjiihëèÊËsëIê€%XX¸ Œ@ÓÄÔÔÔÌÜÂÜÒÊÚÚš…ÃF $llkgoo/#$äàèäìâÂÂÈçjëf nîîž^Þ¢¢,>¾~Hâ ){A!!ñS[cTÔ +ƒI3„;xc¬èâaÆ }J<‘îØÔ‹FFc‰Cq%Ìn€CI>stream +H‰Ë=o‚@€á_]¹;à +- +a*Ÿ‰º«@ƒèf–ºÔÔ•/‘ÊRÂÂPÀÚäŸ) þc!E…!ÀòT•eUz‘ hÈ1ˆC@@Šó¹¼\N§/˶ŸÄñóXây‚ûÈ"€o·ÛO]{®§½ê¦a™¦¥(*fx†æ~»îú}ã8I’4M£(šÍ†~7¶¦<©ŒØ¾ï»®Ë²,¶ŽãzÞÛr¹’$AL#Ò¶mY–yžE†ájµ^¯×uçóá¦iªªú<uÍœHÊt¢ +¸®ëýþÝ÷7?0 ‹°Ã0>ºf춻?0¦è + +endstream endobj 1518 0 obj<>stream +H‰b````Ę˜Y@4+³A(0`çàäâæáá僫+cä‡K@(II)iY9yEE°El`=,’’JÊ*ªjêšZÚ:ºzzzŒ0õ’ú†FÆ&¦fæ `JB€¥•µš­½ƒ£¢®ž#\ œ­¬\\ÝÜÙ=>stream +H‰´Kÿyw~zx|z}{‚ˆ…Œœš¡Ÿ¤ž›¢¢ §¾¼ÃÏÌÓÎËÒÍÊÑ×ÕÜçäëéæíèåìyx~{y€€~…‰‡Ž‹ˆ”°®µÃÁÈÂÀÇÁ¿ÆÏÍÔåâéèæíxv}wv}wu|‘–žœ£›¢«©°ÅÂÉÉÆÍÇÄËËÈÏßÜãêçîzw~†„‹›™ ¢¡¨ËÉÐãàçáÞåywwu}ŽŒ“Ž•”’™¶³ºÈÆÍŠˆ}„zw )w + +endstream endobj 1520 0 obj<>stream +H‰¤W‚0„/‰±k +ˆ *Ø°‚ÿÿ‰L"Žãƒûr7{ßÞ L.Ñr¥Z«7š­6cŒs.!)¥avL«Û놣1 RÙöÄ‘SwFç‹¥·ZoXâùÙ ’ +¶.ÝíG•oŸÇ8_¬ëíîAAcL‚(ýQš q¬;ažIŠ@BÅødþÖ—’7@ü&jõóñ`c © + +endstream endobj 1521 0 obj<>stream +H‰ÍéNÚàç„ÄEŽŠœER@îh…Íœ!ºÍkc¢‘[ZA®r[J9uÇ#lK¾ÿß|òú€?~:úAZ€ 0™Ì••7««,6›ÍåràñÖù|@ ‹% (•J7d2¹L¶)—+ +e·=LÄn”¿.`0l6Ö„B‘T*{™ÿ&ãh8¾ƒº›Š-µF,Y,‡ÃÁ ¥R à ua¨ÃÜ3ú…ͳéÜÙÉEÀ´Y``'‰%Ðd²¡h$¹‰/g¿j•ÆÝmÖ{pA:½Þ`4šÍf«Õ +Ûl;ìŠ Nç •Ì…G<_"ôhH×+Íût>—Áó÷D!÷€çŠx¾HJåRmاÆäŒ"§jñÔèµ›½N«ßytÿºät¼ìwÈÅô'MÍ“ñLµÜ¬UZµr³õ£;/O}ñH*KÇ"©\†x]ü±Ã;ž=ïGÏáñç¯x®T¯´Lظœv÷{·Çqyõ= +Þ”ˆªZ©ƒ¶ “¶¢¾ó`¹Xî©ç©ê­vK¥ßÖY zëþž÷›/ô_|¡ðu¼Ó´›ýÑp¢Vé4êmĆ]_þë³ÉXš¦:È„ÚÝ.ìƒ Ý-ÕaÒjŒ»ïöÏOg'þDô®Qkÿ`ý¢Bè + +endstream endobj 1522 0 obj<>stream +H‰b```dbfaecçàäâæáåãa``—dc—â”ææ‘áã—‚ŠËÉ+(*)«¨ªIsóÊð©ËjhjiëÅtõô ¤ŒyML……ÍÌ-,-Aâ VÖb6¶vöŽNÎ.®nîž^^`q=o_?ÿ€À `¯¯Ð°ðˆˆH(ŠŽ‰‹‹OHr“’$ž¨)))`¥©ié™YÉ è ;'7/_¯ÀÊ +Mª°¨¸¨¤´,--)Q71!^^QYQU]S[[§—ɵŒ¡›šššëZê2ZÃÛÚ šêÛÛÛ;:»ººº{zûúË&Lœ8 ¬§~r=tL™R?uÚô32ÚÚÚfêÖ#öY³çÌ›››7o>²x}Ç X¸hÑâ%K Hj + +endstream endobj 1523 0 obj<>stream +H‰ÎËŽ‚0@áÇ–¶Ø0"mÑÄx™3OàDŒFŒº#ÝzG 60n¸Æ9«oyP" Pð?¨Œ,BÐ×,kµ\|iêP& +Ap9_v»ýt:ׇl¤s ZÓ4ï÷¯ëº›mÛÛùlI°B0t]†¡ïû×ëíÓzýcŠ g¦àcÃ2QëºN’äõzÞ <Ïc#”e™çy–eqŽãWÖ· +Ÿ™AÛ¶UUE‘¦iE§ÓÙän˜‚ õ‰Š%ú'ÀrÞ‡° + +endstream endobj 1524 0 obj<>stream +H‰b` )`Ä"ÆgÆ©‰¦ +YÀÊʆUœ»8'7+/Ü"¨ñ|ü‚BBÂpuÌP,"*&.!!)Å+-Í0yY9yE%eU5quuu M-m]^^=˜&0Ð7040Ò161153gçЃ‹[¨[ª[YÛØØØÚÙ;8:9;;ê ï + +endstream endobj 1525 0 obj<>stream +H‰Á‰B`à÷‡Ž­V³¢Õ&BŽ_ˆ‰#¿û>JÏÐ÷Ù¦÷öâ¶ûæ«ÊËpë¢Úéˆáô…+Òf9_ïC•c÷C¶(E°òM+³¶«?ŽåWy74SàFyR÷õ7‹«¦`ú.8éJ 1Ì ÝfÀRðr‹(Ì´»AžËtã0—€º˜­DA1u‡ÀidƒêêSäl"qÆÁ¿3á + +endstream endobj 1526 0 obj<>stream +H‰b`dbÆ +XXÙرJppra—àæáåÃ*Á/€C‡ 0vËE°©eÇ*!Á&)…MBZ†•• ‹8»´¬œ<6{¥•”±I((ª0¨b“PS×ÐÂ&¡¥­£+Å­\zú†Xt0i›˜²Nb +É + +endstream endobj 1527 0 obj<>stream +H‰rÿÿÿÿýýýøøøúúúðððÛÛÛ³³´ÃÃÃŒŠŽÁÁÁ‹‰Â¾¾¾‹Š··¸‰‡ŒÈÈÈ~|üüü°°±{zzx~¢¢¤us{xv}££¥vt{÷÷÷þþþëëëŸvu{xw}ææ榦§ƒ‚†yw~ÚÚÚ sP4 + +endstream endobj 1528 0 obj<>stream +H‰tÊi@ Ñ”5²ËNÈý¯èc~¾o„ ‰,TÈÅìIÊžiöüç/ ²,+â 6 yÛõ¹²ã„>/è«Eßöãtà×ý8þêÁûO€YïÅ + +endstream endobj 1529 0 obj<>stream +H‰ùÿèåìéæíâßæçäëÒÏÖãàçåâéæãê­ª±ÖÓÚÛØßÞÛâèäë‹’Ÿ¤ ž¥°®µÍËÒÓÑØÒÐ×ÞÜã€~…„‚‰„ƒŠš—ž¿½ÄÆÄËÅÃÊÖÔÛxv}wu|š˜Ÿžœ£›¢³±¸ÉÆÍÈÅÌÍÊÑàÝäêçîyw~~|ƒ‡…Œˆ†‰‡Žž¤´±¸²°·»¸¿Ú×Þëè‹“‘˜’—·µ¼ÅÂÉÄÂÉÎÌÓzx{x{y€ŒŠ‘£¡¨ÑÏÖÜÚááÞåyx~ƒˆ‚€‡•“šÃÁÈÂÀÇÃÀÇÐÎÕßÜãßÛâ…ƒŠ¦¤«ÆÅÌzw~‹‰zx~† £¯­ú + +endstream endobj 1530 0 obj<>stream +H‰b` 0â’`bfÆ.ÁÂÊÆŽU‚ƒ“‹›™™™]‚—_@PHXX„%DÅÄ%$¥¤¥e€ºPl“••“WPTPRVVQUSGhÓÐÐÐÔÒÖÖÑÕÓÓ704B‘Е•Õ0611•7“2·°dbccc†H•µ¦6/¯­½—#33HÔ ªÍJÔÙÙÅÕÀÍÝÃÓË[ XÙ€´‰ùˆûúùÁýa Y + +p³4á, mm€$çä + +endstream endobj 1531 0 obj<>stream +èåìáÞåéæíÚ×Þæãê + +endstream endobj 1532 0 obj<>stream +H‰b`€‘ ‡3É,TpÙ À / + +endstream endobj 1533 0 obj<>stream +££¤yw}xv}yw~zw~ŸŸ¡yw†…ˆyx~zx~yx + +endstream endobj 1534 0 obj<>stream +H‰b`dbF,ŠE‚ Áb*à@È 1QÌ`Á&ŽC-ºQœÄê;‹… §; Âh8”´‡ 2.òŒgcC„/@€ëù + +endstream endobj 1535 0 obj<>stream +èåìçäëÞÛâßÝäæâéÄÃÊÉÇÎÝÛ⛢¢ §¼¹ÀÉÆÍÈÅÌÇÄËÑÎÕåâééæ퇅ŒŒŠ‘¦¤«´±¸³°·±®µÁ¾ÅàÝäæãê + +endstream endobj 1536 0 obj<>stream +H‰b`h€‘‡3 v V6vìº88¹¸yxùøP%…„EDÅÄ%$%Qõ4®ƒ + +endstream endobj 1537 0 obj<>stream +H‰‡xÿèåìåâéÙ×ݾ»Â¦¤ªÅÃÉâßææãêçäëãàç×ÕÛ¼ºÀÊÇÎÜÙàÍÊÑ»º¿µ³¹éæíáÝåÏÌÓ¹·½À¾ÄÚ×ÞßÜãÊÈα¯µ¾¼ÂäáèÉÇÍŸž£®¬²ÞÛâÆÄÊœ¡ãßçêçîÓÑ×´²¸»¹¿ÑÏÕÔÑظ¶¼ÕÓÙÌÉÐÝÚá \lF + +endstream endobj 1538 0 obj<>stream +H‰b`@ŒLÌ,¬lìèÂœ\Ü,<ŒÊyùø¸Ñ……„EDÅÑ…Å%$¥¤1”Åedå8±ˆË+(Ê)aŠ+«¨ª©³c×ÐÔšë­ƒM7 M5Õ@€?L9 + +endstream endobj 1539 0 obj<>stream +ÿÿÿÜÜÜŽŒ{y~yw~ââ⛚œ~}xv}ðððÄÄĘ˜›‚…ýýýóóóØØØ¿¿ÀíííÚÚÚúúúöööþþþ + +endstream endobj 1540 0 obj<>stream +H‰ÜÈ7 °²÷ÿV@3ÀCH¥õÛ0ÖyO>Ä”3ùR[ïä1'ýµ7}œÃÿ_W€ŠlK + +endstream endobj 1541 0 obj<>stream +yw~zw~zwyx~ywyx + +endstream endobj 1542 0 obj<>stream +H‰b`F<(É„O˜‘H €Ól†à,„R×™05àa%Âx‚îÅ‚œÌC‰€) 5 + +endstream endobj 1543 0 obj<>stream +H‰Á V‚@ÐûŸ¡Uß3L‘Ä$\pGÙ—ê +ýÏê[K¯´`äX€JqÞT—âTGßØúp@ˆª¼v–¾*ë›`_fM%¶åM53ÜÂsóS—çÙûB‘4—öWUôÇû®$ªw‘0ò’kYZ1z#Iá¹ëÎSOi ÎüÕfзù)-Ýe`ÖÚgÆÂùôc˜Dà kæ+'‚0¦9ÛïÐd<åz<øÊþrB%qÒ熄¼²¿2RÞ¶ûü"ð2Fä_€’­ª[ + +endstream endobj 1544 0 obj<>stream +H‰lÐׂ0…á$bЀŠJPlØ{ïØËû¿‘Þåd/¿ùggg Q‡²„‘äÀ„›©´°07íL6ç`ž/]FU–ž]*ûÈ%÷«5WãLÔèÒ2‚f«-Uït{ýL½0ŽúXL¦3ÎÃh¾XjŽ_­7[¨ ÙíÇÓ=Ž.×›æxÜ1ì‘Ï×ûóý¿þ'À.­  + +endstream endobj 1545 0 obj<>stream +H‰ Î1Oƒ@@áÍ´wÇ’hÚRm\ZŠ¶šº¨uTjjPf AØ°„"ÃÛ¾á±C±s‹‚LyiÄãñk¿ã‰™1 QE–e)³kJ%Œn0WUUEŽã¸®;´Û=‰Â…@%ðù|mÛ¦©›¦9>gÓù\¹¹ºœ ÏAÂÒ÷}š¦¶m»§“išË¥J‰T–¥õcéºnÆjµ©,P™'R]×q{žçûþÇ»®­ïTUÓ´ûÅâvÌñ€A <ÏÃp8ú¢?ÓüžN”$I², ‚ðåùu³Ù>lÿÀ¦9 + +endstream endobj 1546 0 obj<>stream +H‰b`Àl&f8›…•‰‰ !ì@ÌÁÉÅÍÃÃUÉ c0ðòñ °°²±± 3 ™#"*&.!)%-#Í%,+‹°KN^AQII™_EUM]HHH"ªÉ Ú:ºzúüªªŒŒjÁ¡‘±‰‰©™9‹…Š¸¥•µ­½ƒ£“³‹‹*pus÷ðôbeaA7ôööñõó@×’%%%tqj€È, + +endstream endobj 1547 0 obj<>stream +H‰Ái Á`àm—m¯˜ £É7˹ÆZ¤ÅLˆ¢Välîû?x؃ã% +±xD–"r©P5{þ9YÓýƽ_Þ·óëyû"!€¡<JH©r±¦”êjC_9ÛÇõóºÿhŸŸ¼Œ¶µŽ=˜Xýñbãîì®(D 0éÅ(–æ2eÛšŽíÙl²¢IM5t­ÓR®a:Ëõnã" p†gEžÃ!©¢4õV… ØT2Ï*LpŒÀÑ +‘š7}É + +endstream endobj 1548 0 obj<>stream +H‰”ŽÙ‚0EA˜Z7¬"² î +î èÿÿµ1„Fõ¼Í¹77#ˆ•ª$ÉJMQëš®kÆ @ Œq³Õ–;]êÍ…y("!ý5´í‘㺞ƼBhLÂ0Œ&Suæ¦ Â|±\­7N$å`»ÛãÃñdY|‚s—ë-Šè~ÊLZdˆdÙ=wž–ïO‚ôOÏá¯ü²ó`KÜ›¹Ê¨ + +endstream endobj 1549 0 obj<>stream +H‰ŠuÿèåìçäëçåëâßæßÜãåâéäáèÛÙßÒÐÖÒÏÖéæíæãêÎËÒÄÂÈÈÅÌéåíãáçÔÒص³¹®­²Á¾ÅÞÛâÏÍÓª¨®­«±ÌÉÐÞÜâÅÃÉ™—®¬²ãàçÜÙàÂÀÆš˜ž±¯µÖÓÚ¼ºÀ¢¡¦º¸¾ÝÚᨧ¬»¸¿ÕÒÙÖÔÚ ž¤ÉÆÍ Ü"m¥ + +endstream endobj 1550 0 obj<>stream +H‰b`ü€«(3 +µlìœÌ\âÜ,<¼|,âü‚BÂ"¢â\Ìbâ’˜æpIIËÈÊaÏ%¯ ¨ÄÅZeU5V qnu M- ñ³j éèʘ›L÷ + +endstream endobj 1551 0 obj<>stream +H‰Á‡ +‚@ÐÿÿX%iIQ"&¢æÊ#ŨÜ×¹wãz¯«?mõnª¡ÌÚ"k̦¯².z¥¦a±GÞ·(@gI_-HE4ú曧µc?8V ZWASÀ°™·§™+pª¼óžËðkb«)f&àbKŠÀ©ÈGCûsï¡ÀËr—ÀBÔ9† 'Å9ω“æ»ñt<“Eí/À¿†zy + +endstream endobj 1552 0 obj<>stream +H‰b` :`dÂ!ÁÌ‚C+;VN.n¬:xùø1Å…„EDÅ0%ÄÙ%$¥¤1 ”‘•“WPÄb«’²Šª¦ 2êšrZ˜âŠÚ:ºz☉óêh3b±ÃPÄÈÝ©Î6Ž + +endstream endobj 1553 0 obj<>stream +èåìçäëæãêàÝäÕÒÙÛØßäáèÚ×ÞËÈÏÔÑØ + +endstream endobj 1554 0 obj<>stream +H‰b`´ŒXE™˜YX±‰³±sp‚t6/ + +endstream endobj 1555 0 obj<>stream +H‰ Î SÁà_6¯™a£óºcrL+œÊÅåd˜Í†<‹)4ó˜ÇŠ®ŸPß/øŽ»“¶?¯—»íêpP¿Eþåu ©ë£%®“éQ2Ï¥·Ùûd±QöÑòG˜2Û¨ u†çÙ–ò¹].6ò|m-fq" %PNxü¹WÙjsØï6Àz\˜ü¾pœ¤®H*Mæ2yëtÄ~«Ùí¶‡+Yý:üôfÄŠ¹Psàá yŸ+<æKù»âS±2›Ê'í÷¸?:ÈjF½xàÒòÁP Z*VÚBo4`“±¹vw,’¸Iei*C%nË¥ÚâCQdÕ!Ìs£ñ« Û2¬nÌ—ˆÓ©dšNe êá?š&½} + +endstream endobj 1556 0 obj<>stream +H‰b` 02bgbfLqV6&ffvL N.n^>tMü‚BÂ"<¢bâL(â’RÒ2²ròB +ŠJÈâÊ***ªjêšZÚ:ºzúŒ*ƒ€¡‘±±‰©™¹…¥•µ£ #D\YÅVEÕÎÞÁÑÉÙE—ÕèBfe8ps÷p—öôòöñõóUF*@ÉI~ÓÀ àe`ÊÏá áG)£~ F¨á,˜¨ +€8%k + +endstream endobj 1557 0 obj<>stream +H‰‹‰  ÷߬@qX K4•N–üu;G‘z®âv¼á¯ÿIjÑ-õ œá_A(F5<Åk ‚ÝnÅn‰±"‰‚]°Ä¢9«Q<ÛU,CªoÁg±U-/[‚ÕþvÉÜ:©3>yŸl9öõ`ŒÎ + +endstream endobj 1558 0 obj<>stream +H‰4Ž‹rƒ EŠM!6‘Tj"QQꃦIÿÿϺÄéÎ0̜˹l#„_0ÁÉëæ !DC”R´M)ßc„“ÝnCÈ?‡ ΖÑ8Æ0 &(„”î÷YÆ9?<'úHò<ÇP‡ÄÊ+?!©”*²ìøt; p.µ”2‚‡€…E)ü¡?eY–U%Åþ|>Îgizð")u]׫Ç9cF]•ZƒÔ4­ÖEQÎ;kM/„gʶu …¤³ÆØ ‚¡)Û§ôRoú±›&k•škš + pÓ3öVÍó²,N¶mH÷Þøï[¦é +KèzªŸ•{ßuý}-ô]çy–¥üñø5æ~»°C°”s C'p + +endstream endobj 1559 0 obj<>stream +H‰Á‹–@Ðÿÿ ËY‘õˆ­ÞSÑ.Ò”ÑC&M)±öÜ{§Mõ,Iã¹çµ |±3CÛÒ¢mëI«_Ûá¦<¿ø>ì`]>.QªH€ùÙ֞થ¯%ì`r‚aAjxDÒZ[ñbàGó3M1 }[åw—€ºÉ3êì¼n§?Ÿ-} ™±&›é5·ÀÏh8 ω°”zŒ{8É¢>f9£"«¡ƒtÕÂ1Á 1­ÊÆqïç7Š¼hÁ­d¸ôÝà-À1£ž× + +endstream endobj 1560 0 obj<>stream +H‰b`€F&fV6v4ÀÈÉÅÍÃˇ!ÎÇ/ ($̈.,Â.Ê"&Ž¡šABRJZFC˜ON^AŽS¹¢¨’²"¦1²*ªjê˜âŒŠ,šZX¯­£Ë"ŽÅñzú†Xɧgdl‚éHS3se Lõ|–VÖ6¶âLvöLØŒwtÅ13ÙmQÄ ¬‹ \ + +endstream endobj 1561 0 obj<>stream +èåìçäëåãéåâéæãêäáçÑÏÕÅÄÈÆÄÈ¿¾Á¿¾¿ÈÇÇÇÆÇàÝäÛÙÞÝÛàÏÎÒ»º¼¾½¾éæíêçîâßæËÉÍÂÀÄÃÁÅéæî + +endstream endobj 1562 0 obj<>stream +H‰b`@F&fV6vvN.ndÀ¼||ü‚BB è@XXXDTL\C¦»„°¤$v‰  W|Æ + +endstream endobj 1563 0 obj<>stream +H‰ÎËRƒ0@Ñÿÿ" yAЈ3ÆNmK !I¥,²&¼kïú,˲”e™$É8ŽÃ0LÓ"ÄØ°¦µ®ëºªª®ëN‡ôkvçgÊ(¥QqÎ?8ϲ¬mÛyž/ªP"¿È‚¿:Ž ! +_C!„”R)Õ4ÍO~+òß"¿åÚˆ³Nb™ž”GàcÜ÷ýÿˆ¹Våõo·=> (óz|BH¾Iñ}Ü'ñ>ÅÈÛ<¹ÎÆ…c¬í­µw¶ÿ¶“ + +endstream endobj 1564 0 obj<>stream +H‰b`R€—. fV6lÚØ988¹¸yxyùÐ$ø…„EDÅÄ%ø$ø$RÒÒ2²ròòì +ŠJŠÊ* U55u M-m~]=}ECCC#ˆª `ll¢jjf.daiemc+;{{SG'g'W7wwI€ ‚ + +endstream endobj 1565 0 obj<>stream +H‰ ÅÙrCPÐÿÿ¢†»r ×Öi*U;•P‰X^l3hÏËQõêºË²ÇäÜèºnÛ6&©`„0¥¥”†áï½ù¾†2eQ‚dþ£Q%IÇq–e}ßÏó¼®ë¾ïªM£Â1?LÝ6ù;×L0ÆD’ä ÚöÕ4Í0 eñ,‹Gæžëû_Åm±m;–eyž7MÓ8ŽÕíyÿ©Ó¸àš%SŠˆ)Šaœsó<Ï#?ƒLS A!DÀËå³._gE—%•Ég B‚ cì–W¾ŸÞDá$ÖekrçO€Þ’Õ4 + +endstream endobj 1566 0 obj<>stream +H‰œÎWP€aŽÅÉ^Ù³›d“yÈ(õÿÿ +ñàAW¾Û÷æ3™Å0«Ípüát‘”Ûó öúü`(‰Æ@<Î$žL¥3Ù\¾,Ke–å^a!T­Õêf«Õîp]žg ×Ô b4žLgó7è¡”(.–«õz³å`Þ1ÆIq·—ˆÃ‘¤Nð³³LÓ´¤(Êå VUUÖ4mù ÷•Û§`XÏþì*À<3#± + +endstream endobj 1567 0 obj<>stream +H‰D»þèåìèæíèäìéçîæàçÞÉÐÝÀÆãÁÇäÂÈæÂÈæÃÈåÂÈâÀÆâÉÎçàæèçîèâéäÛâæÜâãÍÓÑšŸÐ…‰Û‰ßŠŽâ‹Žã‹ãŠâ‹àŠŽÚ‡‹Ù—œåËÑèÜâèÛâäÛáÙ¾ÃÝ·¼Þ¨®ÌsvÍ\_Ø`cÝadàbdábeàbe×^a×os㧫渽å½ÃèÚáÜÃÈÀuwÈ`c×UVÉ !É + +Ô ØÛÜÝÙÓ ÒßTVácfàsvæÀÆèàçèãêР¥ÄdgÄ34Ð()Ù$$ÔÙÛÜÝØØ Þ$%ß*+Þ45âdgáwzރ乿Ãwzº45ÈÕÜÛ + +ÛÜÝÜ + +ÞÞÞà57Ý=?ÙJLᛡèÙà B¯¿B + +endstream endobj 1568 0 obj<>stream +H‰b`F€0䘘@ªrfV6vNN.Nn^>~˜&A!aQ1q I)iY9yE°8³’²Šªšº†¦–¶¶Ž¦®ž¾¡D“±‰©™¹…¥•µ­½ƒ£“³‹€«ƒ»‡§—·¥¯Ÿ@@€`PpHhXxD¤CTtLl\|Bb$&§¤¦¥gdfe»v + +endstream endobj 1569 0 obj<>stream +H‰Á + Ðÿÿ£¼ «É5¬Ü‚¥¨éá4[ëzï½~[Ù½Mêz¿]æ3J` àŒuJM‰R[wŠÅ>ƒY¼~˜åpB1 ¨µ¦X£ËÑg +åØ v€š7z•àèSê5O] + +endstream endobj 1570 0 obj<>stream +H‰b`@F&ffV6vN.n$a^>~>A!a!Q FFF1q I)i ##à +‡)¶I»ø(€€/³\ + +endstream endobj 1571 0 obj<>stream +H‰b`  € + +endstream endobj 1572 0 obj<>stream +H‰b`±KØ + +endstream endobj 1573 0 obj<>stream +èåìèäëåàçãÚàèåíâÙßÜËÐ + +endstream endobj 1574 0 obj<>stream +H‰b`4Œ8Ä™˜±‹³°²c + +endstream endobj 1575 0 obj<>stream +H‰Ò-ÿèåìêèïдº¸ƒêêñÈ¡¦§X[ëìôÃ’ž/0èèïÉ‹­12èäë×ÎÔ¹or¨'(éçîæáè«…‰†"#’ åàçžquv ˆéèï–dhfuéæíâÛâÊ·¼‡JM\fÚÐÖ³•{79Z`ëéñϽÌSVgXYëêñʶ»|:<_ WìëóÄ«±hTììôĬ²g¾¢§çäëÉ´¹˜fjaUçâ麛 …GJ] + +V öÇn + +endstream endobj 1576 0 obj<>stream +H‰b`À™˜± 3°°²agçàÄ*ÎÅ̓M˜—_‹° °ˆ(6q1q I,âRBÒ2²˜Ârò +ŠJʘâŒ*ªjê˜âšZÚ:ºz˜âú†FÆXÄMLÍÌ1Å-,­°ŠóZ[™ëbˆËÙØÚÙ;`ª—strvq560gà « + +endstream endobj 1577 0 obj<>stream +H‰ ÍkQÅï|¿÷fÞ¼—Çdf2iB¦©èZ·âJ܉ˆ Š¨¸QÁ…Å ‚JÝHQpaQëÆÒb[)-bD 6Rˆ¤MÌd>bþÎêüι—³vôØw› cdI)„Ɔ1æ<©º‘_KNž*žÎÿûôy%v0>(Q°¬Äf#Îãö\zãfþòÕÀ¾ª&ºKR|øÈø칎ªÆ„ˆÊ›š¶­(û%E(ÁxCQþèú;YîjZ„м$­«j¡ã¡Ge¡Ø4sB2„n<“åU]ÿMHlY™e¥„Œ1Î0Î1¾ð@’Þ¨ê6B{”N…˜PšRràÀ}Iz­i[¦yà8Q‰8’šffš¥9¥CÓ¼pà±,/ÆWÛî1¶'Dîû¹©mgŒåœ•JQšŒMKëcÜEø<À=€ç²¼dYφa‘ã$®›ÁdffÚlNi½^x^æ8Yµš×jƒJe“e!.\¸%IUõÆo)]fl“óŸ®»Ûh ÂpØnÿ¶Z}ßÿ%DǶ7ùP¾›;´zúÌ•²[T”'š¶€ñ¥ëÕê·z½ÛjõÂp7 {ÍæÏÛâ|…E]_0Œ÷ÇO|¹s÷¿6î– + +endstream endobj 1578 0 obj<>stream +H‰b`dbfa…ÍÂÆÎÁÉÅÍÃËÇÏË  + ‹ˆŠ‰K°@U"¤$¥¤ed™YÑ ‹œ¼¬†8(Ê))« ©„3TÕÔU°i`ÕÐÔ@·´utåôÐ-fÐ70426@W­ojfnaiem#`+5Nôììœ]\Ýlmôâzî&ž^Þ>¾~ÂþÖA `cjaŸ˜”œ’’œšæšž‘™•åé•“›—_PXT\RZV^QYU]S[—•^ßÐØÔÜÒÚÖÞÑÙÕÝÓÛ×?aâ¤É@qÏú)S§MŸ1sÖì9sçÍ_°pÑâ%K—óDÑ + +endstream endobj 1579 0 obj<>stream +H‰Ã<ÿèåìëéðÛÑ×X[dXWëêñÚÏÕ‡JM[ëëòÚÎÕ€@BTVXÒÂÈ}<=UèæíÞÔÛ¿£¨w23éæíèäëÆ®´’\_géç—q''\çãꬅ‰bWæâèÖÉÏ›loZãÞäʶ¼“^aZêèï¥z~YìëòÒÁÇy56WÑ¿Åt,.Sìëñv/1èäìàÙßq()Yçäë×Êа‘n"# yfÈ + +endstream endobj 1580 0 obj<>stream +H‰lÎׂ0Ðuí ÄÞ»€ Ëÿ•aôÁÙlï™{7äeŒl.ˆ4‡B±TF*Õš)-  ÞBoØÐt\ÁLy­v§Ë½ ¯b‹ÞðÃÑ8-ð&ÓÙ\ªXƒÅrµNNmŒ­»ÌÔþp>stream +H‰ ŽÛO’q†~À§r¸€ G7^Ôd]u¸mݸjÝ·µfË"%-‹Â¨˜A¤Ãj4OÑf5g«X”Τ5-geft¤¦ø)ß'ÿ„¾›çæ}ö¾ïØ »`7ìZpA£N×"DÀ`•”DM¦˜ÅÒcµöY­qfswiiÌ`ˆêõWmöĶíSñÛGžï‡:8ÍBœÑëÏ mFcX’®HR‡$µ½>,DH2vVT¤=Þ/cãs3Ÿ«¡Áa¨‡ãpZà4ø4 +qɱ¢·jsúlàÓg…¹‚ºøs/„#pÜÚUð€W¿Éì3[üåå][¶f¢×åÜ‚Zø­.ý:MpB+,+‹8WGÖ¬kß°q¨É3L—ÿíƒ: —;u1”ê¸öéå[E. gåªW7z³™‰ìøkù»Ü mp§Ò9Û?Ï~[ÈåOA îÙíÕ5¹Á¤*UåO+D! I®t¾†”¼º¬þ½ }0÷á¼q¹•y%ýš á©ïêÃЭ­@ +†…˜q5(?ÏA'Ü‚AxiÕ¢Úº¥Ù¯~è‚»0¤ù0¦ÓM®]ŸõµÊ“Ó^¸7! a2ðÂf›ªÚ4Ÿý/ÀiL®˜ + +endstream endobj 1582 0 obj<>stream +H‰b`ddbfaecçàäâæáåãgKHH²IIËÈÊÉ+(*)«¨ª©kh2021iiK°êèêI陘š™[XZ%¬%l$míìôœ]\ÝÜ=<½¼@&Y{%ôì}|ýüƒ‚½B ¡@ ¶0)}GƒðˆÈ¨è/ Ú ²jRl\|Bb’'Xb….X"9%5-=¬Á b…Ž.ÐQ™)YÙ9¹yùp‰PD˜”oAaQqIiY9LB;dE˜ƒqEeUuMmT‚h7ÈaúõY MÍ-P ˆ/€&9$·¶µWwtvuC%@tlõ’{zûú'Lœ4yŠXê;{Ç©Ó¦Ïè˜9köœ¹ù °cíçÍ/\PµpÑâ%K—-/ù À$)bü + +endstream endobj 1583 0 obj<>stream +H‰½BÿèåìêéðÓÄÊ™hjm"#WXëêñ̹ÀƒEFbYê¯fSçãê¾¢§gTZØËѪ„‡déçîæâé¦|€l ZVæâè—egY[–ceäßæ•ad]äßå\áÚàɲ¸‡IL_êèï×ÉϤy}t+,^ìëòƯ´kU`Å­²gRÆ®³iaÄ«±hb½ ¥ §UA + +endstream endobj 1584 0 obj<>stream +H‰lÐׂ0ÐXK¬DÅQ±Ä‚ Åÿÿ,EÒprÏîìì\TrùB&Ai JåJ¦œñZ½Á×3Þlµ­Ô1ѽÓíÜî†#ÇàîxâðwÕ} +Gÿ>›Cq†zš/”ûÊíåjÍ/¾Ùîö_G?gÊÇÓY”@Y¨ür½ýþå?ˆTiÏ—…Ä—a,Ý}ËÒ(‹âl¢J + +endstream endobj 1585 0 obj<>stream +‚€‡‡…Œ~|ƒyw~zw~yx~}{‚}„{y€ywzwxv}zxyxzx~ + +endstream endobj 1586 0 obj<>stream +H‰¤€ D+ º’þÿscZ«f[Ï©ì£Tú àÍQµH~¿ôªø Ôì Bgz + +endstream endobj 1587 0 obj<>stream +yw~ywzw~zx…ƒŠ‹‰‰‡Ž™—ž§¥¬yx~~|ƒ†€~…•“šxv}|zyx + +endstream endobj 1588 0 obj<>stream +H‰ŒN[€0+CTÜDïZ³8 ÉÚ-i xPx™—õ¾- +·“ìîûÝÌìHÎ'  mÓ¨¡>stream +H‰ Â;‚0Ðc—–Ò#*A$G¾â€(‘‘„Ä° Ž€0Ø‚¼< +Š!X!EkµÁL ‹,Ë2Ã8뺡ªhUUQôûçz;E•Ùv#+’Ȧiâü·âœ÷}Ÿ¼ß¿Áí°× –eé¾]]×MÓ¤ijÛŽe9Žãšæ#:Ïó8ŽÃ0´m[ï>stream +H‰b`À˜ù̸UbpQ™p¨$ °§ŸÝ1PÀÆÎÎÎÁ óÂ7\Ü<<¼œh†¥ùøøy988„à!2MXDTDL\BRR +Y5ƒ´Œ¬œ¼‚¢’’² +§€%U@VM]CCSK[GW™!®o`hhhdlbj&anaa0÷A « + +endstream endobj 1591 0 obj<>stream +H‰ÁC0Ðÿÿ% R‘l&­.Ù8íõî”ò{/òµSò×EŒñUm 5—j–“ƒÝZÔÆGº8$¡“ñp@¸É!·ÖÓÇê/üPÂéVŸºVm×¼¶% ý;ÏTU>þ ´Ti + +endstream endobj 1592 0 obj<>stream +H‰b`@ŒLÌÌÌ,¬lìì h€ƒ“““‹›‡…]xù€›ÄüŒØÅ…°I ‹ˆŠ‰K`“”’–Æ"!+'¯ ‰*`ië + +endstream endobj 1593 0 obj<>stream +yw~yx~ywzxzw~zwzx~ + +endstream endobj 1594 0 obj<>stream +H‰tO[À0R´»ÿ‘›nÓø*Æ"R$r°—ŒBÁ¸½¾ïH]°‚WK‚ªn„¡å—IõI“–‡}¤ñsñ)Ç”mEðvyúj•°[€D‚^ + +endstream endobj 1595 0 obj<>stream +H‰cœÿèåìæâêÙ×ݾ½Â­«±³±·ÜÙàéæíãàçÔÒض³º¯­³¿¼ÃßÜãàÞäÌÊШ¥¬µ²¹ÖÓÚåâéÞÝâÈÆÌ¥¢©¼¹ÀáÞåÏÍÓ´²¸ÇÄËçäëäáèâßæÜÚà×ÕÛ ‘ªOÿ + +endstream endobj 1596 0 obj<>stream +H‰b```dbfaecg@œ\Ü<¼˜â|ü‚B "¢bâ˜Ê$$¥¤e°ˆËÈòÊaŠO ƒ]XV«0»œ@€Í]À + +endstream endobj 1597 0 obj<>stream +H‰~ÿèåìçäëæãêæäëéæíÖÓÚÞÛâÝÚáâßæÃÀÇÑÎÕÐÍÔÏÌÓÚ×Þ¢Ÿ¦²¯¶±®µ°­´Á¾ÅÜÚáåâé„‚‰‰†ˆ…Œ—•œ®¬³²°·¯­´¶´»ÙÖÝëèïzx{x{y€‡…Œ›™ žœ£œš¡¤¡¨ËÈÏàÝäÝÛâáÞå }_¥ + +endstream endobj 1598 0 obj<>stream +H‰b`€F&F†‘pù’™°ˆ³²±±s`çäâæáeÀÔÃÇ/ (ÄË&Ì&‚*.*&&.!)%-#+‡¢I^AQ^IYEUM]ƒMS‹‰‘ê@€°_ + +endstream endobj 1599 0 obj<>stream +H‰Ï0ÿ»»ÂººÁÄÃÊÔÓÚâàçéæíèåìÁÁÈÎÍÔàÞå½¼ÃÆÅÌÞÜã¹¹À¿¿ÆÜÚáêç¾¼¼ÂÛÙ༼ô´ºÙÖݽ½ÄÀÀÇ©©¯¡ÐÎÔëè簾«——›ÏÌÓìéð­­³¥¥«©©®º¸¾ÛØÞºº¿¥¥ª–—›«ª°ÎËÒâßæ››ž‘‚‚…ª©®ßÜãxxzyy{zz|«©®äáèttvz{|‡‡Š¶´¹åâéwxy‡†‰­«¯ÏÍÓæäê””—ßÝ㤣§ÎÌÒæãê ß!—V + +endstream endobj 1600 0 obj<>stream +H‰b``dbfaeà ìœØ%¸¸y°Kðòñ `•Æ&!""**†MB\BRJZ›Q|²rò +˜BŠJÊ*ªXŒRS×ÐÔÒÆâ\]=}La66C#cS,ØÌÌ-,­°IXÛØÚÙc“p°´sÄf›“³ V €0Ee + +endstream endobj 1601 0 obj<>stream +H‰ÁÛB‚0Ðÿÿ†òÁ‡Ì412ÂA.C„9pã²qSë:§c·–^KÂöÀWä 0½ ’†8«ô­%-åÐO*ÒôÍ–]B~ú–ɵû#yíØáuñ2PŠ‡ö—U=<åßÊvƉ«wå’•†FãŽÞÄšjŽÇkYmê!>BÛt}/êÙ=‰ÑN³×_êÁ W’2ãÅ(H‹ ¸Åó—£ÂÐA]´Ñ1•Dy.,ÕŸ]©ëÓ /Î?”ÏMàÅ–±O",ÏÔSx.1û`»¬c + +endstream endobj 1602 0 obj<>stream +H‰lÎW‚@EÑAG3ŽtLˆˆsûß‘ xöç©®z—ˆˆe4=k\ŽçE¡l–,Q®TÁY­ÞhÚ-pÙît{Üw\Oð>®úÁ`Èà]9£±>ŸÊY88FJk/%8%Újmc¼ÚlãØÀøt·?Œ?/×(E÷ï¦éþŸ ™^ïÏ÷ÓO€–» ) + +endstream endobj 1603 0 obj<>stream +H‰ÁY +‚@Ðû$ú(Š¢„3EI3ÉÄÌqœ}U[ÎÐ{£ùX5EaºYïwÛcÿ"³ûi1¶Mïüs$&ûåT—Åc¹XuÏb™_JàPG“8KãÜé·dÖa]µ‚™Ûµ +@„ mê®Èî +#'ØbÅä–ý`0ÜbÓ + +endstream endobj 1604 0 obj<>stream +H‰b`@ŒLÌ,¬lì 考“‹…›Cœ—_€UƒC\HX@S˜ATŒUœC\B’_JZSµ„Œ¬œ<¦c€ê•°˜.¡Ì£¢ŠEœABM]‹ð ˆÅh + +endstream endobj 1605 0 obj<>stream +H‰°Oþž¤À€Š¯}‡®~ˆ®}‡­v©r}¦r~¦s~§hsIT}Hq>stream +H‰b`dbfaecccç6N.n^ æㄨ˜8P$Ã#!)%)-#+'¯ ¨ÄƦ¬¢ªÆ£çÑÐÐÐÔ’ÔÖÑÕSÐ7Ð742›&šš¦fæ–VÖ6¶vö<Ž¾~~Fê  þnAÁ!¡aá~~~‘ ³¨è˜Ø¸ø„Ĥäää”T„„FZzFfVvvNn^^^~ŠDaQqqqIiYYyE%’DUuMm1ÔÕ×—å"I¸44B$š@úâ®Í-­mÅ0P×lïèì*îî)®ƒÈ Iôvõ#’DÛ2,`@a| + +endstream endobj 1607 0 obj<>stream +H‰Á‰R‚@Ðÿÿ†Æ™´h°A%”û21–Öå>ÕÔoð½s»ÿ¦ª²i¨N¤C{-²Æ±\võ£ÉVá®>' ¼4ÿtL·ÌÚªèÂc̱"E. @×ñÑ7ŒJ÷×Çiyîn`hN†ª×;ûÀ|±¶¹Ÿ¼LÛjô=ÀoÈ9ŸjŠXl†¨)‡(L×Kþí•ý“hŠ‰Á)…Ù~ç)’¡+v]ôòV÷€$è­¨¢$yùcFI¢ö`Ý)¡{ + +endstream endobj 1608 0 obj<>stream +H‰lÎÛÁ0…á„ThBi”*êP­³–:¼ÿ;¹d,ûò›5³B¾æòÌ(Pò{¼X2­2z¥*ju NM»Õv`®:]a÷Àµì‹ÁÃ\»£±Á5¸#½‰©À©?Í-Üó€-–+Œ_‡›(ÆH.·»ýÝ?žÎ—ã“8M¯ |Õ®wË0’Ð;‹\…Ùóõñ·Þ + +endstream endobj 1609 0 obj<>stream +±¯´âßåèåì³±¶åâèéæíÁ¿ÄçäëÓÑ×èäìÚ×Þæãêêçîãàçåâé + +endstream endobj 1610 0 obj<>stream +H‰b`d +˜YX±Š³±cçàÄnva&nâÃððð` 8^^^>lâÑy¬ + +endstream endobj 1611 0 obj<>stream +H‰‹ao‚0EÿÅ™ ´]Ë¢À‡™eCÑEYÛ××Bþöarssrs.¡’¤’PER½@ +„A´îÌÄTòÒl¸Šö2Z5jòÆìh¶ïª»ùïËøÑØìˆù ‹R£ hÂýwj;¹ùëÝ×g—dú+¬Â`C/çG?=žÓ³ŸDû6Ln‡×w‘rîÿæêÓ&9ì +HrM˜ŠÙÚÎÙ`1ü\ÇvM7~µCQ!ÀKÃJÜf¨õ°4g'*,µÛlÌÝ¿]ÅXQ + +endstream endobj 1612 0 obj<>stream +H‰TP‰VÂ0Ül-5BkEŠQñ(U,åð¨Š'Àÿÿä(ç%»owf7ó„!:€ ®@´¹<†Ñ"%Œ¹¾Ó /%n²°în¸ø¯t‹)å[Ì1Û–µ‹…ó6AØ¥TxþŽÜeŒ8+sU^ ½È¬_sqEì×qó˜ms¹¨£ã“ÖéÙùEIÔ z@I;½¼ê\×oâfÜíI)õiâV©,MÓ~žçƒá¨;¾ëAîjÉ£2ÈŠ¢xê<¿L^ßÞ}ÿãó+IÔY:ýüü†áßl¾X,ªF + +endstream endobj 1613 0 obj<>stream +ÿÿÿþþþôôôèèèççèèèéáááÂÂó³´µµµüüü÷÷÷÷÷øóóóàààÖÖÖ×××ùùùööö + +endstream endobj 1614 0 obj<>stream +H‰b`@ŒLÌ,¬lìœ 耋››‡—_C …„°KŒ’@€üÉ + +endstream endobj 1615 0 obj<>stream +H‰Ï0ÿèåìæãêçäëéæíàÝäâßæ­«²·µ¼ÑÎÕÙÖÝØÕÜßÜ㌊‘›™ Â¿ÆÎÌÓÍÊÑÌÊÑvt{†Ÿ¤©§®¨¦­§¥¬¸µ¼ÏËÒÒÏÖyw~zx|z}{‚{y€ŽŒ“¨¥¬¬©°ª¦­®«²åâéxv}wu|…ƒŠ™–œ™ š—žžœ£»¹ÀÐÍÔÏÌÓÔÑØ}„Œ“—”›¤¢©ãàçyx~ƒˆ‚€‡‰‡Ž¦£ª®¬³³±¸~|ƒ€~…‹ˆ‹’•“šº¸¿ÌÉÐËÈÏ Dy‹ + +endstream endobj 1616 0 obj<>stream +H‰b`Œ€‘‰°H°°2a×ÁÆÎÁÉÅÅÍ€¡‡—_@‹ CBHXDTL\BRŠCŠEJZFVNN^AQIY…ŸQU•n£´´šºº†¦–¶Ž.‡ž¾+Ld …´5M¤LYaf@¬¦¦&mhnnaiem#t& £4ÈÚÚÊÙÙ;8:9»¸p™5ó + +endstream endobj 1617 0 obj<>stream +H‰Á WÁà?]n#Q¡W¼òòŠ>stream +H‰b`€F&fV0`!˜0;\ Àb@ÌÉÅ̓.ÎËËÀÀÇ/ (ˆ*Î!$,Â!Ê,&&.“„ˆKIËÈÊIË+(*)«°Âô€”«ª©khjiëèêésÂÄEŒŒM4LEÌÌ-,­¬mllYyìì@âöŽNÎ.RRR®nLîjjVž^@qo_!°»„ýüüüƒ‚CBÃÂ#"¤Àj’rSU5Šf`Ž‰‹ç‚{œWZÚ-!‘×Û))YŽ¸q¦¤¦¥£‹J1ddfeç¸b(ÊÍË—5•Â/0v*ôãÅ4 À§o#% + +endstream endobj 1619 0 obj<>stream +H‰Á‡`à÷â„ŽÊŽ”¦ Ѽ¿½3Î+ø¾®þ4å „)C È e(!p£¶z÷Í·.zßû-9†¦šli“€Â¶ÜÓ‘Yã»Ð‹ßÝ/Ojç\US•uSdqeÏ3Å‹œâ; Ê;IÔFCxOeÖ†~Ì1—ÃŽ2n6Žn$A›O—,-Öex4˜€ åYÉ6ÝF¬PB‘nð)’[¬i’Óµ{™·u[‹à + +endstream endobj 1620 0 obj<>stream +H‰lÏׂ0…á 4’($*Š½×÷0ãxÇÉ^þÙÙùBôV«7š-*ã·;¼ˆj&&{ýp0„õÑ8šÄ‡®¦³y¢±ËEšÈäåEºdÐ=±âå»Åo¶ünÏAüñT†9âÍùr½)>ºg¸b??_;é¤x#Òò?R9Ö/`ÿ +0‚î Ç + +endstream endobj 1621 0 obj<>stream +H‰Þ!ÿ®¬³­ª±ÅÂÉâßææãêåâéäáèçäëéæíèå욘Ÿ˜–·µ¼ÝÚááÞåàÝä‚€‡†”’™¯­´®«²²¯¶ÊÇÎÙÖÝØÕÜ×ÔÛÛØßyx~yw~zx{y€¦¤«¿¼Ã½ºÁ¼¹ÀÄÂÉÛÙàãàçxv}wu|vu{—•œ©§®§¥¬°®µÒÏÖÑÎÕ|z}{‚†„‹£ §«¨¯ª§®ÃÀLj†Œ‹’ŒŠ‘œš¡±®µ³°·±¯¶´²¹ÒÐ×zw~~|ƒ~…}„Šˆœ™ ÅÃÊ„‚‰ž›¢ŽŒ“yw T•î + +endstream endobj 1622 0 obj<>stream +H‰ŒÎg‚0`%âBκ«8«â(.üÿȆh5Æçú¡í›ËðŽD)Jɱ˜O$S)A~“N«VËæô|¡hð. „PI-Wªµz£Éqâ#Üjµ‘Õé–{z00äè3ð ŽÆÛž6yA^`Àd6_,–«õf»“øç¿C í®{´ëtæ’&`ŠÐ=/W¡ÏPˆ²ŸyÜঋú—oáÌ÷iaŽ~¹ 0”Þ*“ + +endstream endobj 1623 0 obj<>stream +H‰ÿÿyw~yx~op|gizgi{[`zQXytt~pp|pp}dg|Z_zZ_{zx~sr~lm}xv~xw~zw~ywzx~|ƒ|z€~…†xv}}„…ƒŠ¡Ÿ¦’—„‚‰‚€‡…ƒ‰“‘—Á¾Å¨¥¬‘–‘Ž•’–Œ‰{y€wu|ˆ†›™ŸèåìÊÇΰ­´¯¬³±®µ§¤«†„‹®¬²éæíÖÓÚÅÂÉÆÃʼ¹À ž¥™—žš˜Ÿ»¸¿çäëßÜãÆÄËÀ¾ÅÁ¿Æƒˆ°®µÓÐ×æãêÞÛâÜÙàÌÉо»ÂÀ¼Ã¥¢©ÒÏÖáÞå×ÔÛÙÕÜ•“š‰ˆ‹‰¶³ºàÝä ¬ + +endstream endobj 1624 0 obj<>stream +H‰b`d@LÌÌÌ,,¬ll ¨€ƒƒƒ““‹›MœøøùÑÅ!@@»¸/„Bˆc*bÄË…QQQ1dƒ!FŠ‰KHHˆIJ +H¢*—’–‘‘‘•“““A–TPTRRRVQUS×Д””„¹LRK[GGGWOßÀP]ÚÈH +f©ˆ¢±‰‰‰©™™¹…¥•µµ–$Xƒˆ†¶ P½Ž­­Ž½ƒ££Œ“¼“„˜†††´³‹¸º¹»»{xzz9kI‰‹{ûøBÅul]]]Ýüüü̓‚‚C\ ƒhê + +endstream endobj 1625 0 obj<>stream +H‰ÈËKƒpàÿ:õ§þÔ¹éªÓèµÅ°Yç†o›‹†×½áDÁù^DPñUÁwúLóãEØ@‚Á0 +Cáù|6 “p8 H‚Áÿ¡ëº/¡eY²¤¨ª&ËÊòi5¡§Ì¿M±8Jã8 Cß÷]×ß‚°Y¯…Õò™4h€R¾ïï÷Ÿ»¥©ÚÃý#Ï]Ïù–áÐ+ضmY–º®‹â†…yžGQÇq’$?§“i˜’$‰â+ ٮ뚦)Š"ÏóÇ™ó·$Î8]UU–e‡¯ƒ¢¨š¦Ï¦|š¦a^¢hû¾],î\×µmûW€-I¬ + +endstream endobj 1626 0 obj<>stream +H‰b`dbfaaF¬ ‚ƒ“‹‹›™‡—™IŽ‡™_@PHXDTL\BE‡”´ŒŒŒ¬œ¼£"·’’² +LV + TÕÔÕ44µ´µuTtQ$dôôdõå Ø ™ŒXTŒA@ +dLLÍÌ-,­¬mŒ€âÌ )[>;;{G'gnWnd vss÷ðòÒôF“²Õsóñõõó@—€‚À 2¶8$¨ + %M)# + +endstream endobj 1627 0 obj<>stream +yw~yx~ywzw~zx~ + +endstream endobj 1628 0 obj<>stream +H‰b`€F $0!4‘˜± â2‚4£IW©ƒ Ý$(«³qÌ ÕLjd I®€k + +endstream endobj 1629 0 obj<>stream +H‰Ám‚ Ðû_£õõŠ5ç²MMÍ–-1T†¢‚ °ô½§ä¬Ç¥k”ã¶á߬’\çYxqOE$`gNâGkæ¹áÆØã²9õÊL%qêߢ(xj¹ðn„Ÿâî?ÄjœY'ß/x–m]+Tƒ­Y"r¶]çâWEM k úé/ÀŸ%fq + +endstream endobj 1630 0 obj<>stream +H‰|W€ ö‚€bÁÞ ÷¿ ˆæsw&“¡0Ç…œ˜çc„q’BÎ3!q“Ê Äµ*«ðÆ´¢ëÆi^V$Ì$7øCïÇyÁµú~4œË­åPÿà¿y C‡ + +endstream endobj 1631 0 obj<>stream +H‰ÁÛjƒ0п^LB.j­5»@weïe/ºZ· +ëˆÐ=YYÅËHuQwC€Bê|¦³†|)\)\áHJ íŠì¿öQ…»ðåùÕž‹…-,ÓÆõ}¯þÔñø³ÝA° ÃÏõúÍÐ-‚itš¦ªªâ8N’äð}x¸rì¥ȋƆahÛ¶(Š4M³,;e'Ïó851bóq•R—öÒuÝùüëûžç¯V·tn1f6MS×uY–yžo6﮼•òæÚ½s’`ý_€ì› + +endstream endobj 1632 0 obj<>stream +H‰b` !VIf¬¢¸õDXOH3++;V5œ\Ü<<< ,L ,(2¼|ü‚BBÂ">stream +µ³¹ª¨®ÒÐÖèå쯭³¢ ¦ÒÏÖéæí­«±°®´ØÕÜêçî³±·ËÈÏáÞå·´»ÔÑØäá迼Ã×ÔÛçäëãàç + +endstream endobj 1634 0 obj<>stream +H‰b`dbÆ +XXÙرJpprqc•àáåîƒ_@»B‚Ø50 ‹`gÅ!Όà! …;p + +endstream endobj 1635 0 obj<>stream +ÿÿÿçççÂÁ½¼½¿¾¿¸¸¹——™‰‡‹ŠˆŒóóóßßßÜÜÜÝÝÝÖÖÖ­¬®š™››šýýýÛÛÛÊÊÊËËÌüüüûûû + +endstream endobj 1636 0 obj<>stream +H‰b`@ŒLÌ,¬lìh œ\Ü<¼|üèâ ($,‚MœATL «ø(  wä + +endstream endobj 1637 0 obj<>stream +H‰çÿèåìâßæÍÊÑçäëéæí¿¼ÃÜÚáßÜãÝÛâåâé•“š¥£ª¥¤«¤¢©¯­´ØÕÜæãêäáè~|ƒ„‚‰ƒˆŽŒ“µ³ºÄÁÈÃÀÇÏÌÓÞÛâàÝä}{‚€~…}„…ƒŠš˜Ÿ¡Ÿ¦¡ž¥¸µ¼ÒÏÖÔÑØ×ÔÛêçîyx~yw~xv}•’™³±¸»¸¿ÜÙàÛØ߉‡Ž›¢žœ£¥¢©À½ÄÉÇÎÈÆÍzx†›™ £¡¨ÝÚáåâèwu|””’™«©°ÅÂÉÎËÒ{y€Œ“Š‘¤¡©ÄÁÉzw~€}„‰†Ž–“›‚‡ i2žk + +endstream endobj 1638 0 obj<>stream +H‰b` -`Ä!ÎÄÌ‚Mœ•ƒSœ‹›‡—_@P¨Y§°°ˆ¨˜¸„„¤”””´\\FVVN^AQIIYEUES¦IC´´´´uÄttUõôõ!.„ k›˜š™ñ3€ôhB€†¦¹¹¹¦…%¯•«»µ´¦T¨ÅVÆŽËÞÞAÜQÜIO˜;;; »¸¸º¹ƒ¹Èr@zzykbH€ôùÎ;Ñ + +endstream endobj 1639 0 obj<>stream +yw~zw~yx~ywzxzx~ + +endstream endobj 1640 0 obj<>stream +H‰„ŽÁ +0BÕ¶ÿÿå]Fƒpõ.V +â"4Dg:8¯¸T¦öƒÜÑüÊ/HÞi:Í0'«ôa—Yä0$H5 + +endstream endobj 1641 0 obj<>stream +H‰ÁÑv‚ Ðÿÿ ) +ˆ©±ÕÒÕj6Q”²ÜꥬN­ÝÛîÚ§®ë®×ëãñPÿ +­õù|Fcì}ÎçRÊÓéÄW…ÈÕìcá:BD¹\.}ßßn·mÝí̯` +#߶N'œsÆXžçƘûýnô®.·,“YÊôl˶c½ØQ4<MÕJ^Ñè Câa#‚!NÓTHy8x^dK¾ú^癈'SŸ„¾ø$HâDëJjSïër#x¹f¥\ëùl‰\ ]D)BÔe[MY4•2£×I8F J‡tü4'Ibô~£÷Û¦kÍOü>pl×îòk 耄JÔ Û‡û1 + +endstream endobj 1642 0 obj<>stream +H‰b`dbfÁXÙØ9°‰srrqó°òbˆóñ  + ³‹ˆŠŠ¡ˆ‹KHJIËÈÊÉ+(*!‹++«¨ª ¨khjië0‹0+!Ä•u•õô ŒMääMÍD98˜¡â@-æ–VÖ6¶v‚ö¬ŽLJ0qe'''qgW7wNNO/yoVe8ðñõó7 +æ Gˆ+GD*;EEEEÇÄÆÅÇ+£ Q A‰AIÊX€®®n2a 'lêÉñ#2e + +endstream endobj 1643 0 obj<>stream +H‰±Nÿyw~|z‚€‡„‚‰‘–Ÿ¤ ž¥¥¢©ÉÆÍßÜãÞÛâáÞåèåìéæízx}{‚ŒŠ‘‹’‹‰’—½ºÁ×ÔÛÖÓÚÛ×Þåâéçäë{y€}„•“š¢Ÿ¦¡ž¥¬ª±ÊÇÎÒÏÖÑÎÕÐÍÔxv}†„‹ª§®³°·²¯¶±®µËÈÏÙÖÝyx~wu|€~…˜–ž›¢š¡¶´»ÄÂÉzw~zy€Ž•œš¡…ƒŠywzw åsƒ + +endstream endobj 1644 0 obj<>stream +H‰tŽ‰‚ †—ÈJ贴̺ÉìŽÞÿÁb°iDÆo†åÿ÷@5ŒqÝi8Í–ëymŸâûJŠc¸Óíõà C…64ch2ãY2_,Wneøz³ÝíG•Š‚Êù)=gÙåz³:àNéž/ÛФïbi!PEGy|…0Ôü'+?¦ùGVο'Ee‰4v)¾ ™Ÿ Æ + +endstream endobj 1645 0 obj<>stream +H‰ ËmoD0àÿÿ—¦-m·z»SFN¢JçÜ–"ˆ·íùþ\×µ,Ë8ŽžÇe*S‘ŠD´m{ž'Ð 6°¡êQÇÁ¨ Ž Åq,„PJõ}¿®ë¾ï:æ͵îŽuêèÿ"ŒIžç]×ÍóÜT?Mù~–ïLaðýGô3jaƒAÀ¹Ï9ϲlš¦Wý[ª¦*^2Q¶éRüõIÐÉ,×½Ù¶ãûþ0 JV2)¤((fÚ@H‡BëºÞ¶íO€„€¹¬ + +endstream endobj 1646 0 obj<>stream +H‰b`Ú€‡8qfV6vLqN.n^>Lq~A!aQ1q FFI„¸”´Œ¬ œ¼‚¢’¸²² +B\UM]UCSK[GWOßÀÉÈHb™1¨›˜˜š™[XZXYÛØÚÙÃÅUUUÕ]\ÝÜ=TTT<9 Æ×Ú + +endstream endobj 1647 0 obj<>stream +H‰ Á‰n‚0Ðÿÿ¡!”–CÃ0ŽÃ‚Z1®h"FÙÞ[×uY–çóùz½Þï·„d‘,))Æã8ö}?Ïsà†_~lè6B²ïûžç¹®—$Éý~¿Ýn$-NYõíÇ  (BDEQ‹¢Èó¼ë:Vµ¡$-OÙyo9üF€Aƒ x<5ýi.Ý•ýn]Sw@€²¬¨ê–þ+ëô@Q†ãc¦Žç>xŽãã(¶ Ç2ÛttÍ‚ˆ’¤izUUÓ4 ÃPŠ…x§™R„aÈ«/]†ócZî­O(BFÛ˹ÉIuÊʆv-믬ÿ`ëxç: + +endstream endobj 1648 0 obj<>stream +H‰b`À™˜XX0%XÙØ98¹¸¸1$xxùø…„¹DDE‘%ÄÄ%$¥¤ed…åäå%•Ä”UTÕÔÕ54E´´™¡ÚÚÚ:::ºb|zúz¬†¬FÆ 0153·Ð·´´²¶a:”…*Ô¦«cnkgïàèäÌæâêæ®<ÌÍ=½¼ù}||ýü‘%€–é(û†HkcEÅаðˆL H¨èhL ²@€l¶1 + +endstream endobj 1649 0 obj<>stream +H‰ ÊÝ + à÷£ÔsFÐß–NæÚ8š.û¯½ið]~Ëóó +_OK'o‡]}ܯ„-¨°B@"*¥Ÿ¬™{5ôÊmeÓ œ Á€m¸Ö:çœâo]+/Í©=×k@] +.5Þ¯Š¦ð(ú÷_€(`™ + +endstream endobj 1650 0 obj<>stream +H‰b`@F&fV6vNN.ndÀËÇ/ ($,"*&."ÁÀÈÈ()%-#+#'Ï€ ””±Hµ1ª¨b‘55#dAx + +endstream endobj 1651 0 obj<>stream +H‰ Á‰ +‚0Ðÿÿ¡¼æ6¥Ð¥Qdéæt9-³P<ð¨÷Öu]–¥®ë®ë¤”Çq)¥I’pÎÓT´mËÂÔÂ[]7 D&€¡8Ž‡aDZ̿9*Š¦©ºªhÄ%Ñ_ !ú¾Ê*åò°û•†»œ°²Q LÓôÊ?eñ-%q<âø{÷`ã­¡4‘çùUU5MSdoNEÄÑŸOWïiahC`1Ær™gY6ÏóO€@z© + +endstream endobj 1652 0 obj<>stream +H‰b`R€—3 &zXÙØ98¹¸1%xxùø…„……EPµŠŠ‰KHHJ±KËÈÊ¡HÈ+(*)«¨ªIª©³ˆˆˆh020BtÊkjjji«èèêéò›˜2™Š€%@ÀÌ\ÙÂÒÊÚÆÖÎÈÞÁ‘…ÛÉɉ À)Ó â + +endstream endobj 1653 0 obj<>stream +H‰P vê0 ¼ÿi~±-ÉÎÙ +²Ò@iì@Ë¿C…<–ß›'Ïx|~ïãóûóÜ5·¾¹uסo¦d½9Ö_ÇútØקú8´Óm|¾Q–Y’¥ñv—dçÓ¥½Ž}3Ný¡6¼´sÒÛx[æe½¯ïÃÑjà’‚\gîÍ祛t_|Te}¨ߟ—±{ÜÇ_Ò2È ò&ÇFðç‹U´Š—qÇÕ®ê®ãÔ=¦þÚ–’…;mq9–ú~žeQíwÕGYuÍØ]§¡½Ù¨zÁF²ˆ,@¥ ‘¿ðC? ƒp…e±;ŸšËWÓ^úÇô_¢­Èt€Ô.iÈR@BH!„R P„*ôüt“¥Û¬Ì‹ö<´ç^€` e °%:ŠE´ƒÆ%ãêWw ”’øƒ8õ+(ð³Öïë<)ò$Ÿ)=Sf&[¡M†»ÅS$$1C%/XF«e´|“øO P¶‡¯°¯¬ 5‹äJñÊ*™”L²'“6¢õ'À 5‡º + +endstream endobj 1654 0 obj<>stream +H‰b`ÄpI01³°²a“eçàäâæáÅ”âãC——”’–‘•edG‘PPTRVQUS×ÐÔÒÖÑe`ЃI蛘š™[XZYÛèÚÚÁl³··70Ttptrvqus÷ðôbòöaóÊúùÚ)‡„†…GDFEÇÄÆÆ1ÄÇ'$&%û§¤¦ú§¥gdfeçäæåê—”–•WTVVU×ÔÖê+Ö7465·´¶µwtvu—õôô&V¥¤ÖÔô¥õgM˜8iò”©Ó¦Ï˜9£¨«lVÏìʤ”š †ŠFMs&Ì7þ¼y t—••õT.\´(%Åß>((}ñ¢¤%Kç/[¾|AÈÌÞÊÊ SV®0"†]* + +endstream endobj 1655 0 obj<>stream +H‰ Î×N”a„á'dßçzÀ] Æ;°\‚EEÅ‚b¯¬Fókv±±F¬ A±¡ ˆ „„h4ÈZ†m¢wà:3ïÌ,a9+YÍZÖ³‘í´qš+ÜâOxÁ¯yÂx”.gJ…éÒÔŸ¥¬b ›håg¹ÆºyJ–W1ÅPQz+h`M4³›ˆ‹Ü ‹zéó| +!¥6°™½¤¹Ê[Þ3Â(u‡<§AÞñ‘R´sŸìâdl…ð=J5r»<‹ËéL$FNœ*g¶ÄWkk»ê玶w” Ó[IrŽÅuuÙ¦æo½-ìá(7¹Ìíú9cÝó¹ü6s†ó\Ÿ7ÿkv°/ïd?8Ä‘D"³`ÑÏÑ/•Ê¿ì‹ÅªªhÖìΆÆߟÇ+å¿É,\>stream +H‰b`dbfaecçàäâæáåãc—`a•”’–‘•“WPTRVQ‹«©kHhjiëèêé ˜˜šÅÁƘ[XZYƒ•ÛØÚÙCÄÅAÆÅeœ]\ÝÜ!âêâ@cØ´u<<½€¶*ÛzCÍ/©íã+Ëà 4ÆÍÍÏ,ΤÀj.i!Ål¬l¯ÁnékÍãä¬Ä—`Ñb³ˆe׉‹Op 6JLJN‰khšKFĦJ¥¥ÇË&ddfe爂3Ðxíˆ\v©´<Ýü‚@¢bˆ8ÈøØ\öŸ´Ò²ò¼ŠÊªj°8ÄøÔš´ÚÒ²ºú†Æ&°xÐõ@k¥|ÒjËÊš+ZZÛÂÁâ`WB”ÅÛ;:»DÀâšc€âÝ=½¢`7’Pæ + +endstream endobj 1657 0 obj<>stream +H‰Áí‚ Ð÷"¸øÃ6Q2Ôœl•PW0Aüš=BçD¿Cx÷w¯;  %@)#8çZkcŒµÖ‹C¯_XŠªÈKQÜòL¤éEV²ij¥ÔŽu>·xîñw(c8œúç§kó´Yôá»þëj[Ò + +endstream endobj 1658 0 obj<>stream +H‰b`€F&&fV6vN.nn¸0/#¿€ °ˆ¨˜˜8BœA$¥¤%dØdeå0¼‚¿"q%%elâ@ ‚C|Ä€Ó}¡ + +endstream endobj 1659 0 obj<>stream +H‰ïþèåìçäëäáçìéðêçïêçîéæí×ÕÚãàçãàæäáè‹Š“‘•¡Ÿ¤´²·ÏÍÓQQR[Z\zy{›šÃÂÆàÞãÙ×Ý×ÕÛÞÛáàÜãàÞåEDFTTU{z|¢¡£ÇÆÉÙ×ÛÂÁÅÀ½ÂÐÍÓÓÐ×ÖÔÚÝÚà888ƒƒ„²±³ÎÎÏÊÊËž‘¤¢§­«°¸·»ÊÈÌàÝãàÞä<<=ZZ[Ž¼¼½ÐÐÑÂÂÔ””}{Š‰ŒŸž¢º¹¼Ù×ÜæãéÛØßÏÍÒÑÎÔäâèIIJooq¡¡¢ÏÏÐÓÓÔ²²³SRT334JJKrrsŸ  ÐÏÑßÞâÄÃǨ¦ª©§¬×ÔÚåâèåâéPPRzz{ªª«©©ªKKL../KKKwww¥¥¦Ø×Úµ´¸‘‘“‹¸µºËÉÎÕÓØßÝâ__`ŽŽ»»¼ØØÙÇÇÈ——˜gghBBC889___ÑÑÒÆÅÇ—–˜eefRQSnmpŽ‘®®°ÌËÍÞÛàæãêttu¦¦§ËË̳³´‚‚ƒ;;=uuw§§¨ÎÎÐÌÌͯ¯°~~MMN]]^‡…ˆ°¯°ÔÔÕÔÓÕ¹·»¨§«€€vvwFFG::;UUV‚‚„××ØÈÈÉ££¥CCD667]\^Š‰‹µµ¶ÚÛÛÍÍÏœžƒƒ… E¤<û + +endstream endobj 1660 0 obj<>stream +H‰b` -`Ä!ÎÄÌÂʆEœƒ“ ›n^>~FVV66T]‚BÂ"¢bâ’RÈú¤edåä•”UTÕ¸àºÔ44µ´utõô %¸ŒŒ¡šLLÍÌ-,­„¬mlíìœ] :\ÝÜ=<½4¼}|ýüƒ‚CBÃÂAZ""£<-¢#cbãâ“’SRÓÒ3Â2³²sróò +‹Š³KJËÊ+*«ªkjëêê-ššZ|[ÛÚ;:»ºMzzûú'Lœ4¹©¿±mÊÔiÓg4Íœ5ÛoÎÜyó,\´x @€3;¦ + +endstream endobj 1661 0 obj<>stream +H‰ ÂíB0àû¿ËÇæHeÍüˆLéà0Ûx™#÷PÏy,|·å°ph9Ïz±°‹¢l?-»Âž±´ÌŸC§Ô0©Áh9Yí¼Ÿ"8`”¥”sÊoë̶üO›ã ×u I@°ïG$àw&Š—È«ªµxËnÔ&žýcz>!×CNDÂäBoçkǜҺªûvìi¤Yçý'ÀB + +endstream endobj 1662 0 obj<>stream +H‰b`怑 ‡3 +v 6vNN,\Ü<¼|ü¬‚èBÂ"¢bâ’RÒ¨2²rò +ŠJÊ*ªjêÌšpµ´utõô ŒMLÍÌÕ-,adË + +endstream endobj 1663 0 obj<>stream +èåìéæíäáèãàççäê‹‹‹‰ÅÃÈáÞäæãêYYZXXZ°®²ÛÙÞáÞåàÞäãáç + +endstream endobj 1664 0 obj<>stream +H‰b`äFâLÌ,XÅYÙØ9˜˜8Eœ‹›‡—_€PÄ xµ + +endstream endobj 1665 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?ê_C‡#æ†X‡_P˧Ûk ljV™•‰'c¼”‹Aî +Gv¬!ß’U¢ ¾ë=ßIxšH¡÷þœ’ð‘CÿÙ + +endstream endobj 1666 0 obj<>stream +H‰ Ð 7Úð/…UTº¬Ó]ÊÕÂK*i¦cO$W1–Ž5•B ‹4jj±”tü¥¢ËÛ÷˜oð{?ƒÁ‹Ý‹Å@à\«]f2»›ðøv©T±»ëÉd2÷÷i»Ý!Kp8|SÓ›înÖÊÊç`ð×ÃCþê*²³ãÞÚ²$ÉR©tròsvVM¡P;;ßMO«|¾£\.—L&Íf ÇÇ`Ú æN.ôõõg³€H4†Åâš›[ S4úçò2¤Ñh©T: +Ÿ˜ø¸·÷jÈ% ·{W£ÑtttF"Qȯ¯ë{zzáðV<ž ‘|p»÷à!•JïïH¥2$A––4µZ-‰ †ÉIik+"...Q©´–(™LU*§ŽŽ …R<ž°Zm"‘Gp¹Cz½1U*Õóóà訠\®:.4C‡M¦¯år% +//¯°Xl¹\qppxw—J§3.—K"‘ÐhôZíesÓ …Âl6ûímâééùì,03£"‘È]]$µz>“ÉÆã·f³™Çã*¾b2YH$ª«‹¨RÍúý§©Ô½Ãá£Ph.wÐdÚx|,0  †Åb³Ùœ×ûC*•s8ïõzÃõõMÿ &“ÉÉäÓéF£Ñ|>_«Õ’H”ãã“b±¤ÓéA 0@˜ššòù|…BÑãÙçp¸F£iuuÍî„ ‹ s{Û†Çã‘ÕjO&SùüãÜÜ<”Éä.—{|\ÒÞN‡??—N‰\[ûb±|çñF„Â1NOÏÔê9"‘Äf³/..«Õúȃ÷ööml|£Ó +Íï÷×ëu>ôååŸÇsX¯×b±kn]¡øäõú½2™L©TŠÅã77+•×ð‘H +Emmoq8Ü$Ù|â + +endstream endobj 1667 0 obj<>stream + +  !"#$%&'(#) ** +,-)./(0123456789:;<;=>?@ABCDEFGHI0JKLMN>stream +H‰gRA„ï‹ðÒäM¬2’0IDpA¾ƒGU]ókúë~-.±Dä`AŒ!k,¡%« •CX-Ö«ùz5-¯V›ûßO§§Ç—çãéýùãóýûóã›%Q% Cd"‡ÀV[0Ú‹t¥oS×Æ<¶í~»¿=Üß^ß=ÜÜŸŽ§¯¿ÿknõfr™]Fò`,A-€d”ÑMÓærÙOc7̇á×v÷||}9ž^þ¼ –ª"TÂ’ä¬È…HD<“€Ry,ý¢Vã¨Ákp=RdWä¬LÕBž¸¦cÓèf6Cc¢ ¡Ö×z§,£Ô•2¢'tÌNØAÝhÝ(eXY±JvgS4/fjöãÀ[p¶¾Öi#Ú +œ;Gâ,õp +JÕÕa0 mØXVFXŠµž93'¦HÕN[kJë}qµåp¬|Ñš•fµŒÓ V‚ÂBï}+RÀ:cÅPÊ2çy£½ó“â$#€¡í69/cœB¼ q qð¾3¦1Ó¡í®JÙä²Êe‘òS… >t`=QÚ]¿m÷§íîi½y˜æ7]¿Oço‹˜¦ÇÃÝ×áîóçõÛjó8Œµ/ݦ´ë\–1]þ`óრ+ +endstream endobj 1669 0 obj<>stream + +  !"#$%&'((()*+,-./0123456789:;<==>?@AB+CDEFGHIJK7LMNOPQ=?R*+STUVWXYZ[\]^_`aaPPOO=?@**bBcUCVdefghijkaPPllP=mmno+STTUpqrstuvwxykkzPPQ{|@n*}c~U€‚ƒ„x…†zl‡zwQQO={}}+ˆ~ƒ‰Š‹ŒƒŽŽv‡kzzPPQ{{n‘Aƒ‰’’“”•–‹—˜™™škl›œQOmžŸu ¡¢’£~~‹Rd¤xxzyz¥¦žƒŸ§¨©ª«¢¢”¬¬­–Œu®™ššš…¯°±²³´µ¡¶’’”~‹ƒxx¥·®¸v¹º»¼½½¾¿ÀÁÁÂT~‹‹‹‹ƒÃ‰¹ÄÄÅÆÇÈÉʼ½ËÌÍ媫’~‹‚¹ÄŠÄÏÏÐÑÒÓÔ»ÕÖר¿Ø©¶”‹‹‹ŠŠŠŠ + +endstream endobj 1670 0 obj<>stream +H‰ Љ:"à‡r­ˆäL*·9—,>gD–Å–Ügk­#]¬ˆ’eº45¥s*e¾ɱöÖüßÅbSSÓæ z“Ïp9=VØ]Á*P hÍ&Û=úôòôþþ›™™5ΞTž7[¬ZР«ø<±€¿¯T¨ÑÀýkä_RR2•J—ËÏ\.·Z­ÙÝÝ›œ˜jiic0zVW¸V‹ýñ.r +#nÐz¿ãñøææ–_WW&ŸÏ/•žŒŽŽ•––a0‰99¤ÁÁoç +âö¹]žãc)x©{===¼F_#á·Èã[V¡§‡)Š,X$3™½DbNLL\‰4<<¢R.—Ç Yvvvù<¡:È;ýH0|ÿòŠÜ¡áŠŠJgF£¹´Û ¨]\\ªªªNLÄâñ© t.÷' ÛœN—L&g³Ç««j(”¼†zúÄø„Drð¡.‡·¾ž¾ººAf‡Ã©P(''—ÄÆÆÙ]<ÞÞþþ‹ÕO$’¢£bòòòEB±Ïsð£:Ш+ûúXbñ>‚x¯¯(**º¤„ÊfOÈdg~ÿÍú:—NoLIÁÇÅ}ª®®™Ÿ_uÎl7£G©TÝÜVVVëê>'$$66~ár7ôzcaa›”žžÁ`t B¶Z­6­Vçõú4°¼¼‡KIKKïèøàñŽŽ³³‰dr®Ûí1™ í탃?‡‡˜œŒ3ŒMMÍKKË:~zšSPPŸpv¦ðzýfóÇ-¯½½‡Ããp¸ÚÚÚ……Å`0877_SSikkßÚÚ6™Ìâ#‘È¡ÐÝÌÌ,V™‘‘Éd2ooQ‰ä¨¿ÿ+…’›››g·;77Ûl×(ŠŽŽ²ÉdÊÐаǃðù‚²²òËKpyy…Ïvu1ôzCkk›Tz:2òýää´¨¨.¦¦¦©ÔR &!?¿@,>èíeÑh4•ê"¼… hmmý¿=ã½ì + +endstream endobj 1671 0 obj<>stream + +   !"#$%&'()* +,-./0123456789:;<<= >?@ABCDEFGHIJKLMNOPQRS?TUVWXYZ[\]^_`abcdefghij'k%lmnopqrstuvbYwxyz{x|}~€‚ƒ„…At†‡ˆ‰Šn‹ŒŽ‘’“”W•–.—˜™š›œƒ•džŸ ¡¢£w,4—¤¥¦W§¨©ª«¢o¬­®]¯50°±²˜©³YY´xµ¶rˆp¥·-¸]¶˜¹º–•»¼½¾¿ÀÁÂÃÄÅƤÇÈÉÊ©ËÌÍÎ9IÏ™nÐÑÒsÓÔBoÕÖ×ØŽÙ1ÚaÛ¸ÜÝÞ‡Êßà0áâut]ãÑäbœåž^°áæç®[·áèåž@é²bKêëØŽ®ƒ·—É4ç⊿ìë{Žíë0–ÜMÂÓž‡ƒîïðñò5¬ÐÎóôFŽõWö÷øù_\ + +endstream endobj 1672 0 obj<>stream +H‰ Èy?šàO´a!•³”m ©\É2Ç•È‘J‘j"+9rF%Š$¦PºxÓÛKÅоÉöüùÐé­h4¯ærG,–ýx<AOççÞ¹9YccSyy‰D¦ŽãLæ%™„œN—H$&¿"‘¨–ªT*õù|étšLn¬¯'NLLCÐs"NO ëêêKKË(êììÜÙ™çã#ûø˜Øß?ãWW×!ÚÛ;T*U0x÷úúF—••·¶¶)•?}>ÿÛÛûÊŠ©¿ ¢ÝÓóÃ`0wÙìß@ ¸´¤ïîþŽÁT ›Í›//¯ùù‘HôýýÝëõÊdót:…BUVbGGǬO§3n÷ÙÌŒ„Hü&Š]®ÓT*Íáp67·b±ûL&s|ì„ÅÅ%µµm6ûøøPG¡´ÈåŠËËßñøca!œH$ŠÅ⇠­¯›ûúT*õÍͭѸ‚Bßß?d³Ùp8Œ@ šššår¹ß½¸¨g0˜%%¥zýr8Q«5UUøáaöÖÖ¶ßïÏÉÉ­©©ÝÛÛÁd*•‚ËH¤‰Dâv»“Éd4µÙl»»{äå}999M$‘HdyùWg'cqq)  †…]W“NoS©4^ï<ôöö™L« ÙíŽP(ät:I$‰\]]»»ûa»ýpjjª ÎçóŸžžFÓä¤@§Óy<žëë‹Å‡Ãq8<›ÍÞÙÙáp¸ †L&‹D"‡ã(ŽiµºÁÁ¡µµõ«««ÓS7‹Å‚ÁòÈ–Á`À`0­V AÇÛÞÞ>>stream + +  + !"#$%&'()*+,-./0123,456/789:;<=> +?@%AB/54CDEFGHIJKLMNO >PQRSBITUVWXYZ[ \]^_>`ab-cdefXghijI6klW,m7n>7mopqrstguvwxI=yzWb{|)}~%-€>7mzg]‚Jƒ„…K'†‡ˆ‰Š‹Œ'Ž,45>s‘’“=> ”•–#—‡]gu˜™ +dš›œk IžŸg ¡{01¢£¤¥ +ž¦§¨©ª[«¬&­g®¯™°±²³'(´µ¶·g;¸¹º»¼S½¾¿ÀÁ•ÃÄÅ»ÆÇ¥È|ÉœÊbY”ËÌ€ÍÎ0BÄÏFgXÐ`Ñ¥782gXÒÓ]2ÔÕ_Ö)×yØÔ~Ù)}J¨u¦”IÚÛÜÆÝ +ÞTUœÜk|†ß ‰gÜa‡)àá]âãßÄ䬳åÛæÓçèœgÛé[B + +endstream endobj 1674 0 obj<>stream +H‰Á‰:"à—r”"c¦2]r”T(r%t,åNì§Ã¹ŠÔª-BrN%›,‰è°ÉY,û"ûíÿßÝ¥Ýî-¥RE§3JJ"Q‹Éd:?¼½½_]ÅÍ$™H$Éd +—k=™¼ÉdÀÄÄ×ÊÊ*(ãpêR©”Ãáèîî¡P(›Ív}{}͆Ñ™™Y>¿‚ˆ4}``hwwEïööö‡`˜RZ +…MjõØÙYxzz†ÇãA‰Á¨AïããS:}O¡PAØÐ ˜ ‡Ïs¹\4zeµ~‹;ñø¥Ri2-‚ ÔØ(ˆD.>?ÿÆbñ•›X,Áã D–JåNçj*uûññ †t:—ËÇ`°z½Áív+_ˆDb{{‡Ù¼¼°`lnápÅ0Lëïðx¶s¹7ñ©Õ‹…ÃákjXF£±¨W^«Tý[[ž÷÷?^¯ŸÅb³Ù½~êää—Ó¹ÖÓ#…ax}}ãâ"ªÓéx<~EslLãóùŸžžûú”ee @ 4Mf³¥¥¥‚ ››ß4 ÁËË(PÊ`0z{û67ÝÏÏ/ããXlQ]O«ÕS©4…Bñðߣ×ëÓhƱX,—ËÕjuGG?ooQ©TÖÑ!¶ZW²Ù›]‹Á`˜LæÎÎn6›Íd2G ÌÏ;==K$’‹µ­­L.—Éäùù\.Ï`˜ +‰Äò²¥µµ@ ¼¼¼†B'ÕÕ5ÀQAF(F"‘¹¹ùúú†ÑQu*…®®®íïP©ôÚZÎñqÈnÿÑÕÕ-‘tÙlv•Jµ½½'är€"‘hcc/ÎËË?8@FFF«ªª''µKKf&³A¦&Q<žôûˆF£(Šº\®ÂBL0´Û(š¾¿Ïü`Z¢iU + +endstream endobj 1675 0 obj<>stream + +  !"#$%&'()*+,-./01234&'56789:;<=>!?@$'AB8CDEFGHIJKLMNOPQRSTAUVWEDXYZ[\]^_`abcd2XYefghij`klmn3%opq Vrstubvwxyhz0{|};3~€T&T‚ƒ*@3„@*…†‡ˆ‰yxŠ‹Œ|Žr‘’“”•–—i˜K™(š›œžŸ' ¡¢£\Žž¤¥¦i§¨©ª!«%y5¬­®¯:°±²A³K´µ–k!¶“·‰ ¸¹X,‰º»¼{ªz£’½"Au®,¾))p¿§˜À1Á)–ÃÄų ÆÇ^sfÈɘÊËÌ…|¥Ci9XÍbf‡ÎL‘ÃÏtÃ3µ»BЙ¿LÑÒÓ‹ÓÔ¯²Õº¢ 1Ö¬"½×Ä ØÕÙÚÕÛÄÜÝÞT(߶ÔàC@* áâã]- + +endstream endobj 1676 0 obj<>stream +H‰éýèåìäáèØÕÛçäëÅÃÈÜÙàâÞåäâè…„‡¯­²ÃÁÅÒÐÕßÝâèåëêçîëèïXXY‡‡‰©©«ÈÇÉÜÛÞÚØÝÑÎÔÄÂÈ»¸¾ÏÌÓéæíJJLvuxŸŸ ÇÅÈÜÜÞÍÌзµºžž¡‹‰Œ´²¸BBCmmmžžŸÈÈÈÖÖ×µ´¶ŒŒŽghiQPRŠ‰ŒÓÑ×âßåáßäßÜâáÞäàÝãÞÛâàÞäLLMyyz««¬ËËÌÎÎϨ§©{y|VVWIIKzy{º¹½ÖÕÙØÖÚÑÐÔ×ÔÚÕÒØÐÎÕÕÓÙàÝäèåí__`‘ÂÂÃÐÐѾ¾¿]]]<<=DDEjjkœœÊÊËÉÉʸ¸¹·¶º­«°¦¤¨³±·ÎÌÒåãéçåëäáçæãêiijšš›µµ¶……†TTU779JJKrrs¢££ÏÏÐÈÉÉ­­®››ž‡…‰~}€•”˜¿½ÂàÞãäâçÜÙßÚ×Ýãàæ||}¬¬­ÙÙÚ¤¤¥EEG446YYZ‰‰Š··¸ÚÚÛÆÆÇ––—ffg@@B889bbc¢¢¤ÜÚÞÇÅÉÐÎÔ¼¼¼ÙÙÙÃÃÑ‘’__a??AllmÅÅÆÓÓÔ¶¶·ƒƒ„PPQ;;=GGFtuvÕÔ×ÍÌω‰Œ‰‡‹ššœÄÄÅ×××»»¼UUV>>@GGHvvw©©ªÍÍÎxxyFFHRRR‚ÔÔÕÅÅÇœœžpprgfh €‹Y + +endstream endobj 1677 0 obj<>stream +H‰b` -`Ä!ÎÄŒ]œ…•›'7/?ª¸€ °ˆ¨˜¸„$³²¸´Œ¬œ¼‚¢’² +Ÿ@ÄUÕÔ54µ´utõô ŒMLÍ .4·°´²¶±µ³wptrvqus÷ðŠz1xûøúù‡„†…GDFEÇÄÆÅ3&$&%[ù¥¤¦¥gdfeçäæå—”–•WTVeWgÖÔÖÕ7465·´¶µw8uvuûôôöõOh8I}ò”©Ó¦Ï˜9+wö˹óæ/X¸Hpñ’¥Ë–¯°®\¹*hõš”µëÖoØ`»C + +endstream endobj 1678 0 obj<>stream +èåìéæíßÝäáÞåäáèæãê•“—¥£¨º¸¼ÔÒ×êçîrqt‹‰¨¨ªÍËÏæãéåâéçäë + +endstream endobj 1679 0 obj<>stream +H‰b`äFFìâLÌ,¬ØÄÙØ98¸¸¸Ðµqóðòñ 03  +¢ˆÁ× + +endstream endobj 1680 0 obj<>stream +H‰Á?bðïÔÝO»^Ê{¯¡ŒTBBÃl C‡†•™sÎJgsiÆ¥´%—Œû"÷ÿg2™»»¨Ýnïîî)-%‰@}}ÃÌŒÁãñ=>æ}¾ëùù…–‰€Ò¶¶ö••Õ@ ˜ÍæNNNÇƾ°Ù¢º\Wù|> ­¯[ÛÛ;p8<ÄbÉâ¢%  +ÏSSÓuuõÄG2™b³ý88pªÕ£<‰\\\NLLòùu(šNg ííí'ɇ‡ÜÎÎn__I ²ºš=>>qyù;™LE"wRi+OH§Ó¹Üãîî^m-ßãñ¦RéP(¼´´,‰WW×Øì4‚P"‘ÚÜüÞÕ%ƒ ˜D* ‡ÿd2Y¯×g0©TšRÙm³m%“I§ó—V«c2™ –Á`f³^ïµÑh*/g>=ýÕh´4øPSÃ9==+‹±X¬££“L&ñìì‹Åq8Üáá‘ççâÖ–½§§·±Qh2™ÝnïÜܼPØAÐòòÊímÀï÷ ¦ªT}ÛÛ;±X<Îk4:Õfó¬@ÐH¡”9Z­–D"ON~½ºrçóOVë·ÎN@T(”££úóó •JÕÜÜR,¾ÜÜø,"‘…”•ýý +øúúf±,‰ÅR„{{UvûO½~ŒËåUTTíï;666e2…ÕºÞ¿½½ B4‹Çy<þô´¡¡¡Q§û|xxäp8 ÀdšFc­­m0LÑs8<.—ër¹år%•Jg±*>Á0 ‚àÚÚúý}Üh4WVV ©™LV b±* +…ƒq»=ïïÿärE<ž¨ªªF"Q//¯8V"‘655ÿ`íW} + +endstream endobj 1681 0 obj<>stream + +  !"#$%&'()*+",-./0123456*789:;<=>?@A6*>BCDEFG&=HD,9IJKLMNOP QRST$UVWDXYZ[\]^_`$a65bYcdefghijkl.RmInopq;rsK7tuv"wxyG;'VU>=z{"|u}&~€‚ƒ„*…†{‡ˆ‰d1ŠD^‹0ŒBŽQ‘’“`-f‰8”•35–P—w˜™š›œ1z5žKŸ›š! {¡¢\£¤¥3qg¦§œ¨©ª«’Ÿ‘¬­®CT¯°]cY‚ +Š1¨±²¡g³´\[µ¶Rb·1¨¸¹™4ºH»K¼‘AQ½¾±¿À4DWÁÂ=Hº@™‡ˆÃÄDNÅÆ»ÇÈ@ É’ÊË(q4YcÌntTÍq.nÎ1ŠÏ^(„ÌЈTÑÒÈj~&ÓMÔbÕÖf”g×Drs¼ØÙF{ÒQwÚUÄ©‚@ + +endstream endobj 1682 0 obj<>stream +yw~zx’—¥¢©¤¡¨´±¸ÅÂɇ…Œ‘–Ž•¢ §´²¹xv}wu|vt{…ƒŠ“‘˜|z~|ƒyx~ywzw~zx~ + +endstream endobj 1683 0 obj<>stream +H‰b`@ŒLÌÌ,¬lè Œìœ\Üâ <¼¼|ü˜â („ÄÆ`"¨ŠEð«Æ0VJŠâÔ-"Œâ.ˆBQ„¼D("J´£A CI7À°Â0M;. + +endstream endobj 1684 0 obj<>stream +H‰b`«@€î + +endstream endobj 1685 0 obj<>stream +H‰Ø'ÿèåìêçîÏÌÓÖÓÚåâéçäë±®µÁ¾Åâßæéæ툆›¢ÇÄËÐÌÓÎËÒÛØßäáè‚€‡Œ“ª§®¯¬³®ª±°­´ÉÆÍÙÖÝÞÛâzxyw~}{‚¥¢©À½Ä¿¼Ã¾»ÂÈÆÍáÞåæãêxv}wu|vt{–”›ª¦­©¦­¨¥¬´²¹ÍÊÑÒÏÖÑÎÕÒÐ×àÞåyx~{y€|zŠˆ§¥¬­ª±ª¨¯®¬³ÐÍÔ~|ƒŒŠ‘‹‰ŽŒ“¤¢©²¯¶Â¿Æ}„€~…”˜–™–­«²àÝä ƒ‡•³ + +endstream endobj 1686 0 obj<>stream +H‰b`€‘»83 ++¦8;Hœ““Uœ‹›‡—‰ŸƒƒCE— °ˆ¨˜¸„„„$+²&) –‘•“WP”äPâP†‰K«¨ªªI«khjiëèêéÀtJƒ€‘±±”‰©™¹…¥2 X4 ¨X™XÛØÚÙÛ‹9€\h‘6²rttrvqUw“Prw0û¶ + +endstream endobj 1687 0 obj<>stream +H‰ö ÿÎËÒÏÌÓÞÚáèåì¾¼ÃÀ½ÄØÖÝêçî›™ š˜Ÿ›¢º¸¿ÐÍÔÖÓÚåâéçäë€}„}„€~…ŽŒ“™—ž—•œ¬©°Ú×Þãàçâßææãêzw~yw~zxƒˆŠˆˆ†œš¡ÇÄËÏÍÔäáèyx|z~|ƒ}{‚‚€‡ŒŠ’‹’‘Ž•µ±¸ÍÊÑÕÒÙéæíyw{y€}|‚£¡¨¿¼Ã¾»Â½ºÁÈÅÌÞÛâåãêxv}†„‹Œ“Ž‹’œ™ ¸¶½¼»Â¿½ÄÙÖÝëèïyx~wu|vt{†˜–žœ£»¹ÀÑÎÕŒŠ‘³°·²¯¶„ˆzx~ ðɤ” + +endstream endobj 1688 0 obj<>stream +H‰b``dbÆXXXÙØ]œƒ“‹›‡‡‘—™ŸY\@PHXDDTL\BRR +I\ZFVN^^AQI™Q\RRRªKFFUM]]CSKX[G—AO_ÊÀÀ(n(£*###+kd$klbjfna 4Ð +¤¬emlmíìXœ]Øá®@77wOE/oT`-(ïë+lâç/ƒHÈËcZ[[ËÊÉ!™ïŠM/>(#m(ãêjˆO4ÃV…1 /Í* + +endstream endobj 1689 0 obj<>stream +H‰ÌÏO‚PÀñÿ:~ŠXNx÷|ur +Z­:Ø +±Îv7F󤎃 +HŽ ñl0~˜¿Ÿ}€®Å6C Cm¢¯ ÕdhÁ²~ cŠd–%Øà[,-°t³,‹(ŠlÛ^¯×¦i’îÇ Nä˜æù|Îóüx<žN‘ã8ÏO/â."ßvÚ€ÿ7"ÇŠu]——KQdYëºûý~6ûBˆ@ˆ% ò¬ÈRBU×ËåòáþQhš6êõú7­N’Ä›Ív»Û­V«÷7}ÐW5uªªj>ÿ¶,k210" +Ä@VÂ0ô}ÿpø],ÓO]¿Dc<~õ>stream +H‰b`d +˜YX€‰‰Ìe‡‰sprqóðòñóó  ¨—D—’’‘•“WPTRVQUS€™$¥®¡©©¥-§£«§Ïm`h´ŒÉ$ê&êê¦fæ–V’FF`¥àÀZÎÆÖÎÞÁ‘Ù äB)à,ì"êêæÎâá‰&î¥ëíãëç(… ¤e¼d‚°ˆk‡„ +a§ 0@'C + +endstream endobj 1691 0 obj<>stream +H‰l“ÿÿÿÿùùùìììèèèéééÉÉÊ©©ª§§¨©©«¤¤¥ˆ‡Š}|€~||z€zx~üüüõõõôôôäääÓÓÓÒÒÒÓÓÔÊÊË–•—€ƒ‚€„}|ÃÄĪª«—–˜ûûûøøøÔÔÔ²²³ääåÈÈÉ ]P³ + +endstream endobj 1692 0 obj<>stream +H‰b`€F&ffV6vN.n^>˜8¿€   °ˆ¨˜¸„¤¤B¡BšMF– S‚AN^žQA‹()ãÀ!1@€B?C + +endstream endobj 1693 0 obj<>stream +H‰‹=o‚@@ÿu¹;¼/‘ÚšJkÛXCð#&u´r|ÔZ;6–‚3Ô&Fð(}yyÛƒ + +EmA+E€`•#@»\W*x¹XÞ ŒáðÁ0F×úm12µ-¢A8Âu]Ï÷ߧÓ9ç=F4F5JºR)eÓ4ÇŸã|¶0M˲fãñ¤£¶;Ͳt¿ÿÚn?v»O!œ§Çç›þ€Ñ^ýOU–åáû`ÛÂu\Ïó'/¦ +I}©ó>stream +H‰b``d 0fa p¨dbbfd`fbbª`b©cb"Æh4Y6VVVv¸(B’ƒ“ ›vn^>~A!av&qQ1q I)iY$A ³ääää•”U8„UUÕRò@ ®¡©¥­Ã¯««‡*.§o ohdlbj¦f®¦ÆòP`aiemckgïàèäìŠwÕwsÓw÷ðôòöñ•–VU0^tÉ + +endstream endobj 1695 0 obj<>stream +H‰Á[O‚Pà_]Üçग़`2Íåzp 4íY2ü®ð³1}"¹Èô}à 1À¢!‚C†F’$Ëòš?“xïxÔ4M_­ÄAÿ¡ÏŽh +fY–çyE–e߆iYŠ¢<=rØM`L×u·Ûír¹œÏç8ŽUUEI’Ö²¼Y.ßÐd¡ E@MÓ”eÇ?®ëzžiZܘ‡€eအPš¦‡ÏÃûf»Û~춻ÅË+‹F4 «ª +ÃжmÇqö{E˜Î&a:ñ¼h¿gN§_]×Õ/U;jóù¢(ŠëõÏ0Œ¶m“$ñ}¿®ë¥{¬¡ + +endstream endobj 1696 0 obj<>stream +H‰b`F ÀÄÄ„&Î ¡XXXÙ "¨ÚØÙ98A‚\`€¤ƒ››‡—…ŸE.‚‚BÂ"¢bâ’ R ` FˆiiiY9YyE%eU5uu M˜„–¶¶ŒŽ®ž¾¡‘±±‰\LÍÌ-,­¬YYXmP%líìuœ]\ÝÜݥч§—·™ ?†„–¯Ÿ½¿?†éc•ÖÖÆ. %!Ô + +endstream endobj 1697 0 obj<>stream +H‰ÁéN‚à§. ïƒ$tQ +ȥѨµYk«-5q]X((*bv>stream +H‰”ׂ0EÁÞÅF° Á†&vÅÞ{ÇÿÿÛÈÈ‹gf÷a ó/,Ëš«Ín8œ.›ÛLòx}œŸ·Æ („‘hLäy1À~ ñD2%ËéŒAƒIÉæò…¢Z*—ÓŒû¥‘a\©V…Z½Ñl¶ÚïgHÑ4­+õúƒáhÌM¸)-{ÜáŒBç‹åj½y‘žŸÕ´ín8ÕSì ?\èB€pÕuýöúó%’PQ” +ü…ta î 5! + +endstream endobj 1699 0 obj<>stream +H‰ Ë;‚0Ð[Ó–O?´Õ ALˆ‰º[‘4í šÈº±"ËÛA£ E˜ç'JD‚H ‰1¦U-ÁlYkmQTŒ +Šù¶m!ïý8Nnrów>ƒ8.å8edû¾¯¿µïzõVÏÇKò3#"§2M˜sNkÝ4÷òR_«[U֌ʿ›ØU” + +endstream endobj 1700 0 obj<>stream +H‰b`À „pÉÂœÀ˜ñXA0",@¶Œx“YX¡zÐÝÇÆÎÁ :¸¸yXx8‘|ü‚llB`MHÂ"¢bâ’RÒ2²¨Fɼ‚¢’²Šªªº„ˆº˜†¦–¶Ž.B ÀN + +endstream endobj 1701 0 obj<>stream +H‰`ŸÿèåìåâéçäëÝÚáäáèãàçÅÃÊÚÖÝØÕÜ×ÔÛÜÙàåãêçåì™–£ §¢Ÿ¦ ž¥¯¬³ËÈÏÑÏÖÐÎÕÑÎÕéæí„‚‰‡…Œ…ƒŠ—•œº¸¿ÂÀÇÁ¿ÆÔÑØæãê ²,Jv + +endstream endobj 1702 0 obj<>stream +H‰b`h€‘ °I0³°²0b“acçàäâêâA“àåãe$ q I)iY9y @2 Àd³Ü + +endstream endobj 1703 0 obj<>stream +yw~yxyx~zw~ywzwzx~ + +endstream endobj 1704 0 obj<>stream +H‰b`@Œ h€ Aˆ] +U&`Á§$“PeXˆ2Ã' ¬ +ŸmCW‰ÓQLLLc˜‘$f6°tu/¨G + +endstream endobj 1705 0 obj<>stream +yw~ywyx~zw~zx~ + +endstream endobj 1706 0 obj<>stream +H‰b``d@LPšŠÑ¤‘3. TÀDX …€‰Bé"\—vfŒ@@ã2!3It ö0!0 + +endstream endobj 1707 0 obj<>stream +H‰Á»‚0À¿VDy–‚Cœ£Ä•ˆ;'YºRZÓ010²Ð<½[–…±Bï4EYö‰ãçñp‚Às=t>stream +H‰b`€F&fV6vN.n€ 3ððòòñ  + +‹ˆŠŠ"ÄA@Lœ—OBRJZZFE\N^AQAIYEUMU4455µ$°mìâºzØŇ/0zÆò + +endstream endobj 1709 0 obj<>stream +H‰½Bÿyw~xv}‡…Œ™—žš˜Ÿ ¤»¸¿ÆÃÊÅÂÉÄÁÈÔÑØèåìéæí€~…‰‡ŽŠˆ”ª§®µ²¹´±¸³°·ÊÇÎçäëêçîwu|{y€ŒŠ‘“‘˜’—‘–§¥¬ÇÄËÝÚáåâéäáèzx|z{zƒˆ‹‰Œ‰–”›ÛØßØÕÜáÞåyx~~…†²°·Â¾ÅÁ¾ÅÑÏÖ}z„‚‰†ƒŠ©¦­¾»Âš—ž­ª±zw~‚€‡†„‹yw Q>z + +endstream endobj 1710 0 obj<>stream +H‰|ç‚0 Fp€,h]Èp¸7Êû?µ‡#¨Õû#mrû¥€‚XË•ª$×UUP4ÝÐëf«mZâ»¤× G²-™cÇu-6âߟLgscaa¸t Ê ¡¿ZkÆFÞîöñ!‡£ œÎà×­ñ·¸?ò{T8Èàš8ú¬KÞÖçßýÞÎtB¯Ìÿ·>stream +H‰Á[O‚Pà·â@ÜŠ‹v1CA³{â‰-s³çìˆà30zf˜€@ßG,Eš`i +Ð$à@CE(’Š1Þï]g¥*ÃúØïÉ›»²,Ïç¿Ïívn.ÐÂz~14¤I¶ëºì7;Ç(Œv»/4Gº¦Oõ™¦é<ìQ$[Å):áÁljðœ(ð}„ªª²,K’$MÓ8Ž}ßGȲmÛËñë°Â-Û¶mš¦®ëëµÃpô2–UÊ’º^¿;Kwå¾9ŽËAñR^6Ã0 Ó<°çyyžÿ 0¹pž\ + +endstream endobj 1712 0 obj<>stream +H‰|Îׂ0…á*£8@ª¨TÜâ÷Bpïïÿ<"b4Ðø_~ÉI„@ pÞQE“œaXHs\„…6Ñh,ÎóBˆ¢øë%S’”Îd,Ë´“ç㜢ä ÅR¹Rý,j®¿Rëf¬ÕÖ4ÒîCÏ;Ý^0'Ó™a_Çs'Ó²ËÕz³Õ!ľº»ýá(0'¿›èŒÔËõæw·»m=DÿÓS€8Äê + +endstream endobj 1713 0 obj<>stream +ÿÿÿþþþ÷÷÷óóóõõõåååÁÁÁ¹¹ººº»»»¼”“–ƒ‚†ýýýúúúûûûôôôãããßßààààââ⦦§ŒŒŽÔÔÔ¾¾¾üüü + +endstream endobj 1714 0 obj<>stream +H‰b`@ŒLÌÌ,¬lìœ\Ü (€‡——_@PHXD”;Ç!Á#Cb`ÂØI + +endstream endobj 1715 0 obj<>stream +H‰ ιn‚`à·.—ð#Êm;´¡‡˜ØÄÔt¬°ÄºaDYhaæ + G¬ß|CrL‚†"8Nä9‘eøFÂŽÀ„®†±SåYzehŽc…ñˆEaßáÚ¶íº®ª*ÇqV_«ïõZUÕÅâƒ$F(L`¸^¯}ßÁŸmY®ëîv?O’ÀODážÒEQAàyÇÓÉ÷Ÿ5M“§³é›,I/—ËŶ˲¿‡º®ÿ”² + +endstream endobj 1716 0 obj<>stream +H‰b``dbÆXXÙØ988@LN .n^>~VA!T Â"¢bâ’RÒ2²rrr‚‚‚pyyE%qeU5uu T yQM-m]=v&}d P00426153×°`d´D’µ±²¶±µ³gceq9S8:9»¸ºñ»ó{ Ixzy{ùøúùúËcAÁØ$€Î Á&Am`ó+) + +endstream endobj 1717 0 obj<>stream +H‰ ËÍjƒ0à§^M¢&™[M£©-ûÕî ì`î ¾wëAT¤^<©è +ßõƒF†àËÀ Vd +T°Q?Þ? .Lc/ ëù‰!H Y–EQÇñ5IÜß?Š5Ѿ¿5MÓ¶m×ua¾¾¼ ÓÚ‹£0š¦Ëˆ(ˆ*ÎóXÇ·µŒG + +endstream endobj 1718 0 obj<>stream +H‰b` 0‚&Rt0ÃY,èR¸ÌÁPˆW+A;Ù9899±™ÂÅÍÃËÇ/h‚BÂ"¢büââüh’RÒ2²rò +ŠJÊâ*HÚTÕÔÕ44µ´utõô Å9Œ Æ0`bjfn!k©¯oe"ackggïàèäìâÊ +–0"Ý} + +endstream endobj 1719 0 obj<>stream +H‰Á× € Àý·±5|Y¢B,1lØx ¶!¼»Ô§å{Â# ­àÞ¨«ž’’¦%I +’äiœÕU·Îbã°r¡Ä=0!Œll[žeº¦á„~Ìš‰µS׌b;qÿIç + +endstream endobj 1720 0 obj<>stream +H‰b`¤FÀ"ÎÄÌÌÌŠ!ÌÆÎÁÉÅÍÃËÇ/À/ˆ$Á,$,"*&.!)%-#-‹06Ïñ + +endstream endobj 1721 0 obj<>stream +H‰Z¥ÿÿÿÿéééÍÍÍÊÊÊÀÀÀ™˜›Œ‹ŽŽ€„zyóóóãããâââÚÙÚ¸·¸««¬­¬®­­®ˆ‡‹yx~þþþííîææçççè­¬­‘‘“üüüúúúîîîççç óÖE + +endstream endobj 1722 0 obj<>stream +H‰b`@ŒLÌL,¬lììœÈ \Ü<ܼ|ü‚B è@DTLœQBCœAJZZZFS| °ûÿ + +endstream endobj 1723 0 obj<>stream +H‰ ËWv‚@Àû_Âg¨KYvi&±GTDlHPªb’3$ó?ú»­^Õý‡ydI˜yÛ”]]>ëâ©(Fšª˜ÛÍ! +²ÀO.ç8KŠgóC’ ß§)Š›N–{Ïß¹§­sÎISuMùjëEñH’“$MS‡ïÖØuŽž{*ò¦k€,+Ò´@€ã$Ãø0ÍÑ@ZÆçaç_ã{åyZr@bYÈ0"`!F:ƺÕÙÄÞ¬½•í.¿6ÇýEdžÇ #Ð4ORÏ#(*YFZãп&Ñ- óâÖÔe'ŠŠ"öÂYÿ÷…³ZºQÞÒ*O+ÕùÔöܳ$éÁ¾½1½i™£ùl5›Ú ÒeÙÀxÀ°P–MŒ?íûó¡ + +endstream endobj 1724 0 obj<>stream +H‰b` 022bgbbÂ*ÁÌÂÊÆÎÁ‰!ÇÅÍÃËÇ/ È $$„,.,"*&.!)Å)-Ã(‹$.'¯ ¨ÄÅ­¬"Á«ª¦ŽÐ¤¡©¥%ª-¢£«§o`hdÌlÂq¡©™¹…–¨¼¥•µ ·­½ƒ#DÜÉÉÙ(§éâê&êîáéåíãËâçÏ‘JˆjèhŠ‡„†…GD**FEA¥Ì&Êɉ]m –tr˸XˆÆÅ'Ä'&%§¤*‚d@RP]@M@iééé©©©)˜ZZ™iYYé)ȺœMÍ€Vñ5 + +endstream endobj 1725 0 obj<>stream +H‰ÙNÂ@ÿÿ/$=w»g÷ìT„(6  b©Bˆß $“y›Ìùx]µÛ§Á %Êu¡ã€N'p]`M^ö‡½î ÈŸGU3_¶Íz1_íw§óáz:ü^~þÚÏu–öŠ}y^äy1¥e*„¹)²²®f“ú½~m7ÇïÕ¾O Ð0$A€}?òîÇ°óàC@ŒÉSh•&¶;©?–ív¹Ø|5›íúpÜ]†å(±=Œeq„†XÄÖÞ«¬O2› ‘b"1A€B@#È—½áÛ´á\sf(U„ÜPÄ9Ó”Hv3՜ƌç¡8¶œÛ8NB$R¦JåJeRfZZçÿ bœäF + +endstream endobj 1726 0 obj<>stream +H‰LŽéZ‚@F±0[­´lS[p´}/¤´’h¯‘–AQïÿš™ŸïyÎùFHŒŠbz,3>195=“ÍÎÍçÈË/,I–Ó…¥å•Õµb©\ZÏ ‚ÛØÜR”JJÕÚöÎîÞþÁáÑñÉéÙùÅ¥ +êu0(Éðê6š7·Í»TK¿7@Lªòcæ <¿¼¾½ ¶i”i ÂÆgáëû[2‰$ÒÅîØ[ˆHGüÔ¯ãºq‹ÏqN!ªjü±_hºïûžG-Û¦§Ú<‚ÄVÜë†aØ ‚@ׇ)"¶3ì‘= †÷ûƒe½„Dn¹|ïÆ;“(ø`žkO + +endstream endobj 1727 0 obj<>stream +H‰{Wª@Å¿ÿ—ðj(™š€È +ðq‰$Af˜0¶Ê«•òè3t\ë÷Çœ³öÙ{æÇ”|$ø-JÊ0¢«gä-·Ž»ùëÆ|y¯»w{0œ+ê¬××»}½£h£§šƒ±=1úChû;wÑâLÄf§”nù¿/Ö±ã¾ÌÖšéM´'õÎRnÍÞÀèöÁaÖZêØé‹©ð}+®4\Ö¬<Óü‹ˆ¦‡ÞG±6d o?z‘åSÃÝ;Šjö½s£u£;0™l™¬…¬yy¡ù7É?3~BW‡·×¤xŽ¨¿ŽŸ‘ŸÒ¼Ñôq8¶oG6•-• “ÛxÀmñMÄgÆŽ»ì}›Ê—­"º‘ín,;˜Y«©áO4Êv¥bÅ…gÌŽqº_Ybzú)[æå-[^V˜ýOÉ{‚÷[(³¡¤hIq5/.˜ý y›P£å²²¢ (œ·8o²¬,°*e5â5æ°o2å°„±ÆR*D+Äз™hRR!@Ô;RaRý +0·ŠÇ + +endstream endobj 1728 0 obj<>stream + +  !"# $%&'()*+,-./0123456789:; <=$>?@ABCCDD0EEFGHIJKLMNOPQRSTUUVWXYX0ZZ[\]^_`ab9cdefgUUUhiiCWDDjZklGmnopqrssthhuvWWWDD0EEwl\xyz{|}}~svssUCUUUCWDw€wk23‚ƒƒ„~}}ssvvsUUUVWW……-YY†‡ƒƒ„}}}}}ˆs‰vvUVVCCC…XX00ŠŠ‹ŒƒŒŒƒ}~~}ssUhuhUCC……ŽŽŽŒŒŒŒ}}}}}s‰‰vvUUCCCC‘’“ŽŽŽ”Œ••ŒŒ”––~~‰UUhuv—’˜˜˜˜ŽŒŠŠŒŒŒ––~~~svvvv™šš››œ’’ŽžŒ”””ŸŸ”„„‰‰ ››¡¡™š——’¢’’ŽŸŸ”””~~£ ¡¡¤™š™›››œœ‘‘‘ŸŸ”””–– + +endstream endobj 1729 0 obj<>stream +H‰’YRã0E÷¿膆I;ñ,Ù²-É’lË’g2 $ôÚTÝÏûΩ[õBÚÑ|-Ô.×ûLíD±òCëT ©£X…H†H€€y>u½Äq#ÛA¶ - ˜&XøàgK^n‹ê šcÕ_t{*Ô.åï˜4q¢Q\@”ù`$ÏÇŽZüõìLŒÐpS•(í˜üͱlϲ>æå^ÈmV~¹JyF¹ˆ;zíàþaqÿ0¿{œß?ÛO38µ° 2/®b¾j¯†K»ù×.¿«‘£”µ Ž‹Ÿ¬ß‹»?æë÷ü7|3ñd~\%b)ª}9œuwQ͹¬O,\9N`˜ñÔ@¯³àeL¦pòžßÀdß 4³ðÜçV(np¾ÎÇÉ«[®7pË¥ f25¢—)˜LÁ«1“™“ZAŒKõVgQ® $ž‡>stream + +  !"#$%&''''()*+,-./012345 678999:;<=>?@ABCDEFGHIJK&9999LM>stream +H‰ŒKƒ0 Cï3q,SH»'?›¢ÎÌÛHó$’‘ΑDùtŒ?çR\†ûE~%OùNùM’ž–¬É…Ûø6äÑÉñrm§Ï•/Ç…—³aùJâaD BcÿYQ“b$hDK0’FÜ"·CêQ‰û.u‡ÇºIÙPjäÄc xJäºáù 0Ö©Y + +endstream endobj 1732 0 obj<>stream +H‰<Ž[‚0Fo) ¶"û%]—¨+rVÂ÷ÿPÝñXïŸçÜÀ3ƽómì»]Ap†W¢(¾ö<ÇG#ßO’É$¸ C©„€ÛˆÝ¥œ{÷Óéxüð˜$OÏ ”’J)`Œsþ2¨Ù,ƒEƒ “¦³× qN\¸9“ãiš¹(Tš¬BÈ¢7ÊR¶XdY~â®[2ÇJ­Ö˜çd„PÃ[biÜ™Äü}ã§æÃè¢0†"t£’Ž¥ÔšxiNÑÀé'¤TzSS;Y–Û-Î瘓C!vMÛnŒ!\…–OßûÜÛÝ®­ªÊ躮ÝÁAâŠÁ—µßûŸ£m¨k ñŽž3òÐX»ïûþhm3pŠÊ®+Ë_´Æ,* + +endstream endobj 1733 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?µ×o³¥ô,lJ¶ë#ã+Nÿ¬=/¢Ó““¾À ü +Æë$õ?¬Ôb³ÜÊH˜òÑ/­'í}[¦Uîmdä’ž»­c¿œ¼§ +’çÃD¤¹/­ÎŸ±ôšu Íør’6¥t¯üWÝñ*/ÿŘù~Uäé §Õúïþ+iù¤¼¡$”ÿÿÙ + +endstream endobj 1734 0 obj<>stream +H‰ÍY’à ÐûŸ-¶YÄÞc@xIæ£T½©i!ÝžÜ.·úyÀì’Žs˘aLƒî{è:ùz a/I¨ånøÕð'˜ Jé‡Aqs +bOå/훶«ÖI©¤tÔçÜ0…\7¦›r§ñÕºÍÚɘÀsEËfCñ~ó~qnæ€èñ©Æt¤´Ç¸…°“´Ž i¦™PÓxŒã>Hd¹Bê8•yÎÓDû·÷³sÓ j/p ² +¨1Õu©ëZ–…ä×^”^P„)á¶JkÏsÏ‹2mšÛ¾´¾-Ëù~·N”Ž“ š¾»öý:BÑÙ1ªà²Ñxç|u¢vœNUã[ÎÖ§Ö§”'ç»çØq ãUñƒøEüÔú‘¶ËÝÚ_kßRîm9qâ + +endstream endobj 1735 0 obj<>stream +H‰DÌù?ÂpÇñ-DIZreB—ÊU9Öå*¬X® ‘E2G*Grô7û®xøüø~>^‚ X×ÔÖIáú™¼QѤT6«Tˆj4­°¤MÚÞÑ©•‰ÒíFtÀ HÓÓÛ§7ŒZ“YÞ¯P`8Ž"ˆN­¶X¬z½Á8¢5›±QŠê±ñ ›ýOLŽI ÃáSÓ3„Óå²Ùí«Ûãqx½^ ØìÜüÂ"átºlbävû|~? ïÒò +IÁ`ÅVרÐ:µpsk›f˜@ A8w÷ÂÌ·9diš!A"âˆûO¢± –añáïî8=‹Ç£ E Ïy>‘à¸‹Ë«äµ DU£ê~s{wŸJ&!^–eÓ™,ǧ¹‡Ç§\¾PV©¢‘L6ûü’Æ^ߊÅ÷Rîßxq}|¿¾E)åËåB +Ðئso + +endstream endobj 1736 0 obj<>stream +èåìèåíçäéæâåéæíâÜÜÚÑÇéçðÕÊ»½§|êèñÍÀ¬«[ʼ¨£ˆQ + +endstream endobj 1737 0 obj<>stream +H‰b`x#va&f¬Â,¬lXÅÙ98±Šsqó`çå0˜t + +endstream endobj 1738 0 obj<>stream +H‰ ‡€ ÀýçQé!Ö™äïC¨ÞWçÀ¹rkÞ÷5mÛC@ï!FJ‰bÄ”8gÉ™KÑR¤VhˆF4ˆ:bgžÌCõ9[»U/‘Ùûkö´vèŸÙ=ç÷ 0ËÈYƒ + +endstream endobj 1739 0 obj<>stream +H‰lÌÛ‚ EQ/¨…¨d‰"JVÿÿ‡n¦öëkGq'I’"„²,Ëó¢(NgƤ,¥Ærg„ h³ÐUõ>B.jš¦òü¢êÚßy ”^ ýþµ°ë±CÆ9?qvcì/t÷¾7 d D ]×Ûˆšq” ‡Î&¥ä< €íϾ-zš`B|m]Ûö¹,Z¿ RRÚ?ø¶  > ‰É + +endstream endobj 1740 0 obj<>stream +H‰P¯þèåìèåíèæíæâæåßáçááçáàçáãèäëãÝÝà×ÑåÛÑäÚÐäÜ×èåééæíÞÒÄØƤáË£ßÊ ÝÌ°àÛÜáßæàÞåàÝäâàççäëÜֺ̯}à¿zÞ½v×½ŒÒÌÉÑÐØÑÏÖÐÎÕÖÔÛæãêÙ¿ƒÓ§5Þ¬0ܧ)Í£G³ª¡­¬·®¬³­«²º¸¿ÝÚáåáèäàç׺qÛ±6å¶2ã¯*Î¥B¦’œœ¦žœ£›¢¨§®ÈÆÍÐÍÔÏÌÓÒ²PïÌLõÐKóÈAÕ±K…z{z…~|ƒ}{‚„‚‰—•œœš¡›™ ÃÀÇæäëåã꺗5Ù¶?á½CæÀ@ϯN‡€vut€xv}{y€ƒˆ…ƒŠš˜Ÿ«©°·µ¼ÔÑØÛØßÚ×Þ«‡(È£4Ò­;Û¶>È«O†€vvu€yw~zx†„‹ŒŠ‘Šˆœ™ ÆÃÊÑÎÕ ›*ëÙ + +endstream endobj 1741 0 obj<>stream +H‰b`€‘‰‘‘‹83 +;¦8'7/? ˆ  + ‹ˆŠ‰‹KHJ!‹KËÈÊÉ+(*))«¨"ëQS×ÐÔÒÖÑÕÕÓ7042R…‰›˜š™[XZYYÛØÚÙÛÀÄœ]\ÝÜÝ=<½¼}||UýüU¥€ 0(8$4,<<<"2***ZO/&6.>!!1)9%5-=###ÓÃÝÝ=+;;'7/ßÞ Àx T + +endstream endobj 1742 0 obj<>stream +H‰ ‹à ÀýGÉGE@@$iÒ.VîâD´Þ³Hk£V.…Î3ãqä¾ï¶­Mev"oMj¥0ó#‡H¨^"—Ù­z›}æÌûëþDü"¾kå÷/ÀÉdR¯ + +endstream endobj 1743 0 obj<>stream +H‰tÎé‚ `Q–Œ +¥BR!péý1¸nNü¼ßœsHBi–aŒ !”RvÊÏür½ !b$ç¼,¥ ” 4t'JŸŒå*Ü¥X¥˜ëh¥”*!4ɲ¤'˜CñÐG^Zëj±µãºnšf‡ÁPkŒÙtÚ?ð¶Ö¶`‘À Ï3¾ëœsGó+Ø_Ðý0Ž£/è6V÷ýå3Á¶¶»/Kæ+ÀÊÄ + +endstream endobj 1744 0 obj<>stream +H‰åþèåìêéðÑÀÆšjmn#$UWXYZ\^bdìëòÇ°µ€@A`V_ìíô½ ¥eS[]`cèäëéç gTeéæíåàçÖÈΦ{~cfêèïßÖܤy|r)*[gëéðÜÒØX[\YahëéñÛÑ׋ORXÞÔÛœloi[àØß®ˆŒ{8:] iéæîäßæ͹¿cj»œ¡l Wkȳ¸„FHgâÛâ›jmo%&lêçïçäêÆ®´žps~=?èæíçäëÚÎÔÀ¥ª™gjr))m ¡|ú + +endstream endobj 1745 0 obj<>stream +H‰DÐy[‚@p¬\XTH[ÀRb ‡pJ"˜ÀÄ0-™£ãl@ÂD1-úùB±tå +¦ê”+§gU¾‚zÌ®ÔÏ/MäOÈʥ崮®o€Bä¶}w7‰˜¾¤Ö|(?>stream +H‰ Âr„0Àÿê !Ä]H8k?Ñî,„ûºþ§ËBçy€LG4 pÖÇc½ß—Ûm¾^Áå2!ÄÆn›$D`Ì!dà}×”*ŒBœ1³mŠ)¥Âqn)ÕJc’Ö‘s·ïÆûê\±63fC8R:cì!4)ƒ>çgŒMë¤T¬õUÊ+ç3¥^ëÛÚÒÚç8>¥<«ÆäÞZûzœçoïß? =©? + +endstream endobj 1747 0 obj<>stream +H‰\Î×r‚@…a‘jÄ`Y)KPbÀP…š÷§ì`œ\óŸ93bNRE3 òÜbñ¶\ò<¿z„Ù MÓÖ‡Éj³Ýí@doûÉDIÚle€ÑöØ8BQR5YV@ô‚#†]ë†iBÑR5m |½°uÃ0!´þ¢iv×Fv0¡g©/vôƒÀq][Gƒ¡gƒàtôý`ŒBoŒ”sôqšìòy->GQ2Èõë2IšÅq^ÿ),ÓìEªIn·º,Ë,kò¼ÀƒßUu¿"kÛ¶¬iúìéþ|¶íO×Õu]¦)Ž’äåW€ƒƒ0µ + +endstream endobj 1748 0 obj<>stream +H‰üÿfikmoqsuwyz{}~|‘(*Ôº¿êêñèåìgtv’)*jlrˆ¤QTÙÃÊêéðhnpx€œACÁ•àÓÚéçSVÍ«±ãÙà‚µwzäÝäŽ!"¿Œèçî„ + –24Ä–šèæíéæí ´twռ“-.É¡¥áÖÝ™:<ÛÈÏëìô™9<ÙÅËêêòBEÚÇÍ‹«adÝÌÒ„•23¬cfÈž¢âØÞŠ§XZÄ–›åßæ øqn) + +endstream endobj 1749 0 obj<>stream +H‰TÏÕ‚@`cuEÁîîÂîîzÿw‘]ÁÕ¹ý¿9sÆd¶p¼Umv‡¹ÀíñâÏø(H~ @ƒYáø ' P8é—•DRý)- ¥3Ù\^³EIÐÚ*KeÈ‚‘•j #s|RH z£Ù20-+‘²€Ú®Úû‘–…þ`ˆUäM‚Ñxò=ÉíÏÛ¦³9ö‚Årõß²®õfëeÀ¾ØíÇØXõ/NçËõ–g@˦÷ÇsûR¼b‘!° + +endstream endobj 1750 0 obj<>stream +H‰™fÿèåìèæíèäëÑÁÇ®ˆŒ‡JL€>@z01daehjmnÛÐר­¢uxžmpŽQSf _dgilméçîäÝãÓÄÊÓÂȽ¢‰DG12ƒ45†45‡45‰45Š45çãêäÝäãÝäßÕÛÓÁÇѼÂѽÃÒ½ÃÓ½ÃãàçÜÙàçäë ö”NÏ + +endstream endobj 1751 0 obj<>stream +H‰b`€F&fV6vN.n^>$À/ ($,"*&.!)…,.-#+'¯ ¨¤¬¢ª†,Π®¡©¥­£«§§¯Ï€˜Ô!]œ0ć 0À!nhXÄ ½Ø + +endstream endobj 1752 0 obj<>stream +H‰ºEÿqsuwy{|…™;<¶x{ѳ¸åÞåéçîèåìprtvxz‰«beÆ¡ÚÇÍæáèèæíŒ45Ž4545‘45“45”45“02¦WYбµãÚàÓ½ÃÔ½ÃÕ½ÃÕ¼ÂÙÅËâÙßçâéçãêèäëçäëåâééæíáÞåÙÖÝÐÎÔº·¾æãêÞÛâÊÇή¬³£¡§äáèÖÓÚ·´»›¢š˜ž ù¼kË + +endstream endobj 1753 0 obj<>stream +H‰b`dbfaecçàäâæáE>~A!aaQ1q I$q)iY9yE%edQ^^UU555u M-a^m(ÐAæ•„Þ! tõ° +ëb72Æ"jbjfnEÜÒÊÚÆ ÀßG¦ + +endstream endobj 1754 0 obj<>stream +èåìëèòȹ¥ž‚IǸ¤€HêèðÏö®˜péæîÞØÖÐƺéæíáÝßÙÓÏçãêåáæçäë + +endstream endobj 1755 0 obj<>stream +H‰b`À™˜± 30²°bgcçÀ*ÎÉÅUœ‡—«8¿vñ ¥§› + +endstream endobj 1756 0 obj<>stream +H‰ö ÿ–q©ƒ"µŽ)º™9¬–T‚}wwvyw~xv}ƒˆ ¤§¤«¦£ª¥£ªiœv¨«‹7Ÿ‹Wzxxv~|ƒ‹’‘–Ž•‘Ž•œ€F£†G­Jœ…V‡{g{x{xwwu|xu|È»ªË¾«ÏÀ«£š{y{yw}ÕÌÅÕÍÅÔÊÁ£žzxåáåàÜßÑÍРž£zw~éæíáÞäÆÄËš˜ŸywêçîÖÓÚ›™ †yx~ëèïÑÎÕ‡…Œusz‰‡Žvt{èåìÌÉш†äáèÇÄÌÕÒÚ²°·ÇÄËŸ¤}„¬ª±}{‚¬©°zy€«©° ÇJ”s + +endstream endobj 1757 0 obj<>stream +H‰b`dbfaecGN.n^>~A!adqQ1q I)iY9yvd ¨¨¨¤¬¢ª¦®ÁŽ4µ´ut90Åõô uAêÀŒMLÍ@êÍÑÔ[XZYcšÂnckgï€à!tÙÚ9:a¨ZäìâŠ)nîöŠhB`Ã<<9Áâ6`„^Þ>X|ÅÎîë‡p 2Ã?«rö@âe/© + +endstream endobj 1758 0 obj<>stream +H‰þýèåìäáèÞÛâéæíáÞåØÕÜêçîÔÑع¶½ëèïÇÄËœš¡ÌÊѨ¦­åãéçåëÝÚáÏÌÓÏÎÓãàççäëâßæÚ×Þ’ÕÓØâàåâàæãáçåâéutv¹¸¼Ø×ÛØ×ÚÑÏÓÎÍÑÆÄÉÁ¾ÄÉÆÌoop¨¨ªÑÑÔÑÑÓÄÃŽ»¿­«°¥¢§²¯´ÐÍÔvvw¥¥¦ÑÑÒÉÉʬ¬¬”’•xwzpnqŠ‰‹º¸¼ßÝâäâçÚØÝÖÔÚ‚°°±ØØÙÈÈÉ  ¡{z|[Z\TSTwwx¬¬®ÖÕÙßÝáÌÊÎÅÃÈíêñéåí——˜ÁÁÂÙÙÚ¼¼½‰‰ŠVVW;;;@@@mmn§§¨ÐÏÑÒÑÓ±°³œŸµ³¸ÂÀÆÊÈÎàÞäæã꣣¤ÒÒÓ±±²}}~KKL<<>?bbc’’“ÂÂÃÖÖ׺ººŒŒ]]^666FFGœœÈÇÊÞÜàÏÌÒ»¹¾¾½ÂÑÏÔØØÛ¶¶¸ˆˆ‰XXY112ÎÎÏ©©ªxxyNNO556PPR²²³ÌËÍ¥¤¦yxzš™œÍÍÏØØÚ¯¯°QQRLLM€€‚ÐÐÑGGH889YYZ¾¾¾““”^^_\\]€ƒ þÔU + +endstream endobj 1759 0 obj<>stream +H‰b`À™° +3³°bgcçÀ*ÎÉÅ]=/?6ó…„EDD0ÄEÅÄ%$¥¤1$Dddåä•”UTÅPeÔÔ54µ´utõô˜™™â†FÆ&¦fæ–VÖ6¶|p);{G'gW7wO/o>N6  ³/ƒŸ@`PpHhXxDdTtLlœD¼ˆŒ¨pB¢cRrJjZzFrfVvNn^~AaKQqIiYyEeUuMm]}CcSs‹Zk[{GgW·cOo_ÿ„ƒð‰e“&O™:ÍnúÄ3gÍš=gî¼”ù,œž´È)lñ’¥ËÕ-_±r@€»7Bi + +endstream endobj 1760 0 obj<>stream +yw~yx~zwzw~yw + +endstream endobj 1761 0 obj<>stream +H‰b` `$N 6eL ÌD¢„Šqf"œ„i81!ð¹Š004 + +endstream endobj 1762 0 obj<>stream +H‰ÁËD0Я·­>ôª ÂdFl$Dƒÿç #N‰TI®¥íÚXŽ=\ÛzÛã„ÅŠS™²©z_11ˆ(|(A‚' Œ´Î6‚™q˜îëqyÝùß<…T;£Š²ð¯Æ7 + +endstream endobj 1763 0 obj<>stream +H‰b`€FÁÄÌÂÂÂÊÆÎÁÉ€ +¸¸yxxxùøùY0€ °°¦0ˆbEHŠHFt 1°X`‹ˆp‰@-…¹B3ñp!)E-.!‰Í.A)iA,6ŠÈÊÉ#I iRPäÓ¢Ùú + +endstream endobj 1764 0 obj<>stream +H‰Á½‚ à§î%PL-î¼®ÚI±GPˆÁ§ðXý;wÑÁAû>0:Ø؃Äuõ#Œ(çŽcÛ¶iš†¡DZm;¥~Ù'ϲ\ˆâõ|L‘ìû¾®«µvžmÓ4Ƙª’×„Ñ ¢Aü`¡Øb¢ + +endstream endobj 1765 0 obj<>stream +H‰b```d@Œh|¢Iú˜ðI2caQ +˜!v²°bw;B!ààdaââbqn^>~>Tq VA!aQ1q ITk¤¤edåä•”UTÔXàâêš‚ZòÚÚ:ºzú|0û «³ + +endstream endobj 1766 0 obj<>stream +yw~zw~ywyx~zx~ + +endstream endobj 1767 0 obj<>stream +H‰b`d€&&Ê3˜dDeÄ"µ”°‘@%ŒØ5cÄ(dFb³`×ÂŒEŒ¸à!äf| +­0 ' + +endstream endobj 1768 0 obj<>stream +H‰‡xÿÿÿÿæææ´´µ««¬®®¯’‚…ƒ…~}‚yx~yw~òòòÖÖÖÐÐÑÒÒÓÎÎΤ£¥Œ‹ŽŒŽ„ƒ‡yw}xv}ÓÒÓ·¶·¸·¸º¹º¥¥¦‡†‰ƒ‚†„‚‡øøøóóóõõõââãÁÀÁºº»¼»¼¸·¹ØØØÓÔÔÐÐÐýýýôôôþþþ {@`Ë + +endstream endobj 1769 0 obj<>stream +H‰ÜÈG@0À¯ˆN¢÷?ÞCN`–p‘dEU4Ý0L !t7ØŽëùAÅ8!„ÀSšåEYÕ¸y}K)ëúaœà‹ÎÞ² +~cœSÁÃ~ýŸ ¼§{ + +endstream endobj 1770 0 obj<>stream +H‰ËÉNƒ@Я¶,-3SÖز4©‰H‰ið +Ö›èÍ&Êz#èaU“w} ’X‘ˆç6ØÝ +_PÄ‚R5zÍ14³$ ù‡EQ$I’e™m;,+@@#ÈŒãØ÷ý0 ×k¸ß?l7’(*ðyž›¦IÓ4Š"ÏóŒWCÚʲ¨ÈÒŽe£|ßwœ÷óùÃ0L»gÖü4Mu]ÇIòå~/M×õç Â0ü¹\TU£ (zI¢¶mo·º,˪ªò<7M˲Þy‡ ë~ºÚÓ!ŽãÓÉÆ¡ëº_«ý›Í + +endstream endobj 1771 0 obj<>stream +H‰b`F&Fb3qV66v,âœ\\Ü<¼¼¼|¨âü‚BÂ"¢">stream +H‰´KÿèåìéæíäâéÕÓÙÏÌÑÏÌÒÐÍÓ½»ÀœŸ˜–™š˜›vuvXXXVVVWWWYYYZZZçäëâßæàÝãàÞäÏÍÒ°¯³«ª­®¬¯«©¬‚ƒ^^^\\\]^^]]][[[áßåÔÑ×ÒÏÕÓÐÖÑÎÔ·¶º  £Ÿž¢¡ ¤—–™rqraabbbcÙ×ÝÛÙßÌÊЕ”˜~}€äâèáÞå×ÕÛ³±¶£¡¥¤£§æãêåâééåí Kx + +endstream endobj 1773 0 obj<>stream +H‰b`F&fV6vN.Nn^>>~—”’–‘•“g€FFFE%eU5u M-mt ¢««§o`h„"ÔÅhlb"djfn¡l£¥•V kâÃÒ- + +endstream endobj 1774 0 obj<>stream +H‰ ŽGOÂFÿ%£‹²Zz£­Rw4Þ4 +4 C†Ax4&ŒlÅЃ”î24úì—wz‡—}>¿EÝn÷Éñ)a0 óÙÊïGO¹TI&ØH„ ‡I’¤È0 bKãû•3×¹ÄUŠM¦÷v÷½^/A+óGžëÂpÌ¿C^äoµf*Á²©t,…‡Ãát:].—©­5ÙR¦"ýW¯6õV¹x»EoC „Àˆ=†ç3e,|LÄéëµf6s“Ëò¹bì®…PÕ•¥"éÒLå9¡Ûîw;ý^wpW©Òã8A È’}ir_©ò¥Ráöü,JQt °ô¡®,mýüÔf˜Ã×Öïfió7äGñØåáÁ‘©­4ÙÔ«×´_Ÿ‹÷ÑT•Œ—Ä* + +endstream endobj 1775 0 obj<>stream +H‰b`@Œ8Ø(€‰™……UŒ¤š•ƒ““ Õ 7/¿€ †6!aQ1q I¸1 %-#+'¯ ¨¨¤¬¢ª¦®ÓÇ(¤¡©¥©­£««§o`hdlÂÓ#$$%%%djffnaiemckg/!a ²O µœ]\ÝÜ=<½¼8½a`mš>ÒÚ¾~þAüÁŒB¨ D#4,4\‰'"MB**:&:VO!.^ HHLJNÁ&´15 «Йé×R"l + +endstream endobj 1776 0 obj<>stream +H‰ ÛJÃ0@¿³ËeM»Xcjãº6·5ÝOˆø¤Î!Š2/LÄWoLÆ cCŸd2Ôÿ0çýœÓjy@’®(´tFÕBâ^®®ËÂZ=ˆ#* !¢4!$â>stream +H‰„Ž×‚0Dƒš‹ˆEEÅ€{ñÿ_¤@È>¸“‡ÌžœÉ"Ç¡H4†¿¯ðqŒŒÑOø„hAÁ¾$Sa`@éL0'›“ò €ä"¦«ÀྀRÙéë¨à?@QÿßÕHÍíU­ß0MoP£ÙjÌB¨Ó•)èõÃÑX#tñd*â™æ‹åj½ÙîöÓÔ%‘§óåz»?ž¯·e„è |³Œa + +endstream endobj 1778 0 obj<>stream +H‰ Ëׄ Àþ;RÌ#£ —k9föw)Õ„(Bä¶McGh_׉-ËD3sÎݾ[Æ´”^Ð:(¤J•1Q먔·6“ªs…së}³6 1£‰ñ áp.ç>stream +H‰dÎׂ0ÐÐ *’Ä(͆ýÿÿÍYÁžÇÜ33˘a˜–e;ŽÓÃë»®;ð>stream +H‰ÁB@à÷ ›Ý¥pemW]—ÃͦV³B~Ž#Ô;ô}ù•bº¹ÌE[1¿¥¬o§¾›90åQ’?«âUY=ÊŸ¢@ÓtȉQ1¥„½³BÃB®¡Û9gð0 Yì_¢û5UÕ%º¦!ma°‚PßØž·Ã®³_[[ŸFM)EÕÿø—i( + +endstream endobj 1781 0 obj<>stream +H‰b`Y€‘ »83 vqV6FvvN q.n^>F~tqA!aQ1&&T‹Ä%$$¥¤edåä™Ø’ +ŠJÊ*ªjêšZÚ,:,ì P)€³C + +endstream endobj 1782 0 obj<>stream +èåìçäëäáèÜÙàáÞåéæíØÕÜ·µ»ËÈÏãàçæãêâàæÊÈΤ¢¨¯­³ÄÁÈÍÊÑÛØßÂÀÆŸ£¬ª°»¹¿ÓÐ× + +endstream endobj 1783 0 obj<>stream +H‰b`8#q&fFlr¬l윜\˜æpóðòñ bJ0 ‹ˆŠ1²"Ä +A' + +endstream endobj 1784 0 obj<>stream +èåìäáèéæíâßæ + +endstream endobj 1785 0 obj<>stream +H‰b`± 31¸ + +endstream endobj 1786 0 obj<>stream +H‰ÁÛR‚@ÐÿITîè*(eN‰©8£«YDéš‚ëFfßÐ9ßø7?ž÷;<ƒ¶Ú¸.¹v«óòì¦ññ‡üí‚TUIîiÃõr‹ÓÜ_GM¥E]ÐLY›3‚Ohé7ä+ºÄólŽ-r8e1yu<¨TfiQ®©¶åäø¼pÞ9F*PQæö"‰ÓÉ£R¿Ìâ½­´Ûþ +â03G°š%ŠÝ 0ÚîEˆèvÁ&þpÑ| +ýÄšÎM¢O_ï’P¸êM»{§éž»ºMþ²Uø + +endstream endobj 1787 0 obj<>stream +H‰\ÐW‚P РDEAŠ”§ØPŠˆ¬`òÿ%ù~M>Ïdn +€P©ŠˆµzC€¿’š-Qi«Ô5ÝÀŽi°ƒu{.õþ`8{kJb|1£YLSÜy€ab“a±\1îk‰øf»c˜:qi¯¢®ÑþL§3qõ’pó˜Ì-®÷ýÜÏѨ_K>•™ô þ‹/Y¾?ôدǒó‚úO€ï¿º + +endstream endobj 1788 0 obj<>stream +H‰ ÊYNÂ@Ðû¢I¡éìûÒB ”¢1!Ñ–¢U¢D½ƒ¾ï‡( +!€€œ`$–¨àyN´ +]{·ZÞ·‹ÍªÛöýpùx9¿_‡Û×ç! +ÿOÄ‹‚Y¥pÖÆÝãÓù0÷—Ãóùµ¿~ß~3”jh–áѦ)L’qš‚ªœ­–Ûv¾žÕÝÃvן†Ëé­?œ[Æ,!2d”BÁm»XwífÞ,…pœ;JU–¡$ÉÊXW±ñ¶Š¾–Òsn0RŒ¸RR“çx<.´.µŽÆTÆ”JE)ƒž1mmeíĹ©sï§!4!ÔÞ×16 +ÅÝ + +endstream endobj 1789 0 obj<>stream +H‰dÎéRƒ0`ªÅ½JÝ¡µnÕ*⚺§`´ (‹R|ÿ÷ðÞÐÑqÌÏûÍ99 +¡T-MM—µ™Ù¹ù…Å¥Je¹ +O7”šII™jz½¾²º¶¾±¹Uµ,Ënè@ +iÒíÖÎîÞþAûð¨s|ÒqNm$×0BÏ4ÓTÏ/.¯®Û¬ë4n0EhS½mÝ)÷Oœ3Ƨ¦»üåkþs­ä >ûB®ç¨¯ýþ`8B uÁ Ðu‘üÑ( +Åçï2E2€„ {v„…I’ÄE¦èãÁ…x—™`"r„c§iú! ñ7Ä>ÿÀ Ø_eÙxœç¹dé……_ÂO°øÿãˆà[€_Y_ + +endstream endobj 1790 0 obj<>stream +H‰ Ân‚PÐûŸ¢Q6Ÿ?à3TLÆ€µ@©“½*¶ö íË»?C7•yûÕâK‘6eÖRº0M{m»Ùµ’€¨ªGç<­³¤nÊ~¼=AHˆ¡Ó…¦Z®ã'ç"½–é¥È“j¸MñwŸ¢9NfYiγÿòÂ"¤o\»ÙmÏÛî/§¬«GIB<F”e¬Ó¥iØo~G§(<†Á1K*TY&’„YVfy>8úÊ^9ËÅ«e­}/È“ºÈZEÑD ĈjªI°‘¾ßE;/Þ?›j¸O)Ï+S©¦YñǹïîêŠB¨ºŽWd !&Æÿ apèšQU-B,Ë\_OyßN [×ñî + +endstream endobj 1791 0 obj<>stream +H‰b` 0âgb`Æ*ÎÂʆU ;'3##†&n^>6~AF!!!dIaaQ1qQ I)iY9yyy¨¸‚°¢’²Šªšº†¦–6+«T\WAXXOQ߀ÝPÜÈØÄÔÌœ¢ÇÂÒÊ +(§gmckgïàèä,Ãêâ +t¡›¥®»‡žž’§—·ƒ±¯Ÿ@ ƒZP[°…¥¥P.DOQI!4Œ'<"’9J-:("–æ1Pˆ‹JHLJŠRS rsJè­ +±ÓKNIMKOI©A¤€–YézXfdf¥¥%$$$A$€6 tš` ‘1d + +endstream endobj 1792 0 obj<>stream +H‰ ‰Ä ÀþÛɧˆ" ¨—ÜÓÒ¹³!PåºÖ|ž+Çšö}…mƒ €c¬)IJ P5ç†(D^ŠÕÚsV‘Á>stream +H‰lÍ׃ EQìš VP 64ñÿÿ0C‰1…ÇÙëpBžçû~†aÅqœ¤i–e—+Ðwú‚ó<7ö%„ƸÔ|n €¾—_]@~!¨à‘?ŸÕ5@ÓØൔÒÚ¢3‹¬uÒ¹ÝXϘ°•]ÃXr~cGô†a”²çÜ6šÜÔ4Œã,å)ê ,Ë4½ŒB7j]W°m“‡QqWJ>stream +H‰ ÊWr‚PÀû_E¤½Ê+ŒA!:Db¢ÞAfös`X¦¹ †AtkRÕ\¯@QÀjeBȲÓOŸ†î©iXYŒÅ¹¼Žý BnT׉¦"ËrqÖÜúéñÆX"$ ðý.éÛyêßÑWŠ7Lí„Ø„ÈcZRê¤Iq«;Jm‚¥ç„ÕåoèfËr’Áö»þ½3æ1æbl£%¸»"»´ÿCÄuÕ<Ú™ó çÞ!ÎÇî9õ¯$Î…ðm;ÈOg)·Bl',‹ªmFÎ<ßêkû`uÉ¿_ + +endstream endobj 1795 0 obj<>stream +H‰TÍgCƒ0€a³* +b¢„ÖQ¥FëH•â¨R[AÜÿÿÇx$Ôqßç.QT„4MÓuÝ0M˶;KË+Îêš«(ªºÃ3-Ëî¬û.&ÄQ`š« ÃÐó6£­íS?év é!„0ogw7ý䑱cèÔIútÄ_‡.ôt0 ” +ê q¢#Cÿ„¨ìø2fl8L[KNÏÎ/šÎyÄZ£t4JøåU“q6siišçùõÍí],ú_€›ÉýƒØ/¦Ó ˆ5CgsÙ Ñ@Ÿ\‘qY–ůeÕsÛëº*_ò×·w™qUÕµ4 Ï¯yÛ¿ç5 + +endstream endobj 1796 0 obj<>stream +H‰„{ÿèåìéæíàÝäêçîáÞåÓÑ×ÎËÒ»¹¿ÆÄʱ¯µæãê·µ»žœ¢ÜÙ஬²˜–œßÜãµ²¹š˜ž•“™äáèÏÌÓ ž¤‘•“‘—ÝÚáÿǒ–­ª±™—ÊÇΣ §–”šçã뿽Ãçä뻸¿”’˜ÑÏÕ±®µµ³¹œš ØÖܨ¦¬ B9aò + +endstream endobj 1797 0 obj<>stream +H‰lÎG€ CQìXE±÷rÿû9®M–o²ø„ Q 2a»ecw\ìž9ä(NPLšåx¡ÊJÿ™Ö0ÈUÛAï‡QŸfi€/|Ý€Ób?³ô¼´ÿûÙ>¸FŒ + +endstream endobj 1798 0 obj<>stream +H‰‡xÿª¨®–”š”’˜“‘—‘• ž¤ÑÏÕçäëèå짥«¡Ÿ¥ÔÒØéæí¢ ¦×ÔÛêçî›™Ÿ’–£¡§™—®¬²ÛØß•“™ÒÎÖãàçµ³¹ÝÙáæã꺸¾äá輺À»¹¿Ÿ£ÄÂȱ¯µÐÎÔÌÉÐÑÎÕ¤¢¨×ÕÛ»¸¿ÞÛ⨦¬ÇÄËàÞä ë d0 + +endstream endobj 1799 0 obj<>stream +H‰lÐÉ‚0DQ¡‚„8` “# 8¡ÿÿ}„,Séå]¼ÓÝ«(†HÖ©ô&‹¡6[ß•°¾Ûç¾4 ìƺ)Jò +@Q7Ô·ŒÓùâ³óëØù=¥Ì⺠zÔõ!×æ1p^BtcôäIOsý×›Ž]\}¾AŸ~ÞFÊY€Yô + +endstream endobj 1800 0 obj<>stream +H‰ÁÙPÐÿ¢h‘’©‘¹D–a²r-e*"c q•Á78'z~|ïØU‡,“Ç’D +£bôuV^µÜÈPž$¡±$R¾õA¦™óáÖiÒ8}œ,TÁ1’&Ùàö»ºÀKÿŠ•ýöÔS5,AHbÉœÛPD6Ç +2¨éCC‘›c ¾_¿±×öNm÷çÐ +¯/káÈ¥ÚljFèsÜu¥Åйû­LWÈK¶µ‰ŸßÉhÆsb(×Ü¢¿sÃŽ + +endstream endobj 1801 0 obj<>stream +H‰lÎç‚0`4FMÁ Á +Š½€Š½¿ÿ[©$N8'ûó››½5 ˜\ð'\,• Õy™XãVÕ¦·œKÝ„-n½Á¾Ì=èÍV;uJ wºâ+E€{> +R'}àƒp(ÖŒÆÀ'X0žÎ²>_˜Òý%¿’Σ8Ó¯7Lœó­«<ÙíÒíHyr<…?>_TÏÕ¹ÝE;õÏ×[€Là  + +endstream endobj 1802 0 obj<>stream +“‘—´±¸Ú×Þæãêèå층¼áÞå¼¹Àéæí–”š½»Áçä럣ÄÁÈ·´»ÔÑØÀ¾ÄÓÐ×êçîÛØßäáè + +endstream endobj 1803 0 obj<>stream +H‰ÄÍÉ€0 CQc”°8²ô_+ |ÎèøF#Ùâ« –2±lÓN~œ^.ö»î?Ž¬Æuygø*åŸÌ¿å`¬ºú + +endstream endobj 1804 0 obj<>stream +H‰ Ç1„ ÀÏïÂåZˆýYCø +z¢œÝÌã<ÏRÊq1Æœ³16¥•È¤”´¦õµ¦û¾C¤Mï}Û6"«Ô·µæý ÀÅôqÎ +.Ôó<×u9ÿ–3.k­Ëo‘R! +dÓ¾ïÖX† §¿Ž°V# + +endstream endobj 1805 0 obj<>stream +H‰´ÉÅ€0ÁàNHpwë¿A¸{²Ï!þÎ0 X6Ç%àù‚@㟤þLéBQV5†¦íÐï‡qš,ë¶Îë~ÞO€ñ:r + +endstream endobj 1806 0 obj<>stream +H‰’ÛŽ1 DžéÄw'éît27´ ì @öÿðHV^lWÕ±ò|>3RB.(­‘oRk£¬³l³¬£íçuŸë>¶ýèǘs^®×ÛýþõííûãñçïóßççÇãcI9gT`Ïì lcïZmÃ_jç¶_Ö~^ûÜö±‡ZÇqŒ1ŽÐ¼ß¾=~üüýëíýýË)Ä eL™P&C­Rº¯£n£ms‹Åq¾\o‘#¥œ3, à„–¹@€èŠºQéR‡­Ó·Ùö¹÷sïsßg)‘ ‘pÉQᢠ _™w­ÝÛQƒ}îÅ­˜¹ª !! @ØQ¢’¸‚¬\»´®êfÕ½ZÌkQ1fA¢˜È³wo¥4/•‰3#/ è‚*óÎlª…Eˆ–%Ðð•QØ)NŠ]eqµZ¼©¹H¬+Ä–|JY^^‘0ycŽ‘ZjSQ¤xœ%€Š²2Éi %6B+e`Œ–©ÕªVR ák¦¸ZüÔÿ TFBÕ + +endstream endobj 1807 0 obj<>stream + + +  !"#$%&'()*+,-./0123456789!:;<=>?@ABBAAC45DDEFGHIJKLM +NOPQRSTUVB344WXY7Z[\9]^_``abcdefghBCiEjkl[mnopqqrsdtuvUVBwCWWiDExFyzp{||}qbdu~fgAW€‚ƒ„…††z‡pqqrcddˆ‰UŠVB‹ŒŽ‘’“”•–|R}aa—˜™~fšA›››œœ”zž†”zoooorqr—ocd˜‰TUŸB ”¡|q‡z¢e’’“oor£¤¤}qbc¥Tg¦”o§…”¨¢““†zoo‡‡££©qqacª«¬‹Œ€­®§oo‡sso†¯†žr{°°R|¬¬CŒ5€±²³´††„µ¶p‡z”z‡·¬CCŒŒŒŒ¸€¹º“¢»¼°½z´¾¦¦¨‡ + +endstream endobj 1808 0 obj<>stream +H‰=o‚P…z‘{ß/.” +Jæ¶ ÁÄŽ²0±‘0IÙ‰M™{}r–ó‘œçó9 ƒgŒã(¥@)캎XÞœëêišŠ¢ÐZ;»õu]'I¢´]º–²,}?[«뺞òœ€„„‰ ¶m»ßï?_ß‹3Ñ<Ï}ߧiJ†É0‘Ûí–e™Xˆ<+²ŒãDZVñÚ^Aþµ­°heŸaY–¦iðñxTUÅÌ`јç¹XƒDHÅåGÑ> Ã÷ ð}ãÉïùœŸÇcí?^±ï‰ü 0«l¥q + +endstream endobj 1809 0 obj<>stream +H‰dÏYPàì²d‰HNY²‡lEäØ“ìûÿÿ%\g.ß¹˜g(ŠˆËíñúH¦ü M“ +G¢ Cz,žH²,é©4Çe²ó9!D r¡XÊrE„^­ÕÍ–¢@owº’Úë Gš.ŽUúi†aHSè3snàx±4ñ +ã9¾Þlwº®[œÝÛd"ǯŽ§óåz³¡ó÷Çóõþ|ú 0ÿ²ü + +endstream endobj 1810 0 obj<>stream +H‰PÇ‘1Œ]x#7{ _«ŠÐDLÄUC- åíÞ3²3gÕîyç¼kݵïÞßš—H˜•ÙÌ/GEG®ÌUµzžs÷þóÛ냉˜I…MÕÕ`|e­µ¿}¾ 16„Ì-ºû<˜ˆÖ³˜Y»z‹¢1ŠÊ葇Ÿ‰y˜EE¦G¾Æ•ÚÈW25} ÜÉ, $nÎ,O[™ŠÁ8Ý2|æón¨Z8ÒÀàéàiÀ˜ ¦>ë=ËÍ‘ÞN˜Eg4æ™ÿ ~)¼Ú + +endstream endobj 1811 0 obj<>stream +H‰Ži[‚@…4[¤‚ }aLmìœvÈP‹qŠ1ÊIh7[µõ÷7z?ž÷yϹ!†e9Ž Gz¢½}ý1>Æñ±aA¡$–dyt,:>1958=3;Ç+@ˆÏ3L‚eÙ$—JÉ ‹SKËC++* ½ºšY[K$iŸ$­G"cã±,PU!.n2[ êl˲¼³»·¯¨ëºª(™ md¹°$ѱܡ‘Ï +æEAˆwŸ8:æ8•pîÄÐ <íhEJ!†MZ–E.ŸÙûçyZ¨ªJZ¸C!R©˜„ %FBÐ=áR¬:ˆ¸®kÕörWRí¬ŠÒ½:%6•:¹®Û~àU¯R¹½»¯=àGûPÓ@ú~xFˆ˜&©×'/ mZóÙ¶}ßy¥ðܾÜÝwúŒV»ÕúülvPàaÇ!úAèë»Õnws;Ê¿‘Ÿß¿?×ý`M(Xù + +endstream endobj 1812 0 obj<>stream +H‰ Ì»nÂ@@Ñÿ¯B,^ÞÇÌzvØ]Û„$^‘ÂO 7Y4*(,ñˆ¥Ûžû|>Ç£–Òh¥…“ñd8$I¿×{{}y<ûý”²9CÐ"MÇãa’$ïýûý¾ÙlÜt +Jz&oÉYâÌXD£HѶíbA¥ÎÏY` +lsŒU†òr¹TÕagMàì|>ÿ®V Ó)iÏè›Ãáà+1rš¦A%ÒÀ°Ûí°Û’̶Ûm°3Þn·º®anMÎæt:­×(ÓœLÑÅFNFV‹’° ¼^¯ËXy2sÏŸžçÎΘŠþÄgÅò£¨Êð»¹ã’³¿ÍÁ + +endstream endobj 1813 0 obj<>stream +H‰b`@ŒLÌÌ,¬l율â\Ü<¼|üÌ‚BÂ(â"¢bâ’RÒ2²r(ò +ŠJÊÊ**ªjj¨êÊØ$¸4µ´u€@WWWEYBOßÀÐÈÈXGÇÄDWYÂÔÌÜÂÈØØ(a‚"aiemcc ”12ÒÑA–°µ³w°  ²„£•“388€dÄ]\­ÜÜ=€(gcƒämO/o_?° ùÎË? 0È×@ÚÁ!¡aá‘QÑÑ> I„„fLl\|BbRP´Ott4@€—„*Ñ + +endstream endobj 1814 0 obj<>stream +H‰NG’„0 ü>stream +H‰$Í÷W‚PÀq£ŠZÐ )mJ´lš•Ù°½´= ]bÙ P4ÐT²ñ?÷Þñ{îoŸsïµaÅÇqÂj#°ÛÎ +¥hº¬¼•ÆT¹¬$ɲÕœ5nŠöÔÖÕ74Zš¼Ç8ÞLÂh®Áø–Ö¶ö_gWw—â¸Þ>‚°ùûûY6À µ‡C#e£cåE^† 븟ôó<‡'Z'§¦gfçæÝ8Ã,U¹\‘H4 +q`yeumj=¸Ù\ °­­íÈÎ'v÷öŽONiÌY¹“$)`qñìE‘Q*Í0Œ”®£Ÿbú†ðóR&c¤`º®¿d³Ùt.—CfšæD­¥Rùïodé¼jªè¨aüäóCò Å4ÿþ¾4Mû`xvF + +endstream endobj 1816 0 obj<>stream +H‰ ÉrÚ@ÿÿ–r0B£Ùwi´ í`lŸâ¨ +Žœ€¨ê»û=ŸÏãñ˜Eá{S eÞË&KVq˜‡:52–Ü2¬ T(8NŸ}ûÙµïuUÆafUȱÂ@Bpùwi›Úiµ©«®Ý ݶ­7UÙËåØÑ2Qâñ| CïÏg’ÂÔÊÌé"±UæÚ<VÅG[ï†þk½þZ›jõx<ʲðfI‚HQgxÊ¥3«Ô5yº®Ëm×üú¿ëa×wÛ¦¾ßïIâ˜kµ@FâPR§GKdNå±i‹l¨ +çB +š#Å¡âÈŽ˜"Va§Yª®Èô4CZ`ͱãÆq†%–ãÀ“ J†Cqì0\ + Œ ·ÛQB0#@G`©Dq|¹\²,¾ÇžG X9^-¨Xr$9£Eñ~¿ŸÏ¦8ËØ +ª1’*AC‡ÃáûË·éä'…¾$HbÄ$ÈW:Éœ‘V1)P |>Ÿ#¾7'#ƒÑlòúöû•¾¦ˆ"@±oŠ4 ×’\¯×¶mÓ4)Š<Ï—o³é¯/ÃHòHP†“È +£Èës…m + +endstream endobj 1817 0 obj<>stream +H‰b`€F&fV6vN.n^^¸0¿€€ +›°ˆ¨˜\X\BRJZ†_–YNž]AQ &¬¬¢ª¦®¡©¥­£«§o `726153·°´²¶±µ³wp„‰;9»¸º¹¹{xzyyûXùúÁÅýƒ‚‚CBÃBÃ#¬"âQÑ1Á±qqqñ ¡‰Ipóý“SRƒSÓbcƒãâÜÜàîLÏÈÌJÉLŠ§egÇÄ@Esøróò³23 +ÓÒ +‹ŠcK â¥eåAÁi©©ii©%±%0qÆʪêšÚºú†ÆÔÔ¦”‚æB¨xKk[{GgW~JLwOoJZ!L¼¯ÂÄI“§L6}ÆÌY³Ýæ4@ÅçΛ¿`á¢ÅK–rO^¶|ÅÊU«¡âkÖ®[¿aã¦Í[¶nÛ>uÇŒ» ûi` + +endstream endobj 1818 0 obj<>stream +H‰‘[’¤ E÷¿‹)åM‚¢(>«ªgº£·4TÄùÍ{âÞܪ +imáC¤‘’>}pÚˆ +«´@ÚŽ@ à&tÚ0  ò'ùÁkè;ɬ †bMÆHUz•ò÷8þç»”}³5^I'yÇ)`ôÚÊÏuÿ¾^ßçyç”|?Õ+i%3ŒèE vr*z—°-Ó±ÎwZŸ9qÙBÈÞÇÞF;ÁeÛ@Û(ŒÄV±ÑÖ+»/áJñ.éJëµ®gŒG\ò4ÆÁ…®ëAZÎ %J`-±Òk>Z9&Ž.MC¾„q_æ4úÉh+p¬êw@澓soVïŽ8ßy}ïÛ×¾Ý9—0-½õ¦.#œ`–SÅ«…è¨ZØùÄ*ê;Øcxïåûºž[Ùæuù:N‚Z“¬SÄð,ùÊëgA[I±ÔqŠŽâh÷5€@À" IŠ´¤F`§«B§PAZY'™Sì¿£uG + +endstream endobj 1819 0 obj<>stream +H‰b```dbfaeeccçàän^>>8?°±rr‚¥xyy˜…R`9666ˆœ°ˆ P†¨STT¨‰•“CLL\BRJZDDFV(%¯ ÊÀÏ´‰CQIYEUM]CS„ ªIKd¢¶Ž®ž¾š¡‘±‰©P¹¹–¼<Ð6 K+k[;}{G'gSW7 8P£»‡§—·¯Ÿ@`PpH¨aXx„ÈÀȨè˜Ø¸ø„Ä$Õ   ûä”Ô4ËÓ#ÝÝ32³²sró’ò +‹ŠKJËÊ+*5«ª##k¢j³êr,ê-ó›’}JRJ ›[ZÛÚÚÛ;Ük¢:;»ºëz¼{ûúû'LLIo›4¹ªª½}JíÔ(Ìi1ÓgÌœ5{"ßœ9s[@`^uudPjþ‚˜…‹ÏZ²tÙ²å+VÎjš\µª¶¶fµGךµërÝÝ×/ããÞ°qòä¶É-›V­Š¬©™Ú5s@€õþm + +endstream endobj 1820 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?Ú£«^ȇWÔq/s`ø¬ ®$,{QCºþ™…‘î¥ÐãæPÿaÛþ—ñÿb̯-í3$_Ú7þòJ§ÿÙ + +endstream endobj 1821 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?Þô:¦šÜÑóG«ëµÜªÏÄýê­fÑ£Èpø+C/ +ñ6 îž$BÚoÑÕp¯¯‚{gÖ§÷‚ÃKƳ݌òñPý•—ûÿŠ>stream +H‰ Ä DÏ^PTþ´Ýû.MH}3L0+ór¯ˆ®;¾{š¹© +áÃ{Z›hϯÎ$VMÕ ÷;㮼3ïˆt e–µÎZÛ´­2¼¿žh¦Gxª˜ˆž¶]Ç­ÛIóô¨¬'ë­zL]XT+òÉ|ÖâµegvѨfòQkÉù«úuÂftcqw«Ì—:Æîür¸µ=à5í„1æš_mZµU-iŒEÔ¼îÀœˆs b ˜s66·°Àx]8° aŒ6ØBlüúˆ>FO¢IsÌÑ, ÷JÇü 0gÄÍž + +endstream endobj 1823 0 obj<>stream +H‰Y[‚PEoWhT2.ÙhZYÚ FÚ™d6J™˜‘FVÒ\ÚÜoïÚùöÓÚß:´è!‡lïèìêîñú|To¯¿F X‚ëçyÏ@ spÈ;s×ul=T«ø[¹BY}z~y­× ¬5ßjµGË4[­ÿýãóëÛq- Ö*UËÊ›?ï¿¿Oߘÿc§Ù´í +æù?èiZë + +endstream endobj 1824 0 obj<>stream +H‰P×n1ûvŸV¦©l¹nŸãCb#Ý)FðŸE ð‰œBÒ Q|2 ¥¢4¶IËleÎmÛ¦ý²;í÷ÇóåþñéúñùåË×o?~þúûöïv»½¿û€ÃŠä}TêS®Q[Ò&y.m7-Çíþ´;œOçËåñútýôüòùûëëï·?ч8„è=>9  °‹2 %%/ºb–2•º´¶§Ý¼=œ>\¯ˆ)¬‹Ñr7 tˆFk„%×>X–#%Žú t!mú<ð5rM:æºÔ¶5-*ƬLBH!€÷0xp]7æûŠl¢94O=`ÉV•-% +ÇOwï@ÌZÎ-[3«fEµ0 #RŒÉp½¦.i³ÜDŒH‰$b÷Ý€ªU¤‘šó¨šE²vF«öË6–ÜL;“…5õ\€¦•¥›¯¥Œ”$!'$ÑRJÎD™;8çÞa‰zÆ€ +b¿ ´J­¶©Ö™WÕTºùÑò˜ËBï-Aìæ“&2ÕÃJrD)eú/À\°E} + +endstream endobj 1825 0 obj<>stream + +  !" #$%&'()*+,-,./01123456789:;<=>?+@,A---BCDEFGHIIJKLM?NNO@,,.P/QBRRSTUVVWXYZ[\]N+^__`--abcRSdefghiYZj\k?N+l@,,,-mmnnRop23qrrstuvZwxyzOl{{_`|mmmbc}}~qqrriY€‚kƒNO@@A``„…†‡ˆ‰†tŠŠrt‹Œ[Mk?NO,__`„vˆ‡†vŽŠrŠ‘i’“”‚•z–O+@†—isq‡˜˜™—ŠšŠit‹€[›œzOžqŸšr ¡¢£‡qŠ¤Š¥rqt—“€\x¦§†t—™††—†™†q~~sqqq—YŒ€¨©ª«¬Ž­®¯¥—¢°±¢ˆ²³´Š¥šµµ¶·¸¹º»¬‡ž†ŠŠt—~q¼†™†q³A@½½¾¿¿À»£†ŠÁÁrs~††‡ˆ‰¼¼ + +endstream endobj 1826 0 obj<>stream +H‰WSêP…¼ˆˆ¡(`D¤Ø@ô +¨HK?I ¡¨^òt3ßì—ÝÖZŒFî ‰lí©$évYèÓêtWRÏÚ†ã8è\ùcéXú-ùʾj¥¡sí¹Ð[I]C쬺äÕ%«Ìêï8Ív‚ï¹çìÚM…ïòñlíñÏÕ”7¦[•t +lÍx z_²êÌêÀwöÛVû–Ý4£f»ißeÅ}¸/JDS‘dñ¡HçHåÿ¬6 Í1èÀ ç º<æ2£¸ qlüí:L6âôa§á“‹D(‘½É”SE6SiÔ›CZ™p0íö +h«ÛäCøö&Ÿz sïìc[û¦Ý° [VlõØ\~9 ßãÙ›çJºò´V–úÐ]Š½u¡ô‘)SϹx:Kă±q:ò1¯Á=»¶C‚™C æ=pœ¾°7?»~Š$ ñLí^£Zeñ‡”Gå:ùúQ}y-=çó©Ì¿x:yy—]ÝQ'°áG{(¾Ø·lÇæ´bÇn?~zq³½LßçÉ\]}ãzÿæœ è + +endstream endobj 1827 0 obj<>stream + +  +  +  !"#$%&'() **+,-. ##')/0123,.445#6%'((7)89:;<=>2, 5?@#%'ABCDEFGHIIJK.445#''BLMNOPQRS=TTU1,.4""#'AAVWXYZ[\]^<<_`1Kabb4cd%eefghijklmnopqrst,221,u45#vwxyz{|}~€‚ƒ„…†]‡‡2ˆ2J,u‰Š‹ŒŽ‘’“”•–—PQ˜™š›>`1œžŸ ¡¢£¤¥¦§¨©ª«¬­®¯°±²³³H´µ¶·¸¹º»¼½¾¿ÀfÁÂÃÄÅÆÇÈÉÊËÌÍÎÏÐÑÒÓÔÕÖ×ØÙÚÛÜÝÞßàáâ + +endstream endobj 1828 0 obj<>stream +H‰‹Ù’ƒ Dÿÿ׌ +÷²— Å-‹QçeHÕ©~8ÝMùrO¹£ÌÓRæiî~2—À/ÉÉlŠÝ ï Dn„Y’»L†Lô©èO±ŠžßwùW¦¦ +žpË‹‘›ÈÀu$0r¼Ãy„b„èM8AÕ å ç ̘ÉêªÏ#Ó!ÅëÖO¬}933¤¢Í*š·h^¢ybõÀr‚rÊÕ ÚM^>ò²ÊØÆc¹{˜ë¡ÛMEß|äu5þ(ü_ácw}ÝTûQßýŠÕ3¯giWívíwÓnX/XÍÿ iÓ† + +endstream endobj 1829 0 obj<>stream +H‰4ÎiW‚@`qPœ%Ä’Å JÇ- KS³²E[´²ÅìÿÿˆÞ±Ó=g>Ìy¸wˆB!1CHŠÇãH’”H࿯>XDÚØLÊr +§×5EQD¡—-QÍd4-»wÀ¢Ñ\.Ç‚tU52Z( ½€cZ–¥óZ6‹óy¨íî¨RسýbÑÕu¾)—0Æ‚ ” ñþÄ0xë0…#°¥TªUJH­f;–UtÕº¿"ÃùF„¶Žˆç˜m×­«ªªiÇÉ>ñƒ0 cŠ¶Ý1M׬Ÿžu{ç¾A¿Ïƒ!4!îèòêº7ö9Ü06R°mÇ4Û·w÷“é´Ûíù~? ›Œµ(-{žÝyx|zžÍæ/¯o|sÁ±ÂZ-JÞ?>¿–Ëïùh›c±Z5*?ŒUé¯`š4 + +endstream endobj 1830 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?Û§«"È#ñV7`äˆw´üa`–8$ö¢‡bÎœæû±ß÷IP§>Êû”‘Õ!=y"H.}\¤¼¡$PÿÿÙ + +endstream endobj 1831 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?ݯ;¨âpÞÑå+C®Ód FÓò 6®¦Ç²!MÕáäaÇÅ>stream +H‰‰²ƒ E¿½, A¬k]ªµ¨àòêG¾´0sgn7 ‘5†¸ 5€¥´’JJ)„¼ !¾ÍK%¹¤–,™„ŒåQ@P nÒ Z(-…Ô +%t–†À F%”Ö<€Ö _`%€ÑšÖ%6±¤„D>`€š‹9D_?k@Å _XÒ˜&IB6KSV éÙ¶m]×eQæY~Oï)#û9CØæeõï÷8 ]ÛÔUUäÎYúìÛã¶.þ5Ž}ß7MSUe‘eLpÑ|öø·Åƒ ˼Ÿ_Ó4 Ï®+²;ñžœ +á:ÂuÄkg GX·eŽ³Ÿ§×Øu}óxTg¹ÎpŒ +ûʆ%z¿LS]–©µÿ G¥ÜC + +endstream endobj 1833 0 obj<>stream +H‰<ÎY[Ú@…á™ ¤q¥’@G’H•&Ž& C@‰[µkÝwÔR« îûöË 7^Ï{ž â|>?ÿAhÃ@{0Â8Ž|ìQQ” ‹ Â{…>…#„ƒˆvúb|"!Dä Æ8ÄaÅSê“ÉÏRwOJù"ËY–1Öø”N×+ IÕûý‚Ð +†fjÏ{N“V:#©>߀mÛ6²,—b¼N‚0_òµXtí\Ž)Š6¢XV&ÓÕËŽKˆÒ3cºN¨÷%…p‚ˆê1÷ë·3yžé1(9Î÷“SÓ?Ó3ί³³Ì4+•šý=÷g~aqz)ÚíAJ‹Ë†ašJœ­¬®Í­olnmï”-ÇA´´»kd=Ä*••êêÞþÁßÚ¿­ÃôÿÂÑñ ¡¶ëºõF£qrRmžž_Ô.¯®§&nf€êmÞÞÝÖëJõ¾Ù|x|z®m¾–_až7:êEÚ + +endstream endobj 1834 0 obj<>stream +H‰Á ;šàåÙ$Ÿ]ŸBE—äÚãX*V4ILÅVŠj¡B)D$¬UD:¤Crìëôì±²÷íïÀbqH$jhèÓÆÆf"q—Ï?¥RÕÙI€†¦&¢H4áríår™LÆívK$ÓƒÁ:::k¦\6_*VX,6݈Ãáùüq»Ý‘Í>œžúärys3©®ÞÚÚ&•~=>> B*•r8ì…BüXÛÝÍXÕ¯¥S™T¢Ó»¾]\ü*Š‹utt ‰DÂáקׯ^_ßT*Õp8¬Ñh9œ¬@Ž}¾»K64 †‡Gb±x¹\ C*•šÁ`Ô×xŸÃa™LV$r‹Fc¨TºJ¥ +ƒÉäýÖÖöÈÈh__¿Á`‚ (º¬­… 8>.ØÙ±c±øž®N§‹Fc¯¯ŒF^o³m?>æ …BMÍ2™ìv¾¼¼ +"‡ÃQ,–h4z{{‡×ë#›Y,ŽV« ‚tz÷⢲T*??¿8»B¡ƒ1‰BP1KètzŽÉd¹\ÞÊŠ.¾^_ßP*ÕÓÓRçØjµíî:©Tš\®ðùÎ''¿ôöòªÕ·ÛÛ¨Z½$•Ê<ž¯÷tvVN&·°Ùœååï¡ÐÕÛÛßûû”Åb“Ëç4š•pøæýýßÒÒ&“=3#3™~Æã‰Al‹§Ü~¿_¡˜'I~ÿo­ ƒÁªÕË——W.—K(™Íëx<~sÓÊårÛÚ(GG‰ÇÍfóÄĤӹW.WAˆB5þ`ô`û + +endstream endobj 1835 0 obj<>stream + +  !"#$%&'()*+,-. +/0123456789:;<=>?@3AB +CDEFGHIJKLMNOPQRST1UV +W XY%Z[\ +]^_M`a5b<9UcdefgNhij)Wklhmn>stream +H‰ ÐWàÿDöŒ“À-š¦"n¢$Çs¢¹ÂñĬç D)s¡rŠ…òÇ1îà¡  Xöêû ŸÕb?;ÕŸ\«!Hsj1Ùc‘x:õôüc4•{àÆú¶X´"•¬ªUÇA$òxÿ÷gx¼®®nÙÙÙ-ú*vÚá»Ä},Ç’‰ëTâ&•L¤¥RY{{G~~“ùvqQh¶XP4`º4»/Œ8Ÿ' ÝÜßý^XXd0ÞàñøÜÜ<‹%/Ûl6ŸÏ§ƒN íÉ‘•‡:íìôÝÅR·ig¼¼¼‡Ã••½æpÆP…axmíÇÇQF§TVõôônm*ÂÁ_ñë;Ô9¬®ëh"|b³ÈäîYuu Ç×h´†Ù펖€èt†L*"¡häÚawBç.‡7M¤n fvvNkk›L&³X¬^¯‚ .wº¢¢Èäâ¾>öîî^0ˆÁnT‚|¾à—'\í(öôçÆd?Ž\]™–—%ÂK‘Hl0!H×ÔD+"×ÕÕs§¦÷A {€H$vvvÉå߬V[$Õj¡©)îÈȨR º\nÇ«P(úûÿŸ¼…R¥Ñ@f³%+‹PXX444´³³ëtº‡‡G´Z\.'‘r‰DÖ<77o4þ¼¸0Ô×7ÌÎ~Ñë ~?j2™%’‡Ã‚ÙššÚŒŒçlvÿÆƦߨT‡…"ÑR €Ÿëççš›éÅÅ%88PMLLŽ+;¥¥e0,D¥V«ÕG–Ë¿gf¾Ðë/P4 +…Ýnxuuµ­­£¨ˆ¼²">×ÖÖ‘HÙ0ìq»=KKâËË«­­mŸÏtt\UEŸ‹%ƒƒÃÿÏÍÁ + +endstream endobj 1837 0 obj<>stream + +  !"#$%&'()*+,-./0123456789:+:;<=>?@ABCDEFGHIJKLMNOPQRSTUV1W/XYZ[\]^_*`a+b/cdefghijklmnopqrstuvIw?xy@z{|}~€‚ƒo„…†‡ˆ‰Š‹ŒŽƒ‘’“d””†•–o—˜™š›œžkŸ ¡¢£¤¥Rkl¦§¨©ª«¬­®i¯¨°±²³´µ{¶?”®i·g¢¸¹º»¼½¨¾¿ÀÁÂÃÄWº †“ÅÆ—ÇÈÉlÊËÌÅÍÎ!Ï¢ÐÑÒÓŽÔGC©ÕÖ×jÉ=Ø$ÃÙÚÛÙÜ‘ÖØjÉÝiÞßà ÎáÓ"âã—“˜Çƒäåæçè†éÃ$âêëìP«Ùíî}¥Wïðä°…Yl~ñåòó×Ú”ôõÞoVö÷ + +endstream endobj 1838 0 obj<>stream +H‰˜gþèåìêçîëèïìéðÕÓØÈÆË¿Å×ÔÛåâéåãéçä뿽À¡ £“’–ÄÁÇâßåãáççäêéæí››œlllSST—•™ÇÅÊÓÒ×áßäæãéÝÛâÛØßÜÙßäáè‘``aEDFyx{©¨«ÂÂÆÜÚÞâßäØÖÜÎÌÒÈÅËÉÆÌÞÜâzz{LLM334QQR€€­­®ÒÑÒÕÕ×¾¼Á§¥ª˜—›› ËÉÏæãêppqHHI667VVW‡‡‡³³´ÑÑÒÊÊ̪©¬Š‰Œxw{‚„¸·»ÜÚßãáæßÜâáÞäèæì]]^??@BBCkklŸŸ ÈÈÉÐÐÑ´´µƒƒ„PQR===PPQ“’”ÇÅÈÛÚÝÎÍÐÐÏÓâÞåãßçãàçäâé::889___—–˜ÆÆÇÚÙÛ¾½¿£¢¤”’–„‚†Œ‹Žª©­ÕÔÙ@@@::;^^_Ž¾¾¿ÝÝÞÁÁÂ’’”bbcjjjžŒŒmmoWVYddf“ÍÌÐæäéáßå ]ý % + +endstream endobj 1839 0 obj<>stream +H‰b`€‘‰™›8 +;'†87/¿€ ƒ ‹ ‹ˆŠ‰KHJñKËÈÊ!ÉÈ+(*)«¨ª©khji#Ù¤£«§o`hdlbjfnaÉÅa ·²¶±µ³wptrvqus÷ðôò†ØããëçŸtžubRrpJjZzFfVvNn^~AaQq ƒeiYyEeUuM­Mb]}jJpCcSsKk[;@€''/ + +endstream endobj 1840 0 obj<>stream +èåìçäìâßåãáçéæíÙÖÜÛÙßäáèæãêçäë + +endstream endobj 1841 0 obj<>stream +H‰b`ÔŒ8Ä™˜XX°ˆ³²±sppbŠÀ: + +endstream endobj 1842 0 obj<>stream +H‰Î{WYðïQÿt R‘W'y“žHyMÉ•Ö½â"æ‘G* yìœV-*–‰T§­Ú9¶Ú9í#mû}‚߆;àXwù|ïÏÎÎonnªÕj8Q*•CCƒ9?¿Ðh4;_——µX,¦R©™L–Á`L¥ÒOOϯ¯§' U*•V«U,§§§I¤~kD«ÕÅãÛ­ÖM§ó³T*ûý¹\A&,/[r¹üóó——ß.į9ëÈÖVüëõt:mµ®p¹\Ïáp³ÙÜÝÝÝÃÃ÷|þãÊŠM  ‡ÃY,ž>>>y=ÁuØe4,Aï ½½äÕÕU¹\…65-ÎÀãñ‰Äét×ëõh4¦Vkh4º\.k·šÍ¦Fõ´Ÿœœx<™LN¥“HÄ o¡Pl4¥RivVF¡PX,–J¥ŠÅ¶®¯[÷÷ß..¾À¢Óéíöõl6›J¥@äñxd29‰V«™L–Ãá˜ÍæýýL»Ý>8ødŒn·'¨Õj<¾ollÌdZJ$…BA"·Ûí··w§§gÛÛ;»{Édöù|ù|A"‘ÔÛ‹ãóùÉdªV«NNN»\îãããfó^C@pÕ² †B¡\.g±X„B!‹•J¥¥Óûçç%«ÕÚßÿ¯6A0hY]Ô-0‰ƒA0ÌÔÔ”ÃáH§3Ÿ?ŸÄãq£Ñ8::úV(J´&“I¹\N£ÑÐhôÜÜ\&“$‰l6Ûd2íî&*•* à óêÍÍMƒ¡Óé¢Ñ¨P(Â`°}}}"‘Èf³•Ë•½½Ôâ"0>.UÎÍÏÌÌØl«lö‡Ñëõáp (Ô(•Nðù‚Ù™B¡€aÈëõR©ÔžžžDâÃðð°Ëå’ˆ%n·{bb¢»»›@ ˜ÍË"‘˜D"¡P(¯ÑhÄbqWWa2™Z­Öï÷ïììA:þW€‘¹?O + +endstream endobj 1843 0 obj<>stream + +  !"#$%&'()*+,-./01230456789:;<=>?@ABCDEFGHIJKLMNO PQ.RS?TUV WXYZ[\]^_`abcdefg?hijklmnoPOpqgr032stuvew?@xy&7z{a|}~ €FOP2‚wƒ„…?†‡ˆ‰/ŠY‹ŒmŽXY|‘’“”w•–—˜™š›œŒžŸ l¡;¢£ +˜„q…¤¥¦§¨™©ªs«I¬­®¯°±²ZGN F§³´µ¨¶­·¸¹:º\u»Xº¼½¾¿ÀÁwÂcÃ0Ät›½œÅƘ/ÇmÈÉÊËÌ/ÍÎÏÐÑÒÓ"ˆÏÔÕÕÖ×Øl"Ù}ÚÛÜžŸ„,ÝÞßàáºâãIn¿Çäj#åãoYæ¶&y¸çcKèšé½êëJšØpìíîïåcˆÀ,0²ëðñŠæ¿òIóîém©zª3Êôõö÷øù±úœ + +endstream endobj 1844 0 obj<>stream +H‰Á 7àŸC‡RQé¤{ÌR +]{é˜^'š±FQ©PÓtoBÓ¢VŠ“kb/ìÅžý™}_.—³Ùl<ƒÁb±¸‰ E0ª×Ï›Íûãã»Ý1::†Çh4ºV«K$ÍfóññÏꪓÇã ¼6›-Ñh,N›L&6›ÝÓƒéííÓh´ñxâêêúööw.÷Ãl^d2‡úûff>ìííµZ­‡‡ÇÅÅ%6{¸»e³Ù“É`0¨V«Ñèžùù…ƒƒÃ³³z4E"Qcc¼•[¡pôòò¯Ñhèt::! @ €ÃáB¡ÈáX-—ËÅbI$I¥2ŸïËééÏç翵ZÍív‰½B¯B¡L¥¾Ÿ_¦áaÙØðT«ÕûûûLæ€Ëå"‘H,¯R©ÃáH>_XZ²R©´©©él6×hÜ‚@ ‰499‰DªÕZ½^‡Ãjµ†J¥¢ÑhƒáÓÍÍM,×é&¹Ü‘§§g&“i4S©T¹\9<¿X,ÞÝÝ9.‘è-Ñåõ~¾¼¼jµž††X(ÊjµîììšLf‹…Bñx¼X,^[[«T*ûûû‹…ÃB;U*Õõõ/ ‘HÜîµB¡@&“ ™LÑh4@  +1¯:;a³³s»»{ +Å„×ë-•Jrù;À`0ôz}¥R%‘È]]݃ƒo...kµS 8=­O&wK¥ãtú;‡Ãu: F"ÑÍM…BÁ` Æåre³Y¿? ÕjÁà¥R¹µµ‡#,–ů_·e2‡'‰'ŸÏ¯¯oÈår ƒq8œX<î÷û ‡Ã9:*.,Y,öövòää$wttÄb1:ÞÞÞ>7÷1 +û|>ƒÁˆn¡Ph·Ûõú÷ËËËR©´¯¯¯­­ÍårÄñqI&“±Z—•JÕƉX/ + +endstream endobj 1845 0 obj<>stream + +   !"#$%&'()*"+,-./"0123456789:;4<=7+>?/@AB&CD44EFGHIJ@KLMNOPQRESTUVWXYZ['\]) ^_`aZ^bcdefghijk#lmnop(Gq?rstuvwxX5+yz {|}E~€‚XWƒV „…†‡ˆ‰Š‹zŒŽˆ‘‡’“”••F–—˜™šIO›œ žŸ/bc ¡¢`”£ ¤¥¦3§f~£¨©ªPV«¬­#®š\¯0Ž*R)…Ÿ°±²‚<³ G1´µQ0¶N·Z¸¹º‰»¼½¾–¿˜ÀÁO n´Â ÃÄ{ÅƇǣÈɱÊË ÌœdhÁÍÎÏ +ÐÑ̥҇d½ÓÄÔ²©¿ÕÔÖ×؃ٸ”Ú»ÛÜ¿†ÝÞVßiàáâã䌼ŠåÙ¥Ê3æçèé‡W0hŸêÅëìJíŒîï + +endstream endobj 1846 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?§Ô~­ææb6¼1¹”˜3$À×°Y}nœš:~=O­Ì {®¯¥ýuÀ¿ì¯Ò¢ï}šé%Ò6îƒÖh®Ç캹šÃÎÓ? ¥>_Õlôú] 7r’îºßÔœ^¡Pû3ö¹¯v¤•)óK¿Ÿ³úÇò®Ã¡ÿFÅþÏå^X’AOÑØ>stream +H‰©Vý111QQQttt’’’‘‘‘oooKKK001'''EEE‹‹Œdde;;<,,-<<=bbbŽ¯¯¯£££wwx]]^333TTTwwwŒŒŒiijFFF--.**+HHIrrr””•™™š„„…^^_666***@@@fff‘°°°ŸŸŸppqWWX888ZZZzz{ˆˆˆ}}}[[[777112xxx˜˜˜PPP,,,(((IIIppp•••««¬‘‘’__`>>>___}}~€€€nnnMMM"""YYY††‡BBB&&&+++žžž;;;AAAaab~~|||gggHHH :::\\\~~~••–€€===...———yyy444eef]]]???!!!??@aaa‹‹‹sssPPQ222556“““‰‰‰jjj)))‚‚‚XXXAACccd………lllJJJ999^^^„„„’’“ƒƒƒccc$$%LLLiiiOOOGGGhhizzz```###@@AuuvUUU00/""#kkk{{{---LLMmmn555hhh‡‡‡‡‡ˆ$$$CCC//0oop(()a`auuuSSSdddmmmVVV{z{000RRRqqq%%%±¯´§¦¨‚‚CCDvvv<<<///ÄÂǺ¸¼™™›€SSTyxyDDDäáçÞÜâÑÐÕÉÈÎÄÂÈ·µºomoƒ‚ƒ~}~877éæíçäëãàçàÞäßÜãÚ×Þ³°µŸ¡¬«®»¹½§¦©ttuUUVGHHGGHKJKèåìæãêåâéäáéãàæÕÒØÎËÑÒÐÕÙ×ÜÀ¾Ã‰ˆŠllna`c__aWVW ¸Ã È + +endstream endobj 1848 0 obj<>stream +  +  !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGH.I27J KLM96>NO9PQRSTUVLWXYZ[\]L^T>_`>stream +H‰ˆwýÒÒÔÛÛܹ¹¹ŒŒŒ^^_<<=KKLxxy§§¨ÐÐÑààá»»¼‹‹ŒCCDJJKyyzªª«ÏÏÑÙÙÛ¾¾¿[[[GGGMMN××ØÛÛÛ³³³„„„WWX::;NNO€®®¯ÒÒÓµµ¶„„…XXYDDEPPQ‚²²³ÔÔÕÕÕ׶¶·TTTHHHSSTØØÙ¡¡¡oop??@\\]‘¿¿ÀÖÖ×ÍÍ΢¢£ppqFFGbbc““”ÃÃÅÞÞßËËË££¤rrsFFFLLMccdŽŽHHImmnŸŸ ÏÏÐÙÙÚ½½¾778sst¤¤¥ããå‘‘‘>>?TTUrrrÎÎÏ´´µRRSvvwÓÓÔ³³µ……†667OOP{{|¬¬­ÕÕÖßßබ¶‡‡‡[[\\\\{{{ÆÆÇ  ¡qqr@@A112YYZŠŠ‹··¸ÙÙÜ¡¡£ŽÑÑÒmmm¸¸¹ŒŒ;;ž°°±‚‚ƒUUVÊÊËuuv¨¨¨ÖÖÖ889ˆˆ‰¦¦§QQRÇÇÇ99:††‡ÝÝÝÍÍÍIIJ˜˜™––—ggh¼¼½ÚÚÚ™™š__`ÈÈÉšš›jjkaab¡¡¢ƒƒ„WWW>>@•••ØØØ´´´ÅÅƹ¹º‡‡ˆVVX±±²qqq---}}~¯¯°ÉÉÉ¥¥¥VVWÁÁÂ×××ggg///KKK||}©©ª………ÏÏÏÁÁÁÙÙÙ888YYYµµµ223“““ÃÃÃÒÒÒ†††001ÌÌÍCCCAAAfff”””½½½++-GGHŸŸŸ¡¡ :::...™™™@@@++,———iii ¥5_j + +endstream endobj 1850 0 obj<>stream + +   !"#$%&'()*"+,-. /01234567899:;<=>?@ABC D21EFGHIJKLMN O4P:QRSTU"VWX5YZ[\]^_`abcQdefghijklmn oh2pJ5q?@$rstuvwxy4z{|}FG~4K€‚ƒ„…u|hN† !"-‡ˆ‰X!Z…Š%‹ŒG0$uU(Ž7@ #!‘’?M“Šc”!•–u#—˜™švŠ›D =œž9uŸ —¡¢j£–¤x¥¦ZkLFG§¨©ªi9@«†¬E­}‡?§† 8EB®¯’°±M ²"³´µ|¶·¸¹x³”–iu-º*»¼žpf½-¾¿‹À¼¡-ÁiCÂÃÄ«Å#Æ1F‚Ç¥ÈjÉÊËÌ w@}ÍÎhϧ†Ð@j“]^Ç6ÑÒ}rÓ4s;vÔÕ±xÃÖ×$[¡¦†§ + +endstream endobj 1851 0 obj<>stream +H‰ Î ?Zðe˜çS¥Lž*³=¢ã!¿ç˜!/ÖŠa­G¥z™™DénŽF-I½÷µ²ý?Áhhxsó{:Märy¿?0?¿Àç·R©¥,[.WllX’ÉË««kÇ«V«ÛÚÞÓé5ƒƒR£Ñ‹Å<‚Œ ‰‰ÉÝ]çõõM&“ÛßwMOÏ46¾))!!ÈØÖÖ§sojê#Ç+(xÅdÖŒ(‰‹|þÊéÜW(àÖÖ¶¥¥/‡‡G//M¦ ™L^SàP(B¡H§[ Ã77·&“y``Éd’H¤……OÁ`èüŸO"‘ÇÆÆ··><<xvvMM¼t:mµZår9‹Å*--ëìhµZƒÁ ‹èêz·²²zzz†akb1TYYÕÓÓ£×ëÏ΢kkë}}ýõõ¬îî÷^¯onmnaxÇíA (ÊápFGG³ÙÜÓÓ3ŠÎ·´ð£Ñhaa‘J5g³Ù`ÆñMf³9(ªÖë¿AP_qñëP(l0`1Œåå•Hä7õ/..¹\n©Tæóù@¬¨¨´Ûí^¯ŸL¦64p¹ÜÆÉÉ8ŽS(Tlq»îï‰äêê×Þ^qYYy$Á0ìññ ÃþŸ%F£)þ`¨·g + +endstream endobj 1852 0 obj<>stream + +   ! "#$%&'()*+,-./(01234567 89:;,<=>84?@(ABCDEFGHI)JKLMNOPQRSTUVWXYZ[\]^_`` abcUdefghi >@1jklKBAmH khnT=/opq\hrXstu:+vQAwKlMxyz{JT|\j}X~€‚ƒ„…a,\]‚†o)Z‡kˆ‰Š‹Œ,Tw)W@ :ŽJX>@+j?Lz2‘’“” z•–—N˜™mAš›œcžWŸ ¡Œ¢£¤} ¥,¦§!¨©YªL«¬y+\­®…{¤¯°‹<=)R±²³M–´Bµ¶c·5¸X>¹º»’¼½>›¾N ¿ÀZ«MÁyz®ÃÄ?°ÅRRÆ´«ÇÈaHy 2ÉÊÀRzË¢ÌÍZÎÏУg;,ѵÒÓ ¸ÔÕ¶Óh|T=Öz×ØÌ4ÙÚ + +endstream endobj 1853 0 obj<>stream +H‰„I aþÿh—@qk<µéDsƒ~liÞ\ÇËÄÍx%VÇ4˜ïTÅ:$Jyxƒ+ãª]TÜÜÆ÷Kh I¾[ + +endstream endobj 1854 0 obj<>stream +H‰tQÀ BÁûzm-ÚøÉħbiDèuK ³ƒØPu‘5Rã>èÄ:G™amøTU¤:ª3†º| ~AçËc]™«ëþ®üÄK€6÷C + +endstream endobj 1855 0 obj<>stream +H‰:ÅýËYËYËZÌYÌZÌYÆ\'½a3Ãj3Åj(¹_¯UªQ°UÂXÍXÎXÂ]9¯hP¼zP¿{;©e&”P ŒI$–P¹UÌWËWÌWÌXÊ[Ë[ËZÅ\(»`)»a:¯g]šv{¯w­ŒS‰i1gF+_?²lZ›ttˆ}y…ˆ‘Ž¤¥¦¡ ¡€QPQ:9;JIJyyz¥­ª½Ëùƿ“ž˜[€k({H)€K.¤[,¼c¢¢§…©—Vµ}k˜‚…ƒ„‡†‡ŠžŸ ÀÀÀŸŸŸjjj^^_‘ÃÃÄÓÔÔ»»¼ŽŽZ\\365A@APƒdQ®u­«³§§ ‘‰…„ˆˆˆ‹¢¡¤µµ·µµ¶ŒŒYYZ556HHIsst££¤ÐÐÑÓÓÔ««¬{{|NMN212NLO}„‘®°°¸¦§¬‘—˜‰‹……‰‰‰®®°¿¿À­­®‚QQR334NNO~~ÔÔÕÏÏС¡¢ppqGGH445VVW’•”­²° è + +endstream endobj 1856 0 obj<>stream +H‰b`dbA Àˆ`2¡‰3!‹1aªÇeq°ÕLȦ1bØ‘€šÃÈÈÈÌÂÂÊ +·,ÎÌÆÎÁÉÅÍÃËÇÌÈ1‘‰™_@PHXDTL\BRJZF¤CNžIAQIYEUM]CSK[GWCßÀЈÁØ„AÁÔÌÜÂÒÊÚÆÖÎÞÁÑÉÙÅÕÍÝÃÓËÛÇ×Ï? 0(8$4,<"2*:&6.>!1)9%5-=#3+;'7/¿ °¨¸¤´¬¼¢²ªº¦¶®¾¡±©9,¬¥µ­½£³«»§·¯ÂÄI“§L6}ÆÌY³çÌ7ÁÂE‹—,]¶|ÅÊU«×¬]·~ÃÆM›·¬ßºmûŽ»vïÙ `¨@Gí + +endstream endobj 1857 0 obj<>stream +H‰rÿËYËZËXËYÌaÉ^Ê[1Ím1Ìl(ÅeÉ]ÌYÌY`Ï‹^̈Gºs'¿`ÃWÄXÆZÈ[®Ò½¤È²x¡‰A–b#’N$“O5¡^/¶bÉXÌWÌYÍYÌÒϾÃÁŠ”K€_*xH.{LF‘cB¯k"ÉaË^È\ÆYÉYËZ ”?} + +endstream endobj 1858 0 obj<>stream +H‰b`d`d@@!Ft#À F>‚ψa$6€¡‡.FtI6 +…͸û‘åýÃÄÄŒ©XXXÙÐ +ìœ\Ü<Ü0Óáæóòñ  + ‹ ;DTL\BRJZFVN^.ÊÈ ¨¤¬¢ª¦®¡©¥­£ËÀ Ó`ÏF† + +endstream endobj 1859 0 obj<>stream +H‰ŒK B}÷¿t‹¦ËÙøCЈ ˆ J,àŒœ‡ð凓ÎKU' ~(rçïÁ”Èu&™ÒNJÇ™Z-(/ + +endstream endobj 1860 0 obj<>stream +H‰l[0#÷?tÓkø) •N„j8SáÖ½{‰F™CQ‹»ã Îâ®âÍtÀÒ<­zZ!ô»Ó j«’§+~¢?kÃK€H^[ + +endstream endobj 1861 0 obj<>stream +H‰|I ‡ÿÚ-(¤BÊ2„*6…½óÞžzrD¬n¤6õH6·ã"Xl‘ÇO%/é\šÃú7ˆ¡>/A*…†ei + +endstream endobj 1862 0 obj<>stream +H‰tAÀ ³þÿÑ¡¤SŒ®!D%$­¨mA”µkS…Þ­'ø¤¥ÚâÆ¿~ÆÜB2Ô›óy»èò`ÿ|§›ï¹Œœšn\yZ¨ÕéCåAó›>ïÕ#ÀJ7[ + +endstream endobj 1863 0 obj<>stream +H‰Á ?Zð圉i¡H1쇙33 s5Mêy/ºôúu›D¯›DÒBD^Št?Ç0û ûÿýþ‚x +ÕÊŠ‚VApÅétÇãWn·gq‘Ïbµáp5TjÃìì‚د®··iŸowiIÀ`´R(”‰‰IÇ‹]Úl.™ v»·‰äìì<Ö\SSÛÒÂàó—vv|77©dòÚápÎÏs««qtz3—û}?p}}c·{µZãêªT,–©TZŸÏrrªVkúûjkñõõ”¡¡a•J^‹ØÑÑñààpUÕ[†/.bù|ÑdZ‰Är9Œ Î@ èv{ak+³¼¼ba—Éäîï¼Þ«½¤¤tnnÞh´,/‹`XonÚh4zeå›ÎÎ.„vwý¹\>—+ØlÈÌÌÞRQQÙÜÜ’NgÌæ ½Þ|y‰’Éõx<‘ÍÕë ççCDb‰Tž+•Z\5™~þN¥n€@0™,Ä`0y¼…–Ng÷öö…BAR©ÂápÅã —ËÝÐЈÇºº>@èà øôôœJ¥¹\nYYY{û{€îîî#‘Óáá‰46öÅh4=?¿h4Úº:²N§?;;Ç°{ƒÁ +…C¡X,éééÅápÓÓÓ[[¶ÇÇ?R©¬»»‡By75õÍjÝÌç 0¬ +A•JƒawN§ ‚ `0˜Éd›šh$¹££EÀÙüS Xöx¶Qô*CµZ]<xxa“Éd6›ýúú/‹I$Òññ¯|¾P©T'×kk +gb}ÝÒ×÷‰J¥NNNZ,–d2ùòò÷øø„Ç[2Ì¥¥emmí°Ìb±ðûýÙlÖëÝæp8Vë†a(×ét££ŸÏ΢(Š +EƒÁh·;óù|$‘Éd½½76¬rùZww/@lll²X6Âá£ÿ ÖÉ™t + +endstream endobj 1864 0 obj<>stream + +   !"#$% &'()*+,-./0123456789:7;<43=>?@ABCDEFGHI$JKL MN5O9PQRDST%UVWXYZ[\]^_`abcad26efghiZ2jkl37>mnopqrstuvwxyz{|VO}Z#{~€%‚ƒ„…†‡ˆ‰ Š‹|KAŒDŽ2ƒ‘’“i”•g–—˜q™Œš‡›œž5Ÿ #¡S¢£•¤¥¦§!¨©ª—«¬O +­®[¯(°±²³´9"µ ¶B·¸¹h6•¤6º»¼ih½&¾¿ºdÀÁÂÃÄÅšÆ:Ç4°ÈÉr¼SÇÊ<ËÌÍÎÏÐ|6ÑÒ§¯x°ÓÔÕYIu<Ö×ØÙ9ÚÛÔŒÜÝÞßàe6ºá9h½¤•6”âãä£eåæçèé•5ÈêZÐëìíîïa9ðÇ + + +endstream endobj 1865 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?l;«ýh}ŽÖºLx)ædY—õbã@¬hÿ’³õb¯±t|ž¥n°>e ê;îËêÖý.i@€w¶xá1Sˆñ z•ŒÆêÕôú½ÛÌ%wöVWî¬þ…Yê?XoÏ~µÒLåªê?lSå÷&{0»¦§ú7–ãâàÿ£ÿÙ + +endstream endobj 1866 0 obj<>stream +H‰«Tÿ®ßÅbÕ#ÎdËWËYܹEÑ{Í_ËXËZl×–Ì\ËX5ÐqËZ#ÎeÌ]ÌYÀTÊYËY°MÈXÌY =µPÄVÉY +x4 šCµOS#b* ’?O!Y& +~7 F¹QÌZS%X% p0 •@ÍZ;tQ V)Q" \)}:»S]‹q^3 T%Y+w<¹S Mº>stream +H‰b`dbfÁXÙØ9XX81Ÿy°)gáåãÀ¢š……Ÿ›1, QdqN0]%\HIY°V÷ˆŠ‰£˜’RÒØÔËÈÊIÁU#\Æ)¯ ('&Œ©^IYEUMLÝx yM-m!¨BFWOßÀÐH݇œÆ&¦fæ:èå0¿¶ + +endstream endobj 1868 0 obj<>stream +H‰Æ9ÿËZËYËYBÒyn×—oÖ˜g¹‡z¯Ž™³¤ ´©£¶«ÁÊÄÑÔÒÓÓÓèèèÿÿÿ0ÏmJÒKÒ€F¿tX¶|‚»™Œ¼¡‹¸žŸ»ª¹Â½ÅÃÅÅÂÅßÜÞËXËW ÍbMÓ‚ZÕ‹TÉ‚V±y€²”¡·¬¡¶¬°½µÕÙÖÙÚÙÌ\!Íc#Îe#Ëc#Ã`<ÄqSÇQ¸yv³Žœ¸¨ËZÊY%Íe4Ïp/ÁhY¼€‰Â Ì]Í_É])Çf:ÉqË[Ë] 8¾pý + +endstream endobj 1869 0 obj<>stream +H‰lO‚@œ]{Aì{¯¨(lÿÿ”9>stream +H‰½Bÿ©¼´l”~4pL>|WM¡n!Ã_ÌYËYËZÉÐК²¦LaY’ql»Œ)ÉfËXíéñÛÜà”®¡~»—iØ•&ÏgËWèåìçäêÛÞá€Õ£"ÍcÌYéåíãåéÍãØnטÌZëåîÐãÜsל4ÐpËZËXìåïÊâ×XÔ‰ïæðÈáÖDÑ{Úäã¦Ý¿ËVÆáÕˆÚª-ÎkËW£Þ½SÓ…Íb“Û²;ÐtÌ^k×–Ì]MÓË\ xHr: + +endstream endobj 1871 0 obj<>stream +H‰b`dbfaecggç`gG"9¹¸yxùø9@Hr‚BÂ"¢b@B9ˆKHJIË°£ˆ€¬œ¼‚"?;PRVQUSÇ×ÐÔ’æ@q9´utÑÁÊôôU 0 Ê›ÀÂp“©™9×°³[XZ©c8¬mø‘„a²ì¶jà°á@ÕÀÁn‡ênLŸ  ‡'Á + +endstream endobj 1872 0 obj<>stream +èåìßßäÔØÚãâèÞßãéæíçåëæåëéåíÝäåÌâÙëåîÎâڥݾ©ÞÁWÔˆéåìÉáÖuØ<Ðv¶àÉ[Õ‹0Ïn + +endstream endobj 1873 0 obj<>stream +H‰ÔÈÉ€ ±X@9µÿRi`(€<#c¨Å:‡/ªüÛð‹¸ñ¼ðS.øZu¿ŸAßïŸ P & + +endstream endobj 1874 0 obj<>stream +H‰Á÷Wáð¿¤×õ|‘½³¢2˳%³²’Nöš׋—\ѵK:º÷òCÝŸuŸ‹C£Ñ ‹Ñhôââ²ß«×z<^@Èd2ívÇééi¯×;880›- “F£+ÊL&ûùùï奋Fcp8Üînâò²Õí¾V*U›ÍÎápñx›Íq»ÝF£ßï??wòù‚N§#“)ããŒB¡0 >>>ïïP(…B5L…B±Ý¾jµÚ©TJ¥R‘I¤1™L‰Dnnnz½~£qE"1 +…f±ØGGGoo1 ›Ín·Û^¯ƒS(4n)—Ëu»ÝR©l±X'''d³ùëý}puõ‹ÅNOO;®“““V«U*•ìv; ¡ÓÇM&s§Óy||¢ÓéH$J"‘F"ѳ³‡—Je~¿¿V«7›Íx|W«ÕQ©4r{{{~Þ ³³³$I£Ñärßü(z< +…êõz(^\ü +@y<¾Ëå®V«ÇÇÇ.—‹Çãáñxƒa0‹ÅR§órwwo³Ùb±ŸÏÇ`°R©4 Õjµl6g0H¤Ãáx}ýãõn©Õj£Ñ˜H$âñ8•J…Á`J¥²R©øý¹¹98!‘H¶··ŸžžM&“P(d±X‹%•JïííƒA¹\…BËå²Óé +Ep8|~~>___s8œ™™˜šb-//OLLŒŽŽµZ“L&wvvD"™LŽFcf³ °ºº’Éd––ô>ŸËåÒh´‘‘‘t:-‹D6›µZW’ÉÔúúúÚÚZ±XŒÇ¿éõz"‘…B¶¶|ÃÃ_67ûûûZ­vhh(ŸÏX¥RY­V@ —Ëÿ 0#€ž + +endstream endobj 1875 0 obj<>stream + +   !"#$%&$'()*+,-./0123456789:;<=>?@A +BCDEFG"HIJKL:MNO#PQRSTUVWXY'Z[F\]^_/?`abcdefghi= + jklmn%opq"rstuvwx4yz{|O}~€‚ƒ„…1†,o‡|I +ˆ@‰Š‹Œ Ž3|‘M’“ ”•U–$—˜3™– š›œžŸŒ ¡¢£¤¥¦§¨©€ªg• «¬­®]¯°Œ±'o²³†•†ª´µd1¶·¸¹º»ŒŒ¼½¾£¿ÀÁ²ÃÄ|2h˜¾Å ¡ÆÇŠRŸ™Èɸ£Ê˧~Ì@ÍKJ'}ÎÏ%W¯~ЯnÉÍÌR11шDL²ÒÓ|ÔÕÖ×Ø~ÒQÙ€¯Ú•loÈÛ];ÜÝ Cb>stream +H‰Æ9ÿèåìéåíêåí˜Üµ-ÎkÍ`Ú­ÌaÌ[éåìååêÕäßtØËWÞäå¯ßÅ\ÕŒËXËWëåî×ãáŽÛ®FÒ|ËXëæîÄáÓËYÚäâ¥Ý¾3ÏoËU§Þ¿RÓ„ ÍbêåîÛ¯*ÏiËY‹Ú«ÌYãåéÌâÙpÖ™Ì[Ýäå®ßÄ\Ô‹Ë[ÑâÜxØŸ7ÐrËZËZìåïÍâÙ`ÕŽ&ÎfËY¦Þ¿5ÐqËVݸ@ÑxÌ^‡ÙªÌ_ Äx}‰ + +endstream endobj 1877 0 obj<>stream +H‰lÍGÂ0Pi¦0†&@zï½ÜÿR„m-ÿÓ“„€L¥3È‚(›SÈùB±ä8è²\©êº©7\«Ñ›-W1£{íNÈï:Áûƒ¡ +Ñ¥c§Ét6×èr±\­Ül¶»½åCܧóåbß»ÝÌq'z¾Þ–£™˜ËÏ÷ùO€ø, ¦ + +endstream endobj 1878 0 obj<>stream +H‰ Ï ;šàŸÃÓèô¥KÑ¡<Ò¢$‘k)Syid%…JJ)GKŽŽëZ(‘#Ì—6f˜ý˜ùïk2Íp¹<$²’F£)•Ê­­íûû‡`0822Êd2Q¨ªÖV¾Ùl9<ÔÕQjkëww#WWד“ŸY¬&8Á`0ÆÆÆÂ᯿ïîŠ>ߺL&LGG§Ã±xzš{|üsvvn³-´· I$2^?<<œH$ +…;©TJ&׶´pggçR©ƒbñg6{b±Xðx‚\®ˆDö4šO8Þd2ŸŸóx<,‹Ãázzzs¹³Ë˼Z=VU…f³ßÇ㉧§ç‹‹KgY,îÇ`ª««±ËË+ x÷úú¯±±©tÀëýÂ㵦ƒƒÃ——¿ÙlÖïß,áñxÑÐÐ †noÁT*e±X{{ûœN×ÉÉÉÞÞþøøDS ¦V;‹ÅA°€B¡`0øÄ„6NM0ÌêêZ¡P‚Jå0Î`³ÙÓÓÆ|þ +‡ …ssæýýo>ŸO¡¢ÑèT*mtTÉd"Q7@èêêr:××7 Â`°·«D"ñx<ÑhÌjµ67· ÓÉä÷p8¬P(Ö×ýd2Y.—‡BaƒÁÀår+**‚ö\.ç÷ûU*U}= +…¥×Ö¼&‹ Q©ÔÒÒR"‘(‘H]®¥t:m³Ùº»{ P(‡Ól4¾ióG«ÕÚív‰”Ngâñx[[‰äóےɤN§ôÎή^¯çóùÈ»D"¹¹¹UY‰"ˆb±Øív[­ó"Q×ÌÌL(ÚÞ¬¬¬Êdr¢Âëõö÷Kæççív‡P(,//g±XÀ¶ÃáXZZÒétÔÔì*ÕHYY¹ËåêììT«Õ%%¥ +e`à£H$ŠD"f³™H¬ù/Àƒk_ + +endstream endobj 1879 0 obj<>stream + +  !"#$%&'()*+,-./012345 67-89*: ;<=>?@ABCDEFGHIJKLJMNOPQ)RSB$7: +TUVWX'" +:7YZW[\]^_`a"DbcdefghijklmnEo_pq\rRsAtuvwKxy z{|}~$€‚ƒ<„…†L‡ˆ‰Š‹2ŒŽk‘"rh’“”&•g–—ÎÏÐŦxÈ£EÑ~ÒÓ)ÔÕdk“Ö˜Ž×ØR¸Œ!(1ÑÙÔÚÛÜAu€T¨ÝÞßà¼áâã8ÒäPåÔ»y^¾æçP+‰·èµÚéêR#…ë‚ÄÈì šíî0ï + +endstream endobj 1880 0 obj<>stream +H‰¬A!Ä:üÿÑILŒµ  +vÉïȧÊÁ|&Î þM=ò•gÊñ¢‘Óߪü)ç75}ãÕzÙËýV/8LB + +endstream endobj 1881 0 obj<>stream +H‰­RþJJL/////....334CCEediywyw~{y€Ž•Ÿ¤žœ£«¨°ÅÂÉÊÇÎÉÆÍÊÈÏEDF777LLOihmzx…ƒŠ‹’ŒŠ‘™—ž´²¹º·¾¹¶½º¸¿98:?>@a_esqwyx~xv}wu|vt{€~…“‘˜—•œ–”›˜–000221HGIpou}{‚~|ƒ;;;SRUtsy111TSWrpvyw}[Z^zwzw~---[Y^434DDFgej|z|z‚::;XW[qpu***GFGˆ†‹›˜Ÿš˜Ÿ‚†€}„&&&LKM§¤ª¼ºÀ»¹À¹·¾ ¤Š‘Ž‹’ˆ…Œ,,,cbdÔÑØëèïèåìåãêÃÀǪ§®«¨¯‡…Œ‚€‡ƒˆ>>>yx{Ù×ÝíêñêçîéæíÑÎÕÀ½ÄÁ¾Åµ²¹”’™„‚‰332ggi©§¬àÝäçäëæãêÛØßÀ¾Å½»Â˜•œ}„99:ŒÓÑ×åâéÙÖÝÙ×ÞØÕÜÄÁȶ´»¸¶½‰‡Ž333GGH¢ ¤äáèèæìèäëÐÍÔÒÏÖ²¯¶•“š ׋éX + +endstream endobj 1882 0 obj<>stream +H‰b`dbdddfaecçàààäâææáåãbfaQ 0‡˜¸„„¤”´Œ¬œ€+•A¬ + +endstream endobj 1883 0 obj<>stream +H‰b`@FF,§2È$BŽHÀ7‘Άð›‚U† ‘ŽXSŒ¦ Õ£ØLÄ%ƈßz¡ˆÍ$S* + +endstream endobj 1884 0 obj<>stream +H‰˜gþÞÛâéæíèåìêçîÚ×ݺ¸½«ª®¦¤ª£¡¦Š‰‹……†™—™‘’kkl555)))===ØÖÝáÞåÐÎÓÈÆÌÆÄÊÂÀƤ¢¦™˜š©§ª¤£¦yxz(((<<<¸¶½ËÉÐÊÈÏÓÐ×ãàçæãêçäëëèïÐÎÔÄÂÇËÉΧ¥©ihkSRT^]_lkm‹ˆ“‘˜‘–¨¦­ÖÓÚßÜãÝÚáäáèåâéäáçÙÖÜÃÁÅ»¹¾¿½ÂÁ¾Ã††„‹„‚‰˜–Á¿ÆÉÆÍÈÅÌ×ÔÛàÝäâßæéæîØÔÚÕÒÙÔÑ×{y€|z€~…‰‡ŽŠˆ‹’²¯¶ÊÇÎÒÏÖyw~zx}{‚¡Ÿ¦º·¾¹¶½¸µ¼ÄÁÈÛØßßÝä…‚‰Œ‰´²¹¹·¾·µ¼»¸¿ØÕÜzw~xv}wu|vt{”’™—•œ–”›™˜Ÿ¸µ½ÌÉÐËÈÏÖÔÛˆ†‹‰Ÿ¤¬ª±¬©±ª§¯ÄÁÉÚ×߆ƒ‹„‰¢ §»¹À~{ƒ~†€}… ž¥ywyx~~|ƒyv}‡…Œ פ +˜ + +endstream endobj 1885 0 obj<>stream +H‰b`dddfV6vN.n^>~A!fff° £°ˆ¨˜¸„¤”´ Ÿ¬œ¼‚¢¢’²Šªªª#³º:£†¦––¶Ž®ž¾¡¡‘±‰©ƒ¹0YXZXXYÛØÚÙ;88:9»¸º¸¹»»{¨móTñRööñõóóó  +qq µÙ¤f®bnááa‘QÑ1±qî¦ñ`ç…AAxBb¢SRrJjš:Ð…`ñt‘‘™™eŸ“›—_PR$†2"ŠŠKJËÊ+*«`béP©Œ0ûêêšÚº0t^ßÐÐhÔÖŒ!’E0[mÊT¥-`ÜÚᛑцaP{»ƒ¿¯¯o8º8@€±of + +endstream endobj 1886 0 obj<>stream +ËYËZËYËZ + +endstream endobj 1887 0 obj<>stream +H‰|Q€0 Byxÿ;ë2×µVåg„Yh>ÜDX â¸UÕ@×·É=â+ç'ŸÐIiƒW‘´I1ÅÖ´CŽZùô¾EˆQž½ˆûøí­{ÕS€CGS + +endstream endobj 1888 0 obj<>stream +H‰uŠÿ,,,MMN˜–›ÏÍÒéæíèåíèåìåâéáÞåâßæÓÐ×ÅÃÊ++*WVX¹·¼ßÜãçäëØÖÝ###YXZÕÒØíêñ%%%[Z\ÓÑ×ìéð@@@yx{ØÕÜëèƒÄÂÇäá蔓—ÕÓÙæãê°®³ÎËÑÝÚá æ›Ož + +endstream endobj 1889 0 obj<>stream +H‰ÌÌ7€@ CQ‚‘—¸äœÓýH ƒéùå Ó²ÉÁ-VÊõü Œ4Å̬“4Ë ¼"*«ºy;Ðvý ù8Í$ù²n¢ïò‡¨ÀùáòËÿº 5 ¨ + +endstream endobj 1890 0 obj<>stream +H‰žaþÿÿÿêêêúúúûûûüüüÁÃÂêéêïíîíìíðïðüüý§¸®ÉÐÌÍÓÏÏÒÐØÙÙîïïõõõôôôD¼rGµqHµqv»’¸¨¹Å¾ÆÎÉÇÍÉÎÎÏèçèÈ\ÅZÅ[\ÏŠŒÃ£œ¸¦§¾°ª½²»¿½ØØØ÷÷÷ùùùøøøþþþËY/ÐmDÊxG¶rG³pVµ{¹˜’µŸª¼±ÀÁÁÂÀÂÌÊË÷ööËYËXËW!ÎcOÓƒT»}j°„™¶¥£·¬¤µ«×ÚÙÙÙÙýýýÌXÌXÎ_7Ñs:ÀnK·tu½‘¾˜}·”µœª»±¼½½»¼¼ÔÔÔöööËZËZÊYË^1Îm6Ðq3Ém4¹gr½§Åµ¦Ã´¨º°ÌÑÎÓÕÔÒÔÓÝÞÞëìëËXÍ[#Ïe&Ðg%Ìd%Á`VÄ~Ê~Éœ|µ’”¶¢¬º²°ºµ»Ã¾ÏÔÑÊYË[Ë]ÈY6ÉoˆÔ§œ×µ¼ –¶£ËWËWËV ÌTjÙ—{Ý¢nÁr²‹Ì[Íb!Íc!Éa"Å` Çâ +c + +endstream endobj 1891 0 obj<>stream +H‰b` 0213³`gecçàäÂçæáåãbB—”’–A—•“WPTRVQUS×ÐdÒ‚‹kkkëèê雘š™ÃÅ-,,´--­¬mlíì%œ™™™] â®nîž^Þ>¾~þAÁšêLZ!Ÿ˜”œ×NMKÏÈÌÊÎÉÍË/(,*¶€ƒÐÐÐ’Ò²²òŠÊªê  ¨KKKíÚºú†ŒÆ¦æ hˆ*hmkïè ±@sR--]1Õ‡XhI€ÌþC< + +endstream endobj 1892 0 obj<>stream +H‰äÿ¿½ÄÀ¾Å½»Â¡ž¥Ž‹’Œ“”ˆ†zx€xv}yw~ÖÓÚ×ÔÛÓÐׯ¬³—”›˜•œ™–”|z‚ywêçîçäëÐÍÔ¿¼ÃÀ½ÄÁ¾Å´±¸™—ž”’™•“šƒˆèåìæãêÚ×Þº¸¿½ºÁ¹·¾–”›}„€~…zxéæíáÞåÎËÒÊÇÎËÉÐÈÅÌ«¨¯—•œ˜–Ž•~|ƒ{y€|zåâéäáèÏÌÓÑÎÕ¹¶½Šˆ‚€‡‚ˆÛØßÝÚáÈÆÍ ž¥š˜Ÿ‹‰†âßæØÕÜÙ×ÞÕÒÙ°­´ÝÛâ ~_œ„ + +endstream endobj 1893 0 obj<>stream +H‰b`dbfaecçàäääBÜ<¼|ü‚BÂ\(@DTTL\BRJZFVNVž¡IAAALQQL‰AYEUM]CC]ÀâZ¢¢¢Ú:ºzúü†FÆ&¦ff¦`q0077·à±´´²¶±•·3ÑÔ„‰k¶½½ƒ£“‘³ ‹««Z¤è¦äîáée¨¦€´€¶‰YJ0¡‹ƒõyóxbºP «ø€òL1Ë + +endstream endobj 1894 0 obj<>stream +H‰Ã<ÿÿÿÿôôôúúúàààâáâòññ´¿¹¾À¿¿À¿ÙÚÚõõõ÷÷÷öööùúùþþþ“´ ¤¶¬¥µ¬¸Ä½ÔØÕÚÛÛÚÙÚãââPÈ~qÌ–rÊ–oµ‹‰±™°¶´·¶¹½½¾ìêëùùùüüü+Ïj>Òw>Ñv:ÀmM·uv¼’~¾˜}·”Žµ«»²¼½½»½½ÕÕÕÌ`#Íd"Æ`/ÃgNÇ|TÉRÀ|X±zŠµœ±»¶¯¹µÀÅÃèééìììëëëïïïúûúýýý XAJ + +endstream endobj 1895 0 obj<>stream +H‰b`Y€‘‘‘ ›83 +6q6vN.n^>4q~A!aQ1tÃÄ%$¥¤edåä™øàÚ””UTÕÔ54µ´utõx¸¹ âú†FÆ&¦fæ–VÖ6¶vö@]eD g + +endstream endobj 1896 0 obj<>stream +H‰Ð/ýcccrrrlllTTT333&&&??@^^^|||___@@@)))999\\\yyy‚‚‚QQQ...'''112dddsssjjj111BBB```{{{[[[===""";;;]]]zzzmmmLLL***334wvwuuuFFFxxxqqqSSS444###AAAbbbaab888™—™}}}ttt99:+++gggiiiKKK,,, fffrrsWWX(((<<<­¬¯•”–Ž|{}UUV(()pppIII---HHHhhh~~~oop666???ÛÙßÖÔÚÕÒئ¤©ZY\^^`yxzŠŠ‹~~EEE%%%NNNJJJ///000DDDèäëçäëëèïÂÀÅyw{zy}’œŸ‹‹ŒonoRQR545OOOkkkGGGèåìéæíéåíÞÛâÉÆÌÉÇÌÐÎÔÑÎÔ¸¶ºžŸŒ‹Ž}{\[]LLKwwwaaa!!!æãêÖÓÙÆÄɾ¼Á¸µ»˜•škjlwvxŽ“’”llmCCCäâéåâéçåìßÜâÒÐÖÐÌÓº¸¾›š£¡¥²°´°¯³wxyDDE++*PPPÇÅÌÉÇÎ×ÕÜáÝäáÞåàÝäãàçêçîãàæ×ÔÚ×ÕÛÜÚßž¡qpr^]`_^`babcdd§¥¬ª¨¯ÅÂÉ×ÓÚÖÓÚÕÒÙÜÙàáßå½»À¢ ¤—•™™—›†…‡xxyzxyw~~|ƒ£ §º·¾¹¶½¸µ¼ÄÂÉÛØßßÜãåãê¿Ť¢¦wu|”‘˜¤¡¨¢Ÿ¦¯­´ÉÆÍÎËÒÌÊÑÏÌÓíêñÑÏÕ½»¿yx~{y€‰‡Ž¥£ªÎÊÑâßæäáèzwxv}ˆ†‹‰ ž¥¬ª±¬©°ª§®¾»Â}{‚’—Ž•©¦­ÕÓÚ LKu + +endstream endobj 1897 0 obj<>stream + +  !"#$%&'()*+,-./0123 -4 5678"9:;&<8=>?@;,A$BCDEFGHIJKL5CM*‡‡‡hwiiivˆ‰Š‹ŒŽ‘’'Es““”•wwwwh–W—˜™š›œžŸ?  ¡¢¢£¤¥¦§¨vwww©ª«¬­W®¯°±²³´´µ¶·¸¹ºvwwww”y–»y¼½¾¿ÀÁÂÃÄÅÆÇÈÉʧ˧Ìvvvvvhhh©ÍÎÏÏÂÐÑÅÒÓÔÕÖק©wwwv©iiØÙÚÃÃÛÂÜÜÂÝŴ޵ߨààáhvvvvh‡ÃâÃÃÃÃãÄäÝäåæçèé꧔á”hwwÃÃÃÃÃÃÃÂëëëÄåììíîïºÊº¨h‡ + +endstream endobj 1898 0 obj<>stream +H‰y†ýUUUyyy””•‡‡‡iiiFFF&&&(()LLMrrs““”¢¢¢……†\\]99:++,==>hhi””–¨¨¨žzzz111XXX{{{”””ƒƒ„dddBBB%%%***NNOuuu’’“WWX556,,-AABllm——™§§¨˜˜˜ttt@@@,,,^^^|||www777$$$001VVW||}‘‘‘ŽŽŽoooIII+++//0KKKsst££¤ˆˆˆccc665'''‚‚‚jjjHHH---999‚‚ƒ€€€``a;;<"""222TTTŸŸŸSST000eee}}}CCC(((<<<__`„„„YYY!!!555œœœ———rrrKKL...888)))DDD†††kkk;;;```“““‡‡ˆbbc<<=lll444GGGggg………===ƒƒƒ€[[\**+445pppxxyhhhMMMZZZ:::qqqOONsssxxxaaaEEE///PPPmmmQQQ###~~~ccd""#>>?]]]SSSnnnLLLyyzAAA€€WWWRRR ŠŠ‹Œ‹poq___JJJ§¦ª©¨¬’‘”YYZ[[[zz{¾¼ÁÀ¾Ã®­±xwyVUVQQRJJK\\\wwx???>>>æãêçäëáÞåµ³¸›™’•gfhéæí×ÔÛÎËÑÉÆÌ®¬±§¥©´²¶‰‡ŠHGGäáèâßæàÝäÍËÑÇÅËÏÌÒÑÎÔ¢ ¥UTU434989 C_‡ + +endstream endobj 1899 0 obj<>stream + +  !"#$%&'()*+,-./0123456789:;<=>?"@ABCDBEFGHDI JKLMNOPQRSTUVWXDYZ[1\3]^0_`abc<,\defgUhAi>c]jklmnop5q:QrsftuhvUgFl‚ƒDXg h„…fI†‡ˆ‰fŠ‹Œ}ŽH;q‘W’{“,2”-D•P–—q˜.]‹˜:A™ ]Hš#›B“e3œ„,Bg4erah„ŽržPtŸ ¡'¢d\a†£-]¢3QaE(˜T]^¤¥¦§¨^P-© —œI•ªj‚ «ofjŒ¬­®¯°±²\,qd•¢w³a´£µ¶–·¸¹º»¼½k«,\3ª]ška}œdžWœ¾¾¸¿ÀÁÂÃÄÄÅgXÆB}iŽsDt‚·¸¸ÇÈÉÊËÌÍÎÏÐÑÏaqÒX¤” + +endstream endobj 1900 0 obj<>stream +H‰ ÈkWÁ`à_w›íÝ …Èؘp¨d"+äºTêœ'ÝD¶,“aÑå7ÔóñAD‰!$ÄYštQйíáR‰tz/»ŸÌdÒù›vgØ×Ϫ¥;ƒc´×àvÂÕr³×}ºë<¢DlØÀ…`ô %ab€6ÜÁ¸…Ðn˜ù<°j+'ÉùìILL*õËx4ác¢w±¥0º©½¾¿&½—J©Q;Sʧ5Î/½Zþ,f+]›ŽUc4åJ4œ",ãþ\|[󯙱ü˜,º·÷ç«V³]È~$këwÐ×ä|I:<>’d†Údé-sj©C=û?™ω4t.Íõ|j](×>oðO€$¿³2 + +endstream endobj 1901 0 obj<>stream +H‰b`F fbfaaecçàäâæáe@&>~~A6A!aQ1ˆ ”b—à“äb@bÒ |Èjd`šd%0ÔCªñ SÀ94Óa4‡¼¼‚"Ÿ’¸8š9Ê**ªjâè<¢¢"êšZ +Ú|::`×1è‚=}}Ce#cS3>¸>s °°´²´¶±US³³gaa¸Ðœô\Ô]ÝÜ=€¡ã)Ò䀼¼}|ý¸<ü<ùÅ̓¬ƒx•ƒCTCÃP@x¸c„¨ºk¤T@€Ö$ + +endstream endobj 1902 0 obj<>stream +H‰Â=þÓÐ×ÛØßèåìéæíáÞåßÛââàæÍËÑ«©­œšŸŸ¢¦¤¨‘“„ƒ…ƒmlnCBC&&&LLL^^^ÊÇÎÔÑØæãêåâéäáèåãéÜÙàÌÉÏÅÃÉÇÅËÇÄÉ¢¡¤Œ‹{z|MLN)()NNN```¢Ÿ¦±®µÎËÒÍÊÑÏÌÓàÝäêçîêæíêçïëèïìéðçäëÊÈ͹·¼º¸¼³±µˆ†ŠQPRYWYutv€‚zx‰‡Ž¤¡¨¨¥¬¥£ª«©°ãàçâßæãáèèåëæãëÉÇÍš˜œª¨¬®¬¯vt{‚€‡•“š˜––”››™ º·¾ÌÉÐËÈÏÓÑØ×ÔÚµ²·´²·¿½ÂÁ¿Äyw~}{‚}„ŒŠ‘”’™“‘˜–¬ª±ÙÖÝßÜãèäëâàçyv}xv}‡…Œ„ƒŠš¡ÃÁÈÉÆÍÈÅÌåãêéæîèæì{y€|z†ˆ†Š‡ŽŽŒ“µ³ºËÉÐÌÊÑwu|wv|£¡¨¦¤«À½ÄÚ×Þyx~…ƒŠ‹‰«¨¯¹¶½¸µ¼ÐÍÔŽ‹’™–˜•œ—”›°®µÂÀÇyw„‚‰š˜Ÿ§¥¬xv~€~…ƒˆ í'ž + +endstream endobj 1903 0 obj<>stream +H‰b`dbffbaeacçàäâæáåãgfb’”’–‘•“WPTRVQUS×ÐÔÕÖÑÕÓgf60426153·°´²¶±µ³wptrÖuquucbbr÷gòôòòöñõó +  “47aÖ×7ˆŒŠŽ±‹‹OHLJNÑKÕ“0IcwuuI‰ÉÈÌ´óËÊÊÎÉÍËMaa)`.,d.Š»â’’’Ò²ò²ŠÊªêT û˜˜bà ³¦¶¦Ø¿®¾A['¤Q.Ü"Š›[*ZƒÛÚ;\cPA¦¯]gWwOo\iL?D¢x‚½}ÙÄI(Æ@õLΜ҃ LŽ‚¬IOLff&V-S§úÅÚ’–¦&  •„} + +endstream endobj 1904 0 obj<>stream +H‰ Ði;Úðoã…ñ<¢SÊÑAÊ4S’.Ji®é"×ó“Y +a:4¡]ÿ(•.æ¨\{ØG™/ð{ñ³X¶à,—ËÙíöÁººzØÕÅ3V./SOOÏÑhleå¡P¨ÃÃ_m6G¡ððüüâñONN566a0X§s?•JY,[½½½8\umm]OØd2__ÿyyù +…õúo,»ª +I£5«Õ£.×Áþ¾K­ÖÉ, +ù|ÁïÌÏ/´·³àð +*µQ¡P:¿Ÿ”J›Ý±¸¸‘««ëîî"±öüg³9•JÓÐ@B¡P@èõõ-•J›ÍëX,V(&I·Û=>>ÑÔDC"Q +ÅáØë郞ÆwvrÏÎÎßÞþ-//óx<$)“Éäò/8ŽÉdNOO{½ÞB¡Èåò<V«e0ͨ×ë[XÐs8‚Ïç †p8|sswpp¨R©].W&“ÙÚzÿ—NMMÃáp4%‘HÌfs:±ZmƒƒC“>Ÿouõ§@ÀW(N§óâ"‹ÅŒ0ünÓh4­v<‘Hèt:(†Ç×$“—6›ÐétÄápôz=CCÃ¥¥;Ÿ/ÀãñDeGg}}Ãï÷—••a0@‰DÖÖÖ¤R)@(//ÏdÒá0 Š~ýÚ•H¤%%%r¹üää”Åj*•ŠD"±X,¥R¹³³s|ìÝÝÝ%“É0L$ê6ÑhT,C Ðl6kµZM&S_ŸollÆãq.— …BÁ`ÈÜÜüýr + +endstream endobj 1905 0 obj<>stream + +    !"#$%&' + +()*+,-./012345647%$89: ;<=>?@A2BCDEFGHIJKL;MNOPQRSTUVW8XYZ 9[\*]Z^_`a=bcdef+=UL;g(hijfOklmnoVpqrstuvw? Lxy$z9{|R}~€{‚Bƒ„…†:9‡uKTq†ˆ‰Š#‹ŒŽ‘’“”•‹QWi–—˜m™€\\š/•›bœžŸY ¡¢i$Q£¤>¥¦¢xœV§¨©!’$+zXª;«¬­*›L¥+®¯°r±²f³´Hµg¶32·¸¨›ƒ¹º»¼qL½V¾V3¿q ÀÁÂÃÄÅ)¯ÆÇÈ}É ÊËÌÍ°—ÎÏ/0ÇÐÑÉÒ\ÓÁÔŸÏÕ²Öjœ0»×R4ØÙÙ¢_ ÚÆvÛÜÝÞ§ßà‚áâãdâä$åC.抹¸`ç•èéÁêJUëì…»íîï8𓘠+ +endstream endobj 1906 0 obj<>stream +H‰ ‘m7Z€Rº½Ý"•¥d‡hå-S·˜"FiCE‰æzÉhr祡ƒ¢¬º£n…T—œ5?d}~¾<çyššš§¦¦ÏÎü8þœNßonºÔêÞÚZ…Bëê’¯¬¬Æbh>Ÿ¿¹ùmµÚZZZ Ëå îíy··Ý““_%’³³s@0ŸÇãñDw÷Çêj6² H•H`OOÙËËËé陆•J„z½áøØ—L¦Ö׿ c*•:“y:?¿ ÑèFãŸïW2™ÜÙÙe±ª€ÚÞÞa·/„Ã7Åâ¿H$j2™ÇÆŒÏÏ/–ôxv´Ú:A"U-,,¾½½E£Q‡ã[g§(//…¡¡áÖVÉÌŒéõõEÿ8ë +U©„ÖÖœ(z[,ƒÁ Ùlæpx‡rÐÛÛ'5¢(Z(..JÎ&±¸…Ç«;::öz½:ŽËåÚlöH$–ËåaxE,.az<žD"ã¸Ïwb0…†x<îr¹T*“É\^^ +E~¿ÿþþáî.Aj&“%—wÃðr °Z­••ÄººwÃßs9A~ŽŽê«ªÈÑhln®„H:ÝÐîî‚ |~=PÙÜ,¶X,–êéQd³Ù‰‰ÉÆÆ÷„ÇÇÌÖÖv¿†LÚÚÚG8–Je Ȭ©a»\?úú>±ÙµóóöëëP6›³ÛíR©AF“J¥—–`‘¨t‡Z_/Ðëõ‡‡G† ênoïNNNÝn7ƒQŠL’Éd6Û|(*ÿF"‘ÅEGGG'‘Hâp¸J¥ru:@&“a¯ +‘H,++'t:]«Õf2™òò +…B +…ù|Áøøøéé™F£õz÷766ÍfK:–H$l6û¿G“kˆ + +endstream endobj 1907 0 obj<>stream + +  + !"#$%&'()*+, -.$/$0123456789:;<(=>?@AB6C DEFGH@ IJKL.MNOPHQRSTUVKHW'X!YZ[O\]^_`Pa+bcdefgh:ijklmnopq%$^-rstu4v>w5xyz{|}~>=€K‚ƒ„…†‡ˆ-^‰KŠa‹Œ†Ž‘.=’R“|/ ”1•–—&0b˜™š›œžhgCŸ ¡¢£¤K¥¦§:¨©ª¤«?¬y10‚|[¬N­ |H + ^®¯°±²§³Œ´|4µ¶•&‡´µ·@¸¹º»¼½¾¿ÀÁÂÈÄÅ&+²-:ÆÇÈÉ}ʾM‘KBq«Ë +ÌÍÎ^h.;ÏÐÑ?Ò…ƒ‚%À•(ÓÉÔRÕ Œ‹BÖ×Ø)¾ +}P|ÙCÚ'°ÎAš™ ˆÛ, ›ÜËÝ"»Þ߆R + +endstream endobj 1908 0 obj<>stream +H‰|ƒýËYËZËYË`Ì^ÌZ:Êr/ÌjÌ\ÌY|È™^ˈ"ÊaÈWÇXÈYÉY¬È¸‹¼M¤o,‹Q"F*ŠO"¬WÊ\Ì]Ë\Ê[ÌZÀÉij¦bt9lM)[>6kL-Y!Êa"Íc!ËaÈ]ÊZÃÁÄšœesk;JB1?7P`Wc–wnÅwКlÃO®s)²]¶T·V ½[Ã]ÊYËX½¼¾’’`fc8?<4:8]da‚—‹ŸÁ¬®Ñ¼œ½©o6¢^§P©T)³]'¾`ÊZËY­­¯}}~QQR:9:DCDsrt§¥§ËÈËÑÍÑ´¯³ƒ€?tS&uD4‚RM™jTµyDÎy8Ëq/Àf$¶\¿Y›››jjk@@A>>?YYZˆˆ‰ºº»ÖÖ×ÅÅÆŸŸŸooo=A@4:7RWU|€~“­ŸÖªwÉ–Z«y?^'§WÆYÊ[Ë[’’’bbb::;AABccd’’“ÂÂÃØØÙ¾¾¿””•ffg<:<969]Y]‹‡Š¦³¬¦×º‹Ä¡hž}G}\-ŽQ­U'¸^3Ãj5Çl~~RRS334JJKxxy¦¦¨ÎÎÏ××Ù°°±€€TTU889CCDrqr¡¡¢ÂÅÄÌÖЫµ¯{…MWS8UD:lMVŠj}°‘¿™iijGGH<<>]]^ŽŽ»»½ÒÒÓÉÉÊœœ??@XXY‡‡ˆ¸¸¹ÑÐÒÈÇÉ¡ ¡popBABA?`fb‹‘Ž¿ÅÂËÔÏ î0à + +endstream endobj 1909 0 obj<>stream +H‰b`d€ eÀ¹èÒ LLŒhòP63 +6õ lìLœœXtpqóðòñ 0`A!aQ1q I)iNNN„Y9yE%eU5u šZÚ:ºzú†FÆ&¦fæ–VLœ`›¬mlíìœ]\ÝÜ=<½¼}˜À2¾~þAÁ!¡aá‘QÑ1±qñ ‰ œLLIÉ)©ié™YÙ9¹yù…EÅ%¥eåÞ•UÕ5µuõ MÍ-­mí]Ý=½}ý&Nš}îü…‹—.F=^ + +endstream endobj 1910 0 obj<>stream +H‰oÿËYËZËYËZ ÊaÊZÊYÉY?ÇsÇ]ÅZÁWÂVËY™S­v<“^&{G…D!´Z(Æd-Êh.Êj!ËbÌY£¾®wš…Rt_0P=#^9-¥[8ÂlAÈtBÉu-ÊiÊZÊZ 1ø/ + +endstream endobj 1911 0 obj<>stream +H‰tOÛ‚0 kŠ0APQ”«Àÿ#p†Ý–½¬É’fDÀ¤éÈuÿ(á°X[XÞb㘳°Q™Ð;üU#S×áØXya>â¹Z|?P{Âñt/Š"Ï,Öyñ5Io÷Gö|r[{Š²ª›öÛõ¬R£žØ÷ + +endstream endobj 1912 0 obj<>stream +H‰Ð WYàÕûÁ«ÖÕ9ã–ÅÖI#ž§%%u‰ÅvRy$uþÁáp\]]—J÷t:£µµm`@ ×oäS:±X¶GFDMMÍ££ÒÝT*}zŠjµÚ††Æ©©iÇ{s“Ëç 4Z‡\>átº./¯*•j$‚¾yÃe³_-,| …··wwwy¥RÉd²¸\žN§Ïd.(€Ãé]^ÖD"§ÅbÉíö(Jd`2™Óé4Žã†™Í_áؘ¬P(Òhôþ~>†%q¼|q‘Ýݵ‰Å*AP"µZm¹\®\.¯¯ëêëfff‚ÁB1ár}}|¬hµkG¥Zº¿Ç''§øü·ççÑrù!A477ïóù ¢â÷»»_×ÕÕÃ0Œ¢gOOµ|¾hµÚ‡‡G¶·wŽ¿›ÍŸùü½ÞP­’6›]*•Ú펇"Çtº®®n¿?P*áNçþÒ’Z*•%õ†Ãß‚ðù³³óíí”X,N’µL&k4~’Ë^ï¡Z½L¡Pa¸ouuíì,úüüÛårM$’lmYR©L"‘ÔhV÷÷]‰ôååO2ùÓhÜ +ß3™l¥rÒd2ÕjÏ"‘˜NÝÿär·jõÊÁ‡Á¯¯o‚Á` êëëÏf/Y,v¡PBQAt==ÍöoR ‚¬½='I’(zŽ z.† Nss‹J¥ +‡Ã^ïÑôôŒPøÎb± W«ÕÅEÇCÄ`0tt0þ +0¨¼dY + +endstream endobj 1913 0 obj<>stream + +    !"#$%&'()*+,-./01234&56 78 39:;<=>3?@ABCDEFGHIJ3=KLMNOPQRSTUVW"XYZ[N,\]^_`/Yab2-c?d0efghUijk_lm1nop8qrstuv!gwxyzf{3|}6)~,€7‚Zƒ„4…†‡ˆ)‰1Š‹(Œ6Žz‘’“d”Jc•n–—˜™š'›@œ–žŸr ¡Q`q¢“R:£ ,¤¥¦§¨jŒ(Š©7ªˆ«ž¬Ž­©®Vr"¡Ž;"(&Qn¯°r.±/;¡qc4²]6³u´_Mx/–µ(¶jnR·¥¸tQR¹…º_»¼½ˆN¾¿–ÀIÁcÂÃÄÅoÆbŠ[ZAÇJ-q¼—$aÈÉ_NÊË‚ Ìxˆ{,ÍγÏ2ÐÆYÑÒq{(I6–Q¾MÓ·Ô‚ + +endstream endobj 1914 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?—ÕŠ¾ÙÔòú•ÚÖÙƒä£ÒES¬ä™Œzç8VñX:OÕ7Ø}¶^#_5‚ÁÓ¾®ägYí²ÐH'Ï” AÜ[L8ò +œD¼Ã^›™“Ÿn sGžBH¿U+û>_T·G>v“ætIGìÂè®[Š~íè›ë'þ&1¾>þ"Ùð?‘xêJVûì_øwöR^>’JÿÙ + +endstream endobj 1915 0 obj<>stream +H‰„ + =ÿÿè@²Ì Øœ]f)Ö…ÛC~Îö€µÞ("Ž7KÞˆ-{Q¥âÚ@¸<Ýâsû9£¸œTôôÛxR T4†5øE + +endstream endobj 1916 0 obj<>stream +H‰ŒQ + B}÷¿tAA˜[äÇ@*IÈqóOdÀL§D®j£0Úñ´&© I©Þ^œ?eê-: ^) + +endstream endobj 1917 0 obj<>stream +H‰| +!wöÿ>®ÔÂ"!W i¼V çGdëÛ&L›ßøB‰lÚ"!äêA[ï%âþ ØÝfu­œZÃæ¼Mì3™®s·‹—¾_÷ùJ«] + +endstream endobj 1918 0 obj<>stream +H‰ŒŽ + C}÷¿t`~f4¦lS3§/cOie#¨aÁ+蘳‘CJ­žs¢é}Tž§Û)1ú>4Ž%À)|- + +endstream endobj 1919 0 obj<>stream +H‰îþËYËZËYÊYÇWÈXÄV»R¸Q¸PÌZÇX¹P¬K Š; €6 +}6 +|5ÁU¢F œC >q0f+c*a)ÍZ½S ‘> †9 +€7o/g,¸Q 8 p1 o0 +m0 +i. +d, b+€9 p3 p2 n1 j0 e. +b-ÍY¼W'‘O,‚M-~M-}K,yJ.wK0wLÌX"Ã_L©q\›v_”u^’s\rc“wj™~ËW1Înˆ×ª«Èº²¹¹²¸·®²³¿¿ÃÑÑÖÍaAÑyY»g§f¥m‰yˆ§¢¨Ì[Ìa1¶d@¢e?ŸdJz]otq‰Ž iŠhj + +endstream endobj 1920 0 obj<>stream +H‰b`FÁÈ"™ÀÀå€âHl*΀àgbd¨‰Ì,@€b!H1++;'šz.nv^>~A4S¸„„EDÅÄ%$Ñì”’–‘•“W@g”RTRVQUS×@3GJQSK[GWOŸʼn †FÆ&¦fæPAFH 0ZZYÛØÚÙ;8"Lkqrvqus÷ðôb„…1yûøúù¡NpHhXxDd@€³@é + +endstream endobj 1921 0 obj<>stream +H‰¹FþËYËYÌ]%Îe(Îh'Êe)ÁbSÄuÉ—tÇ–t´Š²š¥¶¬©¶¯°º´ÑÕÓÞßßÞÞÞðððÿÿÿËZÌ[Í`ÍaË`Æ^5ÇnGÊzGÉzE¼saºƒšÁ«¦Ã´¢º¬½ÈÂÐÒÑÓÒÓëêëËXËWËV ÌT(ÐhkÙ—{Ý£oÇ’v°Œ”³ ¹Ä¾ÖÚ×ÙÚÚÌ\Íb!Íc Ëa"Ä_PÈsΗrË–pµ‹“±Ÿªµ°ËYËXÌY;ÑuZÕ‹YÓŠT¹{u°Œ’´ ÊXÌYÌ`#Îd#Íd"Æ`6ÃlIÆzÀTÇWÉXËZ!Ìb/ÎlšC²NÁTÌZÌ^ +z5 =¢F»Rl. +s1 q0 ‰;«JÈXÍZ['V$R"f, ˆ;¯LÃU['U#R"^' s2 ‘?µOh;\-Y+ +T&W%i-¢FD\$i>!e<Y-V%j-¢G‹©™P„fPƒe(jB^( w3©J”š™‹™“­»µXœs¨IŒ•’š£ ÈÒÐg³†E¾R ã³È¹ + +endstream endobj 1922 0 obj<>stream +H‰b```dbfaecçàäâæáåãa``—”’–‘•“WPTRVQQ +‹002ª1ªkhhjiëèêéóð1 cS3s K+k¸ ÐH5;{G'gW7w¸0”öðôòöñõóDg` +b @èÊGFEGÇÄz )kU‹‹OHLJNa@©ié™YÙ"èâ9¹yù…EhV30—”–•WT2x ©¯ª®©­«oñ»F¬ ¤¯±©¹¥µ­U=P¢£³«;³ÇUˆzûú'Lœä΀ K<0p + +endstream endobj 1923 0 obj<>stream +ËYËZÁZ!¸[!¶Z*ŸV?”_J lÆZÃZÂZ"°W.¥[4«aÌZÌYÅY¿Y¿XËYÊY + +endstream endobj 1924 0 obj<>stream +H‰l € CWÿT¸ÿYÕ„Q˜Œ„f¦[ÑÂ{>iÚ®ƈ$)¦yY·=è£î¼n©”—òrçØ!ãyÕ9x£ôçùˆ;RþùÌó6Yývq0Ú€J??Ï[ ÃQêÇ>! + +endstream endobj 1925 0 obj<>stream +N¥p\±}|Ó FÆxºRÈWÌYËYËZ4­c?¶lXЇ5ÊoÄVÊX¾WÁ[&ÍfÍ`ÊYËZËX + +endstream endobj 1926 0 obj<>stream +H‰”OÙ€ ó¤õÄóÿUÐÅD»dW—n+ʪnZƒÒû®Æi&RØeÝîi:Càw2ëapfºTaí|ä™’Tþ‡\*˜Ë} RrÑSñ}1ãàâ%À`a ’ + +endstream endobj 1927 0 obj<>stream +H‰ Ðy;šðeÏ“#Ò¡S´‡IT„¢¥¦„ÈìÝ¥f¤\ëDZ³?P"•#z{So×Û%„}í÷~H$ƒÁ +…¢ÝÛÛX±X +…. #›=„B¡‘ȶññ «Õz}}“Éd#‘¨É´ÊáŒâñoÜbÙ„ÒÙ"\â`K ’@ ÎÌÌîííÇã‰\®pzêW(”}}ô¶6‹›š;H¤RP T«µýý  +%¡t&΄/#ííhc@«Õ]\„ +8œN—X,éèÀ·¶¶ÑéýJ¥òää´X,»Ý{Ré @@ ==½KKß|¾ßù|Áã9$‘È““ÂT*]«=›L߇††ˆFªÏç[­[77±§§—p8ººúcdd´©©ylŒ·¶f‡#•Jµ»›67'Ûß?ÈfóÕjíøøD¡P566I¥R—Ë `µúè÷Ÿ©Õ4#}±Ùì±ØýÑѣѴ²² žÃp ‚²N§›L¦h4Ú‡‡”D2Ãuôö~Z^V‚ ¸¹¹µ° ‹ÿo8“É.F"WL&kw÷'&//ÃÃÃœ‰‰Ïww÷r¹Üçóùý•J}pàÉåòJ¥ª¡á‘Hšž–>>Ö36{8 +??¿°Xl½Þpv,—«.מD"¥P¨ÙlŽÇ›èê¢Y,Özýµ¹¹…Ëå™ÍÑèu©TI$’ÛÛ»|¾€@ E£W°XCx<1†jµ§ÃCo>kµz:ÑÙI-•Ê”1V’ÉÔì¬ìííƒÁ!Mv»}cÃR¯¿‰DSëëæ÷÷¿ÛÛ;Ád¹\ ƒƒJíZ\üêõz__ßÎÏÏu:ýüü¼F££Ó°Ø†=L&£Ñ>2™L£ÑèõþdÎÍÍÛl6‘HD¡tÆbwv»ƒH$s¹ã‰H"Q8œ‘ úTˆ + +endstream endobj 1928 0 obj<>stream + +  !"#$%&'()*+,-./0123456789:;<4=>?@ABCD EFGHIJKLMNOPQRST8UVWXY'OZ[\]^_`)abcdefg)8hijklmnopqr#s89tou=vw!xyDz{|}~€‚!FGƒ„€…J†aw‡OˆYX ‰Š3D‹ŒAaZ[Ž;9‘’cu“”|•m–—H=‘a˜™š›qœžL9gfŽŸ ¡¢£%w¤¥¦§¨©ª«¬8†S­Ž®¯°C±²1‚‘[³-´2µ¶h·>=]Žf1¡ +­¸¹³[sw˜ºXQ»¼‚1š½Ž=¾¿%Y4Àw ÁˆÂˆƒÃ"!ÄÅuÆÇhȲÉÊ‚¤ËÌÍ]ÎÏИsѳuYÒÓÔF²ÕÖ×Ø!ÙÚ¸Û[ËܺXȹÛݬ¬0eHÞßàw¥¢áÈHâß7ãäÁ4—åâiMæç + +endstream endobj 1929 0 obj<>stream +H‰’mþÿÿÿÙÙÙâââûûû¶¶·½½¾ìëìúùúùùùüüü ¶ª£µ«ÇÏÊ×ÙØÚÙÙëëìüûûþþþTÈR¿}[±{µŸ¶º¹´¸·ÇÈÇîíîñðñïïïôóóýýý7Ðq4Èm9¹jx½”¨Äµ¥Á²«»±ÍÒÏÓÕÕÓÔÔÛÜÛôôôÍ`Ë_Æ^4ÈlDÉxDÉwA»p^·€˜¾©¤À± ¸ª½ÇÁÐÑÑÒÐÒÓÑÓëêëËXËYËWËV ÌT*ÐimÙ˜{Ü¢oÆ‘x°•´¡¥¶¬¥¶­ºÅ¿ÔÙÖÚÚÚãâãËYËX ÍbAÒyGÓ~DÈvI¶ro¸Œ‹¼ Šºž“µ ­¾³ÁÁÁÃÀÃÎÌÎùøøËXÌZ=Ñv\ÕŒZÒŠU¹{o°‡šµ¦¢¶«¤¶¬ÍY,Ðk>Òx>Ñw;¿mO·vv¼’~¾˜}¶”¶®¼³¾½¾¾¾¿ËZËZÌ`4Îo9Ðs6Çm;¹k{½–ªÃ¶§Â´Í]&Ïf)Ði'Êe+Àc[Ä„È|Æ›Ë[Ë] ¡Šb + +endstream endobj 1930 0 obj<>stream +H‰b` 021cgaecçààÄçâæáeäãàäD—”’–‘eDÈÉÉ+(*)«¨ª©kHkjjÂ-ÓÒÖÑÕÓ70426153·°„‰[Y[ÙØÚÚÙ;8:9»¸º¹{x2z4y{{[[Yùøúù‡„†…GDFAÄAÀÚÇ':&6.>!1)9èBO¨¸7POjZzFfVvNn^~A¡wTD{—”–•WTVU#z|||jjëꛚ½ÑA1´´¶¡ÊY[[!ŒA’-ò0KK + +endstream endobj 1931 0 obj<>stream +H‰Ðë7ZðÊŽi–aG v1ÓÙÉcS©ˆ¡´íœì½6y®7]êÕ½åºz=”†ÿaÛçß·ŸÑðS¯3ºî 0xF“ñL1W~¸ª”žò͵Õu£þ—Ýâòy/Q$–Ë+ÿé©rÿÌãñúûXÜÑñ%‰Ì 3yÏ}ñh*›Î#‘(è¹8|ÙTŽÅ$‰8\moﻕ•U›Õ†#P0¼g>X‘}Y\øÁ`¹øX*ü¡Ó™x|}]¾¿Ÿ¥PlºO(>ÿÖÖ6F‹¥N‡+Êx½¾ýýC +¥•Ëý¨Õn'I‹Å*•.÷ôô€ ?“¹u8\CC\®“››èÁÁ¡Rù•Á`..ŠŽŽwvt<Þ‹„0AÔh457SZ[ÛvwÍæóù}}ýëë*•ŠÍf76’˜Ìn§Ó„FGǺºèV« A®ýþˉ‰INÃaEŽiÒŽŽN,¶†BiÙÞþa³Ù—––I¤×ÎèåeÀnwÎÏóY¬‰DšL¦`‰§¦¦©Ô·À`0Ñho76äÙì­F£ã®­­Çã µZC&7//Ë‚ÁÐ_Ùg· + +endstream endobj 1932 0 obj<>stream + +  !"#$%&'()*+,++++-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQRSTR<UVWXYZ[XW\L]X^_`abcdefAghijklmnopq..rstuvwxyz{|}~€‚Hƒ„…†‡ˆF‰Š‹ŒŽ‘’“”•q–—nm˜™šU›œžŸ kjŽ¡¢£¤¥¦§¨©`ª«¬­Ljrs®¯°–"††±1²³´µ¶·¸³‰¹ºK»¼´½¾¿ŒÀ/ÁEÂ`ØÄÅƲnHÇÈÉ.Ê–Ë‚ÌlÍj•ÎœÏÐÑ1„ÒÓÔÕÖ×ØÙÚkÛÔ³ÜÝqŽÞßsàªáâãäÏå惌…L¶ç¸Z‚Ó臎éê´‚¾ërìí[îŠïð iÅñËòHórôCqõË‚ölÅÚ + +endstream endobj 1933 0 obj<>stream +H‰Ï0ÿÿÿÿìííüüüþþþÚÚÚùùúúúúúùù­¼³ÏÓÐÔÖÕÓÔÓÛÜÜïððõõõôôôûûû|´’—·£­º³°ºµ¸À¼×ÛÙãããâââôóóÇY<ÊsԬ׷Ž¿£ ¹©¹Á½ÀÁÁÁÂÂÝÝÝøøøËX!ÎcAÒzGÓCÇwI¶sq¹‹½ŸŠºž“¶¡®¾´ÁÁÁÿÂÏÍÏùøùËYÌ[Ía ÍbÊ`#Ä`RÉ€sΘqÊ•o´Š‹²š®·³´¶¶½¿¾éëêö÷ö÷÷÷ .B•ë + +endstream endobj 1934 0 obj<>stream +H‰b`Y€‘‰‰‰‹8 +;qN.n^>~~TqA!aQ1q qITq)iY9yE%e>>>„MªjêšZÚ:ºzú†FÆpqS3s K+k[;{G'gˆE¤9 Ø + +endstream endobj 1935 0 obj<>stream +H‰j•ýÕÕÖºº»‡‡‡SSTAABVVWƒƒ„¸¸¹ÝÝÞÐÐÑ©©ªvvwFFGCCDhhj˜˜™ÈÈÉââãÅÅÆ––—ffg>>?QQRoopÑÑÒ²²³€KKL??@\\]ŠŠ‹¿¿¿âââÍÍÍ  ¡BBCDDEÍÍÎááâ¿¿ÀŽŽ``aWWXvvxÆÆÇžkkl==>llmžžŸÌÌÌããã¾¾¿‹‹‹]]]NNO‚´´µ××Ø®®¯yyzOOPjjl‹‹¹¹ºˆˆ‰ZZ[;;>stream + +  !"#$"%&'()*+,-./0123456789:;<=$>?@ABCDEFG H IJK,LMNHOCPQ@R%STU VWXY4Z[C\]"0 ^_`abcdefghKi;jPk[Llmno$p-a9QqPrstuvCnS4wxyfz{t|}~k€‚,JI$Dv9ƒUy*„…ZN$†‡%ˆf‰Š…‚M‹)Œ @Šx/gR!]Ž$/I(‘ †|’“”•eB\–—˜Œn‹™š›DeDœž˜Ÿp‘‹) ¡¢5£e +š¤ky¥¦ˆ§l\¨yCT©ª{gfkŠ «qB¬­%;xq#v]®S†Uo¨(˜X˜¯kV°±²³´ µPw¶`@(Bµ .1·ˆ¸¹º|»¼½¾¿oIc“ÀÁÂfzGš'©ÃÄÅÆ»Çj=ÈVÉɳÊÈxËÌÍm + +endstream endobj 1937 0 obj<>stream +H‰”Ž7 ¹ÿÚÁ–€ fPJ +A¬Vg=ˆ†>Ç”¥øÏÙŠ=)>Ý#ùp4€ûX4VP n¼O4™“²J¾!À,v; + +endstream endobj 1938 0 obj<>stream +H‰^¡ý§§¨ÎÎÏÚÚÛµµ¶‚‚ƒUUV<<=QQR……†··¸ÓÓÔÏÏФ¤¥oopFFG@@A__`““”ÅÅÆÔÔÕ¿¿À‘‘’TTU??@°°±ÒÒÓÖÖ×®®¯zz{OOP??AYYZŽÀÀÁÈÈÊ››œgghDDE¸¸¹‰‰ŠMMN99:ÆÆÇØØÙÈÈÉBBDJJKooo¢¢£ÙÙÚˆˆ‰VVW~~¯¯°ÛÛÜ¥¥¦ttuAAB556ÜÜ݇‡ˆ::;„„…ààá×××sstKKLaab””•ÆÆÈ’’”__aCCDÞÞßPPQ]]^ŽŽ½½¾ââãjjkGGHAAAžžŸŠŠ‹VVXAACßßàÑÑÑllmEEGnnoÌÌÍÁÁÂŒŒXXY}}~²²³××ØÑÑÒ­­®yyzCCEÞÞÞÊÊË–––ccdGGIKKMwwx¬¬­··¹ƒƒ…»»¼ÝÝÞÍÍΤ¤¤ppq<<>EEF```¶¶·NNOBBC\\]ááâqqrkklœœÉÉÊÁÁÁ‘<<±±²±±±||}RRReee†††ÃÃŘ˜˜>>?uuvµµ·UUW;;<¹¹ºÕÕÖ¦¦§IIJffgšš›ÂÂÄ””–££¤yy{NNPWWXºº»ZZ[iii667€€ÙÙÙªª«bbd  ¡¼¼½^^_rrr¥¥¥ÓÓÓ¡¡¡©©ªÄÄÅLLM112„„„´´µÐÐÑÂÂÃiij¾¾¿xxyzzzÝÝÝ   ÉÉɳ³´€SST¢¢¢ppp˜˜™ààà óNµ + +endstream endobj 1939 0 obj<>stream + +  !"#$%$#&'()*+,#$-./0 +1 23)456789:1; <3=3>?@7ABCD!EFG9HI654J=KLMNOPQRPS,1TUV.WXSY.Z[0\6]^_H`abcdef-_ghijklmn +bop9 d¡¢“£ ]b€${›[06¤^¥Š3Ln#$w¦Oc˜T§¨‹W©ªe(Š«¬­ƒ®=›¯°™b¨J§_'&W±²/.{³´`µ¶Ž·¸6¹SYQŠœ*º ¥%J`­ +»%)Ci¼™½T£B¾d +¹d¿QLhÀ®PÁ¹ÃÄBÅ hÆÇ““$ÈXÉ + +endstream endobj 1940 0 obj<>stream +H‰ðÿËYÌ[+Îj;Ðu:Ït7¾lL¹vr½y¿•w¶‘ˆ³™©¸°º¹¼º¹»ÙÙÚûúúûûûþþþÿÿÿÌYÌa&Íf$Åa4ÃjSÆZÉ…W¾c³‚™¹¦º¼½·¹¹ÍÎÎóñòôóóúúúÿþÿËZËXËXÌXÍ]&Ðg*Ñj(Êf,¿e]Ä…}ÉzÙ}´“—·£®»´ÉÎËáãâáãáËZÊZË\Ë]ÇY>ËuŠÎ¦–·£¬¿³­¿³ÌXËWËVËW ÌT.ÒmkÔ•s¶}°±’Ì\Ì` Æ` Ã^"Ã`ËY S¥‹" + +endstream endobj 1941 0 obj<>stream +H‰b`F&fV6vN.n^>~A!!0ƒ°ˆ¨¨˜¸„¤”´Œ¬œ¼‚¢’2PTE…AUMM]CSK[GWOßÀÐÈØÄ”TÌÌÌÌ-,-­¬mlíì @@UUÕÁÑÉÙÅÕÍÝîJi/o_?Là´L¡ÆPh¢0– +²:P9 C³ +Ì"¬šUpóT0U æÀMÃ4îzT +ä €X' + +endstream endobj 1942 0 obj<>stream +H‰€þèåìçäëéæíäáèÁ¿Ä¿¼Â»¹¿ÄÁÇÔÒ×äâ覥¨œšŸ–”™¥£¨ÂÀÅâßæêçîêæîvvygfihgjƒ‚…¯®±ÛÙÞâàå×ÕÚÌÊÏÔÒØåãéæäêMMN??ARQTxxy©©«ÓÒÔÐÏѳ³´‘’§¦ªÐÏÕ×ÔÛÜÚàáàå@@A889SSU}}~®®¯ÉÉÊ¥¥§Œ‹±¯´¿½ÃÍËÐÙØÝâàæàÝäÜÙàÞÛâæãê445::>stream +H‰b`Œ€‘ °I0cf``aecçàdbÀæâæáåã@“—”’æg–‘E’“WPTRVQUS×ÐÔÒ–e`dd„Hèèêé(雘š™[0[ZYÛ€%líìœ]\ÝÜ=<½¼}|ýüÀ¶‡„†…GDFEÇÄÆÅ'$&%§¤¦¥30ddfeçäæå—”–•WTVU×ÔÖÕ3¹— z + +endstream endobj 1944 0 obj<>stream +H‰Î1þÿÿÿÿþþááâêêêýýý·À»ÌÍÍîîîöööõõõûûû–´¡­¼´ÌÓÏÓÚÖÔÙÖÛÛÛñðñWË…r½|¯°’²ž±¶´ØÖØúùùùùùøøøúûûBÓ{XŃ\¯|]­|r°Š™³¤¶Á»ÓØÕØÙÙØØØâââúúúþþþÍa"Êb#Ã_"Á^3ÄjQÅ~V¶zw²¯¹´¸¹º¹»»æåæöõõóòóôóóüûûÿÿþËXËXÌXÍ^'Îg(ÃcBÀsuÆ–~Éœxº’‰´š¥º®±»¶²¼¶ÊÏÌáââãâãâáâëêëËYËYÌ[Ì_Ç])Æe?ÉtCÊwAÁrJ¶s‚¼š¥Á²¡¼­¯½µÌÑÏÓÒÒÒÑÑßÝßüûüËYËVÌUÎ[SÖ‡|ܤvÔœpµ‹‰²˜¡¶ª¤µ¬®»´ØÚÚÚÚÚÜÛÛËZËXÌ[4ÐpGÓ~EÏ{B»q\¶…¼œŒ½¡µž¤»¬»Á¾ÅÂÄÆÄÆËWËV(ÏhVÕ‰_ØXÄ‚a¯~Š³›¡¶« ¶ªËW Îb;Ñu@Óy<ÇqB·nhºˆ}½˜|»–Ë[-Îj<Ðu;ÎsÌZÌ^ÍaËZ œb+Õ + +endstream endobj 1945 0 obj<>stream +H‰b`Àqˆ31³`gecçàääÂçæáåãÄ—”’–A—•“WPTRVQUS×`ÐDˆkiëèê雘š™[X2jjZÄ­mlmíìœ]\ÝÜ=<½¼}|A.ôó÷ó  + ˆŒŠŽ‰‹O€ŠûYû'&%%§¤¦¥gdfeçðææåƒÅýü +üý ‹ŠKJËÊ+*«ªkjë â`ÉÂú†Ʀæ–Ö¶ö¨8XÂÚÚ¿³«»§·¯ÂÄjˆú?{Òä)Sýü!bPæL›>c²ùp0sæL€ihSt + +endstream endobj 1946 0 obj<>stream +H‰ Ή7šð?jkJújôh"G˜Ps {Ú„Å\Y‰={Ž„È1 #ºÐ*”.Õ>õ¥ú:uçxÛŸ0ïýþ€ŸZ©9:Tœè`o(›|ʤ3ÉǹY;%¬€÷}ú)“~̦žÈä*À ·ª•§Ñp<•ÈÆ£éH0ôGa_ø.œ@£ÑX,¶½­C¼¶n³Ùá¨Ûå5^ZNTšãC¥ÕdOÅï÷º»ûãêêšÉdöû`³É&;”¯‰Ö…‚åh(‘I>$bÙtâ…Ê-/¯W(”Nç‹Å¶µù³ÕO¥ÖR(5ß&xFƒ)¼ ÂÛ—Œe³ÿ2Ïþæå55µÓÓßõúó›×ùùÅÜÜ|c# °ùù,VßÙ©ö½¿Íê<Ï#ÉX4•Œe „âMò@ÐíÁÁáÐÐ×ÒRÒ‹/I$ÒÈȨZ}û`‡Ý)•î —'yü wuEl¼4'b™ø]šB¡r8J¥* +/--···çä i´¦ÙÙ9­Nw{ëÕéõÍ--%%¥¬Þ¾c™"¸s\ƒfÓ5F›™ù¡Õêü~Øh¼jhx‡Ãá::>ܸ\££cUUUx<žL&²•rU(qБL.ÙÞe2?mooÛí™ì˜Í"‰ ¦²’<<<"—+ 2›­bñFWWyyèúúz>ª§‡ÉfÊdGÉ“ù¹ _W÷vr’¯Ñüöz}`‘Ng P(ãýü‚Á`øryiˆD¢ƒ±©©¹³³K$ZµZm0P(TÕÕâUQaooßívK$Ò¶¶ŽŠŠ +‹ÅZXX´³#u8œÏmÿ‰T†D¢ÆÇ9v»]$Z#Þíîîõö²0€J­áó§µZ½Û ]]™„Â¥–:‹³Ù®y¼I"±47-¯ƒ kÿÞlnn!‘¹ ú|þ©©é²²r©t·¸˜ÈåòT*u lmmÜÅÀ‰ + +endstream endobj 1947 0 obj<>stream +H‰b`dbfaeeCìœ\ܬ<¼@€"ÎÇ/ ($,"*&.".)%-#+'¯ ¨¤¬‚¬CUM]CSK[GWAß@ÅÐ&nlbjfnaiemckgïàèäìâ +Öææîáéåíãëç¦TŠŽ‰‹OHLJNIMKÏÈÌÊŽ0ÊÉÍË/(4/*ö/)-+¯¨ô¨ª6©©ª«ohljnimjkWëÐÐì,èêîéíëŸ0qÒä)S§yMŸ1sÖì9sçÍ_°pÑâ%K›+šó—-_=måªÕ³Ö¬]·~ÃÆM›mÙº­¢`û¦¦;w•í.QÛ³wßþ¦Þ~Äüè±ã'ŠN®[»¦äTÀé3gœ;Áäbץ˾W®z½v½úÆÍ[·ïܽwÿÿ¦{ï$?züänEëÆÝjWö<}=÷âì“åÏ׿x9ÅÿÕë7ëÞº?ywîHKôûsÝüøéó´¢/_Ÿdý¡ + +endstream endobj 1948 0 obj<>stream +H‰ºEÿÿÿÿñññèçè·Ã¼ÓØÕØØØØ××âââúúú„´—¡¹ª»¼¼½»½ÉÈÉõôôþýýþþþ6»i]¸€žÁ®©Âµ£¸­ÅÍÈÖØ×Ö×××ÙÙéêéôõôôôôøøøÇ])Åe?ÈtCÊw@ÁqK¶t„½›¦Á³ ¼­¯¾µÎÑÏÓÓÓÒÒÒàßßüüüËZÊZË\Ë]ÉZ"ÇanÑ•œØ¶’Í«‘¶ ¯À¶½ÃÀ¾ÂÀËÎÌëíìóóóúúû ´¶ˆ; + +endstream endobj 1949 0 obj<>stream +H‰b`Y€‡8qfV6vLqN.n^>~4qA!aQ1q I)iY„¸œ¼‚¢’²Šªšº†¦–¶\\WOßÀÐÈØÄÔÌÜÂÒÊÚÆÆÆ,`¼ø + +endstream endobj 1950 0 obj<>stream +H‰O°ýHHImmnŸŸ ÏÏÐÒÒÓ°°±ƒQQR778NNOzz{ªª«ÔÔÕÎÎÏ¢¢£rrsDDFrrt¥¥¦ÄÃÆØØÙÓÑÕÉÇÌLLMvvw§§¨ÕÕÖ©©ªyyz667TTUƒƒ„²²³ÖÖ×ÊÊËšš›iikAAC::;nnp¡¡¢ÄÄÅÔÔÖ³²µ\\]Žºº»ÚÚÛÉÉÊ””•ccdAAB>>?hhi››œ……†SSU<<>GGHËËÌ££¤††‡ppqÓÓÔµµ¶‚MMN<<=PPQ}}~±±²??@:::[[\ŠŠ‹»»¼××ÙÁÁ‹‹Œmmo¬¬­««¬xxyEEFYYZ‡‡ˆÅÅÆiij99:eefÃÃÄÙÙÛ€€¾¾¿ÝÝÞ™™šjjkÇÇÈÛÛܸ¸¹ˆˆˆwwxÍÍÎÓÓÕSST––—ÆÆÇààáÀÀÁ‘``aBBCsstÙÙÚ~~~RRSKKL³³´ÐÐÑÏÏÑ××Ø°°°||}PPRˆˆ‰žžŸCCDaab••–ÈÈɼ¼½ŽŽCCEœœ¹¹ºXXYDDE777ÙÙÙÂÂÃ>>@¥¥§€€‚UUV±±³nnoÜÜ܉‰ŠEEGdde˜˜™ZZ[@@Aßßß==>aac’’”ÞÞà¡¡£„„…ààâeegFFGqqs¦¦¨ÎÎеµ·‘PPP°°²ÕÕ×IIJ½½¿žkklbbc‘‘’ââã99;??A  ¡ÍÍÏVVWÆÆÈkkkttu¶¶·uuv‹‹‹¿¿Á’;;>stream + +  !"#$%&'()*+,-./0123456).789:";<=><;?@ABCDEF;GHIJKLMNOPQRSCTUK/V6WXCY1Z[.\2-]^V_5&4`6abcdTSefg hijklmnopfqFrst\uv 2tQwxyz{C|K "}`~3€‚ƒCl!;}W„S5… x†N‡XBe!ˆ‰;Š‹1Œp!xŽq ‘o&’/FAXT“ck?O”&•–Z/‹-—˜ˆ™xRBš›œl;i•„oOž"!†Ÿ3  _¡¢:£¤¥L.=s3hŽ¦Lfp˜ˆI^)§,S¨z©ª?FE«G,N¬ba­®C«•?E«o¯°)±"}®²³>´µkKJIX¶·…¸4¢¹.“,9DŸº »3`¼½¾¿ÀE / Á3—‹Ã®¢:»Ä + +endstream endobj 1952 0 obj<>stream +H‰ÁyWðÂ…É}å¾ÖC"^D¹J¹"GîÊñÅê¡EÅb¥×MÊ^{õVkµOµßJ¥ªÕêL&s{{{_*•'‡ÃE ŸÏ_¯7^^^ƒÁÖÖ–V«e2Yd2eaAW,~{|üõþþÑjýH§3t:=—Ëõz½»»~¡P\\4³ÙŸ‘HÔä¤(Ž´ZíççßÝn/N+ +Î`0FS¹¼÷ôôüúúçð°ÆÆÆƬVk±Xìvo;³™™Y<ž P(ÏÏ/†×»ÂãñH$Ÿ?áñx[ooƒŸÙlniÉ!ö÷÷;ÎÁÁÁòò2—ËÃáðF£±Xܹ¼¼ÜÞÞÖë D"‡ÃÉdò‹‹Ë~@£™‹Å@°R©¶ÛíB¡`6›™L&ƒåp86›­\.Ÿœœ¤R) R©S©ôÕÕµL&›F£GGG•JE©T Ñ$)‰VV|ý~¿Z­ +…B +I¡PM¦Å››FÃår‰D½^/•J@@*•Àáp +…jµ£n·»»»kµÚP(”ݾ´¹™­Õj‹ +…b±X¥R'Z­–Ïçk6¯¯o0ŒÙlY__§RiPè™LÞØجV¿‹Db4=:J<=íäóy»Ý>=-ƒÁ`t:Ã`ø’Ë}u8##0‰ÇÏÎÎtº"‘äv»“É”ÅbÍç {{{±Xl~^ ôz}0œššòz½Éd’ÅbA `0ƒÙl¶ÉdÊf³ÍfÓårñù|,G ŒÊår (‘H< +…w::ŽB¡„Ãa€Çãc±¨J¥~¿_*•º\îÕÕÕ¹¹¹ááaƒƒÁA p$1 ùC†††h4ÚÚÚÚ¾ ": + +endstream endobj 1953 0 obj<>stream + +  !"#$%&'()*+,-./0123.456789:;<=>?@ABCD>EFGHIJKLMNOPQR.ST7UVWXYZ[\]^_`abcQdefg,"hijkljmnopq-rs>tuvwxyLz{|}~€‚€ƒ„…†‡{ˆ]‰EySŠh]O%‹Œ;Ž-‘fzQ ’“”‹ƒ_•–*—˜™š›œ~’žŸh— 7¡Œv¢[£Ÿ¤¥¦§YN¨I©ª«¬¬­®¯c°±;I`²³´µ¶·bk¸¹J^º»—·¼½ˆ ¨†¾iP¿ÀÁÂÃaÄÅÆǸ«¯ÈdÉŽÊË`=ÌÍÎNEÏÐ^'Ñ«¨¹ŽÒÓhºN%K/D¯¡†ÔÕÓn—jKͤÖ<Õ×cƒ&P™ÓØL§ÙWÌÚÑÛ]Üݾ—CpÞßš&à1«á/¶5âàã½¼ÏÑ!Íäåæç¡à'¶>stream +H‰ Ðy7ðOã½F·’&³2«42+Ö…–3Ú#D*©E)…JVŽ®uQ¬¥V¹öž}–ù¿~d2®£R›''§ƒÛÛr.—ÛÚrŠDƒL}]†Ãá«çç?ŸŸÿœœètz6»F‰$‰ä«Ï·_.ß==ýmhh@¡j[ZZgge¡Pøááq}ÝÂç úúú­Ö\î"‹©T*&³ ~ÝÔô~zzæðð¨ü‚ïîkjjP(›ÍN¥R ŠööŽêjÄÛ·Äýýï——W77·wll +… …_66ìét¦PÈ»\®¡¡áÆÆwµµèÖÖ6•j1™L]_ÿ9=MF‹½¹¹uuuþ€Báx‹wv2‚>»ÝžÍf‹Å¢Ëµ=???88'åò…ŽŽN8¼ziI ÓéôËÙøøÄÞž¯T* Ølv¯×;5%¥Ñh==½++†h4šHıX”J§ãñøÎÎn 0™ÌX,ƒ‰Dµz©»û“ÇãÑj¿A 2™,‹N—L&£Ó`0$›ý¥Õj+++Á`p"‘‰D$ âp8‡cS§Ó1 >Ÿ¯×ë}>ŸÙl¦P(@¡Pƒ!ƒÁÀãñü~¿R©¤R©ƒÑívk4.—‹Çã9ÎÜÜp8ËË+‹E*•Òét$YUU% +M&ðŠÅb©ÕêP(t||,‘H¨T +²Z­¶¢¢ƒÁ„Ãa¿? —Ë™LæIew + +endstream endobj 1955 0 obj<>stream + +   + !"#$%&'()*+,-./+012345678' % 69 +:;<=>?@ABCDEFGH&:IJKLMNOPPQR +STJUV1W'XYZ[\W]^P0_`abcdeOfgh_ijklmAnop>stream +H‰ëývvvnnnOOO000"""(((CCCccc{{{sst[[[<<< !>>?^^_zzz………mmmKKK///$$$===SSTuuukkkLLL---!!!***EEEeee|||qqqXXX999AABaabhhhFFF+++%%%@@@VVW}}~HHH'''...III}}}lll222fffyyywww]]]\\\popRRS334('(221MMMGGGJJJxxxpppUUU444```¦¤§‹ŠŒtsu]]^EDF777~~~efe,,,QQQ111bbbÕÔÙËÉÎÄÁÇÁ½ÄŒIIJwvw„ƒ„gghDDC)))dddSSSàÝäÚ×ÝÖÓÙÖÒÙª¨­mloxwy‘™—™ttuNNN666;;;RRRrrraaaVVVgggçäëåâéäáèÜÙßÏÍÓÒÏÕØÕÛÒÏÔ›™œpoq^]^ZXZUTVsrruvv???555éæíëçïêçîëèïåâèÄÂÇ«©­¢Ÿ¤¡Ÿ£’|{}Ž“’”zy{GFG###^^^èåìæãêÙÖÜÎÌÑÊÈε³¸˜–š¤£¦¨§ª‘’NMNÊÈÏÉÈÏÍÊÑÞÛâìéðÛÙßÃÁÆÇÅËÊÉͺ¸½~ZY[VUWihjvvw¬ª±«©°±¯¶ÔÒÙáßåÕÓÙÐÍÓ¨§«Ž’‹š™œŒŒŽ||}‡…Œ†„‹‹’µ²¹ÊÇÎÈÅÌÑÎÕßÜãáÞåàÞåáßæÙÖÝÐÍÔµ´¸ž †€~…„‚‰›™ ¨¥¬§¤«¦£ª¸µ¼ÓÑØ×ÕÜÖÔÛØÖÝÚØÞÚ×ÞÝÚá½»Àyx~yw~zw~xu|”¶´»»¹À¹¶½½»ÂÔÑØâßæyv}~„Šˆ‹‰¬©°»¸¿º·¾zx{y€}„š˜Ÿ¥¢©ÛØß Kè‚ý + +endstream endobj 1957 0 obj<>stream + +  !"#$ %&'()*+,-.(/0123(456$+)789: 0);<=>?@AB5 7C DEFGH BIJKLMNOPQ*1RE5STU*VWXYZ[\"]^_`a6S!9b3cdefghijklmnopqrstcuvwxyyz{|}~€‚ƒu„…;†+‡ˆ +‰‰‰‰‰Š‹ŒŽ‘’“”•–—˜‡p™‹‹‰‰š‰‰‰›œžXŸ ¡¢£¤¥p™¦§¨©wwwwš‹ŒŒª«¬­®¯°±²³´µ¶·¸š››š‰‰‰‰‰¹º}f»¼½¾¿ÀÁÂÃÄÅÆÇÇÈÉÊËÌ›‰‰‰‰wÍλºÏÐÑÒÓÔÕÖ×ØÙÚÛÜy‹‰‰‰šÉÝÞßXàáââââãäåæçèéêeÉ©ëšššššššââââââìíîïîåðñòØÆ›‹‰‰‰ššâââââââóôôóõöÕÖ÷ñøe©exšš + +endstream endobj 1958 0 obj<>stream +H‰Œ +0¹ÿ?zm™˜iSJÎED>stream +H‰±NÿËYËZËW*ÎhÌ\5ÏpËXÌ[\ÕŒˆÚ«ËXÌ\—ܵÏãÜÌ[žÜºØäâËZ!Íc«ÞÁáåèËX(ÎhaÕÄáÓäåéËW3ÏoÙ¥ÏâÜååêÌZÌ[HÒ¯ÞÅáäèçåìÍ_WÔ‰ÃáÓèåíèåìÌ^TÔ†žÝºÜääêåíÍa’ܱçåëíåï#ÍdéåíyØŸÁáÑéåìGÒ|¦Þ¿Öãà 5$qÌ + +endstream endobj 1960 0 obj<>stream +H‰lŽç „û‰½5vsl±¾ÿƒ‰™ L¾pÃîÞ˜†3G‘£'Ë/$Îè,–Ê•”‹vAUÖ˜Cäƒz£™Ô¥…V»Óµ*ã±× GNy4½Étæº5þ|±\­éû$l¶»f­Âþp<)´×ÿçË5ÀLAÞ"m1À]ª0#!¼Ç3|™§ ÞŸ/j~ ™ý +K + +endstream endobj 1961 0 obj<>stream +ËZËYËY + +endstream endobj 1962 0 obj<>stream +H‰| ¯þÿèj++ikš-€}ã6æD­|X n‹¿*­Á5"=Ÿ¥<Y¹Ê®&óôÀ²Pd±Ù!]`iå øf + +endstream endobj 1963 0 obj<>stream +H‰Õ*ÿËYËZËY!ÎcSÔ…»àÍæåêèåì*ÏicÖÄáÓéæíËXMӟݹÙäáëåîÌ\xØ ßåæÌ^8Ðr”Û³êåîÊW.Ïl‘Û°ÐãÛçåìÊV3Ïo©ÞÁàäçÊU6Ðq¹àÌëæî$Îe]ÕŒÈáÖíæï9Ðt~Ù£ìåïÌ\\ÕŒ´ßÈÞäåêåíÌZÍ^l×–Éâ×ãäééåíÌ_=Ñv–Ü´æåëËW&ÍflÖ—·àÊ6Ðrìæï ÊT4Ðp¾àÏîæð?ÑxÀàÐ ³'Œ + +endstream endobj 1964 0 obj<>stream +H‰lÐ!Ðt{ïk[{ï½÷ûI0 ¨ë!äåg ˆ _È\nÃC< ÝŽ×çh— ²P8Žº/¶XL¦³ùb©ûòñ«õf+Y;îö‡#)Guu2ÎD_}ñÍp¹Þî?þþ!öx~øK€Z + +endstream endobj 1965 0 obj<>stream +H‰ŒQÀ ByÜÿЋ³Ú:c¬Ô€x†ëÄ›qQxª¬ÖöÔÑ ZÜ'²t?¼¶OâR… ñ»m»"~0oVÙGu›ñ07ÍJ + +endstream endobj 1966 0 obj<>stream +H‰œ‰ + C}ÿÿÓ‘‘™‘CA¶y‰Wœ:š5>ö$F¢Mè,ƒK¯êÝS;(ã ô˜Y"À|% + +endstream endobj 1967 0 obj<>stream +H‰‹týËYËZËYËZÊ^Ç\ÅYÉY9Åo-¸b"²XÄYÍYËZNÀy:«f)¡V¸WÆZÇ\Ê_Ë^Š®˜aƒn>iO)…M,ŸY>¯jQ¿{;ÉqÌZÍXÎZÎZÌYž›žjfiADC8`HJ„`j£ŒÂ qË“7Âl'·^¬S©MÁVÌZ“’“_^_9<;?UH\|i„£‘®Ë¹˜Ï­]¸@Ÿe>´QÎ[}}~NNP335{{|ªª«ÖÔ×ÄÎȈ¢’[xg7QB#L3)šU5Åm:Ép%ÊdÈ\ÆZÂWÅWrrtHHJ668XWY‡†ˆµ´µÁÆÇ—ŽXh_8H?0H;EŠa`¸‚hÇ=Ér$Åa½[µT½TËX\\^??AAABkklŸŸ ÇÇÈÑÑÒµµ¶€€MNO::IIJuuv©©ªÏÏÐÍÍΫ««wwxEEF:9;TSU‚´¯³ÆÍʇÁžX¨v>Œ\%pB ‰H)¸`3Æk9Ëq7Ëp@@A::;[[\‰‰‹½½¾ÝÝÞÄÄÄ———eef667;;;ffg––—ÃÃÄÙÙÚ´¸¶„ˆ†W[Y2433WANmh¼ˆwɘoË“:::AAAmmožžŸÈÈÉØØÙ´´µƒƒƒUUV889HHIzz{««­ÌÌͧ¦§tstJJK:8:MYSx•„¡Á®¬Ê¹žÂ®999FFGxxyÎÎÏÔÔÕ¬¬¬xxxMMNQQS……‡··¸ÐÐÑœœiiiCCC>>?\_^Œ•¼ÅÀÂÊÇ°½· z”0¯ + +endstream endobj 1968 0 obj<>stream +H‰b`@Œ ‚ B¡q$EŒ-@qFf쀅•ª”‘I/'7/’-`¿€ °ˆ(#’½ &.!)%-#+'¯ ¨3 H*«¨ª©khjiëèêé0Ã5›˜š™[XZIZÛØ230)ÉÉÄíììœ]\ÝÜ=<½¼}|ýü@âAÁ!¡aÎá‘QÑ1±qñ ‰IÉL)LL©ié™YÙ9¹yù…EÅ%¥eå•UÕ5µuõ MÍ-­mí]Ý=½}ý&Nš>stream +H‰™fÿËYËZËYÌ[Ì_ËXÌY-ÊiÈWÇXÉYÌZÉXÈXZªz0‘V"€F+†N%¦VÉ[Í[Ë[ÌZÌYÄV¨IœD ›D šC —Bn˜>tT)]>8hK3–Y"Ça#Íd!ÊaÈ]ÉZÉYÊYËZÊY¼S –A †9 +…9 +ƒ8 +~6 „9 ù‹9W + +endstream endobj 1970 0 obj<>stream +H‰b`€F SÈ<ÊÁÊEQˆ€ä˜ˆR +7‘Å=‘Ñô0AMdB5ÉLK˜‘]ƒ¤š…• ÉT8`çàääbäf„ÙÍÄÄà |ü‚BÂ"¢bÜ`mŒâ’RÒ2²Òrò +ŠJÊ*ªjêššZ Ú<:ºzú†F°ÁÏ + +endstream endobj 1971 0 obj<>stream +H‰´OA þÿèÖ!”æºÅAeÀT„A˜’]°:QNjBWæin™Ðõ•Ýz/}k-rB?©ú¡ +×`"ø* + +endstream endobj 1972 0 obj<>stream +H‰Þ!ÿËYËZËW'ÎgqØš¶ßÊæåëêåíèåìËX1Ïn‘Û°ËâØçåëéåíËYËZ"ÍcTÓ†¼àÎäåéËXË[@ÑwÙ¥ÒãÝëåîËXÌ\WÔˆ¢Ý¼ÚäãÌ^†Ú©ÌX ÍbÚ­êåîÌZ)Îh‘Û±ËW.ÏlwØŸ¾àÐËW?ÑxªÞÂË[,Ïkn×™ÖãàîåðËWÌ_^Ô§Þ¿ÝääÌ`rך½àÎàäç#Íc”Û³ååêÍ_=Ñw¨ÞÀíåðéåì#ÎeRÔ…±ßÆìåï 䶌b + +endstream endobj 1973 0 obj<>stream +H‰lÍg €á£p{kÝZ÷Þ{ûÿÿ…“k¹„/</±¯ÏOÙä’@0Žp ö½ˆÆâ‰$cäûT:“Íå5P(–Ê&E TŨTÑG¿8@­ÞhR´ÚŽ¨ˆÕíõwm G&N(ãÉt6×Àb¹Zo¨Œínàqëx:3çÈÄåz»?tð|½?®¯®ºP + +endstream endobj 1974 0 obj<>stream +H‰Ï0ÿèåìïæðÖãàUÓ†ÊVËYËYëæîÏãÛSÓ„éåìÆâÔ„Ù§6ÏrËWËZâåèœÜ¸>ÑwÍ`ËXæåê‹Ú¬/ÏmÌ]êåíßäæµàÉgÖ’Ì^ËXìæïÐâÜmÖ—,ÏjÌ[îæðÊá×OÒ‚ÌZïæñÉá×FÑ| ÊTÄáÔEÑ|ÊUéåí»àÍu×.ÏlÞäæ|Ø¢Ì^ÇáÕhÖ”ËWëåîÓãÝÛ¯GÒ}ËZíæðÅáÔ\Õ‹%Îe½àÎDÒ{ÌZ "¢†£ + +endstream endobj 1975 0 obj<>stream +H‰lçÁ0…£ä¢hŠØÔÞ£öVóýŸIZDÚäþ<ß=ßAH¼Ž`ðΗ£h,®ºžH¦ "5Ìt&KAjè9-_(b”Ê•jÍúˆ| Þh¶Úô;!‚N·Gú?“ÃÑ°J5™Î8 <¶Ñ|±4@¡Z­7,•€mnwÖžØ8Oç ý7ø†s½ÝÝ_L*çñ|1 ñ®î-ÀP7 + +endstream endobj 1976 0 obj<>stream +H‰áÿèåìéåìååêÕäßxØŸÌ\ËWËYËZéåíãåéËâ×pךË[ËXëåîÙãá‘Û°HÒ~ËZËXëæîÆáÔFÑ}ÌZÚäã¦Ý¿4ÐpËUªÞÁUÔ‡!Ícãåè–Ü´;ÑvÌ^ÊâØuØ!ÍbÌZØãáHÒ}ËZËYìåïÐâÜfÖ“*ÏiíæïÈáÖ=Ñv ÊUïæðËáØ@ÑxÊVÜää©ÞÁ7ÐrËVêåí¾áÐu×*Îhèåíâåè„Ù¨Ì_ßäæ±ßÇ_ÕŽË[êåîÚäâKÓ ×¢“’ + +endstream endobj 1977 0 obj<>stream +H‰dׂ0DÁÂMPA{ÅÞ{{÷ÿ?HLÂÃfòrædw&’ôìó‚ +b€` «¡pDBh(×£F,nR‚È¥<‘L¥]¡ŸŸÉæò| åŠ%u°GD/[•ªéšÈõ±Z«7šc»zËhwº^Þ놄 »£ñd +A„Ïæ‹%ˆqøj½Ùòå”ËönPÛÙ=žÎM´ìëíþà9¢?ô|Yï‡ ž|¼0‘ + +endstream endobj 1978 0 obj<>stream +H‰b`dd#Ê^1¬`ÀÃCâã2j†>m 6Â($“p[΀Í? XT(¾„r Éj + +endstream endobj 1979 0 obj<>stream +H‰L³ý~~~ŒŒ‚‚‚ccd??>%%%111OOOvvv||}WWW555&&'CCDnnn“““«««rrrGGG223778‹‹‹}}}^^^99:!!"333SSSyyyžžžšššvvwQQQ222(((GGHqqr–––§§§kkkAAA::;ƒƒƒ‡‡‡oooPPP//0777[[[˜˜˜ŽŽŽgggBBB,,,...OOPzzz„„„112DDD))*!!!===aab€ZZZ666)))XXX’’“tttMMMIII^^_???###ddd‚‰‰‰wwwTTT000''':::\\\œœœmmmHHH$$#444uuu***FFFiiiƒƒ„hhh$$$ccc†††˜˜š<<<|||qqq{{{bbbfff………““”VVV>>?NNNsssUUUJJJlll(()___@@@LLL€€€NNOeee888---///RRRggh;;;xxx```opoppp}}~IIJ+++‘ƒ„gfh323KKKihivuvzz{¶´¸¯­²—–™POQ=<=[Z[vuw}|}<<=èåìêçîÛØߌ‹onq†„ˆš™œ•”–++,aaaéæíåâéÏÍÓÈÅËÍËÑÔÒ×ÄÂÇ¥¤§’‘”€ƒkjl??@nnmjjjæâéåãéèåëÖÔÚº¸¼«ª®¡Ÿ£Œ‹ŽVUW""" V +îà + +endstream endobj 1980 0 obj<>stream + +   !"#$%&'(')*#+,-./0123456789:; <3=7<>?@ABC5DEFEG HIJKLM$NMOPQRSTUVW,XYZ[\]J^S _`abcdeN*fghiNVSjk/TN8[)nop;qEerG6[$@#s.otu2vUwx,g)[8yzkz{@vEk)|T18k};i\8~.3iv€qd[‚@ƒ"tc^GE1‚„….3i†‡ˆDk‰Š{UN qR)s9†TGx‹WiŒ†i3I3ieG;Ž6†#DZ‘’“”•–—.uE1AWj˜*U€2z™š›œžŸ #†Mj‰D¡1Vm¢£¤¥¦§¨©lz*ª87«;t]NMn^¢¬­®¯°±²³´µ¶·MŠR¸sƒ@F>¹‰¢¢¢º­»¼½¾¿ÀÁÂsZPts‚Ã8`x + +endstream endobj 1981 0 obj<>stream +H‰„I aþÿhãA%H—´*ÒGå27Â9†ÈŒødY= RÈÐê>^ÌZ@©#^d¹ìZDø’\KJ¯ÒEìi + +endstream endobj 1982 0 obj<>stream +H‰|ƒý¯¯°}}RRS??@XXYŽÁÁÂØØÙÌÌÍ  ¡kklEEGIIJmmn¡¡¢ÔÔÕÛÛܼ¼¾[[\>>?SST‰‰Š¨¨¨©©ªvvwMMNAAB^^_““”ÈÈÉÚÚÛÆÆÇ™™šdde@@Bttu¨¨©ÜÜÞ¶¶·††‡UUV;;ffgšš›ÞÞßÇÇÈ’’“FFGOOPzz{ºº»ÑÑÒxxxJJJ334YYZŒŒ»»¼˜˜™EEFCCDnno££¤ÍÍν½¾DDEVVW„„…ÂÂÃÖÖØccdBBCiijžžŸÕÕÖ¹¹ºPPQ€€ÎÎϧ§¨ggh——˜ÐÐÒààârrsÏÏÐÐÐÑ{{|GGHZZ[¾¾¿ÉÉÊžllm<<=ŸŸ ááâTTT¸¸¸œœjjk99:‹‹Œ\\]~~°°±××Ù889aabÃÃÃ556xxy««¬wwxËËÌÅÅƱ±²778LLMÓÓÔÃÃÄ112ÉÉÉŸŸŸkkk‘ÒÒÓJJK××ØÍÍͳ³³445}}~¿¿À•••``a²²³QQRªªªŠŠ‹¼¼½¬¬¬€€€NNN001HHIuuvCCC222ººº˜˜˜__`ÄÄÅwwwHHH..0||}eef@@@888ccc°°°››œ//0¸¸ºEEEyyz¿¿¿®®°eee———¤¤¤667µµ¶BBBlllžžž»»»™™™€ ®îOÑ + +endstream endobj 1983 0 obj<>stream + +  !"#$%&'()*+,-./ 0123456789:2;<=>?1@ABCD4EFG HIJ KLMNOPQRSTUVWXKYIZ[\]^_8)`abcdefghijbkl'mn$fopqrVZsntuvwxyKz{|}f\~iZ7€A ‚ƒ„H?JEX…†„ ‡ˆi‰Š„‹MŒj8V`Žt2}+c‘U7?J’2“‡”•l4u^|Z>‹.‘–—˜f™™š›f*œ—Xx„ž$nŸ ¡¢ž£ˆ¤¥¦*>"Y§D¨¢B‡L©7ª« ¬­®¯°±nim~\²³œ_´µH¶œ@·]¸¹º»L.¼½¾¿YciÀÁ[| ¤M"HÃ+ª4mÄb*Åsn^‘{£Æ]ÇÈlŠ*ÉÊ_cËs>ÌlÍi@ª +lnQ1 ÎÏÐÑÒ?ŠV8«9Ó‹ + +endstream endobj 1984 0 obj<>stream +H‰„Q B}÷¿tkYá¢bþ(ˆÌˆ zAØ3CVÕV™ óñ¾ì¡ ZTWw)»”zˆ} ¬ÿ°b/SÐ+5 + +endstream endobj 1985 0 obj<>stream +H‰ Ð WYàÿÔÎòv=»™›”Xz?õšd§#Y†X)RÌT²$­v*£¤ÒËÅBJ—Rdû~‡ ¥löÉëÝQ(>s8œššÚÖV‘Ñøíä$‹ÅWVV»ººI$r]¾··ÏápÜÜÜ>=åB¡S­VAT*íùùåááqsÓ=66Î`01l{{Çò²õâ"R,"××7&Ó¢Xü‘H$Q(Ô‘‘Q·ûg"‘ÈdŽŽü*Õ ›ÍÁã ,»\~ŽF£‹‹Kbq™L‘Éä^¯/•J?>f”Êi>¿ivV s¹< ÃkkëCCR®ŽFD"ñô´ŠÅâ…­z½áìì¼T*Çã‰ÁÁ!,‹ÇãWWm±X¢Ry==  F¡P„B¡¸ÃÃ#;;¾‰ 9…B³X¬//•««ëÎÎ. +…<>þ)•Jùýþ™5ñ««kš›[./¯jk1(ŠÆF>‡ÓéôÇÇ'ÙlÖårD"I¥Òùù6›­V« …âîî¯ÉI‚D"!—ËÙl6:îóí‚`ƒÙl¾½æóEŽÛí&“ Ùlv·Ûkµz>_€Åâšš¦Ryóx<2Ù“ÉÂ`p&“©¾Üßÿ­Tª@‡ÓéŒÓéÆö¶wjj +…B †P(”Nß;?úûh4z"ñAÊssF ™L¦r¹Âá³ÑÑ1:!‘H,–åH$R*•––Ìmm¿ÿOKËÿØ9‘HD ¶¶<Édêàà@ nl¸¤Òa§síõõ ‚ ½½ýd2¹°`êéé ‡Ï­Ö4½¾¾qw— ‚Í×|¾ðþ=ª¯¯ßnÿÂ…BA­þ‚FW³X¬ûû ǪªÞÉå“N=ÇÓétÝÝ=ÿΓhi + +endstream endobj 1986 0 obj<>stream + +   !"#$%&'()*+,-./012345678+,9:;<=>?@AB67CD#EFGHI>JKLMNOPQRSTU5VWX YZ[\]^_.`abcde\fghV(.)aijklm_n?opqrQst)uvwxy0 z/{\p|wM}w~#B€‚ƒ „%&<…;†Q‡ Uˆ‰Š‹ŒŽ`‘’“”>•Ef–w}H—˜™"rš›œž JŸe +¡d¢£O¤¥¦§ ?n¨©ª«¬­C®(¯°f±²³´€µ¶$’W}(·a– ¸¹€uº»¬¯¼½¾8+¿«H¨ÀÁ 2?ÂÃÄ ’hÅÆ‘$,¶Çe MÈA‘¼<#fdkÉH[Ê\Ë,UÌÍÎÏ«–:ÎÐÑŒÒÓÔÕ7Öi×ØC¾Ù5&¯#¶Ú6€Û,&¨6VÜÝÞß(ÔÓà A¦Â¤Ù® + +endstream endobj 1987 0 obj<>stream +H‰lÁ!Ùô_´7ãÍG‰+h‚ø¤èâ_unh<Σr9M¶*“²qMɹo¹]”;øz èF{ãLÓb£ÝóéÏ•ýÓâáæ—D~R + +endstream endobj 1988 0 obj<>stream +H‰ ÁyWðÏÑëmÌÜ·!g +9Fn¢9ºäL¬ÈU‘ˆQ{j +Yšìµg­öGíö¡¶ßO¯7d³Ù››îõu7ŸÏ[,2™ŒÃáfff@³Ù|zzît:ÛÛÛ +…ƒÁR(ÔÅEk©tüððøòò·Ñ¸°Ûbñ¬ÙlÎåò½Þ·v»J¥t:‹•É¤‘Häââ2 +ÍÎJP(4F_ZrV«µÇÇßÏÏNNNM¦y@èr¹ËåJ¯w»±áC D"1“Ét»ÝÁà§Ífãp¸X,nr’ï÷Z­öím?NѼÞ\.Çfs`0x©TººêÄ㉹¹9‰$—ØÙ‰÷ûßïïûý~&“«Õêåe3™LÂá·Ûoâñx +…¢VkR©ôÝÝÁ`P©|òx<4 FÇb+•ŠX,‰Þû|þNçëáaÅbA ñq¦Íf/Žúý¾V«#Iñx¼\.///OMñ‘H$OH$¡P8ÎÌÏ›¹\®Ûí>>.ommÉdr"ñ@,‹±XÌh4R©T¤V«[­V½~F ¦§§ƒÁàéég:±¿¿pp°¾¾.•JGFFètúîîn½^/ +‡ƒÁ(•Êóó/ÿ%“)½^?11ér¹D"“É´Z­µZÍl¶ P¨ÑÑQFÓh4¢Ñ(‰ +…0 +…òùü|þ0+ +0ø­T*K$*•zee%“ÉÂápº··Çåò@ 7^¯7Y, G @ 0oÂ`0Úív(&—Ë}>ƒÁ«««Åâ‘Á`h4ÆáX^XXäñx››‰ U*•Óé”ÉdCCCl6Ûd2ƒ!£ÑƒµZí«W¯×ÖÖþ 0‹ + +endstream endobj 1989 0 obj<>stream + +  !"#$%&'()*+,-./*0123456789:;<=>?'@AB>C4DEFGHIJKLMNOPQR%SNT'LUVWXYZ[\]^_`\a:b88cdef&ghijkKlRmnopqrstuv!;wx>hyz{[ |}~€‚ƒ„… †‡ uˆs‰Š‹\-A~ŒŽV‘’“”•–y—2˜ ™š›œ~žŸ—„ ¡¢£Œ¤¥¦“§‹¨˜©~ª=‚ «¬­ž3®¯°8B §•q,±W²³"´Whsªµ¶·¸y¡š¹6º±%˜ ]$»Vu¼¹½¾¿‘¬ÀzŽ¢|FWÁÂÊÄÀÅ‘GÆǹ"ÈÉ·‰ÊË0#SÀ£ÌgÍÍ^… qbˆÉÆ:¶³¡7BÎÏ]˜WÐÍ ÌM|ÑÒ1„…ÓR …ÔÕÖµ×ØGÙÉÚÛÇÜÝ hރߨ³ÊØàˆá + +endstream endobj 1990 0 obj<>stream +H‰~ÿèåìéåìæåëååêêåíÎâÚ§ÞÀëåîÁáшګíæï³ßÇfÖ“íæð®ßÄ[Ô‹ÚäãŽÛ¯FÒ}éåíçåìºàÌ`Õ3Ðp©ÞÁIÒ)Îhäåê…Ú©Ì^ÌZêåîÖãß“Û±JÒËWËWìåîÉáÖ^Õ(ÎgËX .ÛZ? + +endstream endobj 1991 0 obj<>stream +H‰b`Àˆ]˜‰«0 +VqvN¬â\Ü>stream +H‰ Ñ 3ÚðcwN•ŠJ/•rE˜<¦•HˆÕ´ +½P*yL…ú—´õP¢I!Z‘–ÄÜ1‡™}•í÷~UUÕLf“N7}ppx}}“Ë€£·—ÇH$rÿ€Ë庿ÿÌ̘X¬6 +ÝÒòV£™ØÙ‰¾¼ü)®¶·#h4Ædš=::¾¹ùi6[ººØT*M,þèõúîîî#‘‰‰ÉÆF&‰loï0Œ¯¯ÏÎ΃Á "±–Ïï³Û‹‹üÕUqk+¬V«ét VÙÜÜ299FŸŠÅk—kmhh˜D¢ôõ çóóïýýøòò +V?2"9?¿ +…D"±²n6›S©ÔÃÃÃÉIfnn¾££‡#P(4©T +mjµ:£all<¦Ó‡Åâzzzív{&“¹½½šÒ640U*u4»¼,€s|\ÑÖÖ®×r¹\$a2™(ŠL¦øýëù|þô4çñxÅb1›ý~aás&ó#>™ž6óó ±Øn:^_P(ut:}ttlss³P(‚êêj óôô¬P¨8œn¡p(‘ø‹Åx¼8a0ŠÅ"‹Å‚@ A"ùäóù‚~™LžL¦4 \Îçó­Vk"q˜ÍžºÝn‘HL¥RS©#‹ÅªP(“ɤÛýE&“@`VVlHdÕÞÞ>ƒÁÐëõÁ`pqÑ\QQáóùÂáo:¾µ•…@ °Xlw7/›ÍÂ`°@ `4Ñht(òûýJ¥jxøCMM  +u:WåòÑÎÎwƒƒB0\__ÿõ«'3Òj]‰Dd2¹¬ ÄårggçL&—Ë+//W*ÕÇÇépø´¦©© ƒ©Y]]][skµZ‡SRò‡ïîîáñøº:ªÍf“J¥¥¥¥4M"‘,--D(‚Ãá66Bl6ÛápX,Ä`Ðår¹Çã©­­µÙì^¯÷Ÿß e + +endstream endobj 1993 0 obj<>stream + +   !"#$%&'()*+,-./0123456789:;<=>?@AB‰[Š‹ŒŽB7Ž#l‘w’“~”•–—˜™š21"B›œŠŒižŸ Q¡C¢–›£}D¤c (¥¦g§¨…©ªx«¬­®¯°±œI²Œ³´{µ¶2Q· ¸¹QªD +,Y<º»¼œ¸½¾1ª~¿cu•ÀÁ ÃkÄÅ¿Æ£ÇÈÉÊËÌuÍÎÏfÐiÑÒÓÔÕÖ×ØÙ~ÚDÀ•…¸R#EÛ¿/܃Ý~ÞÖßàÔ¼áâ㣨äÀåæç+ÇèÅé¼gfêëºÂÏìíîXï̆gªðCñ…ò®ì® + +endstream endobj 1994 0 obj<>stream +H‰i–ÿíåïÓãÞk×–)ÎiË[ËXËZËYËYíæïÏãÛZÔŠÌ_ãåè»àÍEÒ|ËVÎâڗܵ:ÐuËW¹àËrך-ÎkËXˆÚª#ÍdÌYTÔ‡Ì\<ÑvË["ÎcË[Í^ û÷;0 + +endstream endobj 1995 0 obj<>stream +H‰„P +„0ÔSg×vörÕòÿGjj8ØÝ)ñüGF &‰5NÒL!Eþ,J&àpHPÕMK'(bG×£¾Él6©¯÷‡œŽïoÔ‹øO‘Û1/bºüCaYŽÓaãžÐ1€ž¬hˆ²daî¨bSõ&Àò J + +endstream endobj 1996 0 obj<>stream +H‰ÁÛrD0Ðÿÿ¡.! ÚîÔR¡!(#ênQÛ—1Œžsžç8Žó<A ©Z†Ó4UEÇãLSß//‚p)O—eiËÁÔ‰¡óf_ß>€(åi•Ds Õ§ÆgÕ± + +endstream endobj 1997 0 obj<>stream +H‰b`\€‘ »83 +Vq6vN.nV^^Ty>~AA!^aa^qQ1q1 I)iY9yyE¸¸’²Šªšº † ¤¦–¶#L\GGWWOWßÀÐÈØÄÔÌÔœ‘‘Qž,.–VÖ6¶v¶vöœœ,Ž`qp220rvqusu÷ðdbBˆëx‰ˆè({ûøúù + +ê ‚€ÀÀ e‰à€Š¤Á + +endstream endobj 1998 0 obj<>stream +H‰Þ!ÿèåìíæïÀáÐ:ÐtËWËYïæðÂáÒ9ÐséåìçåìÖãàžÝ¹0ÏmÊWêåíååê Ý»RÓ„ÍaËXÝååŒÛ­:ÑtÌ^ËXËZéåíâåèÆâÕjÖ•Í`ÌZÜäã®ßÅWÔˆËZËYëåîWÔ‰%Îfìæï¶àÊ,Ïk ÊUîæñ¹àÌÊVçåëÔãß—Ü´*ÎiÊV¯ßÅgÖ“"ÍcËWèåí×ãàkÖ—Ì^ÌYáäçÁàÑZÔ‹ÌZÌYÏâÛÚ­@ÑxºàÍOÓ„êæí5Ïq f] + +endstream endobj 1999 0 obj<>stream +H‰b`@ŒLÌ,¬¬ lìXÄ9¹¸yxù0Åù…„E°˜#*&.!É*…&*-#+'¯ÀŠ¡^ZQIYES\]]ƒ•CœSSK[‡U +S\WWŸ$*l`hdl‚©ž_ÀÔÌÜS½¥•µ-+†zi;{G'Lq~gWI ã¥PÍáTss7g…dqSO˜8@€š@ + +endstream endobj 2000 0 obj<>stream +ÿÿÿúúúþþþÉÉÊõõõûûûûûú¾½¿çççîîîìììøøøüüüýýý + +endstream endobj 2001 0 obj<>stream +H‰b`ÔŒLØÅ™YXÙ°ˆ³sprqróðð𢊠y~ + +endstream endobj 2002 0 obj<>stream +èåìåâéÛØÞØÕÛçäëâßåàÝãéæí + +endstream endobj 2003 0 obj<>stream +H‰b`ÀŒLÌÌ̘ ,¬lllXÄØ›ø(  X¡D + +endstream endobj 2004 0 obj<>stream +H‰ Éën‚0@á÷ ÉU¨ŒÂ¸H ºHË­ D3 ˜'ù~MÝòœÈsËðº¦GQ½…!!äñx,Ë Ët¾ Ç2]ýËòƶm×u‚ ¸Ýnuy­Ë®¡}N*UÑ8–ßí „|‘çAŒñóù¤yCÎE†©ïNdV,Ëp«FQ>۶ǿwã8Îó|¡Ý¥ìÚjˆ#¢Uà×¢ ¼hYBzRúz½Š¬Nâ,‰kCy½‘D iz×uCßßï÷išª¢­ŠkšG?< _´€¤ˆÂÈ H+|Îqœá8ON)tck™;[zO4Õ€¼š·§ïô/À‹²òŽ + +endstream endobj 2005 0 obj<>stream +H‰b`dbdfaecçàäBÜ<¼|ü‚B‚Â"¨¢bbâ’RLLLÒ2²rò +p EPRRVQUS×ÐÔÒÖÑUÐÓÓƒJˆê›˜š˜™[XZXY[[C%@ÀÆÖÎÎÈÞÁÑQÕÉÙÅÙÕ .¡èîîîáéåíåãkægæ/¨ˆƒ‚CBÃÂÂ#"Q%@ÚÜŠŽQÄbc=ââ±I€tŠâ 0[Þ7_ + +endstream endobj 2006 0 obj<>stream +H‰ ÁÛ’C0Ðÿÿ#—H˜ÝY¥k\[¥¤jÝÍxÀî9çyîû~G–eŒ1Î9v°cÛišnÛ&‰²(H(Žƒ) ¨Oÿ™**U„(I’¦êÞ¼ÿ¦1* CIÄ#¾ïâ‡a8 C]¾Ëœg·Ks–>nñ(ÈÓ4õ}¿,Kýl«¢ i|5ì«áhꧦj„Ïó\×¢¨mÛqçy.òúΊ<+±M Ý2/HÿÒ1Æëºògûª~_U×ÔõBY–eAÀË–%wËÄú@Hý`7ÎÑ8 + +endstream endobj 2007 0 obj<>stream +H‰b`@ÀÈÈÄ„U‚™™…« ;')..n^>~Vt AAA!aQ1qt I)iY91yE%e$ U5u MAiii-m]¨3UÀ@OßÀÐÐÈØÄÔÌÜÂÒÊš.¡¢bckk§iï`äèäìbáÊÀÄ“PqwO /.no_à§âç (`c~I + +endstream endobj 2008 0 obj<>stream +ËYËZËYÌ]ËX ÍaËW*ÎiËW*ÎhÊYÌ[/Ïl¿TËZËXQÓ„ؤ³NÄVÄUÈXÊYo×™¦Þ¿ + +endstream endobj 2009 0 obj<>stream +H‰|PW€0ƒ¸ëÞÞÿ¤ÖQ•ùà‘x""fz²7ŒÂ¸MÁI6˶sѺ»Ä»ê¬´û,½€ÈCœxxý¨4³ù æ…`¹ÓRYÕ‚¸éHm×ã4/Òö¸n»[yo + +endstream endobj 2010 0 obj<>stream +H‰´KÿËYËYËXMÓ¡Þ»ØãáëåîèåìËV^ÕŒÀáÐáåçéåíËXÌ^8Ðs“Û±êåîÊV,ÏjÜ­ÎãÚçåëÊV1Ïm¤Þ½ÞäåçåìÊU4Ïp¶ßÊêæîÌZ@Ñx¿àÐîæð6ÐqzØ ÎâÚìåïÌZWÔˆ¯ßÄÜääêåífÖ’ÇáÕâåè;Ñu’Û±æåël×—µßÉ»àÌìæîÀàÐïæð¾àÏíæïÒãÝ ‡}þ + +endstream endobj 2011 0 obj<>stream +H‰lÐG‚DÑ‘69 æ„Š ÷¿T¹cú/ßfºFÄ0+VÕF)³Vo4[Ê·ÝA·Ì^0)†Œ'ÞÔ'„³H3d¾X®ˆëÍvG|8Æ'æçK¢Æ]owÆx<}êé‹)ðþpÿþ¸gêÃÿbzÈÌ+˜ + +endstream endobj 2012 0 obj<>stream +H‰ ω2¢àÇ0cÆ K÷¡tÛÝŠØîRÚŽ?I‡ŠŠ¢CwmKe¨-ZkŒvÐ`½ÚúÞàãryX,ŽL&«Õêx<> †ÃßÕê—ËÅãñæææX,¶Õj+•J£ÑèõõµÙlº\n‘HŒÁ`y<¾Ïçët.ßßÿ}||0L +M§Óõz}2™¼½7¹\&“ @9œ/ÇæÓÓS¹\¶Ù¿»»Ûëýz{{Ç8ŽËåær¹v»³··¯T*‰D’Dò5žŸŸßßß÷ûýXì@.W,, +åÑÑÑÃÃÃóóËp8”H$n·»ÛíV«U§sK(áñ„h4vuuÝh4þúº)Ÿ/¼¼Œ[­J¥•J¥Ýng³Y6›ƒÁ`4m<žèõzÅbìlög¸»ûS¯×ñx|8¼÷I©TÁá³Ù’ÍæZ­¶J¥žŸ'B¡0Ç;ýM&Sp8œB¡êtºT*åt:Åb1 ‰D…B±Ûí•Jå­-Y]ývqѺ¹¹õû Ã`0Æ- Z\\ôz½étÆb±r8aþààÐl6'‰B¡ U*…B™™™A¡P;;;µZH$Òé “É”NŸœýôùü:žÏç[­Ö•••P(,“ÉHT­VßÜt¢Ñh»Ý‹Å +Õju‘H4ŸÏƒÁàËË«LædmÍ°´´äp8Âáp Ðjµ4 0™,£qJ¥NMMžžíïG–—eÁãñ( +‰d2}Ïd2r¹¼R©’H ~¿ßf³!‘ÈÉÉI¡P8;; +…4ÍÄÄFD"ÇÇÇF£‹ÅnlllooƒÁ éééÿ s´ + +endstream endobj 2013 0 obj<>stream + +  !"#$%&'()*+,-. +/!01234 +56789:;<<=>?@A + +BC'DEFG.H;IJKCLMNOPQ +RSTUV WX%R/Ž‘’“”}• –ce—˜™šJ›œRžŸV‡ —….=¡\\¢X£WŸ y¤¥;¦B§¨Ÿ©”ª<«¬­Ž! ®”Gt\¯°±w.²³´µ¶·¸ +¹ºƒV•¶»¼”²x½¾¿À t•ÁÂÓp$ăŇÆüX µ­Ç6ÈÂÁÉÊBËÌËÍŸ¨ŒŸ©¦ÎÑÏÅ>!R·Ð™žªÑ¦†!d•¶Òª¸·ÓÔ¶b…Õ/®ÑÖ²×ÏØÂÈÙª"‚¨ŽÁÆ¦Ú + +endstream endobj 2014 0 obj<>stream +H‰ Î Sà?£†w¹6«ÕÏþü½ºú‰Dår…BÁb±bñ\<ž¨ÕÎl6Ûô4ïÇá†çç¼^ïÅÅE·{W(Ö×í|þÌÀ:Ž4ÇÇ_N§“Çã#D"I¥RåryF36FA##£J¥*Ûk6[ÎM2™Ôjµ4Ú8•:¶²bL§ÓWÿ_¯?êõË,ûÍ›µ³³ó»»ŸR© “É”z½!“ɈDâÄ3ŸÏ__w¢Ñ˜Bñ +‡ÃÙíŽûû{>Ÿ?44„@ X,ÖÛ·–Læ(Ÿ/8<…Àãñ"‘Èãyw~~ñýû—Ë…Â^¾\ T*@ŠD³n·»T:9=­êt:L"‘ +E»}µ¿¿¯×ëáp8…B]^^ét: /üþÐÓÓÇC¡ ‰d0&²Ù\»ÝnµZýýý4M©Tƒ¡J¥’N²Z­334 &'Ykkk©TªV« +E‡Ã. +ÝnO³Ù„@ Z­®T*­®®²Ùl.—»¾n;::ªV«©TÚl~ÍdN¢P(‰Dâóm×ëõããã©©i¿ß/—Ëçæ$Ïûb±˜Ïç‚Áàèè(™L^ZZªTNc±˜Åb@¨Z=µÛí@ Á` ÆF£éñxúúú$’y£ÑƒÁÔjM¹\6›Í`p_"‘€B¡^¯/‘ØßØØz (‡Ã1™LÑh4“ÉÌÎÎnnnÒétŸÏ‡‰f`0¸T*ÝÞöçr¹ƒƒC±XÌd2ÕjõÖÖÖÎÎNoï3 ãp8"‘ˆÁ``³Yÿ°fŒ + +endstream endobj 2015 0 obj<>stream + +  !"#$%&'()*+,-./0123456789:;"!<=>&?@ABCD(EFGHIJKLMNO#PQRSTUV+3WXYZ[B\]V ^<_`aXbc=def:g^hijklmnYopqrstuvw xyjz{T|}~j€‚Jƒ}„…† ^‡ˆ‰Š‹ŒU("yHŽ5‚!‘}N’^=“!]”•X–—˜™\š›œžŸ ¡¢£¤¥¦§¨@,j©ª/«¬„­ ®M¯°rŠ±²³´µ¶·¸¹º«»¼Gy½¶Jž¾¿B2jÀÁÂÃÄeŬÆÇ)—ÈJ\g.ÉÊ˸¬…*ÌÍ¥Î$rÏÐÑ ÒRÓÔ3Õ%.Äְ׬OØ ÙˆÚ~Û°XUYÜ0Ý]_Þßàzáâãäåæ5_…2#çènDèC×éIêëäiìí©0åÊî¸ïÅðñòóÖôŠõö÷øl¸ùúôûüœýþÝ×+Çœb@ + +endstream endobj 2016 0 obj<>stream +H‰Žqýkjlƒƒ…”“•{z{WWW888===\\\ooorrrZZZ;;;!!!###xxx~~~fffIII)))‹Ÿž¡©¨¬‚‚ƒUUU555nonopoXXX$$$:::YYY{{{bbbEEE'''ËÉÎÒÐÖ¤£§wwx^]^MMNGGHLLMgfgxwxsssVVV444%%%???]]]wwwvvváÞåãàçÙÖÜÏÌÒÉÆÌÈÅ˦¥©poq}|~ŽŽ„ƒ…ZZ[000DDD```uuupppQQQ222"""èåìçäëæãêéæíÆÄɈ†Š‘’Ÿž •”–ggh<<<,+,aaatttmmmNNN///&&&êçîéæîßÜãÌÊÏÔÑ×ÌÊОœ vuw`_b>>>___qqqggg+++(((äáèåâé·´¹“’•€~‚POPffgkkkJJJ***±®µÃÀÇëèï×ÔÚÊÇÍÀ¾Ã›ŸŽ’£¡¥­¬¯‘’TST! !‹ˆ ž¥ÉÆÍÐÎÕÏÌÓÐÍÔÛØßâßæÓÐ×ÑÎÕÖÔÚ¸¶»}{~XWYPPQ„ˆŽ•©¦®®«²¬ª±¯­´ÊÇÎÚ×ÞÜÙáÚØßÞÛᨦª‘“ŽŒŽ‘yw~zxzy~|ƒ§¥¬Â¿ÆÁ¾ÅÀ½ÄÊÈÏÝÛâàÞåçåìxv}wv|wu|vt{—•œª§®©¦­¨¥¬µ³ºÍËÒÑÏÖÙÖÝyw{y€{z|zzx€‹‰ª¨¯­«²¾¼Ãzw~ƒˆš˜Ÿ›¢ËÈÏÎÌÓàÜã}{‚‡…Œ˜–œš¡ËÉÐåãê„‚‰…ƒŠŸœ£«©°ÒÏÖ}„ŒŠ‘Šˆ º`Ñ + +endstream endobj 2017 0 obj<>stream +H‰b`dbfaecçàäâæáåãgea—”’ŠËÈʱ²Ë+( +()«¨²«©«khjiëèê雘š™[(òÊóYZYYÛØÚÙ;8:9»¸º©º{xzyûøšøùùùû‡„†…GÈGºGEÇÄÆùÆ'e“’mRRÓÒ329²²s„sùòò ü@À¿ Àß²°¨¸D¥Ô¼¬¼‚¯¢²*¿:! ükjëꛚ[*ZsÛÚ;:»º{z{{óý€R}µý&Nšò‰BÀÞågΞ;¼ý‚ÀŸðž%6œ¹tùÒÙ+‡_]um Ä 8HËòå×ÏÞ¸qsk@€û…¯É + +endstream endobj 2018 0 obj<>stream +H‰¯Pý333TTTuutŠŠŠ€]]^:::)))...LLLttt“““uuvQQQ+++(((FFFkkk‘‘‘¨¨©bbcKKK555VVV†††zz{YYZ667&&&000OOOvvv”””ŒŒpppHHHooo’’’¥¥¦ŒŒŒ\\];;;[[[wwx}}}mmmLLM"""666UUUyyy‚‚‚aab@@@##$***PPPššš|||889```zzzuuu___AAA\\\‹‹‹SST$$%221WWW||}‰‰Š>>>BBBbbb{{{rrrYYYoopMMM556ZZZŽŽ‚‚ƒccd777eee###xxxccc'''&&'^^^ƒƒ„www///!!"fff111%%%DDDddd]]]===……… IIIhhhggg---SSS334ƒƒƒEEE^^_onnmmn lllJJJ,,,uuwutuvvwnnnGGG(()¤¢¦Ž¡¡£••—mln;;>?[[\vvujjj¼º¿¬«¯¹·»²°µŒ‹ŽXXZLKMYXYppqsts???222RRRæãêåâéæãéèåìÌÈΙ—›‹‹Ž“’•Ÿ¡Œ‹vuvaaa!!!êçîëèïíéñØÕÛ³±¶©¨¬®­±µ³¸¡Ÿ£|{|gfhCBCçäëáÞåàÝãáÞäÏÍÓÃÁƾ¼À¹·¼}|€888""#Ú×ÞÜÙàäáèéæíéåìÜÙßÚØÝÚ×ݺ¸¾Œ”“—§¦ª’mlmPOP323ÏÌÓÑÎÕßÜããáçãàæ×ÕÛÇÅÊÉÇÍÑÏÕ¦¥§}|~b`bMLNA?ABAB ˬ34 + +endstream endobj 2019 0 obj<>stream + +   !"# $%&'()*+,-./0123 456789 &:;/<6=>?@A0B*C>D EFGHI JKLMNOP*QBK@>RSFTUVWXYZ-.Q[LM\;]L^_*`a"bHcd$e-SfQghib jk[^K=lDOmno;p$qB$o .rsthiuuev[wx4yzq {?Z|}~np>U€J‚8BSƒ?M„8~}oNOg…†‡ˆ‰Š‹ŒŽ +`A9‘ +pn8[}’“”•–—˜™š›CœgžŸ{Oi0 ¡¢£¤¥¦§¨©ª«vQ1%#`œB¬f­®­¯°±²³´µ©¶·¸BH#%+k¹¬žºº££ »¼½¼¾¿ÀÁÂ…Ã` #P*ÄÅÆÆÇÈÉÉÉÉʽËÌÍÎÏÐѳÒÓÔYÕ*ÖÖ×غººº£¡ÙÚÚÛÜÝÞ¾ßàáâãä + +endstream endobj 2020 0 obj<>stream +H‰ ω2"п±3vrE©$ÊÚ4¨”ÆÙáê–„j‹Y©é¤Ë¦¥TŠŠB‡”ì¯ì¾?xïïï//¯f³…Ãá´µád_uccËï÷¿½½=?¿Z,6,¶¹±±‰F£mnnƒ¡Báãé)a³Ù¹\~KK+֟;Åb1­VÇ`00lCzpphwW‰Dr¹÷h4®Óé™Lf}= +‹ÅŽŒŒ¨Õšh4V,~>_ðx>stream + +    !"#$%&'()*+,-./01234567891:;<=>?@ABCDEFGHIJKLMNOP6QFRS T6UVWXYZ[\]^_`a"b cdefghb ijklCmcnopqPSXr$sjItuvwnUxyz{|}~>€6n3‚ƒ„7…~cw†‡'WVˆ3‚‰Š‹ŒZ}mRŽ( }‘’S“;”•–‹—ƒ2r<˜™š›œFVž*Ÿ ¡¢o£¤Š¥Ÿ¦<§¨z©Pª« ~G10o ¬|­®H*pZi¯=°ž±¤h²˜F“q³wnQ´µª‰XF<[¶·¸g‰v¹ºn»¼½ ¾¯c¿^ÀÁÂàħ»ÅÆ¥Ç3¬V²È½—ÉÊËÌÁ_ÍbÎ|ÏÐH©ÑbÒÓUÃÔÕHÖ×5ØÙÚÛmÜÝ3xÞ‰:F4ˆ¹·¸ß1¬×àáâãäåæÄmXçèÂéêæVÅ©Ô + +endstream endobj 2022 0 obj<>stream +H‰ ÐçV’Ðg²sb| Ùvœ!#D0;  aš3e‰#É”•B ¢8BP™‰È)¤’öù÷Ç]\\ +ƒõëë´Õj“Éd Côôp—––`µZËd²‰„F£Á`p&“¥ÕêNOù|ÁjýÎç÷Q©´µµ¯Ñhôññéò2¹±a +E8hêï·¾¾G“É+ƒÁ(H$ +ðx|½~- +Åx<Áb±›› ;;?3™ÌÝݽßSvw3ÐhÌèè—k7—Ëû|~¥RÕÙÙ@KË+…bÔéüQ*• …Òññ¯ùy%Ö’N§··2™œH$c0Xƒ©ÑhB¡Ðý}=•ºv8¶GFäx|3Ž`³ßhµ ~ÿéÓÓ?›ÍŽÁà PX,/—+‰DÂb±…bÀòùüÕÕÕzý¯Éd‹ÓÔ„ìë{«×9?¿¸ºJ¦“ !Ir¹Ün·«Õ$U«ý¡Ó»ÉdÊímõæ&o·;èt†Z­- +ù|^£Ñt:#—¿'‰L&³R¹„‘Ëå…ÑR©”L&ãÏ5‰ßf³E$S(—ËݨTê®®×R©¬X,ù|> +…6J¥Òý}ÏÔÔtkkûøøG—Ë]©TÛÛ;^¾µµµONNííí{<žéé‹566‰DÑh‚°Ùn±V»K¥ÒË·gŽÃéY^^9;;Ïf³›››$Y$ét:¯÷¤±f6›c±X±XC :<?44¼µe%“©n÷Þð°$›ÍÏÌÌ¢Ph*•zpp8;û©µµM¥Ò8N,çõz#‘çp¸&“Åëõ@àÞ^ÞÊÊç‹‹0 E"ÑF£apphbb²¡áÅî®»££Óf³*Šÿ iv¸ + +endstream endobj 2023 0 obj<>stream + +   ! "#$%&'()*+#,-./01234%567*89:;<=>?@ABC +*DEFGHIJKLMNOPQRSTU7VFWXYZ[\]^_`aYbcdefghijHeZ0kSIlmno a8p,q-nEir +pstuvwOCxykz{NOtH3|}?~>-€‚"jƒ„d…g†‡ˆbƒHP'g‰rŠ‹ŒeŽ8‘e’w7“”pI•CONv0k|R–:—˜™–š›œ“˜™žŸ ¡¢?r£¤‘¥¦§‹¨©ª«¬T­®¯¨%°±²³Z´µ¨¶©·¸¹|Rºƒ»¼½¾¿¥HÀÁ ¿qá¯Ä¤:ºdÅoˆÆÇÈ ÉÊ=.“ÆËÌžQÍÎÏ#ŒÐQžÌÑ{Ò‹c&Ó|Ta"¯¨PºÔÕÖI×ÉØÙÚ“Çp,ÛÜ$ݧ0}SÉHÞÄ+ßÍàdƒY*á¡âlW ã+?䤠Étå¹ + +endstream endobj 2024 0 obj<>stream +H‰Î×NZÐÿ0A) rÙ +ʨ@dȺPq°+P½G•)@*”K Ä‹T¨m­öÅ&ö»ZÏG¥ÒŒFãéiy4º¿”ËeƒÁÀd2©TªL&K&“ãñøññq4¥Ói¹\A"‘Ö×׎ƒv»óòò÷éé÷`0Äáðt:c¿R©Âð(ŸÏïììÐh´••TM§Ó~¿FÅb1çóù‚z¿º]ˆH$¹\®‹‹Ëëk8ŽÁ` ÆRéôû«µZÍb±°Ùœçç?KKKr¹<÷zÐp8Ìç f³™Éd¡Ñ.÷m½^ŸL&0 '“)•Jµ»»{rrr{;¹¿ÿÙh4ÃáH<ÿôês*•þD¡Ð|¾Àëõ¶Z­^¯ †D"Éò2õîîÛtúÕår¯®®îííu:›Í¦×ë“H$d29‹Y,VÈãñ ßÄbq½^yÙ®Vkv»ƒH$’ÉTC¤×66„ýþÀçóooos8\³ù}&“Ñét€¥ÓéÙl¶Ùl)•J…BÑív†@ °Ù> +Å@ 0??Ïår‹Åb©T²Zm ÇÇÇ™LÁµ5¶P(, +N Ããñ­Vk§ÓY\\L$"‘ØãñÄã N?;;‹F£…B‘Óé¬V«¡PˆB¡Àáp°X`nnÎíöˆÅ`·ÛÏÎή®ÚÙlN­VK¥R$òB¡L¥Rn·»ÕºˆD¢jõ; +µµµe³Ù‚Á V«c0H$rsSz~~.“É#‘ˆF£™™™©T*~¿ŸÅba±X‘ËåL&›ÍÖjµ‡‡‡>ŸïŸõ ¢ + +endstream endobj 2025 0 obj<>stream + +  !"# $%&'()*+,-(./0/1&23456789:2 + ;5<=>?@ABCDEFGHIJ KLMNOP JQRSTUVWXY Z[\]34^_5`abcdefg' +hcijkl/Nmno"pqr(stu v*Aw]'x)cNyz{|}~8"a€b‚$ƒ>„'…%†G‡Bfˆ‰Š‹…Œ}ŽR(‘g’v@“”•–—~˜&Œ™š›Žœ~w•,œžŸU + Ž¡¢:£¤¥¦—§¨…©ª«›§cr3¬… ¨{­‘f® ¯°k±²³´}U‘µX"¦¶©·j¸‹‚¹B«™°Ÿº‡»¼½j¾¿X À–°·tJÁ’hÂÃÄjÅXÆÆzÇ'™KXÈ«Œ¤ ÉÈ.„Êg”‹Ë—9kªXÌÃÄ™ÍbQžBˆÎÏ {¨Ðh{ÎÑÁÌ{a£ŒÒžhÓ«‘­Ãb>ÔÕ„< + +endstream endobj 2026 0 obj<>stream +H‰Á WÙðÏÒÞ[‡2GRò'då%9º9¦i¨¤x®DI*%•…ë•3•3™NVí½Þ³o³·ßorò3‘øÒéƒf³%™L=== +‡c•Ëåuý‡“Je>ß×››Ûjõ·N§£PzË`|4›ÍÅbñõõU$šÀáð­­kÈn_ÎdÎ*•J6›µZ­ …bêð0ôððxtt433C P(4›Í±Ùlår¹Vû;0@ïè@fbB¼³ã-~‹×¡PH©Táñ$²Ý`0¤Ré——?ùü·Û- +‘Hdg'Z"‘z½»µZ ‹í‚Ã[§§g"‘èÝÝÝùù¹Ó¹6::…ˆ¶ñññõõõ\.ÿüü'ôz=‰ôA£ÑŸ<>Vh´…Ýýý}"‘´XY,VK ¸Z­F"µZÝ×G5Œ™L¦Tú¿ƒÁ`wii)‘H¥RI&Sº»»Ýî->ŸF¥Réööîìì¼¹‡ÃGFFS©T>Ÿ÷z½2™l~~!‹__—VVVØl¶@ ÜÚÚ.—oÀ`°Ç³L&c±X{{“É´Z—²ÙËP(<7§ííí ‚WW¹ƒ? +%‘H*•Êï÷Çãñýý}>_àr­ollŠÅbƒÁät:©TªF£999ÙÜÜ”ËåBwSèô4c·Û‡‡‡Ñh´ÍfƒCCCF£Éh4r8œúú†žžŸÏG¡PÚÚÚ„BÑåå÷‹‹ìêªsjê +ÕÉã [,­V;885ïíí+Š««|:}º¸¸(‘HD"Ñòò2‘H„@ "©Tê`ð0ý&•Jðx¼h4j2™úûiÍ\.—“Ë?Ñét2™¼¶¶Æd²q8œËåòx< étšËåÒh4¹|òíÛz +fggëêÞìîîêtz<ÿO€®R§ + +endstream endobj 2027 0 obj<>stream + +  !"#$$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOPQR-LSTUV$7KWXUYZ[\]7@12^_`7abc$defghijklKmEF3;no/p Iqrstuvwxyzmul{|}s~7 €G‚Qƒ!@„…†*)7‡ˆ‰Š}‹ŒeS5Ž‘’“”•–&l]/—˜;™š +ƒW›†Y†œuž#Ÿ ¡¢£ ¤¥¦§u\¨9q©7ª«%_¬­>®¯E°‹&±²!³´µ¶·¸¹ºF”»¼½»Z¾¿mu AÀÁÂà +ÄÅj<¡ÆÇȺÉÉÅÊÈeËÌÍ`'ÎÏ–ÏÄÐ].Ñ*ÒjÓÇeÔÕ4Ö×ØÙ¿Ú™XÛÇÜÝÄÞŸßkàÇFáÞâã`Þ*äÙåàÙ¿Nj‰Êæ£.綋jR±>¼èNÓ='éà“NêëÛ7½CÙ#ß`ìÇ + +endstream endobj 2028 0 obj<>stream +H‰b`d`d(…`0¢ˆ¢sQd0iÃUŒXä(2Ÿ,vc¨ƒ(ƒ#F˜1¢²°;›(@€¹" + +endstream endobj 2029 0 obj<>stream +H‰Œ + C÷îé(ɬœ ~7˜46X iàŠLEP×òËq—!/š2Ûa秸 ¿’QËb‚tZå_+"l, + +endstream endobj 2030 0 obj<>stream +H‰”I + mþÿè Ì +ò Â0n"+†ä¯6 P`$”Ú*) DÇ£qy+ÊZ/Cm*÷á?€ô@°ª`¿ºéß 2J:] + +endstream endobj 2031 0 obj<>stream +H‰b``d€F(‚Ð0!$ +™ƒM0â•Å­ #²"Êaaã`\$÷22¢[ƒS;<$±H’ ù# + +endstream endobj 2032 0 obj<>stream +ÿÿÿåååýýüÔÔÔúúùþþþ + +endstream endobj 2033 0 obj<>stream +H‰b`´ŒL8$˜YX±J= + +endstream endobj 2034 0 obj<>stream +H‰tKÀ0B}ÜÿÐmu:þ6A‚†½yùà DÑÿ.\è ÈVXAë$¾û 5cø5“ª¨,fþ ÈyÊ(>~8ÖÄ3çþw ŒÃÔ·éÉß¹G€GàW + +endstream endobj 2035 0 obj<>stream +èåìéæíàÜäÃÂƽ»¾¾¼¿ãàçÐÏÔËÉÍÌÉÎ + +endstream endobj 2036 0 obj<>stream +H‰b`@ŒLÌ,¬¬ Œl윜˜â£€ ÀX‚= + +endstream endobj 2037 0 obj<>stream +H‰t10ÅþÿÏML@©ƒx¨Rx=ãS)ƒ0é˜Q Žî„%x…F±›ªCˆ>†“š€ªel‰Œ¯ýUÆ™6*mW€2ßC + +endstream endobj 2038 0 obj<>stream +H‰ÎYŽ‚0Ðû_h”­TB[– +ŽÑ @iqA‰ð¡`æàéºáyˆ‚¢”>eYl°±L€mYÂRêÈR…>!Ø:Îw’$qgYÖ÷ýóù|¿ß¢TEVó\¤§‚†‰#Ó°‚ Üíâ(¢ŒæyVâ*ÅEÖ—š«ª5—eÞ@Û±mèº.çü~¿w]7Ž£·¶¹ý±”àÐ'ÑvãÆÿ‰±ßy™›ª-‹æ°?êš¹úZ¯WFx†iš^¯WÅeL÷>¦À‚ºfº™çyÛ\[qÃ^@Ph™6„Û<­ØÏ {¾¬Î¢lϲû0BMâ + +endstream endobj 2039 0 obj<>stream +H‰b`dbfaeÃìœ\Ü<˜â¼|ü‚BÂ""¢¬bbâpqI))iY9~yE%eqˆ¸ªššªº†¦–¶·®ž¾¡¡XF ŒUMLÍÌÌ-,uu­¬mâ@`kg§aïàèäèÌê"îêW511q÷óôôòöñòUE~þÆƦAÁ¨â@=&!öö¡a^ª˜èÂð,â M‘XÄé gç7™ + +endstream endobj 2040 0 obj<>stream +H‰b```d àÕ’ddDULj®‘)x-c$Á<¨ #v}¨†’Ø-$^Õ § + +endstream endobj 2041 0 obj<>stream +H‰Á‰nD@Ðÿÿ¡:Æ ml–j zØ„sÔÖY•«í{Çq„aDéû~†išö}7:tg˜R*„ض祠#Ò¶ „¶m[–Å9ﺮmÛùgþ,Û¦êܳ¯©º®Ïó^ÿÄÿ¤”Uq•¼f¹|‹åNUÍs½iúDZ–mSv׺')¿· `Bà E1BáG’Ìó\Š†bARF2þä¾@`©ŠŽ1^–e]×Ûm¯å×{|ñÝèÆÉ91Æ +Vå™ ¸H/yà‡Þù!ô+ÀvbÐà + +endstream endobj 2042 0 obj<>stream +H‰b`Ú€‘‘‘‰™……• Mœƒ“‹›‰‡Mœ_@PHX„STL\\I\RJRZFVVVN^AQ I\YYYEU•_MV]C]“YK[‚ .®£««§o`hÄalbjfnK+k}[;;;{GGG'6g¨¸² X«©¹º±³³»{p{*#/oo_?[[ÿ€@F€­î + +endstream endobj 2043 0 obj<>stream +H‰tÀ Ûûÿ£—)DGbbÊŠt–%4ŸTþN¤öCG­pÓÈ7µ¾Õ•M‡hŒ/k<ð—Ÿã¦N†«{µ‹¯Ëý¤iÅÇ„ÌO¢Ëå]ü#À\ z + +endstream endobj 2044 0 obj<>stream +ÿÿÿýýýàààæææûûûÏÏÐ×××ôôôüüü + +endstream endobj 2045 0 obj<>stream +H‰b`ÔŒŒØÅ™˜Y°Š³²±spp`j0Z7 + +endstream endobj 2046 0 obj<>stream +H‰| + ½ÿºI‡£isXäiŒ—ÒUQ‚×BÓãž!ƒ)i£ã½æ9 C¶Ön#sYÓ«ÌOPâeÀ¶_¨î045 + +endstream endobj 2047 0 obj<>stream +èåìÊÈ͹¸ºº¹»×ÕÛËÉÍËÉÎéæîëçï + +endstream endobj 2048 0 obj<>stream +H‰b`À™˜± 3°°²agçàÀ*> +È>3- + +endstream endobj 2049 0 obj<>stream +H‰tQ B}ÞÿÐmµ,¤ø2Ä"2ªV¤·Š¸€˜]Ú¨YÑî2_'UsÓTs€O\JÁ3Vúÿ•´¸ˆ¶|Ë• 2hC + +endstream endobj 2050 0 obj<>stream +H‰ÎnC@à÷¢÷çºùw ËÔW‡ª²I„D‚mß|²¤H'Y×uß÷=ÏBÇáÚÞ™¾!ˆ)=«*M’äÙþd_œ`*ËÈH‘!„(‚8Ž—e¹WÏZ>stream +H‰b`dbfaaeCìœ\\Ü<â¼|ü‚BÂ,"Ì¢bÈââ’RÒ2²rrrò +bbbŠJJJÊ`qq PUSS×ÐÔÒÖÖÑÕÕÕS‹ƒ€¾¤¡‘¬±‰©™³¹…²²2D\ÜÒRÜJßZßÆÖÎÞÁ‘ÕÉÙY¸¨ºº¹{xzy{£Š‹ûøúòúù¢‰ƒ\aäæîŽ.•S!Eœ À¡W)ë + +endstream endobj 2052 0 obj<>stream +H‰  O‚@àÿÿ‡4î‹Ã•wR < i,å0‹:À)b>{î÷ûårIÓ”QøÁVmƒ H’äz½Ì°…1"ÈÂÜæ]×Åa"Ö®¯”ØRnÂ0TêQäÆtÃ0Ìóü™B¤v®ô¢S!$dP4M3MS£¿ëÇòTBSd5ìµM9cÌqιBkݶ­1·ÛíXý|Õí©þ/ë !„=Ï‹ÂhGó¬Ê•òck‰‹%%L—eß÷Æ8hßSo®ÿ¼ZGQ\'Ø—ïág+‚Ŷò|>ÿ 02„ÖA + +endstream endobj 2053 0 obj<>stream +H‰b`\€‡8qfV6vLqN.n^>Lq~A!aQ1q >>I)¸¸´Œ ¿¬œ¼<·‚¢’²Š*\\M]]MCSKS[GWOßÀÐÈØ*n +ff榖< + +endstream endobj 2054 0 obj<>stream +ÿÿÿõõõöööûûûüüüþþþ + +endstream endobj 2055 0 obj<>stream +H‰b` ™˜YXXX1Ä j + +endstream endobj 2056 0 obj<>stream +èåìéæíåâèØÕÛÔÒ×ÕÒØÃÁÄ»º»»º¼çäêàÞäßÜãßÝãÕÒ×ÎÌÐêçî + +endstream endobj 2057 0 obj<>stream +H‰b`@ŒLÌ,¬¬lì h€“‹›‡›—]œøùù1ÄGE À;¹ + +endstream endobj 2058 0 obj<>stream +H‰ ÆçR‚à—.–Œp`åÍqn±3T¦š×© ó‡™â@nQ¯P߯qÀܧ {‘Dþb6ò>stream +H‰b`À™˜“• ›r vdNƒ‹‹‹›^> A~A!aQ.¸ib£Ä%$¥¥edåäÙ‘µ(((*)+«¨ª©k¨³±"Ä5µ´µutõô äÙºMÀ⊊¦¦ +fæ–VÖ6Â"*‚©­–½ƒ£“³‹‘«›«;#£"ÐôÐ4•ôôðbòA§àcïë笈4CB4ÍBÃÂÑÅAú4#$$°ˆ+*†DF"}"å + +endstream endobj 2060 0 obj<>stream +H‰ ÐyWÁð\¯¿b¶Ém#×ÜV*áEoÏÓáÊmŽ!”Ê5s¬x¯OP¿ð‹PÑËðÍu$^È–;L¿Ýìµìh0á—»-ÿ³Y}¯ÛF­º£©pÄãöÁ2äøèÄ‚ÛͦsáJ&èb®’.µ™þš„Ía·ÞóÜîmøÁ4:¥bµ+yHŸR¡D2ñ©T¢/å÷R>2¿M5knkÀ¶_gŸœ°>ì…ß4ý,U 2¥Vmt;ý^2Dº¡@¤ÕìqóÍbʃb`V0Âd°1ÂjqfÒÙaÿ}þµZÎÖË)Ïs‚’c:3¼bl¯;b;Ã>;†Ôjv<=Šùj>[~)Ô 1,GÔ¸Ñfˆ»M˜Aß"Ѩ 8F(P^‹ƒb„Ne”gºzõ#ˆÝÇ¢IƒÞˆàÉxZ¯´*¥f­Ì8í^Dÿ•TèJ + +endstream endobj 2061 0 obj<>stream +H‰b`dbfaecçàäääNn^>~A!aQ1fq I)ii8—Œ¬œ¼‚¢’²Šªšº†¦–¶„Ž.D‡ž”>«¡¡ª‘±±‘‰©™¹…¥$‡¤¤$—•µ!ØØÚØÙ;8:9ëh³¸¸º¹»ypqB€§±±±‰££—·¯Ÿ¿+'—! ¨ªªÚ‡„²[»¢‚°p‡ˆH§(fq4qCe  £cÔ@ìXCÃ8d)Ïø„HtõP) @ €Š'Ú©Â8P#! 0ÉÓ&,UȲïTc;cO \ÒÒ3¢2³T”³QmâÎÉÍË.0²³3òú&\`ÎÄN' + +endstream endobj 2062 0 obj<>stream +H‰Ç8ý~~€Ž‡†ˆ]]^232%%%@@@^^^xxxsssTTT666###<<<\\\vvvbbbCCC$$$&&&444Ž‘œœž““•aab000___wwwqqqRRR333""">>>??? »¸¾ÂÀź¸½‰ˆ‹]]_JIJVUW_^``aatttmmmMMN///!!!(((BBB777''':::âàæãáèàÝäÆÄʱ¯µ¨¦¬±¯´••—gfgrrrnnnMMO---,,,GGGfgf{{{jjjNNN222***éæíçäëÖÔÚÈÆÌÂÀÇ®¬°‚ƒŠ‰‹jjl>>?++,667PPP|||iiiKKKèåìäáèáßåáÞåÜÙßÒÐÖÔÑ×ÕÒØÄÂÇutwMLMVVWmln}|}}}}efe111EEEèåëÝÚá’•jilrqt…„‡ŒŽ………RQR778""#FFF¿¼ÃÎÌÓëèïêçîåãéÐÍÔÆÄÉÍËÐÁ¿Ã¥¥§“’•‚€ƒhfj00100/š¢®¬³ËÈÏÏÌÓÑÎÕìéðÞÛỹ¾´±·¡Ÿ£eeg\\]poqŒ‰š—ž°®µ´±¸²°··µ¼Ù×Þâßæ×ÔÚÑÏÕÎËÑÁ¿Å’‘•—•™xv}€~…ŒŠ‘ŽŒ“‹’“‘˜»¸¿ÏËÒÖÓÚãàçæãêÍÊÑËÊÎxw}}{‚„‚‰…ƒŠˆ† ž¥®ª±¬©°«¨¯¼ºÁØÕÜÜÙàÛØßÜÙáåâéÙÕÜ×ÕÛyw~zw~zx’—½ºÂÃÀÈÁ¾ÇÄÁÉ×ÔÜyv}wu|utz‰†Ž¦£«ª§¯¨¥­­ª±ÆÃÊÓÐ×ÒÏÖÚ×Þ{y€zy²¯¶°­´®«²Â¿Æzx~yx~‡…Œš˜Ÿ±®µ´²¹³±¸·´»~|ƒ‰‡Žœš¡›š¡ B‚ê + +endstream endobj 2063 0 obj<>stream + +  !" +#$ %&'()*+,-./01234 / 56789:;<=>?@ABCDE2FGHIJKLMN"OOPQRSRTUVWXYZ[/\]^6F___`a;bcdefghijklmnGFopq;`_____rPPOstuvwxyCz{|L}~P€O___O‚ƒg„…†‡ˆ‰Š‹Œ}Žƒ‘bO____’€’“„”•–—˜™š›œžŸ ’OOOOO¡¢£¤¥¦(§¨©ª«¬­®‘¯°b±¡±POOO²³„´µ¶·¸¸¹º»¼½¾¿ÀÁÂÃOOOPÄfÅÆÆÆÆÆÇÈÈȨÉÊËÌÍΡb;±____ÆÆÆÆÆϨÐÐÑÒÓÔÕÖ×ØÙ‘Ú_OOOÆÆÆÆÆÆÆÆÆÆÈÛÛÜ©ºÝÞßà;`±±ÆáÆÆÆÆÆÆÆÆâÆƵÛ㬬ªäåæçèÆÆÆÆÆÆÇÆÆÆÆÆÆÆȶéé¶êäëìº + +endstream endobj 2064 0 obj<>stream +H‰ºEÿèåìåâéÝÛâÝÚáÛØßÆÄ˺·¾º¸¿¼¹Àª¨¯‹Š‘‡…Œˆ†~|ƒxv}yw~çäëãàçâßæÙÖÝÓÐ×ÕÒÙ½»Â”’™ŽŒ“”†zw~éæíêçîëèïÚ×Þ¸¶½¶´»›£•‘Ž–’—‰†ŽzxæãêÄÀȱ®¶²¯·´±¹¡Ÿ¦ƒˆ}„ÑÎÕ¿ÇÃÀÈÄÁɘ–“‘˜“—äáèÈÅÌÅÂÉÆÃÊ¿ÆÔÑØÐÍÔ \ƒô + +endstream endobj 2065 0 obj<>stream +H‰b`dbfbaecçàäâæáæå~ `—”âç—拃€ŒŒ¬¬œ¼˜»¢’²Šªš:Phhjjiëèêñêë#ÄAz ŒuLLÅÍP†¹9£¼…¥•5š¸ Ð*!Q[L `nn.ˆE¬‡ø* X + +endstream endobj 2066 0 obj<>stream +H‰¦YýWWWxxxŒŒŒ888 !--.SSSyyynnoHHI,,,ppqšš™  ¡ˆˆˆddd665''(ZZZ‰‰‰sssRRR444""#001UUV{{{iijCCD(((///LLLsstœœœžž ‚‚‚^^^222%%&www€€€gggFFF$$$667‹‹‹|||\\\ "333TTTœœ———NNN,,-'''bbbuuu[[[<<<&&&===___ƒƒƒoooQQQ666}}}–––eeeAAA...tttpppVVV777 @@@aaa„„„iiiJJJ999~~~’’’:::+++111---)))zzz???ccc‡‡‡PPPkkkHHH***fffvvv%%%BBBmmmKKKlml```EEElllMMM"""GGGjjjyyz>>>Ž……†llm€€TTUCCC­«¯—–˜bba!!!qqq~~OOO000ÖÓÙ¹·¼fegRQSHGIaab555nnnÝÛáÍÊЮ­°›šžŽ’„ƒ†bacccd€eef==<UUUxxw``aèåìèäìçäëéæíÜÙà˜–š„ƒ…ššœ››{{|JJK(();;;###êçîëèïìéñäáèµ³¸§¥¨µ´¸¶µ¹•”—cbdDCE]\^cbcXXXæãêåâéÊÇÍš˜ƒ‚…†…ˆŽwwxqpquuv]]]::;ÚØßÞÜãÍËÑÅÂÈÆÄÊÆÄɯ­±¦¥¨ª¨¬Ÿž¢!! DDDÏÌÓãàçâßæàÝãàÞäÞÛâÉÇÌÁ¾ÃÃÁÆ¿½Ã€‚//0112 É~(• + +endstream endobj 2067 0 obj<>stream + +    !"#$%&'(&)*+, -./0123456789:;<<=>-?@ABCD EF=GH0IJ KLMNOP:QR%STU VW&XYS&Z[\T+!]^_,I*`2>:-ab*cLd _Oef_ghfiGjNOk?lim%no:_Zpqr:g]!+)stKu[vw%xqQPhyz{RQk^\Dwr:P !|} ~OV]€‚>ƒg\e„R-V\8n…s†4V‡,ˆ‰{Š‹Œ 2<)aVŽ`r[‘U’“”•–—˜™Xš›œFžŸ JPt¡¢££¤¥¦§¨©ª«¬[,2=­®®yD¤¤¯°±²³´µ¶·¸¹vº»sM¼u®a½¡¡¡¡¡½¾½¡¿ÀÁÂÃÄÅÆÇÈ‘kNÉ°¯¯¤¤¤¤¤¤ÊËÌÍÎÏÐÑÒŠÓ-ÔÕ²Öײ££££¡½×ØÙÚÛÜÝÞßàádR + +endstream endobj 2068 0 obj<>stream +H‰ ÎùN‚pà—N9ý¡C¨ÄóÙma^Ìsf5kÓY ¨iàU½Bnß|œ¤HM2´—9¥üD`ˆ›pyÝ€‚!ì<‚F!Às"Š¸qÔƒÂD.{˳Ñ0'ú}“cýk44umÒªw"|,ä³!Èð@m­ŸýkŒ¦ÍÚCµÒ¬)-¥TOÆ3¡€À#\èâŒlÖ‡ÅÌRß?µã­¯ÞË)u¾Êe¤›0…8âtí7öj?è«v÷±Ý}ê¼óŠI|Œ9g1˜˜síxPÇ/Ͻ‚\Éß•—iÇ æt`0Ö‹íÔüô†åbM)5 +²b-÷öê0Ÿ®Ê…j*ž‘’Yk¹3õÙ¿Û·ù + +endstream endobj 2069 0 obj<>stream +H‰b`@Œ`’‰™…• .Ægqprrr1`Ü<¼(Œp|>stream +H‰ Ì×vÁП.dPbU¨Y³AˆU5Z=:ãT5ˆ‘VbÅjû õzn8K&î’ñl‚ÌTÊoì˜ç9aÎ.¾fâvu8îþÒï~û³Ûœü’çÎ.ð3¡úZ÷y~oŠ§3©œÙh³Y]V‹ƒ"3ýÞh<äFvÂÌV‚´wkQZ‰» Ã5k­f½õÞ¡;­À +9$—©Í<K§©\6]h5»Ì€ö§z2ç¥Q¨Á"U¸/çs¹l©Qk‹ß›Ír¿Îó©å*—ÃG„ã·!²×ô{ãÏ.3¤§j¥V_B*­É€nˆ?ôEÈHŠéO¥õQÚœ40†[œ¶+—Ǩ¾4†ô„þ`fì…ôF ·š¯»mTÀJäÃæ‰  ÑiM“t˜Î ÇÝN¿NcD …õÓÑœŒ¦¢ +DË¥çbþé¡X±ãn-jø`!¬øž + +endstream endobj 2071 0 obj<>stream +H‰b`dbfaecçàäâææáåã—”’–‘•“çæyE%eU5u MM-m]&=}C#cn à^ 015513·°´²¶±µ³çéã‰k:88š:9»0Xºº¹{@ Ô€O//o_?ÿ€@ž Î`^˜8ÐMÍаÐðˆHé¨h d`êåå+'næ'€ˆD°.0;)9¡8U# lh¤g@ z`š54Á*"0ém‚l3\*Ë äB;IAvNnžY¾'Ü,˜\u¡sQqºL ðÈ””–Y•›UTx!£`½”J© + +endstream endobj 2072 0 obj<>stream +H‰|ƒý??@XXXˆˆ‰»»¼ÕÕÖÊÊˤ¤¥rrsGGH>>@UUU„„…´´¶××ØÉÉÊ¥¥¦uuvFFG889SST­­®ÖÖ×ÃÃĨªªDDE``a‘‘’ÄÄÅÚÚÛ››œjjk@@A^^^Ž¼¼¾ÝÝÞœœllm667ZZ[ˆˆˆµµ¶ÛÛÜ¿¿À¢££PPQvvwÔÔÕÜÜ݆†‡YYZ99:HHIsss£££ËËÌÞÞß··¸XXY;;>?QQR‚³³´ÌÌÍ||}ffg””•ÀÀÁÐÐÑžkkl??A^^_‘AAB[[\‹‹Œ¼¼½ÆÆÇsstwwx¨¨©½½¾‹‹WWY<<=MMN££¥ÒÒÓ»»»112oopŸŸ ÎÎϺº»~~aabÏÏÐxxyIIK‰‰‹ÙÙÛÐÐÒNNOVVWiij–––ããännpCCD::;eeg••–ÁÁÂËËÍIIJ__`©©©ààáEEF©©ªBBCJJKssu¹¹ºŠŠŒOOP±±²´´µUUV€€€³³³‚‚ƒRRS}}®®°°°±HHJÄÄÄ¢¢£EEEáááÈÈÉ¡¡¢qqsccd‘‘“¾¾¿ääåÍÍÎppqÖÖÖÜÜÜ££¤åå厎``bááâÚÚÚ………}}~¬¬­ƒƒ…¸¸¹TTUÐÐР  ’’“¿¿Á  ¡FFFbbc““”ÅÅÅppr@@BKKLÀÀÀŽŽŽ\\\;;;¤¤¦ŽŽ99;qqr®®¯ÙÙÙVVV777„„†XXZzz| D +^Q + +endstream endobj 2073 0 obj<>stream + +  !"#$%& '()*+,-./01*23456789:;< =>?@ABCD:+EFGH< +IJKLM5NOPQKRSTUV+WXYZ[\,LR>& ]^_`abcdWefghibjk l_3mnop$qrs_9tduv4Cw:xyMcz'{2*0kM|O}~8X€‚ƒ„+…X&†hVX‡†ˆt‰eDC]Šc‹W #_3ŒŽ0‘N’kE“P”Œ‘•– k“—. ˜™š$›—œ4 8žnŠŸs ¡¢£¤¥¦§¨o©Œ<ª«¬C'5G­K®`¯°G8±„"C€²²–³{'z´µ0 “¶g zrAK+· ¸Šz1¹ºn »¼¹½n¾¿ÀÁ+8­ÂÃÄ ÅÆÇ]HW ¦¯!ÈzGÉk$`Ê°Ë”ÌkJ OhSÍΓ³ÏÐ™Í 1“ÑÒË^Ó + +endstream endobj 2074 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?/ÕJxY]S })"|Pú.êVå]i„–‘¦ŠßZpéVªÄgó—cžtKƒ£ýUsΖ^#Ç” AÜ1äà ©ÄKÌ<èÀÉêYy Æ;½-ÄŸ‚«û+©ÿ¢wຫµ~ÏèY=JÝ,±¤ƒæBÄÿœýäßn,_sÃÛOÝèÿÿÙ + +endstream endobj 2075 0 obj<>stream +H‰ Î 7Úð¯c/{y¯KéXÇ_šJRÉÕ•„%RYsE¢!™•bD+SȆì£l¿OðK&SN§³³³ ƒÁâpŸÚÛ%KKö‹‹ßïïšÍ憆4]^NU©†÷ö~ÜÝÝ??ÿb0˜8^(ÎÎÎlbq+ +U‚ǤRÙêêZ:y{ˇÃ½^Ïår1 ƒQ96¦;8=<ä¾ßR(©££Ëd²ÑÑ1‡S\Œ¤R©ÆïÞÞÞÝÜd766e29…ò™@ 655ÏÍÍ?==Çãg33³M4]£Ñ:k++Nø|¾ÕjM&“GGÇÓÓ_y¼ú’4•JS*û¶¶¶_^^‡‡ÕtzŽàrkýþ€@ Àb±"‘hqqñêê*êõãUU,¢¸²’92¢Þß÷år››n…BYVFq:Wc±#ÚÛ«t»Ý¹\.›Íº\==ŠTê‚HJKÉryOQQNßÝõ>>>e2™ææ–ùù…óóÄÉÉ©Ñ8U]ÍÅã‰4ZÅáa4›½mkk‡Á`µµuSS¦P(‹Å&&&kj¸X,Jåry&ó¿v=0ðÈuu¼ÉIãëë[kk›Ý¾Ü××Çb±´Zm0¼¿8=#‘¨|>ïr¹R©”Ãá@£1<Ïh4F£1“ÉŒ@ H$ +õù|Ã\„B¡$ÉÎŽ§¿¿_« C×××^¯w}Ý•HüJ§ÓkkëÝÝÝd2™ÍæÄãq¨ƒ ÂHäÐáX©¯ç …¢Ë˴Ͷ‡Ã™Ìªp8ìóù¡P V(z#‘ˆÇã@ Vë·D"QXøqhHµ½½c·Û™L¦Z­¶Ùe2‰€@ ‰$•J———£Ñ¨Ùl1 :Îb™‰Ä( +›ÍniÌÎΩTª id + +endstream endobj 2076 0 obj<>stream + +  !"#$%&'() *+,-./0123456789:;<=>?@ABCDEFG'HIJKLMNOPQRS;T;UV9WXY'Z[\]^_`abcd]:ef%\g8hijklmdRJIHOn>:opq`Lrstuv*0wxy¾´¿Šg _¨GIÀ«ÁÂT»þH;ÁÄÅ[ÆGǹȊ¿y­ÉDÊlËÌ%–Í#:ÎÏG¶ÊŠÐLÑÒ€Ó‚ÔÕ²˜Ö×ØÙÚÛÜyÝÞ? È„&iß]"ਨáâ ã䕶=T.å0›æçfè + +endstream endobj 2077 0 obj<>stream +H‰„I ;ÿÿ´‰Æ‹Èè4M*ƒê¹9öe3Àu‰„1Õ„ß±Ùú×Zñ•ÑDÝ» I‡q4!w+ + +endstream endobj 2078 0 obj<>stream +H‰”[ ;÷¿´(ú 6>6e;KD…žeM•ŠË³Æâ¤Á%›â“ÏS/»ý–±ãJý€øSð~‘]!»š&}1 + +endstream endobj 2079 0 obj<>stream +H‰„ŽÉ @þ›Þ˜} yÈXEµ¾aE—iª« Ý‘û Fg9èÍâÁì~~F +!ÜœÄó%@- + +endstream endobj 2080 0 obj<>stream +H‰|AÀ ³üÿÑ*8hl¹(,D¢´‚uF¥ò2"$ï7ÐIl5è  ø„Ñ8 ÙÆ 0}/ÒIÎÿ¶ú”Nû+*gc+wÿN< 6hF + +endstream endobj 2081 0 obj<>stream +H‰ ÎérC@à÷¡Æ±ª]ÇìZ–ŽIC…¥ŽÔ1)?|OðI"%±(Ïó,ˆa8ŽÃsˆ®½#„cAÔu½mÛ¾ïmý‡ Q%QÉÐ êû”Ò$IÖu­Š–ßš<å?×R×ÌÓ› œDEQ‹¢DZ.»_Þ7Õ݆‚È'>Æc\ñ*Ïxz¹eßEHcMÑeI² +-8Ïóãÿñ², ²ÜOÓ:@Ó8«@£>%„ÄqÜuÝ4MÙµH/9%‘=hÂ1úÊ—}]¶MÕ³à˵1²Ûr~ +0:ËÑo + +endstream endobj 2082 0 obj<>stream +H‰b`dbfaaeCìœ\ÜÜ<¼|||(âü‚BÂ"¢bÜâ’ÈâRÒ2²2²rò +òŠJʬ¬p9)U55UuAA! M-m¸8èêéé ™˜š™[ðòñòBÄUTTTõ,­¬mlµí씕¥à@ÚYOÏÅU]ÝÍÝÃÓË !Ò¤âíããëg$,,,…T\ü-1Å. +RÅ"2«0=@€Hq+ + +endstream endobj 2083 0 obj<>stream +H‰„K û_ºV}J7¢">ªV0SÃô MïXà†FR'°05*y ÀÈ—‚¨ûÑæú`N# + +endstream endobj 2084 0 obj<>stream +H‰ ÎÙ–C0Ðÿÿ#b ÉØJ£=8tÄR¤–‡á s¿àžç¹®k×uû¾Ç¦”F4JÓt]ÿ$ A¨iš!t]oYÇò$ Ë@p—k†mÛÞ£‡ïßÞë%QñOüªy×¼ó¬t,ßÀ¶ùå`ÝTHé•Ç1ç|š¦qÛj(ŸÍ>(¹Qr'—ªH–EQ“$©ëš16ÏsSõìÙûÍkÛòeYÁ#„øÀ·mk«þUyZ^èÚ¾ç¶éiPÓ5¿yÃzV4EVê ÿ“0 #˲¾ÎÙ. + +endstream endobj 2085 0 obj<>stream +H‰b`\€‡83 qV6v6NLq.n^>~LqA!!aQ11qTq II)i.AY9TqyyyE%eU51u M-mˆ uâzú†FÆ‚&‚¦fæ–q(°²¶±±µ³wpdÕÔÒrÒvÖ…W7wO/Aoo6_]dàço«`»¾® + +endstream endobj 2086 0 obj<>stream +H‰lÀ þÿè-N¡e’h´Ô–JD«`2ª}ã‡EݲÁâÅ1¾4}ÝýüH`èÂØ31|]Ç-G^ †øþê¦ùÚP¢ï \Gþá]'¹y + +endstream endobj 2087 0 obj<>stream +ÿÿÿïïïøøø + +endstream endobj 2088 0 obj<>stream +H‰b` ™°‰ + +endstream endobj 2089 0 obj<>stream +H‰Œ +!C}ÿÿÓuÖfA ¢mé”"øO烤\E„>ûuÃJ&ǤC)zE5#]jôi“ºÒ[R°ðÆÃDLŽÙ;>+I6 + +endstream endobj 2090 0 obj<>stream +H‰n‘þèåìéæíÔÒØÜÙßßÜãâàææã껹¿ÊÇÍÒÐÖÚØÝâà切‹ ž¢´³·ÈÇËäáçâßæÝÚáÛØßãàçkjmƒ‚…¡¡¤¿¾ÁÕÔ×ÞÛàÕÒØÇÄÊÀ¾ÄØÕÜêçî::;QQRƒƒ„°°±ÌÌÎÎÍжµ·•”—„ƒ†º¸¾æâêåâéçäëèæìWWW‰‰Š¸¸¹ÎÍÏÄÃÆ¥¤¦~}€ihjœ ÍÊÐÖÔÙèåëæäêäáèJJJqqr¢¢£ÐÐÑÔÓÕ°¯±SSU667_^`‘’³³µÕÕÖåäçßÝâÙÖÜÕÒÙÞÛâZZ\ˆˆˆµµ¶ÊÊËœœllmEEF778^]^‘¹¹ºÏÎв±´”“—€ƒ‡†‰°®²ÜÙÞæäéãáæàÞäåãédde““”¾¾¿ÂÃÃ’’“``a@@A>>@fff˜˜™ÁÁÂÏÏÐÁÀ——™mlnRRT]\^–•—ÔÑÖäâæßÞâÙ×ÜàÝã qÁù± + +endstream endobj 2091 0 obj<>stream +H‰b`"€‘‘›03 +qvN.n&4]<¼|ü\‚BÂ"¨â¢bâ’RÒ2²rò(â +ŠJÊ*ªjêšZÚ:º ::Pq=}C#cS3s nK+mkkˆ+llíì•œœ]\ÝÜ=<½¼½},Aâ¾~þîAÁ!¡aáö‘QÑ1±qñ ‰IÉ)©îié™YÙ9¹yù…EÅ%¥eå•QmH + +endstream endobj 2092 0 obj<>stream +H‰„ŽI0‘ÿ?º¦­).I=¹0Ì ¶‹ÑìŠðC+\BFM¾Ãy¥.Q¬‹PÌq•H©!,Ÿf0ÿÆ=6¸é‘#8Æ´Y 'Çz + +endstream endobj 2093 0 obj<>stream +èåìéæíåãéåâéæãêçäë + +endstream endobj 2094 0 obj<>stream +H‰b`ôŒŒŒXÅ™˜YX±‰[ + +endstream endobj 2095 0 obj<>stream +H‰b`ddd`„8— `@aáRÈ€Å(<†bSK@9ºTR­$0 [AÀ:”à`€;B Òo + +endstream endobj 2096 0 obj<>stream +H‰sŒýwwx««¬ÐÐÑÍÍΩ©ªrrsAAB==>[[\ŒŒŒ¿¿¿ÔÔÕ¼¼½‘]]^667CCDwvw¼»½ÛÚÜÕÔ×ÄÃƺ¸½€³³´ÕÕÖÈÈÉ¡¡¢kkl99:bbc”””ÆÆÇÖÖ×··¸ˆˆ‰WWX223GGHyyzµ´¶×ÖØÐÐÒº¹»§¦©““”ÃÃÄÚÚÛºº»ŒŒZZ[FFGwwy¨¨ªÑÑÒÓÓÔ¥¥¦JJK556XXYŠŠ‹¸¸¹¿ÀÀ££¤zz|ÏÏЪª«vvwLLM889ŒŒŽ½½¾ÒÒÕÃÃÅ’’“^^_@@ABBCllm¢¢£ÎÎϯ¯°‘‘’VVWÒÒÓ¡¡¡FFF>>?aab——˜ºº¼TTUKKLÉÉʇ‡ˆ¼¼¾Ž::;MMNØØÚÍÍϦ¦§sstŽŽ¾¾¿ßßàÀÀÁ@@@ÖÖÖ´´µƒƒ„OOP888UUV‚‚ƒÝÝÞÊÊËœœžiik;;>stream + +  !"#$%&'()*+,-./012%3456789:;<=6>?@ABCDE$FGHIJKLMNOPQRSTPUNVWXY TZ#[\ ]8^\]_`$abcdefKghij k6lmnopqrmstuvWwxyz{=|}~T?3a€‚h<ƒ„…†6eqks‡JˆM3BP ‰ŠL2g‹‹-ˆŒ/Ž$M‘ d’“”•Y–—=˜$w™T†3š•›œ KD'žŸ 9¡¢O“”£¤¥¦§"|¨E©ª‘—A«¬&w­®Ebr¯°cŸ±W•y!>stream +H‰ŒŽI ÿÚCR„F¹°–é‚%A«Ï¾½B^2J눂L¸ã?7¡#î‰S"jÆx “°ö7Ïζ'x2 + +endstream endobj 2099 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?×éÝg3 êó³òHuŽ2ÐB×éßXq³0>Ú溪Ç%ð?Šæ>¶»ÓÅÃéUòè4ÿXØz7N¯ùË¢[ß]µ=¾6UT¶ê^Ç å%õl;šq%¥ "EN'ÖoüQbü“ýoÿ”p>!y"Iª}ï úK^’rŸÿÙ + +endstream endobj 2100 0 obj<>stream +H‰ Ð ;šàŸ„s—’ã“\‘a4DZ‡”ÈUÚãˆJSŸÖ±B§ŒHFÅ*•ôu°$ÇìÌûÞ‰ .ßPVVÞÛK^_ß8?¿Èåž“É”ÁðƒÁ`Ô×ãq¸ê¡!ªJ¥…®²ÑhL­Þ®ªÂ@ýÈ}oÏ †ÆÆÆkkë**P +ekKuyù;›}ŒFojjjëê€ñq†^oˆÇ¡L&{u”É(º±±‰Åb;±Ømggƒåp8‹%‘H¦Ów''n±XÜÚJ$Ûçæær¹§ƒƒŸ³³sÍÍ-%%¥ÝÝŸ$’¯~ÿe&ó@"u,.ŠNO=771“ÉÄfs°Øª¢¢b2¹ocCîóùßÞþiµºòò +m5··ñÇÇ\e%fuuÍëõ===ƒAµ¤Óéh4º´´ŒJ¥¡——×ÍM%…2X]]Ãd²Æ8‰B¡ÂáˆÛí‹—x<žÕjÇ÷÷ŽŽ\óó --­ ‹ÇÇ'©TGàp8.wrß)L»\Çf³er’·²²zqámkk?;ûAìëë—Ë¡PH¡Ø ŒŽŽ½¾þU*¿]_‡% ‰DB" !(A ¦§gœN§Fó=™LÛív¡PØÔÔ ƒÁSS‹ÅšJ¥2™ —Ë{‰ÄV«@häñø ¸M§vt|\^^q»O#‘‹Å`0ýýv»C&“£Ñ•d2ÙëõCä÷û¤RiWW·V«½»»_[“ +…³ƒÁf³ ÄÌŒÐáp@PœÏŸR*•Ï™Ñhâp&$çr¹z½žÉdÂ`°¥%‰Ëå +‡Ã Ɨ°žžÞââdz»»G$¶åç¸Ýn³ÙÌçóY,ÖÎÎî»áaàE"‘N§c³ÙyyùTê°J¥òù|†Lþü_€&¾vÇ + +endstream endobj 2101 0 obj<>stream + +    !"#$%&'()*+,- ./01234567819:;<=>?@ABC8DEFGHIJKL+M!NOPQRSTUVWXYZ[\]^_`aGbcdeMfRgh,Naijklmno4pqRrstuDlvw;(6x1#yz={|V#[}>~€ '-‚ƒ8K„…<†M+‡-…ˆ‰rxŠ‹Œ )R9:}HŽrm ‘Q’“”•–—˜™š›yœ(<ž2rfŸ ¡f¢£Œ¤¥¦š§¨©ªˆ124:«+?¬­[®‚¯°±²³a£´°µ«¶²qv·(H !¸f¹º»¼o½¾›¿ ÀÁÂÃUÄÅ ÆÇÈÉÊ-ˤÌ͛ζÏ)¸?7ªÐÑAž70C{ÒÓÆÁ?šÔ˜=”/?ÕÖ׌¬ØƒÙÚ Ó-ÛÜfƒÝÞßà“UáâãÓäåÔæá HÚ“+æçèG + +endstream endobj 2102 0 obj<>stream +H‰ Ïû3"ÀñÿɹŽp¤ByDE"ƹÁ +Î#17äJ¦2BmµÕVôÒÓJEÏÝv7÷þŽ3óýñóËÈ`0´··ÏåVUU··wÌÏ/€ãD.‡ E,^jkk¯ª" ójõ¹År AN‹åÆl¾6™l^OÐwÆñ¼ÛíÝÚúÛÛÛWQQÙÕÕ³²² +AP±XBQL§Ó …sMMÍ$RD² f«õíf³Ãfs!¢ÓBá<Þ622ztt|w—J/Ñhlx˜__ß@£5Ëdr»Ý A.tÄbñãc9Ÿ?J¥6ÑhMÁœ^oÈf Ã].÷úúƒÑÙÐ@æpär•Ãáq¹üN§ïææÖáðîìHÙìþÆFJGcyyÅf³Äs&ƒ˜Í–ÅEñÔÔ´Z}q}íõzCnwÐéôƒ ™Ï‘É#‘ÈûûG4W*Uãã?™L–B¡ +‡c¡P4ˆø|ðímX«½˜žž!“'&&U*õããÓÛÛG(Þß?ær‡ÎÏu÷÷OÁàƒTºËã UW“èôÖ¥¥e«B”Áèšœü«Õérùîîb‰òô„Ä㙇‡d$’¨«ûÎfs‰¤Z­!“)}}¬µµÍdE|6K¤Óx2™›™™%ˆ< ßóx¼ÚÚºÑѱÝ]Y,–BÑç\®€¢ùü%R)lvVpu¥³Û‰¤³³“D"‰Dâ³³KÔëÁ|þÇKVb2{ËË¿ŠÅžŸ ƒQ$µ¶ÒkjjWWׯ®L h;=ÕÀpœ >ý †}V,+ûÂáôû|þB¡(—+¨TjKK«Tz`0XFH£ÑR(ÔÊÊo +…"noKY,6•J;886™ x<Ë…B¡Á`Èd²ÉdÊh~77Ó‚¥R“Íâ™ ÞÝݳ±±éñx`8rrr:6ö£»›yx¨ÔjT*—Ncýý;;»¯¯ÿüþ€Tº78Èãr‡5šËËK# ?&èdÅ' + +endstream endobj 2103 0 obj<>stream + +  !"#$%&'''()*+,-. /0123045678''98':;<=>?@ABCDEFGHI*''JKLMN8OPQRSTUVWX#6YZ''[\\]^M_X#G`abcdefgh'''KijklmnoGpqrstuvwxy)'\zzi{k|}~€‚ ƒp„…†‡ˆ‰Š‹ŒXRŽ|u‘f’“”)*ˆ•–—˜™Šš#p4<;›œ0žŸ )J¡¢£¤¥¦§}¨© ª«¬­®¯)°±¡²³´´£¤µ¶·W¸ ¹º»¼½¾¿¯ÀÁÂÃÄ´ÅÅ#ÆÇ|ÈÉ;Ê/ËÌÍÎÏyÐÁ´Å´´³³ÑÒ/ÓœCÔÕÖ×ÖØÏ…ÎÙ8Ú£¤ÛÛÅÅŽÑÜÝÞßàáÑâãä&…Ï\§åæ—––¢£çèé2êëìÒíîï¾…8j•ðññæ——–òóôõö÷$øù¾ú…Lûü•ððñææ— + +endstream endobj 2104 0 obj<>stream +èåìçäëäáè + +endstream endobj 2105 0 obj<>stream +H‰b`ôŒ8Ä™‹8@€N + +endstream endobj 2106 0 obj<>stream +H‰Áy7"ðïdÿ0MÓLe(W´”})…í ²›uôZÇaʱ!­$-VB5éâÅÙßïò2œN¿ÜÝý[ZZîììB„Íæôô|ÁñµD"™ÉdÏΓ“¶úú†²²òšL§Óïííg³¹d2µ½½300ˆ ‰|{{—Éd"‘ëùù±ø3• +VVrûúú·¶¶ŽŽÇÇ¿bX-™L +ëM&óññï|¾ðôô¼¶æV*Ut:B¡”½¼d|¾ƒ±±q>rCCãÄÄäééY±ø¾ºŠ+J r¹~F£s¹ÂÕUÄnÿÖÜ,‚ ˜Éd¿¾¾=>>-/¯Èå=++«¡ÐåÔÔtccÇ×ëG "ýüœÐju,›ÉdÍÍÍ·µµ3L‹ã÷ŸÐé°Åb Îc±‡õõ •JÍáTœœœòx<¢›L–BáuccS­î]\t:³D‹ÅvûL8)?nnn»»åJ¥ÇÝñø£V;ÜÕ%‡aøí­ØÔ$BÑêÃãTŠØÙù¥Ñ ØlS\n•Ñ8Ú߯árÑÖVñììëëè¢D"­ªBGF »»û|~M] üsÏ`°ÎσÓÓv«uÂãÙr¹\2YçÃC>stream + +  +!"#$%&'()*+,-../0123456 789:;<=>?@ABCD@EFGHIJKLMNOPQ3BRSTUCV"JW XY(Z[\9]SM^"_` aYbZcd9`e6fS#\)OPghijkl:m-Wnop6Y4I3q;:rs87ZtY4uv0wxyzvWE{^X3|}wx~@€;‚ƒ„W…†D‡ˆ‰4ŠM‹FmŒPmp.ŽI#‘’W’:“”5•–—˜BM™š›h5œP8* ž˜ŸJ›  VB)7¡P¢£¤¥¦§¨—˜©)78Tª«¬‚(­®¯°±^p²³§´µ' +ƒ¶WE·.¸¹º@#ŸGx„9›S»N5&¼½…¾¿xÀ `ÁÂf)è$QÄÅ;Æ\ÇȯH»»fS$Tc©ÉvÊp»ËÉ-Ì‚ÍÎÏ ÐšÑ˜ÒÓ + +endstream endobj 2108 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?Êèä;"Ú_¨`’ ­¤ÿW1™‡Ñ²:–CA}€ÁóBú½E™Ôäæd¥²[h«Ï&âãs_ +œ¤gˆînƒ†2sô*÷8~EÖlo‚復ulKMõ5a;¬#Ûo~×ÁÿHö¿U_¥v»ý/¸ûuúÞ.?®Õö?ÿÙ + +endstream endobj 2109 0 obj<>stream +ååêèåìçåëçäëàÞäãàçÉÇÌÔÑ×”“•¢ ¥ÄÂÇÐÎÓØÖÜàÝãæãêoop~}€¨¦«»º¾ËÊÎÚ×Üäáç + +endstream endobj 2110 0 obj<>stream +H‰b`ĘpI {ÀŒK‚…‡;=œ\Ü<¼|˜ü‚BÂ"¢˜Y!d + +endstream endobj 2111 0 obj<>stream +H‰ Ðù;šà¿ÊÃ*I}5Ge$̤Db]2I§Ä´‡²¤i$]â‘ÊU‘OjÝ:D—¿d~~{‡†H««jŸ/P*½F"Q½~‹F£·¶"››át:Ý`øsÎç WW×ËË+}}ýh4šÃáZ­¶Xì_"‘4›÷e29•:º±ñûæ&ôòRZ_× “ˆV$˜šš6›ÍOOÑL&{zz&•Êðø.¬‹Å +…óÇÇ®t:Æææ„Á¬Ãqàv{D"qg'¶¥A$ö)‹—¹\#»»&&s +GÀá-T*U£ÑÜÞÞ‹/‹‹ÊñqºZý+¼y{+ƒà£Ñhœœü@ æö¶±Z­ùýþ••Ÿƒƒß1˜Ï³³?‰D6›s:X¬iøø …Bvd„ÚØØè™A*•©Tª^¯W,–ôô°X¼L¦H&S{{f§ó0•J{<‰DB ôöö//}ÏÏ9“ÉÌ`°tºÍlöymm½«ë‹×{Z(‡¿» çr½Þ0::vvv¾´¤jkkßÚ2X,Ö|¾X,–”JUSÓ'‰DzrâN§Ó<_©\‚Ba_ÏÏ/jµ÷l6¿¿oáry jµZ +eäððh~^D¡P«Õz,Ÿ˜˜D¡“i/¸joï¨×ßãñápxV«C.WØí>¦\®„Ã$Ò08N(¹\' b$ †^_ß"‘§]&“56Fs»?>I"‘Ôju(t[©ÔâñäõuÐáp¢P(¦Óéjµ:6žÉdÊåjÿ#‘Èb±tsSo·ÛUªeŸÏÏfs::°€a³¹R©<`0:}ÂhüÛÝÝc±Øìö>_@&S@Ëãñl6 +Õh6\.÷ƒ>{d + +endstream endobj 2112 0 obj<>stream + +   !"#$%&'()*+ +,-."/0123456789:;<=>? @ABCDEFGHIJ+KLMNOPQ.RSTUVWKXYZ[\]^_`abOcd`*ef1ghijk&lmnopPZq7rCst&unvgwx2YyzE{|PI8en}~[E?E€|1‚ƒ}„k…b†‡ˆ‰… v}Šl‹Œ~Ž‘‹|q>D’“”2D6•–t—Y˜™ +šP›œ!v3žŸ €A¡¡¢£~l›l¤v ¥¦›P§¨s©ª«¬­nn/®1¯©B°±²³´;1µS¶·'¸¹º»¼!8´&¯8½Ž¾B©…3]¿]¡ÀÁÂ7à qÄ‹PQ.ÅÆ}4ÇÈÉʨËÄH]ÌÅ_}4Ç&kÍÎ "ÏÐÑÒY¶Ó33MÔ6ežÕÖ×?©2Ø„ÙÚÛxÜ’vÝ|¯Þßàv?#ŠkáŒâã + +endstream endobj 2113 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?·Ò,·¥}X~[úGƒ²{wW~®u¬ÆtK33sâàHÖeŸõ´úX˜}*¯¤í¡Í)}c# ãtöie >ä”ô½#ë?Qij* [¢\#ø¤¹¬ÿòWÕJ©[tî’6¥¾´ÿâ—úÃò%õÏúnöW‘¤‚Ÿ\úçý ä’ò4’SÿÙ + +endstream endobj 2114 0 obj<>stream +H‰‘kW™€G:–[^"rÉ%r 㸕(LL¹æšD‹—J¹ÔL+2NK©œcíã¬ßµö|z>?‡Ã±Ùl¹\þá¡×n·ww?iµ:*u†@ j4ZÛíÎ`ð«ÑhÁùyÁô4E(mnúæpøg0d³Y—Ë=7Ç[__/OºÝn³ÙŒF· +%™<½´¤?88¸½ýùüܯTªn·›Åb“Éä…i8iµÚ¯¯¯OOÏÉdÊf³K$¿ß_.—¯¯oJ¥/N.wŽHœ²Z×NNN;ÎáááÊÊÊÔH{{É»»îpø×çÛÔéU*Õövìââ¢Z½L¥@ƒÁH"‘p8|¿ß¯Õj‘HD¡P`±“É|t”»¿xyù}vöU,–ètºD"Q©TÊå3µZƒÇã¥R©ßèõzG,c0˜™ÚÚšíññ)Ÿ/¬®®‚`Úáp$òàµZm<žh6¤Ói£ÑÈd2ùüùËËZ½~E"‘-K&“A D"Q¯_®Õ¾ÅãqFC¡P‚D² +…¯®¾ãñ€Ýn?=ý¼µµE¥RQ(F7›-ççç…BÑãñ +B,×jµ,–N§3•J¹\. Ëápíöù|Þl63Ì››V½^W©Ô8nï­Q2ÉçóŽ·5ÅR©‹Åôz=“9 +…h4Úøø¸ÛíÉår2™ ‰Dòx¼lö¨Z­Z­ÖÙY‚Á ×ëU«ÕcccrùûÉÉI4- + ƒÁˆF£##ýý}är¹L&G¡Ð&“‰Ç㎎NLL(•Êt:#‰"‘ðââ ¥ÓéËËË@ÆÀáˆB¡°³‡Bal6›ËåBß  ‡Ã>Ÿ°X,LÉdR8þO€Üë ! + +endstream endobj 2115 0 obj<>stream + +  !"#$%&'()*+,-./0123456&789:;<=>?@2ABCDEFGHIJ%EKLMNOPQRSTPUVWXYDZ[J\]X^1_`abc8RdeBfgFhijklmFnopq[rAs?tDuvw$ +vxyz>${|}~€‚"T&ƒ„o…†}‡ˆ‰Š!‹ŒŽZ|:‘a’“!”`[•–—˜1>™šj‰; +›œž%Ÿ „¡ar¢£¤¥¦‡x§vwn‹0(0W¨¦©ªƒ€«£¬%­®¯°±°²³´‡µ|¶·I¸¹¶!„iº»¼%½1>¾´¿MÀ²aÁI·0Â¥¦©»ÃĘAÅÆ´¯††ÇÈÆÉ~l0 ¨·uÊ<ËeÌ4Íji¥ÎÏšjÐÑÒÓÀh/ÔÕ‡lÀÖ×;&ØI\ÀÓ·x®Ùf¨ÓfÚÛÜq¦À!0C~ª©|Ó}~jÊÚ™ÝÞ¦qßÛ྆á”âyãqC + +endstream endobj 2116 0 obj<>stream +H‰Ò 7Àñ#éZ…j:•ErT–L:G!•’#*Ešr¦t¡¥´xOBöÔžÙ×™ý¿Áï½]]}}}—˳Z—Óét¹\ŽÅâssólv7$‘È*Õx(tðôT,•Ê¡PhlLÙÒò‹År8=vûÊÍÍm¹üûþ¾‡‡#›Í–J¥HäD§›îè`67Öh´‘HäññiooO"‘45Qp8<Çw: +//%0‚Ãá +…×ë½½½ÍçóÛÛ;##b2¹©¡ÝÙÙe6›3™L±XL¥®¨Ôf¹\áóí?<>stream + +  !" #$%&'()*+,-./0123456789:;<=>?@"0ABC'DE>FGH IJKLMNOPQRSTUVWXYZ *[\ +]^_`abEcdefgh%'ijkU Blmmno#=pqrstuevwixyz{S|Q5}~=€‚ƒ\„…U†P(‡`g$.}ˆ‰!LŠ‹ŒŽH‘’“”•o–—e˜™ˆlš›ŠœžŸ Usnm¡¢©ª«_¬[­®,]W‚¯–°i±o‹8²³4´µh¶Ž·¡^K¯¸)• +¹º»$¼½¾Jm±¿¬7À}Á ˜BÂÃÄ®PŽÅÆÇZ È]É¿ÊpËmÌ5ÀeÍ[ÎJÏÐÑR"Ò~ÓÔÕÖ×ÕŽØÙXYÚ ±ÛÜÝd7½"ŒËØÞßàáâãäåæçZ[VèéêºëÖ"$¶ìííÎ7­îïØðñÊ‘òóêôõö÷&í¦ + +endstream endobj 2118 0 obj<>stream +H‰£\ý˜—™~bbcHGH000(((AAAcccxxxkkkRRR555 ```tttwww]]]>>>&&&$$$VVV¦¤§†…†kklSRT98:+++DDDeeeyyyjjjOOO333"""CCCbbbsssrrrXXX999###YYYÉÇ̵²¶¦¤¨›™wvyUTVhgiyxyfffJJJ...'''GGGPPP111III\\\çäêæãêåâéçäëÁ¾Ä‘š˜œ–•˜~~lllQQQ***MMMéæíêçîëèïíêñÑÎÕ«©®³±¶“’”„„…poqSRSJKJHHH,,,aaaèåìáÞåãàç×ÔÚÄÂÇ¿¼Á¹·¼œšŸ<;=qqqvvvFFFTTTdddêæîàÝäÒÐÖÏÌÒÌÊе³¹badONO‹‹Œ‡‡ˆjjk!!!UUUÓÐ×ÕÒÙäáèãàæÝÚáËÈÎÆÃÉÍËÑÖÔÙ¹·»††‡fefMMN>>?;;;hhh©§®¨¥¬­«²ÆÄËÒÏÖÛØßÖÔÚ¶´¸£¢¥—•™‰ˆ‹hhisrtƒ‚ƒŽ‹’Š‘“‘˜³±¸ÃÁÈÁ¿ÆÀ¾ÅàÞäÓÐÖËÉÎÇÄʇ…ˆ‡†‰••—wu|vt{|z•“š ž¥Ÿ¤œ£°¯¶ÌÉÐÍÊÑØÕÜèäëäá纷½´²¶½»Àyw~xv}{y€‡…Œ‹’ŒŠ‘‹Š‘›™ ±®µ²¯¶ÈÅÌÑÎÔÎÌцŒ“ÐÍÔÎÌÓÞÛâêçí~|ƒ†ƒŠ…ƒŠª§®­ª±«©°±¯¶ÜÙàâßæyx~zw~„‚‰ÅÂÉÃÀÇ¿ÆßÝäáÝäzx‰†¡ §¿½ÄÂÀÇÄÂÉŽ•¯­´ tÒrI + +endstream endobj 2119 0 obj<>stream + +  !"#$%&'()*+,-./01234567894':;<=>?@ABCDEFGHI JK5&5KLKMNOPQRSTSUVWX8Y &Z[\:]BB^^A_`abcdef:gh%i8[6jk```BNlOmnopqrsWtuvMw;xyyz`N^^B{`|}~€‚ƒ„…†‡ˆ‰Š‹ŒyRŽ^NNNOONP‘’“”•–—˜™š›œRBON^^^^NžŸ ¡d¢£¤¥¦§¨©ª«¬­®¯°N^^^NOPQ±²³´µ¶·¸¹º»¼½¾¿^POONNNNOAÀÁŸµµµ¶¶¶¥Â׊­ÄÅRÆ{``ANNÇNµµµµ¶¶¶ÈÉʨËÌÍÎRÆÏÏÐNNNNµµµÑµÒµµµµ·§§·Ó½ÔÕÖÅ×ØØ_µµµµµµµµµµÙÙÙµ§Ú׺ÛÜݜ޵µµµµµµµµµµµµµµ···Ùß‹¬àš + +endstream endobj 2120 0 obj<>stream +H‰¾Aý~~„„…qqqRRR000555WWWyyy———ŒŒŒgghDDE,,-,,,NNNwww•••œœœ………\\]445001>>?€€€ƒƒƒkkkMMM---666ZZZ{{{“““†††bbb**+QQQzzz~~~VVW../BBB|||___AAA'''<<<```}}}‰‰‰xxxTTT222''(777YYY˜˜˜‹‹‹mmnGGI$$%333JJJttt$$$%%%dddIII===„„„——˜€€^^_<<= !778PPQpppPPP###CCCfffeeeDDD??@aaa““”zz{XXY889!!";;>>\\\lllêçîÞÛáÊÈÍÀ¾ÄÃÀƼº¿Œƒ‚„ŒŽyxz0/0DEEéæíâßæ×ÕÚÑÏÕÓÐÖÎÌÒ³±µ­«®²±´¨§«utw==>jjkrrqæãêèåìæäêæãé³±¶pnqjilyx{Š‰‹‹Š‹rrràÝäÚ×ÞÇÅËÆÃÉËÈÎÉÇ̯®±™˜™†…ˆtruHGI$%%ÕÒÙáÞåãáèçäëåâéåáèßÝãÁ¿Ã«ª­žœ¡’“a`b556NNO Í“,÷ + +endstream endobj 2121 0 obj<>stream + +  !"#$%& '()*+,-./0123456789:;<=>?1@47AB*C1D.BE,FGHIJKLM NO5P.QR'+STPAUVWXYZ[\]^_D`abcde8fgP Rh:ijklm@Snoap?'qrgBs_tFuvw6xIyz-{>O|+Rb}+^~Pw€C|-‚4q30ƒoON„…pr†‡ˆ-‰Š7‹qŒEŽ5R?wg‘’“”•–—˜e™PP7š^›-œcžŸ ¡¢£¤Sq¥aP¦^§¨A‰©ª«¬­®¯°±²³´Mµ¶V @¦{f0|·¸¹º»¼½¾¿ÀÁÂJOÃ1ÄrŽŽ/#NÅÆÆÆÆÆÇÈÅÆÉÊËÌÍΈ©ƒ`BƒRÏЫ···ÆÆÆÆ·ÑÒÓÔÕÖ×ØÙÚÛƒ_@ÜÝÐÐÞÆÆÆÆÆßàáÅâãäåæçèé@' + +endstream endobj 2122 0 obj<>stream +H‰ Ò 7ZàĽQÓ•W¥×¤„ŠÈ,µ2#µJÙI¤MÙ‰òè–Ê<ÊãÆ)L.û'ó¾s>»}F$ê(/(jOÏ'¯w9“Éærw[[ÛFã0›ÝPV†çñšL¦‘H>ÿ|{›^Zòôö~&‰]]&&¬ÍÍ-¥¥et:}`@‚‡‡Çl6·¾Ôéét†@ Ÿ88€žŸ_R©—kA*•ÕÔÔ’H•r¹ÜÆ5m] ƒÁðx<³Ùî>=å//¯æç]ÝÝÒêê"Édn·;‘H>>þ=:úe6UVV±X,½^‹ÅÒé´D"‹;çÝÝ=Åy$F·±ñ3›ÍÞÜüQ©Ômm¢ÉÉ)¡°U&û¸¸¸´¹¹¥× Tp8\£Ñ´»»Ãp2y¡PôH$ÝssóétæååO`±Þ ê¥R)//åw9Žd2i±|ãó%%¥Tj]0Îåîaøaoo?;´Ù¾‹DíX,…Bq}Êç_ †!‡Ãd²"‘]4c·ÛOÂá°Á` P(Z­. ÉåŠÚZ2fffÎÏñøYq1Âãñœý¾¸¸t»S©™Lñz½™Lf{{‰D©ÕjggÂ[@822Z_ÏF£Ñ4]¥Rùýàý=ŒÃá"‘h<g³Ù}}}UUÕbtÔ Ãûû.—‹ÏçCÐáÉÉéÊʪZý¥¨¨xuuÍétb±¸ÖÖ6›ÍAÐÔ”­³ó}AA—Ë6y<Þ……cc«ÕšH$B¡™LV*• 蟞¶÷÷+™L¦V«]^^^[óÑh4®„Ëm4Ñhthè«X,njz=f"(ŠJ¥†Ã--üööŽ««kŸÏ' +-K ðûýH$2X÷ùÀÂÂ7NçÜ¢6oq + +endstream endobj 2123 0 obj<>stream + +  !"#$%&'()*+,-./0123456789:;<=> ?@ABCD)EF,GH>IJ KLMNO(JPQ%$R88ST;UVWXYZ[\]^J?_`abcdeU,&fghijkb#lmnopqrX I stuvwxy:z{|}n~ q€ E‚ƒ„ +/…†‡%%ˆ‰ŠP‹ŒaŽJ‘’*(nYlH“tQmg”•–4—˜‰™š‚›EXœu,“—žŸ ¡¢£¤Œ¥¦ §¨ƒ ©/7ˆ’z]ª«¬I­§®¯°g±"²³´µ¶o·%¸_X¹º»¼L½?6`Hu¾ ¿À­Uz2ÁYcJyÂŒ;Éó§Än¬mŧÆdž¡ÈÉÊ4³ËÌÍÎÏHеÑ/h_&t¼°'œÒÓÔÕaÕÖ×ØÙšL›_ÚÛÜÌ·Ý>ÞŽnß»Bàá^Yâãäå"P/æ@-çèe +é _ê=;’¾ + +endstream endobj 2124 0 obj<>stream +H‰|Ž10Ââÿ?݉«"­“bP T¨Egli¨„kAJÂÖH—226öñ¼ËÅG·›£ eEþòY©G€¸d + +endstream endobj 2125 0 obj<>stream +H‰÷þèåìÞäåܸ(ÎgÊVËZËYéåìçåëÖãá”Û³'ÍgËVêåíãäé¤Ý¾\ÕŒÍaËWêåî×ãàaÕÌYËYéåí·ßËMÓËTËX¾àÏiÖ•.Îk Ýº=ÑvÍ_æåëÕãßÛ¯-ÏkÌZàäçŸÜºVÔ‡Í^íåïxØŸ.ÏlÌ\Üää²ßÇFÒ| ÊTçåìæåê¶àÊdÕ’+ÎjËXÚäãáåçàåçÓãÝÁáÑÀàÑ“Û²IÒ"Íd°ßÆÌâÙÊâØ‘Û°KÓ€EÒ{8Ðr!ÍcnÕ—|Ø¢{Ø¡PÔ„Ì[ÌYCÏyAÑyBÑy/ÏlÌ\Ì[ÌZ ÷§B + +endstream endobj 2126 0 obj<>stream +H‰b`@F&fVV60@ˆ²sprq󰱡‹óòñ  +±±±‚!B\XDTLœ C½£¤”´ X dÜtaY9y˜(BœƒQAQ b ²8»²Šªš:\œf©†¦–¶T-+B½£®ž¾ ºzv C#c¸Ù ‚ƒÁÄDÙTÙÌÜÂ’ XYÛXÛÚÙ;8:!üRïìâêêæîáé¥s9xûøúú)éû ™”f + õ gC¦"F + +endstream endobj 2127 0 obj<>stream +H‰|Y Gïèêµ¹d|X +„!zaQ: +‚D`çôS!èùgŒ­¸Jã>æÓG ;ì±~²®Å?Dî Æˆ…&À,@~ + +endstream endobj 2128 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?µÕ*fKiqÖÏ¢;¡¹ŽoÒO£tõ­a¿JºLéä§Öî~WÖ +pqO±† + ràëNNƒÆDœSá¿Ñ;1I¬zX}B¬:Ž÷<{‡IGìNêš?è¾g‡„zôcõOþ_Êø¹ÿ6|Gå^N’¶ô¬õoüWWý¤—“$’ŸÿÙ + +endstream endobj 2129 0 obj<>stream +H‰ŽYv‚0ïŸU •5$€ÈV—B­Æ TPëj¿çÍ›®¿›fÿS«M£ŽûK™¯Êl™g‹ûíåºÂ±9£AçM½ÛÔªùÚuç¡¿<r ÅL@’(“"Mg%T×ñt + CøFÔÐqU¬¿ÿ­­ÚžºS9¹–e›&³,LøQ$ç"LOªr½ßµÕ¶íQu÷ë{@ÚvHi@ˆ§ip21Çcc4ÒÊbUäËEUTÛûöÔ_Û‡sÎg¾{žtÁ'ÄÇØ…ð£9Ú»iRVÅ*Ï>·WRfa˜rž0@tiSëc |OF"áŒñŸoØá‹ + +endstream endobj 2130 0 obj<>stream +H‰b`Ú€‘‰™›8+;#º8'7/?£ƒ  ²¸°—¨¨˜8 š¸„¤”´Œ¬œ¼¿¢’€€² +TVUMB]CBNSK[GWOßÀÐHÐl™‰©™9PNÚÂÒÊšÛFÞÖÎÞAÙщÁÙÅÕÍÝÃÓËÛGÚÂÇ×OÖ? Ð6ˆ‘#8$4,ÜÕÄ4Â\HM"2*:Æ"6.>ÁNY Àí) + +endstream endobj 2131 0 obj<>stream +H‰Õ*ÿËYËZËYËXÉ]Ë^Ë[,Äf-ÊiË^ÌYU¼}WɃ)ËgÊ[ÈZÆXÈXt»zÍšGÊy,Áe$¶\¬R¸SÊYµ½¸ÅØ͌ƣaª}CŠ_&lB’GÈYÌ\Ë\Ë[ËZËÉËÖÙØ£¸¬r~MgX3N>8sPO­sfÆŠpÌ“`ʉ,ÆgÂX¿VÁXÆYÑÏÑ×Øק¯«u€zNXS;D?qžƒ–ç¤Ì´ŒÈ¤<Án¸W´S·WÁZËZË[ ˜eÐ + +endstream endobj 2132 0 obj<>stream +H‰b```#¬€‰„Ш"ØÕá5 ÎadDX͈Ba1‰®k‘3ve,¬lXÕ³sp23p¡xLqóðòñ bxCHXDTL\B’‰‰‰2@'JIËÈÊÉ+(*)«¨";@M]CSK[GWOßÀÐÈØĬ ÌÌ-,­¬µllíìœ]\ÝTÁ&É +8 + +endstream endobj 2133 0 obj<>stream +H‰ÍIb›0Àÿ¥MØ;˜ °°Á˜`'éÚžç0yVói™/+ŸÖ+ßöíëõùç¹ÿ7fÝe |¤×ÇúT î¹‘ãÄKÚzÙ<Òyèùm~ˆ@åt’ßÞ€eú¾7r°*ûýþzÜ_Çã[–±(ªðý]Bše®¡ÛÙa±žÓÿÑ|ì?Çþ±£i–¢²¬K’€€*PUM±í‘ñmyÞ×Ã4‰®»9h¢ È¢ +eTä £sßM|\¶õØÖ綾Ÿy^â8‘m‡ꢠJž†Û}ùLÓ:IÊ(:ANH꺱ㄖÁØÕ4[QLAPý Œ½jŸUEw>wyÞ¤iÇež ILÓ×uÏ'IÛŽu=”%-Š>ÏÛ(*N'Ø÷¼ë.M3€»R:7ÍPU,I*Ï‹²³¬ ÃÜ÷SUµúþ2 7Æ液¬®kV–}”ò¿ àXQ + +endstream endobj 2134 0 obj<>stream +H‰b`dbfaecccGœ\œÜ<쀗ƒ_@PHXDU\L\BRBJZFVŽG^A^.®¤¬¢*¡¦®¡©Å©­£Ë£§¨¨70426153—0U³°´²V°±•‹ÛÙ;)9ŠK89»˜»º¹ëxxzyûÈ+úúùØÙ)9‹‡UÈêh넆…GDFEÇÄÆÅ'$&…$«¨¦¤¦ñ¥gdfeçäæùæÇ:&›(«8™—”–•‡GT5€4Y™$WJªUU×””fe‡5ù45ÕŠ+‡ÔÕÕWU745555ƒlj± +©‚* L P¦µ©¢­½Ù?.Þ¾¥£³ª¾¾®««¨«§¦4«¼7¢«§§º +(ÕÝÝ Ô”êëÏjmšÐæ[SÓS]]5qbwìØÛT`ß÷nê + +endstream endobj 2135 0 obj<>stream +H‰b`@Œ Xaâ^ÍŒ` „Œ¸”"É0"ëÂcº4##Ì&,±‰!ŒÀ.K<€êÇ$Ñ áR" + +endstream endobj 2136 0 obj<>stream +èåìéæíâàçäáèçäë + +endstream endobj 2137 0 obj<>stream +H‰b`ôŒŒØÅ™˜Y°ŠÄ + +endstream endobj 2138 0 obj<>stream +H‰„ À CûØý﬋d óÓ 4¥B¦ÝD¥tSl°%—þœ§HQÆË[–׊PÄþSÙÊøb`&¦ÑS±:•þª˜75B + +endstream endobj 2139 0 obj<>stream +H‰)Öþèåìéåìãâì×ÙëÞÝìéæìáßìËÐëÖ×ìëçìÙÚ뭹贾éÌÐêËÏêÎÒêàßìíèìÖØ뚫眬絿é¹ÂéÚÛëëèìêçìêæìçäìÙÙë·Àé˜å–å¤æ£æ—¨æºÃéÊÏêÖ×ëàß믺è–äräpŒäsŽäuä{“äˆå¢å‹¡å®ºèÍÐëÜÜì­çi‡ãe„ãd‚ãi†ãrŒãy’ä{•ä|–äž­çáàìÄÊêDkàFlàFkàRuâc‚ãf„ãg„ãh…ãqŒä—䅛売çc€Þ9_Ø;aØ;bÙ;cÞEkáPtáQtáRuá_~âeƒãìèìÏÓë£åYwÚ:_Ò<`Ò>stream +H‰b`䀑‰™…0$XÙØ9°êàäâæáååã`edD‘å—ä”B2PZFVN^AAQI™—OEU a“º†¦–¶¶Ž®ž¾¾¡B‡±‰©™¹¹¹…¥•µµ­\ƒ½®ƒ£“““³‹«›»‡§ÜIBÞ>¾~~þAÁÁ!¡na0‰ðˆÈ¨è˜˜Ø¸x ®„Ĥ$€ç@â + +endstream endobj 2141 0 obj<>stream +H‰b`„ „3 $µ` 2Õ¨.F¢°ÔÁ€GY;’{ð«'èkBúá>Ar!í(L À!2m + +endstream endobj 2142 0 obj<>stream +H‰Jµþèåìéæìåâ쟮âZyÖ@aË;]É<^É<`Ð_Ç;\Æ<]Æ<^Ë<`Ï<`Ó=cÜAgßDjßâàìËÎê}‘Ö@`Ä<[Á<\Â<\Ã;\Å<\Å<\Ä<]É]Ã;ZÁÛÛì¹Â逘ä]y×GfÉ>]Âéåìèäì¹Á銞åx‘ãWtÒ=]Âäâì×Ø륳ç|”åväVsÑÊÏêy’ätŽã ÀÜÎÞ + +endstream endobj 2143 0 obj<>stream +H‰b`F&fV6vvNNN.n^^¨8¿€ °°ˆ¨¨¨˜¸„¤$TXJZFVNNN^AQIYEUMM "®®¡©%¯ a €ˆëèêéËÁCÄ ŒMàB`753·@„‰[ZYÛØB´!ä;{G'gãAâ.®nî qmˆbˆ&#=<½¼}`ê ´aæ«{øúùÈ Y ²6(8$4,d®BÅ#"£¢cb‘ì‹ÇÅ'$&%§h#Œ3ãRÓÒ32³äÂán1 äí²rr³äÔƒ0X¥4à + +endstream endobj 2144 0 obj<>stream +H‰|A ÃÆþÿh£Däà¡+Hv@HÑ+,C6h£ú'á‹g`õÛHšéÀ_>þñéó)lô·ýï•bO¶az·¶k°@2P + +endstream endobj 2145 0 obj<>stream +H‰§XþêæìØÙ맴膜årâg‚ÛNmÍ<\Á<[ÁêçìÔÖë–¨æ}•äp‹á`|×JiÊÍÑ냙ärãlˆÞQoÎBaÅäãìÆÌ냚ätŽãi„ÜEdÇ;[Á×Ù쵿逗äsâeÛCaÅ;ZÀ³½è„›åwãmˆß\yÕA`Ä¥³çx‘ãg‚ÚSpÍ?_Ã;ZÁ’¥æväSoÅ6T±:Z¿=\Ã<\„šåq‹ß`yÍ:Uª#@™.M¬2P±1P°6V¹:ZÀ–æk…ÙHbµ+E™9Ž%B›'DŸ'Dž<[Â]xË*E—3„2ƒ5‡6ˆ6ˆ&C0N¯1Q²7W»8X½8X¼9X½nˆÜUpÃ%A’3†4†4… <‘%Bœ$Bœ(F¢2Q³5T·4T·`zÎB\¯9Š1‚06Š,J¨+I¦+I§3Q²9Y¾VpÃ3M 6‡10€3‡!>—#@›#@š1Q±5T¸:Y¾@Z­4†2ƒ;$B›#Aš+J¨5S¶7‹7Š;’$@š!<2…2„5‰8Ž š”ÆA + +endstream endobj 2146 0 obj<>stream +H‰b`dbfaecç@0›“‹›‡—!"ˆA@PHXD”ƒE9‡˜¸„¤”´ 2ñdåä•”‘…ÙšÙUTÕÔ54•a +!†5hiKêèêés d`hhdlbjfÆ7 Ì-,­¬mlíì쀀d4XÜÑÉÙÅÕÍÝÃÃÎÄÄÄÁæ^/o_?ÿ€€À   àаp°q‘Q¾¾Ñ1±±qññ ‰IÉ)É¡fžf2©ié¾™•˜“›–¯\PX/ÎÌ,)-+¯¨È ®¬ª®©Íð…d®OhhljÚŠ$~-­Ymí¾èâu]]Ý=V=Oá + +endstream endobj 2147 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?·õ]£¥eõK4u’A>i¾©7my½ZÞNí§ò'ënýŸõg hû£NúèŸ0þËú¥]#K/wIM^„ÃsúW~¢¦X柃\’¹M#ê}•,Êah;ôþ)$¤?\¾‡Oø7ò©}nÿ“p²¼$”û?Yÿ‘p~5ÿÕµ%ã $§ÿÙ + +endstream endobj 2148 0 obj<>stream +H‰x‡ÿèåìæãìâàìéæìØÙëÃÉêêçìÍÑꪶèëçìÈÍꞯçæäì¼Åé£æàßì´¿è¡åÐÓꡰ熛åéåìÄÊ鑤怗åãá쪷èsâq‹ßêæìÜÜ쳽鎢çc~ÒNh¼Ö×뜬ç˜æ]wË>Y¬ ,T\  + +endstream endobj 2149 0 obj<>stream +H‰b` +€‘ «03 +Vq6v¬âœ\ÜXÅyxù°Šó bÁ&,*&.M\RJZSTVN^AQ SœMYEUM Àºï + +endstream endobj 2150 0 obj<>stream +H‰V©þèåììçìÓÕ댡åy“çXsÆ4O¡ëçìÑÔ눞åv‘æWrÅ1LžÜÜìºÃé˜åhƒØFa³)D–ÆË雫ç{”ä1L!<Žçäì½Äé¢åw‘âPk¿(C•9Šààì©·èx‘ãnˆÜB\¯4…3„éæìâáìÌÑꛬèp‹Þ^xÌ7Q£2ƒ3ƒÔÖ딦æ}–æeÓ1Kž8‰4„„›åtåc}Ð$?‘1‚ìèìÒÕë…œåuæd}Ñ&A’ÚÛì¸ÂéOi¼">5†4†éåìÊÏê °ç}•å\wË;V¨:Œâàì±¼è˜ãq‹ßIc·$>7ˆ6‡5‡5†åãìÙÚ먶èz“áiƒ×B]° ;Œ6‡ßÞì¿ÇꕨçoˆÜUpÃ7ˆ6ˆÖ×ë–¨ægÔ"=8‰7‰‡œåuåd~Ñ,G˜8Š Å} + +endstream endobj 2151 0 obj<>stream +H‰b`@ŒLÌ,¬l ìœ\Ü<˜â¼|ü‚B˜âÂ"¢¬bâÂ’RÒ2²rÂò +ŠJÊ*ªhÂjêšZÚ:ºzèŽÑ7042615Åp¤™¹…¥•Š +š¸µ­½ƒ.†¸£¿€³‹ª«ª°»‡§—·¯Š«+ª#ýüƒ‚CBÃÂQÄ#"£¢cbãâÑÄÕ“’SØRãÓÒâQ™ža˜©“•“““ŠbŒGn^~AvaaaN@€åM" + +endstream endobj 2152 0 obj<>stream +6‡3ƒ3ƒ2ƒ2‚1‚2„3…4…3„3„4„4„4…5†5†5…4†6ˆ5‡7‰7ˆ + +endstream endobj 2153 0 obj<>stream +H‰ŒÎÛƒ EѤ¡¡þÿ¯6P*ŠŽã~\g¸"¾$U""MZëa4½Sv5Ø–ªVñ©øÄíÙä½õ‡M~òsr#¹»;ïüj«üî™çÂáPþ‡8÷nÅ€k‹1íµRJÅ·atî³®Q¶T·íÐW€ + | + +endstream endobj 2154 0 obj<>stream +èåìéæíæâéÕÒØÎÌÑÏÍÑÏÍÒÀ¿Âº¹»çäëâßåßÜãßÝãàÞäÌÊÏêçîÞÛâÕÓÙ + +endstream endobj 2155 0 obj<>stream +H‰b`@ŒLÌ,¬lìèâ œ\Ü<¼|ì˜ ŒŒŒü‚X$ðF’u `⥠+ +endstream endobj 2156 0 obj<>stream +H‰v‰ýßßàÆÆÇ‘‘’``aDDEIIJwwx¬¬­ÒÒÓÕÕÖ³³´~~NNOBBCXXY‡‡ˆ»»¼ÙÙÚÉÉÊ  ¡mmn@@A__`ÛÛÜ¿¿ÀŠŠ‹YYZCCDOOQ´´µ××ØxxyGGHŽŽÂÂÃÝÝÞÅÅÆ™™šggh<<=MMNffgÐÐÑ­­®HHIaabšš›hhi==>FFGsst¢¢£ÏÏÐÞÞà··¸††‡::;ZZ[yyz99:DDDrrs££¤ÑÑÒààá¹¹º;;>?ÀÀÀ××ÙÍÍÏžž iikuuv••–QQQAAA]]]ÊÊË››œEEEJJKooo¤¤¤ÕÕÕØØÚ¹¹»‰‰‹UUV;;=ŒŒ««¬oopIIKfff›››ËËÌÁÁÂ’’“]]^@@@yyy­­­ÜÜܯ¯±€€99;\\]——˜‘‘“\\^<<>ªª«ÚÚÛOOP\\\ââ㟟Ÿlll©©ªÃÃÄ779ˆˆ‰¸¸¹ŸŸ nno??@jjjžÈÈÉÄÄÅŽMMMÐÐÒvvw889ÞÞß––—eefEEFrrr§§§ÎÎϺº»TTU………cccAABƒƒ„SSTØØÙÌÌÍ¥¥¦iijqqs½½¾……†WWX778„„†¶¶·^^_¨¨©¯¯°{{|QQRVVW223žžŸzz{PPQ……‡ÓÓÔ334???```””•ÅÅÇÒÒÒ»»»±±²556>>>DDCœœÌÌα±±‚NNN445 9K + +endstream endobj 2157 0 obj<>stream + +   !"#$%&'()*+, -$./0123456789:./;<=>?@A7BCDEFGHIJKLMNO;PQ+ RQST9UVWXYZ1 [\:]^_"`a&bcdefghijkjlm>nopqrstuv]wxyz{ |}~€ ‚ƒR{„8…M†?‡ ˆ‰Š‹ ŒŽ* ‘’“”•–}—Q˜™šON›œžžŸ ¡¢D£j¤•W¥¦>`FŽ§¨ £§Ž©ª«=ž&~?›‘,*4+:¦u–s#$a¬T ­3 ›ž'®"~8n*6¯°± ²³T'´"ƒ!µš ™¶ƒ·¸¹Bº–N©?»T¼`+½¾±¾¿6Àª“¬žž/“¡ÀsÁ¸`”a/<ÂÃÄÅÆÇMºš :+ªÈÉ„§`µÊË/ÌÍ*ÎÏÐÑ£§¶ + +endstream endobj 2158 0 obj<>stream +H‰ ÎéR!àר[t`É-WîEî+Âbrµî£¡P(·r•„JI;£ÚiËN™©WÛ^à›Ïáp6›ÍÇǧN§Æd29 +Ãb±z½!›Í=<<¾¿OÎÎZN§‹Íf#‘¨ÕUÞÎNd8~~~ŽÇÿJ¥²\® Ri·Õj==ýÙÚÚ„`0‡[6MÅbéõu|rR5›-t:ƒÁŠDâx<1>>>2™ Ÿ/ ˆáðN»ÝÎårF£‘J¥B —Ë}zz6=ÜÞ‰¤Vû3ŸÏÇã···N§ã÷o­¬¬ ˆVëüââ"Êår8Áãñ`¯×»¿ÿÝh4½^‹õ +…Òh4«u³V«M&“———b±ˆÁ``0ØÁÁA£ÑØÞþ: $ +…‹Å‘Häúºw7,—Ë6› ùÎd²œNg:Öh´H$2›ÍÖëõÃÃC«Õ +–—ñjµz¿ßï' FƒÅâ@ 0ŸÏƒççíçç¿——W¡Z­ †ùùy‰¤×ëR©Ô`0…BR© FK$ƒI¡PK¥’ÏçƒÃá@ F£eµZíÕU¿×»L¥Ò( +‡Ãq¹«…BÁb±°X¬ÅÅE‡ãr¹r¹üÞÞžÉd.ŠýþM­V +…D"‰B¡€Á`çõz—–– Öææ¯r¹rssC&¯(•Êd2©P(ÄbI °Ûín·ûøø¸Ûíêt:2™¼»»+‰d2™Ùlf0•J%‹q¹\•J‡•JO˜Á¾x¸T*µÙì~¿_¯×"‘èñxÐhÌÚš2ÎÐé <?==m2™˜Læúºêtz‡Ã177÷íÛL0šššÚØ؈Çãl6ç¿- +9 + +endstream endobj 2159 0 obj<>stream + +   !"#$%&'()*+,-./01234567%,89:;<=>?7@ABCDEFGHIJKLMNOPQRSTU4VWXYZ[\]^_`1abcI; +deSfghij1klmnopqrstuvwxFESy9zp{y|}~€<‚ƒ„B(4 …%†_‡ˆ„‰Š‹NŒARj$‰*Že‘’“”d•–2—˜™šz›mSL†œžŸW 2¡‚gp{¢£2¤¥9‡C¦a§¨©ª‡c˜—«¬­W®S¯?°±”²˜¯a³´µn¶·—–{¸³¹‰|ƒº”p»¼Šaˆ½ ¾¬l£¿0±0–¯ŠyÀÁg±ÂÃÄÅuR 3“ƦÇÈÉÊ1ËËÌmÁdÍ„ÎËÏÁ9ÐNÑ2YÏ3™¬ÒÓË1ʸÔÕL|Ò¸*Ö×%ØÙºÓÚ–0±±q}|—¢{p­ÙÅÛyÜc„ƒÝ|»Wˆ¬’Ë£$Þpß»àÛ}• + +endstream endobj 2160 0 obj<>stream +H‰ ÐëVà§auŒ"÷"Q+—nº‰J&IË¥HEQl!DSI¨0;E¥\j§éGj³¾GøðøV>lgÇQ(ÜW*•ÓÓSNG§3`°¦®®.µZÆ^_k>Ÿ_*!‘H F 8®ÛÛ»÷÷©TÚáp‰Ä‰ ±Çã-—+år9 +)•óííH#‡Ã1V’ÉóZí­X,:ŽñqÐÖÒ‚“H$Åb);³Z·ètºJµÿ|zú“Ëål6ûØØXsssSœÇãÿø±suu]­VS©”^o`2YTjç·jõ¥Ryôx<‹‹‹NÏÊŠñì,^*•‰äÒÒ2“ÉD£ÑafF ><ïïïe²¯Xls__ÿúº©V{¿¾ÎI$“\.×lÞ8::žŸWQ©T +E&“Ýn·X,Á`°##£[[Û77·¯¯oétF§[ +Çáp¸Á`x~þ{~~±¶¶ÞßßßÐа·ç+•J///‘ÈÑôô4›Ížœœr»=™Ì¯ÍMËðð C ƒƒƒ&“9“ÉŸh4Úîîn¥RI£Ñ²Ùß~ÿ¾J¥b0õõõh4F,g³Ù\.ïtºàp„Ѹzqqi6›Ãáp2™ÜÞ¶@ ÙYÅþþ~:îééE XlËèè¨Íf;<<ÄãñPh£ÑhŒF£&“ i P>Þ.//5Mc#T$9λ»B>Ÿ‡@ ‹% ú|>ã‹^¯O$SSÓ­­­d2E.Ÿõûý…Bahh +…¹\ÞÑA>£PèÕÕU‹Å*±X,F?88Èço–—õH$Òëõòù| ˆÃá…B¡Õj …Âl6 G"©ÝåÚý Õj@[ñä$êõîq¹ƒñx\$š@"Q»»n©TÚÙÙ977lll‚Ág·Åbv»Çã±X,µZ-“É( +ø©®®®··×år)s +…‰¤ÿ .·f[ + +endstream endobj 2161 0 obj<>stream + +  !"#$%&'()*+ ,-./0123456789:;<=)>?,@ABCDEFGHIJKLMNOPNQ(RST9UVTWXYHZ[\]^Z2_`aRbcdefgh']i!j;klmno)pqrstuvwx,yz{|}~1€‚oƒq 4„[N…†‡ˆ‰Š‹ŒŽ(=‘O’aI“”•'L–—˜™,š›œž2Ÿ[ ¡¢£¤zR¥¦§¨“©ˆª«¬­®BK¯°±²?³ª!´µ¶·–¸¹º»Y¼i½•¾&¿ÀÁÂXõFÄÅƉLJÈÉFÊDËÌÃÍÎÏÐÑµÒ +ÓÔD"Õ™QÖ›(×ØÙÚ6ÛÜ*•ÝޗǪ{ßT€à8á5âÀãžäåÁ΄æ8çèRéê'ëìÈIDÔa=í¢îꉯïð¬ +ÓéÁ¸ñ_ì&Qòó}°ÙÚ]ô{õöc÷ôÁ.øÌ£-á(ùúî + +endstream endobj 2162 0 obj<>stream +èåìçäëéæíãàçæãê + +endstream endobj 2163 0 obj<>stream +H‰b`ÔŒ LLØÄ™YX±ˆ… + +endstream endobj 2164 0 obj<>stream +H‰À?ÿèåìéæíÍÊÑÎËÒÚ×Þ´±¸ëèïêçî’–­«²ÍÉÐÏÌÓÑÎÕßÜãäáèæãꇅŒ˜–«¨¯¬©°ÔÑØàÜãÞÛâãàçyw~|z}„}{‚Šˆ¶´»ÅÄËÄÂÉÒÏÖàÝäáÞåçäëxv}zx{y€ƒˆ ¤ª§®©¦­¼ºÁÓÑØÖÔÛåâé•“š³±¸µ³ºº¸¿ØÕÜâßæ‚€‡ŒŠ‘‹’“‘˜¬ª±´²¹ÎÌÓŸž¥ž¤žœ£¾¼Ã â:Š + +endstream endobj 2165 0 obj<>stream +H‰b`€°‰311³0`‘aeeebdccG—âàààäâfâáåãããGbG²LBBBRJJZFVN^^ATQQI"®¢¢¢*)©¦®¡©¥¥­ £ «ÇÖ#j@ o`h`dl¢¤¤‡S3s3 KC++k66dq5iiISA[;{qq€FÖ + +endstream endobj 2166 0 obj<>stream +H‰êÿÈÅÌÑÎÕÐÍÔÛ×Þèåìéæíº·¾ÅÂÉÄÁÈÔÑØ™–¢Ÿ¦¡ž¥³±¸ÌÉÐÎËÒÍÊÑàÝä|z}{‚‹‰›¢Ÿ¤œ£¥£ªÏÍÔçäëåâéæãêxv}ƒˆŽ•‘––”›»¸¿ÍËÒáÞåãàçyw~~|ƒ}„‰‡ŽŽŒ“‹’¢ §×ÔÛÕÒÙÖÓÚßÜãzx’—½ºÁÓÐ×âàçzw~{y€{x€~…ŒŠ‘ª¨¯ÃÁÈ¿ÆÎÌÓÞÜãxu|wu|vt{ ž¥­«²À½Ä„‚‰˜–¨¦­€}„‹ˆyv~~{‚yx~ Å + +endstream endobj 2167 0 obj<>stream +H‰tŒiP@I)kòÒ“­e_²&;ýÿ¿£Œ%MΗ{ï937&ˆIQùK”f˜X€'’ÉTšÍÜoÉøœ/ÅRIÅ·‡e¹"Wk[5E‘ž^uÈ"„š-MÓÛl§Ûë¼Ë †#š¡ÇÇM$ü ÓélÎ놶X.WëênÍÔ,KØT ƒ?ýÞMм\üM…÷²3m÷À_o¿vû«pàêÿ ~^_ L2‹ + +endstream endobj 2168 0 obj<>stream +H‰Á‰R‚@ÐÿÿÆi›Æ 6E2EAn ãˆ{aYŽÔo轶¾vøÖ ¿À‹9vû8 ¼\¤¨†{öƪdâª/ |9KÀQs°ßã0O#(õç'ÐlU4ITLÇ‹Ïõ®.»¾¹‡~¢HÆn#˜š# še|o8¾Èmºïôjü6÷Ü_Œú4†šr¢H0!H˜ÕöÉe¨%½øE=Oª(ȼ4¾¬W_eŽýŸH<(³ %î[Ǻèªe¨vè%®ãW°!g ñ:mñõ_€^h©ÿ + +endstream endobj 2169 0 obj<>stream +H‰b`@ŒLÌ,¬lìL 耑ƒ“‹›‡Cœ_@PH˜SBDTL\‹8ƒ$”´Œ,¦8“œ¼‚"/¦^%.elN’‘S‘âÇbƒªšº†&´´utõ°Iè›`±ÚÔÌÜ‹“˜,­¬ml1$í˜í0-`”p¶0ätÁ"aiàê¦éŽ0ø + [ + +endstream endobj 2170 0 obj<>stream +èåìêçîéæíâßæãàçæãêçäë + +endstream endobj 2171 0 obj<>stream +H‰b`ôŒŒLXÅ™YXÙØØ0Å Í# + +endstream endobj 2172 0 obj<>stream +H‰Á B@àÿÿZkZ­—õЋç1¥\èpœhý…¾’¶"M©kòJôÇçã•m]~«¢Á x™r@‡$«Ÿa¬¬·ã«íÎù»òœÛl²`zÃ0@eþ‰¶€»ä¤+$(kè/Ž0´ü£¦›†ƒ)z¦WÝVä­ ¼ÃþÒí0UóÝ»$*§ƒ1Ÿñâr-‹*4ýô•ßƒ( +“"£´lÿ z›…ñ + +endstream endobj 2173 0 obj<>stream +H‰b`F&fV6v4ÀÉÅÍÃËlj!Î/ ($,€.ÊÀ!"*&.¡šARJZFVNC\AQIYEC˜AUM]ƒS˜AUSKÓ1 :º¬zØÄÕô ˜±‰+c1žÁDÙT›¸¤#6a`ø˜c§Ü[a + +endstream endobj 2174 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?+êÿ[ æº 'êNwSúÏ^-F+ª&~©³ÐÁÌê–è]»iüŠ?TY¿#3«[ôFâÒRAŠ"üÖê¯ ëLéø£tˆqç„“}[gÛºæWQ~¬¬˜'Lö¡wMo¸rÜ|~Ø¿Á±ÓÿñgúöQú±ÿ‰œ¯êŸÊ¼u$öÓìS æü]ùR^>’JÿÙ + +endstream endobj 2175 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?ŸÖ>ßõƒ +½YY<”þ·Ùêåaô¶pÝ ä©ý_xËë×æ?èÖN¿<;QúÖ빕Ÿ‚Jnýl³ìý;¦×˃dwÕ%W5ÿ´þµ²¦̪BI)©õSŒ¿š'ÕOùW+ûKÌRIO¨tüU]ñþô——¤’ŸÿÙ + +endstream endobj 2176 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?¹×]û?êÞ>z>à$w”ùDt¿ªl¬{l¿ÇÍVúÃgÛºþ.z¶¨<´SúØÿ[?¦W¨l’JM‡þJú¦ëŽ–]¤‡õµÄU…ÒéÕÄ6Z?’SV¿üYŸåSêø°¯â”$’Ÿ\ëßøªÃùþD—‘¤’ŸÿÙ + +endstream endobj 2177 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?±—PÄÉÖ9¦Â$Uî›ÑíÌ‹¶žç‰ø,îŸQëYîº&ºdOeØU…m]%¸€í±¢ åãwÓ³š>€OŠÉîŸâãåta~+ÃÃ~™?‚J÷FÇÊÇ˸ºMÑÅÚAo’w± ºú2¢ùn>.?w£‡õú~gÄþUÛ/™RRóô þjωI|Ö’*ÿÙ + +endstream endobj 2178 0 obj<>stream +H‰ ÎÿrC0à÷¡UÕØÍü¥»[‰h úî8lß|2€Ò `îû~‡ªœ¡¬š_æ0 Ó4Íó¼®ë¶mW|‹‚éŸPV¨bŒ9çŒ1JiÓ65ki^“´,2vÅ B† tAŽãv]'„ÇñY‰'œ6é/9k  Ïó’$‰ã˜W¼fMAª’ð2çØ‹¤ d˲DÛö}ÿ~¿—e! ¼È±|Ï4E'„TôU<ª>stream +H‰b``dbfÁXYÙØ989¹¸yxxPðòòñ  + + ‹ˆŠñ Iˆ‹‹KHJJI ÈÈÊÉ‹((**A%”••UTUUÔÔ545µ´utt:@@OBßÀÐȘÑÄØÔŒÃÜÂ.!.®¬¢lemckcÇ À h$ŽVNÎ6ll.&®œœÈâ ÝxyÝ=<ÅÑ—··¯Ÿ†H›²x` ¦@€Ž%È + +endstream endobj 2180 0 obj<>stream +H‰_ þËYËZËYÌ\Ía-ÊiÆXÊXÌ\Ì_\¾„¯LÆVÍZËX(ÎhAÒz‹¾¢3£^®OÀSËW=Ñwrל¢Ã³S¢t™J²LÊYÌYÊVJÒ€‘Û°ÇÏшªš&~JŸGÊ]Ì]Ë[^ÔµßÈÔØÝœµ«/{NšI ÊaËaË]Ì[cÕ¶ßÊäâê»ÅÅV…j>ždEËzDÊy1ÊmÌ`ÌZ.ÎkÙ¥ÁáÒßÞå¿ÅÈ€Žq¯‹t˘qÉ•RÊ‚.ÌkÌ]ËXJÒ~¥Ý¾ÐâÛÑÑ×ÄÄÊÏÎÓÑÑÕÍÎÒÇÈÍ•É­UË„ÌaÌ^„Ù§èåìéåíÌËÑËÊÐÚÖÝÝÙà×Óڶ¿x¹”&ÈdÌWËW%Îe`ÕŽ¯ÞÅêåîêåíÏÍÓÜÙßßÜâÜÙàÛØßÃÁÈŠ³*ÆfÌW-ÏkƒÙ¦ÄáÓ §µÊÜ + +endstream endobj 2181 0 obj<>stream +H‰b`d`d``b`QÀ!@vÀQÅȈÐgD˜!™aêá€,Έ.¬lìq¸áœ\Ü<¼p{¡’Œ|ü‚BÂ"戊‰KHJIËÈ"‰ƒôÉÉ+(*)«¨ª©£ªçÓÐÔÒÖÑÕÓ7`Bñ¡‘±‰©™¹…¥•µ ²{líìœ]\ÝÜ=<aL|^Þ>¾~þAÁ!¡|pSlÂÂ#"£¢cbcââ“`a›œ’š–ž•™•“›—_P6ˆD‹ŠK"# Vû˜ + +endstream endobj 2182 0 obj<>stream +H‰ ‰ŽC@Ðÿÿ!e¤!AK†:"Ù-†™aíVI‰k›÷Îóœ¦iY–mÛö}?Ž„±š¦©‚1Ö÷½c¹i–m'IEç|]ךý†~2 +Td¨kºïûaÒ‚Šª­ +As^æ‚fÜ4,I’/’bÆûݽ>º®á?âÕŠ®­;â>€‚ D7çFñ>stream +H‰b`R€‘‰™………S‚ƒ“‹‹S‚‡—_@@PC“°ˆ¨˜¸„¤”´ 3š„¬œ¼‚¢’²Š*§šº’„¦–¶Ž–®¨ž¾¡‘¡1’˜˜šš™[XXZY32Ú0³²°²B%„55mEììEØœ¤9¹œ™…aÀÅÕÍÖÝÃÓ‹ÇÛ‡ßW€Ó À=žc + +endstream endobj 2184 0 obj<>stream +H‰ ŽIKa@™¡´Å)™é”dÞ´nÚ-¥N"ëP”…HT +£ rŸMgýf¾uÆCs}¼/•Ú …–ãñx±xÔh¼è +˜³…M\›zŒ.q :ŒxÈdªb&ÛÀR2™,—Ê­VhСžMŒz9»Ú¦Ž¢Ñõ`0”ÙËÜToGƒ ¶˜M»ßÍÃápv?Û¨¿J‚Lñ|meõ øÖ~×d@,›"'¶+—{¿CdRlÙ:éÝôõU•ŸHÐ_õ!´s¹|íáYäg–-@NO*Ý/yª™:¾8¿ìÿUªehhYìþîq&išbêŠeú•AžjuIT•™¡ûš +! ­fGà䩨ÊS]“}ÓìýŒn&ò²$(ÊÔ˜ En$ûÜ 7 øñPø`æ0K + +endstream endobj 2185 0 obj<>stream +H‰\ý7ƒP‡½WX^3Üé6„¼„ -Ü…†F5–¡ËÌÛlÿÿqkÎqòýñyÎóù¶µwtvu÷A’$EQ½}ýT,F  Œ2c-§¨ñ‰IÌ;5˜™åìâä…äüÂ"G@Q$¢—\Z^‘ÿóÕµõ ËPä£|sk;µ# +V‘±Ý½}•æ¸´¦ ’ÿöh”É`¥kš¢(¡Ã£Ç'§ÈÈ¢ ŽtàÁçÏÎ/LÓÌÆop$I2¼¼²l'ŸgC¡^sA%ß,Ëuom‡aÙâB*‚ûÏó¬”[²™ Ê–ËA•~z®T +¾ïYÖKµj3Œ™+•Õ×ÚÛ{hü0+9y3xöùUÿ®7Íf­àãA×-9Á+ãG€€ùJˆ + +endstream endobj 2186 0 obj<>stream +ÿÿÿûûûýýý + +endstream endobj 2187 0 obj<>stream +H‰b`™°J + +endstream endobj 2188 0 obj<>stream +H‰sŒýÙ×ÝÜÚàâßæàÝäàÜäÕÍס²/ÉkËVËYÊU8Ðr¯ßÄßäåèåìßÜãáßåäáèäàçáÞåÚÒۧȷ0ÊlËWËZÊU<Ðv¾áÏçæëæãêçäëçãêæãéæâéÆÏ· )ÉfËW!ÍcZÔŠÐâÛíæðéæìåáèæâè£Æ´R³yÆ^ÌXËY>Ñx†Ù©×ãáëåîßÝãÛ×Ýäàæ—Ê­>¹nÇ\ÌXËXSÔ…£Ý½ÝäåêåîÎÍÓ¾ÅÝÙàÖ¢É]ËXË[Ø£ßååéåíÑÏÕÁ¿ÅÎÏÓqИËZÍ^™Ü¶êåíáÞäÌÌУ³­T»~ËZÊV3ÏpšÜ·ÒãÞéåìâÜä½ÌÆj¸Š0¿h<ÐuÀáÐèåíèæìÞØà¯È¼IÂxÆ^ÊT;ÐuÄáÓëæîèåëçåëÒËÔ–¸¦*ÈgÍV&ÎfaÕÐâÜìæïÉÂˈ«™'ÅdÎWÍZ=Ñv„Ù§×ãàÚ×ݺµ½„Ÿ’@ºq ½]ºUÇZË\Ê[ÈXÊXÌZÌ]eÖ‘¹àÌáåèØÖÜÌËÑÉÈͳ°·¡šc²„5§b£MÁZË_É\ÆWÈWÍ`uØÌâÙååêÍÌÒ¸¸¾³³¹­­³£§«˜§£cw6‹X8¶i:Äo2¾hµW¾X$ËdDÒ{ݹÐÎÔ¾¾Ä¹¹À¶¶¼±²·¬°³›§¥Œ£™Š­œŠ®œv¤ŒCŽa4£_UÆ‚ªÜÀÖãáÑÐÖÁÁȽ½Ä»»Á··¾´µ»°±¶«®²¨¬°§ª®’žœZ†nMžmrÆ•ÏàÚêæí Óí™ + +endstream endobj 2189 0 obj<>stream +H‰b`dbbfaecçà„.n^>>~A!&aQ1q˜KJIËðñÉÊÉ+( +*)«¨BD99ÕÔ54ùø´´´´utõô ê 9ŒMLÁâfæ–VÖ6Óm íìÁâNÎ.®nî0k=<½¼ùÀâ>¾~þPqÛ@î ¾`ˆxHhXx„!ÄøȨè¾Xˆx\|B"§!ÔñIÉ)||`ñÔ´ôŒL˜#³²srÁâyyù…EÅ0[KJËÊÁâ©Zy•UÕ5`円µuõ¦`qƆƦæ–Ö¶öŽÎ®îžÞ¾þ`°ø„‰“&O™:múŒ™³fÏéž;oþo°øÂE‹—,]¶|ÅÊU«×¬]·~C>D9ßÆM›·lݶ}ÇÎ]»÷ìÝ·ÿ>stream +èåìçäëäáèãàçßÝãÃÂƹ¸»º¹»¹¸¼½¼½ÇÇÇæãêÔÒ×ÌÊÏÍËо½¿¾¾¿êçîêçïìéñÐÍÓÀ¾Áåâéãàæéæíêæî + +endstream endobj 2191 0 obj<>stream +H‰b`@ŒLÌL,¬lìœ\ (€›››‰‡——_€ + ‹ˆbŠƒ€˜8vq Iì⣠¶ + +endstream endobj 2192 0 obj<>stream +H‰tÀ ¹þÿÑ›qhaÚ)´1Al ‹ÁòàM1®cWœ üeFü+>–š›µ>ëP1ÚÄIAR›=G¥_çp»´nÙjþÍ.¶JÅ#ÀB%S + +endstream endobj 2193 0 obj<>stream +H‰ Ï r‚0@ÑûßH°fAd K´QQ© €†NGG°þ¼EQ†œs­õûý¦„B,Ë’RB`‚)DsA’$}ßg©ÌÊtŸYÄžN ˜4•RÇãõzã8 CS¶Mõ[—(X¼ Ø¦Ä6€é:.yóûý~»ÝžÏ§’×ó©:ÏYZlÅÞ]²¥í9K# !,Äæ“"Ïó®ïÆa”y}Üg‡ä´âMŒ?RŠ1fŒµºÕWÝu]Y4å¹)2µŽ…ç0ß |7ü2ç3sF0iªöZÿ‰õ΀3 0!%uzÈ?f^äØ°.õE}.šqŠ,Š»Í‡k}×Rÿ 0)ì + +endstream endobj 2194 0 obj<>stream +H‰b`dbfÁXÙØ9°‰srrq32òðò¡‰ó  + ‹‹Š‰‹‹KHJIJBÅ¥edåä•”UT™8ÔÔÕ5 šššZÚÚ:ºzú†FÆ&¦fæRRq°´²¶²±µ³wpsrfv‹kºº¹º{xzyûøúq³r ÄAÀ_F& 0(˜3$”Y\3,L:<Â+2*:&F ÄúûÇÅ'$&¡‹kÆÆÆÊ&§¤bˆãi±±$©Ç úd:* + +endstream endobj 2195 0 obj<>stream +H‰|ŽÉ @þ›ÞÄ— +,ÌDªŒøZ=² r‰±²F¢p†Ù(óÈÂâ°KJœ@Gñ Ñ* + +endstream endobj 2196 0 obj<>stream +H‰ ÂI‚0Ðû߈R”RM)4šØ!)ý­+èËÛ÷R*¥\×!!ù²,Y’GøP•UÛ´Œ1ιµvžçmÛxs'磇ÿ˜Õ xïÝø1½Ó²W§g·4IHFÀÛ/˜÷88ÓÃ)NC„…ãà;mÊüJiý‚‰QH)åíTË1Ž Éö€X + +endstream endobj 2197 0 obj<>stream +H‰b`Ú€‘‰«8 +VqvN.n^>>~TqA!aQ1q4m’RÒ2²rò +¨âŠJÊ*Ê2ªj,êhêÁ@CSK›¸Ž®ž>6qC#4ó <Ü3 + +endstream endobj 2198 0 obj<>stream +H‰bþËYËZËYËXËWÊVËXÍ_8Ðr?Ðw>Ñv+ÎjÍ_Í^Í^Ì^ËZËV6Ðr Ý»»áÍ·àË·àÊp×™3Ðo1Ðn3Ðp,ÏjÌ\ÌZGÒ}ÁâÒßææÛåäÛåã‘Û¯PÓƒOÓ‚QÓ„EÒ|%ÎfÍaÍbÌ]2ÏosלÒãÝéåíæåêååêÎâÚ»àͺà;àϤݽbÕRÓ„TÓ†/ÏlËWCÑyŠÚ¬ÙãâëåîèåìãåèãåéÊâ×Ù¥o×™s×›r×›BÑzËWjÖ•ÅáÔäåêêåíßäæÂáÓ¼àξàνàÎ’Û±mטšÜ¶éåìÌâÚ³ßÈ)ÎhlÖ—¸ßËØãáÉâ×ÊV=Ðv½àÐæåëçåìÊU>ÐwÆâÕìæîGÑ|ÌâÙíæðÒâÝìæïVÔˆ¦Þ¿ÞäåÌ[!ÎczÙ ÎâÛÌ]0ÐmŽÛ® ßåc + +endstream endobj 2199 0 obj<>stream +H‰b``d&f&& ȆŠIfVVV6fff& —‰˜Ù98¹¸¸yxùø@êAjAz…„EDÅÄ%$¥¤e˜áæ00ÊÊÉ+(*)«¨ª©khjiiƒ%€XGWOßÀÐÈØÄÔÌÜÂÒÒÊÚšl¿­½ƒƒ¡£“³‹«›»??H=›§—·¾ƒƒƒ¾¾¯Ÿ@`PpHÄ1¡¾”ÓˆšÃí êÑ׉ª‹OHt@II@ñä”Ô4Ta a@q¶ôŒLt×0ÏÊÆgbÈÉÍóÅÏ/(,2ÔÇg..)ÍÃup0›GD÷ + +endstream endobj 2200 0 obj<>stream +H‰Ái`àÿ¿™îSµÊh+6·ñi^¬¹j…Dº?Áó¤¯2‰Šø™?ï‰ïYü¾z¡móäc®¬¶Ö-Òïrê’Rf?c±–Åæh08ykÚJCc(þæ?ú1‰³8BEaz>xÆ`¥ÏV(L"0áž.ÃÞ„¯I0„c(¹Ûì9V$ ZU5X,-@LeÀ#ˆ }]­ ªÚrç/À¦xr# + +endstream endobj 2201 0 obj<>stream +H‰b`À +± 30áPÎŒ]œ™«0+;VqN.¬âÜ<¼XÅùø°º†MP›­LÂ"¢Ø”‹‰KHb—’æ’‘Åb ›¯¼¦8‡¢’²Š*¦8·šº¼†&kµ´utõ0…õ D Œ îw­ + +endstream endobj 2202 0 obj<>stream +H‰Á]O‚PÐÿÿP›‘€É JÍt}è¼®‡Ü]&AÊǽW'¨ –ŒG؀Ήö¿áî4ŸÂ4M«ªÚûG8麞çùÒDšÚ3 ÃßF/êŠ>þv~äZ+Mé1tÃqÜ8E q7r[ŶçyY–!{-ð’,ß%ɹ,KøöÁ2ÍÁàq·`ôʲÍñÌßÍŽÔUc\EðŸ@b,˲ø^íS5šâ,‰Ö}`ëÜ5Å „¦#ðâåEí¦Á}}Ú<×[Rÿ 4ܧ + +endstream endobj 2203 0 obj<>stream +H‰b`F&fVTg`cçàÄ*ÁÅÍÊM‚—›„€ °ˆ( 1q I)i,2²rò +ŠØt()«¨ªaJ0òªkh¢;,¡¥­£‹EB€QOßÀЋcS3LqVcs K+LqV1k[;{,2ŽNÎ +Øt¸¸:¹¹c‘0V¶ + +endstream endobj 2204 0 obj<>stream +H‰Á¹‚0Ðÿ(=8=¨!Fa ¨`hc‡ÆÁ¥üHHøÞWþ^On;Ï£uUÏó¼mÛ»þÜâ„ ; £¶U˲dF>„s]c“¸@ÃyÎÿ‚¡ 4T¥c NLÃjš† é„Ò“”CË ¶bpœ»®s=c|š¦$¹÷}¿®kšfeQ +Q)¥Æqü 0"yoú + +endstream endobj 2205 0 obj<>stream +H‰tÐÇCPFQQ~]ÑKt‘ˆðþg1½ß=Ã=<ÂM”d…˜TM7L‹uÛ¹»¬yþ#@þ £y’f9ò¢¬^Èë¦í÷Ș¦÷ ýó] ÿÀƒ³3ý9¾q|ç8X|u0Æ _ + +endstream endobj 2206 0 obj<>stream +H‰‡xÿèåìåãìæäìÚÚìàßìçäìçåìÆËêÅËêÑÔëßÞìßßìˆåˆœå¤²çÃÊêÆÌêÄÊêÉÎëÝÝìéæìeƒãh…ãqŒãž®ç ¯ç£²ç½ÅéÍÑêÌÐêËÏêÓÕëäâìZzâ`âp‹ã~–ä‡åˆžåŒ¡å¦³èµ¿é´¾é³½éÀÇê $Éiì + +endstream endobj 2207 0 obj<>stream +H‰b`©€‘‘‘ «333 +†;;'77 #º/¿€ °š„¨˜8„¤„”´Œ¬œ¼’¬¢’²Šªšªº†¦–¶ ’@€½>‹ + +endstream endobj 2208 0 obj<>stream +H‰ ÆK@0ÐcëtèL£ŸbA\ÚÅtÓ»ðV2"ýGÖÿ•©ª5åȨ辞m !ìaÛçi±ì˜œ%ǦD ”’¼c‘ã8{?víà»±©}ö`ÛÇ6þ + +endstream endobj 2209 0 obj<>stream +H‰¤ŽÉ€ D‹­ ¸ï»þÿ_z€ ‰ßi:3MÀÀÀ&@- ^PHz- DËgÌ+|€öÁ´¡”c2|~~s!¸ðý$•2sÆ)ò¢¬ê¦íúÁÝ8Nó²nûq^îô[€’5P + +endstream endobj 2210 0 obj<>stream +yw~yx~~|ƒ…ƒŠ†„‹‡…Œ˜•œ¨¦­yx{y€~}ƒ}„‰‡Ž’—xv}xw}|zzw~yw + +endstream endobj 2211 0 obj<>stream +H‰”Žá€ „²ÔHSßÿaË?Ø,u~cpÜ8 C–U©m×ZY3OÙƒ™OçP;ï/B™#è{6Kꉱêâ j»SÉäq"3HÕzü¢ÿø`ÔüÒ + +endstream endobj 2212 0 obj<>stream +H‰ ÎQk‚@à_½¥WÞmuÕMzjl9ÇÞcÐðzh¹öT¥;…õ²@óIE4µàû_×uÇ¿£®ÿl6ßÛ­Néz>Æ£édL{Pà99sÆÆßïåòóõEQª¢¨²<ëÝIÂý ¼4—  Ã0MÓ²,ªÑ'2c‚1‘C À²,ó>stream +H‰b````dbfaecçààäâ  +3ðòñ  + ‹ˆŠq‹KHH‚å @JZFVN^]QI™‹K…‹ &Πª¦¦®¡©¥­£«§¯o`È€ ŒŒMŒMÍÌÍ,,P%¬Œ¬¬mlíìУ“••‘³ †8¸ºaú Àè · + +endstream endobj 2214 0 obj<>stream +H‰Á=Oƒ@Ð_mïŽ w)%ÖD[Ú¥& +µÚ(.u«ÅØ©,ÀÄ $Ð!@ßC€ H   QQYT(ËÁ Q§3Q1ˆ0º®ûþf«ê|:Qgêüò✰p@@ú¾Ãp·s¶Û/Çù¶íñù&y‰°Éñ˜Aàûþáðûl˜÷š¦éŠ2nÛ6I’(ú‹ã8Š¢õëZ–I ¼ÄâÓ²,ó>stream +H‰b`@Œ Ä\ꘘÑDXXXX‘¤á°±±±sppp¢›ÀÅÅÅÍÊÂÊËf¿€ 0‹‡(XD lœ¸¸¸„¤”´Œ¬œ¼¼/(BÔÅ•”U”UÕÔ544A.ZÚ:ºzú†FÆBÅÅMLÍLÍ-,-¬äÙ­­mâ`M¶¶¶vö‚‚ŽNâhÀÙÅÕÍËÝ]ÜÃÓËËKÕÛ] |Uü°ŠÛš™É°Y + +endstream endobj 2216 0 obj<>stream +H‰ÁéN‚à—.¹A<<Ê~t‘(jšnêÚúÓZóH/ (³Þ¡ï…F»ÙÓÞMw»s6¾ïFßáï>ú+æϯ.*¯/“ÕÂ^š[ËØØk/Úý P>stream +H‰b`dbfaaecgggCœ\Ü<¼|ülè@@PHXDTL\BR’™Y›”´Œ€€”¬œ¼‚¼¢’’2« +\BJUMM]CSK[KGWWOŸ•.†††FÆ&&B¦fæü*l**R`ieee­eckgïàèä„$aèìììâêfìîÁäéå%$£ªª*ehddèí#,""…€À×Ï? !ˆÐ©è:°)$U‚pIC(€;„+I + +endstream endobj 2218 0 obj<>stream +H‰É6ÿèåìäáèéæíàÝäçäë¾¼ÃÒÏÖÔÑØÓÐ×ÖÓÚãàçêç®Ã¿ÆÅÂÉÄÁÈÉÆÍßÜã‹’ ž¥¢ §¦£ªÁ¾ÅÎËÒÏÌÓ{y€|z€~…–“š¥£ªËÉÐåâéxv}wv}wu|‹‰“‘˜–”›·µ¼ÍÊÑÌÉÐÑÎÕyw~zx~|ƒ}„ˆ‡Ž”Š‘œš¡ÙÖÝ×ÔÛÞÛâyx~zw~}{‚{z„‚‰ ¤ª§®©¦­¹¶½{xŒŠ‘¡Ÿ¦Â¿ÆØÕÜ _%ˆÿ + +endstream endobj 2219 0 obj<>stream +H‰b`Œ€‘ °I0³àÐÁÊÆÎÁÉÅ©‰‡—_@‹„°ˆ°¨˜¸„ º”¤””¤´Œ°¬‹¼¼<  ÜJE%IeU5 u MAFFFy¨„hKéèêéë +›@õh™j™ii)hIš›[XZYÛØØj²sp03u€€¤¤¶Žž½½½ƒ¿#Ÿ @€Öq„ + +endstream endobj 2220 0 obj<>stream +H‰ÁK +ƒ0Ð[›Ìhf$Q +-^¡?q©`{ŽLQȶ^ »ºö=P„Š@1hBͨ 4çÀµ»Ù²Bà÷ú麞 + ™9Žß¶í󼌃÷~òãô¸7tžÿ”RŒ1„ ò‘öùbcÉ8&w 0…<Ú + +endstream endobj 2221 0 obj<>stream +H‰”ŽÇ€ DAQì½—ÿÿJ:уo8d6ËfÌ02s2ãùø×~!ö%ÇaíÚg…îqMþb©O`wy)Å£Qƒç«»×+7£ÀÔŸ¤Y^”U­”Öu‡¦íúaœæe$’¸M€«˜ + +endstream endobj 2222 0 obj<>stream +H‰Q®ÿyw~xv}€†™—ž ¤Ÿœ£µ²¹ÊÇÎywxv~}{‚ŠˆŽŒ“Ž‹’£¡¨¸¶½wu}wv}†„‹“‘˜zw~zx~|z~|ƒyx~yxzw DÚ, + +endstream endobj 2223 0 obj<>stream +H‰tP € *‚"2ýÿC ‡ +Mºnmªn(mKeÞõÃ(Ä$%|Af¥­ÃrGÖ0ÛŒÁ¨{нö—%›¶ùî>)–à\{qÊ 'dqä\ÖWÖ…/öp^Zº¾+É:i# + +endstream endobj 2224 0 obj<>stream +H‰Ám@àÿÿÌcC‘ ½9YqzÓ{]ºs]‰ù ž§¡Ÿ¶þ²WW“w‘Vi„P†)nÉ“yN-×µƒ +Ѳ ¦qYÇA~³œ#8UÏâòf:›ÕNSŽIX¼ª&OžÆÉšq¢"JÚ(ÈÆ#^ÙF;‚™ïFªtHc4›òvïÙ¡sÌùe¿7ðíȆ>?]tÍϼ@xu³¤…5Êñp0VåÃ_€‚ö‰ª + +endstream endobj 2225 0 obj<>stream +H‰b`@Œè0ÀÄ„U˜™…•›'76 ¼Ü||lX$ø…„E°ØÏ&*&.!‰E‡”´Œ,6q9yaEfL“””UdT±H0«©khj1aH0òkëèêaq’›¾˜„!·ªócê`2‘62cÆÔÀ¤&dn@€:± + +endstream endobj 2226 0 obj<>stream +H‰]¢ÿèåìçäëæãêéæíåãéäâèàÞä×ÕÛÕÓÙÝÚáÙ×ÝÊÈÎÂÁÆÑÏÕâàæÖÔÚÉÇÍÄÂÈÒÐÖØÖÜÏÍÓÌÊÐÙÖÝäáèÓÐ×¹·½¯­³ãà箬²¤¡¨ÔÑØ ÎLè + +endstream endobj 2227 0 obj<>stream +H‰b`Ž€‘‰» +l윌ØLââæáeÄ4‘_@PS‚™UX„[T Ó(fq I)^iL˜¥Eddå`<€"3 + +endstream endobj 2228 0 obj<>stream +H‰,ÓþËYËZËWËWËYÌ_Ì^ËYp×›XÔ‰Ì_ÊUÊVËX·ß˙ܶLÒ:Ñu>Ñw=Ñv*ÎiÍ_ËZÌâØ·àË…Ù¨yØ {Ø¢zØ KÓ$Îe#Îe%Îe ÎbçåìäåéÚäãØäáÚäâ×äáŒÛ­OÓƒNÓ‚PÓ„DÒ{&ÎfÍa Íb ÍaÌ]éåíèåìãåéâåèãåèáåè­ÞĂ٥٥„Ù§pך@Ñy6Ðq8Ðr7Ðr"ÍdååêæåëÈâÖÙ¤nטr×›oךÌ\ëåîìåïîåñ×ãáŸÝº“Û²–Û´dÖ‘?Ñw&ÎgååëÞäæÜääÝää´àÉ—Ü´“ܱÌ[×ãàÊâ×ÇáÕbÖÍaÜäãÊá×Ú­ ™—Àu + +endstream endobj 2229 0 obj<>stream +H‰b````dF……ÉeÄ/3! d3"8@ÌÄÌ‚E;#+;V[88¹¸yx˜YxyYP´ññ  + ‹ˆŠŠ²‰!(.!)%-#+'¯ (ÆËË 7HIYEUM]CSK[GWOßÀ¦ÞÈØÄÔÌÜÂÒÊÚÆÖÎÞÁ‘ªÅ œÌLœ]\ÝÜ=lYY=ÁöBĽ¼½}|ýüüƒ„ƒYêA $4,<,"2J+šEÜØbbãüâ˜QÄAÀ<,1)Y—‡ ÀŠå!f + +endstream endobj 2230 0 obj<>stream +H‰|[ Û¹ÿ¡‘PUKãGÇ>˜Å¸éÁ M^ŠxI`€OE0[õ±%ðÕj‰¼8ä Ä{U‘‘´\V«›§®Û¬ŸóƒM€7„W + +endstream endobj 2231 0 obj<>stream +H‰”ŽI0™ÿºér±bj=B‘$t6YBëúN“ÅÑì@ÉŸ)WC¬¾<ù|'Š'“fO6š& + +endstream endobj 2232 0 obj<>stream +H‰„O +0ÊÿzcÉTŠVµ+«§È'aìAe¥š »?M²ÁÚŒ¼ûdÏ%~M}¸‚3‡¿( + +endstream endobj 2233 0 obj<>stream +H‰ ŽûORa‡ÿ0_ЭËtµeY«MD‘sŽæ­@AY¹a±”k6mZsTÖ–] ë¦’¢¥d1áœ÷|ß÷\ß?¢³}~}žç£Q¦+L§L™,1•°­]c¿l`¹5}}Û8©1IdbƒQ`žÒÙ¬²ú]ϯëŸÖôƒªi¨ÌP˜? ¡y²øV]~¯.­ªÏ?h;%dFeæ‚çhdFéÂu島’×^å´¯½^g|¸ EÁ3žL¤Èäcœ§÷æ裬²VÔ·KFqϨTÍkãøê8vå( Faä!ŒÅÉY²¼ªfsjæ£ú2§mìèó°jb‰!®Ž¸†].y±cR]÷å[•_&Ò䈾_6³&×QSï âÄÖ|Ù‡Û}øz@îC_„)ƒ/AËtéú­ ïý5v÷£c9K¨§ŒÜ§6®aãˆÏÜ”ÚÇp‡_ñá¿Ì‡eo FcÖOË4I½P~lÈù u—«ÒÔkuO›±mDºp[ºèÁwe! üôGÀ#©Œ’Î(ÉŒò:¯­uä( Ç&êÚA®wjç­5ZñÜ Ô6Œ[‡­(Œ’Ñ8ñ'Iè ™~FQçrþFÝPÏ?ä>¶sµf¾Ö,4<Ó9~"Çê*"×!rWmîjKŸxvÀ²‰ç‡¤ü_€bÿ_ + +endstream endobj 2234 0 obj<>stream +H‰b`À™°‹3³°bgcç`Ä&ÎÉÅÍÃË̇!Î/ ($,$"***†b“¸„¤”´Œ¬œœœ<ŠE +ŠJÊ*üªjêšZrr¬ŒPÛ´u´uõô ŒMLÍÌÍ,xx vYZYZÛØÚÙ;8ð;:9»¸º¹{02=åéåíãëëç §§,)ÊËËÆ«Ÿ`—˜”œâ˜š––ž!—™•“››—_PXT\RZV^QY%%%]mQS[WÞÐØÔÜÜÒÚÖÖÞÑ©ÜÕÝÓÛ×?aâĉ5“&O™2uÚô3fΚ=gÎœ¹óæ/èŸ -Z´xÉÒeË–O/²ºÐnÅ‚~ X¹jõêÕ ×¬]·nòú §oÚœ`Í$Xº + +endstream endobj 2235 0 obj<>stream +H‰„Q0C½û_z›Ä6R[?”Ê ›ð Ðé=(Üé¤Ný"顺Öi;~ÿéìËÙXu~ #¯“) Ì) + +endstream endobj 2236 0 obj<>stream +H‰ ÐÿOqÇñ?Œ7[¶•-g¹qwÇñ%1JŒ/5X†X45)is©­­ùný–ÖMI0å°ÔÌop|¾Ü¸óóGtÛãÇׯ=cèÎ$–§h0GUÛ4è³EcîS§ø¹³¸Öùòݬ֭}K;¼Bˆ3 »ï1îkÆðHŠŒ¦Éý4}JBy’,¹%–ÿÀòˬ°ÊVֻ뛽òFï[Ål6¹9Áœbnv8.\jËÖݽ?‚FR8£á<}4KÓE#Sb™÷,[bïÖ:?ªæ/ͪխšfœ^¡6é(§Î€íÜl÷?@QäC·&°ôœ†ò4µ jË©¶]È!C"3tbŽÆçib&‹4ýÖX.w7¶Í¯[&Uk±¾=A:é” Wy¢x Š¯£á³äÅ’B¼vÀ§¯þPugP¿Cwx8I‚Ódò }²@SE +Þml?A¬‚¯Òo@>všîHûvßKyŠŒ¨Ã^ÊAùÊÙµMŠc1CÀß»ƒzÙ¼ì Ùô(çàÝ¿æPÎ@ÜÁ~¾ÿ_€çž'È + +endstream endobj 2237 0 obj<>stream +H‰b`dbfaecçàääâæááåã—”’–‘•“WPTTRVQUSÒÐÐÔÒÖÑÑÕÓ××70426153·°´²¶±±µ³·wptrvvqus7ððôò–÷ñõó + + + w‘ŒˆŒŒŠŽ‰‹OHLJNIMIKÏÈÈÌÊÎÉuÎË(`‹“.ôõ-*...)-++·«¨¬¬Ì®ª®©­««khljllnIIIimkkïèìqîîéëíëo„€¢æ’’’´ '…Mž2¥kê4xH¼¨¹¹9ezyúŒ™³fÏ™Ë؈ €ŠRÒ;::æ…†U75A›€ç—,˜>}z椅ÅM`óî+ZTV†bXnþü¢ÅK– LF€" @UÞR4®¿¦Í]MãX£F + +endstream endobj 2238 0 obj<>stream +H‰f™ÿËW,ÎjzØŸ¿àÐçåìéåíèåìÊV6Ïq¡ÝºÕãßÊU@ÑxÏâÛðæñÊWCÑzËâÙîæð&ÎgaÕÑâÝìæïjÖ•½áÏãåèƒÙ¦Øäá¢Ý¼ãåéÂáÒçåëÔãßéåì +ÒJ + +endstream endobj 2239 0 obj<>stream +H‰ÄÍÇ€0Aâa2˜œ¡ÿ&)Àâž;Éóƒ0Šq’˜4sò¢¬”×Mk•wý0*ŸæE¼Âº)…ýuÎKû­gÐmx>ü¯¼  +ò + +endstream endobj 2240 0 obj<>stream +H‰oÿèåìéåìãáìãâìæãìÊÏêËÐêÛÛëåã쎢æ¡æ’¤æ¾ÅêÜÜìÛÜìÛÛìßÞìçäìrŒäuŽä}•å–¨æ¦´ç£°á·¿ââàëéæìg„ãk‡ãv䀘䆜农䅙ۣ¯ÝßÞêêçì ¼RW + +endstream endobj 2241 0 obj<>stream +H‰b` ÀÈȈUœ‰‰™›8++;†'7/¿€ š¸°ˆ¨˜˜¸„¤ª¸´Œ¬œ¼‚¢’² +ª8@€v_Î + +endstream endobj 2242 0 obj<>stream +H‰ÿÿèåìàÜã¢Ò¸*ÌhËVËYÞ×à Ì´)ËgéåíçåìÁÐËz˜#ÉbËWäãéÌ©<¾oÉ[ËYåáé×ÖÜ{Æš+ÀeÉZêçîÛÖÞ®®³W¸~É\ÕÓÙœ¦¤IµtËXìèðÍÖÕ}®“8¹kâÜæ´ÌÂV¹~&ÁbØÑ۠±?ÀrÆ]åâéÈÀÊ…°™$ÆcÌWçäëãàç¹Ã©“#ÅbéæíäàèÓÑ×­¬²o¢†"Ä`ÌXÞÛâÁ¿Å›¦£d§€ Å_ÌXÕÑÙŸž£uˆK²tÇ]ÌYËZëèïÖÒÚœœ¡X©z(ÃcÊZÒÎÖšœ M¯t ?Ñ î + +endstream endobj 2243 0 obj<>stream +H‰lÎÅÂ@ÐA‚û`Jqww÷âðÿßÂ]y“å]$aì?:½Áf&³EàV›Ýát Üíñú8u  +S—"ј P+ $©§Ò™,8õ\¾P„ §T®T^«7š-êíN·×§>ŽÆ“éŒú|±\­7Ä¥ín8žUëçËõv|ß«ÚÝçë-ó_ > 2L• + +endstream endobj 2244 0 obj<>stream +H‰Á]O‚PÐÿ¿%߈ˆ)¸°\ŠYNsZ —·!Ž›ˆJõ€/2C;çkGáﮟúc¹ªX¾$ˆŽãì÷{oá«Í{žq”R[í8ŽÓ4ýôóÅ…*†PBNÌÉù|ÞmÂõ÷ô Ü6Ú#àæÓ4kR¡y&Ï‚° ¢Ao„£tå-ËJ’ÄÃŽª‘8“»À•«z° gS»Ù¸CBUÛžëëýŠXÃ1Z’dÀñxtx£4Ù|Q×»QeY6hŽ$0ʶíÍj7<_Š2Mr$Aϧ« „b BøØéQ[.W\×=‚÷y㺅¡¤®é§ì«ÑðU–¾P4 3ûËþóÑÖ + +endstream endobj 2245 0 obj<>stream +H‰lÉ×P€áBD‰”SdDvV²wöÞ{¼ÿk¸pwNÿåÿan±Ú»ƒ„?†;]íf<xYÇû€¶ ‚R(16*ó±8 +JBN¦Ò”lN̓P,• ­B¢ WkõF³…Þîté^ƒ¨)c4a2ÍÅÒV’ª­7&°ÝqûÃÓùB_owƒðx¾®ïÏ÷?: á + +endstream endobj 2246 0 obj<>stream +H‰„ + ÏÿºKYV’ Î)˜Íçžxù *â­Ám&ä´ã¦‡•Gy«ò ÒÀgYPËpÚ¬˜¦A ÷cÕY^¯‰!À ^ + +endstream endobj 2247 0 obj<>stream +H‰Áùk‚PàÿÊ^Ïã©O[Q´Nr­E)³1"tgë µJŸ÷æ/Qtìû÷×^{ZßÈç”,`‹ÅÒ|>?×ëu³"Èç2iØh4}ß?N¡÷·]»Öø½\¬Šfβ¬ÈO>ßf%å–cD–A¦iîv;DãÑ nÅðHt]×s¢×©Úê"AàÚáèÙ¬”ê™4- +8 Cb½®‡ ëú~¿÷Iü³´›55›aUõ.I’óù<›,«•&  6ЇÃåryz)…²,å&“¯ÅtÕi÷d\€€qGó<„ïÅF ‡©)Žc½?ÌË +K T*+a9Š"² `†ao¼v랆¨V«ÿ 0ÑÛÛ© + +endstream endobj 2248 0 obj<>stream +H‰dÈÅ‚PPìg ˜(ØØvww÷ÿÿ„3.Ô¹ï,A|I¤2¹B©B¿&Ô­ŽÔÀSF“Ùbµ!ž¶;§Ë½ÇËr>?‚†Âœ/Ÿâ£1&žHbŸJ³™l._ E]©\ÏWk\½Ñl§ÄvÇÒí!žî†#rŒ=ašpÓY ¾0_,Wë OñÛÝþp>stream +H‰/ÐþèåìÑÎÕ±°µŽš˜F´qËZËYËYÆÃË£¤¨‡š’DµpåâéÜÙà·±º‡›“X¬{,ÀeéæíàÝäÉÆͬ¤®vŸ‰%ÃaÌXÝÚá¼¹À¥§t ˆ!Å_ÌWÔÑØ¡Ÿ¥—™uŸ‰#Ä`ÌXáÝåÇÄËš™ž“–r¡ˆ#ÅaÅÃɤ¢¨•”™Ž“•j¥„!Å`˜•›“’—p¢†B¶pÈ]ÌX»¸¿–“™’’–[«|(ÂcÊ[ØÕܱ®µ”‘˜‘‘–K³tËXáÞåÊÇΩ§­•‘˜“‘—M²uØÖܲ¯¶š¡Ž”•z‹?¸oÔÒØ™•…˜‘.¿gÞÛâÄÂÈ›™Ÿ•Ž—xŠ.¿fÊ[éåíÃÁÇ•“™–˜&ÃcÌYº¸¾’––™#ÄaÌW ªƒµÛ + +endstream endobj 2250 0 obj<>stream +H‰b`@F&fV6vVv @fààäâfgcgGçáåãdgG—À—”’–‘•Ã—WPTRVÁWUS×ÐÔÂ×ÖÑÕÓ7‰#»SˆAÊÐÈØÄÔ ¬˜IÜÜÂÒÊÚèzVV$q[;{G 'vTó…œ]\ÝÜ=Øaâ¬0õž^Þ>¾~°@@ØëïhÄÎÆŠª>8$4,<"’E}C´BL¬X\<Øé¬pq!†„˜Ä$¥ä$õÜ0 + +endstream endobj 2251 0 obj<>stream +H‰~ÿ<[Á<\Á;[Á=]Â?_Ã;\Á?_ÄCcÇ;ZÁDdÇOmÍ;ZÀIgÊWuÒ9Y¾9Y½;Z¿<\Â:[ÁQoÎhƒÜ'Dž'DŸ1P±:Z¿:ZÀ9Y¿<[ÂRpÏi„Ü:;‘,I¨7Vº8W»;[À6U¹5T¸8X½>]ÃVsÑj…Ü á8û + +endstream endobj 2252 0 obj<>stream +H‰lÙ D“¸‹ÆµJ]ª¨¸üÿZB#ÔÓy€{&CHA$EFlÅW»‰’’š9iù5B­Zh’‡r©ÿ#9ù܉ҌuOl%;„±]¯ÎAË…á¨s¥ñdš}•v2³9óbID1K"X­7Û¢Øí­µ¥< 3×þñt®ªËÕ9w+Í€Þû§¡×ûó`àR0 + +endstream endobj 2253 0 obj<>stream +H‰|O  ’ÿºÌ¥ykÊiŠ Ú `C{^‡6Ò•Ww›å …¼B#ú^7}n•ùÅÿÍ(¹¯È®Ÿ"ã2äì`1ž4 + +endstream endobj 2254 0 obj<>stream +H‰ Ž]O‚`† º>çV[u"ï'æ&5ÖV®“Úè úK!/¤h.›µCÿHïvÞ×½ ÌP  tt +ôØ7X4ÕV±ë”‡n©˜rŽOÕÓ¢j—Û%˜ï€†€b 1ðL±r…ç ñ𤈢 +*t"¶Z£ª«RiÇùÉõüÀ™Õ¬Ø`BÅ!{Èø kÜ.êçÓ};Ùk'ºþææmsõRXƒœôSz—’þ²~6ÑI¨‘°ë¯/½Âñþš?fozˣΗÁ" ‡ºþÆ}];^Ñ~ZÙÏ+rŸíÈÕ¸$Ö©ø`9‹€´ + +endstream endobj 2255 0 obj<>stream +H‰b````#&fV6vvvN.nn˜0/??¿› °ˆP€D022ˆŠŠ‰KHH²JIËÈ0 1•“““g@< +Š@±–nLŠJL½H¢PçÁI1"ä0XPIIIYE ÌCQ¤ +jêhš455µ´utu•ôPÅõõõ ŒMLQ]jfffnaiemckgggð’98X8::9»¸¸º¹«{x"ÄÍͼ¼¼}|}ýüƒ‚CÔÕÕ ™Y + +endstream endobj 2256 0 obj<>stream +H‰}‚þ4‡4†;‘#@™#@˜(D 2R²4T¶6V¹:ZÀ8X¼.M­;–876&E¢0P²1Q³KiÇi…Üq‹á2„2„6ˆ87Œ!=•.M¬1P°3S´:Z¿)G¦1ˆ,,€*=—+K«PnÈtŽã1‚100€3‡!?—#Aš'EŸ/N®*H¦:’*} (y &x"t.„"?˜3P©[vÌvä2ƒ2ƒ6‰8Ž0ƒ/.€){ 'y7‰B]°c}Ñuã03…:5‰/€,} (z:ŒNh»j„Ø1‚0.~,G™gÕ3ƒ2‚2N l†Úx‘æ#>A\®m‡Ûw‘å3ƒ8R¤[uÈrŒßC^°tŽâ3„/J›ZtÇuäE`²eÒvã4„*E—`zÎrŒá.d~Ò4„1Lžj…Ø)D–HcµnˆÜ4…3N ToÂpŠÞ Ù#í + +endstream endobj 2257 0 obj<>stream +H‰dË÷?BQÆq)D6ÝdoŽcïq¾73¾"£ŒŒ(ëØÇ +»sÏu>?¾Ÿ×“a³eÚ펬ìgnž+¿ °¨¸¤´¬Ü­y´ +¯·²ªº¦¶ÎYßÐØÔÜÒêjs·B:(íìêîéíëŸ` dr#:ø“StzfvÎ?¿ÀÐòEXZ¦”WV×ÖC?.=,‡Í­m†³#cÃîÞ¾ôÈ×£‡1ĸX8:>aÿÂiè 9§OÄ~Á.•Ã/^]KÅ›[®’¬¶`âîÞpL¹ùyH<*F!<<=Ç,iþòúÆ”'“â;©ZàýãÓô/RÂr + +endstream endobj 2258 0 obj<>stream +H‰ ÊÝ‚ Ð÷&‘>3I%ûAZ…l±fš+Wl°u®OJ)ÆB°Öc”RœóaÚRÀES7U¹ý­õ +CGRJ”å‡=óÞW„°¹žçÀºÛîò!Ä­€rßËôb@æì²Lºg}Mè¨æ×óó`9yc‘ + +endstream endobj 2259 0 obj<>stream +H‰ÄÎ7€ À@{Cì½ ðÿ'úìf¼)Bü’ÇìKö dbö$eÏrÒ¢¬ÞÔº!o»~ §y–ë¶àçuþ¼Ö¹O€ÔÙ3 + +endstream endobj 2260 0 obj<>stream +H‰oÿuãsârŒámˆßb~Ø`|×_{ÖPnÎFeÈBaÅ>]ÃtŽãqŒálˆÞk‡Þj†ÝXuÒKjÌLjÌEdÈ?^Ävãväi„Üa}×WtÒNmÍk†ÞcÙvãp‹ái…Þuäuåvåvævävçvèvév‘èv‘çv‘év‘æuåtŽâuäsá kñU@ + +endstream endobj 2261 0 obj<>stream +H‰lŽëÁ0£„J‘u-‘•ÚÄíýßN•ã ;?çÌ&ŸoZY–µ;Rv{J©þ@|ÐZGy>žÅt6?c`!årµ)Z‹Í6Õe}´Û3}=<ƒÄZ‡l}DÏyp¾b=V'î@"Î;ÿçËf ` ÖMŽßéëSpƒMÇÀ9Ò嚎q!Òíþ`‹m â + +endstream endobj 2262 0 obj<>stream +H‰ Áë–B@à÷¡bŒ˜Û‘ÓMK®c™£N?R+±û}Ó4u]WÅý~ÿ|>yžëP÷}¿ïû¾¿ßã8Öuí8Î|¦XÌŠã8I!Äëõ¢”A°Ø¸{Œ¨ªUÑ”9€Po¥†Á0E—<)×·÷2žqÎÛ¶}>Ÿî ƒ´ OË(Hãc¶ß|SlaÄ aL¢( +ÃðTÔ—S{.›SÙTBæ©0 jº cÌó<Û² ¶ªR–yå:kdlRÓ0³4ÏT×ÙÔçëÊYCmþ!ªÏ7ÿ.íÕ8NRJBèal·[ Â8äMuûg¨½^mûKü\l¶ü`7ûÁ + +endstream endobj 2263 0 obj<>stream +H‰b` 021cfaecçàäàâFææáåãâB—”’–‘••EÒÃ('¯ ¨¤¤¤¬Âªª¦.¬¡5PSK[GWOOßÀPÜÈØÄTДG$¬a&nn–VÖ6¶vöŽN qugs k°´tus÷ðôtð‰{ûøZCÄAÈòó‰Y#иàP†°ðˆH?k4`ÉÀ ‹&laÁÀ¯®Å“,°ˆ I'[b0%‹5Ú + +endstream endobj 2264 0 obj<>stream +H‰iSq€¿VòûCÖd–G&¬‚² ¬¤Œ&˜ˆ2y“GÈ8Ó1¾)Ïl¬ñ¨‰ÒÑFð(2ópYvawYvÙÃïÍ<¯Ÿyž/Wçç#¹Ög9×(?2Z§¹¬¢ˆZ!¯•=f«ŸpÖ~Þ>ÈÛxÇ0xKíîÓy^Q% º´-[áf«ºØJ[ÓÍ ¼¢W6³;ÑBâJËç4QÐ$ACŽ„ÞIaŒ=lÓ ß6&¬…å¥1Œ&ðj>GD¤Þ™¾×A?èÊš|üÔ‚¸•b +•V弆ðCd;FöŸ¨ùÁqVêŒßve«»8û ç™Û;*È¢†¬ÀÀv ¶#Ôô)Ô”µg›†Øµ°˜É¨` kwÁ¼ õÀ¼…ð(8âÈÉ”w0þìç=‘cUT”4îöL!ÀÁ¼ap¦±j*ÄÒ´ +¦y]lÕð*u·ýjè5CQ +UÛ€­€1„Lsóbyëaµ‡ªr'oî ’$eµp Å€†0`Ë`œÓ×…îàï1ϱ½ŸÄýñû®Óî@‚LÉ`Ý˦δTR;{˲llÿâ>ñ=3âillú’¢dUº–„bj¾AýGi¡¤v÷îwŽžû‚ñÞÉÓÞÉ“7«‰ #+Òµ˜SÀ²Ø’κñp¦‚Xï‹û‚¤÷ùEßä¯ÙÕó1Fà•˜×õuó5mŸ<ã—Þ‰X ²ó=%p…Lšÿ'ÀÝYZø + +endstream endobj 2265 0 obj<>stream +H‰b`dbffaecçàD\Ü<¼|ü‚B¨â"¢bâ’RÒ2²rò +HâŠJÊÊ*¢ªjêšZÚHâ:ºººzú†FÆ&¦fæq KKK+k[;{G'g„„ ¸º¹{xzyûøú!Ôû»¸‡„†…GDFEs Ô‡ÆÄÆÅ'$z(%%§¤ +£Š§¥gXefeçä"Äòòò +‹ŠKJËÊ+*«âÕþ5µuõ MÍ-­mñмöŽÎ®îžÞ¾þ 'M† +O™š7múŒ™ ³fÏ™;oþ‚…PñE@ñÅK–.[¾båªÕkÖ®s†Š¯Šm¨Û¸ió–­Û¶ï€‡ÜΩ¡»vo¨Û³wßœý*ÀÂáÐa€šaÝ + +endstream endobj 2266 0 obj<>stream +H‰ÎiŽ‚0@áûŸHY[èL[ +Fc€6‹a)¶†þ@ À8ï_ÞqüÓƒ—e9Ž#!cJ©'„8ŸõÓIØäy‘eyÓ4ëº";ðËÐM „Žmߦij+)jU?»€]íB€tÍðïûyž—eyµ½}×¼Âôâ߀LÓ‚ÿŒâHJ©”†aßwQÉê)²„§¿E–<î·È2-ÆXŒùqã°m[[+Ѩ »:¹ÎgÉByÔ#˜x뺎UžpòÍ4Í0 +MÓ?zÂÅþ + +endstream endobj 2267 0 obj<>stream +H‰b`Ú€‘‰™›8+;6qNN.n^>~4qA!aQ1q4q I)iYY9yfFfEqq%¨¸²Šªš´´º†¦ˆ–¶Ž®ž®¾D\UUURBÂÐÈØÄÔÌÜÂÒÊÚFQ\Ñ,’vöFŽNNÎ.®nîq”§‰‰—·¯³¦Ÿ¢8@€4z + +endstream endobj 2268 0 obj<>stream +H‰Áë@ Ð÷%|s™ËÌÊ-MÉåO¿sŽsŽBD»éØMƒq‘¡u‘ÖÚ>stream +H‰b`dbfÁXÙر‰sprqcçáåãÇ&. ($ŒM\DT ›0‹¸„$Vq)1ìâÒXEYXdpˆc7eð€…» + +endstream endobj 2270 0 obj<>stream +èåìéæíÛÙßÈÅÊÅÂÇÆÃÉÃÁÅ»º»½½¾èåíâßæØÖÛ×ÔÚØÕÛÔÑ×Á¿Ãºº¼»º½éåíêæîêçîçäëÌÊÏæãê + +endstream endobj 2271 0 obj<>stream +H‰b`@ŒLÌ,¬lì¨Â œ\Ü<¼|ü‚hâ BŒÂ"¢>stream +ÿÿÿ÷÷÷ùùùþþþ + +endstream endobj 2273 0 obj<>stream +H‰b` ™˜±Š  + +endstream endobj 2274 0 obj<>stream +H‰ÁÑv‚ Ðÿÿ¡)‚@ZjŠÖvC´YI¸\õâ™Yí^Q¼Œ‡ax½^@”Îú¾Ÿ¦é~¿?ŸÏ4YSâ¹È¥„ržw]gŒ¹^¯mcšº…ÚòRäj}º³„1–&I’çùívÇ¿Óñ|ø>£Ä# +„ ëy¾¢ª*­õãñЇŸvo겑¢æ‘màÀ0 /—Ë8ŽþýXo€íXØlxY–RJ¥”>œ·¹,¸Œ#lh[Žõ8ç¦í+ÙdìÅ«(H¦„PŒIšfu]ïwZn«¯BÉB͈ïÑ9vI–­æ~l÷]°Xþ 0­ÕãÎ + +endstream endobj 2275 0 obj<>stream +H‰b`dbÆXXÙØ9Ø91ŸyXyYùøùQ$…„EDÅÄ%$¥¤ed™äâòò + +ŠJÊ*ª*jêšZpqmÐÑÑÓ70426‘3ÑBˆkËËË›š™[¨ZZYÛÈ"‰­°½ƒ£““³ ‡'\¨ÇÕÍ݃ËSÕËÛÇ×OøÙ{‡„„†¡ˆƒì‘Wô÷W ÐÆ‘ŠŠ‘fQ˜â}¤‰“ }K77 + +endstream endobj 2276 0 obj<>stream +èåìéæíäáèÕÓÙµ³¹±¯µÞÛâêçîåâéØÕܼºÀ¸¶¼àÝäæäêßÝãÑÏÕÏÍÓçäëæãê + +endstream endobj 2277 0 obj<>stream +H‰b`€F&fV6v ÀÁÉÅÍÈ)ÎËÇ/À„EœAP›(Ð +¬ªG6`øi² + +endstream endobj 2278 0 obj<>stream +H‰ÁÛ’C0Ðÿÿ¢Ú•+¥Ýí(º‰[‘(Ñ—1†±çÇ¡u§µîû~]×,ËÒ4Íó|š& iBïMÓìûnQǦ†!|½þ¸î%Š¢mÛÞ²oÕç•ÖÛ§“aß,dB$œ‹aê×Û»¶åAˆ¡J-)eSkUu²êØãb› Ëü®ã&I2Ïó²,e¡Ä3ãq‡üâüR|1ÆŠ¢Pe+ËVU­xæуǡ ä|¿ûžçA ”Çñ_€˜Òºƒ + +endstream endobj 2279 0 obj<>stream +H‰b`Ú€‘‰™›8+;6qN.nvV6^>4q~A!aaQ14qq I)iiNY9y6qE%~eeU5u M$q---mm-]=A}C66#Mc1°8˜š™›YXêYéYÛ°³ÚÃŵí윜] ]ÝÜ=8< x5 + +endstream endobj 2280 0 obj<>stream +H‰ÁÙR‚PÐÿkjrjª+FñƦÈ" zA–«Ðò siÎÍwU¢­³ã€ˆ½ÃëÐ/ªûòØX† XaLN='lN—$ÎyN"ñÉZ³ò}QAä»Ñ£^‡x¶‡mÕÒÒ±|†€JØôç¿CV®tÓ³CÔôYMÑæJà}ªòêéa¸Tª@o³9öL´u§+“ñŒR$¡³ GŒèl‹cínšb)œ‰wéW”-D•&Y‘—];SÁ6·²¤ßÞÜ+‹ežï’v}5¸>stream +H‰lÏYPàs…„ +×Òµ•%ûÙÉþÿ'óø=Ì™Cô ‹HÑXœd +%¡$Si5¬DšžÉæ8°œ/K´(Wªµº n© ›7±¾Õv:™x·×Ǒ̵Ã?ãGÖx2a‹;_,%˜­Öþf‹gÅn8*ø”wòÏ Ý®7†L÷Ç3À‘Ätçõþõnc % + +endstream endobj 2282 0 obj<>stream +ÿÿÿôôôøøøþþþ + +endstream endobj 2283 0 obj<>stream +H‰b` ™˜±Š  + +endstream endobj 2284 0 obj<>stream +H‰Á‡BPÐÿÿŠJ’ÑÐRNˆ–Ó‰l^ô<ãÍoèÞ¶úTÅçm‘ÕªŸõ&¹ïώУlð½zžOÛôsT[\Ë›•ä; Ì×G,OS#Ëð}†$è ›á] +Æù«ùEa²“Ìp¼˜­3ˆ-Ó›ó?Y4=)Ù£%Q£´._¡Ë[èPªrD°ÔO×1;»]]\´÷Š™c§Š´8Ç .ºéXÁ_€¡2•t + +endstream endobj 2285 0 obj<>stream +H‰b`@F&¬€™…›8;'a.n^>,Âü‚B±ŠˆŠ‰ 3b(ge‘”’Æ´—•EFVVNCœQAQIYSœYUM]CÃ.M-m] åºzú†*\ÂFÆj&¦ªÌÌ-,­Ì1É/cmÅ‘¬ü¦úÌX<Åockg†,` +Ô + +endstream endobj 2286 0 obj<>stream +H‰ÁÛ +‚0à÷„è¢ë@"Š+Vˆy˜y˜Ù\Îöþ)ú}ŸÕ³I c~“VcÇ%H;šEôPæ´,(gƒ…¹ç*‰óòã0­»œÑÎ9 ›§#:Àaæl÷§£›bÊ2Ú^ÝûzµyzqÛˆwQ>þ”ߺâiB¢à…£¬k䨗¿&ÈgŠ + +endstream endobj 2287 0 obj<>stream +H‰b`.€‘‰«8 +;6qN.n,:xxùø°è`Åç—”’Æg–‘•“gÀfQPT’UÆfgSQUS×ÐDˆžÍ + +endstream endobj 2288 0 obj<>stream +H‰b`qHÁ + +endstream endobj 2289 0 obj<>stream +H‰ÁÛB@Ðÿÿ„¦‡šŒ‰4L7£ˆ(Ê-Zwj7[ù†Îéš/~“2‡ºjÎin»’Ü[Р¾Ç¿$*¤2›RGY/3ØÖŸ*GÖÅá—kšb]; íPé¸9p¬ ‰J +ôÄžrŒ°`xÓ°uÍ&°jÒ¨4N–¼×0"1ÈÏúÕw¡«Š‘ÅÕݲ¤<Ø–ÿ*ë¿bæwö + +endstream endobj 2290 0 obj<>stream +H‰b````dbfaecç`@ìœ\Ü<¼|èâ |ü‚BÂ"â ¢bâ’RX$¤edåäù1l`à`RP”SÂgàPVQeSÃ&¡®¡Éé$ „–¶õ@ ]=}¬œ†Xu0ða³¬»zê€ä¡ + +endstream endobj 2291 0 obj<>stream +H‰Û$ÿÈÆÍÐÍÔäáèéæíèå춴»Â¿Æãàçìéðëèï’—Ÿ¤ÅÂÉÎËÒÍÊÑÙÖÝåâé}{‚‚€‡‹’Ž•”¶³ºØÔÛØÕÜÛØßyw~{y€€~…†¥£ªÆÃÊÄÁÈÊÈÏÜÙàáÞåàÝäzx{z€|z„‚‰ŒŠ‘Œ‰‹‰™–½»ÂÆÄËØÖÝyx~yw{x‡…Œ­«²µ³ºÌÊÑâßæçäëxv}Šˆ¢Ÿ¦´±¸Á¾Å”‘˜“— ¤ˆ†”§¤«¬ª±«©°~|ƒzw~yx ã“þ + +endstream endobj 2292 0 obj<>stream +H‰lŽ @†YBDBaIŽMrv9"Tþÿ/²“JÉ3³³óß̛ɂ\þD¡X"ÉtT®PÕZÔ>Óh¶X–kÓn"€½>ÏóJŽÆ„@ä‹SI’fò|±\­)jùP*5 ê[Â0v¡ýÁ÷Læ(Ëò‰ã΀Â/&F·¬ëMÀ ?–f¼më÷ÇÓqT,Â|þÂX7Dd\× … <ÜUàŸ‹ñ+¿ýJ¨XÍû±Þ ;ƒ( + +endstream endobj 2293 0 obj<>stream +H‰Æ9ÿèåìéæíÚ×ÞäáèåáèåâéÉÆÍàÝäáÞåâß樦­ÅÂÉÆÄËËÉÐÝÚá–”›©¦­§¥¬²¯¶ÎÌÓÔÒÙÓÑØÔÑØzxxw~ˆ†®¬³·µ¼µ³ºµ´»ÍÊÑçäëxv}wu|vt{†œš¡¢ §¡Ÿ¦¹·¾yw~{y€|z‘–¢Ÿ¦¡ž¥ÜÚáæãêäâéyx~zw~‚€‡‡…ŒŽ•¬ª±«©°ÉÇÎzx~~|ƒ}{‚ŽŒ“”¸µ¼×ÔÛØÕÜãàç »ˆá + +endstream endobj 2294 0 obj<>stream +H‰b`€‡833 +q6vNFFt}\Ü<ܼ|ìį̀âü\‚BÂ"¢bìŒ(šÄÅÅ%$¥¤edåØÙÙ9åáâ +ŠŠJÊ*ªjªêrrrb¬p@ ®©©©¥­££+¤§o` 7B 02–”41437³`ç`g–×€K-++kcS[m;{{>G€t… + +endstream endobj 2295 0 obj<>stream +èåìçäëàÞäÜÚàÞÛâåâéäáèâàæ + +endstream endobj 2296 0 obj<>stream +H‰b`F&fVl€«Ä( 05$ + +endstream endobj 2297 0 obj<>stream +H‰Á‹–@Ðÿÿ§ÓJïZ"GkQ1SS“F#IOÝoØ{»úýh~›òIâËÊÚH¼æîÀ=oÚêUߧ(5g39ö1¿”ž5e:bxŸí_Ut‹ù—,êILˆUy"òênëe´ôS²´@0J0u÷À^»ÀJñ3ôOºj û,=çÛµ# ÏÉQב*M¼=ìª7÷!ꊒ’+ËŒMâ$?¸>òãòÖâü¬ì¥ù}˪Äéç + +endstream endobj 2298 0 obj<>stream +H‰lÙÁ0E“iŠ´HL)¥ÔØšç±üÿGáÕ½çñìµî݇_(Ëñ|A8ä?TºÅRöÄQž_©Ö Ю©›…@4[m °®‚= {–÷%TGƒa"®zdÆäÁLg˜+›'éb‰€Õ:Ýl§òö‡£€@ž’óEÀCòzãüÎàˆÇ3{Åo8â#ÀÙ} + +endstream endobj 2299 0 obj<>stream +H‰ŒI ™ÿZã[ƒ 'L)R [½–¢7êØ-´ÃdY²#®q‘™ÈÏqêÃG|S*ÛOžT$s. + +endstream endobj 2300 0 obj<>stream +H‰„‹ + wÿÿÓ=¨ÔD©mISÈ+V£¼:օćñ¹XêE +dtŽE‚ŸøUå9^ӲDŽ-{ûx¬ùÇJM€#¿. + +endstream endobj 2301 0 obj<>stream +H‰|[@B«ùšåzåF˲ËQ¥¶ºúŽ¸È PQãÄá²Xû÷æ JÈŽ¡ÃgÑ"N°{ͯÉsû¥dü‰<‡ŠKŽY + +endstream endobj 2302 0 obj<>stream +H‰ðÿËYËZ)Îi?Ñx@Ñx<ÄpG·rs¼ŒÀ¡‰¼ž‘µž«½²¿ÀÀ¿ÂÉÇÉòðñÿÿÿÌ_#Íd É`+Åe_ˉ}ОxÊ™xµ“´Ÿ²¸¶¹¸º½¾¾æåæùøùøøøüüüËYËXËWÌ[1Ño@Òy>Ïw<¾nN¶vu¼‘~¾˜|·”Š´›¨º¯½¼¾½»¾×Ö×òñòÌ`7Ïq=Ñv:Éq:¸jmº‹¤Â²¨Â´«»²ÃËÆËXÍ](Ðh,Ñk*Ìh*¿cOÀ{yƘ|Ç›{µ’ˆ´™ËZÊYÊ[Ë\È["ÈaÌ\ÌZ ,À‹­ + +endstream endobj 2303 0 obj<>stream +H‰tMÂ0Lp§×rÅÝݽPäÿ¿¡iCHg`gr¶„4`‹Õfw8]n×ç¤#$ŠáH4O$SéL6'IyaB€,Š¥r¥Z«7š­v§Ûë#L$ƒáh<™Îæ‹¥áÐÏ°’WëÍv·?Oç ⡉°¢\oªzÐã7½>stream +H‰Á]O‚PÐÿ¿pïå"_~ +fJ†-›â*«¹`QA-gÌPQ’œnŠ³œÿE‹Õôwñöêž« šD0¦iDZ7}~|)ŸU%1ïºî§3ÔêºÀÀF×H’d>ÌO…¹œàû~–eaŒ†ã^÷c%’€¢ã¸{{'òEsä P*Jš¦ÁdÙj¶!ÍCÀèWúz½ŽÃTo¶)^ÔêAì÷û™~Ø_µªF“ ‚ز,oèûR¡ (FUªžç-f±m9rIÅgÎqÜív;ÏOVQ’ȱ˜~‡ƒûþ}Óê)Š¢šª±ˆ—åJš®ÈñœÐï÷7›MS»Ö—aBS$ê½Ýn÷/ÀaÙÛ + +endstream endobj 2305 0 obj<>stream +H‰dÎÅ‚@@QPÑ11°, ìnìÀîîÿÿÝ:ï.ÏêAÊä +J©B?Wk´”NÝ@Mf pÆj³;œ.ÜÝ/Ëùüs’ C|8<â‰d +÷4“²¹|s²X*Wª5„—®7šb« ¼ÓíõÃð±4™Îæ àË»Þl#i·çG豓x¾\¡ßîþÀçÑÏ×û}§$Ž + +endstream endobj 2306 0 obj<>stream +H‰tQ!B…ûºmK%3?¬y:@Œ6kõ(b³àûëÖ?ÎÉøsÊu1¹jÐ4Úž§®sù»|y¦g“ßSÿ´WN"#kOò +¦ôÇÿ Yán + +endstream endobj 2307 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?¬pE9•û‡.Åv¿VihÄ}Ñ{‹~C…Ê1Âì‡9¦vþŒ|×yÓñÎ>T‘îkFèñZìôáîXpmYÈ4a8´ÃžC~ô•L’þ£ÕêŪÊŒ»Â¦RTYÞC¦ÿ8ÿøн!¼€_3$­s0ú±aØ¿@ý_ÿ–ò?µùR_?$ªudÿÙ + +endstream endobj 2308 0 obj<>stream +H‰Œ[@Íý/½?lûð!´)Þ5#ä íôc¬ÐY uIÌû™‘/ph$k@1pž¼! ‰ï5 ­_j 0#;1 + +endstream endobj 2309 0 obj<>stream +Ÿœ£’”¯®°áááÿÿÿ˜•œ•“—ËËÌòòò”˜—šééé”™˜›èè莓¥¤¦îîîØØØúúú±±²ýýýÆÆÆûûûààáñññ + +endstream endobj 2310 0 obj<>stream +H‰b`dbfÁXÙØ9°‰srqcfááåÃ*Î/ ˆU\@H«¸·(Vq1q¬Â,Ø…Y$qˆ`9Q + +endstream endobj 2311 0 obj<>stream +H‰¼CþÿÿÿÿþÿÿþþÕ×ÖÖØ××ÙØçèçôôôöööþýþ¦º®²»·²»¶ÇÍÊâãâããããâãèççúùùtÑ™¡×¸˜Î¯”·¢°¾µ½ÂÀÀÂÁÆÈÇåæåöõõøøø6ÐqGÓ}EÐ{A½qVµ{‚»™¾¢Œ¸žœ¸¦·Á»ÄÂÃÄÁÃÔÓÔüûüÌ_ÍaÌaÇ]2ÆkjÍ’|ÑžtÅ•z±›´¥¶··¶¶·ÄÄÅðïðùøùùùøüüüËYËXËXÍ`8Ñs@Óx=Ìt>ºmZ¸~{½–~¼—µ”’µŸ¯»´¿¼¿ÚØÙËZËYÌ\ Íb#Íd"Ëc"Ä`:ÄoWɃYÉ„V¼}b¯˜¶¤º¹¼··¹ÊÈÉöôöø÷÷ûúúþþþËYÌYÎa+Ðk,Ðk)Èf0½f^Â…|ÆšzØ{´‘“¶¡¬»³³»·µ¾¹ÒÕÔåååäääËZÊZÊ[Ë\ÈY3Ém‰Ó¨¢Øº”ƪ›·¦ºÃ¾¿ÃÁÌXËWËVÌU%ÐfiØ•~ݤsÌ—s²Œ•´¡¢¶ªÌ[Ì_Í`Ë`Æ\QÊ€qΖ,Ïj;Ñu!Íb 4ŠÑ + +endstream endobj 2312 0 obj<>stream +H‰b` 02á`faecggçàD—àâæáåãB——”’–ag—E–“WPTRVQUS×ÐÔÒF’ÐÑÕÓ70426153·°´’µ¶JØÚÙÙ;8:9»¸º¹{xzy{û@%|ýüüüƒ‚CBÃÂ#"£¢cb­ââ9™€â¾~¶‰vIÉ)©ié™YÙ9¹yù…E~pP\\\RZVV^QYU]S[‡°³³«ohlljnimkïè…|ýºº{zûú'øa Vû‰“àê}Q4vO0ô‡J˜ + +endstream endobj 2313 0 obj<>stream +H‰T«ÿèåìéåíàÝäËÉϦ¤ª©¦­ÖÔÚåãéÞÛâÇÄË¥¢©«©¯ÝÚáçäëáÞåÎËÒ¸µ¼¼ºÀÎÌÒåâééæíÝÛáÉÇ͹·½ÕÒÙáßåÔÒØêçî O°D› + +endstream endobj 2314 0 obj<>stream +H‰b`F&fV6v ÀÁÉÅ̓!ÊËÇ/ ($,‚!.*&.! +—”ã‘ÆÊðbA ÀLëå + +endstream endobj 2315 0 obj<>stream +H‰«Tÿÿÿÿþþþäããíììþýþ¿ÁÀÎÏÏìííõõôôôôúúú¤µ«¯¼µÌÒÎÙÚÙÚÙÚÛÚÚííízÑžt½’‚°”¤µ¬¸¸¹¶··ÑÑÑøøøùùùýýþ?Óx<ÆrC¸oe¹†~¾˜|»•‚³•›¸¥µ»¹¿¼¿Á¿Áçææ"Íc!Éa&ÃbCÅvXÉ…WƃU·{m°†¢·¬·ºº³¸¶ÔÖÔö÷÷÷÷÷øùøüüüýýý ün€‚ + +endstream endobj 2316 0 obj<>stream +H‰b`怗3 v V6vNN.L n^>~AL !aQ1q III)i Y9yE%eU5u M-m]=}C#cSS3s ˆ@€ å + +endstream endobj 2317 0 obj<>stream +H‰Ÿ`ÿèåìéæíÔÑØàÝäáÞåâßæçä뼺ÁÖÓÚÙÖÝØÕÜÚØßåãê—”›¹·¾º¸¿À½ÄßÜãÞÛ⋉¡Ÿ¦¤¢©¢ §¨¦­ÄÁÈÏÌÓÎËÒÚ×Þzx{y€†Ÿœ£«¨¯ª§®Á¾Åyw~|z†ƒŠ‰‡Ž‰†˜–¬©°­«²«©°µ³ºÜÙàëèŒ”’™•“š ž¥ãàçæãê ‡rH + +endstream endobj 2318 0 obj<>stream +H‰b`ž€°ˆ31³°°²aŠ³sprqó`jâåcçàbE—”’’f@Ñ###+#'¯ ¨¨$ÈÂÌ‚°HTTÕÔÔ54µ´utõ¡‰ËȪ¨¨èI²²°›˜˜°±ªI½ + +endstream endobj 2319 0 obj<>stream +H‰tŽ + ½ÿ:T–+˜H:§T³p`ó3ËabQ®ý-zb|7¿Þ|à^ó»‰Ãkàæ½ðÍ"˜JS"Bl‹JC€6²= + +endstream endobj 2320 0 obj<>stream +H‰çÿéæíèåìÉÇÎ×ÔÛçäë”’™·µ¼ßÜããàçâßææãꈆ¦£ªÇÅÌÊÈÏÈÇÎÌÉÐÚ×ÞáÞå|z†‡…Œ”¸¶½ÏÌÓÍÊÑÕÒÙåâéyw~xv}€~…¨¦­¾»Â¼¹À»¸¿ÆÃÊÛØßÞÛâäáè{y€…ƒŠ‹‰Šˆ‰‡Ž™–¶³ºº·¾½ºÁwu|‚†–“šš˜Ÿ›˜Ÿµ²¹ÊÇÎÉÆÍÎÌÓyw~|ƒ›¢­ª±«¨¯·´»ÝÛâêçîzw~yx~xv~‚€‡‰ˆËÈσˆŸ¤§¥¬¦¤«wv|yx Rj£ + +endstream endobj 2321 0 obj<>stream +H‰lŽ×‚0DBŒ»{*ö^ÿÿ{¤Œ#ќdzwï,€|à{Ÿq} (ˆ‡ú‰I8ÅX’D&I¦Ig²¹|¾P„ðQ ¹T®Tkõ†Ðl¶¼ž¶;Ýž¢ö«­€»ÐñTÖu}8«“©aÌæ"´ Ì´BzËÕj½Ùî°ÄžÒƒe™žÎgÐ.†A¿ØUóz=)·ûãáñn‹åຽ3ÂZñ´Û/öä¿Ä}Åò` s$R + +endstream endobj 2322 0 obj<>stream +H‰åþËYÌ[%Îf-Ðk,Íj*ÁcF¿tnÅsÆ”p¹Œ±“¡¶©­·±®·²ËÏÌáââäååø÷øÿÿÿÌZÌ_ Íb ÌaÆ^-ÅgCÈvEÉxBÀrR¹zÁ¤®Æ¹¦¾±¹Ä½ÔÖÕÚÙÚôóôËXËYÌXËVÌUÏ^]×Ý¥uЙs³Œ³›¥·¬ÂËÆÔÚÖÓØÕ×Ø×ìëìúúúËYËZÌ^Í`Ë`Æ[@ÉurÇ“|²‘…±•‹²š§´­ÏÎÏêêêËWËW.ÑmY·^´b­~i¯„Ž³¯½µÃÍÇÌ]!Ìc"Å`!Â^(ÃcKÈ{[¸]°}Ì\3Ðp>Àp?µlÍ`È_Å_ÌX #Ô¡à + +endstream endobj 2323 0 obj<>stream +H‰b``dbfaecçàäâæáåãaQ1q I)iY9yE%e¨8ƒŠŠŠªšºº†¦–¶Ž®ž¾¡‘±‰)˜10˜3[XZYÛØÚÙ;8:9ÃÅÀÅÕUÃÍÝÃÓËÛÇÄ7‡¨g0b?ÿ€À àP$s`@%,<"®ÂÂQÑ1hêÍÁÈÌ,*Ž0U9.nŽ,²×•5ÈÜ ªÑY†ùæpÂÌ!€ 0Ý`z‹ + +endstream endobj 2324 0 obj<>stream +H‰Á‹V‚0Ðÿÿ‡N±rGEÏBœ"!ŠB"")ƒ!Z¿Ð½ŒÞYu'In6×í¡±B¯MS=ê²B2ÓŒ—ŽP次øtV¿0xƒŠ¬û^˜Ÿ©»õáǨûÌßêß³ŸH2|‚´e5m3R~´^í l­Lç’iœYæfÇX_’8¯ŠÛ|f +ÐÕE‘Õ?n0ýÔ,ð·³öÐD" ‰ŒT¬-Å^ŸçÄíJ$ Q]lkëØ^yeÑ‘ôÁ°óôú/À=ƒ  + +endstream endobj 2325 0 obj<>stream +H‰b`€F&fV6v ÀÁÉÅÍʃ!ÁËÆÇ/ (Ä©CXDTLHÓ 6& I)i qY9yE%eLƒdUTÕÔ54±8‰OK[‹[9tõô ±8‰CØÈØ„™C‚ÇÔLÀ\Ó9# KS,Nâá³²¶ÑÀô‡­ˆ½©¦MG-'f]L4MµÐÅ ç n + +endstream endobj 2326 0 obj<>stream +H‰Á‰@Ðÿÿˆ0#cH5 £C% [ív¨¶cK9¾Á{/òéš/);ïÄåfDÑwÇïÛNkä'ù–¸¹]ÏŠCj\á¶È‰ëx'q‹•eœ³¤lª>F™®Y£½–v¤êÚú]æ +Ó°™¯ÊFf–y6´páv#óœ80>Ÿ^Ì…¦õÈ2˺xA/ÖSÙëÛ-2ýød^=nÁ_€?©ˆ + +endstream endobj 2327 0 obj<>stream +H‰b`€F&fV6v0“ƒ!ÎÀÅÍÃË‘``âG’ed@bâ’RÒ˜â2²rò +Š2\LJ‚Ê*ª2ù™Õ„ù1ĂꚘ60Èh‰h+ȱcJˆéèê é`‘Ð70426Áb·©™9¦“@:´,´°¨Ù.†U À$‹Ö + +endstream endobj 2328 0 obj<>stream +èåìæãêÛØßÁ¿Å­«±¯¬³Ú×Þêæîäáè×ÔÛº¸¾®¬²¹¶½ÝÚáéæí¿½ÃÀ¾ÄÑÏÕãàçáÞåÖÓÚçäëâßæ + +endstream endobj 2329 0 obj<>stream +H‰b```dbfaecg@œ\Ü<¼|XÄù…0Å…E˜1DD…xÅ0Uƒuˆb ÞŽd + +endstream endobj 2330 0 obj<>stream +H‰rÿyw~|z†ƒˆŒ“Ÿ¤¢ § ž¥£¡¨À¾Å×ÔÛÝÚáåâézx}{‚‚€‡‰‡ŽŠˆ‹’²°·ÏËÒÎËÒÎÊÑáÞåywxv}wu|‘–°®µÁ¾Åzw~{y€yx›¢Ž•yx~zwzx~ ÑÀE' + +endstream endobj 2331 0 obj<>stream +H‰b`€F&fffV6vN...n˜8/ð  + ‹ˆŠq‰ƒÄ$ಒ’’R¼Òl2² ®B¬W^^AP‘HJII1+a‘€èÂ! ”A„ +Œ'‡*®¤•1õÈA¥©䈑Q…ÒX­†‚ Àðà + +endstream endobj 2332 0 obj<>stream +èåìæãêåâéáÞåãàçÞÛâéæíßÜãÔÒØàÝä×ÔÛçäë + +endstream endobj 2333 0 obj<>stream +H‰b`Àˆ]˜‰»8 +Va6vìâœ\$™ÃÀÀCœj€ «8###V» EÝr + +endstream endobj 2334 0 obj<>stream +H‰x‡ÿéæíáÞåÍËѯ­³³±·èåìâßæÎÌÒ¨¦¬ª¨®ßÜãêçîåâéØÕÜ¿½Ã©§­´±¸ÜÚàÂÀÆ ž¤¼¹ÀÜÙàçäëÙ×ÝŸ£ÃÀÇÕÒÙ°®´ÎËÒÏÍÓº·¾ÔÑظ¶¼·¶»ãà罺ÁÁ¾ÅÐÍÔäáèæãê ä_ + +endstream endobj 2335 0 obj<>stream +H‰ÄŽÉ@0ŒXƒØw‚äÿÿPÎfîúØõªë1îùgð……"Š“ù,—EIì+U7m‡4ôr3¬aRóBhXÕ¶ã:ÀqNšÚ_·Æ'â1TŒ&N:¬%õo¼ 5W +ñ + +endstream endobj 2336 0 obj<>stream +H‰ Íßj‚P€ñ·Þ²‘ÇuôxæFÑEì¾ œ©9_ÀAÙÅN¦H½€éèÁÿÎïúß0 }ß3Æ貂ݮ7Çq¶[Ã4-]×—‹à¡à³?ŸN÷È7MSUU]×é_ÇñåFQDÈùcó‰Ð‹ŒŒIÄSLfAì¬Ý˜mkÚ—ª¾Á9¡,ð°mÛ,Ë\÷Ç0Lk4–½^¿?qãb†Ñ~ðÞÑ;*øU‚2qY–yžE‘$ !äô{ò}¿ë:Jé¿•Ì + +endstream endobj 2337 0 obj<>stream +H‰b`dbffaecçàäâæáåã€A!!aQ1q I)iY9yyy¨((*)«¨ª©«kð£Jhµjiëèêé¢H€€ ‘±‰©Ž™¹…š£¥•µµ­½=à`åèèˆM‚Q°I E`]! + + +endstream endobj 2338 0 obj<>stream +H‰ ÄÁk‚P€ñ¿zåSß{¦OŸ&;®¬AÓ­.¼µk.™³.‘¹[ŪƒA1B?>ÐF€A ÙÓa(Œ!ÏaŽE<‹Y€}ߟNß'“7óÅÒTA# òóùÇqEÁw0<ÊD¥JW¥ºØ‘A2-X×uQž÷åØÎl6s]×2ÇÕ‰¨È%E|çv»ÇÝ.ò<ïiôÜ{0ýa¿gIaî h¡4MÃ0\.—‹…gš–BT“ªªò<ϲlدWë ø[¯8€.—Ëéô7ŸêÝ{•v$q—ey½^“$‰ãߦÍf#ª(Újµ¶mÇq>¶Ûè_€¥+±¡ + +endstream endobj 2339 0 obj<>stream +H‰b`@Œ 83º²r0@–e…PlPÀÎÁÉÅÅi #//¿€ 0#ª-"¢b¢â’RÒ2²rrrHò@  ¨¤¬¢ª¦®¡©Å€"¡­#¦+ª§o`hdl"g +òT ÌÌõ,,­¬%m Î„KØÚÙÛÛ;8:9»¸rq!K€½›»‡§—€—·ª„¯ŸŸ€X`pˆ>stream +yw~yx~ywzw~zx~zw{y‡‡‰ƒ‚†xv}²²³§§¨‹ŠŽ„‚†…ƒ‡~ƒÚÚÚËËˤ£¥˜—™™˜šš™›ŠˆŒ + +endstream endobj 2341 0 obj<>stream +H‰b` `Ä#ÇÄÀŒª–… !ŒPD˜Ž°’®U+g²s°prrb‘àâæáåãã‡;ì|O@PHXDTŒC@€F±> + +endstream endobj 2342 0 obj<>stream +H‰W¨ÿyw~yx~|z}„~|ƒ‚€‡™—ž¥¢©¤¢©£¡¨¸¶½ÊÇÎyw{y€}{‚‰†ŽŒ“‹’§¥¬½ºÁzw~xv}wu|vt{Šˆ›™ zxyxzw Qp/ë + +endstream endobj 2343 0 obj<>stream +H‰b`F`bffaecçàäâf€ æebbâãa@¢bbâ’ ªØX)^ õP]¢8$0œ%'¤QŽ TÈkÀô/s"†ƒDp°q€ùS¥ + +endstream endobj 2344 0 obj<>stream +H‰ Ì=Oƒ@Ð-wœ|ÔÚ4š:Õ¤ZÚ¥82Æž†„õ @ Œ0x|·Ëß<ÏMÓAp>BþùÝí¾Tåi¹ÄÊ< '2öŸeÙåÇqâºîþÛ²öÓØÜ#rD宋¢(ËÒ÷ý·õ;Æšª>ËÒ#‚Ò8ŽmÛv]—$)¥4Š¢0 Öc¯4EÑ+žÁ0MÓ0 }ßßð>stream +H‰b`dbfaecggçàän(`àáåã燋ƒ„¤¤”´Œ¬œœ¼ Ä•””%TTÕÔ54µ´utõôôà@ßÀÐÈÐØÄTØÌÜBAXZ©ª1[Û £‰ ”´µ³·gÀŽNÎÎXć0û* q + +endstream endobj 2346 0 obj<>stream +H‰Á[k‚Pà]^Ï9k^×ZmÐÜØöæ´\DÚbä“àƒ +A¾>(ø hâ­Òö}x}€c€À ‚ Ö£~H``»5EUÕ™ôüBëÓY–EQDZã8“Élj‚0D€! Hâ°®ë<χƒa³™¦i_ó¹6~x„`€à€¦Ðõzíºîr¹œÏgÓ4§SI’^G£1M¢ý~¯ëÆj¥¯×ß²ü)ðwÌ€cnyŠ¼Á{àt:…a¸Ùl‹År¹ùûãñ躮eY¶m¿¿}°¬À±bÛ¶UU•eY…çy»ÝN––Ò4õ}ÿ÷ç¯iš$I‚ ø`MÁ§Ì + +endstream endobj 2347 0 obj<>stream +H‰b`F& †° Ñ€ σ 32#!“ ,¬@€Å<6&&vf@çàääâæáe`bBµ—Ÿ_@PHX„MTLLLá >>I)iY9yE%„¸2¨¨ª©ª©khhji‹‹‹3ÃÅÁ@GWOßÀÐÈØØÄE\ÕÌÌÌÜÂÒÊÒš—‰™YØØÚÙÛ98:9±ð ˆ;»¸ººI¹»{xz)coo_LqU ?ÿ€gê + +endstream endobj 2348 0 obj<>stream +H‰ùÿÒÏÖÝÚáéæíèåìÇÄË×ÔÛ¢Ÿ¦¶³ºÌÉÐÍÊÑÐÍÔàÝäéåì{y€ŽŒ“¡Ÿ¦¢ §¨§®ÏÍÔçäëäáèåâéwu|…„‹“‘˜”’™š˜Ÿº¸¿ÎËÒÍÉÐÒÐ×áÞåæãêyw~~|ƒ}{‚€~…‹‰‘–‘Ž•”ÏÌÓÜÙàÛØßÛ×Þ}„‰‡Žª§®©¦­¼¹ÀÓÐ×ÕÒÙÔÑØÖÓÚâßæ|zŒŠ‘¦¤«ÅÂÉÆÃÊÉÆÍxv}‹ˆ¡ž¥£ §¥£ª¿¼Ã†Œ‰Šˆ¨¥¬·µ¼¶´»ywxu|vt{yx–”›•“šzxzx~zw~yx~ ¨j + +endstream endobj 2349 0 obj<>stream +H‰|å‚@FqAu]Y;D±[lìî÷»ðèý1çÌw'(Š23´†aÌ_á–e-ÏYm€¶¡Ã)8]näñˆèM`Œ½>¿/ …¹HTÅØ]B $Éq%‘T…T:“"D£›¸"çrR^(‹¥r¥Z«ðPÓ´x¾¡4š-¦Ý1çÆ…îù!îé}}0 ñÄȨ7ž¨ÓÙüÚ,Þ×–xµV6ÛW²x ì4Yú:edOýñY~r`e+“ + +endstream endobj 2350 0 obj<>stream +H‰Ï0ÿèåìéæíÌÉÐÔÑØåâéµ²¹ÃÀÇãàçìéðêçî”’™¢ §ÄÁÈÍÊÑÐÍÔßÜãæãê}{‚‚€‡‹’”ž›¢ÊÇÎÝÚáÛØßÚØßçäëyw~{y€‚†€‡Œ“¸µ¼ÈÅÌÆÄËÆÃÊÏÍÔÞÛâáÞåàÝäzx|z|ƒˆ†‹‰ŠˆŸ¤Â¿ÆÙÖÝxv}wv|wu|vt{†„‹Ÿœ££¡¨¡Ÿ¦º·¾ÑÎÕâßæ}„ŒŠ‘Š‰‹’£ §¶³º´²¹½ºÁÛÙà ý x + +endstream endobj 2351 0 obj<>stream +H‰b`Œ€‘‘TLÌ,Øõ°²±spb“ââæáåã`a@“—äÊH!IHËÈÊÉ+(*)«¨ª©«³ Ihhjjiëèèêé+*+ I¡¡‘±‰©™¹…¹%//“•5#L ltmíìœ]Àظ ¸ + +endstream endobj 2352 0 obj<>stream +H‰tI qþÿh$€B&8¶ 2¤À>"©ëÝÈQ PGa= ÖÜ*¤ _"ãUñ:Ð:Ô6tKx† gªÀ=êÛìÒ`BÌ_ + +endstream endobj 2353 0 obj<>stream +H‰”A1µÿ?z·6…8l\2ÑÅì ,Â%0XO¾5yKÀ>stream +H‰tOA þÿèj+¥0Õ 1Á0$Ïu’Æ?s¨7å¢äx~4^õÏ&U†‡÷´)ö¯î¥É©XÏ¿´mª'y˹×m NZ + +endstream endobj 2355 0 obj<>stream +H‰Œ + ½ÿ:H«&Š¡´É63,Š³É`>ß¼)•Þ«õ*¤_žüu\%7"ÑÁE=2*R%Q£‰åÒa ,ô4 + +endstream endobj 2356 0 obj<>stream +H‰”I +03ÿÿt …â +ªà%ÑŒ’¸ +9¯¢yTÕ½ÆÑ)˜èDõ ¢IònËóaªßÐ`% + +endstream endobj 2357 0 obj<>stream +H‰ŒO ÿ?:[fdµår4BÀ‹†QLèÇÛ&J^MU6Å—°9ä‡âˆ,H¸8â©“Ò¸²_ž©¾„LÅB90‹ / Ž&š;fK + +endstream endobj 2358 0 obj<>stream +H‰|Ñ + ½ÿÿ鈵­|ÈuNhÑŠÑJçÁ÷BÄñ`7Ç8ñë:C†`ŽèOøDÀÊÔ*$©÷e 0$…* + +endstream endobj 2359 0 obj<>stream +H‰lP!þÿèë–%h®e% ñ¢÷†<õâÀg ÈÆ4>stream +H‰¤[þÿÿÿùøùùøøùùùþþýÏÖÑÒÖÔÛÛÛôôô]®|²•²µµÞÛÝüúûúùúúùùüüüþþþ<³ig¸‡¸ª»ÄÀ×ÚØØÙØ×Ø×âãâòóòõõõÄ],Æf>År>¸mj¹‰£Á±§Â´§¹¯ÈÍÊÖÖÖÖÕÕÚÙÚôóôÌYËXÌW ÌU8ÒsuÚž|Ý¥pÀ°’µ§§¶®¨¸®ÃÌÆ×ÛÙÚÙÙÙØÙçççýýýËYËY&ÎfBÒzEÓ}AÄsM¶u|»•‘¿¥¹Ÿœ¸§·Á»ÄÃÄÄÁÄØÖ×þýþËZËXËWÌVÍ^LÔ‚eØ“]΋\³}{°Ÿµ©©º°ÊÒÍ×ØØØ××ÜÛÛÍ\4Ðp>Íu<ºlW·}z¼•}½—µ•–¶¢¶»¹Á»À¿Áëêë%Íe=Ðw@Òy<ÃpLµtŽ¼¡¬À¶£¸­»ÅÀ×Ù×ËYÌXÎ`,Ðl.Ñm+Çg6½jgŠ}Æšw½“³”¢¹«®»´ËZÊZË[Ë\Ê\ÇWaÏ‘Ô®ËX-Ïl>ÑwÌ]Ì_ !ô\ + +endstream endobj 2361 0 obj<>stream +H‰b` `dbfÁ&ÎÊÆÎMœ“‹›‡—_@Å…„EDÅÄ%$¥88¤Äedåä•”UTÕÔ5Ä5µ´utõô ŒMLÍÌ-,­ âÖÖ6Z¶vöŽNÎ.®nîž^0qok__?ÿ€À à£Ð°ðˆÈ(8ØøhEÇØÅÆÅ'$&%§¤¦¥CÅÁ¨/#3+;'7/¿@¬ćè)ò).)-+¯¨¬ª®©­³Fõõõ MÍ-­m$P£MQ{G§5`cÝÕ `!Ž>f + +endstream endobj 2362 0 obj<>stream +H‰™fÿÿÿÿÖØ×âããóóóôôôõõõüüü±º¶ÀÆÃàááææææäåéèèùùù ×¸”»¥«¼²¿ÅÂÃÃÄÇÈÈåååóòòòòòøøøDÓ{@ÃsN¶v}¼–‘¿¥¹Ÿ¸¨¸Â¼ÄÃÄÄÁÃÙ×ØþþþÍ_É](ÇddÎŽ~Ó¡vÇ–y²™µ¤³¸¶³·µÅÈÇïñðööö÷÷÷ýýý çñuÌ + +endstream endobj 2363 0 obj<>stream +H‰b`ø#3 +†8;'7/†8¿€ °‹¨˜8Š¸„¤”´Œ¬œ¼‚¢’2²¸Šªšº†¦–¶Ž®ž¾¡L À½4 + +endstream endobj 2364 0 obj<>stream +èåìæãêçäëÜÙááÞæ ¥¸¶¾ÓÐ×ÔÑØ×ÔÛåâééæí…‚Š¤¡¨ÄÁÈÇÄËËÈÏàÝä + +endstream endobj 2365 0 obj<>stream +H‰b`äFFF&lâÌÌÌ,L@€.ÎÊÊÊÆÎÁÎÉÅÍÍ,ÎÃÃÃËÇÏ' ÈÈÅ…¬ À#Q + +endstream endobj 2366 0 obj<>stream +ÛÙßÆÄʧ¥«¹·½áÞåèåìÜÙàÄÂÈžœ¢³²·ãàçéæíßÝãÍËÑ®«²¿½ÃåâéäáèÛØßËÈÏÔÑØæãêåãéàÝäÖÓÚçäë + +endstream endobj 2367 0 obj<>stream +H‰b`dbfaÅØØ98¹¸±Hððòñ `“Åf”˜¸„$6 VVIâ#TÛÏ + +endstream endobj 2368 0 obj<>stream +H‰Ái`àÿÿÑllÉ‘±Ž¼Ys„RŽr+]Jo—«ï}Èó„ðx/èFžÞκ°X/9QXnÔ‹á?cÇ‚÷›¥]ÍÈÿ¸¶oêÎQ¹ÆÁw#)$Þ…?‘ßb­?—uLWmŠ”KÕƒ|ÁÚ]¤†>Á˜å` ÕîuðV³­išcBiµC‘&MÓBe{ªVP'’$IÓÔÒݽ|fG³|®† Ë2nÂOÇ Šäs0ȱ‹i + +endstream endobj 2369 0 obj<>stream +H‰b`@F&fffV66vFÀÈÉÉÉÅÍÃÃÍÅ€ xÁ€‹0ñaçåÀ.!($Œ]BDT ‡q ¬âŒ’RÒX­f”‘•Ã*!¯ §ˆÕ$%eUljêšZØLÒÖÑÕÓÇ6142615Åà03·°´250¶Äj + +endstream endobj 2370 0 obj<>stream +ÿÿÿúúúÓÓÓ¼»¼¾½¾¿¿¿±±²Ž‘ˆ‡Š‰ˆ‹ýýýéééÝÝÝÞÞÞàßàÍÍ΢¡£––˜˜—™ööõÐÐÐÅÅÆÇÇÇüüüûûû + +endstream endobj 2371 0 obj<>stream +H‰b`@ŒLÌ,¬l윜¨â \Ü<¼|ü‚BB X€°ˆ¨˜6 q ¬£+0ÅŽ + +endstream endobj 2372 0 obj<>stream +H‰ÁkO‚PÐÿ¿¹\¸¡ˆ€©‘SÉÊ 5h¶¹´AÌ„¥€¨T|°M6E;gé|¯Ý߉nJÅë\&ϱiDѽ^ïx<~¼b®Lð4bDñB×õ…µ~¾°Œ€E$(MÓ‚ ˜Ž¿”fŸ¡9,‚óœàºî«:®ÞÔi”8,•$ß÷;­GÙlβ¬ý~ïÚÞÌtî* ’ cQ(•.W‹ósÞ¨µ> Áiô”ËW»Ýnü6¥`ÄH"Eé†aè-ý3á¼P(†q8œùjô¤Ù¶mLfÝö#ç¸ïyÞp óeD&o6[›Í_¿;ȤE–åTU­VêÛíV¾½çS, +d¹†`àAPŽò/À&ˆËö + +endstream endobj 2373 0 obj<>stream +H‰dÎÅ‚PEaTÄk Š( + +b+vawçû¿‡Lðß3ügm‚0Ìd¶VʆÜîpºÜ̽>šñX̃¡p$C˜ÇÉ—Æ=ãä³9º˜/HrQ7ºR*Wªµzº©I¶Ôv§ ½×ìKê`8‚>žÐ 5Eº6›GKÜWëÍv·Ç\<¥Ó™….æ/’Ì]pE»ÝùÇS€®Ç¿ÞŸïß ÀDô + +endstream endobj 2374 0 obj<>stream +H‰ÁÉn‚@Я.0Ze\ch¿$¶BmïEåb ÈÕHTCb,‰`߃r p2àå'¥8Ià$ Ȧ9¡´‹ÅXSU"KÍš“$Ùl6ëõ:Ïóýà¥G¯×+cìp8l·[Ã01¢”t)éuÚX›b­^UUQyžßn·óùÇñétZ­~GñÒj‹5x¿ß³,s]÷ëóÛžÙýþ°ý¬6ê +àaEaÆd<ÖuýE×_1"/¹?îb>_.–Žíô´R)FZ¶Ê²LÓt¿ßû¾ïØ–5}›¾OL ©„‹Çã.Šì™Cv¹ü±˜ý 0jı + +endstream endobj 2375 0 obj<>stream +H‰b`d˜YXYY!L6&$šƒ“‹›‡%™˜øø…„EDEárP &.!.)%-#+'¯ €,¡¨¤¬¬¢ª¦¦®¡É¬¥-""1Itõô ™ŒŒM :à*j¦fæ–VÖL¬¬6È 93[;{G'g'^^d W7w O/o_?Vt âï$Œ!¡t¦rHh(¦õ@€Ûª1” + +endstream endobj 2376 0 obj<>stream +H‰ÁËBPпÆõ¸—ãÓ"ϦZ$›DÿASëZzº«´²°Ð9€„4 i +Ñ¢p©›ª²DpÎÒ<þà×óé{Q”%IøÅ4M¿¾O’‹e9Žã¹®o+ÄÍ8†g~GŒqY–UU5MS·Ývo­mÇvç3¹ÿö÷û㚦Y–ƒPW M5tÍTdc…aÞ]׶m]7y–Ÿ£8:ã8 á_€¤xr9 + +endstream endobj 2377 0 obj<>stream +H‰b`FÀ„ÌÊ13BD™˜Ð 4]0 Æ¡ZÉ„¤˜ $ÇÂ@ *aee…‰¡H0²±ã0‹ƒ“‹›››S‚—_@PPˆCBXDTL\BRŠ[Z†% „eåä•”UTÅÔØ€!êšZšÚ:ºzú¬¬‡B$ MLÍÌ-,Õ ,a¸ + +endstream endobj 2378 0 obj<>stream +H‰W¨ÿÿÿÿúúúççèáááââ⸷¹‘‘“’ŒŒŽ~‚|z€ýýýððñíííÒÑÒ¶¶¸µµ¶··¸ªª«†…‰~|}‚ìì쨨ª––˜˜—šçççàààüüü XÀ@¡ + +endstream endobj 2379 0 obj<>stream +H‰b`€F&fV6v6N...¸07///¿€ °ˆ¨(&—”Ä"Î(%ÍÌŒEœ[FF›ø(@€¯G + +endstream endobj 2380 0 obj<>stream +yw~yx~zw~ywzx~ + +endstream endobj 2381 0 obj<>stream +H‰b` 0ÂLXÄ`|tÒ3#¦‘Øb0P ˜fc×ÇBLcp8˜‘€á,8ÜÀ`P+ + +endstream endobj 2382 0 obj<>stream +H‰Ø'ÿyw~xv}†„‹™—ž›™ š˜Ÿ›¢´²¹ÇÄËÆÃÊÅÂÉÌÉÐâßæêçîéæíèåì}„ˆ†ŠˆŒŠ‘¥¢©·µ¼¶´»µ³º¿¼ÃÞÛâyv}wu|zx‰‡Ž•“š”’™“‘˜œš¡º·¾ÅÃÊÄÁÈÐÍÔäáèçäëæãê{y€~|ƒ”ŽŒ“Œ“«¨¯×ÕÜÜÙàyw‚€‡…ƒŠš™ ÃÁÈÂÀÇÒÏÖàÝäßÜト„‚‰ª¨¯ÊÇÎÉÆÍ‹’žœ£†ˆ…Œ‡…Œzx~yx~zw~yx Ñ‘ + +endstream endobj 2383 0 obj<>stream +H‰|ŒéB`„íeo#_»B+I‹6-êþï(Q(žÞ?ç™™3€á©t&›ËŠ%‚¤(Šö†åØr¥Z«óI¸AÃDÔlµ;Ý^_’CYQd/ð@ªŠFÜx2ÍM#dÙsuÌ- ÃXòæÊ\o¶Ám©*cí,|8Â/nS„“mǯz¾\“|ýU»…Ú Ÿû—úèØJ¤ë|ÿê±ïG‚÷=X|ߧ]# + +endstream endobj 2384 0 obj<>stream +H‰ÎOk‚PðO½|O}O™ÚÆb‡-´C#ÿmA¦Þmó èÕ×Eh½*®ý>Á¤0X–æˆó4O. CMÓUU[,^ÁÑNÓÔq\˲]÷s³yx‘¸®kBHY–„\ó>stream +H‰tÎׂ0EÑ Áìb ö`AÁ^±·ÿÿAF”‰®¹OûáÌÔGàu.@ý¤i†åÈâ> „ï%WD”¢±x°,^O¦Ò™l._PŠ%X®xBªªVkõF³%Ëm s¶×¶¡¤ÖѺ½¾ž Ãý3GcA˜043ùzu¾ÐõåjµÞl}# !q§Hû&OóóåJvçÃÛ쎇ù»cëOÿë)À¤-Ë + +endstream endobj 2386 0 obj<>stream +H‰ Á»C0ÐÏŽ<$TëÑxT»mÿAMfký,8&6í½P( j” C†4ÊyhP ýóWU"Í0¤HcMÓ´m›ç¯À|†Aä¹\'&F‚lÛ¶yžÇq†aš¦o]ÇñÍ:ا£K‰¹ïû²,eYÅ[J%¥|ÜŸŽí9öy]׾ﻮSJ¥©H!Dv‰®?×ñgÆ + +endstream endobj 2387 0 obj<>stream +H‰b`€F(¦`Â-·™°1Ì ÔrÜ2V6@“gggçàD‡iàâæâaãååå°Äoüü‚BÂ"¢bâ,,HIJJJIËÈÊÉ+(**!¤ *ªjêšZZÚ +(â:ºzzú†FÆ& `7ÚKü + +endstream endobj 2388 0 obj<>stream +H‰äÿèåìçäëäáèØÕÜæãê´±¸ÇÄÌÊÇÎÈÅÍÌÉÑàÝäêçîéæퟜ¤´±¹¶³»»¸ÀÚ×Þ‡…Œ”’™•“š”‘™š—ŸÅÂÉÄÁÈÃÀÇÍÊÑâßæ€}…†„‹…ƒŠŠ‡š¡§¥¬¦¤«¤¡¨¶³ºÝÚáxv~xv}zx‚€‡ƒˆ»¸¿ÐÍÔÞÛâßÜãÞÜãßÝäåãêyw~{y€~|ƒ„‚‰£¡¨ÆÄËÉÇÎÇÅÌwu|´²¹¶´»¸µ¼ÏÌÓÜÙàÛØßáÞåyx~€~…Šˆ·´»µ²¹‹‰º¹Àyw“‘˜Ÿž¥ ÏŸ + +endstream endobj 2389 0 obj<>stream +H‰b` `Ä%Á„K‚™…‘«.V6vN.n4 ^>~>AnLBÂ"¢büâ’RÒŒ(†ÊÈÊÊÉ+(*)«¨2²°0òÀÍTSWW×Д•ÓÖ–”ÔÑÕÓ70K††±±±‰–©–œ™¹…%»*ØH#8PW·2±¶±¶µ³wppd ;Ig!!Y%W7v=#´ÐJÃ]DDXÑÃÈÈÓUèY9/o€ŠŠâ + +endstream endobj 2390 0 obj<>stream +H‰ÁùO‚Pàÿ‹ë=gä"†›V̘%,K&Ts&DèpÓœ³_ìûVñWºü^γ›f‹"ωŽã¬×ëãñÏRƒ…|1Š¢ý~?›,û=_Ut‡€¢MÓÌ’Ÿ÷`T­ès +(dF–eÞs —yÇvv»]²øüNÚw–$‰hÈA°˜®ìŽ[>¯0´@`Tî,?ãÚäY R¬¦iI’DáB× ú¿ÝþNø^k’8PªZ=þ&ãùS·!«8ͺ®ûÒr2 8ñžçÙ.ÏŠz­Á"‘cë¾û:†£i붓J$”K%M³r©"•Nûqø6®_5EA²,{³Ùü 0¬½Òe + +endstream endobj 2391 0 obj<>stream +H‰dË×Á@@Ѭ‹h-j¢Gï(!zoÿÿ^Œ»÷õÌ%ˆo@CR”V§‡ßˆHÊh¢Í(‹Õfg¢À:]n—ÃAãó‚¡0|$â ˆ“©t&KãËK¦X€-Wª5S½ÍV»Óåz(€þ`8O D•§³ùÂŒ/*R{IC€(¯TûEÙlwûÃìx:ÿÃår½Ýªð|½ÿá#Àçá + +endstream endobj 2392 0 obj<>stream +yw~yx~~|ƒƒˆ|z}„ywzx~zw~yx + +endstream endobj 2393 0 obj<>stream +H‰b`@Œ@ÌÄŒ! ,¬(\6¬Š±ëEì¨\¬Š8VC±ÛOºcpicD³…xÓ°{ pâ—0Q(^ + +endstream endobj 2394 0 obj<>stream +H‰Á;o‚@àŸÝÖ*Tå)pÇÝqà#>ÒX M:¨;„‘EEH0„€ß'òª½Þüï³Éj„`AQmÛöY’é÷ʶè jؤã8Ž«ªúxc˜îAŠ‘ñ»q²,¸‘ŒŸµ$I]×"¯d9Žû|–@Ã[÷¯óÎÝ*Úíö½Ï,‰ëlÏçKÓ4ÝNŸÈ# Púß’¨© +\Ì—iš²=Î2'Çã)Ïs â0 ˲übxEžçñCÉ÷}â(ŠN¹ÞnÑ—|‰‹ª + +endstream endobj 2395 0 obj<>stream +H‰lÎÇ‚0…Ñ(¨¢ b! +öŠ½+úþ¯$[œù—绋ËËd5=—/@*Îy±T®T 3íB‹Ù²VäŽí6š-ì^Ûítòžo"WÊëÂÃáh<™"ŸÍËÕ¿&®7Ñ–ð¾?)?Eç vu½Ý ë!ŸèL’ózSsˆå‡bˆ¿´ÿkÜ + +endstream endobj 2396 0 obj<>stream +H‰cœÿÿÿÿãããÉÉÉÈÇÈÊÊʼ»¼–•˜ŽŽ€ƒxw}yx~ðððáááâââÖÖÖ²±²¨¨©©©ª‰ˆ‹vu|wv}úúúåååÞÞÞàààßß߯¯°Œ‹Žþþþüüüòòòééé ŠJ + +endstream endobj 2397 0 obj<>stream +H‰b`@F&fV6vN.n$a^^>~A!!aQ4 &.!)%-#ƒ..+'&&&¯ €.> +ˆ¨¶ + +endstream endobj 2398 0 obj<>stream +H‰ ÍÏk‚PàzSŸ>}š«õKí—ÏÖeíRºc›î$ Ù5¡ SD; +æ‚ïþŠä¢)D"YYu» + Í3AZà91Ïsß÷e,¶ž¾M(D‘ÚýžÔ4M’$ËåVç#e‚82<ËÁ¶„Ît¢–e™$çs|8Þ^ßÕÖ°F>BËú©ë:˲ÍækµZëº.GðþÒH‘Ç®ëVU•¦iÇÛív½Ö ÃX¼,hÀ±O³)ö<ïr¹œN§0 ñLô%i¨tÚ=Ãø ‚àz½EE‘mÛæ·išÆó½¿wGUµÝnw<ƒàv»ý 0=®y + +endstream endobj 2399 0 obj<>stream +H‰b```DLŒŒÌÌ,¬ `€•13³±spr1£káæáåãB—”’–‘•“ãBØà ¯ (®¤¬¢ª¦®¡¡ //Ï +•ÐÓ×ÖÖÑÕÓ×70444‚é06S3sss K=+kMyFF;{qptrr6qqusSàät÷ð„‹{y{{ûøúiùÛspòŠc€ €àLQqñP-ÿ0lâáÚÚáØÄ©  ÂÃ%Ñ + +endstream endobj 2400 0 obj<>stream +†…‰££¥ëëëÿÿÿŒ¸¸¹òòñ£¢¤âââüüüºº»ùùùÉÉÉýýýñññþþþ + +endstream endobj 2401 0 obj<>stream +H‰b`dbÆXXÙ°Š³spbçâÆ*ÌÌË]œ»8á 9 + +endstream endobj 2402 0 obj<>stream +H‰C¼ý=Hr=It=Iv=Iw=Jy=K{BPHWˆIXŠHW‹R_˜ºÌÎàâàéÏÌÒ¨¦«¨¨©ÓÓÓüüüÿÿÿ>K{CQ‚IW‰JY‹Wd•Ÿ¥ÂÜÛèãáéÄÂÈ›Ÿºººéééþþþ=Hs=Iu=Ix>KzCP€GU†HW‰Ve”}ˆ°½ÀÕåâêÖÔÛ®¬±——™ýýý=Ht=Jw=Jx>L{GT„JX‰JXŠIY‹iu¡¦¬ÊÔÕäáÞå¿Ƣ¡¤¬¬­ååå>L|GT…N^uªº½ÔÞÝéÞÚáµ²¸¡ £ÃÃÃîïîFU…IX‰L[Yhœ–¼ÙØäìéðÕÒÙž›¡ª©ªùùù=Iy=Jz?M~FU†M\es¤›£ÆÜÛæêæíÏÌÓ™—œ®­¯@N~P]n|«¥¬ÌÝÛçåâèÈÅÌ—–š²²³ES„IVˆJZŒZi™™ ÁÏÐââàèÊÈÎ¥£¨ªª¬ÙÙÙAPHV†L[ŽbqŸ¯´Íäáìãà纸¿™—›ÅÅÆòòòBP€IWˆGVˆ^lš–ºÌÍÝçãéÎËÒ£¡¦ŸŸ äääJYŒx‚ª¼ÀÖßÞéÚ×Þ±®µÅÅÅñññ=Kz?N~CQƒKY‹Uc”ˆ‘µÊÌÝäâì×ÔÛ©¥¬§¦¨×××ööö?L|HV‡R`p|ª¨®ÌßÞèëèïÐÍÔ˜–œ´´µ>stream +H‰b`dbfaecçàäâæáåㄈ¨‡¸„¤”´Œ¬œ¼DBQ‰YYEUML]CSK[GWOP".l dhdlbjfnaiemckg/ “`2bqp4wrvqus÷ðô‚I(1%¼}|ýüƒ‚CBaá‘>QÑ1±qñ ‰0À¬I‘>É)©ié™Y  IÙ9¹yù…EÅ%¥ÂÈeåb•UÕ5µuõ   ÝMÍ-­mí]ÝÂHÀðëéíëŸ0ÑvÒdd‰)S§MŸ1sÖì9sçÍ_€$¡¼0{Ñâ%K—-_±r•’Äê5k×­ß°qÓæ-[· #£í;vîÚ½gïœ}û Hw0Z[ + +endstream endobj 2404 0 obj<>stream +H‰%Úý¥£ªzxxv}yw~yx~¢Ÿ¦zw~ŽŒ“~…~|ƒ”’™Œ“}{‚ÈÅ̶´»†„‹|z{y€zx~ÖÓÚÆÃÊœ™ ‘–“‘˜ˆ†}„{~yzzw}ywäáèáÞå×ÕÜÕÓÚ±®µ•Œ”†~Š~p|y{èåìéæíÐÍÔº·¿¹¶½¼¬†³ž`š“t‘ˆs€kƒ{vxv~xw~xwÞÛâÔÑÙÕÑØØƌϵV¯§p¢”iž‡\Š~oxvêçïêçðòá™ïØW×Ìjʸ`Æ©R¯ h˜’u“…k“‚g€m|x{èåîèæðóè¡öçaëáiâÐ_ßÄS̽f·«l¬‘U¬‹NŸ†[}wxut€vv€èåíèååçâÎðè“úðgÿögýî`úæZðâeáÓdÖ´JÖ®BÇ©Q —r”‹t“†l“†mèäÛçÞ¥ìáyôébþôgÿódýîaöëfíáfçÏVçËRÞÉZǾnº¨b¶™Sµ˜TàÝäãàçæãêéæðäÞÊÖÆcÒÀHÛËPñå_÷ëcõêbúðfþõhþófþógüóhò×Pé¾<è¾=½»ÀÎÌÑÞÜáëéó×Ï£ˆ>”u¥‰*Ë·EÔÂKÓÀJêÞZÿöiÿ÷iÿöhûíaùç\øæ\¬ª®ÅÃÇÛÙÞâàæâßæáÞãÍÁ±q/\‘q·ž6Áª=¿§<¿¨<ÜÌPõébõëbûðfþôhýôgýóh uk| + +endstream endobj 2405 0 obj<>stream +H‰b`dbÆ,̬HâlH2ìXTƒ'#T'*àâæA±f/?£€€ #£ªzaQ1qq II)i$aY9yEaa%eU5udõš  ¥­£«§o`hhdlbj3s K+k[[;{{SS„¸¦ƒ£“³‹«››»‡§—·=L\Ã×Ï? 0(88$4,<"2*:"Ÿ˜”œœ’š–ž‘™•£© Vž›—_PXT\\RZV^QYU]S[Wohljnimkoïè,ìêîéíëŸ0qR½¦æä)S§MŸ1sÖ¬ÙsæÎë윿`á¢ÅK–.3[¾båªÕkÖ®[¿aã¦Í[¶n0€ÀQÀ + +endstream endobj 2406 0 obj<>stream +H‰çÿ¼ºÁÎËÒÍÊÑÕÒÙåâéêçîéæíèå쬩°Á¾ÅÀ½ÄËÈÏëè’ž›¢žœ£›¢©§®ÈÆÍÐÍÔÏÌÓÞÛâ|z~|ƒ}{‚…ƒŠ—•œœš¡š˜ŸÅÃÊæãêçäëyw~xv}ŠˆŽŒ“ŒŠ‘³°·ÑÎÕÔÑØàÝäãàçâßæzw~{y€†„‹ÉÇÎÓÑØÑÏÖÑÐ×ÞÜãzx‹‰¸¶½ÂÀÇÁ¿ÆÁÀÇáÞ唪¨¯¿¼Ãwu|Ÿœ£±®µÄÁÈyx„‚‰Š‘Œ‰›™ xw}†‰‡Žyx~ƒˆzx~yw í¸œÛ + +endstream endobj 2407 0 obj<>stream +H‰t‚0Em bCAƒ 6{*X°¡Þÿ<Ô‘¨o&3Éû7¯×ç0,t +‡¹H Ê0T‹'ødJÅ´Ûg’²¹|!_”YVn80ÆR‰¨¤,TÄj­ÞhÈ߀–„j«j‰ït{ýÓ¡iŽÆ“iW×ku>ÀhHÈlÎq AW`Ž™&¿\ÍzcYÛÝüËì1>ß/ûÃïÑɹž©‘{©ù<4ök‡ý#¤ÿøÇå*Ài/ù + +endstream endobj 2408 0 obj<>stream +H‰ Ð SÁà_\Ý•6cL¨µy„%#g-f¦µHátÇQá¼-BKóL~B}?á›O× ùGêRÉ4ícœ„Ûåô.g›NKâÃés9/=$%6Þ½ž+üÔB8\”/ðÖèx=´Ò`§æ€?z‚1Lø`_a4¢,Ë·š]šb‡Ãq0È/åÔÄRA=M³µ²Ø{òxù=+¥:Šb $òþ.žM¿NFóõbÛiK8nÞÙÝCQ“·S^ñµÒ¬µë•·Fµ5›¬¦ß‹ éU°N§7ê±3ËyˆáoÃQž‹7÷…—ŠÔ>dzÉZ¯ž³ù ' Z•UGšËe +Ï™b6/jýÑèkú’+\ù®•€<„…úÜáæ‚Ç +!æ6ITËM±þ>ìOVó­Xo'ãO*P«†tj ³BTx¼ãcãá -l0a6‹ÉaÁíV3á§C±h2Iä2ùÕüÑmÖÿVÚMRû`2 f + +endstream endobj 2409 0 obj<>stream +H‰b`@ŒLÌ,¬l ìœ\ÜPMŒ¾~þAÁ!¡aá‘‘‘ö'EEÄÄÆÆÄ'$&%§¥ +¥õ¤gdAV@`vv`Nn^¾FAAƒQ@@aH. ¦¨¸¤´¬¼¢¢²Ê¨š=‹ŠŠjjëêꘌ + + dð3K + +endstream endobj 2410 0 obj<>stream +H‰rÿyw~ywyx~zw~zx~xv~xv}ˆ€y~|ƒ~{‚zxšŒr„‚ˆ„‚‰‚€†¼©t›˜™˜Ÿ›™Ÿ”’˜ƒˆ}„€~…|zÜÓ›ÆÄÉÆÄËÇÅ˼ºÁ ž¤š˜žˆ†xwçá¨ÔÒ×ÕÒÙÕÓÙËÉϯ­³©§­ª¨®Ž•}z~€zx‚{w€zyzw} ÖlRÁ + +endstream endobj 2411 0 obj<>stream +H‰b`d@L X#vaL×ψMRÀŒßDœ™ $.ͬlllX„Ù9888¹0ãæáååãbcE×Ä/ ($,"*&&T…d‘„¤”´Œ¬œ<ƒ‚RØ(*)«¨ª©khhjiëèêÁÅ ï + +endstream endobj 2412 0 obj<>stream +zw~yw~zx††„‹…ƒŠ”¤¡¨¨¦­¨¥¬©¦­zw}{‚}„ƒˆ‹’‘–xv}ywyx~ + +endstream endobj 2413 0 obj<>stream +H‰b`DLÌ,,¬lìœ\@7’8///?›#aA$!0¢1@h„‰B>È@˜  +bØ4"p£éÂn0êz€!+ + +endstream endobj 2414 0 obj<>stream +èåìèäë + +endstream endobj 2415 0 obj<>stream +H‰b`qI© + +endstream endobj 2416 0 obj<>stream +H‰ÃÛ’C0Ðÿÿ$I(Ú¥Ù Ú]&•Ä%q]۱ꥳgætõ#*ð®û>ϾŽBˆôÿº!¥Ü÷½­~+Ùe\¦¬È˜Iá:XÓ hHÓ@Eó<¯ëúz½¶mc4õI+v :A4MK)5MÓ8ŽË²4eßVý=æ·Ë÷í}…±mžlËö=ß#Þ=I†aÇ¿çsÌÓ’'¹`E@B¨!Û>RJ9çŒ1!D­~TÞi¥òúh} ¤Æ¡«4æØõÎqN¸,ÚŒ+F³¦êß ÁûÍ¢ + +endstream endobj 2417 0 obj<>stream +H‰b`dbfaecçàäâæA¼|ü‚BÂ"¢bâ’’’RÒ222 ^ •““WPTRVQUS×ÐЄH€––6ŸŽ®ž¾¡‘±±‰©†™9D¤‹×ÂÒÒÊZLIÉÆÖÎÞÁ‘ hiñ:9»¸º¸‰»»{ð¢O ^/o_t ðó÷÷À&²2«Äà&:í + +endstream endobj 2418 0 obj<>stream +H‰„{ÿç×ÝèåìæÐÖå´ºèæíã‘–èâéàptåÂÉèáèÚ$%ÜloæÔÛèéðØÛTWçÑ×ÚÝGIæÏÕèêñÙÛACæÎÔÚACÒÒDEåÏÕéêñËÊFGäÏÕÄ"#ÈiläÔÚééðÈIKÔ–›åÛâéçîÏ’–çãêÕ³¸ÙÂÇ »ªY¸ + +endstream endobj 2419 0 obj<>stream +H‰tÐÅ€0AÁÝÝúï–{ÎýV<õÇ5)ÑuƒÝ´l<×óɃ0B“4#Ï‹’½b¯›¶#ï‡}š—•|Û“üº¹ÃóÓíìŸÂ« + +endstream endobj 2420 0 obj<>stream +ÿÿÿ÷÷÷ùúùþþþ»¹¼ÄÃÄççæôôôòòòùùùÁ¿Ä¼»½×××æææäääðððüüü + +endstream endobj 2421 0 obj<>stream +H‰b`F&fÀ”`aecçààÄ”àâæáåããTmûÞ + +endstream endobj 2422 0 obj<>stream +H‰ÊÛn‚0Ðÿÿ  ½âD¯ŒP)´…r‘MÔ‚&ºí<Ÿ÷ûý|>¯×kÇ÷ûýõzA€ bDì™ÝuiLt j#€ Bô}ÿx<¯ÃÊÛ¬—ÛÙtŽÂ;s§mÛÛíÆYžPÎŽbïGcÚ˜S l0Æ”REQÔê[ɆQ~ŒÒ$ÊèÙŸBA¼pôß1Ž)ç¼*Ú2?•y«d-R%yI#†!AkbI)/—Ë0 MùsªÎ§òÜÖ]!ê©e B]× Ã0ß÷›¦‘Y™%2Kò`·Gk#]×ÀèCó<¯ªªÝÆ÷륻Y¹[‚Í4‘IÌ¿ [Óõg + +endstream endobj 2423 0 obj<>stream +H‰b` `Ä%Á„K‚™‰‰‰…‹;;' n^>~AV @’“”bbDHHËÈÈʉÊ+(*)«¨ªH!´©º†¦–¶›®žž¾¡‘1D› ˜šš™[XXZYÛØŠÛÙK988²B$€Úœœ]\ÝÜ=<=½¼}|ýüMP@@``PpHhXxD$ªP«S@TtLl\ˆ POHHŒq0–$’ + +endstream endobj 2424 0 obj<>stream +H‰ÁÛ‚ Ðÿÿ iŽVOõ’ˆi⦾ µ@)q*âmÕ7tÎl>Ëøôjô:tóØ/M­ŸYä>stream +H‰b`:€‘ »3 6aV6vNl\Ü<¼|˜Âü‚BÂ"¢˜öŠ‰KˆHJJbJHIËÈb““WPTR–TÁ”PUSQ–Äb‡†¦PB ÀZ9# + +endstream endobj 2426 0 obj<>stream +H‰áÿèåìçäëâßæßÜãáÞåËÊÏ­«°ª¨¬¬ª®¤£¦‚„nmpmlqutzzwyw~åâéäáèÙ×ÝÊÈÎÉÇÌÊÈÍÁ¿Ä“’•xwzsrxwv|zw~éæíëèïìéðÀ¾Ã™—œ|zxv~ywyx~»¸¿}„wv}¿»Âxv}äâ鸶½~|ƒ×ÔÛ¬ª±}{‚êç¬„‚‰zxÜÙà–”›tszÞÛâ—•œut{âÞåÈÅÌŽ•vt{ÖÓÚ®¬³ˆ†wu|ÄÂÉ‹‰ÁÀdž„‹{y€¿¼ÃíêñÀ½Ä¼¹À 䕆 + +endstream endobj 2427 0 obj<>stream +H‰lÏç‚@àCìmÁ®p zbWì½`×÷ ½õŒ;?¿L&»„H>Yö‚¡p$‹'’à…¢¨ª’Jg²¹|¡XÐÞΣSj¨fÙªT˜à^jv¾;Ÿ »‰¸Ôjw>stream +H‰ Ð}O€ñªÍBúCÍɲÝq9kuÝhµ†ÈÖÛ\áÈ]qïÇݱ¼" ‘Sß!Ûó~{úïÏlÕí µN«Ùkk}­Ùë÷FµJ«X(—Ôz¹´®¡*U}²˜O—©Ì²EW%±Ì±2E2ùœ *Ï+ŠRm6ºÝ·ÏÉHŸŽçß“Åb¶â8™çÕ\NH>stream +H‰b`@ÀhLÌ,¬@ÀÂÆŽ&ÃÁÉÅÍÃÍÍÅËÂÇ"% ($,"*&.!)Å&-#+'“TPTRVQPPUSçÐÐÔ‚ëÒÖÑÕÓ70426150·°Ô´‚IXÛØÚÙ;8:9븸º º{xBõxyûøúùÛ‡„š†q‡G@d"£¢cbýãâ“’SRÓÃÒ3d2AYÙ)9¹yù…öþEÅ% +ÚÚ¥jZ`‰Ôà²òŠÊªêšÚºú†F°DX Àd7(u + +endstream endobj 2430 0 obj<>stream +H‰ÁËO‚pà?±kuhkëÒ­Ž½¶f®–^LÅŸà˜EÒÔ\]%féJðÈC’,nºé´ï[ZÞ]]?ÜÙwC©Í)Ò·iüò-‰ºJcaüí­<‹ÅÊÚÞƦåÈꢨŽ•uÅ4¿&ÇGñx,Ft»òt:ÝÚ¶ìØÜN¸øüÚ×ßúà6›óäééÙj±9ž`++†öÓSÍÇíƒEº8O¬vÈ‹ëê;#w´læÞy†™ÍfÇV{#Øz«§˜]Qÿ¨ÔÄ•ÛDQ´Ÿ:ÈĵÈ+…ÇRÈ«iêÇ_ +eEê5X>M@.LR™nq‚®ôkï, üÁ :™LnorBˆXÜ0Œv£“ÍÜ!^MÓåÒG¿÷[y­Fq‚¼$A˜ÏçÓ–5EeÝNH’dáKþ¬rçIפ(*ß–ü0 +¹ªªM–‡’ÏçG£Q½Öð#! ‹ü 0ý5 + +endstream endobj 2431 0 obj<>stream +H‰b`dbfaecçàäâæA¼|ü‚BÂ"¢bâÈâ’RÒ2²rìò +Š<(@IYEUM]CSKU\GWO_ÝÀÐÈØUÜÔÌÜÂÒÊÚÆU˜ÇÎÞAØÁÑÉÙMÜÕÍ]ØÃÓËÍx_?9ÿ€@ã 4ñ`55«Ð04kyÜÔäÂ#"£xÐA´ZLl\|B"ºx’ErJj†ržt÷ŒÌ¬lLq¹Ãܼ|Lñ˜Ñ¢b,êKJË$0Å ž,A + +endstream endobj 2432 0 obj<>stream +H‰#ÜþèåìéæíßÜâÄÂÈ–•˜”±±¸»»ÂêçîÙÖܳ²¶‹ŠŒ‹‹Ž¯¯µººÁëèïÊÈÍŒ‹Žppqzz|œœ¡²²¸ìéð¾¼Ámmn\[[ffg~~€¢¢¨¹¹¿¼º¿fffWWWaaasst––š°°¶´´»íêð\\\bbbuuw’’—  ¦çä궴¸eefWXWZZZ\\]jjk……ˆ””™åâéÅÃÈ“’•XXX[[[``akkmssuäá追Œbab___aabÌÉÏ›™dcdçäëÝÚṶº‚€ƒdde]]]^^^èåëâßæÌÉÎ¥£§srtZYYÝÚàŠ‰‹^^___`áÞ䌋ŒŽ```äà軹¾ˆ‡‰æãêÑÏÕ¡ £ <™°Ä + +endstream endobj 2433 0 obj<>stream +H‰b`@ŒLÌ,¬lììì¨Â œ\Ü<¼|âü‚BÂ"¢âbâ’RÒ2²|èârò +ŠJÊ*ªhâj@qu M-m4q]=}C#cTWšš™+ZXZYÛ ŠÛÚÙ;XZª;:¡©wvqÅ"Îàæîáée îíãˆ*îëçd‰!4*8ÄÂÒ;4 ]œ?<ÂBÝÛCœƒ)ÒRÝÇ1 +Ãœè˜XÇ([7 @‹OòŽR0u + +endstream endobj 2434 0 obj<>stream +H‰l“ÿáÝäÅÂȘ–›««¬íííýýýÿÿÿÛÙß¾»Á˜—›³³´ôôôÉÇÎ¥¤¨­¬­ØÙØüüüµ²¸”’–ÖÕÖþþþ©§­“‘•ÛÛÛ•“™•”–ÝÝÝ™˜›ÀÀÀñññ§§¨äääûûûÀÀÁÍÍÍæææ ý´TW + +endstream endobj 2435 0 obj<>stream +H‰b`dbfaeÃìœ\ÜXÄÙxxùø°I + ‹`gÇ*Î&!)…]BZF»„œ¼v El~%¬neìŽecÃe]@€/  + +endstream endobj 2436 0 obj<>stream +H‰’ùW!ÇÿVW‡£q3ΰ)l:½6RBd˜H˜Á`fÜrDvÉ+KÎ6û'¬ýýû>ïóyï‹¢YMg2øl6ŸL&’€ Øë½³Û:žÉdÓ錋‹ëd’¨Všýî`2ZL?>$›ÉäšÍÖrù÷ëkY¯?ãxES@èððø4Ýf»J&ðQ~ysÉäó•~ÿ}:]Ìf‹Áà£×{k4Úé4éõBFã>ÊNŒd1r4˜ÎÆ0,W©4ÊåF±X«ÕÚ…B5AÂá8…ÝîÛDÅ0›õ +ºƒ¿ãñt6[ É2A”q¼T*5¢&#‘ GâñÌÃrí¸ydžï“p8–H¬ò©™J0õù`’(ú|ÁÇG4™Ìb™Nçnoƒ.—·V}ÞÛ3ŸÛ=ž»P(Z¯wZ­n»Ý}yéu:¯¹\EWðøÁÁ‰Ñð=O–Ó%—ËS©¶M&³Õj÷ûa à båVÌçËÅbµTzŠFÑËK§Ù|¼»k´œžUJõùäks“Éç är…^¿wzzætÞx<>Ÿ‚ û`0ä÷—Årn6ét;æý£` Ôiõ( +F[[[çp¶D"±R©R«5Ö`0éõ­vG.SÈ@…ÍvÙ¬·G¿§Óñ'•Jc2YÀ•H¤ (“Ë•jµV¥Ò(jÙÿ±|[­u»=¿:ýñhN¡P766Y,‡Ç"¡P,IÄbéñ±‰§†ƒ › lmq¹\>Ÿ/ +EJ¥Úå¼)ž>†3*•Ê`¬±Xl6›#‘€ßt»D¶°¾¾ÁãñÅbð>þÙ~¥¯~Æ`B¡@$•‚Jåö3kŽ(¾÷GÿÓ)¹e + +endstream endobj 2437 0 obj<>stream +H‰b`dbfaecçàäâæáe``àåã—”’–‘•‰Ë+(*Å•UTÕÔ54µ´utõôAâʆFÆ@õ&*¦fæ–VÖ6¶Öv vöŽN@qg^W7wO+/o/^^;_?ÿ€@ xPpˆI(¯I˜²²²½r¨‹]xD$ØÞ¨è˜`»X“8“¸P»Ðûø„D jAÁ¤ä”Ô¸´ôŒÌ¬¬Œìœ\Û¼ü‚BxQqIiYyEeUuMU­N]}CcS3H¼¥µ­½£³‹½»§·¯ÂÄI“§L›3múŒ™³fÏ™;©aÞüÅ -^²tX|9÷Š•³V­^S¼vÝú 7mÞ²$,¸m9÷Œí+vìܵ{ÏÞ}û×8xÈ">$ÎuøÈÑcÇ÷í;qòÔiˆø™éggl?w~çÑÝ{öí¿pñDh¾ìÙË-çf®¼Òzüêþük×aâ7n΋ߺ}çî‰{÷ÀÄ ]æŽm + +endstream endobj 2438 0 obj<>stream +H‰ ÊiOÚ`àŸëã3W¡¥”––¾ô¦G±AVÉz°ÚU…DŽqLŠ[Ôß±=ŸŸ0‡âÑœ€q’ÈòlNàdŽ‘ +²r£[Ýîm{Ñ0‚¡$Mq<++¥Šiº®ë;N/‘ÏçÄ¢VmZ¦ëûƒÁ¤ßÇ¢(M±å’úÃþ9.g³õtºšL‘Ç0áò²R¯µ{÷ÃÕò÷jõ2ŸoãçI£ |-_9Î}¼û·ÝîÏv{ˆ@qÁ³4_VªzÛèõFËå.Þ‡÷ÃáC +WjÃ4œÿ¸Xl÷û¿¯¯u­e[îÃÃp<~šÍVëõËf„!¸¦µ,óÖó|ßFÓÉdþüük±ØDB0‘Êp¬¨(j³ù­Ó±-ëÖqî<¯ßïƒ1ŠTša³E‚B±¬ªõzýºÕÒuý»atÏ#‰T’^äd@³I§3Œ”+^\T*MÓšÆu»ÝÑu#ô%&pù4‘9;ƒ Žã$QÌËr©X,_^VUµ†%ÓÇÇ'Á0‚ (Š4Í2Œ ²$xêèèÓéégFQÃqŠ$ÿÿO€Dà&… + +endstream endobj 2439 0 obj<>stream +H‰b`€F&fV666vN.nF$ÀÃËÇ”`f@‘agc—”’f@6JF¨\LN^AQIYƒŠª«º†¦–¶Ž"²„®ž>›¨¨¡¢‘±‰)\ÔŒÁÜ‚•MÔÒÊÚÆÖÎÞa/+«ƒ£“³‹«­½²Q` wO/o_°ˆ’„@`PpHhB}¸LP"2*:&6.>!ÉwIÉll)©‘ié™YÙ±‰œÜ<¶ü‚¢â’Ò²òŠJ3¨Sªd€¾«®©­«ohljniEX¡k!Ê&ÚÖÞÑÙÕÝÓÛ×°bH¢pâ¤ÉS:§N›> ÀeD4h + +endstream endobj 2440 0 obj<>stream +H‰¨WÿèåìéæíÏÌÓ¨¦­‡„‹xv}yw~zw~èäëÅÂÉ—•œ†çåì¹·¾‚€‡zxâßæ±®µåâéÕÒÙ¨¥¬êçîÞÛⶳº’–yx~ëèïØÕÜž›¢‚†ÕÓÚ”’™ÔÒÙŽ•vt{ìéðçäëßÜã¿Ɗˆwu|æãêÈÄË¡ž¥ƒˆyw¿¼Ã•‘˜€~…¯¬³}{‚«©°zwäá諨¯xv~ ÃDræ + +endstream endobj 2441 0 obj<>stream +H‰lÏ× àT%¸©­³îºêÀ=ÞÿÍ>stream +H‰êÿèåìéæíàÝäÆÄËŽ•vt{yw~zxÛØߺ·¾ŒŠ‘wu|ëèïÍÊÑ›š¡‚€‡xv}{y€Â¿Æ„‚‰zx~çä뻹À}„wv}}{‚æãêØÕÜ®«²~|ƒ†äáèÌÊѤ¡¨yxƒˆêçîßÜ㨦­…ƒŠzw~ŒŠÝÚá–•œusz‡…‹Ž”˜––”›yx~ˆ†Œ‘•åâéÐÎÕ”‘˜yw|z‰‡Ž€~…ÒÏÖ§¥¬…ƒ‰“’–ìéðÁ¾Åxw}}z‹‘“‘—¿¼Ã|{—”›º¸¿wv|yw}}{˜–œ ”Q“2 + +endstream endobj 2443 0 obj<>stream +H‰lЇ‚@ à¢Â¹E\(nEÜ{€Û÷9$ˆ›\rùò§i T ¢™ßB`{8ýñx"™b OcÏpˆa²¤ó|NÈ“mD€‚T”É6¢T©\¯~]®ZohiûÛt.–kÚît‰. ÛëÛ> }QÏAú–Õu< Ôx2u|æ›r¾0>G°S8èvCË–¡®Öë¹»ìf»Ûr©ãÉ´¬øYÀ·Ôý~1­ká&܉üãiZ¯·‚ðt + +endstream endobj 2444 0 obj<>stream +H‰ ÉÙn¢PÐ_oæ±É¼˜JÄ «Ä:n.û&› ‚ T\Z;3¿0s^A0Ë%E’M‹€âWÃТilíï»aUÞ¿nî—oê­X§çsÇ,éªj™úö”EQçy•ee‚`1 P${«Ÿ×ߦéiš#ˆ(j§2Œ €ˆãÌd²ìt^É^Ï_Ž³·,ß0\AÐhZZ­¸éëvû€âÂ0 ‚Ô÷®Úv`[E±Æã9ŠŽeq}:]ŽÇ*IÊ8ÎÃð¸Û%ž¹nôþ>{yiH‚š¥åýò(Ë[Q\ó¼:?’¤ˆ¢Üóâ~}~þi›nš–YvβÊ4]‚Ÿž~8ïqÿEÙápJÓ¢¸)ŠQ«ÕmË5Í­mï‚à0›ãƒþp6Y$qNÓ<Ï+’¤­×–ãû}ª(f«Õû¼|cI’,M ²¬kšmYÜè0@Ç£Ñt:].Äh4k6»+Áp§Ýî!È`0@Qô‚¼‘øq­Õëp£Ñnµ^ï ‚šúzóO€Ü=Kf + +endstream endobj 2445 0 obj<>stream +H‰b`ddbfaecçàäâæA¼|üüüŒ‚BÂ"¢Èâ¾~þ¦¦AÁ!(® +õ ·³ wŒˆŒŠŽA–ˆ‹÷ñNˆKLŠJF‘HI5O‹uðuIÏÈÌBñyvNn^J~Aa‘ŒV1Š%¥e9å•ÌUÕÜ(xjjëê™ø›xäP$š[ZÛÚÝ:::»xPAwOo_Љ¢h˜0<… + +endstream endobj 2446 0 obj<>stream +H‰Î[KÂ`€á¿jÒmºætGç¾íÛ4-§MÍ +:XXa¨•¨ˆ¢‹" e¥$$¦ÓfÙE¿ ¼x9F q’ +ãÚb:£¯dÓ«É„N$‚`E3 Çó‚ ˆ@E‰¨jTàåø¼¦§–I2ˆ¢˜ßišf9.€,I£ÃáÔu—kAP óáøAø)ŠáXÀÒaŸ·ÙlN§Óõ_̺=ÄëÅqœàXQ ÛíAQ/?½ ¬`˜wfÆn·;Ž©€R4¥e’‰t @‘~Ê +M3é¥\<¦vök £Þ<,GÔ¨,AªõTîâôúsð=ÿ¾¯Ç•“Jéè°\=(––’Ù³ê¥ùaG?ÝN¯ñô\¯õÚóãÃÏ‚åÌZ~£pw{oö-k8öÇ%¾š[¯Öp0¶Ìɧù=|‰‚‹&¶·vÍž¥È±Ä‚^Ü+7v~³puqÓ}ëUJÕv«Óý 0΋Ö + +endstream endobj 2447 0 obj<>stream +H‰b`€F&fV6vN.n^>~¨0ƒ€ H\XDTL\‚GR +*,-#Ë +•“WPTVæRˆ«BÄeÕÔ54µ´EttA‚z@¬—““374‚¨76Šk˜š˜™[X¬µ²‰ÛØÚIÚ;hkËË3@4XÙ8²£“³‹«›»‡§T=X˜…ÅÛÇ×Ï? 0(M<$4,<"2*:, ‹OHLJ +O†Š§@ŒOMKÏÈÌJJJʆˆçä‚Åãò¢ó³ €ä Æ‚B°1©EžpqX ))- Ç".T^–„$έ„ g–Ä;@ + +endstream endobj 2448 0 obj<>stream +H‰P[–Å0ZûÄ(jÞéí~‡æD«X­”P7ÂhðæÑ#Gë«=Æó®ùìõÛûwγֳÆ#EET«™…![Û£Sö;û=ç½÷½çã–R…j÷î12gk«w:ŸR¤| +UEUDÌl»³÷[«VµÈ)EÌ_{3’RQME‹°R€ fªÌ£Âq¬•žîL¥_™¿oüÔr$w¢³ÂAûˆo9ÞFOÿÖ`›ég E#àéH7ž ê0:pŸs, áŠŒÈ¯ó/À/šÁ3 + +endstream endobj 2449 0 obj<>stream +H‰LÎù?Â`ÀñÍZ²I³a›H[sÅS6bKµ-±è C&D¹ÉŸï)ñòùõýú:„Ùp»Ãér¹‡GF=cI’ã¤wÂG!È$FûaN—{jzf6ÌÍ ò…b˜ÍF/úƒKË¡•°ÇK/Ïó,ADت¶×Ö7¼›€BEQt ín‡Âž_è…¢p,ï%™Â_<ÃQT4 +¿±ïîùXáŸ$öŽ‹DDñ@Q“‡©´œÑ´HÀGEt]7Ô¬t”ÓŽëàyæD7MÃPòÉÔé™,@&1XZ,•ËúùEÅ4”lU*À¯•ê—†Ùè)YxLÎ]i>JãEëÚjªÊM£r{׺¨JéBj°Þnw:ùºÑOyÌ&¥§gõå5{ÇEÑj~¨†išŸÝnëËJ} 07Q; + +endstream endobj 2450 0 obj<>stream +H‰Žkoâ0Eÿ¿TÊ–-U +‹3¶gœ8äI‚!¤!õWՕ«s¥ËN±Ól•OqÚ[ð½A6HVkBî÷ûíf›eÙz½^­V–ËÅËëâ…¬"§¼6€RêÃñkn7Yöñþwõ¶|[¾’d%[é­öÄn·Ûl>ÿedd$Y‘;È’Bí´¶J3 +6£d”„‚Ò+NsT…'í!AÒɤsÐ TžzЄr2X  H20œ»ÒÙ€p:–m‘7žkvÇßs€A]û.¶ÍXWñìCÑx_•ÖÀó6=çé1]—Ë×8^»~¬ª®(J“ô箊}= ímèçópã=žo§16]BÍŒiJê«|lÃ¥onC7ÇÓcŠß÷ù9Í_cŒM{$ +? Ó˜| + +endstream endobj 2451 0 obj<>stream +H‰,Žç_‚`…^,µF»—h(¶HH)±(©Ô²-ÚN³­ ³Ìöþ·»Xçãy~Ϲ— )ª !š¦-åV›½²ªº¦¶®¾q0…J€nd­¶¦æ–Ö¶öŽNÌ1LA>stream +H‰‡nÃ0 D¾ÖðŠãØuâ¡IÊZýÇ2Àƒ §ãú¶m¥\p‚‰†qF4œ3.¹B´RtR¶BHÎǾ#)©!­Ûº,Ïi‡¡ky##O‰Ö9¥õy^Ÿ÷ûw§©ïȉ6âë!“tm~xÎÑV]jßÇ¡ï[É™l^nÈBôŒuJéóØ·mçi u%ªjÄz‡B„CH謹Îe~>ãØ÷¤:¼&¨ k$%æ€)@œmŒRê8Ö×<#} +oXIPbk UØ?Ƕý.Ëë9=¾-eN¾D_èL˜o¼½Gk¼Vöº®ýØ–u¡„´zRt™øºQHDk½Öú:÷;Ý.‘ª™l¹m¾m"’¯™ôP‚+4Ͼ"á +º?„ŠPЗàS°9Ú?Ä‚ð/À!ª + +endstream endobj 2453 0 obj<>stream +H‰,Íé;Qp4Íh(e—Pö0–È\eçŽÉ¾\;-ö¥‘µ‘ e/ü¹n=ÎÇó{Îû¦("U©T¦©H’TSE§§k˜ŒŒLV—¥7$,[¥RãŒ"'77/¿ ³PÃZ€oÈ"c1Å0¦’Ò2³¥¼¢²ªJ­7Š«kjjëêHU}CcS³ÙÒRت¡iŠ"ª­‰¾lï`ÙΆ®î[oŸ?ìï×F«ÕÊ0àp ØFÇÆË'&iš6b€<ÏOÁ5=3;7¿`[´,/ëVtj!ä!««SŽÁúµõÍ­íû®Âíñ"&€=»pxtl;9=;÷‹¢ûÂëÅÀ\ +ìþÕõÍ­ù.(Š’çóá‘ÐÁvšîzBr8$ûÝ==qBÏ‚ÃérE¢É>,Iï âžB‡ ¯oïïÑP"²ß/J>9œÏ¯¯X$Áåïä7ŸŸßßX,–ìã²üþ 0ñçtŽ + +endstream endobj 2454 0 obj<>stream +H‰OY’!»»+ÊŽÚ]ï¶c•RIÂAä"Ët»m÷m¶TCÄ™Y‰tNžLè£5¨µ—‹Òré)CÊɯØU–ûñ8f[Ô‰‘ñzÇì}Ô9wÕ­ºÔ¶Ú1B™“Foñìýîõ†Bg +µuÌ·Š2É7\ï!ã¶$c ÷g}®Çí0ï¬Þ' 1QE‚yµ6Z¿e€ÌžðwŻֳââ ºù©Z…·6™•RÉù¢¶>…ÈDWÄ›¾É9•Rj©m¢Û%Öþå;)×”ò¿Ô\Ê'N 9ÞË… + +endstream endobj 2455 0 obj<>stream +H‰4gSÂ@EI–{ÖEÅĵ€f-(Š;Q0àFJlˆ +ˆ!6Xùó®í|¸oæ¹sÇàt¹=BKk[{Gg—»‘·§·Ïçë—€< c·gPñF!òŽ) + ¼$±ðø„G˜ljú§gÄÐì\XrôÍ/ðü¢Š1^€Ë+ÁUâZs*Ò +û‘q ²¾14Ñ6·p4ÛÖ‘¤x<¡¨ª,»Ü;ÔØM¦|ýiT]–u§Ž¥L†'›toß8Ð6ãÊáQö8—;qòó§œC=C¨;_0iñ\Kqi‚ÕpX/•ØÎ…U¶,˜—Ô0"W׉9t‹e£|¼RµîìB÷0oÒíáQpË¡Üv©T©þðd#!4)-F8gŸÿD½\c5óbÀHj×i5ZiÔÕï°Øž]{j$_ߘh4X¼¿³¢U.Û¬ú)ýø¬7›ÍQµ~%Í/"—[£ + +endstream endobj 2456 0 obj<>stream +H‰Ùn1 E¿ÜËh%EIÔ:3v¼%v‘&)Ü4-ò}牸¼Ë íV-ÀVáV¹­vƒ¦Áa¢Ö./.¶¦\÷ã|ÚíŸÇëér»Þ^_ß>ÞÝ?ÿ}}Ke…‚AÂfááFE[ã…õʱ¥Œ¡Å<—vèãyšÏ»ýùp|¹<ßn?ßÞï¿ï¾´­A)K+ [Mâñ&ã2úF¡{ž¸ìj§Ó¼¿Ï×ËË´Œ±Z[¥AjË(‰‰++a× UFÏsH»\öµ>‘óˆd­³©`#ìJã +PCßcš™3ÇçÑY@cAi+äÒ‰k ki×Kœ&írJ-¥Ê©FÎ1²÷Ñ9¯}Ì ì`|Î=—žrçDäiqg Š‡™se.1æàÙStHÆ 66†Â±r\"zâc 1{ +Nj›¸%^î%†|lu×ê\ËTòÂ"®Î¹RƜǒ;‡Pj{›[j=•àKJ ÙzG‘<çÔK™r™»±Hè‚÷©Ö‰Sû/ÀJoAQ + +endstream endobj 2457 0 obj<>stream + +  !"#$%&'()*+,-./01223456789:;<=>?@ABCDEEEFGHHIJKLLM NOPQRSTUVWCX/FYZ[LJ \]^;_`abcdefgEEEhijkJlmno7pqrstubvcweeW/hxiyz{|}~r``€v‚ƒ„EEx2……†3‡qqqrrˆˆ‰Š‹ŒweVŽFHH‘’‡‡‡q“q”ˆ•–bv—˜WXxx™™šš››‡šqq‡‡qq‡ˆœ`žcdUBWCgŸ ¡¢ššš’£¤¤‡““|||r‰Švc¥B¢¦¢§§¨|“‡‡q‡‡‡q““qr‰‰b©ª‡§« ¬¬­¡®šª¯°£‡““||q±²`³´µ¶–•š·§¸¸¢¹·||“º‡š““qrrX»V¼½µ¾¤º§¦¿   ¿¹’’‡º‡À“ + +endstream endobj 2458 0 obj<>stream +èåìâßæÝÛáåâéãàç + +endstream endobj 2459 0 obj<>stream +H‰b`À™° +30³`Ôþ + +endstream endobj 2460 0 obj<>stream +H‰Ùº‚0 „ßš­E, +‚ KË"<ä äëU2ógRF$0âØÄWEqt¾èjœË$D„ˆÆ8aËb‰…bc¢˜Q§ÚdÇâDçœabF õG1!1²¶µVXXQ€§Ý ^B "¬ëÄh( FÎ:‹„¬H-Ý®p]o4—Æ9yºÕ†"$Ùãïž¸Ä +€ +•*uYVÅó™gÙãñw»%În!,“Ãðm?ïò•§ç ± +xŸçŸ‹Ÿ¦þûý4MUUêVÉý4ï‹?–°/á7‡m«¢¼÷Ãзí«ÈóìÒ9·oþXÃü2N¡ïÇ®ëÛO[7uY•EQäizê<¶pl~_ý>û_«Ÿæqôý0´]×4õ»,ŸE–>Xÿ^þ/ÀÃö~ + +endstream endobj 2461 0 obj<>stream +H‰b`dbbffaaevvv àäâæáåcägbfaCgçäâfàãgÊp€eÙÙÅÄ%$¥¤edåäaâl¬¬¬JÊâ*ªªjê šÌZ )Q¸¶Ž®®ž²²¾¡¡¾¬0##“(Ø86m#ccqSS5ay E¢¢f@`®££ca¦lie­"aÃkË`ÇÏÌ¢/¦¤df¦£c¤k¢cfïà($è¨oëÄç¬Æèâ ”1·é2s5SvstÔ×·t÷ðô”Ò––@M:^^:®Þ>Ž‚¾~þARú––J:`àêêjoïèé¥&Ë Ô4-:¨Ë<6.>!1)Ù?%5-ÊÐQIÉÄ¢-=#3+;'7/?© °¨XÖ‰ALÌÌ(WRZZš™™UV^QYU]S[Wß ÆçâÒ¨[ÒÔÔÜ’™ÙÚVÖÞÑÙÕÝÓÛÔ?a"Ÿ@€©Lü + +endstream endobj 2462 0 obj<>stream +H‰ ÁYr‚0Ðû_HÂŽÙI:e Í„àVýÑmß+Iã9¡$ È’(‹‚ä8nž“,Ï1Æ”Òu]?ŸO×0œ¾ÜïlCßú~àº!dYN/#»ž‡ßËxï¶5v@D AV!ÇIEiš>áx©«¾©ú¶¦‡€ n6 Ëðëõšçùý~Ÿ†Û™ßqLtm«©†$*¦i&Iÿ‹âº®ŸÏç4M´ã‡²­ŠöPv9®lË3ô®})²Z–%çœ1Æù@»1 +Œ¾adY„0 Cˆàp<Û¡«Y–ž¸¶¿7í‘^GvcýéO€ ìp + +endstream endobj 2463 0 obj<>stream +H‰b`dbfaecçàäâæA¼|üü‚BÂÂ"¢bÈââ’’RÒ2²rò +ŠJÊ*ªpqP“V×ÐÔÒÖÖÖÑÕÓÓÓ7°¸¸„¡¡‘±‰©©™¹…¥•µ¾­­8ØÙ;8:9›¸¸º¹{xz‰£o_?Ó€À `Tñа0éðˆÈÈ(q4 !#)iä.•‹Å&>@€ + .b + +endstream endobj 2464 0 obj<>stream +H‰PGr! |û‚2Ì&¬ýZ7..”:JDL$Ì*bªaV#ÎZ{=ÆyÎÞ¯1ž9ßµÞ9ŸÑŸÞŸÖîÞïœ)3 ‹ŠºYñ8<ÎRÚ±µ«mí½ÖwŽ'¥œ3¸&âæuŽwŒ£”¯já~Di¥ö1¿ëú½®ŸœðH¶¹™­ßc¾°!ÌSb6Aú¿ª Sþd”pБCÄ(}J®ª‰*“Pv±P/^JTl¦ ‘²™˜£‰•°s´!Ç’aø¨ +ÈÀw ÎGhúI¶+íMLåO€Þ·A + +endstream endobj 2465 0 obj<>stream +H‰,ŽY[‚PE™2 JÒ,º¨%YVÐ$9fde–4„fZÙðÿ_ºˆûá<¬õ³‚bNÌfƒ¡9’š_GH2ÒL ³8Ç/&’K©å’a5LÓ€E±t†È&’ÁMQµ¶N\.'I\ÛÀ¹|žßÜ*¤èí]r€¢ˆÆîísÄA¢:<¢¦¼X@R$žæ8‚Ï’ªÏA©äM‰³,vœÉóª<É”Ã"Fô¾ÀO4UõÝ© ü(b AËMƒ¼êñ©(* «éºQ?‡KjUž¨’wóÂ4ÍK½y¥i>—§üú¦uÛjßÝ7 ¯¨ÓQ}¬‡Ç§g»ýÒíê†Q¯û + sëÕíõúmÓ,†:‰,¿ ,˜÷ápôáº.ì*ëŸ_ñx`}[Ì3²û}Ûþ­ýU<þ/ÀL4MÚ + +endstream endobj 2466 0 obj<>stream +ãØßèåìæàç + +endstream endobj 2467 0 obj<>stream +H‰b`ĘpIŒZ€:Α + +endstream endobj 2468 0 obj<>stream +H‰ Ì}spàïÿv›u¢­M6º!ôþ“R­Žjbz¡—ŸRþÁ9œíùÏý~¿ÝnyžyÞÊ÷ý0 -ËjVÇÆ@úVÔa—BŸ+xm5ßÈFãµ^«V0´r8ʲÜï÷BMÓÈ693­å| 8±† þÒ!?q EžªÈãù|vÝ9C³m“âÇrÙ#‰@Ÿ¨ª¬|µ[ÿ+ñŽÅŽ¡–æ7A +ã]²Éâõ6²(„š¢ÒýàSªGI@Ž×ÆEåöÔ™ŒC3‹…ÀµŸl‚är¹˜†IõúÖÔÉÒ2vÇãQD HÞ2>stream +H‰b`F&fV6vN.n^>~A!(—”’–‘•““WPd@JÊ*ªjêšZÚ:ºzÈú†FÆ&¦¦fæ–VÈÖ6¶vÆÆjöjŽNÎHâŒ.®n`çîŽ÷ðôòöJ8ø: $üüà.Š$Ž 7ŠÀ&ã€M"ÖÖÜ›D\|B"6 Ƥä¬~©iéjØ$D3¢3Q$ Ì—96 + +endstream endobj 2470 0 obj<>stream +H‰T«ÿèåìéæíâßæáÞåæãêÒÐÖÒÏÖÓÐ×áÝ䯬³­ª±°­´ÐÍÔåâéçäë’–”¤¢©°®´²°¶‰‡‡…‹†„‹“‘˜™—ÄÁÈàÝääáè Y>ì + +endstream endobj 2471 0 obj<>stream +H‰b` ÀÈȈUœ‰‰™›8+;6œ\Ü<,¼|âü‚BÂì è2"¢bâ’RRÒ¨2MËé + +endstream endobj 2472 0 obj<>stream +H‰énâ@„ßÿvE8Æ3ÓsúÀ{@`7€;0†6˜\Ï°ƒT?Jê¯ÔU,GP[R%ˆd–âDs*¹ñX2¢8µ9Ø‚º’ˆ J`É‘âXßRL +*8V†ªÕ lÎlZ€#ˆ#IÛ÷ÚžË)¢VU@ÃæD1¤À<uã©-H8™ û¿)ª×+ßSª¾“ÐД¤Z-°æD F&˘æ,‰’Ù4ôl4½^§ÝtFjUÔ­*ã iÓMÐóéúš¢ùbÎãEœ<=ß÷ûÝ®:’yJFÚw@UXIìj³×¬`Z1s—Åç%ÍŽ»ôpØå‡}±ŒW‡£‡ÑŸp< Ça'h9Z®ö]RÌÜ’Ü*‹âX懲8^×ËÍz™n×ÙfµŽæmßéuZ–OqÕ*#-@ÝQàiqÉ?–ñr:ÏÃÙbþo6 ßL”-×íïÙ×­;’ºZlVé.Ý÷?ºÝÁÏ_Ã~ß²ªÔª]ÏŸ×ó×Ûå뜿­ž×ÑcGIÅ“‡‰ßt}Ïí¶ƒ^'(N×üXî·‡x‘<=ÆéË>}ÉÒuVïÿÏɉ± + +endstream endobj 2473 0 obj<>stream +H‰b`dbfaaecçààääbn àååãgcʈKHJIËȲ ËÉ+(*)«¨ò¨©« ±² ‰kHjjjIJ²iëèêéð +›0šš™[XZY³ÛØHØÚIÙ;8j99»¸º¹{°{ +z±y{3KJµIúøúù‡„†…GDF‰°GÇÄÆÅ'p&&%§¤¦¥gdfeçä*å剈—ðÄ•––•WTøVVU×ÔÖÕ7465·Äµ¶©·w”‚ARggRrWwOo_ÿ„‰“&O™:mz)$§Ì¨žY9köœ¹¹ó泊 $J,\°hñ’¥Ë–¯X¹jõšR4°v]EÙú — K€@ù¦Í[6c“ØZØ$ð€:ÞŒ× + +endstream endobj 2474 0 obj<>stream +H‰ ÂÛB@Ðÿª‡¶¦BM¢aÙ,BÓ½æMn-º}C9íãÝ‘OS¿ª¢©ò¦ÌIy'uÞ&Qv ®QF~úIè%ç˜TÏ4¾,GÇ–Žm]5µÿ‰ÑÉš ÕŽ|³´pÓÑvÙ冡Y†b"ÅP$,‰»ÅœJ‘ñ–‡ë•0φ€ƒ)lj2Ô$Q¥)¶ß?:ƒt  + +endstream endobj 2475 0 obj<>stream +H‰b`X#  ˆ21³°°²ŠbvNN.n^^>~$ÅL‚BÂ"¢bâ’RpaiY91yE%eU5u¸¸¿†¦–¶Ž®Žž¾¡‘1@€ä + +endstream endobj 2476 0 obj<>stream +H‰ ÁYr‚0ÐûßH°ÍB‚u›im¢ºaÈ3Ê0òÃRß›¦Ik…úýáÎúB×i’ÌÃù‹abG8ÎΪ4÷¾ï ¡éþ8ŽãóùÀ˜dç¼išÍf >QžÙº®!„-K)%ø{&¬ªœs”ÒÝ7¯¬gŒ…A('¾K¬r”ÄÇôÒ¶m„É«{ ! @òjJãµ6Á,X.Ö&w]×1ƈþÒK¡+ïýêík«nÅ¿¤;¥Š + +endstream endobj 2477 0 obj<>stream +H‰lÎG‚@ÐQø +" bïر+°rÿSÉwçL²|‹$BpryM§¿üXŠr³d•màŽ[!RÝ«ú5x½ÑlÙÀÛny0þ`<™ÊÌ>›/äUvg®ÎÜ\oäQvo»SγïÇSü|¹Þ@OœÜO•éõþ¤€é+Àú¥ + +endstream endobj 2478 0 obj<>stream +H‰ Êë–B@à÷¢jnìÅ%×YL-2«0¡åàtA§Úý~¯×ëv»=ŸÏ¢(ú¾ïºîr¹HD2MÓ0 ]×£(ºßï²ô¾Z°„ËĈ¸®Ëæûþf³†:¾®škÍZk6Á2‚ˆ`‚–%¹ªªZ´õ±=•Ý&%üF –HùTcA¤iz½^çyž¦©M%Zï“Z†k›TS ‚¬ ªjMÓœÏçÇãQ¿"«˜:Öµ=jûšª:Žc[6¥tDz8ñøn“h›ÄßB â OvÉ.Þµm+²úð#ò}É‚`ìyž¢8”üO˜¥Ç”ç{ž+úŸBdóö + +endstream endobj 2479 0 obj<>stream +H‰b`ÀÈXÄ™YXYÙ°H°sprqó`Šóòñ  + ‹ˆŠ¡Š‹KHJIËÈÊÉ+ Š+*))«¨ªI«khj‰hëèêéBÄõõõ ŒMLÍdÌ-,­Ø¬ÙlÀâ¶P`gïàè¤æìâêr¡» X¨ÉÃÓËÛÇÔÂ×ÏÌÅ? 0ˆÇ<$ ÀÔo% + +endstream endobj 2480 0 obj<>stream +ÿÿÿðððñòñûûû¾½¾½¼½ÁÀÁêê깸º¸·¹ÛÜÜöööõõõøøøýýýþþþ + +endstream endobj 2481 0 obj<>stream +H‰b`ÔŒŒLÌØÄYXÙر‰spprqóððòñóó#‹W¬ + +endstream endobj 2482 0 obj<>stream +èåìéæíØÖÛÐÎÓáßåÞÛá + +endstream endobj 2483 0 obj<>stream +H‰b`À™˜±Š30°°â`dÄ!1 +HL + +endstream endobj 2484 0 obj<>stream +H‰‰n‚0Ðÿÿ¡ÉÑ–Yœn<€‰”ÒB¹D6@0$‹/ï`œO¦µÿ4!ÐY•%A†aß÷]× Ã°,K@BæGÄ ¾vÆZÓñûͱí²,‹¢hšfš¦yž‹ìï–þr{ê¹åÃR^!Æ:!„1F)­ë:OÊ8ÊÏâ0‚dƒ·@!!BšëºUUµm;Žã=”yUÞê"{³$):ÞØ–í8?©("–†,a¾X½IÒJ–eUñ|é]‡ßGsúÐwŠOu¼Ep­!œÅwêñë…Æ<,ý`ÂCÛ» + +endstream endobj 2485 0 obj<>stream +H‰b`dbfaaecçàääâF<¼|ü‚‚BÂ"¢¢bâ0 II)iY9yE%eU5Uu¨„h¦–¶Ž®ž¾¡‘±˜º‰)DBÌÌ-,­XYY­mlílU8 0`ïà¨å$àìâìêæî,Ò*åéååíã«  …üœœ¤=ý1$@‡È`H€æ€UlÙ@€ D-Ÿ + +endstream endobj 2486 0 obj<>stream +H‰îþ††Š‰‰ŒŒŒ’””™™™•–š¶µºáÞåèå샃†……ˆˆˆ‹‹‹Ž”——š™šŸ»ºÀãáèyy|||„††ˆ“££§ÆÅÊæãêéæíqqsttvvvxyy{||~‰ˆŒ­«°ÐÍÔçäëmmoppqrrtxxz‡‡Š¶´¸ØÕÛeefhhhjjk‡†‰ÊÈÍèæíeeedddgghmmnssuŒ‹ŽÐÍÓëèðffg^^^aabzy|Ÿ¢ÖÓÙëè‡yxz|{}€™˜›¿¼ÁÞÜâêæî¡ ¤“’•–•˜˜—š²°´ÓÑÖäáèÊÇ;½ÂÀ¿ÄÁ¿ÄÓÑ××ÔÚÐÎÔÒÏÕÒÐÖÝÚà ®«p + +endstream endobj 2487 0 obj<>stream +H‰b`dbfaecçàD\Ü<¼|ü‚BhâÂ"¢bâ’RÒ2¨â²rò +ŠJÊ*ªhêÕÔ5ä5µ´uÐÅuõôÕ5 Є9MLÍÌ-,­ÐÅ­ml­íìÑÅœ]\ÝÜ=<ÑŽ¼}|ýüdÐŃ‚CB91„9ÃÂ#"£0Dq A9É ÀË8 + +endstream endobj 2488 0 obj<>stream +H‰ÁyWÁð¯[±{sßW4÷‘šaÈ"‘^zýU/e!É5su|†~¿ø³]ýŽ‡³ÛÊ=éðH`@‚ØmN*ÂhÕFPŠB8 ("ß­ÿ>úc.{i1Ù)â"}édΠ³À8 âV?8Q+õ8ª°š>OH!ÓìDø=¡€÷CäÝ×Aô”vyݤßasÉ µZ¡cÙ“!0¥d2é­2\øè}R)W/΋®”ç®L[8õ¹ƒ•…eëå÷J؉ÂV\lk|"ž¦©$C³±HÜb<Ì°Ü×hÞnöÚ^£Þ.æ¯éX2N¥˜36¦ìVR˜­—óÍt,<=Ô+¥êMù.Êéµæ~w8xñ/­\¦°˜®>‡Ó&ߩךüsk>ÿOÁ + + +endstream endobj 2489 0 obj<>stream +H‰b`F&fV6vN6.66n^^>>°8¿€ «°ˆ¨—¸·$//TBJZFVVN^AQIYE•MRV‰*¡¦®!¢©%¦­ª£«§o jhd ‘`4153·à`·²²VRT·±…H0ØÙ;Ø9:9»¸º éËÚº{È1À#ƒ§—·”¯Ÿ@`­*° `ŽŒRÒF–``dd´cŠŽŽ‰õ³`@!ñññ ‰IÉ`mññ)˜t…êÄ + +endstream endobj 2490 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?MvãÖ÷Lµ¬Üãà‹+!ôt‹m'ÝdÉ^•—õg¥æzž°é´xk£EÖ¾¤Rþžh餷hö‡™3÷"TòáGLÈÈ:9àŸ½gþÔwŠÜÏú·Õ:H}"½å¬.sšD@ ô¯ýÒ‚ŸÿÙ + +endstream endobj 2491 0 obj<>stream +H‰áÿyw~}{‚†„Š““‘—š¡ÏÌÓéæíèåì}„ŠˆŽ‘•”’˜ ž¤ÐÎÔxv}€~…¥£©Â¿ÆÝÚáyv}†Ž”—•›¹¶½äáèyx~•“™¡Ÿ¥çäëzw~‚€†’–š˜žÔÒØzx‹‰œš ËÇÏâßæ{y€†„‹”žœ¢ÒÏÖ‰‡˜–œª§®ØÕÜêçîxv~“’—²¯¶Ú×Þ€~„ŒŠ‘«©¯ÊÇÎáÞå~|ƒˆ†Œ–•š½»Áæãê–”š¤¢¨ÈÅÌ‚€‡ ¤ÆÃÊÞÛ⣠§ÓÑ×¥¢©×ÔÛ ,“ + +endstream endobj 2492 0 obj<>stream +H‰\Ði@à×’FEDÉ!d'dßwÿÿi*Go÷ã}Ι3‘h,î†I°ä§‡$Ç»½J#3þ +åäeE }A-þ{Rr”+’¨Ô(èuµ¡¡ES6tgÀ·Ú€Ùí¹Ð Ý'Æ“)ËäèÕ3f¾`˜ö’^-¬Öâv§Ð“ö‡#ê‰hô?Nç ‹ÁºÒž¿ÝGôàùÒBà/Þ6_”èè + +endstream endobj 2493 0 obj<>stream +èåìçäëãàæàÝäàÝãÜÙ੦«“•æãéäáèâßæÈÅ˽ºÀëèïìéð + +endstream endobj 2494 0 obj<>stream +H‰b`@ŒLÌ,¬lìè Œœœ\Ü<â`Àˇ]|  ¤¬t + +endstream endobj 2495 0 obj<>stream +H‰ÁûSÁpð¿5yöKt—mZßY–4¯«T*BžÓ¹êÄ0–t®Ç…eH¨y +=þ„>Hët+r¹B&[T(”*•Z£YZ^Ö€„™$m±è9f²™Wå‡Âd:þš‰sܸCÈ‚L©T©Õ­VG[v›sßuX`Šu®YçZï¾8”./’þÓ3 ༆¢#†áVÒ§tŠé¶…¯ÙïçôGš|×kÍx,ð‡Â¡h$LE#ÔõUúµÙŒ¤¹ô×{ë³L‘Nå²™|.S`²l¹ô ¼'£ÏÁ‡8Ä¡ ŽúÓ—j³^k ½‘$Îoòw,Sºe˯®÷8à9òû<ÁÍr•F­Ò¨>óüK{ØŸb놚Œ`ÓaÛ;vûÜÞp0>Ì`Ì„[läiq¸NjÏ|‹ï  ¦í-‡Ýº ĞÀúuhµ˜í¡3ŠŠ$’—tå‘C``€À‰Û—IåÿÃîÉ + +endstream endobj 2496 0 obj<>stream +H‰b`dbfaecçàäâæáåã„!a&&Q1q I)iY9y˜¸ ‚¢’²Šªšº†¦´–¶Ž.\\P@OßÀÐÈØÄTÀL@˜[XZYÛØÚÙ£(~GG'gTå@  è⸫«›»® ¦„§—·¶ŽºÍ‚‚¾~þAÁ!¡è2aá‘QQÑ1n±±Èqqñ ‰‰IÉž®)A©Ž.±‰¸´ôôôˆŒÌÌĬìÀT]„D\\N\n仹ºZ€´•ÅKã "1²¬ÜÓËËU¨ Àºk?º + +endstream endobj 2497 0 obj<>stream +H‰Á‰Ð7ÆÖ˜%º“–ûŽ5l9—sÎa" %¹¾Á{N‡Ç €Hˆ@aGñh²ßš7Û~|ŸæÇíü>ˆÄ#¹Li2š?î¯`eh®# ŽÍ2Þ ÆC¨8—Ú,÷¶ù…@„¦Ør±¦ìÏ/ëñRA8lÕºÐ0ôgÂêÕ†yµ ŒæX¾×–)‚Êâj¾—wÝJóùõbÇ2ñ¦(”K2‘ÊS]3ÄZK¨ˆ½Ž¬*ú_€.r€Ž + +endstream endobj 2498 0 obj<>stream +H‰lÏÅÂ@ PºƒŠËâ-N±âúÿÿE€S“Íñf&)ÏéL6—§ÄŠÆ/•+½*€Z½ÑL2µÚ€ít{‚©oÁpHç˜p<‘­ŸÎ¯œ/–ÚU´Ö1³Ùîäø¯Ûh+e÷GùÓ¯÷t¾¨ñ|áõv×éœóˆ]LO×¾×ÛÕJô`—–n + +endstream endobj 2499 0 obj<>stream +H‰ÁçrÁà®Õ‘%bĦÚrV*jG‡£=×–ã4½´öNåDb¯Ðï{o~ÎÆüt4ŸÏ„´ßoäÍ÷vux‰i²Ñp ʼnByª¬<¾öÚ£nk8p+Q^‹;nÂW_êFÜt¦<‡aÄç ÒÉl*‘)äÊ,Ót'ýÎø(ÿ‰Â¶T|ŠÇ¨4QÁZÑ!ƈÛba*—.dè|£Æˆ‹ÍvuØ­kQÞH2¨A/QàB¥Aq›ÝEƒD$ç³¥ÖG¿×wÛ£Å\:ì~aPƒ†âµÁjvú½$á¿xI’ˆ¼5ØÕr˜Z¥ÇuƒÞj2ØsÅlºP.V¾f7]¨QÜlt8í.‡í6à ÕkL§5ä¹¥3†È8MåRÉ,•ÈTŸ-¶g³\;î+»Ën½A@ †0Âþ5ÙÊ + +endstream endobj 2500 0 obj<>stream +H‰b`ddbfaeeeƒvN.n^>~~A!„0‹ˆŠ‰KHJIIËÈÊÉË3³Áe•”UTÕÔÔ54µ´µutõXXô¡R,†FÆ&¦fæ–VÖ6¶v¶öú@”pPPptrrRwvqqqus÷ðô20ð‚hs##_?¿ËÀÀ `ùÐPE}ˆPŸª¯s˜KxDDdT´­]L,‹202211‹OHLJNqBF¾©iiéI|NN&@mn@F&Bœ©æŒB¥‘@–“S6ƒ‰Y` À£Se + +endstream endobj 2501 0 obj<>stream +yw~zw~yx~ywyx + +endstream endobj 2502 0 obj<>stream +H‰b`€F¬€‰ »8&`&V!`Aá1B ¸ƒˆ1‡ëQ†AL„t’è'"\ËD4q€$ + +endstream endobj 2503 0 obj<>stream +H‰`Ÿÿywyw~zw~xv}|z‘–š¡œ™ ›˜Ÿ¬©°ÇÄËËÉÐÊÈÏÌÉÐyx~{y€‡…ŒŒ“ŽŒ“‹’›™ ²¯¶µ³º´²¹·µ¼€~…‹‰Š‘Ž•~|ƒ}„zw ·Ô8¬ + +endstream endobj 2504 0 obj<>stream +H‰”I€ ip_À}Côÿ¿$ÆÄ`ôm*Õ= žˆ$Íò¢¬ê¦… ÕõÃ8Í˺9øí@ì‡Ö'ü(cÌEð߀ôØMX„\Œá¤& ö]

    &rLw5 zGdnv2oRHXewsf0m&Z>vPE@@R0-jFaeW1UwNGsg0&*QEYa`)03N#4XH~u1UY}x>hPa zVUcfYBXW$WTIcxUWA*(4%wS(qsMDBgLXsmw=a99z;mi9zjnC@ag1b#tyYt;kkEiLp zy5eXjhH2p5!Q_0ae(Hs(dLK4M_`raZxXDn*F^?*l==s8#*PSX1@t|>WzRi5>nzD|a z4VfPBx^o3JR+n4$f#+@?pZeu9k z^+7pGeX2=7-)fet_A@$%lhX7s>{5E>Q2%}RP2#uPc&*a6w$>(&_K2f|rVBmPPx2Z0hyf8<5j`KI z+b@uo@peaUo&K1*JLZMIO}T{E%O!O9iGs2T<2guU zS2ui5hoe6jw=E&3idc2{MttzdpSaC2<<5Vc9EHT4yTK+jm~=ww_Qwud__ zo1iO_ZdTYl&g%-)qzR7kMjQx#daB3rxrLiqbLy$jYZedlp1V0U1F5ERO1UW@U=GmdG$VG9&3`}kB!_?m4^ zSfJ##Ay@B;-H$8`j>@P`$Mu9ZKEtqf#l_D+vhVl%&2%0_)Wk0^c5M zPT}%m?c!&XesWCuiS+mjDLrej6>f3txJi5y5=`s8;xV&b=4>4spKFo(rU_)}R_Ttg z2F?cr+_sfQrYG+=9cZAQa~=l=B&W@7?aN=`e6WRc-t+a72d{jXO*UUx>)b3ko+Y&D zqX~VPz>-)%R;%_7^tkvXLr;cQuUh((bM2Z>B{cUfOFbu|SrnVCI`pipfpwwo07!g()Z^AqyJ6`tf$ zah-}cA&0#DsQMyAihnOZP14tCa#!ES!tw&H`v-|>aB7`EUsK>!GbSh2qspjq>lt7Lz_wgLApebU$ z0q_3N8%cvwr#awfdGyV-O*)cR8c*`v#67x`L^tt@7arQnBi0xh$(JQQJ3g&2o73v| zgn5nOZ)z>PuV9#iC&-7uw{UL{Pk7aK4joVh)cB)jtd4_%%&cW zcS@vMsD~a~JiLq3;Wbl-24TBJ29axO&kMWx-S9G6`9u%*IrauODE6|GSll~3=dJ^^ z5Lx&8A>4NzuX4uV70j$3J&%-r}D@|EWtZ zD8n}4JGABlT;h8^0(vI3d1uede~_ju9b#W@4Tv(8^f0^y@IYgpu585$i7wLQ_sf+6 zl6SushU8qm&p+Ybui|#7+L~pzgojVRW&I+1pp{(vZ5?iMHmA=^0iHKQ>4n0#cb%|a zJGFl&^7uAvsV|$Fr2U_&=_6sH@y_nEjJdT|Amc1s&wq?J?EKEHLp*Hk*EY62f z?=Shb5A`%B_I(mWKav~}B-|L_32n=$39BM6nGNMNi{5<2V5L6z!EUplR@+jLj}Wk* z)?OFcc@fHoOYKFE8&^7Jf}gc3-Qj#)DCD!`95~sew@dFiCs&zTpk!S7a?nLp!tp@! z7W@7aiS^tPNHuAZPrKt1hb<#Emz?9V6k|7^(e2oj0+fV+O`a*a+5s`(329Z%tnrDLE!}v6H()R14~J}wgmP>JbIPV zDZ^9}F_D#igWAqNf0=e(pwl}?yl5rMDJbi1dCb#e#@k7&UTFc(C!qmM2zTR)nfr4n zfUL@94z6AHtTzl(f;o8&#TPg|B-~o-!kWM>O1yYU;AXX**?XNzhOrQPpL?j?~SZpk*vlmcYBSR-J0bzJ?8zYb}0Mw-_89L z=UHu_7v<6ut+ANc-4jpBbg7=2Tq{(04>P!MQuT_#+NU3S2I%iDhX>x`5f$| z)ZYxWo|#H>6*q~z;;oD@@{?Ij3qVv#I*5~mCUe<_)2EM(8xi+k5uGnRcmvKaNN&8= zyXr(b$>qVclb~##99YaHHBcQY@hV8EGeRm?92pbLP2 zATSh)14po>ub0IE{ch^Gn={!A0)Wv4`W8PF){nm|tYrXJ`yrP3E>ggLQ6h|dd84wgmUocD*#RdQX z6iK#rNPRVpul?vpN}>)FiVG49rc$XOstkxovIj#I6coS^7#Icv(lvl&PXYzw0VI&c zz8LvtM-4~DlJG7RJdprcw~MhMx>1xwMb{mD-@XLs@{=P18MF~B2upMZ(;L80kPP^{ zWqLOf4rMPb7hyGXZ zis1E-ehP8p{MW7jBLAzZV@n~D42eW1)Cq$Ph~z-F zPEG)09ErRRmK+EMf+>Q3)%}5+;(C)V(H3v#sYcIn6bu4U0776uDBKV#i-f?Ca&iYD zP$UHM#mG;Zzu4Fa1^1uW_(}7hM$i`f9Y7a1lG8?t*nXNA%8KA0O+TF}T}Z)GoN(WU_)GF_477?9<&V;D8Q_FpzXp&_ z7=pc$s0Yv%XNPffqKKkS0994g0P1Qg03g83zyXJ&KrO!Hk`w-qmcB^;=wKtjUt>|E z$A|rr6TetkPelWq>mQ{*B1B<{^iy6}`g7lZ?7HFm7eD{xRiLZ;eHj1rI&gOW<0#T| zSXURRM#Q?U53`mU%FPXLi$vJTV(skY6o6O=1PYY3gW`ZTHVSe;3sB_h*7Xb0C8A(8wga!&JKs8 zWBD(A|81^+L63gBq~CJE-|xBqGFo5K?H~U9al-$w;h)X@cJebCf4Tn~uD^unXNBKz z{fx$6?*E4CFCqF_;Wu1Aqw$yfzv22zh<;Z1cX0hwwb3h0B~dE9Ci|08_Q%h_Z_KUf zQ`q%+xTG3#eKrq)LE%tY2y9~_F9Cr_NP$({C=NuDBz?{&1>WdEKlrc92PyEk3Q6+6 zs*udTl}P4NQeb+G@bBFluFs$)|7`jz^`kWQAmQvd*dPEn{p0%vfXm6r$N|@Lo6ooE{z< zeKJU*e2ESUrAH?&uCAxS!S?^b7|1~7>9yRK6!?$E;K%#6-}nRo46;s0fHxQlz&d@Q z|AlZ+rIQU#MEi{f9gGdmi40gLMf86R=nuL@l|*zoLiC{1BoKg{0=-0q0pw+27WBR( z`t<#)RaGK^vR<>9K{q@Cpd01@&<$n<0Nrp6SRZMCJ^;E7KK;-7&(hHUtcR^{0oEt3 zvo-)20s_{>+2S#O=29O=Cc2TZI5I$Cz0(i+5B7@wxO#hoH7y5soFVxRhqVUg%n}3PqXWegr|5N1GZ|deZb@Sg&-C$)f5Id-y z4A2gPLjYxE5m2Cw9nKaghlN6I5Ohum20{F$ZhoGf()a(}1oaoy|NFz=PW~2lzv21~ z*WW_mZz=!IuHSI|Ed>6S^8b$P`VXm_FGPYI0Q!}_fJ5Zu=>_jMssaIkeQ!Yg%uQ@) zz#;N*dfoa>nO>=WHv@&p(M#lSE&sw~z@YTYZ zM`Sf%P&s)yIfWw-7+e;vrXdeMf}rzA2o;o~teP5qbs!ZLn7Yal84Xz#6;%ZoTtP+_ jfjA-qQ&5*j(TjN!&YfPg!vVi=CU9AV3_Z%PtjYfYZxE|j literal 0 HcmV?d00001 diff --git a/doc/Board/fpga_ebs-v2_2-schematics.pdf b/doc/Board/fpga_ebs-v2_2-schematics.pdf new file mode 100644 index 0000000000000000000000000000000000000000..6a852c102146baa1e422bee6c50523e1571e7baa GIT binary patch literal 298277 zcmeFYbC4(7(l*-Gv~Alqra5ifHh*nTPusSpZQGo-ZQC}!+56z#=YHqj`=0nBZp4jv z>#th1GM~)#tgKa;tE$N4Ma1Zs=-FV%c6KLcVVDUS3GECmVR(6A7?j=ZO$Zr;4V($x8+)7IZW6lL zSlc>syYUel{PxF$`}g@Dml=o&{}geu;v-gbAJNJ z*cn@xx{DY%nea0+GO^MzG1D=zDKoKiGqQ0rG0`wGaWgXhP5m#D|J44|yve`QX60t# z_^b9`B>$j-;g7NZg^T}$A7i6`(qr!ou>O;IVIjq^}mGT?~dO* zv;2eS-%W0LfSs|kkqLlb&Xy8OBV&UjyVg1`(LMFyP?juG*N;Qk$Yk;dG74IK& z@LwALrxEcxx@Bbky`%rQXKZZ#;p?Bm+#+^H&VPi9gb2U0vxPCY5fh6EmkARW9UF%M zGaV}z7b_hXJCiXT2NN^1DW@q5mw~b2pZ9@`%wKi?jGezBO4vF&8Q2<``~#7NjnUA+ z*o1?Qi;2saj@6X;_n8r=0Uaj?J1Y|tJEH+B=RXnuqWgct#owm!_X_lTDP#EOTE-7U z`2WH2KSur|lK+RU|Do$YV&Fd#{-5ajAG-b{2L2=A|B0^uf6?`qYUp=)#YgP=N3HYw z?C-VG-%BoLmcP_oViwj;zjHDz1~Kd3S-*&hk)5%L&VQ9&Ost%LDYnEz&(tKM_M4H` z52>7hr!zz|e*%N*-p^c7!(p=5VgzAy`mmVBDZZC)W3&c|0VnRM#Y9~H`l8mTr`!9m zNN4@ItZlpc*|gQvW#jufc+lkA`L>^|*Y{BM=^W~7$MAVB)cN^Ei&w`=>&tXtbH_(e z*T;h*z@?^Y!>xg!$d&D(BYT1Hx@-fDH)QA2MM=7HTBdm=I?p#b-I{MRp_J!zVZUyD zuj$C^!q5{?GBPl6)-x|AfME7gMKz)MW67uf2;lv3-qM`XlxN~f8@H9DfP7}RvHzq_ zOR$x@J2b&?5+r$Yz;5?ev6JIdt|j@JcIgCpFAWMg?@Id#2H9}&^JHerK`B;MC$@N+ zOvj+<9QonMG6)YV#P{{{3ECzGZZAJ)D;Wt&qAQLk`v&KbOpFqCgp9GP*Oyn3 ziV3qf^?Tr#r)j8$If(b|i=K;K1#0gg0+b$sEv`~mW{$9108i5f3OA>7ts^-v#l9uLT4_AYVNPI0|@=qy} z>rjbn=f|#5=QuZ@`HV#dw*z5h)!488vuI70sQO*@bU=iFQO?~d0XHL|ga+Ujh!waH zjNHmcnhMSJhYA{4g>cOJjBFHE)`Crs^8OMm%I^`jOV%@*&whkbEV6_T#O%w3skp#t zDRTOd_%$-@TtS13&Bdp%dibJuJnRMepmGnG1y2$BkFPfkI|_Vq8F@AtLtqYj{nepOK-5Ia~04a(BLM0x#yu4#O&CR50p!6mX&`;}-tmAC!lp@cyH z?o6Pd`kE7_3%F1`I)c$3poY8EkF#amhpa~D8!$OwdgUUfQb`g+ti%3Q3)`0zZHaLo zXl!I=Xfb58E-tyo6naO^VdL4KTQ0pD`jIyeSuO+c6FP#(k25A)5Fr%)`v??4XQ)V( zU`-JTluX^ajQ9}}nnh@w%rbmtE<}W@LAl(!>}4)QPrDxiGh&jZ@{|>9%{Q7f2zjsO*FCuG~77!8}@thNVdD3AjR^*1dl}?yoab*Nwqb5*cC%M4I-l1jMRQXE$_IY+ov^9sV zfH?II`!j{Pu?V+P}@a69c`cD~sho{DE|Nv7@`<@a?BFK5|s3I|c3{ka zsAC&LbNmZ}T5kfC`juv^)?P4R^45OjTF-uzW~@~tW0E4+`#5r-+$u96gekeCpG{cG zrBHd!60cGl0Z4hO%s?7S2RRBiYgSO=^{~(~I1AAMdkVbiYH_U;g!lhS;pp`y;p*zo*}t8$s_%AFj)&So3ZmuRW;3yFfUjgEEttlJMf=uc zcWn5|rY~hCRGUOjzkM930Bc}x_a#kdcE4xu?qX|>p7ohqMDmoA7VT)N@)A;t;DzVx zM}H$^~QC%zdG(Dm;g4F~N5*SAA;d zoD2f#ww6#!deq)`Z`l{TF5*PSV5W*55u(!&$T*amxlonqaSg%Hkw6sK)Nafb?|v3* zMKQeHn931pQbF=>+5>$!-+rP#>|XX#mh!;PG46jok+-B0_GH|bM=Si|6koty+Z(1u zwNI#$c4XbBalz&$I+9r;W95AP!$6^c{`nv5CsEV|Kx7}a52a` z40tN>?dKxG)h80_iKHYWOEC({G!BVIx$r2tLhf??jvJJORi$R z3-AT`B+tsn*tIK)i_wu7*fk5IHR=?Qw&Gb;YzO+fC^2*A9(B~hqvB7)CH)(2;e7l$ zDKh>e1+$L3V)26Tdo0u@tZ@Z_-nKf;k{Oc7Xy_Ca9~4LvFXK9lk3))r#Vp?tB z_sCtSbI0&rcds?PbGrI)1NH7{u+pjD2>=f>Vl&B_DGrsUMVxiuNKrXwC@jPJj$7Sc z!&l}wW`?>wLBhb>%L@+>1N(MA!@&$@uG_e_-JzEI?7k1&i-s;1Wzv?+KCO_ z_9EOz3DzK*bGDD64$+XkEk|;$(2W>5u{P{EbuqCQ6f`AvcgXcWOhhk#lPz6B#m`7l z4S97+DSrlu-=yCh#Hh0pu%dIjxt^OQr5CH!P+N&u{vohiT*gs+oZxP@w}0<+43pT0 z$CCPG8%&6KH>>DE)vWX;w4`O_MdNUiLH_$sjJ(zxI@RvRDxBhj zH7d00(2j;xGBthpuKxT|`%d2t^s1hv{BP=#Ki(ew<6Y4Ib6vvB!T!J1B`$lM@awOr z4#39_Nlp}=BRsAl<$KrTN0~i z2irwu$n=W548RV87LdcK{Y)mUYw^05xTeA;&%dV+`njRIwVyz26<+ho+5aJId7iYW z-O6``bnXVes-)&hDpN@pc^KCT-sOLPlv5x7$YjL@&u5^)o`)A)$;Ml<*6*#2G!E%W zr4Q6{>#(Ad>_UQNN%HuTuXkky(Kl!GF6#H*Fm=o5awf|8bwYnUC5=*WzZVPr$_!-{ zT(>lxJz#1%oXWTKmKJO0q$=}Fv;!BSnkk}99La2E)K~YTtWr|ng=gW#vmGZ8z`f81 zBs_UnD`$dCs0HxQ3xU}j9qy5UKVgLszat8T!RiAg%j65_R+wnP?yzkyr?9F+puUoh zAn?&&e5BB4Mc6uBB#R01A6aL(JZC@p~hd*Mz1gZg1 zX&rYqCx5&KRf+n^lQf)C)k%(g6ENT3WyWYi-Ajksw<>diKe0^3J919VA;qVRq z>E?XcX-t(aZzx$QF+3Rr>=B8qX%6GcQIv%uLSh z8zlM0ePWh?R~JE+ncK7^CyB>x%2i9=CQQW;n5_4Y+A$0Y#RzuiQvvZ$9K!b^AXq#_t;@cXq#$qS3KMoQi$;)fTFysCz<1;YlP7?=O~IQh)b$oD&20RfkY>((00Zx= z9Z-f&QCLnHuD#V98z#m?3IX7~{Tc4zfN}xUgxcI15+qgm5{h*vQT|rOscfqZQ*s!4 zdh31)Nzs`Ix(Dv-@ODg_@widgwkR20;Na6$q-77Ua1mvRu$P3rWl-<}k*w*LF3T-- zzy6Y?4Lja(SgBtD4q^=Ux;vx6$UD=JpYlDWF|Ts@00-Tok69e{4tZc7gc_y3m=y(? z8t_C7!&sHS!9-x}Un*Ljy6fnu3m4(mmT!Zihl<-nGYBt(CRj#Neb5q`hJwD@D{gi= z2IS%f}Z2&0WxT*CM>o(ApB(*|P>&Vr2<4 zysz42B7O<$c|1^7oIaPvc4w_{WT3JX{~ChW4rpGK0{R^p_-&S-eHt(cycj$D^0T~0-;R(NDkg%lOt#$$S;MgMb$_R~Pz7?Nduriy}yti<}Xqft_kNzXbO zsOW>@?SW#CgsqIxcnv95XH+UDwk@<@^^J^@U>4*#z`<)4Sz#NpFdh?3tAX+f@PeMU|sh{vTxC?{OP2o^F2#~{+rEslm z=Avo5xW@bl{tgx2krVdw9_7rX)G^4T0$eG_rX?xkM51OmIG{`Vv3(tBMe`YX0T~t( zwhQDTg}pzB_uV8L-IV(k01*l;E8|1FEd&!LWmjvVhLTg0-YZ_r_fyK7QaZ~*;=$AH3p2Rq>wM)^7NRot0Y1E0fF z_kre#aTb>!q2t!Y(mW6yA7+mr5GXAwIq?g%SMD;p3Yx3t zB}SaWdbrS7vAzquo>ie-7!b{c%D%5q`^_LA(k9DT1f*pw-`0qATV+HGReF2lLW)A= zh~2<&{CKl2xf>Pslxsvhu2y<>#4sYvEtH1S++?{)Q9VQ#d|Jh&c5qkO!9XjN`WD*V zS0oPj!8I=r0Trk^g;Py(LfqjMBgL)l#a~8whwgBYsvNuVz?kOM4`f+~U0tp*h$~21 zXBF<$_V6+8mA624$has{Fn;pOxXr3g6Q`&q+3`6yqEKDPrO7+j07N8%x!O1;a5Bg{ zsrO+R`D_7FW#33-&iIYWbn95Pg8LHIu-@T<8ja=6ddJ3@6&TAvI^Jyd0Ddp1Lx9Ra zyKdQ|KS)?sO_x3|%s{_(c&-d>Awd2RNzORqhqK@?O{PwM)0dhukKH8)9&$k^`ctS} z4b8e>k;ZuKZ*A?I$j~$CbfRi5jMEUi$n&E7>t7FuyEY|4s&-ta5(?jPa?#0! zl@e0fG8NKUPT~(sgo>ixb@=vyz`ObfmBioKZtOsOx24cZ6#VkNBUIv3&F*xTf{szp`y~hOOIT)4 z9p{&?nR~p(aY__{_R%u(Ih2`K$)L8S@6<;QY`fVq0}zOw`;YFKPvtf;dgmXjpJ13k zJx94O|0Xy7>vvNBZ@DoO+rRAD@2N?->{B9V9#Yo>J0KE*jUFjU4Oz_pd=#+Qkphc5 z=bd*k-7gD;^YHrdwB~8VKvYC$>+7@XP#>&ofxOyCu-&f#Z!)GR4rfZ0VUgEm;Zweq5RDfa1|$W8tSDkfN7melyx0vOxj`wugUvUN4r3g%#4S*d8-ShStF5Fyw#~erP(v&qE@9fI zSV;C>@E!bcsQ~F^P*KudP^rwwZVJhVR?EWB10GtECjG=!MKC$B`$bzk@{k_`&_AmS z%+f~t1q@|x?MSpH9+@;rUvb=?=c*oAgIv*OOts7n$UnHeAD@eBx$z6@XO zMcaKoKWsuXs6l^QuJlt;(`-JF4hJRTlf{tGcHPcHf$#?uGH=?qGp@Q87`El`K$gxj3DPZYdDW;;v(Z^fG$MVv?}3`8>HtS(c{ zy_~adV7^PmyGWQieRENssketbxeM#+Ao(K|zX z%X$vgJ|MohLkIr9P*UW=F4CWDslTNr7*Nt)stqca48@wQ78-SSZDXdJCyq{jomF?9 zrGez>)U=az7%e+$d=Y^W(82uX(k}q~#*kpdVo>~2J%UiGz$&t)I9w3T&9*MMtx#-A zVcr#E_ZBuGC;&`~{hS$%G$B8bhjB1yo*A8;v3zd7>JVE;->qBXlb zn59nWsP*alvrY3X3uXAa%YQ?P&kN7X@r9rdGTWOqXFC@n`&E21ti91Vf(C9HjuzRD zBcbR^eq{ca+Q}rM)gT_gmliLbb%r00!6%)lN{_tHxvd0dFE0pVXKFeaAuYsAlQ`gW1N^dTG6t_VkGiz2MZFL%?ur>4|#D)#CfBSvQU&@oRjz z$ugqyi50{AJDG(^OqG{UcRSbtzLgJ-PgjyFmk<_4IE9P@9rVURYEdzTWP-XmW@K9Y zgdhMv@MnwS24D9S_u2|SnuJyzyr0`7Su~YPS?JL4W(2qk5(x9B`wu8XU9RKb?By0w zUB6^D(Ey!ufEk*eP|DEJ8xO;jl48~r6LeAcKvz%L@l+(lA&C@CEzxRzO$4h2)~VFT zy&bO?r|<3HnAnK+)6wF_wZmB%y-$6(nQKenFLj;FAC2KAzr-89+Q>m@@k?VBVWIC$ zl}Zj6K2Lm+%F%PA=bR(nd(b8rBMCUW<+ALJwrr$OCi3c?8h0Mbh%H4_p^HULMC1Tx zZ7K8NonmXcCTedpUWmNX1D@ncW`27C?Z)EU&{np-=N6PVo>78}sdej2EUB($g_>BT&o++S$#Tz>;mA$s+3U${gZv5rMf;}L7G zIGZSD)kjWk!WqiqD@p;G#o<{kaGPGBNaN6x`%TPgFxf?@ ziFG$PCQ;AEe#69PSw zPRdfT`PL*QJabCQ%y$r+h}~3YB+X&omN*&B-HQ>Qk;Jl6UBh#Lv{Pnz_~ek%&QV}W z!N7)2amUFM>^$@0(`1_s_1RitVPRQ+0?L$VME};N{zqlxH8yl(BAHs^2w`^|G(PQU z>2jxX_JT3Bm87hw8`-FmT01D4GM56Sg z^?Wy~u@UBg(E^06mF6eB@jXeVWrtAKyOU?dow74or?mVKg>X)TSJDl&nGWj}kQw|1 zD6ZP4L~}ZZ6Qe}Qw%{npAEDBKDu#gUHeH4o&D6+BwBPx^cCL5oQBspKY=He%6IyvL zRs?`l0=ck})OAK3jZ)#7NGDg@)C8U^RCbJ7np}Z#uA@}`ROw!QanGP%W2<)(8RqTCcq8o@C2;X>vPYLX4^wiqXt-7LU-9jy;_IHhi;qpTQfv5y z5SOJ6>zw4>;wtqAH^y3;AO&@y+E~*oB85T4*W`|qHoy|4nJXRaeVHyyF|q!hJKk`^ zo+H!MGcNPhsfv)cY?6Z3js>%A1Vv7cICSF;v4);>G1$Ey?1w3effLg%&1(uXs)I&(5 zP3)_;Pjwv}{Up0>9>QUAhx$5gKs+c)SX0D>vZ)4qX%dQnCa$`{4TDr4#FzO~hB~U?e1Dit z4ce^VmJ^6_dl9+vnlK7SmUM*# zFYQNZ0L-jH7}~R5Z6jjPqDC`%LIe38pW7zxwb-IH;kQEy^f}L#F~wUxDu%XT_z6Nhw>Wi`Uy74gfx>flHQ@; zOR1V&y$fn{szPs(H65p1B(-e5Zd}&v~!jVU-$<#y)2h z8Ik>T0-qT&=z(KM7d%C8CyW$geHzBkOmv%16n!8r@ywNflji>Md%%Bl3z(6K`Cro9 zGc_r|E+_2oE#Oul4OHK_C?>XVL+l+XuXaICv0w!vp@S~Sa;0T6xv+kOPghs^aXO2` zLA)Kh(v5Z5XFJDSm9y5uT5hL{miyavi=&&fye&6&SrO|1ceiK%QvrhcW(#fOqL}~lS z#c=wBzOGI)EvWs^p&)hu*2dd57r>wYH;csUE} z!q4Tf%Hh=(A4T+*9efOQM|Sx>Gga)Xausd zuu?|e@D~fU`s5t5wPqaw- zLu{>{sorqNS(rtvYY`|aza=RC;C)-VQPVdFq*?;zWya~7^t;+87j&#i{$?KviO8?N zTdPh%1oJ)VsMpM3xx`drX1Kp`p(k9-c{l;5gU4vV9eQ9B#AF2z@E(tOzJn>F^6&d#9o6 zK(c%3$1iVBvmr(5Q911>Ov_Dl9XgSwZ#KnF-zVEyu-Kj`1kPneAm0P`Cxgh4oK(F( z<5z%DxN!?t-OsSwFbO{q*Q+d_Jm#yFehTQZCNPflEa0c(f_7^VSEm|tI+k4hEK;Ql zFVMKo{r&b+O=%eoV?5Tt)Ak6N1I3qv1+2<6^rA-B=}ITPTfbPQeuI!>W0oUFqx=f_ zNoxilFt?<~LjNRfZgK)bAN%71x$^Ya&lxU60H@n}?Sr9hwQEc72F;SyMY16KfnS@? z6`|+z>5Uwp{#c8?fL$QDE9$MR9BD`;P-GDzjdG>Y;JbD<2}Y~RMkU;R?UmI_OzI&> z4c+qobK3Xxkd}$Gv%)s(vgOW%VC+lcQ*W;H_x$ObvM?W&X$~r=+_#zMwfo*|C?-Kq z-!O6_l!`R`OWv8R`Q>?(a}4`IS1d~+Cev56w@vXYB?y@KliDTD@FAl1Z|E@{0MV^! z69w@JwX)i2KWlH$SteA>qJS9sL0aFk0RBLyH$#!|U)7)px35Yi{A#PS$+id^&U}$w zD_MZRCY29K^4`p-pyJ$4xD~rzsX$IyrSQV!YO$lRmbgRZ9vl6WbPr+j%giV{qOTG3 zU|x(QSzv61;i|@iLw^QcL)0yH>hFEp(lBc5pwvcxKGUx)6l9_#O7s4LtYoGczexBT z?~MC24)Oi^gIsC324?3(%>rB4WY8;HLc4CHF^ZUl`3#4~*f3`~o!7rNp52wdG5fzI zqO%^BB1}uuIIL+XTQQh9g5u#AkfQlcKi1AJ!c9vG^^l0igtUI`R<8En^MP>Yy%wbl zB^E!74zB?M;n=t7r3tWZ+m~!;+k6a?S=#p}k6@LbGhZXKg#zQ-U01P`1!l(bL0J)=~!&h{P~=EDxYTycBzO4QQ9qbIV3p($a6f=R6BI{&|;F(_`@5 zYvrDVYA9rmFi~{FbG9UOOq}o$Q^1%15--h&!yt6_Y84`*9-qS)1IAhnFUo={PpTr) zzK=7{MV01iF$sKJDU9O=2J8^YfsFz7vL-{t&%#-nNqUwi^a*8W2N%IZOxzcI%a$pl z1fG~}#a#NpL6)&YCIMzvhwa-tNauBs|Uq#4*j~}643HnKI;*r27j=eFSv(~=^!bRtE#3=WI0s<41 z2fX%qg=ukt$v{4a%itX6fLj7Vh=hy9XM81&2w@v)@*=kJ+XxB4Xyk>KD1r*qAODLjNYl3Gs?M_!47Mo7*U(nqd2S4qY zHp;7zW0G`_X6ApwpU@rO2dPg*3bo^p5cU?XY-!L-CEUOG9HKUSY=LMvy}p<8hb~S5 zAIQ@raIj(XaHCoP;LLxuo@KR4()&)xiNC3Ew+a7Tz|Kl{tE7)LF%iixOE`}G5(z0q zPp6?kX&4%ePSNoRv0l@-#3OcFt`^sGOQPY2#SjrR89Ubolkv2a9tZm9qRbViBn;Un zHh<{8=)pDwzw&)|#jEw>Y~bLHj0L=7^95Lqs{B|F7y@XyE(7`BqOldiH&{>Wq9{bL_!^G2txYs?XJ4 zfV>$iqs(+gV&_mg%%rAqbd}+ko!Ocyh7^HBGZX`Dn^|Wjh%GVS;ES}xj!cTc2Q~}M z;EoV|zYg8-s%j)`b)S-XdNMllj9G3jxjnvr%?nC$m~&a5W82W!F!}ENf{7qP5~lPD zzjGtePC^Abbh~@-2JB@TjXZDy8VGFrcQZ;#FOn}&<_L^#6@B8aNeEmfz!({(BGRM2 zWe%-+Wb-s@Gc|5GF4*ORU}bAt4p%rjaB|w?}`ZPC!llR?!mG+!P6H#RWVD?b*KNY7^fXg{>!bxI>K2(I(eTMPXnx0JSC>~!=noyv9HN`p+lqyGMOIkDM0Wc zhF3)71`9-wS~04eZ%Pu}U(ROt-f;g_M~l#o-4U6R*rD+0 z@fL3Gr_%79l5PGMeT_{x1u+CuI!yR($<-hs?tz8$r(K>+;)TT#lJ+9r#`9@oM5i^v zl{P@1#}?0!hlbn9c_j?!G&nAcEmDM89Q?^@OK9}e%S3rAB2$;ONdFab=7`l7P%Wra!_FsG{*ak&xyzgC-juf()hU*_?5XxM077ZR^jtT19}$XE=5MOWIEK z@7(F7KJT!6>$Bk2?`mcS!vqBYR2{~fCzjZZ6!L5iz6Lx4cd7#|FCmC(eeZmcnaB%L zG7*WlkR-Z7-Wkf}UreEePiYbw2$9MJpUX=@EjC<=wk!b@s#p9gR=XQ6EfTU1s#$*`tEJ;m5W)Gt00Q-LdApo=X^C%E$0WhbK?YWkTAeeP1H`;H** zw)BYuCdszF@SX;}TrkaIzJiWH$9(3T%RcIKK&VhcYj%DV9b`EVL5vaxd81B8py~u) zmi1Y;lEsQernwM9!E>D?XU3=CyZa)7L1UTG&AmBek1NOVr_Xoy?=FQ_4I^)=XTGIN z44*naO)>OPs@W)r&su?%r(RlGHjf4C5xsO3MbMsIKpoV?yA>5S)3dAFU?-^QOa6VF zdxD}{tfWzDrb~`tJ~XHmFgLpr-^G1cPKRbOnHKwhTD(NPf=CkP(Cg+mN`(P;6}t2f z?&N~Yf#M*@g~4>O)19mPoIi)moa_t3G!TSYjGdGh29wCv@lf*lKT)1Stp^*XA-7|- zbxJg|?$Ejyt?Cqr)|TXR>nD!INac6%SN0ZKsd2tSw0F|Cn-Vp|24t-=CGyE-=A2>n2NRmAfcc~}^BI~d zLx3|`1)&J_!^ip$e#TV;S@R6Qre%(~n^NM@RrGb%wvxNbu0RfRRB_(EdxaEvRIti{ z*z&|SdQ{pIZhVlQkYzM7)}E-B()W5g{Yb0i_bIN)8+cm`yRL!`@}B(|$+QXG+CQnN z$^54Bsa^xO31+0`HH>hMNl)*&L3E%ml&2kqW>4x_(|P&M35v5OFuwLnk++amwFCqV zc<=%dj4Vx4VikMc00#>G5x(kTkrecvKhH|hz7GA{VBgNphbmf6xlqkei9l4Gev8dU$c?FX5or-+onghN7@eZ%CEv(75U|RH8OP7q`1rhB~`#PQgLc&7NK{x9Xb>cpl*QT*5+s5#Jq3 zxsUPOT;9BEd(;}C~n*tYi!en1E$5TI1DB{=gz!LM{YBWxpdik50nqX z-Ys-QV~sc+Nr=OMnF3HB@2fGj`pO~OK+VpIFoorGB;59QCTG~2#gp*Wc{}G0CKq9A zyLm1KoU@x9XaYBbwzwHON6=Ww>L)m=itq@$U;MI|} zQeI%7c?*WrGoD|-i-2*MQnO`rP~c*BPSblZgzs|kUn@17&g$;Gk)jP7bxo&N{k9(_ zXumR3Yr3y~N$19t2ET=(1)mLCuBiD8pPdnd;>;T9g^fR$t@clG<7xpU<; zZZN^-`Xib<#Aa-{z7PgI8O?4>!J2+kfK}p7%{TKbpZR!(IL>5)6e454DjoTnq&l>- zZ_J3LtoSsxA4V*TCG}upTMz0gC&>KZ;kwy0f2t{?w9b;E^S@wh ziAc)rUP4o1i4)#WQ@EO5lz$OEE|j1b+`9fY$cB`&cP$h_Ep`aVwVxBVRE$G@TQQKR z(d0c!Yn%qOvy@`74&loKjH9g}u)vxu<*QX-8S_|%mL>?8BpYoZ#d!e(Ac@T#tIwt6@M4e_u9)3SY zi*-rl1$)-RpdbQvrfpzC%;?4L>t2ej_ZAu?6N2!U%^C|xB;ks-sI_HrK2}S5!JL+G zqMQ<|L^yRvXlki8`7FnYfNb4ga4^snJ^pj-91!;bB;`5^y$;xnQEQk^`k3TQS= z@qP=FZhD?83Luez7)@H0$1@13qEkT5x+kkaqVd;I_wBQ!)l zoyzsF^(o(9kMNw7-`KE$jLQtg+fq{xcp?!<&tl%{0F1^Uax1rejX3)ya_r<_9Uk6AC>O%C#E8?q-4?hN($6tsF5dJlQ=(iPs67IK)-0SUB5|dK!vEWRRVuM5=KI(<39o4_bj^e*dJp10%7^pQ?7~ z%~31xp}rZ5v7c&VibX3Dw!NgWg8PQ8f8hR+!u8tR)|mRcRr$vTguAK zU)+>;_;y(05%)5xnl+02@_frg>*rV!ys(tWtF{-D0=ux*J(G8SqN`kB-7ip#P$8;KJ|Qwl$qi}$ z0*%+xzU&1fD&!mS(yy$*GYA}_TOIjT7@H?1zz9&_Oj#1fXqG2S#?ccbiZaTXjXm5d zOEJZ@t_P0=md!<=I`qPz2v8?ada@D!PsgVK)6nj!2}4=nnd&pkE@ zxzB6Ie$w-B!1qE$LdwPwJ4pULT2Hn8{HQ8>7y~>#h=?C{exv++{(!~Cj`~DSm({J^ z#Mn?+SeNDvF{tmBQ@DFbd_>=OxuCp*v4NBKkiM#j@%zw`5CGKym+49G!%C#whfI|u zN$jN<+=;W^8RH;W6F{@oA~%^Fa$rl~eWZeQ6@9%lZ=Mw>^3@{A|2gv67dRRNdg{eoVN8(Wss=RdcCO zW;M$MtXal^27m2PMQQU+TK~bTTeS;CI^eY-c1Z_tRTNYka zGBVS-O+u{kz_CW_OX09Po!SBQ9QBcVpM&&G6qf-FRhDJ*4FPL2zKEZwQ$PUrlnyC@ zyXE98%WS1ji71sB8W%|0*cqF2mz+b}eJvV##v`A@}`CGU2K z+O~6dEVp-1#<~sa8t;iXYDbwKo$a6_BN!J#NX0Y~?q0MKFHR!r^VqV3TYfLE!3#|a zfqA@62ZQW7TE#1xTd@uzNK)FfY4X-NnmK66l@x?y1hlSD+&?o>_38c2A%L> zehq4O?MVvgdX%?_&e2=HxtTZDDW*H?m_va|BBA&AO&j~`mi=Vd?*#}#)O@a3sl;Eu zFV}*IGkc}fIaQTTZw=%+gZXALH)jd8vEW?(kcLP3(k&o*mM-k+>WNXdJ#QBCr``N# zo|o>&?^h;hiCNA6CdcCX@8?*o9RK=d(C@!_0kj!+yhe#Z9rQPbNb<}?gmpOK-V zMoE(Eiis;|Q3ThCV?uF+SHF)z19+X!o=-F0jnDr1Y&&Xv>gC zMNz74&P-C>IZwkox$sn{*`=5Mg<=;5XXbSh zfqg_4(oAl`tG$N-VBs~e&OZbuH+aVhB@2g47N_PniH>ILt+cr%|E^U;4KcqfCl z)ai6Vi(1%lUCv!rbIJ@cgwaFy{ZLWFW#Gi}#5Yh{9tDnNU)aTtoZMbPkHNB)@lj_sofXeNhe;iJXMKXP$a`c(#w0D-DHj#?6VLxAYBzs`{`%2~~xyWhJr*s+rpiA|f(QrSa(icE6r0!!LPQEDO=XB{U zo2dM~_7q@_KW6m-yST%z(;2=Yd;0X4_vG8ok0(z*gA8j8arWn(eP?ci9@Q%rB&2M% z$@=xfW+iwoP=%e48D%QZC5Jy*G2}GXPQ+|mi25txcYbbkc=PR)Yu*Gb9?37;9IQs~ z5u0_@7mLT5eenI9A~=s_R5)7elZFC1LZM}{m1u+g3ulLGwPO9=51eqY{b)#mGAaX~G2Ex;@Ql+nly- zW14TjZQHhO+qP|E+O}=m*8cw3H#_z@5$9Z2U1n5ft@Y$m@8=Lp0n+fQH_DY<&n}f6 zjik&z-5xSwh+zZUvp2dx8biDx$VpqvpLO)pxHgr0$zlH%rw#2rpzm9NxM7mBx3;j) zdx4#E0$B&_5vO=;UoUi{sFr~r(j|=e=`baKmY(2%m*Wp{dNyq&Q`FV25Kz<;1_jM@ z;x#=icgXPvt#F`tnQ6S4+<`EM;so|pnC~wAwG!FejqR%apO4_Wa2<&~-1e%&AbYc{ z??7vXLR0y)gx(1gkUx=iZ)nU?!)kb0huIEi-fL8@E98UIB?@+3D_%_qPZ`J{IL;L- zi0pV(jN3aNsPAeSa(pa-?vfB%u*i`#c^sSC+qO=r@uhV#rS8xwLEbo6SkuO|HA0n+ z79I>r^>f|VgF84y*Y(A=#k1)fp1+dyhaSa4MukMUk}Exg$J}B|az#?j4LGKZ!>jZ{ zj+Z3IP9kGs7wgQ&fd;TIGV{rC*Q9!XVRtjSI!SM_F){BJ9BBj>FUY~$XbV|ee42%L z0WxzD>4#(9O|k4j)8sqko7@xK6>HG!wud^i_6z}$W<4S1>Gm2L=V2@0jmF?tasniX z{TJZuvMP*$RTmT_rRUpb=@o5YC;Ik058EI2kII$wO6Hmh_R%=wvLAP& zr}|($)bRH_es=~@8}j`TGqm}SSaJ#B5ib=&HI1V_R8%e*a4*z$318O)W5V`zN-QH) z2Vkql1(+}{u2!X+*Y$xmp_*)tP0Ol~#6!Zl>b&cWGR3R8!gKPh{>ivU*Z!Q}D9@+U zpBtG={ax!{4KT>!V<`W1C}AqS=kO+a-2=bemB*hZp~GU_VwOCNY9RHDQ;HL)J@bRO zeOqL>*cglxg|2 zh7bX^>MhGhA>uMb9GW}$Km8M`ek(0Z_uZ^*om>8j2L+Pa#YeMA1!R>&3oJ4^`c(nu z1d+Q9V7Ffnz>hRU5zH#CuNBA?=#7Fs&IKCdWjwiva<469wf?g5tX&;t3&J6vdqrx%^!?%pe7Tf}dP^g(zkBEArPEa;8`!NR>HENPG_rED$ zSN}1HNqjmZq;mvTAVxdqT0+z2af-sdY(Zb~jQjPWX?0j(fX~9k+#kc3b{g5!ic+k>Ij)}r=b$HA(>`SytwJ~Ab0?!Ni~Zjjx{>Dx9IV}$U( znxo4CbS!XX@6lI^de@mzp*^s3U)t*IkS>xhG>shnBSkLR=UrDB+%;mktR%gvTWx!jv)LBb2Ya&~sa@ zkh{?yv#o(*#&G}1AE-}$M~a!c#b=h>*@?5(vF;$)0BZy`sOmu{>V0C;?tn$s$JPDG zlJ-pcHn$(ze=sXyi23vVS-b}-;#P~@-cBfB;S}|wu!)$LqBB$Xf!<7Fu6_7nS(I#= zWr}I-R98CHOmgIz_1MEamsKwVefhraeCqB0vtjxggE%`vZh32vDT4Q)%@})ygCW&0 zZ672K+laLnp&eA`He(kj-rM*Ww#UTKsxrZ;H*m7N%vjk<(3Ek{l$MzU>i2_eiT+}F zo8Hf;1j3N*TC=qdlj|_}m+1TOW@kH$`e-eyFAm?7Y0~|9W+7{Fokf0HtPYDts|i%caB;I`RAo4zcAu^^OdS2!ElMF&#K zv@Jv^-~{Xc3V5t z#~Gc}1EUtPPWSNqMg5yF+z04aSV9MTjV#JJpHPh4sg1dr0rMU{7c*C1AvlxZjXs@w zX+KFjShd6XR*FYER&)QGA-GQqPk+}qt2+aPAe?^?-TOsz6xQ`m-DZ8Ib?Jk$o8|mu zZijp41=*6nG(^@MMdT&1f(!e)zI=_g(V}v=Ht)Kq3RD{5D&FD=uyDkh z`k<$plNOhfT-EpA};z`xwtbfCT0&6k2-1{VPJ^^WWA~y@( zM8kTsZGj1Z$ZhXkBmk_2G-U7YU#GWhrVP5CjbATg5ECb(&_9<776XD9hbwq2N$Y8>JuF= z&kkQk+p9Wm)tfkR#)Mw4sD2(A7E{*Dt*uG9Xj7=aY9Ad^iFqTcJ4H10VvD1GIq9y8 z1pCVG5q>N{3n05JQ*vyAM?28-O|F{lvBmzLU9}7G=bRuJ6vykWHO>J^vxsWhp0~$= zJHor{h82gOqgLS1DAQYF+k^fPpj z!Iw9{+6QI%`F`-E&yWTzAy}IH=$)`17+m7!zJpoX&&+#bN2BNyV@r4O)!WE84(9lH zO-0VZf3A5Zh}-p7Bh6tFY|v!Nts)^$Jr32V^v}JrX{WVbHAq2WR)Sr$YtTFIX4Dtn z$O$qD!Q+iEm4AB@JQ5OAaz=pYrANci8d-3IoOIF`fp$oHxr>tHNHr!FL33QMIGAXn zO!-Yqg#Zqd0 z?2n9vQb4$Xza}={^V{*^r)y&nij5~Qv{J+kIOcL&d=b){)L21dDrobadDBeU7Qa=q z_9P$c=CP{Yp0(hZi3yp{g#Rk;H6euy(*@iel=L&ZU60{DGTPe0HvV>XY_-UQ=QMd7 zK^l>I>p}K>KRMGC)szw}whv833~TihrV%K)|5DM|k-E?{cP>5}?zJrzRhHMR&0e;K zeJT=}QJ}fE4ctrK+Am#kUhv3OXDs#yi@MNyJriqBzz(?;Be52<|L_RiAIr2%xWiNA zI6?=|j8mKSFa1YMhzi^2pzWsWG%{<*mNcyCxpg1qjr@Qf$MH8N?TCImPHR$2eOZNj z`QtjO513sygg}&|K5yWd45VFH!xKL9R9NAjkFm*xFYdcXwcs)SWE9Z{mJlS?WV|nk zNE^Eerfa=ocjB4o;Nx;SW6Ltd-(3Con+kk(i^kd+%DG|0VZ`=yelRxZ7QCl2qQDo` z`l6=w6@oWNwP|IG=`kf9_Z|G(6ffgaeeGD^qbq!Nv#eh*IRmy)hm9o`+nH^r{S5Dy zL>Y=sea*>C7%RlFCJ=s*sM6MGk}tiLN5gZrmQq)>0By}GNN2Mg@A7Z?SI^sEiUOkz z2zVYuHpE`b{U(J@g8C_(&jg3FpwnuL3OKLoddu+%OSr;gB&Lb=hlH1eu4_^+t_hl3 zqgm@1w9{O~^aw`o`ZkL)^3#5+12&SSw5ztP|HWrmpB`+NG>X{Yh7ERhTScfFA=%qt z`H0V@s7{AvN(BIg^lV<}d2bDLurmE=CQMHd^QyQ)D-sc2%}|-{&gg=|;Prv&mz~#=-;5)fkHQUR-)UUHErtc;MRFqrk=j zIMuqe$k`XM#mOl?yULX3zDbixWIz?gGx`Gswn6d(fqV-AE~v${Ca*8yzb$;e;j!BV zgO1$4#d3ee$NZ|iP>Ly{=?|}(7%;%}aY4mslOw)e)_(EQ)9+(?GYBo_T9iweE>>bc z(Q%2=khkz~7-UEP&d#>PvsoCQs@Z^M*B$H5J5T|KTJnWo7&usL0h~q#tPKP#FUBe_ z-98|&yQT<=VV;jkG|59}mRsbV0=W#q%Lm>ro_3udFqcK{`#<7Gn?5brk9IUQo5!1I zRw)Nizxy8+hKQQ$J-m8L#5t>P$2L%Wvr_nVw)e08BW-C7vM}G&7e7>Ze3`&EpP<+k zGgvOgU!c%Ysl3{I5;FMQ>ebuZ&Eux(7F_kjpB%^xAGRzxTPjDH#hKn1Q^#_MrA3=E zkOTAo7&7?lUt|zrHXr^Pj%HWj@VX9fF?-n`Khk#y5GS~{;f{DB4xvCmoaV-3*2np> zCI^%c@Zav9Eq|@D6c@@8hAB`WdSM9W#p4Y+Zm826t>9`)9wVA%AE{LS{B_rdZ1Qx{ zWH_t|yQVcXIXuT$yz;X<4uEhw4DxlMqGj|1jH2D_bO%jt1$}|Rrt20N=6t(n{ExoI zUXhyg|1xO(pP}dfUz>M~oLv70Yh<>4=?REEqTHJJ1}6# zhNX3pZ|u!Oe0WJMN{<(dNC3oNBNOBZ|58tYBD>RnUb|>q+y1^2+5X-DZhzlcv+q6Y za!}d)d_4<%p8z+%S7Lj94rJXwc77sAzGtpRe20aIzbR}j(nZ=J%1z2`1b#?<-U$V& zm>MHgefO3QF`0PKZ$url#Xi-y$wYB7eyVL1JP>Y6yx80ce`IVcR<1IeGBI5v4}ji@ zZRP4|oL2LVE>4QM>4%q~pOZP^Jr+yT%c}T9OI(QiSz|E?)m=&si<*YF3 zQdmczYioFn_SAF{kDA`M?@h+tg!76TL@c9^!KXpW0%j%+lbRALYgf zUJ@YjL1zY*r);ejnHw31~r&7xF~6kPlkbal)NVX?!HH zoR?#A&~N)ilf9>O z)Z3TqN$DU_K=!}w?^i= zp{PAdp7>|48P2LMJ{lxJMU;)eT{h6Qn9x1O?a3b0zvF~wUK3L|;KUbfp%q8PD$oK8 zl#T3&sdv*N$Qmo}MZT+G(6=#^$uW`3h_cK5ma%=5nejFwNou7Xec>O1ZmX5m7V!5K z*=^kyrf9_U%oD15^1=Zz4hhJ+;tA8gp4*RAK$JMW!URbQ5avCI0|Z%dTd8;+vC;RK zW8q@l`QH@gI`7pPj&5$Zc)`?NNqps!1iMy^<);}pP6JAqfyygF%tK*LE}YqXcc0!b z#G=4uKBSkzG_pUj!d2_L@x-F4PLPE+)A%xAkC*JT1IqAhL-YPE^N@q77*Dmb_ou{t z5E(M?iU+9FIs43EfpW3h%Fpjzd95|Kal0$gle~(vu+IhuCC3dmPKV9lz=K(IZ|MOpzHhe`AGW-1XDi2)?ghSBmxWpJynHiF0o8vJia%=P=u`uZ!64YRVX8~W*jTP} znj5nNw4MEEFe5Yh8S2$jwH4vQwyeZS{V!xCeWFb+2(=&yNc5*2l#U?=&uv9wMY67r zkprz%9#4~Sh$IMabe~}P<9T#N6W}Np$|m;=oF6VTLXkAfX^LsoI84wXz~~5h8u=q&A3La#W}H}qn?pxU#xbTCO^6gF z@9?V%548nGSYJ-k-%Bcx?V!0es48AS?XtQnARrSBF_~x0MdYR_18wrKeg{_G~nX ze^=^X;ky%*{}e)FV)`%!qn$m%pjh%5#6E{+M>#n9Yg4n!d~@1u&;&j=TQz%z)uvMU z#1N-iO*)VxHq2a@oR78wUJcEShpHQk$VWj%Y$=gToS`XtWul>1C$nn6O`)VLLdN%3 zE0L^1q1X{Jj`GwYBccfm$07WQK9=&~iTj%b+^#vk)8Wey%Yt+c-T`lT=2fh~&FwiU z8;JOWg&nvOPhGa4pP=r{UJh+v^lO|_-<)GI6~lmC)bolxa%3W6{wN}?aRpRKBey)t7^cn(ehtiOa) zGa1{G*{s}4?BaHF+YHj!Y#(805cnbruom|U>prPHu^%4v1D8!Pu|h7 zw)WZ;>i0{yVTlT(=)V&G5+sL5i6AI$sMmbdai9)V zyb*VoM(KagG42XuShhM-Sa??%=tPyBj?9-L{7otOZEoA9jC2k~jxbV`Kkq6Q(|qD~ zs3qMZE@vNdnpJM(Hd^O$*!Gy1bei@-`XGrFPpt*Qc-lDuq(=;j!lfBSjOoIrofdj& zQ=(~u%zyEbS4PQjmmI{g;RQhx)AUM0te#7>`m|eiy;Z^l(;`D&uzTy zSPsX|FuZ|!qm>?UN?(!dB#Edc!t^o}H*)j|0e=W!@8m`oT*q_Q;rKEa8Ek(CB2N-q0aSgD{x6 z!A2SOT57Y)yWQrEO37r&$cFY&q6JthLEVn!y4sjWpeG2g5erS4mv%1BJJRuO z=Q-#xeMnT~`KS#e{jKRn(@=78V=pJ+$oZMlNuAF#zP2EJc+uj14#>qZ&ilOj+~vx9 z{t4z&wc;ur1!sOZJn~Oo}H?>O!@rS8zIm=U^B!5w`e}d zmtHGY3NAWm2Iv<3ICI*O&?f}m)J?*v3bqu2;~Gbk^dT2jPr;8nAZJtNc`Mhbem)}E zFy|8?WE9HICDcf?5uGXl^6Oz&C6<>;>ejG@Lw7GL)T4SN-ic&VqvCQy^M-*Zi`s+J z7S~JSNT906>$7LtoP|woGjSsrPBQwBeW>3-qD@uFwb4e5wFk@IA-z<3T}vT~y=^rp z=y=%6Q9UrhQ^M4GRV%_|Sid-^IAx{l_Kjv#;PH5T!3d>z4I z`4@wMpTAR%^2Q-baJkLPvSRw9OV~@{EIaVwgx*dlQkL?Ku{NmY9e+MGGvDjEO5Z^p zQCfX(-MMn7d8Q(BCB!KFN0>HVB@&8EQln=cu~Rw4yvJ3OWdtiIk1hS2ix}gS{wroV zT^0sK`Wj#s*a!fF4&-C@p?r6z28BX>2~eSL17878+%@enSP>P$P|$&2oUkA?G{$CH zq_uedpUhewne^g6aj7}3j87+931>ADZ$cm^R7?WWlLASND&JR4if_dk?oo+{8@uRJ z0z8s4k-X?}Ixu!RC_BWtNQ`?jMcA|PJhilTbcBO}T8A8pZf97JBg5t&E|&TkYImCUirmz5rACjbOPPGi82D>})H&N$&h&IN*p~1xyFaWr5xAJ{6`#;JsGV17aNvVQiIqt+ha&p3}O zCq-?t;A8J#cSdRp86Ct@L_K%QxnG14t)+lynlj#m2$Ia~O)|hgv`lxvKS?6N%+4*w zZwI_7#LCLW(iU3F)PSc1uLR#K5;FgN_Mph--kS!LCMauJPOeK;Z^hx0pf6E*43wfV z#XKEH5ksyQJ2Y2^(GSk@oaK9Vz&P)-x@EVqnNmP@D;l83Bkn9Tdb=LmT*tdwVouk* z6Dv1b@`)1{(C+c5ptCu9wnRsCDN8nDkdH1!3Nj?2>B$~dsQc7{6`aoDta7)!BMq{< zII-4Luhs0ygKs}8@u*$ETcrqE3S;}$(^tBdi4F2=Z}e;JJ)z6>0WpJZfa=)FA4Mi3 z?~SyU7O)$#k+Iq#qj{HroyHZ;GkCyMVqz8Kd;G%xm5Z*Y16)NLkjcXlT|%xkA!H&=CHYwsfE)= z;kGnelMo_qPPSps%$>~nUP)C_Z~mtqcY3(8ep3Ys|6b8nX)$__%T%l3+?S3la4k~y zl{t9rM2PS3w&aeB`1Jx4Tkb^XH=4Bn$fr1|*@$+<&rA#zXvG%)TTXW^CQvVE?1Ykc zF>cLV%VbFBOEa(KA6UW%)4H8xCa%09PzCpb65J?d}4rG z?S}_ZcurIP8t=C?Ujvx%e==|gs{&p z5X&riUZ#Q{)#tae)-U`3TlBT^dDuSof)dG&GzL=CppNgr=4V^Az+JcBr1V{;Of{Xz&GZ${y@!_V@2g2Tj*#e^*`XY269C zisY7_kbWOpxtUflB@Mk_UU?dsx0igU6a}2+&YUT(KElsohoy}qP8~!+^l#v>64{Az zUG(_`=O9hQFXAoFQaxgEvv+ z1#7A3Cu*wAwPb~L$o{Db3A)1`-EuR6a;(N9`$vdTp#ib|0@1Eo_8y@RWF+B%%!mEs z_75Q$x)sJXS#=r`9gClSyw&_Os^U;&F-3$WJtw&R9OMT&e-N!89N3#;Z^Oq z0KS|u+eF@CcbM(-h~7ElO_u2tGjp_g|y-}8CSQX_m-XQ(wa)p`~+ z=(%h0HgX7Z7D0BIXkh=P53}|5AGZ;x;V(gcFt^y5!c%L?Uiw7{O{`;z12Wy~H=@_X zusN;zn(Y@gHWO=v&g>$ zI6%7;^8*a|#aMRsQLgrDX$1v%8V9Xm`7e@F+_dFhOy|55UaX6fE5uGTB>>aA6+c$G zo}mPe%!w48HBGRB)ZEBK7#~;HD5wbqW4FTaCAC?)yI}}>NzUR99NH6op>%Amqvu^h zArY<2JELDZmH9k`E7|qMnlK&rdxecRG4HpLJk~atVA|>%T1Ct21*PA}i2T#jJxZNR zM}58mh})0Vi%^cgD&lSJ4U^UWH9tD`6X~ALU-ClG97~zJL>7;^{pH}B%l1b-8Vu40 z1@K=}QK}z`J@m56j5ci2H`dze^-sat!=c4D2}FRV=(oiTvsb}$ z1b?wJ{UjGyos`XO#K&X`(7>Cv(Kv}`?NWa+fl4y8aV_V?dsOb7{}j1i+q1BXTPK@u&VRlpM>P?hnOrf-*I!fZwZA;jri z0^<{o*i69W!xprE7>vg)&%fo9$_~lYwB~R&80sb#iiki6B&ID=~wV{9zt?9P>35|+ z?aF&_3>RZ$*~*otb10Xx(`wgmhS26&ZmlVoh7wQ?r;ANlNoH8Ag-PNbiHqrU9*Sq# z1Sk^T&L;&Vq%J#7G!|%s{ngA%c}e_-D2(xY+|fTMZ&@xJGCuzMO%j7_hC(;;b5r1_ zj)R3cZ=Z<b-incLURKZ z{&|+9IpnjM%jO6z_mLv^V*4Wf)_R{gnh<67A?uY$_j<_;6~{y-AbM}6mZ)j1v~Z|M zUS2A#F}OFo9k=mEl)7%5$EumPs@YrNqOGo|NiO)P6>bjM?f%sSM)shUyoeXy9Xv};?i^hhyj1z>Ub zFX?4$oQJ4-dq%jX;9T5J#OSt{dxsThS=sns!`=zTO#@;aw$APiOv*Q;PT22$Tb^;Kpn~+D|Z^z)3dac$Lufd)<0d`d683 zo;XeZFh-&yg6kl6GI3T*+=}f*O$x*auc;^@-ByHEjfQX1ff{Lwx0{o^)`y& zH`of{+!hF*4`%ll_VD|U(X&#lNA`023UGHwTRzr=t(h_S`A?p-uDf-72?S=> zbUuaJ~HPSQB~=Kn6r#IQoWRlLXpROk-9g{-~MZm&Wc&FW0{W&fGC<*$c_Tfdl;w*%OZuRkYt2*l^@Jp!-6nQ>KABc}ijF6!JXmp6rVxgJt*lmwzM`)d(@mRHY1CxNqWFVsHj`jB9jP zhw3zVvH>y@vPiz!vtENf9}v6o&%1$SN?TT6J0>UO#u9+uR9s+Vv8}KpZoW+Vsi>*X zs4%D%zkE48a-qk#^|69z7c>FH|4VmO1J3uTL62?%uDe{lQC5xnm$C3%nLb%~j->NQ zy{^6~2_o~5dlu=i`j}#2Wj$E-D!Pyf3v@T5SHW;L@6F`NvBf&p89}}y0i%g9Xk3&8 z$)&0*;nx}sftbA7DO@!oLI`lakq7K=KFCUm4T}<`WH^xOCe4C%zLE1kgJJeq&ZkC!(U#ZDk9=H77lfZ~*>*Pzdh8gEJYSP7Ny$a@A0Aq61s#y2VF0 zpM1(Ra*G({Gag`7Da#nlTM9lJmIE^^HU|R)pb~ zoWg$HaU(t1f^ZdDiPLtZGT`-;2|@Z6`+bFgf3+eZ$~yjjKI{0 zJw>ep&R~2!8RhLe1@xsRXx${fSCg$IOzn$Xq4-A?i%>8mhPalNvwZj=Ca#z6npZ(H z!#2PpXJ-P}E?2{EIPASl(AeA)%(E%OnJ~#%CYD?pV`ShUI)m19x#lZHA6q9vV={%B zrQI)3-^){q)Mw=7;#EA&PmA+=D)Pzh-3%l4)N-wPgILb-qW|rzm~2yf%H`)j>> zojov|H=Z7Zb|XC2>tOjx(M)=c47&$vATvyx2iHFoqopeF4#Q8)?M6D@55t@}%(@9v zY$Z5VtB!}88&8y(|7J^;b@Y=V$p&CQ7ZpwnmA1dK&d6>4Q+U5hZ7n|)ntE1(q_lVi zMKq(gjCQE>3%@AZWFmcHbbWW1Z4Z>@)uBik^y*2P!8oyT$>fx_ z1UWN~icBq%@^|z;+7Ir}MCYRhg>XbE!>9+GQ8%ZmvN(v>*<21ydCee}mcAm>AGWNxpE}Nij}XWW%1)*ps6_87LS8YJ7O&i-$EBoRcFyEps4KM0qL+s5%w+` zt>a0~D_>%Qn+6ssxfN3Y<3vIA-sCPw8h_hC@{Mu)-a~v98@h(QceJDDa{O0?Ye2hh zBXe~Wq=>7Ud(_17IZM(=8J@BB_(OL;fyavo&XyZg8uunh3)S^3z*%gQ3|udl=z86h z$0hs&5bkMB$2k{#xdj%0QR%pR(pIGbB|j~%9vzctpe!vSI?1y&FkWHAl7;4FkecOF zBl}?Trp=DDj!=9tL6Y26L7m+F7l{@9Ty17X4jYZE{96fkW1{fL`3Ux@5;SuGzY+{> zKoNKI-kI;HZ{IGnn_ESv;X215tG2VMnx!(tFRg0J$x$bJX8&87hYj#d{w5nWXH-77APjObZXtptuxS_%X%WJVw+xW)1utgo{=1O2r`e>Cz!ZV!K2GQ-W~y`_)mLW@_lqS`A?6aisN|;b5(Q zIa05v`|Hh>4+Nm4%|KU*q&*c&rUrMe6RBua9tj(r7+O>&OFbT;dUQ^f$vf4TmuZ9- z708tR9d>tGWzoyDu5(eH(*Oi{O54W${_<3>I&_BdFOo$m4CpB4)76tSU-2oZsS7LB zqJfd4f#A0DH~Wy_`J^1|?Za>ug>p1S%8p!oGo(_9tZUt=J`YsC4n{;^faU zKXy~O9m5nxj^ERFTmYih3PDJDyNZ{I_`U$bvBjabHMjV8H+PYTm_#Rh)m9MT*Vp8I zrH=g^YL#K|kw|F*63|rM=G`XC!ZVVB#0`{f6PBl`{-lj?T~M#vqNc`fu?2{9>ID+H zzxf#~nj0%hj(5D};Kb;CFpY&L-4BU6-Q`tg?^+nd01sEixx!u!1cC9cRV}GNH%C{c zc2p>>^7DEsR_tk)`QmQX;fcR{Ym4ffCvRB5p;a(Wi8xPSPx0AKw5CuDScA^Sq9C+1 zRVF+&DIPTxxUJJgR_Ei|A%`rB%9Hn&+18xMv^nXhER$s{V!yl>+a7672{|d-%@4|Z zKP2dr7k->`9|yYqMhS}v-RldLpXnU{>Sd{xglm)E!r0d$xu%McPJY;hvD=S#I?@F| zmrghTUiR8luZnP7Q2p(tzr>)wwDK1!l6PctK9YZh87nZbLPaWGV{XRNqB-m^qe!B! zys`vXDNlp>3^7FDvodnFx8eL4oBeXK{IdJ1`qb??(o>u)Htu*OB}Pjk^I4efK%&gv zpPK)%^`HQP@c#8BlbE1csw9t2|Hv|LN}e&BSM#`*%)cR(+qZ%XIriV$qX0p74A9EggiU zE#zuP^1t>>Brs*Xj2Ar22xxVzdhUyte-Ot)3gSTym}HlQ&#I6L>n5J4$Q^+G+(kiQ23DpIu;Wm^>vm zPY;m#%OQinoiE!*ZOp#efKR&~6VIL@^vs-}MylR^09%!SsAL&i@=E-y*9!LIqQN`H z1=A`9KTS@YQv@Rb(8+N1?Q|tmJEq7tc*%JlOOs3`&z-i-)<|5wJ4&*mLCW6dGC_^sz6DpV zpc?GL-`(^yCQkoWL|aCNS8%U1OXIt0U5ZfNs>!pFb!IDy5?=@S6tN*YqUC~PaK&yA z;>!l#X*djFdgEiLEIxj1rNa~`Q047;u3ARS+ZW}Ic}*YVe`v7px^?VqHpKR_`*We0 z4ka;p`)w~>*_LP)7R|KIKn2Ga5;6+O85lAO#pxfCpXDYzz{?{j@;P8rEJM0KYa1Ox zllkL4C)aUOC-f%YZU>p&!M${R);cyJ%OH(#cbzGOtr>OB;#8XiPXOf{_s$2AiXWPeET&<2{IrbWFumsrqIVqHF%zI558W+>KC+|`tsj-9I*UUGHliSR_Hn0`6Fgw z;RB*ff_ST5xYctIM|^K5E`mklguHqPU$QMdIZF3w;h&EOu~YK+Q1R+1)tlIC6`ol2 zypc9-R63~GYrAYmkQK%R?==rW8O z|C3Zt^DU60*UsXl*Aje$Pc9&KGt+f?-xtoB`=@A7jQ1xyZqMokOCh{R!gm#K%9HGl{cq zoO`RROzq2Y4RYdS+@gVWqIqM_wFQNy#e<=KI<#s&_e?S-(;Sf?i)+@jg4z(aD$A<=m6!vrUoA`5gW1#hfrf z>M`?~*H6_;^>lFkMbBoBvbkqrr6%y}F1A)Vo2=5DFD1QHo;GTn@>^M~^p{76#gUwYT}G1gGR;9tpxiKr=HD+Ta|K5~fg5_lbeL+xw(=Yz@> zL_sz&n?2vhC_qy7;Ox=wfs(s6&cblA7pa03?ax*@Re;n|HGmeLcE0T`tv}2^Z3Qlj zC2ul;E`fsN_3^@JQBabiwMdJ=#)Qgg64-0yV0RN${>%UOm+#s*(rmMY;12jZ`N@OU zp=1Eho83Q|wuCFbPeJ~$0FnR^Q;Ar(4AuJ&{6)bsGGY>Pa#TNRKB+~Tg6iw7H5aCB zE}Wc+^2um&5j1n_m4Q`M|%+xfTRHyc#;H|Kxh8Z{zSI**T%Qi|*k| zlMo&KhrMjtANK3B7+n9E3vy1{QRP$WJI@+H!&21`R7Vj2eQGu!Gx)!{OdEov*$$En zbm)%(s+P(ot^BJEA<_EOhHxZG;eHB3AJXdyjjlK57Iofx(Vh4l$=eK#{DlvHsP|9G zx)AMUQipxhhZS{EWsw8sh0u?&41uj5^ukxKiru{pSX{cxGGWSw*d4N60gC_W`JSJo z0_MnS1GyDnKE=UYOnibuL&+Qt#xWU5y!@9{BU;@&%m|<8JR$4YHz~VjTkjx+cO3ttk{eKb2dP- zj+1#`0l_;Jzp$+S`PNcCRxj|H;z1j;#7~Uuc8<|Bru>T{uOzmyxQblAj?Qv@cxjWL zq1<1#*sBPs+^Su%8?h-LOJ8Qs-9aUSGkbiHYSZwpM4``;F{@0=!w0{(Qz{F|6eqzZ z+?L=_S1?oa*XU4UnJbJ{SN5J#{c=Z&R{^m)Sm@5HNojy`R5cy4PLH({dp> zns9C{xmLT*yDow4X#6jkm2GfY$R`G?{*TrQu8{3!)dmY06s!u&%fH?5boZ_8Wr!uv zW_8m7eQyWzx8JeVXJWs0D$nNKedK{Ja(w(U&Lh-i0g8lFmlm%7>MFx_I4iDN!ea-T zwVPGf@mt-`Id@o?+UCgbIG~s~gZ{b(*r89i-V{?oCO&Fiu17PAey4l!tQYeV3sL|?>_ZfxdfWbr!Mc6seeapAZ6oxdBMv#v$~q! zW}4qZC7hxf>bnLJbGojkew7I0Z*t8SAncKv&+S4R%@-7g<@jt*SVLt>M)tEowC;2h zd?L#W!TBQEV&c-J>XJ9GceMkxn2&!$*Wyz^ic90m(xA365N95bl{;}#Tqd$`?YL)+ z-tF}}WN1n?X^EXjnAfJc6#j;kqceT7zp##)p&B^A(o4zG-xvY0{SCgq#E>VLwZ+1# zEUYQPe;*KXLFOU+?Z+s#?BoPD9V);f93xJBqVZ?Dxk z>Mjg%<)bq_KEQk{P*%2QRRyQ86c|SVi{H{Lr+;+r@otW%(Axfbb$}OnHFjVP>Gc*y z_>tr}RZKqMksnFoXH~J2(>2XLDh&5QIE*zeOE2uCh-XtIl7Gp1URSDtYJ%k6SS(d( zO8?H0uFX?^3c1wpjU9vH`TGj?Z@4+Acs)&g8xF(y>PhU2uNPfwVRtqQHCG|1tvGoO z&3Z7~1FbAJJl=MKlL~|o9)7-g+Ic;Ju7`N{{5Xr}&nn!qCc?Xk@;BI-^9I%Ay+LAl z-ID5W$oug7WI=&_nEcIbVI?65S+uZBqWy52&G0Znvxs~3V>?6(HNw1!NuZBMi6tyU zVm`o4I+hwUsyQJosL$%@Qb@K7N)-S^TC7v+oN@Rx%(lx>ZjVKfDlx8tl2eG(sZ+kP znHNBJip?XN$R0v!c%iC(m=S5I!XgTL-EzL{CwIgtxo7AshjaMhvuUy}LF^OY_sdq? zYO^l`nWG5TQj9usEUEtP%QGgh85BOrYCQPuw?+sL{o-$^0wX_kWeTBGW(OrFdW`Ur z+)M9$(4wAl{r#48d5b;;%bq9*MsfN0nb-R@hLtgt(^MmHof%7UaDND~fFbaz&#X-o5gQj4wit%R3^}ck?OS-N z(#y`gh6s98z^Y(;b_3h@!%Pn#J8)g}QvVB<>SQI9ZRB4FtEzT^-;JFN28&#R=m`!6 zW*^K(8W#}ZuBCQlgLC2FfoJQ9Q#8G$*$=uK^(b6ROd*d-J+;lY59**xES%ulicE%k zW)74^qa&dQkt3z8XZnz`v;!AIx#ptg+N{<2rh=ZC1jKr_^Hou89i1})E}UdPQ&4q+ zY9!LKnXhw|r8tKhkk7*gbQ#9UX$yDv!_W7r{5*^V(c)I!Jy}+iJ24Rth3xPzY>c}~ z|7>vFURHn!J~^7|#qy~xbuO`+AxfJzl#NwoCB3Lz2T0gwk%w)D{d#=x(j>k0%%Pl- zqNJHdvw38vo8aK$+x`X4w~m3&Y28(oLkYwys7x1Kl^hMB5w%j+Do1(}k(Ht>ULUAj zH+eEt)y!4fdxX=?S=B_ZYL4ech^?aMi&PekWtd^LP%vxL8u>t-6*7^KqEN%%Klw*t z9OH1?^Hu#l5^9<-+F_dUp;oOn{MY1BA}4djz|OyXr@lH7_MVC=c+!zEVZryw6E$O4@_Th9Ot z?WVfe_0pXM`-S1H9V;nJuN^YEFp;wsHqX4k6pBqTHNniai? zaouR^bITxpb$YKswCa+l2lcE7(BDxY2T@=-V$Rhvubqt{aWm`e$@am41FvQna$8Zv zrS%$L4WY7Qf2Ko`TnQGRXMG@p%-gL4{d9I@^Cl?yTC0KUHC`nTZbhq}aKh~FmR#?MD(oua5U+tZ0{!56NLTO? zt7ZzJhMAZ%bEBoJsS;6C#k!w_+phfF0Ba#4WJYcP=bt)-;lD%OqUkE;0@AiX3wZZA#grhFw37Zz|9VrjqY8;% zNxNUih-Z~dAC}RA^UaK@E^tL_>8mL~Q)g0`J(p7U1ELan|CQsUSL3&pj*rP=Pl^@> z1=il8D<-$}K@J`YeX$OAV@x4i!5-7NYZTVSzxr5x`D!aJddrRHiepsVY@VAPyUFRl z87a0-tIl2B(eyS8-o4*!#df>#E%31#2k43;{n8S%D_DOe2l~J|Y+aI}bnn^y;S%{o zAy5tyO&v$hd8z0^6$^@-9v_E%rZqjhv>zY!CYMkeUpPLZQRt&5e#=C?RzamV;D;^j zz>rFpA~uK#LPVv-opgWnYArQxI+v6p2g=_B+LO0&K`rvrz%L+S@LX7OW9 zh4bnM&cAQ%Y0XFYn{ZHOBEMOSq3ryRRjFcGAF2agPIrM(&m7^1L3&5jy_U4f^hk7K zs964MzFp}$Ibf1l&V7%noGPg^ZSWpDEs7$-~ajfvT<{b(__hTchjYQiMTa9#ia1{*G;bm)rGTvx_X(hY8X!Nse z89{AW1`;LW9j7pN?GLZn-?>|7tEKo`gSbaa?nougi$y3+33TB8KA4{e#vZ8jD%yJm95FSn zmC(@Z%OT?nap#c$KzYN%w&zBrGO#Jn>#O+2ir;^k2P@{Y32pGloa!_mUb~_DV)T;m z&dSuX2!R6gwBHPfa9>Om_Do7b-ZeblN?;a|svlB*p&cK%oGKQ-9NqL{DVo7vw2-ci z!Cr%YyruQbv39E9vcr`ffXx5yVQeS4D7G%}jLR#8B@9d@0xLocH194cLJYp~@}{UB zn+MJolp9OPA~^ZTKX@9hRpruKvg2hV174SE?zfH<@tUtkoR@4Bn1ep9;>bo&JNQih zxL-pZl4|cP;!Vn9?`6zF3d|whMKxzc8)3qOz=4Jz=v1K;5F)7@j=N|prnCWSj}W$v z4sGL501>UXB(~5?fxIhx_Xzw;c#hi$B~LrcxRjYzy+d}KKC4_i(&mXuJ7r_1Aw%a-$>DWtzrg!G{U4Y(ouJPiGMoA45*W2i z0KGu669@-_a#1t)-VA86&6wFb%s1BFD8iSZQU$f>P9F`Gl0o0$Q`NB)TWOS+rMe`J zP;@FyNL(~dYCNO}(Ev;g@7Evju>|49KM!)DYCXY)$7bLC`J|=^EZN9htyZGKSa=ip zjyX;^1xIDSjQugUt5;YpnjAY-WSHNQV-ZU+ORIUaNKS(ndx_n6;L5#Q^L`d>6&{Vw zzPEUs30j_#!p-=v<2suXMR2QH97^ z_dWDdPO-TeXDK@=*Y3~LXZ6!(*t}Yt8B1`D#_92r=il|EPpC2npS%SWkg>DNHp3v+j^d<~tKi!VTkgvQUyoJ^bwL@dIVI2AJDe&;n200fy z|1z`opnxavCiq5Gb=WwlWLqFgy%hKI& zpFo7+3WE5}0GD`gZ1*gzwxf}K#vyuAry5*`US*7gqi~M{=*L#Qc;Hha(E4lr6IbC# zM8;0Q{RJdCn_4=iQUQLkDb(+yh9o1Z?|gH-ENbX$Rz%t8O;$wSFt!?#`mUT)HpUu% z>#>}xk>^oX`K?!d)!!tn6PKnlSWISP-oS-$+1eaZmFW~sr+xWwbxb~Fg0w4MdEV}E zJMVCyVKyS|TAZc1$d;2G^FuzE%yBrdaBD>-HFqU~v|h#CB&9iwaa&BU!BhZz^`aK< z9FDBQhEUdSu;rcZ31&8dzqSJ6lq`LV6G+aQN~$A>)W)F~mWFvL&+WPPgyUsgSa#w8 z$&?s>cJ09bD)W-u)7V(}x3x6$_Y@qR&*{vr!cbx`6YekrS`WI`6Oqn0 za3<8Ex5k(z{$^2a$}<+`s4_q=m#qI0x@ePpS7EKGbe=-0MJ+HjK>BODOM4z;1MC%U8o2H6kbLg zl`u&n>8UW2RFW^r-%MEJZKG$Q5rP*qIbqlCKj5YIhjLMd##Mn%|ELZ(33+qVFi z)hm=lwVbXk;!t)ocusU`u+L|DB6R3RG>`hI?F}MWPiPg}`9Blq;Zc)%Ke^K3m3ZGe zH*>_;L;cKBAI{k&YIr41-3pEy?6lp1c^!jiSd>mysyw0BqL7lPi-W;T5|yl-eigzE?rIU0PCVj(XSiqVEifw`@h_VD z^;pyag`?=-j`Bz?lWUt0RSK^Aq`Sw9^K`ITp%LE2Mc6s4m%C;Yoq zxUiBfIvyRG4xQy766kw1WN@cKP9ZyRbjHkX&o1BW~9(Y*314fF}2avhK8WS_)I;nf!hVC2pQD z)pv`4^yeJMx4aw;RN$)t5u&wpvAt@u664bZzZKu`uxWmq_-=EvFBI!R9u;UPW4chH zkyQg#n?DHw_|s$Y_`2sIky~2Hh);0k4Al3Is9kN=*Tv;|{5Q|rIHL-ybeOKN`^ z&5Of^iyE4o$mcJPAB#|ldQ6}mY)xHH5Jz;3v)?EIYtm(+8?|$!zLrVoHeFc9keBW1 z9ZprWSLvsi4fkD%Y2F)UhCfQ8DiKI>IPPY)S|5g~V6*FEP*;U^dq~a_^*I^u4|Y5Y z!w8#j4j^rE*jKd?hco;Iotwn>0;1|)NT!|O_8 zKUMSj1VxYW+J-DzEgO39F6q5^jyP(L(M9ORIa!H_W;YP)de-8q#wVYL#;p7A@rMrx zqd7_YvRZrI>o9{q0KQ9ZJvb=hvT)zD1QqXfJ`;u$+Y_^U7Li(ROI2;t60lc-foe`u zqRAL?E+AJh7EV3^oum!pOk)xzZp<9r= z35djQJkOc8A%COaqdqrlHB;bBWm-# zSIZ*RZT=w|WHQ!BWV;+q!W|)=gwjiQh^EG-K>E#bbHeQQXr3I*Mt==Y z)s5+sj{SMs`cys>)+rmi^!r!Zo8%41&SA!Ek|q>jCU(+0Vk-x$?NiALOr2{D9z~vS zP=^ov60f}k?W!t3j8v&muS;j8%djlM!l{U9!WEM>-A;Ja^BISzy;2ws@e5-)%K80b z%;B>WrG3In{mxWGF#MPw%UEo!ik4`0W@PM)uF@tMK=J@?WT|TBvTk!{2EbaWpyYY) z1QO65`yBsRB{w{rv#sFgA%BhI$NAZdn{}7M9Q3EoU|*;+&!=H1xGmb9cl1GI8F}R) zVRO6kdKg6RpF~eJ8&}pMqcH4|wT0-jqMaTT-(ruSI8niDdza$KB_r29zh{mg&d%-ieS3z_leI~T6*mBe8?FMo_HiY3aE zOX$vTDUC;2{zy;I@4_1B$&dxh`r#qHh01}z6uddZ9&)XwTsABI?4heh54&72XtJl`F9M^s8ePA2qZof9w_oA z)#ELs&Zz>fQN5+qxfEMJ1YKhJ@!q%R(aiO5aLfl}(O8B7i)*j5EZ^Aj4ZaEn_sWiz zG6v--TbU6qrDbEr+#nPWwNz1d8iPGvkuEdhH(6k{?)1k}u-=o!RGsxrNL1AeCmGhC z31I@l;@5Y6^0!W zfxrPWp_4Iy7PNjH>I5mC2#j8-oN_aKGF7(hhUp}^O50cp)Y+JEFpxMVO=-tC>x{41 z->P_QMtpLD>w+ieu0w-I=g~A+7bXp>&m3;O89e^W6I=7XN>jxMvS9XGUir*-#;DNg z!{D^0S)MzQnxufseFL6;egAQL{y%o&isHVZ-5-&3j?u1b{^g5b5i&E%)Y-O>Ka-Tt zC*)Cb$?Qep1TAyY$zpu-v;$d03@n}Sj?J1(i6zGLLC!VeVQWw9#74K0E+dN)D%DLR zc$N%7UOxVXKCbN)qLyE`(ZBmsr;Im^!puJ9=gY_rXiCkUZA3c#QyYlOs~-st9OMpy z&8r7!Ue2ODuRQYR-`pb&b2h)Zk#sG^$T2>7F>rejT5;dMBT)(7jN99)(Iof+ic=e# zE8-247|68K8Rl!^r%45rTKvkoT-z;k9cWJ;xd}uSF+D;G5JNbFgmFG|k5g?KHSoGg zE=mKOoNq5_>{z>2_o%P@@{=Q9T)^o+)P{p@qKap!7VRt$V9tt+5MW!bnu_PG zjlr%SUm8|Zj)@NNuy7cMb`pFgL4eyrYEAkw=w~l#%^UcX+Hg|RTdp#D*K_r` zQMGr+<3DotUEaT^2CrtBCNsSw(KOy+JFKp+)PIA^cW`Tta5aW3S5|Y{M>3%|j zX`uF{32i_dNKx)n3T@?XV9GmFTs*st(7Fv^#V^#bcnW$-Z`_rCDKRJb9o{VWsS?dA zpA_0p{-xc}u8!J)(`=;g!;IGihS@RozpQ_Jc9d=DPCWMv0U`Yh+&&q457un2fQMP3 z`3ukBd!QwI7-`8KUR}T+RJ1BgsEoUKoDkMg6-;S&&ml($1wGidF72p^kf1y`d7bK+AbD;=`3XqvF0DU~SOC&RqP zsHp+x2i^QNbrf=q{0j|HzX?&4-czmGVJ%G9N6|6~`>!BAW~g)FD$DLcio zh2bdH6L#Jp>*!I@?3Ri|E4;m!LH(-GNlb<7`ZOJZ!@vIVf?>$ zdIo#!oK2G&p=30Lpa(#devVbCHndg*ChbbJRuyT79q(qh zFlJ#h@~B{O2otQ75o^*GxYjhDlMY|>f4M74l~+1so5Xj4y}u*Ds=)Veb17kR())THVIj}qkf zuYMD6etoi*c=c&14Bg=mk)Yn?3GQoka)J5UF>%e={rU3tL8ZPe|I?l?y4O7oSI00_ zJYm8=A@0F5J6l)mn3NFh= zf>}p5BR%q7iWTdwmV>m(QETbcHld5As>1~QR5W#fd;mU9ywBw+_4V)Akr?--2L?Z% z7=7PwbMFe#q|2JJ_xb+cSDS~{@Zz>2&3P*C+o2e0SL@QBR6S$!s?>jCR*Sx^Lm~9R zPb{T*)HPAa*Da3a5!!dj6m*2jP5K;7l>Wu49>l0V_^eP^5kSWC7Yodn7|+HjVWM&G z&bT3Dk@(hS5#=9+|GGA!Kh~aUx%(g%?q| zWim3Y?LU7R84nCyA-(kJraVOC4DCM6;Smh)n1ziM$V3wogQC>K5erkM#oZ4ic@tu% z{?;~)FrJ&7YWK@*zb@GHOx}^0-ALZm-|zod-I8Oh8Fq_Sh~$t4`fhk{1wv*ONKbQn z10`@-inwRlF?d@-xVb2glTfXhHiR52$c_vk2Ziyv+ecS}XAT;Yv&2omvwLMG2A;wa zIxIRB2t6WL2S`g$x^Os`)f@7p1-ao3jVj&V(Ij%RQZ}Q$K%z~>U*Vk_w}>rb3-FKt8ZP`Dlby64&(>vha%D~+Y zfA#VRQ?W~O?@xvwN{1i+B<;eQQ-v@tBvBqbTW2l`w&F}nC>Ubw4Y#v%zgjl9w)G;urJ$%+(4%2+d_rHq(7 z<0OclvC%=7q3D;$y6fOxqS+&|dMT6_R2MpuOM#9o7va?ejvtZeODMA*fMqx93%>Qz z>1A7PCwGD$TyfLu20>7;-muFP9ArNyH_aCFvd{TnLFRX3e8$`rM-b=P_Y|2j(oKo5 z6aKbUDgwZV>zIM{Bbw>TQ$_ld{5J3XkPd3MCFOUP1dmZ0mt%!i%PXNU9qyLkZc$+` z-QPmJD|bu2k7Z#uot&xSq+9_BM^p<~kLaTuo;uBt1g(+e@~R5@XK#|O+ZE@&Vqjbr zA`NUB$goSIik9@AD9bj;w5JCR@}RRQBGrZ`hKG+aAh|#Xl-)E-f`O4iMZ(M7d7-2? zJr`?U$7WY37E?+jiJj3;8&U0%qy*;-ikFnl6fotOLtYqG3@6ZToR$~B-%yxb^Qx~i zUfN&frMdwm(4UNp@;Ndnqubs0$oE%Pxjp{=mI^@JfU9MQC-=;4w&44Dc(STG zv%~;a;edB8;$M~DY(-D=gquG+k1N27N_(5|`lPq$`NP(iU+YPdc<8~Gm9?h-boUsS zfBRI~H^PGVwK|I4OLcgkjyx*rkqZ3CQSu@UcJQ8UB< zBYOMvqrC2iO3y#5DmvNay*{VG9fjj?)te`yBU5{-c4iA({;>F6Fev8a_|eyPWBR-P zQdF<5vPMEH`9620Zhb9s2Q(BWP2@1htjKlnc)@T0Np$Rx(1*!!3z9~3SFcM{_7p%d zwm=6bEqz6hRnh6z`>I)lzDngXD*Hv@$%Bwe;qW5crYfPx$?(^1+g(QEP7(j58jK*C zg{+;*lF?VHwIYmw({UH&RQz3&gTDkcTpb)Aw0jc1><*H(SD*fgo+sn-T4qd=Ck=>v z?tAEV>6@}}$>B2>`w==Ygie_C3S9=zES0tE4rsIu(PY0M=XQE=!G$KbV0mXAOFVOv8{7urlV>c3G~*2JKJ{BOUQ=gQ58g% zY=A%=gpsZ$#GP@)8Vx7BUv!2*a(M3O^ZJN4^f10%88@tKpSUX*K0oZEAH#OQ_uZwW zs4og{wn1ZZ$5Uy5pyA>Xs09%&ATA;E$c+&01ZLz?1UNhbkpr<=L*2ya?oAE!Y#~qW z)PskOqihh7dHkgvZe?3gVY`=N0V>K48iA(G8_K*U;#!kRSC4AUmnjhu&=!1q&EVLB z_D@UR!uM;lMYyiFxuB|Nn)?p+v%OztJyJxs(=OmaKdnN-Rj0NsG9J$kE#4{m7(Izm zT>#(r2(JKvl_*w|M{WEv-Ctv2F11pXJ`n3Zl3NPTqQ79!wrIJ?(xyl)t}n~9&Z^Y6 zFS}T5b z;x@1gQ3`3KvuTmF+IFFM7W8b>s_;J%noWg7b`V0rb6E32lpl#G9K5E2nSjp7CM*)x z30j@l9T+<^LDr|nBWDvx&^KTxGi$JSrv|Ax+c!4zl9OyPuG*oa1lwMSvi)a??M{BE zN@uGGvf6;YTBja)1%C0D*>8G5Wc4=;&uA7}VW}g3s%feF zfSAIOEdX+<);imxU-=QTKqKKZ`+(gS&B^oc7$1l4BPLZPHp;1?I?QDeFX@i4dA% za&huO3nIj`GRZ^$0c+t8IZMSG`=lqHyDbw zX2L!&?|kxA;>ouiyp(wjDs>el&m?29QMmGz ze;yLFsN@L0+UWyoM4o)ZBP78;>u^2obSFQ}h^Wy%187!Y{l)C#wm5yP48xxwkuNXf0R3b7GeEH5D?^OUeAMdHhPQIQE`5=) zp%~khGgY^RD1B(emrze}pP5jnZfG5ayG_6bb6du>N%wD&%Yy;=Z({vuu@0I((S8&2 z%G6drIAMH|+Pe#C)?I`1msOc+>Z~gsJ9V_{WCj!XEX&8ihgp8R!U|UcYWOZ3^{9<` zOtbJo;nS%QG#l%+C$s+lm1k%_dSOlTWM5reeWs`76+a-0Kf_vb#sOG+MrpHTy@G={ zr%>rR>QsJ=XZFJ2vIKdV^=T*8*4|(|~u$Zu<6(6DKzHgJB&~-eXaw`eKZo<^aK2`fcCj4NK`<8=n{R zn<(ejtd?81y%OLBJPacTK!}Zz1=N)%SW7&@m0FKhpyUKOi>8_}^5^XxZ~Yw-Kn%AW zAcgvu&j?#VI1K_&XBvg8sA7d#lN&a{lYOF6vhJ#nD=vs6nWO@O=~GoM3ypTWgz zkYghpf@;~P?H`|#^tmAaCK3D_V=Bu6Td1w>b*EB4e2J$kNFQ%tYTJ5!gwp~82OEv; zy}oXHa@9HAjobfdSl0XW)z+M=HP_)Z&Mo&r3(%Qu16DAfENz11k4553X?)#c!MQ!H zgQh!~eOZ*eqsVwF>m6j+yEla}6a>D7Ya+=A?A_TM=izRcR~16En07_ZWb0<_ zEN~Ck+zl$=P9bYuk@8rGUKOwj;C^|{LZFQaMtNY%#Hkg#`W*qB(ryp=e4_|_zxnG`#nD-ZQMa&T`R1N$>aNt`g)NCXW62uZ3CvK(Z<>h@7$Qo(4{Y0_kzyRHN|_Q2ABUd! zB_kTkBY$HH{?pXqmS~Hb@kj(o^2HG+XNY7Fvmn{Uu=f=B#e+?A(4nGs@Y5(huug5jRc@(5ddaJ%jk)tasdCh-+E`Vak=rX%WCN9)PmId$aN zthL(IBs(?KX``qAaBjX5`SbRoAyglbt#+%RF?jo8yxvx5S2q;7mh>&fHB0JWp1f=~ z)_fINSCqH`J05El@e9jPvmRba{&MFxs9E@$7%}P%QTwNz0dClCC}yFd5w)hy1OUQ1 zM2AEDwE}6GPnID(fXxgn(4z~puNneWPgUw9;^%U$!xPu)+H{Rh3mpZ&B{4a|B#!GF(q4@M0b1z9l;A_f`Y3)|?nJ*N! zcE}%y_4u__T>=sSf`JSF@I@TAa@$fh@vTih0bPiV)oK_9ER3%!8P4&o9VJAZ}$m58#L zS(c-AA?TRps~oGbM@*(ms41_CTBuJ83)(iQ@a6@ZCCZ+!{-7<%4A8y&8I8 zel_WBmgvBG@p_5q33Cb(mtjrMtf=y;)?rP+=*dpV3cNuooM>h!nOAT%{bd=z44SF2}?RDfhmx>zKPK zc%gjW>O+N7nG14*KejHha}9<@m+r|oI9(b>bw#J|bkAlLzGMWMiau`h?@Or*_~f^t zk0lRA=7;6b@7s%Si}jB#Joq{_W4*ASXEhGXMFfG{UcfM&o`YN`?vvZNTi;y<`B~u+ z0nc|YYViHn&fgm+f6Fk!Q8dpp$KApA$@Diua5)W}KRCs?MuZ}oUO+GDM*!~HzfA;DTFVaxT z{#DpFKgs5V?@I)~5L?^2RkWtl%-+^^OvL|^sq`hxCvTa|5b;^hpg|Fd;!Eq8yS1RJrQi zZmj4~aTGSmz1V)rI}V}rrP%$Mz=CNI-R!bbQuA1tI2{XYGef@v7wgjsMi9t}bT z$s}#(%XgfAK+0ypaq(ifYGG>zP>^q~nGo>yB;lN=4o`V|ae&msU?pz~bN(*k#zSpi zjz3vZ@8~Xoq`{o~n=G2$jCv>D11C<^jR-P+T=_JH)?{jva7y*;zlp)WslDdKTW7ik z@1)lN;F;!Luir5`wmyd^xMr+8lfpW-_xWRgv@P=@o{80Z`(pO?A=~W;e8`>b#dJ7@ zpttv4sxwD*>eE@UMAWsxI#YIby&vmNch*I?xPC>uaE7f2J=eOMm!cSjj#WzPt4#R} zRT@!mEa9dhfAl4;!cOfN`Rg$-g!Hn52%d*h3?@W*9F8y5=CMlafeV5``W0%@&{yd% z)`ItW^qiQS?*hmQhOWN$Tnu8om$~qAF~^~Q+F5y)oDMu&pC05^K;D4M6EUwL<1J44cN9*0i`DLrR@Faz;bCTt%=2G}3O#eBM@26s@6 zC-_KpYJPN*toDz{=pA}+sG^F5mLDc|mfo9#3t;GxdtNKTf~e1s=o=oZoC+KEzMeAC zN($~xUiMMp&tVE4aH&Kn4>9-)ynHODL?lD1BSfmlHxGNBz1Y8md|xpv`)lVg#Om^i zn7ZvU-&AZahU~e!a=%H}*C>!H+O2_ir}f7=1(j!vW{F3@*OHt6G8Q({&39?(ANN5T zWKxaL{OrAG z?t@?Tp`gWOYpHsHPn*d`ISjr;9Bhn=BmZEv7Fy6xHIOgMuN1O%vBUa*(p zMT$7BG&+-PyzKn#O;tejGuYswuHW}G)sy>nYki-C=PK$`*q-j7CETg=J2Q6BXwM4D z=5WSIkU*ASj_{Fe-VE%U&t16(Wk015gpVr17oo_V&rB6VV}{R$=q8qc^Z&9BJX$bW z>L4x)n6{ttg%jb<@gP#PqP)kWL#LnNUBR*s z!rTxxfzSz_bJ?+6D3sJQ%hD%O9gQuh*Mx^_Feh(;uz7#ct90x@^V)TIRND`i>KU)F zQ*m`5+&$fHQ?^R&e#vuNzV=jGd~0fV4+Bl9z59epXx=6AJ;hrtlkHe3ehV$8oFGIM z0)$*5{Hf0L`GSiIn%A2mrV(~#X!@D}>gcjf@py~%3{>o!6_M_=Ln8)%$3?s+h&Yr% zIsMlb-!P>8#0v1KX??ltyo4HAZ&+WxNWG1^SED0P-Z3I%nw)|0vxJ6cyJ6HHzkWbq zfryQbhW{UQDAxaP3?~Z{6XQRQ7C*GJi<6m=9rS;6D5+@LiKgA}&nR6O<~oGqr7jGN zUtYJTrSswvgrx6K_;6mQds92p5YF+P)Xmh@)Rw0xi2$Vi;0=oZx>KnSq0a4t%JXH2)QlVj%}fy zQOk?2ER}Yab#L|Jc4yI+@Msf$Mc7OfccACU&hI6)qxm)9Mb;bxFB!k2@I?yI_H0?5Dh$_1z} zUL+NW>6z%@(rr!w{YxZ%Ka~6q%t+=-`V!mTDas=z0tKj}>`FF}72Iz{8x{+oZglGn zEhX>ZAj$V`|F(T%MOmZGEQhk)`t_GW?g=Z;ABy@kXo(Xr|3ZY%8rf@r`EQPr>ORLW zyXEq8Z_;hgfpBP9yzWPc;qb^l#T}ZHe-hn*XUebq*>MDw+^14~EX84`C=PVE`$iG> z)&-AvR6r5ip4bP97EqU%mwlqnw#H3(A-fO; z8L?#!GJYo6YY`%CW!`|(br4T#a5|w)er22vAQ`FidwV*%8D^-&bm)i*lCt-{4+g=s_*U({5Imx>>bKKK?n>6gU9?IE>z%iCqNzY9~TPJKwK1$_97Bec)@m?+~pJr?F?DM zYl@ekM-`ywv0C_@+ZWA}V8?MATm*iwLLcoFW?4*=HOZ>B13rODlGZ%6w1GVL&t9am zW_}LrAoxQI<%w#%0ufK9+@faT^vKsSY!#4q3GKCBR;WmaXJxYy@VZ#_eI(R`FXa8#em)9s9OXs@r(_rOLuW$%+O zF_fXCmHW4^7k%1vEdJ2J-K=0KHuN7C3L3^-CpbkqS$!43leb0a7w&JB-#<$hg+`)1 z;v8`x$zF$>l-x0&E>f{w=Pa!iUKKE9=?HNss0hjV!VS6Ix~5e4-7HFmcFdEAN^@h` zh|_d12_nfcP}$$HT;ZESvy0((IdADp&ZN{SMRd1V+GUaU=2qebIr{nk=+Kak?z9u^ znQk&#^mF#dowLy0Uq;eAQR4YRLuVLcd<1ZBw9sj+f+*kpZ=O_CICXMO(smTt)GGJ% zjo9{r(lh~T!Bb82l7gF45!bhw9k#eUK_YGG}f zi>MS^oum$vWNB7%ewijAN6^|#fZ_$D5+73m>e zM%v_Br}P<=25d&Y+~N>zkX0Ihb@sV1!Zv?^(TB2+$$OULAN(|eM&+{iSXrYaxg$h4 zECfffei@Lu(^#WA$*5XZXE9TlKcauYm@1rR+Z%D6R4BpP;Z^SKS!jnv9Zr#Zi*hGD zHk(hJw=HK`6NBqk`HNNkjW9}6n%}nsY3f;WQPg5p*LPInK913$tL4I$(>HN`n#4v}? z!QI9)joWI}`CvyY7VPAkM+7?_*$_eXk&Gg5C*>VI1y;()sCp8U_d=U^9YUbJ2Grqv zQczVzr@xR}fRof&qi}@Issatf?Mv`=Co)xKIAeq$Florg6OwqB-rw*?tn2IJ~3bW-m+JJrheX_f3)XI~hW9N-=Qw;YdN4y_dIhgO>3Sl>dc(BiTZAlZ5& z${sHyYwR=@a#i{4M8-&}WQ~MRz7iy31Uan4T`jh#Y%$7B@qHC-zERBN=McQP1$_;( z`A)S)E-gz}v&8j~Q@HCPTZu=NPeI)DZ0+*{^P}qvxfs;r*^u$Z{q_Tz&BbLSa@q}? z{LD?B2a&~eI5p3E07o0!QHw3isKtfVs60!#aKcXeQw#6!UEqJ`a(id;eCH_y!Kld# z8zYwVWc1;B3-t}0;0R1+Oj56kU2jBpvJv;3cH=3=BQ!kns(d3|u|x$YoAaZ+b38*M z)m%rOu&SO(5s+>p)IyeU+z1?n_V`OIiuA5;c)|CUf?@H{HliHTLOrc>a9p`6%t@3% zp9!;~YGvV`Fh+`pu3$ORL^uj_h2TN3XeL~eTiR^?ENBqp89yquq17j*Hk8C_ZTzQi zetB##WZ`uxKMTQ-Q|%y2SukpkOiq~cShY^xp$xJFLp&DZp%AoCrtDx2g}}2=$a@wF z**2_3Pr`Q)y&MV+cBY0x+!1(8C`3CSu&%|$Uu4Jn&bIG9wJH^5_)AlPQFToI0zRAf zri(bbAcl`BV$6a`9%bI=qDt@Wnh1974}Gw+Ke-l+x+g0pXQU35dc)b4$je0L&myD71UufkrO8jlcR&PbRb9F6g57xD$eNJ6VWY22I z^V-VN36{}X6eGW|cZT-Wd6m#}GJ|Vm2AvBqT5*~?bZtV=yylMFwaaIyPw=c?^)Wj+ zc6OE^JSoSzr9^({HZ}lVikhKh-N^OoJ+C|Y{<2aOn;u9z1_eb68b7$_f%Eu6G3J)9 zafzA{(4`t3xOHxtYCL!DyRk;pxrFHv;?BdQg&9n~t}joG5tIL^x{z}wixiONK(A0h z^3SI0)2mBo0XZJW?CDfrF>Z3bgC%81tf?ON1X(xR@u|*==D5~3Js;G2p?9fbH7_Y+ zEg$@qzWj3OOQ#;6zm)1V@|X5XU;d=@rSLy9fBEZQfBkz)PKNjuCp%)*H}{HYkXE55 z$}JRW#f*8gQOVUkior+#%<PxCw3d%OF(FihsrpUJ5)G41j(gfK~~FxMwsoS#wV zCbE$IYP^iU23|(oJ)edL7m$i#Q@vXnJgQ8%_`O277^k_EPa4Co`0bFKmo(l?PABh0 z#;KQ0`rQPKNmP+Gi0U2?cjFS1+6%P8rtqV(KT5V~g%LWy^T>_JiNx-aFgTvi5vDNC z>Ry%nKGX8DOQM6&Cql#SKIPy0z50j=jmg+PO!x`>4t(G#ye8WDq5Gxoyr_h(tO;{O zw>>7Dd-SQ$N^Mi4oggXel@nbIJ6P)r>AwKd!)c(`_5*-^MV%_@%Z{BVRdc2q5U>K) zy|iuVj%BA??9|wFVO}_ss-yfaY^3Y5L<#u{RI&8f!gFdDu~E&PdJ972mDxUY`Yv46 zvbc|s#~yS}Vf4Wh{(wdFY?;rEWO81|&Knp|mAcP^RmT7B}tUgCn0-B)Q0c>HMcU_}9Pw`o|xC z$L;?6HW?wYH(1>M{{4UG=Rf}BKmYm1zhK7VKW;3|BCSs;7Bi~|P<|wr<*3RI z+duidl%Hr?mcalbxX2;lLO3nF2rM6kp?fGP9h@mZl zmr<%~&K2cL<$(DUK2;En(n+oR(r8%?lvd_+;id}KexhkP?tZ6FjN+qhG_4B7PY;#V zoaIfK`O53cWCJ7#(3@-7SE_c68T?!UuEd07bxO(K*VI4be3G6Fl`GFrr5>oM{83gN z*O|gJoRQ!a>mnY36{$=8S~uqDowHNh4X|;P{pEG;)}UAH?Tr5^?NFG)pNbI8N;XL8 z95d1RGkBbFLfvsHhx|_?q3ez|_~BWe!O-@!{aP6(t)lW7A4$~|G0S%J6xX$JG8$vl za=pYAMGKj|-_k38{~|d*G3l zsBGT>{i&V|L|-93t#lvB6h+~ZIHH6GKZTo&i;`XWKNCbn0qNiVo{kic6zE z>INA7%mfMObZ}`-ZqReJs^*K2)CBQ{oTTCneKd?Q=E*_y`Q$g4j{bhdF&ey2H?;=9O8uD{~QewfSH1) zl(Oe)0rqXy0Nte{PW7t0%%YlpfzmrHxEnK#okn6gvnIVwNe5|qRwsla#x0EO(t}claZ{6 zvDQYHaII$^fiG!I7Eg{H?;X>;_67{}6V(?tDG-t^R&7yMLBEM-4hxnvF6?X@7>(Aq zfT?0siLAl*-JLZ|6tffUH=}TEwVH2Rmm=r{wo=D2fYdFqs~Eq%B6|t_%eQ)SVJu6w zdM2!u_D&fB+42S~OHhjBKmPJ+_@B*G-qaspuh%f<|01n(Mv!kOPd z7^NUZ4$|0Z<-B4@;Y>VyjWVWv;#er3DctvL=UC^|nA0u^{}E0++eSa@%^iS5FCs~4 zg~&GmOwpk_8eE_T%pEkn*T@CE#hq3?*OYZNJ_(Ce*J>cg26xn*U8IFR58v(9NVe?R zH=*WN7=Yb+gOriHuB6hA=2NL_Q%|ol1o~R(p{o$)Rjrn+xJRFhd@8L`n=m1Z{1Nr$(V*c3YXN$ z6V?*C=t?y|wJ_~v?WbiX8q^FjdGO0KLVPrIw0{%$Xf?0Cg z`}r(uoqtH*=$W@f{+Xhe+eEzzy)ijwD|0%va?<##)r_q@pSY^IZ59o74iLbi zJ5wuOu&sr>=idfhJ=6~AEtM5`{#Z9Jtq?@thnwa2o zfg{qI@VzH|%KZShpl9ofxj1}7EmLU@W_qcaVmc_A>5?}39Kz$Ey9z|<7ws=w>Inv6 z<5JHLQP0vv)t4>aOtS2ylp~^6&-u-#vcUPp-x@b|i|1R9Y#{fRB-#$SHz#nN zdbq$$BPEw{pX)aCyP#EDeal>zYy7+99e$Gc*YLo*o~G~%vs&RY54BN_dUU&-4IC4F zHPL%MFg%0JD-Ud-bBwFCpP{qz4V|rLoklTOpE5Wn*^h{v6MFggEohFBiTmN$TD4{W(<;G9AP<3c81xGgRnQ+~ch`L9tEd*jT=kx!|mIOsmt-;3!T!0Szlp zY(YavG3N83!KRGg1q~N8d=xZ@!#>#=opdf$^3Lew+7lZ(=?p20>!^=eC2Raa;Ri7S z{`y7kq;FMFR|@kRv_MO6aVh0TDy8z@Ln-ftH(|!$Bo8Iycv{Dl@Tu;5LdRC0Sl2NX zUk1mEBfc=!+2uO_CQ?c)O4K3F7VoX-=-E#7gx9KL^N7BTAGl+0pxsax)EPindtw6+K&Cd=Rij&ME}2{b z)yfLkK?8t98hU9o7`U>piv|x%hpnV;9;~?cd3GO4j_EnseMWviO@t+O+Zi=mdtyV) zw4IbXq-IJMWMP-}T?VAm7OLP1bJ?t2@5OBl1rl z62^Yh{L^x?^_oy`37|?1o`VNn8DpcZ3bm7Yw`{7sne>%9dT30m_9wTt6Dtv2zb^Ie zJ*s@T-{97r3O%FHKM7ZO4lRWN zg;}kWtdOcC`*(5+w9Rzj!*aa(#D*YSP3*yAb8s+ z5%8~6`aeXb*tWS3FSabG=;zRcw3p-&?nXun-NNhYzV_A)b@zIf+}%)jTbdW@)w+7S zKzW&qJTxm$8vB$618LvXB`NJ8dd^}rl=_rUGHbNcR1`c^NSDXyYH2PDh4c>Gfq}bv zEpUGj$Q>2M4~1SaX0|9nAhQXA5Cz>k6iF@fb)`=KuExJ)0M=Vc(BsNeSZgJrY(iI) zBWTk)bt-f^2czA;N1DYJ@gbFcS`I_R`D--IVh!OA(KXExS zc%!5E+AT+);6gez3!~cN$1tksX0Xe;`7qxShpqB-Y0e*0=3L8VJsxEH^l_e(=lN8> z5PjR(LyN@Kg;F zvmh{YT|M2V1yY`5r>;J+E`cDzVRovir7l}54Q5*mK`L^sFB^Q>;8)G>%nCDNh!R*c zXKPC*1KrP{lg%#2C_IzzV8`r$01k&uTMuJ}vQsz(6)O*HAcX>~pC14UUkq%$S_cT_ zO19^!M83BYx#_vSVs-CX^`48o@ciZ((1jhdn@c#Hh+cc=1}f<=qS9m1(4Y+h6Cy+(+YWjtm}gg26}PTlw=^$lWWGi(!B*$0 zD5|X2V}@}ppaf9Vu6<4&9Bgvdl%Aj_)S$pc{&hY0 zUIcv#;tcKPPzrX3i1)eK`WI!+ikZs4!mK9yrX#ohtT&ib4YQ~<(31H;Rl}$=*4eo9 z@-q>^+7ndyUWOZk#(nhOd0fz?vXrcNBX=VMld@e}s&N5VU~mNn?`iNGj`7oT4@=bT z>L?VK>XhoEG@nxal_xeF4G3wQ4z}B#Ppq>e$7SA;JoAjylgT~8}zc%8QWDrJ01DMNQVb`>^`Mu~EribTm7 zd;=vaPP0*s(CK9lW}~jYbzSw15Q!yrNcC;fzLEqCJ7x4V7iX8LfnQqxo|}e{w6SyR zYTuNHVT6>=qvX`)Dfi&?C%v~<(id&uT~1R5pME>5uSR`Dvj_wv3cg&k$P4p{uV#^t zZx+c9sc*-WJM2nGorLp5(qi?A4cLi6hng!LCnOzFLWvw~1zqYbPeM^0F{aF1x_e}tr5e8rdj9v1n3~q{sB$||TIBfq` zl|e-ttkM&1%(pZuQ>PGF3rJzI0PiY>jLt!H%w$mgS7a#+bmXTQc-7Ku8WZdSFSBja z7VG$Jsl6IM=|_ujGAsRS5#kj*t^B2+7q;|ly_jhWl?^BR9>Y4EM|b?6*To|ip>Lb) zmt7g*KalyisO2hpv+j(NYZ1ToY#rATNvyqe{L=9k(edJgKf3$67+Gx+XP#}F$-6dl zQdgf?cT#0Asf|Qxou1q+uGw@xh0fz^a8iEXG0joOrIzV?uPLO{dc67#M33ttj69Mp zWpwIW#fj~>sne(1JVoMx*)Pn?;8l@E#;&W!WN$nl(I-TTB$igSK7G=1DlH|HlNz!H z15%A!oi1(pvxlY;%wrgrN-2U_PV*gg$1&xja@2Z3dxyJ6@yHJJFUYLK~Fdixz4}-Qt z`YYe@SxrmdtovZd){N|K-N5%fDVy_o8v3fJ=^`;t%kO7y#)#vAjFi_#{?Fs}zN{56 z!l$>G5aUB8;bsBjv_XiyJ2`7n9EN$c zT2z)hEL91jutKhCPy3MFpqswSsNkqIvhLTbTW4y3w%?%|bvSqC63O9Afp_(Z4fS`B zs!hjvCDL+U$r%W6XqGPsxFFz75RgjaLvi4HCA!Y!L=vS-oq>kcCpNMo(f_a?LOD}1 z5H!3P<;+}^vx{;zP|m(YDf}>w2o(*>DI8gQVgpBnA+O{Zj`)&0Vwda45o4q9;@xla zHmn!zek&?yAF;+>Pu|;;CO(RvFUC_opy98k)Ziy~N~c#I*idP(sREuJVTUrbt_NBL zktX8Dbyn-JuhkF|Jtp}B{vqwAOAW*Cl12ZKR_ei=4CTV4Pszv10~_+;B}&vY5Z{Yb z*Siq^Li|T**_<3LRShifwGxvfj;R*&vOg$Ft5Lmf9g_F%T5)Pj1AnT@$j)Hs(;;Di z$-pVKUVCDzP;SZH>TrMF1yGa^F#I#EdrUr4#<*5zfDTg^HMKbTa~ zS2^YMqJ-v^`1*|cX(uLssvBqZiFNhUPLu6hwX3!&qCMG9pxEjDx>_W&iKN}saNZ*vT;7) zwO!FKW36!5S|Ox4QCZNbVh1hZIW;}c+C@WJev{`tAJN@jKuD`E7+St1&G9llJ!{yq zfda{@9pgCo;xn+zbpVLoZBA6YRN(@y!o>#`E?&;f7Ed)4y^s9#79#EK$y(>?6C0kj z_h)LIr!rRByFz@pGFDf{>Sgo7050jr2}N6dV#5-r)IMw}nuDTg@#-FmHy(DK@i-Z& zX0~{ABK6z7NWJMsssnDMI_^du6~tq5SjCV_p|{)yThH&bT9Z&<<8lb9)j7x0*+(>C zupW%Q1%r25G!D?QP74q7P7AFzy-};uqe@%!H5fHrz(~m&`O1yHo+LWHW%Aq8mu6wxN&z(NRKJdorJ5hIoOdyCxXyU$E#5& z@a#0BXyOPt`SM)04)~8M1jKrQu+poV=`szao1q_N(wk+M^Ffhe5z$OADS#=G2}Q=J z-{{^9NqRYc75AGi@AWGTlX9qMHfSSI`{u zn+HSNnc2yX#J5uZrVj-M9eL7upl(#$wBQ`o0QsD_gnUiI^AI|o{A_j)ER^f~JsLza z;dDHr>d)1@jpz7CJK*~)of~2VBp0gsA!Y)~B)JeJfe5qhV~%^v(P>p3oqFdi-@c4u zwr-Tj5l7uVyvAs$ZYAxWhqimpwrkP0xU58#3GQrOu=|^bP#t<_4(7>Xqz3f5MnB^J z2M4iwO@juPEbJOW6TczG!yTJI+}6unse>p!;6|(5k{m; z@5uUYo*0?69cHOeMbwHF@7&B;?pZu2K+Ha^o>vPVksKe0zAx1ZO#(XE_wX5q^U$wR z7`v1I>p!n7vFHW`nY1eCE;!W18>w+L{!%UEfz8Ye8cmVJTaDBt%tL6Bp$VJNTrrW8J`zXl#wXP|lpIW9hNUAp`!_!iOdhC7G8q zlN%{W1&>QXJ}3%bDI#XaWG6xRc`d$uvT$Xuwt~)VS7s^cULcq<%n}94J10cQF?;8-?`%6g;KYOW0aWk z0>ZSD`jtkc?m7dao88s(_S~K~X+NunL@FMDewTKVWyJ$`O6`&}0ZVG9c~sS9f1|sS z=NQK*pW~_g>t?rk4E3Vc`Q$78Os$*QyC-TL$)xU*l#hr6NuGy-)|=DCeem2Rj2+8d zaW(5Q$xfZiBoDcNU0cBU*};&DS~|H*LJRK}*Yr>mNm7=v7@cB$HDt6C0Y zzL}G?iV4A`%;_0S2quLQBPK9N-~`gF`)BbW*hD|O@ZiFO&o{kTw9$h{Es6`DQNb1c z$168__}a3tfH+i*#?k)TQkC^B_09ET_4Ax=1<-a)p`~=c{!(`7}=>an&aNl6QxgOU3GYn7adl#r)M{AU%f0SE@r= zQvx{&`5F_U6?b}i->EQM37-`01PUl&&_*9b0Yh+xgb+(99Z`SXD!RsrC9u&pq15Zw zd5#wmUolJbw(f-?gD1Pv?iLxusc)LetQzAbc)kfzhcy=?oSan`o3Lu)vaj5^38JgI(00x8T44CK0voKXnne zFXHykC2p_iv7f>zHMa4UAEdAVw#(e{(q6^D`4AQAjJ|n?3i`xilJY*;&?{Q~ zj;wS0GQlHGc$mv+bLD|(;V1M*E#-W8`^H)tR{4{8*f=?NrD3l$>{lwq(Drn}U=;%j z0SE%He!8QaAxx*5JXCNC!P{ePTky%=UbgMW+BQ^#5{`a^nVYdGzA-;i8*!2|t&KX# znYL3)*wk#zPO?TD>_Rs>bUj^N-$S>z zI}{Z4*trW@X;>99Ir^nbTmI~!xxK7cbq{^KB;Wox`Z!ND<6|yI%?cmL4{Mk6!!Gf@ zE?0v$BbsMqo%`v3URe91?7g!md5}rtfSyp?-gh=$8Ny*AS~%KpQGedlI!j%MZc^$4 zoK7*y9Y3ljs`aZT|31?Uk@RA@veW#4tnM&-^oYS8Chb4MB|q9;exly8mX?0|E)5%_ z&R5r#;cx*@SC%c+_jI>bVI$ZA0!LvZ#_et?N@!`jd(GS3bK;J+jnZ}{YqtX*DFflr zXq(Rn6YQ6Y65KZx+r22^WV!g^d5*LReT|*6u+6=GbDP!q-l}4pON*BnJ22kUZKZ=G zR@Moi&`TcuMn1vOY377N@sG;Sn_!`mlaZ1N2HuDk>VaTEPOj4??y7Vq`FdVQ+0;JV zs_y^Jjwg%D>h&@tNcGx~@RXAAi)ucVHr~;kNuPN^rWZ(glD!QQPO`V(k~svauy5MN< zH0J8@AOYfx{1qfzLBgj73A>R2j?jIHN%&^>)mV>1WA9s<0h(hlvd*&E=jvQJgbW z_pN5kVP{a8JHSrfJnNIRhpLF--DTCiXysuwqBRQCOLda0suaYRpCZ`;0Mc!ahvska zq(LVl{N+erj`XXVVzJ2tV7%#e<=Wqe5PG5DpABnZv;Y<>-)oB@Lx!GIAefX@-T~$7 z!H=#V(F&)=R8T=ZFv`kZG?-`LS(>yjgLMvrYs zdv_|G{^m+NSf3W~a!EG0l5AHcez_7)KR?LIdPll;TswnEhyL6E-TQPTSA>2==&v22 zTlf)Neek%oXHdc$^4<@lM5qaD?8-H&wB>5G2NpW*h5?zXWv|TJm-grK_Ah1qFl7xs z{dPiGjS%FbpHNm~nX)=tl-1ZBWer&RGN8I*)@9m1#I);f$Br0!&&~N)wE7#hwB-uw z>AES^zq`+~NUjQ2FIQSMc`~+D$V~&EPPCiU8`~sIt69ms?9moy20o*IxC2^jQT0m&7Ryn12*4v=?Nn`TV(1pH0uSp(vF*9=5= zBRwL9^kWf3PJ+3|QQ7IKSL;nOMy8ycQlYPees{+{*vDY@=Xv%9NjBz7q1F-K;N9%1 zCHdMo+}aIn{TqPhvQ9tBIzpKt#>-#lsMfn@bYk3UawemtTR^<+{Di6amG^MOlUOd|- zm~WFFRWi>s?oD9og&U%$To1O%0?jndOuENFNGvx#jP@t_vVLjX#jUIjf66x4 zw=-imOVT$JlDtuE9Bon*5@q|(@UOt)s}H?791x+gW$Qi{AHq1_!Fn>7j-n9He`FGsj1vF`O1=!q%4aa9-OFxC7K+#CSa-}T9SnN zmpH1E$~-%6wN=M$Us1y~T`lO^L_4boNqxaVJK2hFhQnY1NZOGVF<|ySA!GN^xw9Mt_<oBxA2uzq27cx43KoHn(vX{3;csXC?IV?k32=hg1k{3gv({9f0k^baW7L2O?VyGB$B@^bZ9Q%SdQ>z zPP$GgUHSS~)jX})l2NXDyH7h#eR_dK2|D|;16c^in7-`;!+}{3aBj>gA(dF_M4TGg|Cz~&%2`8H`!o-WVP|83%C0l9C6jI4nbTfNfC(f9V z_<+E@1;Yyg{*n(UspWG)>(m9C1=4J6IjbJ zUv8gwDA^R>Y@F|!l11G!Ucad=5|!G=OVd!`eX1soqa~ZhIwE*C*;A!q=`oC%_c*pL zi3)Iqi7AO1iaipvnWFx@1q$9KMs=#O1b>0T)0+S?C@B9u$eyKrb**VCeq1Ma2>qz& zexv=2zp##{)(gqnpU!kVY1M}8cRx8bv^?ch*0Gi+B~;Rr6S}Mf7I%*JD;o-vbDcDl zLTOhVSkhx9G(v{9lk+c86K@T1n70^h!Fp17v&WV-;d!Xh0JO_crD4{herIF)mb~T8 z+twYo;ibY1Q4Wxa^Xcyw7+}_WvTtQ;+{(o>%;EvuztFlEUCo-a4Hh#qnR^(EdK=4b z#(GD?G~VaPE%lD+RH>`rl<8DaSG;<@y2Xhlt-jRlTdA8bdGPN|4v;- z@o7d;s@dj!ue=mLa-#-%^7@hYRI&%rwhP_HKRnwy*-=Vg3RPRUg+3Shd@1zl`Vz!&7G-rF*ojd*-*+E$ z%yPv^=e)V1VowX51vJU^OpTZOSe%&rDfQP5X_%+7GA$8PuhyGcoTPXYC-ydyzIq@> zpRmSh6AxQDlSIVpD9GA}TczCp&hp9-qh2pF@!JW#CKLZDX_DjZU_=46{?kH(9@ynd zml8+z?v%s=9Z-x*Khm=_VDsSAWI5c&WR zx=$ljGs#U!w%w~UUKp?yIdUi_N^4s^)ocWhTMIq) zY8^7XNwY{5BzsXcSw3vYO1>k3)^o7?>3_6Lt}E25Xa{I@GO`C1b|d?a!gxkMK#R%2 zr5`^{Kc2Ae<>{R@H4SMQsw1Cny6^`y=XkbtD(*RF$poSDm{4yb}S@> zKjC`#3W?a3C~JZ&>?Fv*>U0_gWXh9$X%|Gdh~aWaKGGe*VXFw_@I}@o3KlhU8=K8` zg`iTHT}YUTxyrSQ{yfry4|0k6mghXDjxsQWqGBwo=EUxdqy>I3Z>K4!04^QI8Nh*% z8oPG@$3a1yc=eWO2mG`h?0CzOh64>hJa4~Bs8cQ+G;DFez~v~mR4L{`vj57+;^1JU zqn09_Za;dtP)$$T?z~Umiwx=Ia?bmysw|3e(5R}8WjM@dwNQg|X;;pmi5KZD$K97W z(31YPt92A{J{_(Bo2Zjl(&S^k6y5FE5oGZkKVCYYGHz6j2l`ZGF2#wQ4juBvX#{iy zzQ=`3z0{lQ(tHzf`Tc~vG`CN#yp zNn2B{3KNq`9r!@wPEfu8fi-6#^8l?Hswp6TWcod*a=o%pJalUYCZ)-Uv3BYrp1SM# z0kq|a5k#=N`#gI7x`w^3bjTu z&T3|B6;n}bW3xp?NAnHsm?~|N%Gbx~L;1aUBUWq`Df++>Dy?X^_vGaT1`Rc-m;ty> zGOw5~ti75>>LDG9+#5v=k=CiS7&5!XTkXjK_c$*5JN0U> zjGUmJq$7NU zXS&O>qb)z)LZPl8_Q$5$BZQ`hhl-3zpKwa@fZ^`RY4|H&QSS}jC4*V16&Osc&e|E+(*6j*tRFr&PXGH&G#p(>BV-OFzf_06+g0u&U! zNqXkq0v#lDc!v9-OU=$scCKb)>;;3;(%wjHh*{Y3Ftfs$$!RVEVom}pzxhO#dY3Adk1UGQ#zQy3iK*O55k7&Iup;{#LeCS!H0%wrQ0+2FW>1NFBz~F7tJ{>@ zp3|gEwkS27I90(OmQYiXnP|&8)tGr$DGgI)Scrfm+*&jc9S&Y++SV1WGJThbv2h74 zW!vjXGQECa|rdj1-Z=s=#GI&d3I5zS6VA@r&?#rK7P} zKA||7>Y`#@a3WqWbJewQF)UxSo#D35tFYenGsn+qyYtX6Q8l1P<1nWc9Eml8xYg^kWU2d#&U;7q`s2k!v z7GkIWQMxm0Iru^0vOXxqdLhfO09h|g77(JfT7Yy)s}`~tdgMRy8hs|NSLy$`1?^{V z;2=zJxQ^nhky}mmIC#aMiWi9*aS7yU#j!-BJ^S0$*mFlH3ZnCH94&~*3$FO}%*VoW z@>xP^fpZ09!oZZZmE4GEV+{f{7v3nBG)u+Fx^oId3S;@f2yOUX2yOTS5n6N&vc1V@ zzE8nhN+^HHaeVStjo)eX$&AQ(Oqv$5S}6vQ%w;_orJOTKG$Iw`Wgc+q95evt+YwCY zAq0~WY-Eo>FpR}i~5N2=<{Zi*aV;Yni0 zCCiL13Zj$$GDnpEe0lBv-Ek0wNpP)W(LIL~Q|bh5t65q=HWKX!ETFiNCvwVKBc-(U zIHf7PMIHX4ORpDRw5QoClD)F=MTisrHD~R>bXQ9#?J3QV(!_QxD%EX0S)6dXIDwF9 zN)h_P98t(;Ngpju39X%*d_Bxv$d`gt(iYd)4yCiaUU{0#B%Y^`X`CRr&Z0~M>->k- zA6^4eLjBt*mt{>7B8zxi`C0ARgwe{++CaUqKt*)S(YP!Zx+=>8IG zi%(c!dpLF?duEl4`7WxN_mi(xBI0BVZtU3&VV2kesYg3xHlM%!myAYfUoPZ zcW~Hggu@rGN6Jgslbm2ee-Z=iE9A)lPX_pvJZP$a>}S;s-#{x<-u+>;vOe6R#Fm`s zYClSh)6J98_)m`JNf|t^UzH~($DF!#CvVKvZYvYkWmN%)l{KgEmwd&4>DrKkIiinK z;2Y&5J3hOz?&=hLT?TFvaCz8tYj-fn;$akW@UOXOm~}i*$TBRcK@76gr^_v6c|7O= z!k@Zrz;#`~YTv7~OTO?z+Hr*0d?%6dI+4ZA?huuZ=Il;<6iS$5N?2jN4Z4q~62vXw z4TNU;Gp#Up*QFQ|Uq|2~{?=;5-(va}>##WL##i7Bw(kPMD-S-7os`@a*k@HcYM#QZdF54R^lQN&n4V; zI?_0A=RnqyDpcA)R+^Yw{>mGUP96#5*iN^B%;jaTb3B}SnRA|5{*9D>Y3yQ2ScuZ) zhFFj#JkzsALl?j=@MXF*+_^ytjkGI`xuxFhaFukac*JcU0L7nO@~y;23_r(O$5;to z9H!}s%SWJ5RV`x|&tjEoe=ZyNDS{X-ZWVJL1nc7VTCZ$sK?J{}Li)9Ho5ux;Pr!du zWxn#ddIaDsB}{l>WpT`kQk!NpiePFhV5;S@@}r6jwEK{QqiKnH+~-(M5@GgwL*xSt(DE3n?1C%2^+?a-N75!u8Mw zZweXyvd+H6>CsIX#O}baxH95`Hta?Lu>K_d?|pfoxuY}mX?*57j~#q1A15|d`uP>C zFw9TshR?H+i+T5PP8&k#)_4b~WeP#i6eD5e)uXazHTdx|&-8bqUb!wT(17;mO0lQN z+ove=tSEEkbuWGy{kkA-wHJ{LgSca!fDn?^dI^r@n12PMGIJ~n?36d4?t}#}a2U$| zuMwjDdK5Pu-k;Z3pbibXJ|?l22@9JH`ka6nO?r@|M~!bpJDK4?g!e52N>T;pvEA@=Pq#h`I(k>|ekUVvJYHCaiyV*(UPw<0Z%1*EMgFnJ0&6}MH%p1?iKHaJ; zmh#BG>FN%-gxC61)cqFs#eNJ;BLvuiUFHc_uS(ftKT)bFHvqQl5xzuGuFD zfP+A;ct7L{B19m0(IG^Hgv}(D|3+Z2SjQ*`Tw0W!Q)pOp1=N@0vkI`qx{5y5L%9BA zo~?mm8Z3e&Q;XyWDS{$$?cz&^CFiowk~BoEu#^V+hL%#wr_ec{ODUz`fWSQ*w$Z^Zj1)RF&fbD z6+sOiD_c}D_g>_%h~S_HcRD? ziTveo%gC1kwkz0hYfl6)I*gP5t0JZKRrW*hef7EL6vT;sSbH^Nd|)~bMU;IJ`@7Yb zE8G()M);>Q&YaTS{mWGjw@~HqjF+nlKN3nzrHo$~mV1rxv$@XUa;MHI<5p&eAM}h* zbU12l*v9dwC3VWQQ{8K)@rj$JyxP4p6aoyZGx{ZKYZOn(o_nDkCG3Zu?G)lF{gvNyv|}I zg>l>Kw#9Ajb}NM+YSp*U=Ax!Azg0h5P2V4BCP`jCdA9^GIT1$#PpzSVcwyk(!Snd0 z#`?SYb^pafn4YIt430(mh)1wKg`3yYhVnccielbDJ!qsBUU$nk?2`-Q_t{X+OHh69 z4UW&Y4fLB@+Q86mQ6&P$`eZ@$r})4(8jO-H_9Z`@$R!aFfJ&w58o`s<^$#+H{X~PrRdaBiR;YaDUZb2gq=B=ESnamhVh?*Q1BgMd*9cMasvaOZ-`WBRvwH>u$s0VC{W= zp4lVnwm+L?7HWs+!=GlnO?|kY_2A*^!KZ9|7ru@5{qZP#8@E{fsOGv6oPQ)06a(AP z5d1vY6w~bcIcDE?&UxRe8yp$#cG3QfjQE-*WVMRt;z7Rw8p|QOJPG|EVLajro@4eD znk{CWFVN|db2u2Z&c+qP}n<|*5@ZQHhO+cw|1 zt7mWZpeOkga%bd@i0|^kBSGQ9HCfl|%YVzJi+I}IX@j-Y#%bdPV_G^!--noKnI+@} zZVc2=3dk|5!ojuv>ov`FWi7C#_>9QEC>#n_`PHn{yi*oXD~}gYR~qJ>g>pj*lj6dM z_-&LGfZ3J^BT`~2iXfv(;b!z!EpOwtEGagBKW1VWV^&C9@I3IEs>IwSLACkKi}YXd zSMWJ4|5)O61q@xXW85ac@wd?0I({v{n&>5|_XY&Ee50 z!abte*KVN5ZI(93CIk_Dt~VO26z1xN%RNLQ)j^&@QW8YSuOQ~_gO}qZxMSLBqQs$( z4DH7LdzNVXnc!e{!hCuNm-Y?r;kwY@mULrX8Mo@cWat|PLz@kb@^toX_ItZB`!5*U z{o_|K%v4i1ckOLxy&<#HqC_0w&7*J{N%w5*P(2gxT3uFSj6gl9Y$QI8dULPQjYxo1 z(~V#hIX~%vN#pZTvVw#knuw?cZ#;t3;#!v!0i_@VEph3BY$-{3@MY8#b56mPMN-4k zR*_1_2~;AI0Kte|?9z)!sj+@nLg(4ZX-xU0MYMz8ucAt+^FPkyC8^7Hf<|DEH^Bhv0*os* zlIxJS$fR{Xwn4+pSYv#T{O`8t>skt+^d6YV0#bz`;7ptg?2t0YLaP$p)Khhl=(HjV<<4p!`KDcnke)0fbALry zTH>y*lm%DXf|P^@H?i{Oiz8x!Hj`**h|p~d9^d>UN27-&b?oULt|Y0 z6HRM#GYIw}ntywPr9vLV2Q1qPod#g832N@J9}RW-A{1<5W)>p2t4|e%7&kftql96E z2OLn_$5R~Jm9tt=1R5WefG_-vgz0$R&{WPlNo-2a`}m(ki+sKl8Xu}hewO!+yHH3b z-<2f66@a^#IO8$XhC?Y)$9WLU)vrAOjDVnq8XC#h*Jq$6usamCUZzLT5|{*xRFVu) z9|xL65Qpp6dedYnYNH1A*-8BO$GRRie8HhW)=mXEIFl__268nt;@;DB zgf@;U+w^;HGucoo3(7VmQbWdx`RUsC754s=sZglK{=Q*`L&~y3dePT^rjFh zt)P-Cui=CQd^veD9;fjwI|wI%g*7M+!Y&bZGl<8iN;Sm?jB0N6^xbt1-lSsL%mzWV zgjqzVqUA!v8|FiNWz{IeMG~iSVCB5g(=N<&SQxZH>8H<9o=)vj#%74&kI`w0a15tYaMyL59-U1nBC?$v24@AgOH<>ODQfc zesw__Dc^753|49Y2w&cTI4E_^oABTJ-WvzdgL3k8GRYvZ%o9Rk*wyv!fsFRbp}QZi zh4_Um#CN-(Pvf`M;2`KTx83`Ic;Q4}74!Sr_aHNZ12*&Xer1Z|!e_FqGosnshxcVI zsXiO{CX|68zX;FN@Myq}#E_8bp=JN2hS)Qv<<$Js55+c=2#fqtB9v=%-VReNv{oK` z=Sm;i%&T2#e9?{c^vPRxSC7xzt`UYRT0s~p$qUPeF*2igNY4>lXs_a)E1EYQAyUa# zQDu_}9p1rtXOOHTI9Gm3%}X%iUs;ffkDyI^dTx+?H*)lA-8A>}3#j1x$+x?~W>Ald z)TT0wfm@5rer<+jTt@I{z~`&v{ty!-PoZ7q(*aI=T9>RY4Q1*;k-A$Wb7e;QC6=J= z0UfqctCln@$F7urS3{} z5IgP?9mM3-pW{Zx8SZ>6Cp|!E;zv~fsL{8X!-D1(C$;w(oLPY+z4{rVnxJ4a4Caf; z$%)9#|6qI+6kB9}x$~ri+pf``*>O9r^?0HhI-X9_AU~;2DJGjmI0%nn;jQiSM;^OGh((CQU0Fnd=SdYSwa!xpm z;N-3`8Bik}XuGTa5VStKDY|T{>@s$j?RzTMSjT_hKbwp3O$#)~50ffP_)kR}mNKQH zo(nKWhS|ha+BOCysW3{wLCvQ30jj}Z1U45XhQmC<|CLN!12!^BZy`-ID(|ie%8(w| zE-g4nsCVcj$~VX7F~6$FmC6hU4!>U05$tZ`G(y~Sqq`A;7%!co>*#LV4tNdG{kP8U z&d%U25P_LbGXmnG#UroVMAblI^p%XV!;-^kzm$?^dM<`#n7$%tlHVPn)y^Np#UdaM zZaUK8sai4CDkXa-HDPZy3!oArQy2dtaT}WHes`@XOs45 z)_Ni+>3Z_&&Cx)G%TF29Yp?uld8A*;u=!JKZiRi2ThPDAR~+uC%|?Fw*eTFn0~i&O zPLEO)IGQ#!@(_EHgvKdjRE$uAtk<(?)p1NxXh!yi`*R5q{;Vd}vbgmj>{MA+-g+6u z(yp6p8e#FpU3KrY#6~r^6te|?$Dj~^pZ%26MNGQ|<9ZfO!{L$_^ zF8&iUfd!0*B=U(hf#fcU4hjqcMnf+dj$=9S#^_eB^v$*0tMvUT`CNNsRmT!_K)Ab+ zhD*&W=iRt#C`#t3lD)-^(EHPQj~ZNTb!W}=hBkp%v**sh?0S0vYI;YcC_G?|a&&jT zJyV^yYwy*&4p+=!(N3!L#4mMeAPnrVlK-R*0*w%p@9;Ajh4EX*iM2vh-ixGJt#D{^ z#{qt($H+fhN%w}c{$mK|+$P~XrPN7ECjX4-Be8sG)mCr;I}WA{7N?v(G~hja&sCUt zrNz7kokFA^{IA5srSn_*g}6siP#ZFak?>?Zd}#HqXUOgNFXFDPLg4@yoEnSdr|+{0 zEJtIQmjT1g4A8-QIKZeYMDe|-Mij@8e!jEGp!k)12EY(o!8VJsnKS97E&|Q$B(hJz z>zl|e0jJ{Y6Gg0*>_x=Ly^))_ob6=OJs@M0zUi|smT;Z6>)Op+K_T~XLoK%pW>Tq% zPxdIaq#Gq@wCMgCV*$IL8tKF!0mZwpmLY~gF$Nb^mn$ZP99{J0VV$@F-k+d@3McZK z4k58$g?`qXYIj3{!*JcdH_d5CL3&TR6lF@0&27u6BwIv@2t9oa|D!OCh-?k4hn#d; zD(;B#9Tg|u4no4Y*%MH~#I$edTSy!jxW4kdDHZ;r4VX_sx1`nX6ZVKSw-N*qZ$iW{ zDC(GN;UxJu_*+4k&STXjC(IK((aH-s3(49>658$cE*)H{4QWe<6x4Dfmv6w)XVYyE z!Hboi%FH<79)=YI(Y^f<4Jg3SytGk&7H-y7y8FaMu;d}I8;zZpgS>|e8rI_i4QhTI zPtK2DmYa~8SU2UPe8nRR{BRb0J&+80$pxdP`ZG5VardpbPYT=5j z>edLXqjf2i^OKqSX$KZM7TTQ55|L`uG`9%H{LSBdqI5?lb<$Cs()eRnSe#;5xf@rq zMCgLr+FkG0W4#3gGUp%TJ105yGkdF&Kj+Vu+ zo%E>Pa?IWKQpW|m`dD)(`T5MP%9%pnhn0gU@;;_<$a;jI7f83k@!&K%F8<|C7*UY>UXkf?}&-iGm-}1x8n`E=)nFv zIExm!y%7S57jcM_@8M$dNV70>T|4ozwxBUJm2+RrV}u>tEoJxots*6i?QnR+{wGI5 z$W|enLegpGMMZ5ITld_n>9UD3Y&H+X>1^|XYQ>{RcH<;_vem`g<$eE>6cG3rg3rcp zDK`}Bw|4N+{&c)c&CKNEIIRrP-4cLAPn-)~B=soZxU2;Lb3$CWFQ(e+%~58+>>cc3 zl=2oc_3r=*r`y@3>VO`oO0mv`o~F{eSQx8>2$$L#OUkA;G@3vS-eC*Q9dyJfP4JRKIIcsW`Kk}SDm3&bwo?r3aS zPf4^gdtdCkO>6`cO7V2%WApFIfL$s7rx~iiVb^vsxmPMpZR_C?w?=W+z1bxCFVFG4 zbP>~i>_GvaR@I_KTuzJmW5ZL@nLN`Jy#}_~aCnGhfIaU4jpf%&Ke$Ons!=-y15@UX z$X!Zt(hE`2!&xy#RbzVzb9NIsNl9op9kf-JZEWy1v_17hxpW(@8UdeZo0NF+#sYWn z7bj@AizkQz@*xl;CaA69Vy1HNr(FMojUK9vDN3?3^b5%u#e^>6GPDJMy>mO45Y#)< zZq?jbbYN_7Hf8O81LCjln|u!v#sy4xj`HMVv+P?nOs2VT3ULZVGc-dGEAMuJKfV$9 zR?)CSy4#?=G=~U~q!T;nyN+eIQo%kVTF(7~je%BAfC#;4>t)=Z7>+GL^#=Htb7%!d zjB8CJd_{)zULh@({CDn2@-N1Y!<(zS^p4S4W&XuL7otFL6BVtE{hT@nLKQZJWwHCM zTo8pBt)6B3oe2WSz@#YH1;V8<6?wG#!CQL#+_dil=D0@N)xN#;ObFe3BZR^SAaiJ# z*$OEEVyEP!1BMV6k3_MyvPBUsC4j?UT|-}dwJ)Ab!?k*$?2Ijt8;#-@>x#I6brLOv{-vDM&pbLS_#`pthw z*nOqHG^z{y(Nr^&n{V~_Ll`+Rk337)#l5m%*Dq1<^kx6hbM&6QK}p5fHKTdN22Crp zNwOnwDqZ%7nw9=qYAMrRr#!2cSA=Zd&dL6rXzkGZEHSEX$|6y515={n=mx6VJd<*u zHzBsIfeka6ATuJ$0-{0GM(rlh##BAp>sPMTIbE=p>Y!|fhph>vYUxDZq;xDZ|CH*AQ7nSP(O6Qitbk(k^lAtyo z+R=KhHQJJ~()yx?&5fd@F`G*$SesGO-==)^#Q3k9P;_ke~L`?Gsk>3CNoqn zOwJ_;4FB``YdA1QJ}HM`&^U%MbP)dsy0>Q^kS22b{?>s7rP?`f6MGM&Ef?yO=!jC_ zUXhXNOo13Xj$KpYo{q>j@FHV~XM9b%Au4RRpZdV)G3TtN+V{~OYMG>br;fxS+)Ep! zYn(*;O&PZ>xb~Fd50zb66Ikj-g~9x6YV7t!e4#Pyu*$z6o2IU*!i@sI+AxafxY!|7 zexni~8=Z0PGDa@g=mMM;&yKI&$9hcIZ*curBWDZyr^Y+k?T0$hMvFJqg(*-5!C zSh^oM6W}#*o-mJjuj6sfKk^W9BHk#FzN)j^#G#LOTChvxCTp&i7c#WR)@{@o#J-qbJ{xLNaXfiz;f{`x(JX)=FB{#~>8 z3LO@ZWp-lCGSy3RUvRJLv&USI9}@flIKD0tm^nHTAO1dhX_eUAprT-5t#?{zw3-Q5 z+BmfREzp6FIe;!eaW$FJsqU*&yrgGRgdW(tR5V&vnU(k4JJ~FCu~USgJsvyO_mK3i3yulu4+kRzBlji26|BE)Bf?33owz;;bRV2vBH(v}V>5qzhiu7oLJZX%ue*8Vv@Se3rwIHAeF{5hK^jz6}9U~g$;-=cw{W9G*BOZ4Nf zde;>3DjR1QZsuTy^9_CM_GBqw9U8mS_6!`t7^clD1#U-Bh{H1G4CSo9t5u;0uaL3lkieRWgto3Z_TPNcEtRaWa@VW8 zq^sh-*jW^9$;sfW@_(SVj_|w73eHll`n) z>l4PqTH zGFibTxt6R>fHSJ(P2o@uOr_YnJ3oT;9!E|#h;AD@V5q= ztq6NHb>d#hmb(w;DK6hD*% zl1((6O#?&smJ5X0wJRV}fOO>^lp6!cpc2o5#-(#&=zb4otTBw}rnzGcV@?BC4_5MC z#WvTFQ}za+SA#N#_cr5FA;ef|@({J0biq(m30|=Sn(ojZX73`R7D!dFW8sonfuH>w z0H+qjLgGLbCtBMMJkA%(leKT+g zdt|EOr?77Wi35U8xYfF(vih55h)A|*1JvmNQE{_-ky?+Kplii6bR|V7>OTy<1``L8 z2J*6eY(Q(PxQ=>itPY!wc_Rcz7TuJqm{x~;WLF%#hE3zzc!qza?G?Jqakhyi z{fTgdOzUtZDT?;i=+%UUnQVD%zvcFzn9U*Zcal>HT>L-7guQ}$_KcQ(S@enA_|%7z zlOmds^_@|)Vc7Bx?h7q^{$Kf;P)=K6MHT%5h&$Z2EiW5+Jq_CiPe;sEu`|yC!np!< z^+ZqW6jHLfKR|RKs)=f-&@pnTm_3u#5#l3kM94i#toyL}U(NB2@;cU6?Nue_}W7NqT{|=7$*|w#K_39rt8~ z{HY{&YMh7>w=-rO$((!RDAb?e2!9tUdTL79&)~jbXzx|r3)jeLt2vpA_dB!G_kdd1 zQ5%Z4W|m&ij_bvW%N5vLe;q3a1q7ja;ttK#8$MuCQ$w^STvPgVSf(>Q!ob7B2P0#a z12-|n$(T5$mdOBDCTf#fN--4yMsN9)k*`@3A=}`m#7GZAg~>$ke*IhO+*OR0)do3VRRk1U4;Hn5-MLKCYuw-FR5(NbvHjk2YTASM zLg+y_=!v|rY*O1fey>gSm16v(PuAe)5nYh3DG6fiF^{1@;C9D&p7wCz5V?c?md0-2 z51T-Fnu}=Of<@YA{+YpAP!Pq(CBo5 zr2Ce@*Oa6|MyjCGDk$^0+G%x3vf}l!+&F`66?G1RRH&5})NJe@B>`FmH+1X}rwYVua=I32=t1VbtgNX7Zg4UA{#D#4X!c?xU8$qf_ZN_O z{8v7~`14gI7%zOemr-DgN|xXEYcrn@Goi&5QRg{t8-Ueu|8RYC%>?$VWi8Oo)WM!S z8W?;GzsTGLyHaO9lNrQqSYD~vSOpuUoXJq8R>W!u&~!|7>kDS66tuu45;I1K%nQUv z9AC6ROIfg%N(}gX#M1w|iqH(j!=kQ-)sUxRHuQ}Ou}n30H{xNl1w!iIQFiFwSm?Zr z?Zjs`g~JVHczIMg+B;H&-||}@`7oo>`Y@yF=G@%Hw7GW7<+krnQoGWf;1-jw%j#N- zbsdXMCERxD9%cu;thRU7gu6wP(!1#47rl|2A9l0c7u(>lb;~X8OU7B1ozlnxrJ(n$ zORTW#_9_arbH<} zIr#*6i5^x)AQ2ECnu^vhn8JXcT(>Ab(ib0*zhz59s_6L+gK~tHVsu}tjAxJISKz6? z!nf$jQJs2Que*`gyRU@n*002=O#`A-4qrnV!kGO^20yfHD&tuJ1zZMA>1M&F(?^Q1 z!*IfI87hK^7=L9!ORbN@7%_&6f&N3-L1Fr^NmnRvG*G&=cgDM5uoWh{V6dleAa|Ya z=Qm7z(cvgM6L!g-HSToK;f3rry|tNohj5|8WDAn-paMEV8Ae$l-cx zIWV-8Bq#HZmSz>&nBJ&sPaQ0lhF&hHXEsB&nh?ro^%p*ND0ebsgH}!%jPD>k1NBi$T5_AsM@GquDGJBVt5kl$<{(R&^8<#g8Cc4~{O z<)Rn{z{Aw+%*T<{Wn!`~XXDI8gnkM(npDi*3D&>Sd-~`!0Zr*Q3A8FtzO&zyDH%i; zT>Rul=-ei&Lw@0EHP9!br?Hm}rqf+1zsY!;=9ya+ej|Jhi>u@P?Zxs{P~@^P&k|NO zbD3L^vBU?lrbp@rrF~1D*S74tMHJE17torwP&(0CT9j*4JZ=RE?eUC?e}Jx<&`*qi z$_zc_@RP-VBn(s7baW3(@M>Q+0&n{c*_+vM+LXaEm&_thW&h>l^FlYxG){a%7TWK? z%G!P*;Kye0!wGdK`l)#j3vm0Yj$jMFEQnJJ{4!@yJ4nUtoNm4RCNnCu`pOC8dFFLg z26sOaUa2Uy4t- zjGS>o*Rc&mYB0)AjZZSg4??!0+GiW$gB4Gd#|k7${F?sFA02FhQ>K*rlp=fz3*pE< zZ_&cOI}Sl-J1_-K_FDIV3g9$_8U*oy0O1Ho$L^wvRs86t#jtuI8q~RjK`*9g8ZVNF!DjFPzB*=p<~3G!U7B z1yQRv25XGGkitv=%ceiMOz-`VwQi64QD1eAJ}qe!#GTRops~=P*R1KI)_L9{7yDST zIXaEyzD$gB_&|@FF()C5HJph#F7kUsK7D>p$~~bzL2a2;-MDGfE#-<|8T3Yz!s^uS zo~6!BCgs%dG%&6xKNdNj7h{ZU5waL=*b{P#fYr4HaKIwpcxw!a6WRtiiO}gk4x5FN zWiuY#m~zgK*YZx%079{rbz_ohpYedDHZj;&GGJw*@TUPgCykecu%2C#0n7xw{4a)R z2uvZLQ0HB+5s~Za7zJ;vr}XkygrLVv@QL;r)u~|e%e__Pl&$CLW=zbZjV4_bp1yOu z*o|AeKCdtrZ!p>|g6>!GeQ-0A%B(Vv((_-%XT`wPf4fO5s#C-LK~I30=2zsmst!YL zl=mv?b?gQk6$tq8|`62Yz=^k<}9J#U&gP>Zlc$PuCTqFI{!=?B?r^agM2;eXf~SKkJ!ZlZ-<| zoAu-!;W#)BhpFkLA~T@wP7#tG8Wg8M#EnOpubVTo9#JKvU}zMVs^T2%;2y}qEC){F zS)euTx>&!F;j5H_o}{K#DvNOYI80k+ndgwJ%hC6aUNYClCJ6GyHp|k}8Hwt}o0ju% zEfi8ovBqt&ONK`Rh4Tv#bO#(Khk-gI^N|baUwGf&qfy=+zM&g4m@)yiG9iQZk}9kP zUX=$B1Q%BKhz5}qc_5uwpXJM5r;~ZDRa|8VCjt$Ijc>azIHxnN*z4e_@#`&w%Bu6qy~lF3ykj24w#l#5VZXPSmFE`p)_?xGhWvuqpNZ`Nfy-!_c88nHrYy z6+}=-&M)d;;Ow;7%y3snhNCGBvQrE9-tsDjfwEHZ5|XydwnAxS5p=Lzmg$zt)2yZt zDTHZ38Sn2X9S4kF5Y8@mAn(CKoyYE&Y)uPy&JaO8c`&V?Y$F_ z0xg4xX84YHBoshZ;I5HQ6WsQ?TyL$b2C(x!EJW2@hQ~fhE7G_ESzqc2pE9x3gp+8+G=~UN%xkM9|%WWSbD6ULa$^79Ak6D^8v? z?)Uq37t5sUSV;YHbq+Dk$jTaq+aD2xxxsw<4(y1eWwo)FBY9?4CBu*SG0h8SD-IfH zW>co>KO&rvCGPo$H~~f&3;i|I%F+}QnRT2$D$eY3BD}O>w5!l*n4rrP**mby7Ir7wLYLT%J9X zeoY0Jr5&~NRwniD8A6NgJl>)(Wc8p}tJ+{FMv89HO&d&CiTb{F`$7X&W~_?di;H!U zIZ-dhO=N}M&_Y(%?Y4!P-Pp0|=1pp=k?qAR(Y`e|$dRi9nHdT%ot5KmwClN?KaGQ8 zm{OCE4rW5X`bPIUEL9A;CG1f^uAQ}+0(q415q={A%&(RpzvzPTt{$$PPGI*x7{cat z6n=8&yCT!wY&_Accv+CU$WR6q<<8nS?wLOICYnDb?7T*J2BMWvkA|bg(K?j2ubb#SkU*#LT;TiJt`K@ zcPZbwidGy$!m&66E!8)yB9RCV9V5q6281PUm z0`%(-6-Y5psq~_v7RlQ;r&K&>3hLdh2pr;E@It%@g)FU`YwlU#P z)OHQ^(WXHeKW4l_+Q^#TJc^&tH2LP0R#~(4`4FXtR_U(!c=rKF^kdH<;79m%m+Zmw z)smN(tDza4q8hT=*ny=TDp!X|%9$!RdUa{e)LDncIeKmN@-h?O9C%uZ{&~$BM5;RO z@j`b0Of#fbadb(OYuVKbK(zZX2aB_}0&@SCv(KJi%`xlskGa|q);M4f_AbY0G6aWl zTT%4I8E|q_%EY5xS#chNuc!t}4_Qa?ymS_yF7t2k@&eO2w!dprEBa2`(fpmO)55qV zr(&WWO;NZBM{Y~JTVW(^{5NtQLsyE*;f3Dd3}ll_3th8+0`_%E-=>N9a;{vhjrBG6 zH|Nrx702hlq~7{y@%j6s_OR=n!-qc{V60|{$1^#%Wp|j6N|c4cxtHVUy1of;%jROn z4?CT|7x;d@-i;A`ss(&|3TrDbQ#}q^kgvec8RLd?Wv-?@9FS<(b+N-q(#?$?uB$!t z;GY~|OO<`G0y+T?-xy=USgxXA2eF&+Ob4-jm^2)pIomOe*0B4qMl1ZwTL^Y4g}!}v zq_5vbJCgP2L;5Gm9{w%tK8tLTYfmMyGoq*OU8{Hi0l%VbavhKKu0(6e1AqfxFQCC4 zfS~U9qfHQ=F_Egz2N89hC#m~>dfprZ zWOu3W0IK&3Cn|lvPlY*69dJ z!}g8G9O`DE#oE)cvqsup79Co>s%{6$yYfGQQXWE{g>234wi;>*pZ*PKoeMdR2kTrB z2Zp24G!a4PIh-NVVbpzh%fu8^9$#44TamM*YO$9zNf~>6xh)i{hwj^HKHR9cX+jo9 zg$}(Vq@H%tvFSgAO#!_*ztO3uyDVu?BPkutK77C1;`hqiNtAy9!&I1YvjK|0q;y}m zhGrLSAF}kwmu59Z0(uDYPAsIVyXnhGdxtqqcZX&RQ*(|dr&fP1V&nA_UL6t@y=j;D zhm{DH`J8Zn7|)Z!n31&8Rf}a6ir`93&zdrW4ND8Us0s<mVq_^n8YI)Tj69^AEyc#s=#u$I5u`nP#?_y($U>DQL0%;p)Og7}?Rl8qD(ecezC zCOVNFkIxrQ*-r0h*G8ZKAiEOS#UpSeMtoP)HUn&v^>j{3SQ$Qc%Zm`DgGBPo#59U) zN)m&?l-c`)O-+bWT0Kn`bc zWVc03Rw70&)keOhhFqw)CG9Go@-F1|D4dF6yT+zXD-rB`mF@SNZ8s z$>fWOWP>8XR}&$` zhSkwX%LPe(!KG?SS-*#)_8koH!wGK$v$e5h1QusozNtC5`by{Fx?6hS5v*+p-4kF@ z7yyAU``~{vrGnCRsVpQLPes@U|B&QfTZJ|k+(l7D@g^Uqp09HI~}VFRP+{NhO{?DAq%siTG(xPUs4`e zT3Osmptm$+F00!UzxO~28vxxWlh#S%SMXwrr=I0v`h~3qDPaiv9kz#f>Q=*xnZ%yLKbq$??f_W}|Ph;tKTlrxMfoEw`Sj-w>771&fEzUpgN?;8 z9b)RZC9*`E1BOYD!w<_KXwr#9kj&_g^rg*FXL+dU=?Z5%_Pz86!nw}{ai>{!I<`U3 z&IB%4fSg68YDPxkCtJnKNNLaDO*3fU3MTvA80nfvjiV5)2euC_!{MNtox8nH$V`%I za;lL^Z+U&2YpQ)BA9Q3`+k-h6m81~c`Ss(3}_$T}>63Cmvb^G*T`Uc-X81}}nx_^yYS%vaqYS$$ixktSZXCj}@T zOXJ1vK@AnY&=g*#i8(4NghvUz0=&gQcIj!izJ1Wn8>a8r11_P?^#gYsk~m&I^UH6? zKx4b_Gf|Q<7lNoDvlKb~{vif+?>CJg|GsI2eEW`eDpBY3A?bOY@iSvJUj>h~RFr1< zx(8jxb8NV(l}63+;+R@_hIl$Ek+xP^|DtF)%DQXW`$#|yyvuj+7Q$Lm2y_z+T3$!_ zo`KA<70roNt`q;lN_i)iN#P?R4NBdxwi&gKGBlO!Am00KtImOXs z3JN9TW#}8Nx&JXJFbU5pp`{Xn7r@7Ww{(z(=gmP&si^b8&cqs=LSInrbbD{2u2e zF7xy7s`v4rNB8mYOPd&(`rBph@@|*~8?v_M zG0SJxu445%^PldrmpIh%PV7jNXlK5%B*S`;@jj_2g+HG?NPkkZ+RzxNyTqP88hG5j z`7+)ziLuS-jK@diKwQCFKAQSAumwYyZp>6>)4?(CplxBZbUNU?LWzv6{gOqLUtorp zrb`-gKr!kQargxSs71-P8B zQ+*HYHnpR^s8VvVU@e;X;lM)CcYL%i6|r7o#uBdV^*NWIVLHoyOl5XSq+R5n>toJB zjZ0cgGC9e7>I}}E$}%=4OHP518a(#&e7AEa=G}?lV@n5V#J>#sJIff+bj=kt5L#i0 z0w`0c$NX27hpZ+U9ZZVS4xfJ9Zq#?!uBf0YMM_0C&ZoTu@*dx2;<2Jj&4w`U;vdbq z#^5F&@`t$}fOgbOvokpM#RvL0eLuuT)HY`0seW6zmfiMvAoszx)IjZ&_#fYdVkEls5+6*=PRfcA$1@5OGyQRWZgzTW^|$~T41O6xyViO74`$LP`-5kgf1s7Sf$W6 zZ33m!61&gQKnsB1IJF*qpYN^Y4`KE49-IBPpZiAA9eGC!* zOwYYySN8pQlh_KkQRCw0*2aN@XM|ylyMYL47?Hs?>W#mcz+#{L)q`;J^%TF(1u7?m z*G11N9*hyQE~DT9JR;w%&pO(g_YWvrul6V>)N7J2fBcYaWWY4NIRo6% z+a@(lrOPQ5Z!wHDsjfb{YzjO##dp$tx^;r7T#IBQoq1L@)(`P?k4|D`fgx4tjb4NWyCnljZG&6ZW^5`%8Ez-nue5Npl#t%> z6vlpB6)E?~{9icbr=Vxv`CGcW)o1UsgfRsa90H5b0IC{6xpi9m++%QYp2gg%9(ztG zUtsBR-Ua?Y6S@k0;T3*|lqu5r7jiLXGF5jiX^ibb{GjLBpiMLPnFID?5C#71e>vM`0ef z?wqenkEatr4WxKEVV@Gy{Nss;nXDdD>4xO2gX?Z+e+B`#g0apJDuO!>&c`$x0T!ep z-&e^@TmkL-YZe!lj)EkM+N_TRtVi=P|9a~lx7OUz$JSaMRIf)!Z6Svq#YoZ`Y>{kG zu-1dsU-7nZBc4Q&s{4I@Xr7kN`C|XNvh1+5)H5(n@9Oto?cf{fFOUw!j0)ii_r(WA zJcQ16L?E1F4zf#1PfTlz&70mWrekJHO({2C)X+lE-2#!N5=pm1OPLQGS4mlHn&l$1 z`YE|WJ{xvup!ynsX3Uci87%h1b1Z>5w(v%MQuo5l##g|LWbQkaOCxPpnTv{L=}!Lf zkOxYV#os1f#SJ@-I53@dL0z+9Qwr5((FB7m%>{Pb!Hm#|+H3%jdi&i!Z6;;i^1PE& zNmxz_@6sw#p}Qs|C;SI-_N-QGNAgngcv9EM?_x+VBEy^&mRQeJFaOb8Eb@!+)eImX z%I95Ds5%>#QCu_Y%ob7!lL0K@mbt+oi&~ivtQsje5oKXPwoFv(S3P4yh(J%5$a6fD zbO~UG@JRzjduXQo@e1bdIPNPOsaFsYy^hr>-{>IhJTWy1jrX~w9CP@FT|`EhJaPCw zwA>^sBj=-7JP9uw$ zb*Tu347Q7`8(sq?KxhgH2oLEZ=4T6WvG!{#*iFBmFg*>v4K*Ht+O4&^DU2Yfm~l

    stw5IL_atYe+FoQLk>rhU&? z$qo53#VBuPybNLA+|pu|JjbqONib74o*srRjSESxPC-8ul?hCX26Jm&-RTG`mFsVY z5A4}aISDS%qFiZf7)eX2m2e-fE6 zj#?dmVs1E|_;Bu~{?|pA5Bn(FZb*shlV1lwX0cviA7rBBlxF`A(M&p8%pP_4*Gm`G zaS4pOdeGNPZ-a^Ii6ZQV$mRa~r7%d1%L0-;Lrn=^f5ZP8gs^zaH6qqI+;%CnDWXL; zL)rs_OjM&sph7*vD@w%IoMN@Zpv4kL%*BJ)A~l&=_#NoHh^A&J@|3-+xp+*?HmF7K z7`f7>OlG}|hUwx3v8l7XYI+J2$>8jfq<|w|^u1$}q*$KTV8=y(_o%;(Q|l`d31oK) z6hXGFF>*X9zwoD^3zT^YI=BSuAESBVAEQ|ZuSk~$e3`U~8w`8}8@jU^;7#Bwvvt(A zRCQ_Jg|E5cfpjQClZJ(E5>k98kAvmC5s8DyrVpzeqCNrG?5GU`tT@A4 z0hQrGCdL~Uau;j=f#bB=KtPMRs$Pjg@)G~epdZ;y;%nLU3EvjwHlSl|d1Dm|^>c33 z;kkIYF~(5TWAxAT%7r7DlV=+Hu*$z26k+3K+H{7wJ)(`^;w08qMJih>LIyeepLGHy z--`3^W{Iy_MY~$T+}Z>|`2sX|A$rS6*$?!ZXZc-x2-&`)zp8H~8_lv$fLIPDIZ}?E ze?NA}DsY78|846iANfAPjZ3@#QJBUV>ItD&H- z=JicxF7t`a6|qr&1TTjztmn4$UajR$$Y;fX;^V#;aLj+b*txyp~0Tcsz*#?>Dg=H z#gQ0puIiCfHjL8+1J`&!Qmsz8SOk&ipianIQ`qn~Bx)xm1pT#?jja>fWX}>o(0(yQ zj-8yDgVj5kHuKgXT@>^xOumduGFs-)gAS2x=$aW1FfgYKQ9y;su0oq>_*%^B9(O5# ziJcD*FyJV|Z!o69^b^M2P7AUMAKVZON#8>hj*Lc#W+KtAYH5ksuT{6;IFTkT5!F07ofTI1>kC5EVdy=$> zr@c;C{^NZ1SZod2tk!-lky@ULpkrKLWNo?(Q!9hFxpR}q)O@NN(tIF)r8#H~=P+u( z*oGpV!#15SgsJpZ-qPp1V9F&qO=H_sc$e#ql(c=bca|HnkeFNA4hz?;n-^F)%pd6< z9c&N_tp76+LKnrCb1-v!j^rzCEDTKJUz9CqvrBq4&AD{WMk~m{+n4w>4oB!!us|Zk zR0wvt4bB6y;W_P!adoph{@E4nl8ezJ;&#UBpJl+6q%!nDr@6gmq9016q$dwtpwpId z_6Np|w(^`ns4@w>asYV5Qugt2L=Ty2y>?>hXPKc{!V@8c?lIuV+0PZ=+MzNn;M+Se z;JUPZnBtj2{o@4)5GLw%(V8G&-r_<-ytgs{GJ0a;B%z%SqQfFw{{>2?ZuZ&;J2Ani zW1fD~z-gCrfY|eKYymX4R-vRgcexq;-NocsL$bnuu68faZan;VXX3(2!hz6uQ+c1P zsdo>UuG~59sB@8BibWQNLGZL1e4!U`p>vSm zZV(ON8`g=ktTyvR#Kw|d#b2L+u}QIDk)DlUBZGa}bWp^ZYT|WOax4V@G=u`^Xi|O| z8HWujlxrd_p8)cO2&9&}$z##Y;&?jp6Uy6K;1pi;c59zbU3gRa$&3ku)MelUpS0OC z%=MsTS*Qu$iq-z+8Qx}Cd5gLmw*aHv+1(n@jn#f!G7QLMR~Vbb^~Vo=0*n##F!OQa z!tnG-f7iB(pYAP{)Q2+A_xrNe_kELZ@YwFRODG=lL`DLFf1D`}Tq4+~M+$rXwAxoh}`msygTe5WpSub?Z>!wZ{TSpLk}Wmb^OnGrp6hC@&9feL)9Lo!TpvWWu|aL;fFQ=MY^96K&y+ZFbzTo!r>A zjgD>Gw(WFm+jeqe+qV1lKYi;B-lW#5n$)bSPMy8Kt#IQ}=~VqAXQ{kIq{p&VVO~AQ z666rmH4CRbKM=dd7jit$Eg-N1i((z{$z?%D= zRDW!;IG!8HK<`TW#gC_ouIbR!PRGzEzYLv~9_MP_LvMk&kHz;!UYWy}tKyDFm-&sR zH6E3-#@+QAUEMhTCt$v`rB!QtX%sh~6J7ZgBRzmU*)io3x+Ij<#<3!X;abPVqG4|GI<#! ze}HRaQ4U8R0q%_8KB?b*#wA`kQw7m}hf6~Oy^349tomO*EJM<=dNX6y$ zzrUJ}tS~E25kuxO!HnnO*s}M(9t5c}$pX2(Qqei3IpeT7@3@J@aM9;hM-R<;-*3#u z6Au17j3toFeK_hACALhDpYU5Nn(vuqDzT@rOjA{EXB-cm8y8v#ZK9O{VM-P`L2v^W z{5`JRK-q0LF|$m(WaYmO)@1&zriQ3Xy$(<-XFW?n( zB)(R~a(vA{l`Z|e+>o46gnKc4A4HK#lThF@jkeVyemOMyadI31^KK3XcrLm0bG;cV*@?-EXnRXCe{XQL&fV&@W6f*R*0k-j%*N!~K8p07_gy+Ir@nV4 zt&_Vwxu)Yx+lmS{$J0VdItBE-JR4aHPWNd|^Vcj?X=$c%Dw@X0+M#m^XGXXW&dIr# zo{sI@7O>6)6Dju0n0G|lHgs#r3GzfiewkgIX&^_g7c5*mLz9FtwEXuKUGqGdE}@uZ7fuLw;s;m7CU#{Aje@Q+_A7mGRMUw zPLIQc+^c0~sqTtijoVlD^z`|3*yyMolFv0&$XQa9SD;zBISZxi>iU&LfqMxS8t7X4 zgXv1gCqI2)=d2dZhY8odS!wdn@&JUCOT998=DpdB1txrEsi)jY{453nF9>_PgE_Q& zDzb->Mdn>3h66G-rgj5+nR+^E8?kyA&Zw9m3|PgeDbJXvZ#e302VlG^Ge27sSVWo< z=23(&S7~}yv4IC~#{HrLJRORKEhF+WVX~|jP}pg_v8Li|+MY}P!~wGyfTXuk3A%)oCP7O!diBOZENOP^awOo8;6oM}6ICrQ^HVRgLQd3NiFF7Q*Hj4cr z$L5C!YD>5Kd)dQ|f!}()^qjNU*k&iRaWA*hY@ukw=HxGYBadn?R>o09%uTLluGP13 zDgeuVj`l?>+X1enI=kY9u{{IXw$+%xi5;r`#8@|-9>8>s(9rtM{t~W{`}TlPQ@Plfw$g9HC;z(^x+*Djn%?R1MdwIr<;LaI z#H6)=^Q239?Fy8iS9pzTghy4I@#k)Z!(Ai%T|4G@z^R#fyCmw1-IhG6u-TrqnE8Du z>7AJHMJfLD+52GY#^y`XhH&pr>ZWgr?+NXr3h(!j-Hz?5pQ4al`$RdZAkS+fsRQE> z%k|r@Tv*w*7MdaD$%p+;WqgeMSHu$5ux`@*c1(f#jVlaq%B=Rx(CMD97S~NEy*7xD zQZCZ|V7#gEHnV}v@z_8jvPQF%PNGZLExAzK^%vWZj2UmnQAj6PuPA{Ntsgh$t^Q`0 zWnNRF!?h$L>5Gkec5L}e1}M|hlpABhy7!7u8HX)b*0@G$bg{Tb?>ZhAY`Wafa69+z zk`-vrVfsd)zgrfitYl&2n-Io?$O{>PZ=*57WAyzERxF~ArNraOaZ_`)nT8lBO`PCq zy|+7+{Th3<=;MMNCzSO{Pd62i!9M4fDS<*c(u$+|?^9As#?|C5Gfc5Zo3)$2mAPWT zh_YJmrKT2)_lQsIf0f!l=6tH=r7cMFe@)ApT8*5k=c0T^*kw{=IU5^Qo62whS3<1} zk44#}2)EPohB;KPcRS9?TsV=2A#XZn9CH-KR~=hrgTTM(y1KJFGE+y6-y$ra@IaJc zu^&5HEpb10V^xJV2>A$XKH}dpif*C*QXwZmRDEv(vD!>uz0@TB zEMmzupu_atf z#)zq2+J`QHYPtx=4S1WeZzGs%DkJ#Y zrTR0y7?kQ8F6Tt3#RCJ9PqkXPn*Y%Eh;J?jbN{|<-WuXp~EVCAuIkqG|#geh9B!$%*8F;it31fc5kS%$WV0_`%bKE;3QVy#kVmC&GDRAqcYp&Kdi%#BFK>>{5Suggi%*q zzz3t4z+frr(D}(d91OuQSdFWC23oaiPMoY@t1DZjxFMB~FHx&rFz2p_<_Fo`o4YX8 zztrMZ^b#bi_KpUSggOJcIy~1AFC=~+3|dtS)7gv#crI^%S6)%W6IEaFJn&Wj5m&c& z-~-Yoe<*i?9g{vwha*ZsA)OjM#CL51MnX@zPOgrX@o*OTHZT`4IE8M2F_WvrigT-O z!%T#P#cj&@no$q=yss%E^mg3B#*`Ew&seaodrz1%+HO0M+99zO5IhRl zxE~)dco>pkxcv)wBAp+v9*tU(9KZI%V;=pg(d9<(mEDVTl6SsxJOW9qOlxz^IkuKv zNwe469Z=b0Cs435(!k=i6rM?G$Adq)0}cmTSaLa=%H^A!p}my^24q!yu^9fgN})jz zp!aK!%|B}&uj;4TiNG*H7Y>ke(=4%_NMtrR78Wf7-6q8yAs8qnv)%`)S`D02qSc_> z#f0C$pyYLLEJDhF*AAj%Wa-LIGT=#T2$^(gj0RDK4W{2(%x_m;Lv>HZzg|1j8uXfh za1rWvO*VqRtTuwTKGSMT8o4E&2%W(=S10GBdJ9@w=Z5Hj9U*7~QutXVrrSqGZ1~|6?piY9qHh?BCaDwe+uw8oBR90-gwDcyk~%k4^gRzb&aMp!%On z-k9Q;(Y%i4c~XwRN>XEssBL@h^ttTK*=t&WjC_k+O>FlPsg|bu!HYsZPEjAH zIb!Wrec%3H8=Fv$=ObAqa7Px!9d}O3N?y21x`R71r=2$PI>_K%%!H(jpxGlAa=#QS zP0B94q9*^iNDMTjhF`JhA^_P%-DGXn?%PEo;!;_#VV(IS?36HL-69dIi6M25hl$&l zr5-TG#X6=I4bh#>Mcw{@MbG81L+9_&L8|(W>S806em!?Yk*Ij2-wk(e4*xSb{RR>A zjqbExnnS$1OeOvlY(mP$SA2_&JfyKtl}fyUZJH3Myhj3Em(?chW}dxH`DYDQ4cto) zHCk5$@eMHAN4?GBBIigh@V^a{WKn? znCJCM3qCFdAy_so=Rd4ORpUJv&&(}Y4kLCI1;chlT0{=ryapp?yW8NDtmWOHjV z2of9k-V@lN=Zwq3Uzwk~3IBV$6LV1&X_?|Vpumleb8I3*GD!(4cl4n62`GuR321?oC($gHui7x2KT zx4ZLC&ez<-uatLE%16kJ!>iQ-S%@UEqyN)SkaRZX4uEjf&ipNx@R=p>E<@hIb=G<^ zCuS~Z1Fm@vEr+)epGrK*{In{De8OHBvak3aA!jS{6i|d)pS@|0Xp3CYc11nO(Z7p$(@f7%$+YE+b`ls zDGF?ZDIl1im&=~-H#ubCf&fy_tx@8@ItPXQ8so+g5f6xKD9UF?za5HMW!G2BL^@5r zBJIcHDL4?X0DKKQ7ysGPKKHs z>Bbv6x-}il^2q_v+)n@K`KK2pVZAfV3Bh8cQS-4O-MwE7Qd~z4ontVx{y8D1=8jTJ z^m;`B!mYJZ?@7E@>B0hC-ESR(IA0IHyJIyqq=Wh)1f{9+R#~wl(c82=O+gnN*W@%| z(2SsTQPafLF%)CQ|HlyFXj1bhy@UnG5YMJ@sRtdn#lNQADBP2K%n+Z-%{MFh@7fx| z!i#NY$~6l@)wG3@?qKO$sD-U!B#|9<#GuktPWZ~i;j zcQ4L2RXF3kOdROx<0yp5lSB9Pd$XaTjuIos7D@+xlT7|tF<&9NjuR12r1EN1-;XAT zE;PVY8G~5%YpkX}wXNgyXAAx0Sm}2rn*UK9o?jT^?Qr2wl^^MFtqg2Zl&5UkWR)-% zOmok}=qgio)L}~(|1!HofzS@sG71TH$vyT6n2DVD4)Z13yWA+95+&8?8m+>YHgn0q z&?nkI*>3I69^mBpoHqLD;zl~Nm1$9>_;rmCQ?XAt(*$*hEm66b0QGv)MNX$){WpmN z)%%q@awizo$5-oR|6`Kst3?x+peZ#-I+gkZGnfiwYnsWn0Ld1ARDhd$_bd)-)Sp8^ z4<@>mR1Xe)30WNR#??8v_qC=IoJ-F;1W0`d=t|K3Rs)^jAEUutOpvK$Cba(i=o@q# zGlkAIDfXu6T(U%5~4GR;_Ih>Q6VbU*bhe@uywKE+D($W`jlO^C5 zw|>hwuT%#G0d)oi(=@;Ti7P~?z1#J|+{M9U|zZSF(0-bd@&Jr*Wa}zZqA5SQrDaK+g24-jk8q~kF~5VnY%q!AFOeE zs$<$PU*~+EXBr7;dr{t3e0Vf%yg|$7Gd0XOeJuuGh&x1Kw`<&sx}%^q34?Z#z8=|v z*(j$eoMfL;dpxqI>LdA-sZm-*&xT(WCU3ja$&P8YoD{21l%w=RxdsT|thiSycFC44 zA9{>_8;v4MYz_+#6YGm%HL;~KFxIRIbU2!3@l0eC=A5H%5|)`vQCCk=bs#Cr(@w8- zU-asxhZEMRXVb4L$z}Le(P)r#*cr*hCR?#&Fm>`ko`NUnbS!X-5H`+2Rc%V%On5H#A%TR9r-?_`y{`&+wV`EYpk7w%qMx~ha^Lz&E>@fZ znk!EBg~V}5r&T59pM5PIQXPxCfAW*DMjrFixznzV_zTyCc|M3lmXVM)B&EU9D@)mp z9>&ls>KvC5@kqbmiq;RdSd8x45l-`tkU|-TC#1CX=?K^tTzm+CRzt29EAJ}f^FqZc z^B`*slC09Y$}ltQ2xVvF#hRSs+Mnl?${Q(6`qYwh>{bndPD8k~31W15bv-J73u%llDt?x^T3!VIY1-({Hx-hPT@F}Cup)iy$2Nse$M z)wUxG>`hshjGC=X=?#0B#Xp96DIQ%|^fePiQN;*MIIHDZ= zvd*!N1|UJqClqW@B;YyV^6}g(#^09z&KGMvx>}l_(IFR5Y48*r(DH&VOzAlk&hG8q zM?MkCaU^UwsuB`fu8C>a_24qYj6+rrQFEOY)~U`q{pu!CFRjvNZ@aCsYXc*fsE_cyPdm3#jTOn<+*-f8?f?jJxP~%PYsxjy5KBnFE~;O zfd8CFTMJnu^a+Mm$*X2r#+}4(LcGYMPA$z5A?^}nalRc zb69W@>|iA~GMXv`aTxC4{q=xRH|8CLbYvj;vl&9Zge?*}+5jeCIZSE-sq8-2vw5JB zrb&1HS3v{qCWrKnS{h?WGuWBioJ#_Tq|WGbHV`XA>@0gUUML|=$pm|WEP2G56{EcW%39@6!w?Q>phNq>D*3NN+|5uP*eB)> z9p{oyxsJWKdB*3x7Cd^iIxAWIc5Qpzni_QrM(@LY$ako?$^7;|0 z#xbkL39H7biy{|GApK*Obs^}L&Xo4_+B{WViM;@i?zJe2_`>v+j_)%o$`mg=wVnhDu+ZDBEBM00n`+@f{6hN0};Z1iJ$(ZWK^IK9Y| zL>+7>6*8L*qPs83F-yGe{(odrM;*R^0p1ox|IDR|3RO&I{5m#ONsuFHxf*_X2328ZWn&@@Q zbK%+_)<}Lbz%w~Wk&VQj&`y+Z^=9Ou{-y7`C^ynWBmJ|D0t~y#=3sAGTadrQF&p?l z!g6!ZQM+8Wo|as}dQD1LQ+Az(a0=xLK{u{9a5sx@7O>W7MoM0@WgxGSyLf5o_7su< zFYO+LWyMY{1IMf+e~@V_bj)1pYy~h4{mO@7<>>JsG>2>jV-4CE)oNwzg7pwJxcG$?EA=LWYc2asiWC^v#pm25Vz;^H} z4?zYPA>D7X*CNj0<#P%&a-+1$NPEMWXG+-ls?V`L6d$0>Jr==t*TTy_`S_odKy&VT z^gZ~WAV97RJ>J4W>!6*8aoCCMTE1p!uCoyCu;)XB=C73xq|Iwi3K>tyG5-u4t4kce zZFrj$?>E+vG#MhI-3q2q_z5X52v2U5|NXnbt*>X^=Jbtq6HsvB?8UO#s(Wx|j_~Cy zlgnC2F)3)(=%`I0A9%6emFy~n#}*^EIR>;VSw{nvrZ4L}_i;~@M&1tAm3D3bnOwom zmLd>qG{#EC->hv3ux?db2d}T~AyG!Wm6` z>%XCBvKrFDeO7W{-$Cg8=j|s5+yCQl3nw_(=h!bjg@*6Tc8##+A_scc z-H*N(-q)U19B;fGx7484-7V`vFKt9$rj9trTlKUc6mb>rT3TXw29~a=Tjn^qTfRK9 zeXuUONz^-#ZGd3}-dPX)^cl)K>2rU)OjNg4i#p-C?lVv13FZVY7lttr(W{=2hniVl zVIjmh^%pBuCn=hOIsKu?grjo?K0}O|*%|Xa2Cfu(<2l5Sy11>n2MKz}OQh8ukdIl4 zIOcyYQx9XK^vB&;Jte@%x@dG|t3+ULUrX z#Zzoq@svQvk#wFLWD~(`XzB{86WoId4$Z!i+J}30^5Kl5rK7X^%_17NaINJrYD%x>ozBcwxi6G zR=V|V1 zw|(176W%c7J<75URTe-xSub%#c^_FtA=nTN+p1tq1w4X;4RR1E1F>I+*2($m9p@U` zQ6s7O)Xl7Sn~d=QR%9uySe)>B`$*!UCkYW+!Q0akQ)0Cfrd)PpRiI)EbS2` zvw%+iED@M`7Ku}!J;jCiobiUBwg?DnG$9%6 zvZZghG4vSTPy%*$Yl$++ouX`<#u>Czc9)Kx-0sc!yQjkThWL4IJFw02rqE~D(DBz} zsY2U&!;Rra4h=W~u#^)lGH@7Z(9J#Ll4U+F80m0BpPLf=qc9E{(YjZgDWSP>M~OC9HqUU^hgg?T2l zIeh*`+cO;uNh_ET8zgi%i3CljL>GW6_!0Qi?zMdbXSc#SD&)1NVbYK_D3()bwD`A| zvAta!w44>Zc-*f*AY_Hvc;hIM73h(d^{|jH+=eeOYJTN@ENx95yO6#6*E%T6<&z-U zN-jZkNgssg;&PjeBx$W`_bsbbd)8n@L#fmi19TBN_pGlU;_!`^@{(%%`HQg2=Zr3n z{wymzLBtfhzBHjay*qXRUYriE>PKkhU(8|nT4AFi*p0m#brxq&ss6#i6(o8$q_n7e zK*d@91`%s!n-IpIoBO_9*R>QRnoi5}#^DL--Q=w036W7eD{)%}t7;iJU<=biNl`%d z&tmgHQB;VIZ*m9$n|6ms@=3BYsgrx@YsCJYKFz6v*aE|twP@&@ z>(N#JcB52}vSKB;@qSEO;K4E|KUAs|(|p9s1|0>b9|H!QwgQ3isD?pPGT zUe?*3oskUtB=-H&L6~-a7?z2+VjB)HPviar3ljCDR@f)NKuAcFtu-1~p-<{SD6&7f z=FxSJvR}=qiAB0Mnhg6!4u*%J^md{sKi$-!j22Iwl{ZzSY8?L2aA?M8x0BeiUTS_i z>2lOzV*{otcit+=X*iegA`SAbM01v^BY9GDg}O7WVeyMtZ&LN+Hg{Oz`2)VB18?1 z>OfnB(EnOjspER2kd$urK9_}n zvD;@#n092{Hb=#2Oz12Va;I1w?8QHHEysB24augM38o4Ogo#;+_U4Tl_OA-fCGs2% zaGGRzNYd9-d$%NJehrw*7>d)0su!Fpw;Xq zp@r9c);B{(pkXCsV<|z2F+9Y?h#BiUrWw$(I)b-8T^Xo2T_?kwe?VjhkyNX_?ib=R*Dsr6=_S78cIE_2>iDZ}R&QHj~3MBa%zR|5sZ;QlY^JLUbk?a!gdNoHY>|2;-E znX{&({=(B&aV>&8v5$E1`|&Z>qBs|5&?J6pPgn>AT#QCGRaNE&qj^$ z5|fkMb(C@Q8O>!z{~g!>W-=>wX0nGhk@3u}h{W#Ro7xlFp>*Nw5d5_zFYQ9aQEsE` z+;Wx?;hX|cz#j_Jhj^%BvJ%N?OImWc=ifQ5cy{8iI=W-N1nC?U8{H7oKh%az5Gq)m$4{y+B3Ytv4-?RhOPb}LKQ{3e zxClU^+-8>W#0hyc4w^%NkU?X9FBNz~T6pp7L|3>1I5|KLc#E@@pYaNSuB2{sl2w{Z z)pVpjQ+{R0&0#D97_yeIHonCk`A{GJvTRHQ8kRYGR&C^kt|=_ry4SBt$TZy`(RW?1 zO}Y)9J z1QaV0EiX?+OG~(#>LfrVNKrpvbY%HOK}!elOP!__0jK#3oLC>C&<@En_|EbjLBV#@ z_{VVH7BREZe4#oF^x@-v)}q_3nZrqe;yX(X=*(5~kUcYO#z_T_hpG3E(bXAFf20%y zWSuAS13SN0GWlk*Uvg_0BGfZMuVxYg>=5uR2mp^AJ&BYW5kW$3z*Ej;V9l;do6=E>2099_D$TioyG1Kfum`>vvCe>6!Rvsd-L{9#o>JBf)Qz#pZ|44gjR}4EJGdrXurg-k74NR)#Zz@qP0a-p~ zk!wfO+M*n(^^E_*+yg>`dj&_eBJj%pcC!e4?SQ>MdmLT=;`IrS(fj?87Z5h?3mMkn znaCzj11yDy+SiY~rV2Ckw7WM(5eqU>d+p|C!pS)mbhIHfZfG2)nU3>*p2-r#x6F%SyNR8p%WUn6*mV2^Xz`JOkTy%ZJcfk~8;G$6!*% zuQmmCs*&*l28VdVqSmbi@_E&mkre{V*cqg7jUO;IP=QyjM0pk?;Pg{LVvE1}A2q(> z8SZ{UInDspI)hzeJhgoML2?nkb)~#Bem7KTA6G{HnXeg)fwOJwFvsFh_=NGh>=aZSSuaY3jYqJk3%vlpX%K z?R$?c4>7>h7+4Esip>g@R(zZpyQ%ZV@0i8Tn>GBW36wqYPydT|@#)o!4tcPh>}S5v z9ayyCXgApnK9(d%{Xq+MU0}O0uKO+h$~@{>DBja2TU)*#^z|6S=g|SY=4N@7$`I5p zB?|zjFpuN-V11H~{Hxs^hd6h0rH8YC?@9k<6uJ`}ds!Rjx4$u3yH6UFk#;|zPv|$O ziGzxG(UtUWbEK1B0iq$i4iUGgGgMCm`v#k~lT>!0=pw!=iw#fPwNVL6jC~nb) zGobai0hz2frd*y8k^(cC;eBi0!K*rL=Ru<^=WL+ouI3MOtPd<#8uEVAeyZyZ{u=t` zzNcGUMn&Qz8_G4;22A_8KLXN&QlfU!1fD@2o-9WHCN+t@Ac&s|LEpfF-nkz}>qR%*jgC#L#4*5O6O43yDn zGY|R;Thh*@hv8@09%4WIVu?;rPVXl*zR5TVf%tt_d@Y%`Gn)Qs$Mbicl2y%kZEvWO( zf>*vjcb{xch|+>{dB^s`6E>%*=kg=Ta?aW^JiuiwT_k4x-)ZfZ zWLQ}PPQ#F4=ulDki{qb~%Uwdxeh}C{gueC1{}0nc*z5@ojePyV*2H=+wu5-f>)qGHCLB3!Uf_nsUKIYBX_ zvH0JQX?E|A`f~4&esW>brGI%WT;5NBejhg!F7Jdp-)9VdOQpSk6~#VpfUSNEK`L)~ zd>P)w&Qj}m>)Abjtv)V#eII7Q^TGjOdZ0qlS?3}7m1(wEpRJ)+eUY1Bz~oM3JFb~ z0{vYZAb%VM_UbN&Mp5_5X@?#+LYkN5OIdU~IRh*6eg|Fc-0wWAZh-leF|UO+XIO-G zFl1`ZBh4gsuPrvxFQqK`Q+~X(mnmRj74b56mXTzdR)62g5>k&+ht1?oEXaD$f>na2 z?$relXP4L|@g?8bd`%2FiajfsM7dbmbP|yN)zg=3t=(UtD@kATTpqsePE$&@>J_H) z{#WtS=A+z0REp0#U24`FxJdgzGLo};eWdw75l0$kgxxL!Z)WR=@QP*q^q)MImO;zM zKbs0FGdjxydpG)3I)D=NPpcB$ zOs5+s3mY1fWezAg3+xcC62Cs1!3r;LfmE`XbpPiKYv61A2nVBilMkcolgJ1ZV5Gof z@iKfO^8&D9`-o;Yys^4X>t;OdrQ@10TaB}mT1s>*L4j_}=wPprhV&AkURkUvTK|SW z?5YIjf}?(Fxyy3x<83e})+*iG2)7|OLFa6_HWnB`kTM}0Ai`Ml^$s9(vlXMM#KRxfa}dQ@srub#bO=SQsi zIJfoo(p1I{_ZrE3Vu~neHDJT-c@<*7d*Qn?e{2{1|f)@ z(-(+>NHDCZV(QTq%JJ)SIdNGg?e$k!TJPze3q?G{*gM$2yzf-yoFBc3o=EmHVA>!> z1JnQbgN3I=SEU-rW2Tc#&Hg=_qVCot%D)rjy;xb*J1;hS!**^Gnn~maYIElCL=}$7E)dMsXQpYP?Gj7l+Eb zhm-?{t?@z7X_BcE&Eopt>?);~kxSA?!ZY8&py*lBw*E2MC`>8_j;{Qh*BO5ueUL;T zh_smVJcwj(4FZ1R|Mo=1zgLA`KLd}qvA+UQs2Sp`(l7&b-ZQ(TiwYA<*u0^)9V`h< z8E(pf(XRdl^wzTF?=7p>vdTG@G5fn^Sh=KT`G>cqNGx~fzRB)}1r(JAz|IdNOCT}k zO5!yDECjxaN?<@Rd{e+^hS)r?ixlQMvx4hpPJjfx|8i*!8-TM%z zAwuxPv6oSsnzLqH^_zywA!FmyVdQH5W`}4HaIa$Qx-KyHgH*0%4IrN=qOqv%(U?8lzY#yST|RclI^I0 zR%o6?Sb0mQOmYw<)M)PBe><8RMmeZ-T1IEkud&Z>sV(KLCGCX?A6{tW>s(S-%b&v8 zY&licBFc zvp~G=Z8EvM+d$U=ViVo41Z0oV7umqF-LN%tmI~I+O)J&~R_1ylpvr&~+1EV|kCH7> zgq^vc3HfS~kwLJ>q>H|y6t|?dieZ-1jKgZh8QX5cMYaA37aDGzn9foK*FbSeM;gxH z&uu{A2|Ija{4*Z|pghJyD=C>>znx|Bkcu%jnd|NCeFKI8V9{?MUj7T2(J@~~0Q7es z!C5M8KhzU>d0^!UHIQQPF=hr}k3thAUp|RseZ)gQg;+jV7AqF$tcjTVsQ)9QX)DG3 znIN>aC5`w4iu}r~XQ%+z(u>`)R1B!Ac82-X8@|fkmzhhD#RgS5@3*D6NAoz^ab5q5 z(A<6_$E1DM{})NB4KgMXmT+GQ7$&+d2>g>w{vKEj66Abbi`y1naV{O?61hYZ1ejHH z$QJ#N9+bZePpfsZ)&60wyv+@t!V)CS6C?~p;8c%Dku&Z=d%;FEH#qNWVA%=mOSt7K zMr7(a3BnU{+^YAY&O@@cl+SGTuiK1iaT#yWaK;u+Lvr2DHDJQ^KXZ8n5zM#)($k^1 z!_h7qUY-UP@ZPzqP$a$BLH4e?fX35m4(*AYTQb=TsN}Vhr0uchY8*ojR%qY{9Bm;E zGRERb*roaHE7IC24{W5M7ec)@G_VGn{$iqnLC zk+K2X<9zAt3`;0fLY;|6{+R0r%xn}3XeiWK@Z^W{6*Va$k_I9vha&h+rg8Q(Qy761 z{S!=WEG*yQJ576KZ?QXY>vhLiLbzgp-K94eI$ttj=AW>=9?alowK>1*Z;<4u^t(lk zC@M7AuVeVBI5KZ`MnJxexcX2JO1OANH;u^`DRnrh5)wePPYtkr_cP3OtRfmv>>t0+P_&VUFJIRSO9-W#OXQiKa3#~| zt+R@`tUJ_gbL!hvXx;2@m9tu4Mt!IHx%SG?&`&CT|D4h;XeDXr-@@_`8$_-sBx5p7AXN7kPQ zp)5|(c9{v|nPfAHDlo4UM((V((P?A*s0{CIYYeWy*vHOu(856NYoG)QgMHrZtX}Z5 z&jq}r^)t7yGno0Az1E1R-|=jkiGlzT z@aDS7nmUXsaO+JO$6xP)WI$*Z-N}eD~J21r2_?!Y+vMCGmVVggQ)WapcR4mFMgImNxu5ZHb1gB^5 z?mN6?grS2r2l4C!nUP$P)TXA)%T}z_y1t^@l71Bp3YkR7UIt5JHpwRUjb8%>rS_2O z@e|wNA8%et<09?PU+~mzUh7kvSD4W6KrWZcS_m-J zEEE|8;dlh3YV@Kg)TG7OAqz$^mZI$YH*tJpr+CF(k)`{Da@3{4=B1~SVOvXrX>D5Y zYud4{vwsY03nzYIfK7sD`mS9s|K6) zls2vdh(^h7nKLu?Nr6r(fhiPbsRH{g4}kCj>mRC&qOrkwt@T|TP9v%*aGzpB@;Kd;j!Wc-(f%nc>ms21Ik-*_EttxK5uH-?> z*+%wya!n=O7ndgs3HZ;SWF}7^X~nf7jE>7wgT*bAs}6Mdl}J8hat**H;3(XEzD(+- zAbpSC8>`3r(5fVJz6X{~f+~imkRz*2+^))hsL8j;5m^^JwZ|gpW4m$p{-THz>p)uF+M!$owIo`pZ*w9ab?j8he zPp17bX~~s%_S;@P`VtkfeVj8(i>K?3ac{`f3tSHVfU#IqLQ=1ck33o zfm2<10-&GZ*#uUM%!=!l>z)VB9xTi?taJU5lsE2mb_gL00jLQPt?;(C(1kIorN(2< z`ncVySCz_9Ll3WJG>qCaKDfa)^no5ZO?CML-~^)X9;=6bwHq^y9?Q_I4w{aXy=4w(Znx=6ImZ*- zNK54ENf0?b4cl7yN*$t?rqe|FLLYx=90=e-{0{(BK&!uBZReA@4H(QPz~cFuVy!UM zHAT^@rB>2L4A3}TQTUrlw}E8~`pFo$#;qnC`Q((7{HawP`6~?ra4HHWO(XsT$zMUk zV->NC1Pq|gsfIgH8%_I84aKNGwM55dWwHF%3%dSbR#7hwelVST0?ZGTR_Z)=u73c5 zhSx`9gxaWSn@|Zpl=yY%MD*jYX1x~&12-FhRVm(NG?7Q9Bt*&WF2mP;qWw|*z=@E} zOTvJ!*a@@%rF6$??z^nUR19~oGKhmiVjY@gQhjV+p|W9~z#Nkt~os@T_3*!?S4O+g5ihop=Evd+|Z z2;8Mcu+ojY)E-T}X85}O`IZF;36>>I02~VHjWvvFxvIpOwhq!j=oBZ+0;F^C>al9Lt4z}NT+YGj6ZKM7jGwxNbr@RLEItNC zXhT$DHl!Jg)O*`d3p*uq*F!zGM3K4f-KZMGn2dNxTV;;s-Av>U8SLNK6xNtXuOx)? zh5C>7v8Y`e9-j=I-)v!tG)z`UwM7lMzW{bTcne5Z5`@aHPv z_0pJAs-`2x<%!f1+;vimE1`Qf%dg!7^=4ZgrSa-jX#Q+aFW~#Di0PFSu5KF{7gLjj zUteVAL`>ZX=vGg;7AgZ+o3(4QW#O27-=(fvwZ<=_WLM#hN_OqgQu!Tf*gBfSrse0a zu6X~-Jv_E@(W>rs?st8u{Ijck^$gxMuEVqNl8q}t$;!Tfj>`*pYe~-X%GkI;_*9Kn zQ-QPa9;^|l%xmuj-Qrqd9(gA6jT?*By5f7ndt9UvUE`X~m`99>PNhYr4j_Xk-Fx%!|yC=%jv5H~QIrS2!rx&N}gkany zP5F8!$0b>se(G*f$}eWhXON^|tD}ERl0qmK|F~6}e(G*XDnFNBlJvQvU}GyOX=$ac zC0H}pWg!r);FArK2pimHtXXlYT}?Q^LCr+s5s8TdxCneP@-OtB&&zb`m_b}x`StlK z)j7N6w{?l4aowL)Vi;*JN|RLHT0ny?2!WH%dNR?+Vb&lc3#VlflGJNMU{ zY?2`uPIyBd zSsouo6%9WzxIa{qz*I#1sVkrnZ=O9QK6^;h%Asnq^fI<_?Mr$NeQ|iH?I#dc7$ucx zrjm+F(p8-y6y$8^YkaTul7f6pbYj2K>y$BSGd zol~u&ha}t927N=MSS@+NkPV(GLQ`(&OBi~H$}^E()GSJ8v#S~ks)*_rDzep@%vlkb zvvsM{YI0&#U^`E&Hm+Pw%WP9Pb(79blkMSUw@zt1x9>;X>H|9?->nr8j%IV!88-C+ zep?)ZNzL)dEoC!h=QX0XNBH4Wr`b32;Dw0WA9|Sh2R+zOij-Kb9@hxUNwfE4*putl z+KtDWb=ZLtaKSyZG3TD9I5!rIOT-VB0|jvGN)$Raxncr#+O2B7d9QW{pbWMw zh?r;ax2sxiE2;XEX!#uX{7fx(l#+gomK*oOnr&vf0co~Gjdn+UmS-Yxy~;4;1Vec4 zI`qBk5V_mSdZW!yXsI{zol5QQYliDf}@ zWf7rHY=rX7PCK}v*8`r!zhBYqy6#-pyaoO1J%4@6>HV!;>9 zl_XHHOpI?V!=fi%>3Nj(;M5ry!@2EyC5BSmV@hnGHvEzCwlVH7FBN{N z@bjoJbnx(kHScl(9Q_S(HV~KRrrlbPPy=y;BIAyoSYEP8mM-jQfWeE!mLLy1T%vJ{ z<|Hpi-8*>rA$Enz)X;@RNf=|Sgrp0VQ2tQp-yj6~6q1sg6KT^S@P zcUpiQxLO(62}!ev&0L3{Wk;`=pyRODxF1z>yh!JEh*>WcgT-*D`3y%!b!)q#w&=!< zr{~dP*8ZjOV85Z{*hGYoruLy%pGoTlI;4-pIvU3lyfw98O^VONmDYvws>6+Vb`~#E zXp7*OXQgnwcG%5%Y+55M zS&Qq8<}sIUQ{?(0{5Wzbnjg0s{r6yE-16kMQr_LqfjoEMD)AKh@6fOMOTD*iNw_ar zG2PJNv;%l$KDBPv+{3c^fa5`JFq1UxoOIO_NuU^Ium&T$w5cx|aXzf(h~-5x<p(JMf;^hh6z_iNI!Z5!J|UfcIv1CFDaduHv3fkmy>FSZk{1&|s5|VQctpoobNG2<_cS^JztkE%l?q;>`}7p= zBA9u-^uZ!VI&vc_UyEYZ!UMh?#VRK#UYCHW3>LdZwB=3Aj{D#5h-sCT{L-%;(=je4F3XRc<GmL( zjI9tLG!Gg8XIkg%>Pq|)e?r$r)D;2QIFvD^s#V}NdMZdu!NV@4-I&G9INyL5Q~r^m z|79!VT&{9td=LVMwEEf1=tzcTJYBRa&I9rhwIuDlQ14eI`c;X3$oxc8h0VA)0Rrd6 ztbqBs04sK$0?G6r*V^MIqc)aVt<%qY_vAlUoNPVp5yR@Hf zCWb~ob#DiBpIPPw3i}8*9f*E1PwS~JOQ1ijv&qTMY#_6oYS_+ZIpYEW*dv!ML=lb| zIvc3;8KBxFic+EG*W7%2)RbDK8hs>s4}D_$2XhlO9?s^N(N-_?2B6G&lm)zzM-dIH zq;`#j3xmAb&Lt@~w|YGAZl(&|#kZT|y70EAIE|$UfkJbMLqdR0#VGm|!x(5O;-{`h zXphCRVAXVdZVnYkz=2xYW9pOQvvPe9?Zot69G5U}RO_WJ<&WSnO_M{D-2YhdTo~1J zqHPk!U2EUY6l)Lwegl3()wR+?yrWUDX-ZtyNQ7*{J`{PQO%OKjhirl~Q^xbOHlcW+ zlPm>^Jm2whunxS{)(-%Ml79TaTeQq58Y3?xbv?7FAEsq3dA#g6mf(8e?T5zVYFr0m z+Q8BFy|Z3nNMIZ_@vwkMQ zjpvT29f{RUtmrmVqQ#kDEG1Ur`V?eHCIU+YTgh>qmm&V-hS;b%ihM6aY|OmZPiKiu z5MJtKiGRH%hCfTbMAHk$_TXkHC)fM10$6`pu1{*Yj7hc2I65yX?f2B^t*i9w=+di3 z|Je$is^M=jgsFT{@uPI1BJOludq@&fxl!t`<&WBd8f&xbCZP|F+P{oo|E zZMtrguUP2Xls7lBlQZ>UL*s8XY{jpAr52Y9n5T7QvSWzcl!cla6N7%dYUe zk(LtD{_HW{)cgL4Xnh%>qpz`NvSsl$G-jh{Fl(8eLUJMZ-T6nD8 zPAPDMAK7lFY^7_x+U;KLcF)mnw=mw+G}*n$gY^7@5ijxzPN2Itv3S7exAqs)JfWI> zzDj#)5>#G8aEA*!jOz*tHJ3mCF6K4$@Iug4<=5IHylXgFsnvv+jKuj^kM-h2b1%t^ zrr}SVM}jP0FoMO|8@K!h0(lo~&G`oRga)xmK*0wB$<7Oe)7+NYy&w=J0VolMlmp># zz~@1Lj&Kg@V@rJ$3M#yRjClz#@?ndp#EGtb3xm58f_p9m?yOruzr>v8LOCQF=BiSS z8A6V&$We(*Mx(U{Len?=fTuwh<3>v%?i3}{_GTO?oKRn|86U9y(T;O46hq_8ik*JH zdLHCBw0&IM>~%BVP9Pd;6jh1vMD#-t#Mlj-sklE49Ab79WRM7JHZ_}h{0g{SMIys9 ztlq-Qn>exE#t-yU6Z$xw1>uLh2m>x6OpWGqOCOk^Bpe8>p+WJG zK-8yxv!u?NYO2Ir9I-A%cr6O{VI&LLj4o_H29YgkgDn{VOMLM%cYnt3p}#w&9(hui z9{wJ05YIg|!2lG6u`M+l`a7cGbvT%#ItM}v|+gD2iIJ4Ea$<9w( z*scYXV%e;lif2z;*$$0eBSTH>&N;$LZjt@i)~olJM zr8llYnn71?{k@Hvz5|-!pz@)=0cZwaftIC1lcTt~7q1ETv0+y<${X!I6w-(kg zb2W=5V_0N*D$MtI=9}+7g8>&|g*oiH7WLAMP8~y2X!&FhT*L(4f-v-UY?(m&Wb0Zv>r zg*PXzx#}m!W1wuf6Az9%@o0t8CrgY;xG0WRVv2;)R?8TcvqBgeA&en?aJ!^|lHr-;ce9CB+UK=#>o z;H&9SS7XWN6vKO>$tz-bsmx5qRNLcYtaN!To6l;ceM8oC7EbS}t#n#A)XdHT#1qys zYq&s}j)sXOXn+or+)@!k8}?yHH)tc(YdXd#l-GwzkEqyVR&AqCY)7P)EkLFE5<}cD z%0%PDDpja*9l<{zBi%#0pZ8TVt$PLgmDGNrq!t@LjGZY3jqEB^%`~n}3zM(HW6*9q zkK4r8D?oU@m`Xbr8@64HtSs_e&ZM1lM=o!KsWZ=Zx`V6KJ4FvVf;=epbTNr`uC^>1 zksNXWKPScrVuYYk0?6q{`9N=@18vwqSI9si{35>UqS=0CqCED==%i=duDDe@r5h?#4W0{IYXwZL#lLee z>|J8s>lCD{|9C3ZXHLgwt=Spvs)yHE+Q6?1cv+~1OX(RDHzZj(3VOhFFe;Ji=n3Jw z^pZHLRsr;^=k^qn&`S)12jd}Wo$>nuC-jmu%fd=wWb7?22R zBU#ut*cHC@NNFQ`q(E-i1}~B0)?a&=z`d?1rWUVi*+DwWw2}^`t`30$)P${tdZ_B^ zRAa~^JdYBj*3;BRbhA8SgGMX5Pv?X0S`b~i zN@J%Esm>UAr0q-ecA3XpySVBqw^%eL$dEkTKQOdPx=`rjm*JN#lj(VferQh;Nym!}cg%+MY z4pS|^^=>GYFS?UHBS__2z5NxdwsK590%x2grv;rV-40Au`sF~QFE76W z(^p{n3QRXJ>G!^@)hBwssYiJ^0!i@+pX|r#bq2Qd+8hky1Y$DRpZ>iu~Ch)&o6b?W^GdqQk( z7BD7B1{gg|)O?~3ty(KoEca?FZ{|oI7u)XYJG3p~y}_N3P$Tz@cMa&? z+Zc?6WcD^%`pkG>G@(o9GTlA4Wn5tj7q?X2io!Fr4qx)xHAHg*?&{BJxNX_8(v?3O z0_1vd+aV>U>XZ{h8;f(?`KqoV)O8FYKnv?e$Rq=(#6txghLSbK`TIAfCl-#p{{R2} z&u{@2Fb?SG`xp$5WtTy-YHY~jmwQyr z);C*r#uk^&P<~ehn%j8E|A?h`zjO^cfZwf`c(oJAUT+I>1#aeviK6B)(a7Rr)g|>i5$Q-wLeQa zUV;Zr0nXUUe1=p8fJ3BO1vE#IVYIv!fGPY`yMQ>1h6p3eiwdKaanojKW!yyTOO$mj z9guFe9S}&IF=6-Th3!32^hF%Cu&J3iQ^3MYz-BU?C^tP@l*MG z1ovka(^Fu;lG!2Wt#ekTp(W95wZtX92`P_Mo(VkZRO7?0RMh)LUD_$ocx3{H@NBQN zmI5pey}YT%e|@oL?FkMWnSnsi_7kvIk!ho=m0ZG~4QFDLJYQo>^qGsboO0(0N$a_@ zbeYSz$8u*KemHk_#x+keAq$UT)K+-O7clk^Fm}!j2-S!C!acdSfGv}1aquI6Eh`CD zpGr9i*s^7|^eLpnV^vfjgo7f`vR>E41D|<*QVv6gGcUyHlOj%}fZEpT?MJA#4vX9U zY>>6i6CL7-H5(`UqP!$#gJ#eDGC`lz1Zf+}YJ3ULqq}K=?EP^+&Ks3Lj4y;1_Rh${ zPUgq%mbiu$_Hnwj3w3us2Emseq4F8#EdQ*Kn5l6Z=kCgtJYDp7H9Z&e_5gls7Bum{TT2zX+n(ig7sQ zq!YM-kCfyqmY33+E<$B3BGm)YM1Qe_H&TM@%X|3W4cFHP0?rnn7`BZ&;)PSHg>--1_H=wnOEGty48tSNYq2d_#H{(oGy< zgyn^%S78SO=&^u;PS(TD($Ey39v_ zFQ;o#?eqdM+k*#~NR?ow2$;C8$G6~t!hoVj=&9Hg5Z>4$@DJKd>Kv#*`Iud4l7@E0 z2O80hNi!@a4a0(^rNL)fxPL{prapu_!r(^Y##6_0U(&)#hD|4%U5!eI;WBWI(%sh6 zC}9e>9_L8)Z?aW9tMZk7Y;_XB;teZRbFmVFSRY^vyifRa|%|X45cl2 zEl5pli4s;L3`>6?_;2r8n$Z%WoKY>#gKKdnlb_OD;oe$O<8`g+StF}jH;$-WnlJA- zaTV$`mg9+yH2R6I{`_=Bw|~CgtC6K-K_CphFqy+3BDg*PREsg-N0gFywi-qbf~VYz zvbZfv0n4 zGNr`YQ-Wh0S;M%rXjliymeL5cl%_L)g5>N9D(}SdB|b3!bs7n)v@^W}nM!XZe!F8~ z8UTO5;HnwXnEs~L3Tkj~pP+5p$q0C4Re1nMa2`FE26n%pxPtaZA9T-N=ni;4BL%v8 zOx$UIDbdZ^!PlS<)S%F$#D6%g1``<)|!UJVtn%UIm zpPTqlxECM_GeQ)$>)c>Ok9RJ)=vtxANRa5u6()tIisYYKV~Eus(x?fCPQe~x?IwB0 zh>WbvU}9T}oU>twh8>DH!@bV2e8eytw;{x>@Uf+Fy9T@?tq_@Z?Ux7d_w6 zUU^zzhF|1C4kj1MG4qoNm%?{7r$kP5-a z*;-jZEB~7SEq$&w#JE@mxS1(v$?If0{^PwixFJRYi?e4=hUH4m@ln|@E}RZiH`bsM zCKi#}ZvCu`X0ZYq3Dty5aMLgz!@P9SFpgzp>>R%k%f&F;le@NW2Y>z<4ns|Fbe(0e z4tI96IgE5&>NMs+96h5f1afWNH4Ve&NNos=f5;S+O4Pj9k70nCLwkL{X6UQ9{+4_E z$lb3T`DfYP!0+$9Qq zojt#Vi{Ekq9WD6C%cwZ>MW8GWtH3}oeC#L$sWc_@y(rv!d(tTPQp15@WUq~|0wOgX z^6Gi)N;M7}@72(ev~di(k?VwYJ`4f$vJ5M|< zu!Z(=+D)hF(W@zDw1dF&DA@~ZG^$fRuru;ugxuDQ7E*;*KxCMXV^4=r9n3Kc)Z!OY zn(dNe9W6SX){Z~q|4zp>w?0xCZL(r?Hpnxqw~~Qa7&O4-knn%&9kSFtJX?)VaSeob zDfhmz$TNXEZdisCZ__I^?_=o@7W&=?QZ)AJt2F}y5smE@#!3@o#QDJA@VTMe z6jTtkC^1OIOb8GJIi|-uJwEZ9L&p-fzG=gEihrjyXHeGtm)n7ddySNFGt#A4=E$Or z5!Xo($%d0Xe2_8v94NGdTOG+$-?PN!_BU{5c*BTrC4xksIMT$b1emxEh9}?SnQwlS zeKl)aztq2teshfoE*th3YmEC?cpQCY<#}T(VMUaMV1eDPw}*4F=4cH2(`BS$#VBA{c>OqI|+}2NJ`=#28&J++NQ3@`9`H$N`1&380Blx@G4rOBQas>`chvM z{r!qZs7uP91}&L@&!6fN9O?PTxCF*_cxN~8CZ(QF8ej{~-2KT1A`z4~7*Q0(C63Nv zBA;{|2UTWaDW+5##GKAE@@u*iK`MVVCsr2awWO{%ZeYM+@EKEgDhv+hXfVAlh>Rj` z&SnXfons*}YcL>}H!4}Gr`|iabDkONKegP^sXbq`;BqV`4NA7AbH0z^;o^uM`?C+- z-Lcpt8=iA=h9x+xZGW{K;iH{?XpD29&Gy<6Z#7HSdt%|hAU(Q>ff7R8R;8hM3yvx)6J zF}vskkM$%1!(7st#gpeLBbb8xPp7|XreN)FUUGql(<>V&Ull*xID3Z~A0YZ}9nhB! z>z?ZQ`-z;4V(yc^#&YeWlRG9jw zM0|9HCJ;Xx-6r^X<<4jV&P->QaJ#j{4}vGUyKr(R!TsE;+R-^arBT1wePD{o2q$Zk z;2rgr%uNPb0^P%vSDXDmwGLv%eS!PP^ z(K6GotTHW3uWiX=pM)(D;SZnEbTH;UfaR1n)&7gy*1uU5!`ax%(+`*o>uMw4ofg9$ z;OzRtWqVQ9po%F9{x_;ge=cKjk-p07sK2nja)qh!6stPIo31>hpM{6*O@xoF-`JZ8 zTfJfL!*ntL3nev#HbVGN<#YykL@*@#Cw^`6_e1%A9wUIhzeI?p5)8 zRXp#ncv6S=t+rAJ$==*ns*2UFt(n8gtgmQSbJzRorY^KjO0A8c(ahu-PnFYZZ*1th zqc5&`nUIzt_r$4ZXs|FzU%I&M1D{S)ciUbg@|ZQ zEIy{Nr+)EXNn^bEG8$8VFT9G&uj2C0EH2-h?dud9eYs@tQP|}`vFMWRYaeR^OjKSN ziiQ?X7wKVpeURs^mUV+uQzK3s=V;z9Nn)EB{>}z2+iPUlF1eK~AzEvX7}2#6fU39mFlcZ8AZVb*LvyTBjl8ha z)GjPX`2#20hyAYCS$hr;66aryOmBSPngZs$t+7de+Y~-qG#REL-+8?3ILzR>$M9i% zg4MW=hO~hr`UbYtlHn+By~0qqrmbPkmBWQyMbpm(92ssAvCYu$3ChvqLo_rBR!f@# z`;o^6)!6D0mJ?ytzzW-7`HpZQ=h4LZ|Jk>a-NRy7?l8c9Yd2yTM-C{P*jK$ChmzrQeAezUMeO;P<@P5-wgCChEQp z%9!o={1c*>!jR*amHIb~1D{Fz1p?5vt)7tbU5tMh8(YuDC@E?Sbj~ow zHY`Y?yN_38x&?0MqNG2Rs+F~;(dFEJF1}|D4<6khBxfEF*albCFlEd3o8UJpGQ*`V z^;{6HW2QO`FymTguMd$nBoWVY(z;x0bgA}^YpA;Dcdo>uT`~6u3#y!z_DSRuxQDN* z%f4RvTph#Njz8@ERk6ZIt;a{i27aN-pb)Pm_^w2eBW0GA)zTk%3%Lp?P`F*ml{}AHaYNZiO|;+wnv;hBlIMSa?wwI{j#%LV0NFX)`4y zq3Bt57Z%&5E~83-<}7)p2nYx>)x(J)kVhNdJTdQ6 z?>0v+nAtZ8k_Y=nSId@@ld-8-M{B!DfzGY=Ai9OH#DM|b<`lKua#ufXArHI`MFGVaH=<`;h&nEmOhS~RzH-S7T<;@^KNX?D5b^mhy8*(@R+0==~&od zKV^kETfNN)WBu_z5A?_LuYSS0j}tqifZyX3&&l93PEXml{+KQ71HCfdqln)q;x~%;jUxULDB=moTf5!{%9GSQ3r6v}b)b7d z@;-uITsnD|dz6*~wn%^${E?_OFe&&F^l5}wVH!jy2%_OtSSQong_`YK;*%i2L>Ln9 zUgI4fyrwx0x7GoNqtejgaBIDf!{wI%aYs!bb4TK0gzCF*-o4hBB5^}G1qkV}R5m|; z1K5&k`Q!^O!Bz!yXidQhf=vKW;040rkwF0@z|)Y)3R+XFg|35amLEVoi^Sz*Yq#sK z7+j{0nR+WmK2qu3A$R<8RG?x-<$@R4iE zPK?>}db9wGb6w-c+DmhI6Y1Ik1YOhNetl6&LQnj7D`+FHYxGle4JS6WT^z=8l1+s% z=D$)o%z|-;6~Be93wEb}?B^BOA+u~yki~Hqzo}k(hE={7!nV~tM7aXWBOTE|MAn}j=@H+Z!{aJIi=YaKyfQ*sRQPrAX!82XVLyfA3^J{#O{WM8|f zZ{pqcmuEVhM9=TKspo|D+xrTo2RS=VL~jn&a${Gmd*4pEgz>8s@#Uxq2gmkPOgXLS zK7;&xZ-=c%eQ?P&d#4zs$RLN~JdtrxW@h(fA?USw7-x)r;Go>%Yef!@5{-C(I+E)N z82E#bj>JI6u5OltlfWDLX-zF#?k4LW#*6-ROMj+<*e#Krw~=6}W9KHbT2Zh}jV|w(hWs|3j_h@dj6S>9 zsdF{>l}|~AGL#-{u7RI1DNq%cQra{MUiA`PgRB`KcJ7)c9dr?{MzxL7Fzr5$Rs^9$ zywP!mCkDp2Y?l(NZ{5Jh7U>ZiTan1!Guyo?RcRx-tlpWWHHj!a<%$_UWkOjR3&vv8 zER&e&{lPa1hDzG`R z>E=4Inkq)D3&<924E>z2V9HtA{mKD-{RvqiLnYRjc2}7>vR9vI;MNKT`6Gugr<#4<$L0a!)Om5t*I0- zJVro!wnw{DjzzR|#iDN)evFz;a`;ZJXX|77VNceB`bWlpHd6y{)zFx$cqh#Baa7Kp z);iGHzYXP)p)eXWx_9|v3Lv-^9K-5FxME9mxP6Iz6OtSW|^m9TfcM>KhJ@I|B&Atwoz41%XD)OvLtd%F(3B7Hp zhEWqNy{70zyg-H&LyuL&OmK*yCiQ^QjREN(D3U~#-^mzCF=H?-7>j_^HY4#4OzGMt zF$^D>@6<`^JvnDo{0}l25j-@$I-6&lQc06-Uga?b3*Nng7$O1FYR|N?hyvVJKiT|5XfJNzU4L17ju%mo+XabQ8}9udg=N{q;cL~ zPS<8K>sLGNS2yohJMq^CGhc2fHQ#^DhlK0h(pSorxAftkY0`xI>x&t-+XP?Ip7A?| zx@7Xf;kT#Tr&g#q-PR035z~lJ>{U-FOlHa@YttuiL`cYBkGEvm7!_A9kN7JB(scD@ zVoR|zi%-jTTC2`0#S1>;1baWTrLHcEzuIK~y4Yh=p!{>K)SY3bV*Tn?JT;I!frC+U;rI)u$Ksl*|E1g_3556B=69OtJw|J(G&C9)g44n z%=MUXoH73W9&i2dA;<2EH7)7O?e;mt@qM=Yhwk>zOB+ugM;jm0jL%6Mk8Mh}EbSth z*;1HEzN-ME8ZN7$vYKXls+P%`Ud0|ykGM`BE(y5u;%;wDDdyH%{bf$wH@W_vuCeXi ztmM9R793a1=5>0s9-Q&%;?_DjzLh1rum~07srn0dFTqgio1fRpSlI1H=_MxJ51Q~p zy1$K6vW1RJ^&V51qltk*9VYz_+o6Eh@@=9zqIK-AaV^ICPA&mEncce)_woK!~k&JLbDt%R&@dXJXCz zxrG%gfm6d%7FGL}PXnpGH4BfHiBjQQlEkG=;GQ1Fns4_&h$OIJO9mkT8B31P(b(eJ z<6nq`FNa<LSU|Ix(ParZ*`)&^R`brufF3<^775W(`!UM?+Lhc)JKL0= zW~1zrNWp2zWCC*?Y8bGq#JxZ=tn4i-IcI>sX_3Ii1K%TxzdO=C&b{W+KDD=8$ExoS zDunDcFZ5RTD#NFN$EP)a#Jc(I27Ql&U!}xTHsq^rNaczW=K5{Y2ZIdhn?vM`sI{ zbyW_)(6&Fq!r|)o(pzi>4V~~_CTM7^x6#nNr~b?VXZ*Nb%|9U4im!+ZzM(R5aWJG zy}O6zK)>H(iERyFm9-3mdzUnEWIDX@OAPJzo#+&)&7Q3Jjm>*(LbPbYNd-zCv=27P zdOPmLkeB~HdKW#+OUYi@*Wc31iiX&JABWCpK#Q=A7Xz|XN%D&p-_lF6RF`JfAS>;~ z$VZe9BCA0q{0(LInLi?A6>=2)$T^BNAL;KU9Z8`tlUE2i*VgC29uIHql+JbW?k{8Y zWAny7;dx2#^#UyHw$VXQe1Si%q;YPbiWR-`aomua*YpJLqpPs(NOu<-wu;nrWdf=7 zceXJw@PJBt!GKU5Ndv-9C}wappk&6AcsQlZtl7y1;G!XIgQsnSYZ2KCI5Z~NAB)-6 z&Nr_}o$qk`@&9} zgTcB6W11~i+ z*+t2AReC47%i>VWnBkQT>}9LCDsaS={<;`(O<7`w)_eh}`L@al&2IM)IK4MKX7zv$ zz4To02AhnFtjmEIEY^rsPEOk%Mjxw#E@zJAweF;p&*Dxdu=>3lS?m68J91H=ZiGnN1GC*9GGG6g z`LZV1%2{#wb+>)QcCX*|v;??wXbqS;o$(~`;%ZTe`{P{e<8DG))5kbw-sS5UFh$73 zI$+i4-RM$yG0$WMAqZ@qG)Z+ZNY@B)ZpzffFXL~f&umSu$`k5ucD@;Q43*z%Zg5Ob z>VM6gKo=uuEuuONMNVK-yH(^^4u;O7E7&EhO)jGknh2ALOy#FfG9j>Y+GM-|a?&#@ z)bTp9Ik@|5U197H-B_gju^|wD-hB^lz5&17+EQ}s@SIIbXv`g zGrh8AnrN$SwJ|DI6dq7XGYtOx$*lu_&wg@_U2)dG*&)V*I(bW~k*3Hn9mA1TrRT?x zQipB&7>0vTK8GM$jZ*>ZoQP6m10`CI@P z_3T_6X?!?luvVA$W--DpK_qk9>;1(DY1yA2KmZoZHpm>cg#dnt@q5BTvtd3*bxp`c z(yYoZ244fys5BDGr>-1?>|EpBK~XX$E>(MyjE;(AXWFAN+;tpbIUyKkxHsmu!7@F& zxDi&QuA{(Qh5(LTqpAKOH09feCQzbbZmqUWvnRufFtHGApDPo-8O)2>`B7#kn}vbs z$b@oOvYV3=_pLL>pPZbyx6a$_Ucd&FOkNXSt>e7$;snm@*%#NIJNGT;Krnb$5f8nn z8JT4$;-6&dvrfHjQZezu2@SIGt9sV-!Ymw&tQhZA+(BPLhLRhP5qC>dRM`w*sZ;u& z-8t%LEdxZO8^|T)SW%B(lQO|4zCNUaW%VAmMa)f7m9Ly_LqC1*nn*E>~yvjw&yhD zr!t28a&9vrBAZVAkIZrw+q$sEXTABR#!fe8HfeQMtqsYCc5vD$3rM5XXXsJQ6LPu1 zwncVva0(kiF>`ou-wFxPn>$|gZ()_ONET}9m7C%}f1pMuA@mry=Y)sYeIo`E{qrA( zX2v>Dfj;cr_Ce0ZM!XIfOyR*r8~DWJaFGw3#!!COG!mEW`kP+=J3sy97PKk!^6|q2 z(;VMD86{jYe$C%uMW1+zo*+A>ykp?39*F7eU+S`C$>;!U5HP+<9*)u^O4$cd$JR@xnFBue zNXKfOrW^jb1A;|sHPUO{`|14jr~wPp%br;DSTY3BBi&z%C;Tb?H0vFpRoL{PO&Ex| zmmb0M50k(KiW-L-OvH3%BGxp_#kK^h35PV;lp&kKuX0?an`9~ngYj^t)wBvX0uh8x zh}OL;baL!h*rVx~&Sl;9{$WQIcac_n2WR(ZodZiNq}htRmbNT$(cyPiQ0 zCPdSJg z7M6>S*Tkd{3&mzr0BgmOlid}ec$`+z#abN8a}H4F++ns_if63NeYBS#+*csTlk2iQ zbkdkfyueHQ5%3S^r=1;jDo=arlvB6yx(27B_{2sI{>-~?=Bu+6Q439v83WqWz-o6p zpS>r2EgTbHbWg@BWsNY%w$@-{)H%fLtb=)-@&(!g~-geJh zweFd~+Tmj`@}PI7DNV*xqjPS?A#Zi=oOkIly~sBYI>wvg2X~>4*w#Xc+dcMy$bTDC zLqk*@ERcD!w;jydvF5y&J_9ocGj|@LJCD43`WiO91P=xGO_s)iFv(FVtmlxt873x5 z#AJhli7nDv7OW9na}gt_-8Kc{*W=c540v~t`h%}r*X~$K$S&UiTgOjjloyf%%h&4OiRo3q38%AMZqkn8Mov~ zO}dU`ke#R%?M{KmoGPyLq*wP-s0`5j?|Z3VOGi-MCFEVwKmYk5m?2?v<}Mv6z#^r9~lIAvJT(Nu@UQuQz6}n&|FezdV{%6 zD;m=ex!PW$_Fg6hO*1^

    dH!qOz(~Autt4b4(Mo45Dw&YSAmX02;VD)Utc6Y^yAz zNCH2AyAoHE0nhaT6HUW&4**q?$5Z;eGI4{kl{4qY2DfB$+c~(gZXMQ0u?|9V%r$R8 z7q$10I@6&2GRq;B2x`M8M^HdZ(dAiRk6aQns2_nG;5sE6cjIpWMybPJhkx)1%x4dD z_f|cGL1x~Aq2O_oW$z{zkNhD2BA+83wIU3_uR&jebY}^U1O>K>UjsCuU}-biNxrOe zq;A^SS##>Qm5Cs{Ks2GLX|av@J^DX>VrFUDZcd`;Pp({VHEsJ%(vb~HUgtfJ-I>wM zkPLX7pIDp063gco#SD(w2j{&bh~AmOPh$wbz9J@e|8}I`-Ok)r6AmQCm8+oBeX5o! zAoSHi(pSNxizL5ddv-C+_^ znitbThC#=pJh-?9zc}9}T^0+BozrqK%(6gZ+qP}nwr$(CZQHhO+jjEBcJe1X_vhxk zL03)9R8M0qJnoUOPld!}kR2fuuBus-Y6V4)e8;po<&qH8w(Q!x(7;te2(RR&wFR1{ ztWdL>*IAyDA8dScXT(~}w@R%}3dE(_<|gYEnwCCYm8~XT-XP+CoKsUBp{0JjcyEg2IQl(x(!QQWyU-WJz)@GX4x{y%mdjb` zyQj`67UkK>3Iw26x_a2^`lC5~*X5;-uz0kCTL#TA()Z(}Th{7qTTtf{nHSL5ZY)%G znc0!A-$arvw!oJBe@Rn%qp|5l9DP_G+~#p;sR9z5z^PpLM_;_Ffm*XB{BF8H|GSrKL=oxc&18rsrhu z@S6Aho|e(0aU_6kr@jT$JMNeRPTSd%w8r4?>Wi#use(XsF0vO_ zU=4>dW`-tb*UM?EvVd_ACls=s5KgKi`7Vs|fzp@2@+tPZ7X_h>$GVYPMjB37`s+&S zNvs(wWUDfL!Wi1Yq`m|h9mWp3$;DHJ02BE;K#xpEtWITqy6d;Mly;Y+tkE#Is|D^g zC#u=DjcEr#&Cg1G@*c*MRjM;5NNpd*CBgPkZ?!bVYw?H=o!C5@g+4Mh7v^0)?* z$mCV;MA5cdyTu%fc>TYRQU3Ob)qE<(CQOtN?7KM0p6aC=E8nCXg(3;+EZN{a3&G$X z=cUQHy|pJ>Nuy0Rh+ug-3Fw7rZ{NRHDV(-Dt(PG|E=kO2IF}?=e2gX?LX=YEXef|5tyR3216;sge|FTq2FXNP%9jRGiEfyh$$S?L-=_KLh z9!#a@wk8iR8=g$jWWsXZ-54lOQDiuL?$NW6@%f~%hry+Jecm&+^tf()_Fj=7YP?(c zZt970gG7aP|Cpl0Cz4?N38jjv%;o+wz+d3;=2PQ%d48hTg+L2sh zns&HeGIi)kcpM;h86k;g`cs+S-)7F^9?rPA?nV-kK5l7P0K6|6MJ6v32P>0=thHz| zd|}>xN(B$=#pZg!Z4+8P7fbJerxqr_2Bu zsu=-&j#GuSY%?Km2G;&K<-Ih7z zH(4jYuXB9tK>VzHenG{3U`712b9liv@z#B>RXGNi7-|-&Jg{Y84&?m-yM=J;rfVky z6X0-~YYNrhhYMGF{Qy-hMoQl{$q-obz0xZ7uk=*-*Q!+@LYBuHITlME4bY{Whgo!R z|20H0rLSfBDJ2XTVPmglf(xcTX^>G58d&6?Ps}>(rkz8KToTl;V{mo9zfLw2+n;)p zm0>TH6Ucg`@0vZnvBB3-DdcF|?|J24NFLK7a(!@eKA0_Fjevi;Og&3CvW!_dbPUe2 zWH+yn`B9Mv^JsFCC`;QwAuk*3#W}6&F5eDcma`0<=4l;%g@>auFG0Q1K_%^+$Sf${u!vT|M^8=}@~E zHrxu=1TV9-9&#v6ZiK<)rTqfE;%wA%EMO9Oq>bgl9wLA0oJ@k1&9CUt&RX1@oQO^p zKi+MhdND9DoqcDTQUcM;wgYgEo<;LkKN%^V`&Tzaar3tgqOujs1h&skIV)m)8ACCR z!>d0^BO)T%dC4e&sl}`mW(9d8S*>t$SjT%-nq?a{DEG@}ZhuXo?@AbQ4f`hLZovHoFX8O3L+vs1 zV||=={Ze7+6eM9T41aAaKL#V-gT;o{6dsg}Z+C277-i|6}F7&&X*VF%Q$pY-;Fe zv*%nc`Zvwm3e2fq9;2*Pqk;WWRNhOm21sp3ZMVD#y#yViI<_bBcZW>y;JVT?o^$V@ z8s(~N3gLj81vpDT>@?ZWySW69Ml=p__(sdd9%}|B;Ug#1>RQ(uS#~cc z004z2f#TbVc>D`xGLCpzPd~`lM75*xT_|5KLonO2EiIgta_=Iod8v(^ag~D`Io@>v zfiPDEEFA`3Wcb{0ucfWW^~hhw&339wZ+x}lL$b&6q0;<3YZO;H`8}Ln>FJ6<){b;n zlb;nrhpo5+``6@Gkj<^k0(5HoPX8f?+5(*DTYih+O0q|GD`Qllzzf43q0mq8L;_cu zK^A%REdDmaei!k~YS3c)Z%xX^o1MYXj&p^kLBE997?q&x7T$1f41;fz=sP}L)J`ln zd-5#4v*fPX{LrzBbS`07ls3e!4&XQ#9T?m+_FNO&eWoPV)s^W30!rih zJF@#}bDhh9)Ij=~iH6XD$nI!AXl9B<!6gRfm`1d7I)taDzLs4C0bT90 zUEXY%y_pF7w$BA9p7M`b{h)mAC_#qK*ePh@4F;5YFhg~t1Ea18*^CiFRb;VMo%t;d z##qvmSU^n4DLe3#WXxbe7Eb|DG4vdu?>>rAf_nE57K^S*kLBJ;FpSQRDIf$DRl{=< z3dlPVLR1!rFK&iDEuglMJo>6?A>qBHnRw^Z{4IKwpK+Y)ZhJVtgmx^ej1Rtwn_Vcl z6KT0Dd2c1r3A)6X=+Rvjg6=g^vW?U;Vfr2k2_JRK{!lZGvq=wj;1b5b?ybEg3YN|J z)meK$l(j~x|Kj-DkLQOc?x#E$3T?mp5&~?~S$$1C#!4eTYRbs+blf0WALSg7<$LH@ zrR1Tz*2aDB`VF*C|Fx@fSSs@&%)S_uiN+3%=!0K|`3Sb3c23->dOmh<)ekOztX^@_ z%y+N$77v_Bm*HC&r=j^{?0G?GYYq>=i;kc^gE*ht$75pB8Co@%1rUK%m5D zJyEuimP$JFotdoA^uFwZAKcFN>gLmte(FfR$Vk+}`ed+D)9hG+iK5@2@`cT7WHP(T zXe5r^ZP}Xw{VC)<*odeOgbsm(t3aW3O8vm=;B~to7qjIv5&_j8ybsN=b~WbWD011> zF%?b_!*<-AEfavsVCd}@?m)~I=kYy8Xe(ExsHTvZlf(g(H`F)_&y)$cN3!wFl|w@< z?y;DB{QTv-#5(Ep(k=DAb|*x*TODz(9C?$=hBCC~>4w_1K39pDNXb{mbaJgg<#xcA zICi?b2MU1@yTw$30C*dIS(z1-VfqDaA27i32hbl>4GJ_nDoQcdY!*LDF}QQcg)LP` zL6!8C0jS&jircf#YmtRc@>Cxcxx#m~Ri&oRg#njKm)z2l=&n4Bj&t`(NcHYT(+k1Y0IvYHmzsxpv za8_=t38h%!NMS8~D2%;7nEcjCu6`6bc4sS)zx`dX;Nws^nCDZI(f=fuKaW`2h5|Q^ z6^Lq`BoUK=1hZFG5p`l$&gdmh(f0!1>-@+%jQ`!s&>8tqjzLG7yFT5?X8BLB!wXeh zGj%xa%<7u*=ks_OwElSY8}MxES9E64XSBaa#)PD$hwxz&Ny)vTB)O;N}@xK zW&kC@HPA(lw_fzm}IQ2<4RIk{Af_Zj|%Tp`L4q zC>9c3Sxk!9V%}Grv<$hyCy747Ho_$<6$CXtqD1X8oz+YMCZTB|CaLQ&MFo=ea^s+y zU(#AXi*lKolJa^BpEa55jr(cBE}g@I7g85GXf+AkX;rfwz&myIzZ|uO$STRY>CZ~d zPe?BzTC6yl+zhm30}10Vmja8Q(!Ou3ei8ajx2_Rpdy(hL!g%eWQ3|3;C(Sj&2e&OsVnd;dDq@ zd-OiB^xa#9%e^hKRPXnA=TwW7OR^*>x&x6lIyKZ+IT`h4GzW)Z+Cduge1MmCzS!L*(LI4O-0tf(XjP<5<8%um0e3y<;u@%HoE9L z!;5L}a2t}?;6?)K5V-%YgQt)_vvTr5Rowy&*uG*pZ5K%CROabcsVpWTr!p7!%Ei~D24;FxM8@kTI2X_{$?M+3CD@5f}bO%>i)y9P; zM-lFj%qpZLrc`rozAypA*e=qAz(4T?Wfb3|SOmBg-8va78&WNroeK{NL|dn#%=ySu zkPV2UgT}B=iCn^QQ3SJC1suXr`>#;#u(q3ofIVPo9R(p-D6OWNSI1Q@=f1Q+&MaM-BIFoP&gT8`5C8E|pYHL|pDr6R{kKQL z@uNQd_lKYE=#k(5`vjU_u~QIGC|_^M0AukL^{DL`SS5;|KOtkzlJdz zD&7A?)z$Gw&s4h5cU|!w+PTtEJSo2S&6K>LIgoX9d*%DnGq+s%lijpk(pmOeGDk#h zhe{09do9)Nwz$ z;~`UIFIyI7Iu$P6TF9R`4Lbc+v1#Le>W&16RBjr?>CzGeDpJ61Ip)YV(jyhE=uL8?D^AyT?u2`X;9K^0SiT|LDcQ3LE;w@<##7(aOp%Yn>D5U2u1h6ZEx5)e-&ui< ze$KmB)J-8S*C;7#wnfhCPE#l`?mxi7hPp?VpvQ=6IWO8t6AK^BRs&%TPMs8TSb;LpIq=B#e&Ab%{wCOx?M6t`S{t}WUE zb47L%=5L3Qr7<|^-l2}k5PnlT;-|__5mPamzk;4BDLGh-^PDXjQdz3;amyD{gz%K@Ew(!@FY!@k zk+S3!UZJ}xcSG3VP_;JssJdkm-Hf+5l;V>TDQY=?ovuXsAJl4I@6EV~Yx5j8EcuwF zd1&Md<`L;eCMu2+G`>c7@2$>SXehY&5^cd55z^g4$hi4#cDCW8Tv&9H!a@io{J8$} zqWgHhKQ>vEL#8@uD1#c_I4blUf$6!<{|X6hO!P5_JMpjvX|Tx3{4f@b&%)dfFtwB@KHIU&N&^LzSQ`Qjj?80Y?Gw+Z(lyEI-kyB6mvD7h^8dpR+%_CWvyYh|hSM<#0B|j3s0Fs#%zg8Bk%I(7xExJ>wMW z+HB5Mt#uo}%!Szc8IwfA&vEC%V!>R|j1&;-wI6MuN3S2qqIb%#Yu>4xpqVh*=StLBlFDef8C;)$p!sywGZ1HA! zc=9xnPkTr#_7YHKZ?9@noH<_52XAExs0dZ>(6IXzlEiB{@_@W8Ng+*%2;&~uSw+c1eQ+BGMpmaNNj;W@p7C4I6+EC*jbwH<{ohQL(4EA_h zG5MN$R<)?HHY%Cfsd9EOZ8{OjR$^)(&M&Q1e~vbdgQE@#gp0EY#G}|6i-7`S`k-Of zg}f!FjM$nP7V_+Py)<5wJLR#p{Dtz@`5nKp`TJr&s+qvH%2h`(olOeUtatpfoRBX{ z%*@bqUmrZ$NK$lyJJ=pVUHBd8a5y|~M{H&d!9)+imf3S1H|=@bgXi~wELUTc#RpPD z+ea?s{5z8{<~g$lYp`3#4Jl@QdM#J0o?`CC?SgsLd+7G6;O$!9?d@T8D@9{JM`77MS^}|8RuZo z=VG3HpJa?k?bvvD4;)&Sv{J#@T{(xS@H6NS2=D~$4fXB`VLuYq2^F6gEt3;l)Gw?* zrb$D?7dpk?nQFz&u+tIO%dPa|C-LyCEA<2PR8m(q+VnozyVRPjVUO9a_lcBc8%bg_ zA{86fG`qc>3eQtI&OmKFMQY)+iv4s|zBH@TJ9BM^)l>%?zIAD(4>M)tRrT#6kX-Mn z5JXVr1j4bx6h4Nng$GZKAL=%9QL4|%u{!-Uf#qB~fzD0^_8I@B9WUNxY7xTNzFClZ z!baD!K-Jb!10qqk7b$!o0zGMK>55x4e4_3|)L-RWZ7J*-Q`z z_X-!xp1pP=cIv|B2%VJ83!X6I>d9)R470{w2TaS&1egY;t^|@kallacstkQwr@QZW z>g#3l>w82AG6?twYB(Y#Cx&0wuFxNdoP&~#tGwTBvyQ#Uy%|AG`s8u+i0FXtrCKQ1 zsZkUpyz#N9*U1M=ys9HUiugRrObN4uC`9WNPfTclvTk#mh@fc-B{fd9Xnz8IN{1j zq!$}bLScthmK!yDJ``Q&e^j>`YuD7Jq7EKQOud}s8-+SHl6YT(GDai6{-02r)uIkr zB)N_=50}Z+kc{R_wOQ54q|Un-cRJ-lzs$t1+C2+TpfwD=M_1hurpMs21LAW})A9>k zDw2XDTCgGL{FcQakijf~42=k$$co$qf;yu7B4b2?kpK~!xs84v-*tZHqW{y?^RrUZ}w6V_=iTj$O699Sek~`DU{44D;x8uuk>ow zF@_s;9_6`EArUC7^{(-yr%EXX6y1u2jI4p*S#SiP6W8Il$`$4T9<}vlE~iX9)on+X zivZVRzFNJ1-%p#K9@=zEq2P|(}uDE{t+!BHV&hmC=mC1i+UlAHpe|u%U zd&m~MI^nY1Q(4D){Lg+W{Z(G-u3eo8a1da~b0s(J(pz3H-!-)=cZsd8=1Z?{vRgmz z>G;2kNQs2;&}747Kld}Xl1^f8;~k1!nB>+wVggoU6 z`j^i*&|9fGx=+gxBDlEYvf`sx=V}jJU68hMN+@BS(|GFLpc1Ve()t_v@@&i>P)aZ$ z9nCYET6tNHLpKddI(s=gl_03;I%S+ISer#aIII119B#!X~F3V`m8_*2H zZ39CSgrdhSz#+IUPY{rVAcAjFI6U*~49Ym_uC+pbxg|Xck}g!wp;d=;x#ynp)$CVA^d=AL6HyY1Dy$v!qkY=E~o`al0!9%y7kC$y`M^}QM?w#xdL=op~yNg>>5|f z%l^Q!_Hfi*H++JNcM-~~pH0A|QmZ+YWe`P2b*TmrLqK#ZHGJBFA&G?uAK$ewO#~%( zOCp+2sH&rn#?fypy0NfEx2xhTAU88Fj>~sIi?rEaFn-smbA-769YFdIk$EL7tcx+F zY&bfjQyy(%%5)4jGg~y00Fn!E2SXd1HUn*8PIL@ys7G}}aLh|JT%JI{!RfgF0P>}X z@dT>0S+k*|-z-NH$7q=J73g#QR>J)}(DVO1|2N`^^6H zZvXqdPhk9zkDlhJqJ4k1*oQ`mt-T!cBujHT#JeR z%fo|#N#LOil2EZrwai!=Czv&=>38EZs@7Q)Dbv$ne!wx*r|8f>M`NI^nTFs_e!UEt zhFtUpd@Zr|J#=zn?u*AAqn6(g$Zuv}>BRbICVMhHtSiI87VGtsp{lB9eL9_sT_*O@ z9{Yq_cHQh!UMB;p3A$F^!+o($htUFAjICs6LRC$T-7=qohP%)0OkH0Q@b>~Yzq@S# z9@(a3MS%lFp`Kba^HxcYxj-wO z{7wPI1?{l}SnR(mu@Ld`YmA^0k=GrXM_x=b>3(77^2%Jzarr+qgd>Di?&Y%H>wKS4Yi0n~KPm?;+sM7+B$roRi{<^b0Dj7O&xd}Y_N2;yR z-WX-?X!~JYi6=c>C|*;#wK1d1we^DK>qfEp61@?&gXxE0{5=B5hsIb8ot+aDrl=s& z+6s_AaV_C!bdz;#fEaE}5$p@+X}^^1hK>?_l`uCdYZ5RPJ=@1xU3J)kW>nN|Vr!P(fYO*u=MI#)w5slAPX{Wlu`QEox!(H039K!p?Z{AzDmhE*i252D(Fr!5EfoA6`}0Z!d!B?=6A8Py+4}s6x<4zW|C9;9pMnzU(4+ z!)$9!`5A}m6%Lbv7NLq~df(Nc(5u*k zDtjl_O}{l24A3Xgq6)z39Q8^b;g;hwqT9TnS2e3IO(-*7?^-5f=0hDwXyYYx04b&9 zSTINs6C_%#s>fJqnx(CUfZk|D@Mz3<@*?9@YLoz$BkV#t8=9dBGlrKA9_tW-{df>1 z&{2$32&>X2AL*eSSup;eH4n^CYUZ#8)-|_BSKEHJTYh&eSisc8E)`F3Z)~8&oyncM z+Iv^ImG!bIMBC>^dY)R3jb+&7ZYv0F3Sq~xx_yyROU;eDLmcTcfsC|9m{Z@E)h<+w zI2;3?TVl_^EF&X2kzAd>cj>MAcrZR%Y3=jpUp52cwGdD7#<=Ms+<7P4J%7vwK;9QH zzMS%U^1y!PXhU{(9y1Sj~jUk=Z3YL_3x>Xxl{A>mS{wK&wUUq6?X}E&~V%tGF`SQUlZ$ zV*v2IjGk#{P5&DE7LDuKXXEDw;a){1)v{3w9D=A81Izo{3d<*+H2XaIwD~JLBD{g2;d*$6|p?(T@^L(K5%YWt!yk3 z1;=U&Qvf5oVXdaXx|53$2sRoK?o_tJ1hAMw>~qRFLREd`JSmw=zw42imck)fKfTfZ zshB&9I$opJQ<9Woi&@n#>Up{5X9nmlZ{2^T5Bo4lhi|**Znmo$#!0zciJmIwlupf; zDp(nlM;y~-cibKdRd36Jm{e>Ne1xee`^Tkxn`GBeuKWvS%G_i{s^lwmG*cY5&V^67 zOeSITpwT%PY@pMfyK#8bV^eYxz1{ArhVL=!Bo|Dzar+kM^L&#@?XV>6qHsOAm9~$GC82&ETCMjFr-i{rLOyyZ(aQ59h zz{n=_YOGZ2Co+DZx3`mi94gLtTjvarp}C_470}P#IU@KHPaYn3Zo(;glveSPTKT9 z*vQqrwzQM}yrm=`-4_!6;lwT_{3b~JHw{X0{^t|Ea^~+2>?FWy!s`yg-Z*77^V*8q zNfS?zaZ2ju`1;x#F2&d3a4g;(NW2u)%#YS+T;I8a!`g&~Xx@JPg71nRl-v9hQ+DvO zo=Lh{M9&8#((AAu7|Dik(lO!fQA$SpJ(`i&>$}Cq_8Ae%bYv-U5#rE*AJjCG%t4Q+ z(R@%1nk-NCba=w=4EK$C?+OIuW4yzw13`qmxpl(ObaMUt%~k#Rx}p@Mfj6yii9fYxb+(Gm148{{u2PpB%JaPfMvH-lBE#X14 z->u=nvb4u&!-Uq9zPHSNfvqWo>-_Sr4pqVWs^F&6@TNX%3NDre>%Jm%C>-AO3DB@Z z{!^or=>8~Ew(D$vVeI)jpdZA!)gIHXtimE!-Ec92cEq>VE%32tT3@yIvFtM`uV-Zq zRhzhyG6{BXsbgA763*VKmNTIhATJA$=ZAA%V7!=SB#Wy;#w}-Z)a$2W5Rz8tNg1a` zN-BcFALgaG=<-gdol|}SqYqOZy@*w{h44uayLt&onpXqL9)Z;+%F7Nz`OSX1WSI*d zZ|@pv*)ZXxFo*VCQkaKDYNj$FFzJWR?4vRbTwTQQ;fKO7I&}q|xWp$Z<9>tXXI6b= zbCKF=jXZ{ZQ$FdQz~={vRRD?A{?YIgdCU-zL>w8oQ#;~!HP$N(a7Lroi&QuEc zDF)(%a58Z^5nF_PNYV##TBEVURF=u*U86Mcl8+%8s^HvBICuL6G%gxdm8X{`;35 zZ;^H`fMZ5!=j-9qBCcYNBlkxAqL5-;axn*|0v6nN3MQnE-tbg8Tzs+@+DGnz6&_o- zZb)+Vd85tfYilQ~^v$n;1W)Af@n}V2dx=CS;TvcC`*wbZVr;xtBuFITc!r;12U{b}s zViTrrt26qTx+Ed}+M(^6*gHikA&6fbWy#8QozxqmVI8w4&zIB)2yx_ z=Huap{(h}oPx1=a&G;VRsM7CL=m&@<9zKOFr`lO^%2I$zr_QE-7-f5f$}!oP3gf)F z^d96W>w;__3n;8%KhcGksFdMG=05HKzJJ5J$Xb7%r&+~No?Dim6eyKvIM>;Ny`mWP zyvku*%i)I^Ph=r5t5t=^vuf6OPh{^R=uWs}g?|+NrbRMVwJ&D$__o7ju&6SIe{7~) z4mys7`&5VFbaXhp?}a%hQ$x%+3f+Mnm>_%A*0JJbveuHa!IP zwvYV|7eX=Wt;7UK@=3}-L+mleZ-px-rOndEhW~T3_Cn)$2|k-5?4H9 z39R9z-dqZMaGx{tF`E;W#r<8W=OJr5cxLucykj?fwsZc#4Zr&>yM55mp0gBPD58|?dUxlKOPFH%^(j4o8-AU{j5+A4<_LxQlba^1tRpdZA;IIz{hK8L#!w76*Z7IU*hl)L8H{{nF! ziiF}O93c+S`}ZWo0UHM?N6S(Ag`!Hcl2}!OtY#%@87gN&JBMoSH9}=$4knx+3Ik1q z(DD9Sda2Zbmyzm_|4QsQaMaOJIxgy_H&Q)`Ny8T4r+W|)TSux*_aquKmCxie{Zozg zhBn%#Log4M7I2d!Y4UxgYUd!jFO+HI8+~$xcLA+N7P_XtPwfnIH?t#lBlW`xPy-PO zx)K>f4r$?KLDrM1Nw`Mhqw8V}PW9~NQvY`Hrfw+P>!%H^U$kxS0L)}Cb5Ex!bFJH4 zxhzPKt!FZtFb$de07-cl1E0$sG=vQ|DA#)_v%VZf6y3HIaMi^9R4k+^RlYn2^^R3_xA1B%Bhgq{20~F=e#S}7d1`Z>eL`( zMsKJwzv_+Croh3FE;1YxV}prjW~3YPx_Ip~qT#b49+n-c5&NHw`%d3VYX?e0m;E<{ zHgilH#tzfYNFBWs3oY`GJ8ZqGar#u3VvV|xbrMYH&s|p^xcYnptF=+!_W~AAL4nw8 z)--0`|BP6xyqB>u=eMWv{yegk;UbS;tEYZg<+6%ftNvAKgvi7+>6N>zQl789xz6WOAM2iAVzC;mBC=)L0?8j_l`12ayvT+6Fp>p zS;Yj&$CLQTjzsf?x%BCcPVh{uKUYDV??Tdt}+Rl>5Z<|jW4XKl@fTi;2T=+U(jz~rp7U|I7{ey8-Q-( zwk7_^XgEX&r)?Y$gTN9cI6;G9GH+**B3}L^NQSEDUF9`ZmdSB#TXuGeNje~dg2tW< z(>Jn{a!eWuYTK1112c9>5)jaL^%wDS_kL$v!u`i);GD;LQ}`fuEY4^Jd%KFAA4f(ve^c6n}* zNXRx`!=K#Q=n8agzY@nGzw5}C5M^Twvky7q8}T7&$Z+l+F_JLmmFLdy4}De?-{yBd zZq<#?JtZ?oI0(32?Mr-Bh?Ij)hHqK^aSc~3*WO>{{VpKy{Aw*zZalzdove4C^XH_ld zlbgLdhY0dzgm-(E<5C`QM-G~9o zJbPjC5h%D&1;|ag z7d-b;X+TJhf^np;HxGcJ$W1?Are7srxh-nBQ9%mv5&&T^JG~ufDHl@yXY~m83$%M; z&04La4BT4zPoS7Ej@6|IOPEBQz0)J~9~>|Py+bJaA&;&kK}ofS@?IV-qP;~dN0kq{ zUfHT+zi{>9M`sw0$gukXRIfqDuz|v1dQ9af#q8~4tY8WFcYw>BsI6p;5Z-65vGjL(b?ZEC*V~h+Qubi!mIsFuWyKsfs*9B!CnzkE8Zm>2U{F zYRUGU}Z&F2;|lChBYnq#7d9jRHZV@+FX*gGUTcvnJ_nwN5`^@CY1Of4I!vAj))-bOs?I zQv5w-(P9YaLZAu@^n|2q(`C2aLJZ9KVi!Fj`hNh3Y8lSRg=Gq&6_YKmUB%|tC@_E` z6n(5OH=3V>sy?Y*%lOCt25GlGcyP4h&j36if%91cQq@!&bu`CX;8sK*aR%pqhE#AV z)TC^NSOLT=K$KL`i9)$3b(QBf)xhBw$*KfKMugI~9qO)jsE8rA%m5;?Hc<#_HeZpe zkR0Kn`l;pvT{UnGE742Bfznu`rtgrSgJ2Y5o5#%C-`K2DE|u898~aYU=2Fp;v7L3d z3xK%r1psgjiKTOg4;ovGroc{VIkhJc!v%n>_W5Te;YMBd&yDp z7472{5Mxj9WrHqh+)A4ub4SXzCTMRoXzm*fol&wPkcwm?s3^E!b5R@E9@~Y9*N1Zd zWvMD@xXjyS5ID=-gN6;4@N@a74;vamaSXRJ;DBlF$5i*OfzhKC7j|4?KJbY+Q=n&8 z`>b;`jH%7(c<7dkc@v$xAUo%fxyT?9XaK0R6JK3Q#p0-!TQ`(R&-TYrEOCWZlEL3v z^MnI zwA7Wm^44DIUS)xd^^A0oA+nrdW2q-*Bd;iUVcA+A`jrRN9>9R45UYowk{YzsY>1XN z%5Gvpf8WAqsUd{g)rzO!o-NCpzL_Tzkki6`-^mwyJ}z3a++oU^s^WX`&RS7j3Eufj zS6AxD&#i07`fJU)Bf74>(H@H*e%rN)Ml%eyK>iVTkZl}dXziQT<*Lu1@*;HSO8X_q~As_n}d`bl*JjV zW5sz6r`AA@M5apqtZqO?ac;?aihO_45OE!;m~d6Z;?{|(k|M`BgNQ^yRs8`K@@L_E z9~$%6f5#PVM=Sds$CIEKDap-c)T8@LXM^OuXgEtc{a@?2mMywK{z?+&mXw<4-08n8 z$3;@u#0hv?iS0|$N%TgO?aua+O-C-BWL^zP($!-n*q0XL%_a$Tc?&0w&b%PhB2U#< zLoWSHtCNU)%r6C%_06|nX}ashQ1aU9byhdvxUzl4n$B9Q(C4(hmb<*v_b9vl3-8MJ z;8>OmIvj69QHIGOdTje~(ihHo_P$=MLOKd|1AW-e-V3dPSm%D7(y2}D5KN{OjbePA*jixt+GkvOgKShx&Mz~W&2&4m{r+M9X~FeBP?-l#^7m#k z!@j-?NmR@Kz1e0JUO3(z`n1eTmk01?1%E^Shn+u|PnpL=?(fI^BjV#DZ=mmMFq7?8L0khUS1VMZbBD(Yq`yln#uHyNfzA@|jE80FBR zp8{vgRw#GzZni{7*8gh}UMRO0#&fPj5uf&RN~I0z;x1SAtwskrJL~O8Ql%V&%iuJp zPU=MFHMBFjzrYGY4bYR-S@$k=MVI^8R~=F-VE+!#o*e-6=w!#v*e;i|$Ob;?ery>maeBoL*geb&Xf7hOuAi8R$z!KX5 zgRn3z1inbHxst8Jp+UX%)L1z4R_K$p>*6~7O1VRycymnz=|kgzJONaVj;!ivwQ`U| zwiAgh z`7rB^$_Q&s|Hs%pb!pZ_TLMnowr$(CZQC|0D{WhE+O}=8(zea>oxbeR7k#sTLhKPU z)_m4FyRMcc52cCc;9B<`aI+N1l%fLDngCPtmX1U5G}5-H3ogiJd-{|;n$?+N2mkSl zdZyF&zhLXKRVLHI;%S@->~1jLNtlxQqT_vR*%AiJVF=?* z&+co>xW=yTWjiqy3)06LbG&gf(8X_zH3EAYXteA0ONlWkI5~}Rp8E|SCmOo@t(7s> z$R)0&L-%RCqqYuU^#Lz1lM#q>Hz4`jVdkqFu|Nt~k_x4;&#r{GXi=fF-r(~b;RE0Bt_H9bu9)B&CuYuk}%89JM7Ehb$M-6fz0E!(UN zq@=xto;=8CcB0X~n z^XI9POt-zN$J>xG8gAhB33=}58w>WjJRBy@oN-fTqM8dp^e7Qr|ycHw0Qd7ia1CznM|J%Gg{i zzT)?hkyvdyqcUrL3!>BkCzaPD81N1FqcGPJTlw;tr#^ZWhsX2?*eQ0U4kS3O+`p!G zK~q8(DKNbj@Wk()JdBn209s91b^;%EXR7(6vWAl%qmJ|haVHuGZfzv30K$ENo*q% z6k{Kf!C{3U-VMTWB7H7qf!ksKltF9o;{iTh!HraSGe066N+80RJF3+3q_=+P-L*)o ze^4$eR#c+O6G|{b(DT)-C=zBha<>&GUE-+(3k*P{i?C7GT%b!zhg7j6&a=%*bkySe zN-I%NYGiwLpjg#Y-<~gX3D_2+^+thqw2+vlQk>luG5rwhBqd}bHPE&+d8f>sPgy6p zL-y4b>(G~*__d>I@%?E0vKfum#T{W_X?PE9p4RBw0yRMc5KKX|X^)#SBr89Q!G@WW zRiM$MTbrd|>eCT?Zt&}zIKgc5$6}(nDYao0p_2+~BUBkead{aVcKuvL@GI4wL*`(d z*&CvNpz0vo_eI|VM_J+A^9OZqN~`F5<`5pWM!Z5Wk|!cLCn}{lD;qj$;nUQ&2*6As z)K6t_pJF>|?dO5PDMV5J9??>7q>du*S?ge0?Jq%LVbPP`B{gp}p(agclbA}dVTkEJ z6V#S3J-o#*E(~v{d8zt7VL9K;5rS`{4eHEcqv4@aB*>pLnKHC%;E_&dDMMJ|b7u%E zj6lhWtIx8$u4G~gmt|*cI~5*+A22>RTw0jvXII{68f4ezwbw>f#89cQJM7Bogyo`F zd8`=$O~I1mFsXy1bJ-I|U=~1^NZvFSFojXn5iE}@o-lK6S@g740Rl{vckuXoX;GKuz(6FhH~`4DXGLQJP+5AV zqcv7VW%WvKrr948U-My|m3T;;{1i(oiS98F6a%1+TQxyq)Nr>v}IodyB_3;p?-QW5=l zjNsX#>23N)0dF|Vdw7?tKks=18;Zt5vgl0DpQSaubO>6>1qdcjH)fV;D4u}Rn33@O33rHot! z1XU4}8HXPb1yAgb^^-zzo9$D|0(Q7r3r@ne)xk>;fTHHkq=CNGUcXhV+1g8v9_}E_ zZay$UEPL+~e6(DLMduPOHd5fkGYqJBPi70=@r#U({Q|InfG~37mQYP;gx+k7crsAU-?6l z_c`{wo0>!Dz^%0Ya1fcZj>*;wZFrx{7D~#19+2Kxzd;lVLY8ax?q-C5~GG4b;$1Ko~D3WzeK;8Ac&?<*Lk|9ib$3xveZIcny zPBos^m;a5VmhvC8PY^{~-w4ZEVRdz)mWNP3mF7#vhY56(OaP0QwX|X7C9AhUc?d-z?w9<@u_ula z8e@d@U}X~0U!lL5YATsolOkG#);lXLT^6SEvk8mT;*sSRBgK^cN2e^cKj5?aQ4KL2 zkQe1xw28dT)qnNWO#k>;G-!vH>ZG}M&wuqgHh^}a@G)vWR zy3Q0%$DQmgU8Bjg=^)~%k14F(sUaO@nNGNFo;VB_^QC9VTPQr|76Z=d_PXa!^G!DX zTgGu1WqF6g1=DlrHf?%1MGYge^pNh>1QK&9+^G%U_~8uc8if+aRc6H`a$3~~{!DnE zKGgepNN3Y;YPnC;`RQ)?i*XsF^ODOIXi?I2%TPf`Hh)j_910AdRDP?8A<`v^0rD=^ zrKueuxZHHajS8YbZKBEQd`S{T*p8k{(-Xvs%)PX4=K#hLDbw=xj1yxqN@+V4%!=O; zg=xtHltR6uLrh@Kua^#x0)5*+vs3?@{?6ge|D&{KTQwvZO~)B^yu!^D)!B}Is5pYt zDNEsMYT1c49P!-*3C=8WcIxjb3^>(yc^{aD$@9(E@y6lIX81bb=9O}u=H|Vip=qSY zIef}Yvn`Q?m{Ql67AxoMg8KJnDIP98o=r+@_VH7lHfhg^_)4;B%*fqdK*>REae z@Vtw_zSS!?JS{PA2GpwrQG00CIzUQHR-dE5t%d&P`_oE$;7cZb3WPBbVMGu^Rl6#8 zZ5|>2aCn-pTKIobfT)52sf6SK%7W7@-pTn@K!UOOw=VrR#D9m#q`NYo(@B&QI3%Tg zSSam-J)tzct1HL!=}yzg8pdU%MWmC+n6T2(^pn6Wi*Y8j8vk1gL1t5x!(4tB;&lRM z4^HI9XlkX;@;7Otgga({Wt8L-+cZrVyEudCWOsuP)`9`*SFn0ZDhjQ%>%V`vcA7eP zQN@DQSHo8kwv@@Y$I4kW#;AI#+GLA}F+yuUEv#-3;9>Yko{v)!G48`AEB}-f4YR&T zxlRoQSLrrQM8LU`UGrjZjY>3HygH1DE zq=~%I8^f2z^=Xf3^TrD<|AoQ3Kqa}tmRtT-*^08^AOBtAOkFGD{4HS=ZXvPp@x~qkVf>s^k0;NaVHjP?( za+WJ5NSd1iSpUE_I`)d15;?_S10pRM$Rx`7gHJY`{RY+-6m>izb!8EPp+nyMa4{v% z*$56CBP;jcoDhq0vTp3o2JBH~=zHD@n-%k{CEysdD6*Mb5y0?>s6 zkQ$TFUP3=|D*;w()a2K3I~Ol&_yQEb@*Q>DJMZdW8^u5i-k}S4ev+;W6rXWz0aXZ1 z{A}U1yu*vd(Y3oyiXv;Yt^!FxcOc3Kt-WxdxzED}(Qs6)@$^BwFxel%&zyb1%9 zNlcn-5Fi|)^#TfU#Z7V97fuR$*r$FTBCs&*C>B=)5&eyFwhE>usKgPEjfI#GIJcZ%ykqBs zgT|5@dfq3E_t+xXvM7~$vwb5@^b)KdENkQymq&{M`rOA2M>Rn}0{07ODgZy7-bA+$ zp>Mw{ML+(!fnSn0Zw{h^j`hYYZVYXkgPQ2GDl$_?U%adIL(&cci zm)dugbKD!CACh5y-o)-6XQ{exZ(w430kXsVS_&ubB9Eh4fuf}vU;Jrs>p#}8F_wN= zBKy=)LLt5uHo5wuHs<1-jKj$u**`di8hS75o$b!hA|bWH<7%tD%7Ekzos}>{_oG(N zmp-%bAS9)IVBBt`>KBussS_1}K0+eRpCQl0YD{%X&a(PIt|^C2%RJuZyP1TtbGa)1 z!(k*^4f|7V!QbIbeP-8?pyl^H{928Mi0(q++n)*S6Q4X&A6_VLL@OtAtfs%iM9+Yq z{^HDvI#Tfh8#domEt$tz37iTwxhKru0E3itkFhTLq3syn?jBLW+&f#MW!{6oj@nXW z1Ew(kPkRnNi_=HUlk{PaV+?=wd?LWnl69Y1x}%1|orAvV(Ir#eXWc9FsOn4t+2AB6 zS&>qmT6^M+V7z|u1Oq+4^-M@Gh|DXDa2NJ;(m8L@#8Y*aUk;oMz`@jejI`RE+&A9mEJ)ANSNXR6q&EPY3;* ze9<5H0mrWriCfzOkGamLWBwh-e}0V(C)!8rM0-Sw@SP?vX~qJ-hF!7GK}9rlVK?tZh@>Q>`Gl^pS_aT2NrBw!mge; zw0W9@$oq`WL{~MuGM<*bscPLm5s!nS1l;sWFBeFjMi}0ur@BAud)FP2>a~Y4frpc{WtZ?>L=5tI{GgSKvho z1+sBC9jCGkJLAaE0U9jRJNJX;*d}cbNt(Hx!M=H&!8;YIu4?$-k<$k0JSicF1(ZmB zol^1lrp(^gH-cHl~iX}!fTl0J7uBwRD1r3~>bZ-WjhrET{{jA|+yPmWTK-6|ujfZY%*H)48@Q$+5z+};X*TKW zrwI;nrl#&tnZFpbgI5t7`!s55z42`9g0!(gw4V%^wj~&tl5d9hVr&6)S?s zsW(yxe@U~Q1pxfM5()je*>@%N=y#zshvm~_G>=IAT!1x=U|yC##!Y&TO-{T%v5;Tt z4-a?e3$+4G`s|IZ&4}d*p$R7I#@m}20aC$qqvJD-x~Jh=uyk_-#QWI91Do)~Z2Rb+ zM~5(H$&n*&1SYPzijDEhxEtXC`ljh5^hB~r-X9%lE`AjVvE3gFZ-pf($a#39AqarR_rU5znOzVW0`@Lp~z)Vd-d77 z3zbu9*Urr565lS4n$`_2`+99r2gFGL6^db_&z7O1>fS$J5VSjmYw@Qt4G@iU(rJ2E zpKz7qer^GZxTCEFa5m~MI?whfA6`00$qV@)-IWr}&c;cLMtG86fhBK@E%=xkQlvf7 zxW|4dUMlNo3-bc~p>*kf6>g9kQL;s}OF zhaSZkm9ONJhx8RPH3O}7aaq;_0ftpn1UM*^j1!j2tzNI`+-aI;tcHo;oe{WCdo~NU z63oVxexK_$Y2iNCg%|7s?Qb2g@7L<22X^#ECJAe3rKuZLCjMclKI+^wod4`cuZ@7rdW#xhkV@O)zHCl24F`^>Q*WoShCHoKf>aeYIAg1VyJ@o1yRw4`a&I)st7ig#uEgZtx-s zBZK@EvIlqRSO~Q$nL<8kSr(NOHBIc*4c`qpw$_<3MaWNxp9ZK#gY=vxN&2{!Qrs=7 zZ_P|-DpyTzA}=u)WX#Q@sPQ0ZV`1mH@At(gDj!YM$JdL#3?ds+0tG;EiIjDT)w6-4 zMxl}#FjW3X$sgJtf2{XE{=Xh*hkVcT>-d z*p3NbeAgXK`~E)m-06uK{LoE_Ry=GyHOOu*fU7U6V#J2k$vwxw6dz%~grS6FB-pPT zqw&l*Z*7`{W(bY*YBI=`ogHbM$d#3`Z$N3!GUp&Uw>aU}TPR>IHgi=}EP-h+;0NUROzf)5ra?4qp8Tp1L{>{5FqA}_G7twb#KS$Fgp)I3Q`PhITF zI>k38H(Uz#m(iJe#S2EA#>)D~FbPsUAjW;-bKmgix?lK;KBzX7qTU-y*lt?~c$xt$ z8f}|hTI1v~%>aA3syyyzBM9K5?1eS3ukonhMOWTi2Ffpfp*@wQbpl9lpTu{>^d0AS zcdxH^u2;-4e#w~z^J4JfNd=Kf3ac&q#n?Cs0fkn_35EnF_iHJG)z`%xg(%FMiUE1J z0yiWTZh{F>c)f2t);NO4s7>1{?Y3W=V6+5m0oUDrSC}rRkoCB#HPwjqC#)<7OpD<^ z*tGPTrNDr(;Z_$4&{sQU)&x!^2G~y?NmzM(GSfni+;f$(ppzydkPTG%H8J z%VESXjANtFS7;K`zDortR8Dc12!nSL9?lYuUNd;b`~6|hRg200E9Gg?;C zRBgKnqys+M@X!Mr6_cJKjIHO563*bzQ_^K5efot!yz$g_A%hU*w|k!Gw>l9FOp^Jcut2>mM;TP%eaaYxca#?6^3z`3<^tXuuV^_fvIt?dz;%v z;j|qFT4RYcU;~)cP=|+`DZw`^;;$Kmsdw?FFbFlSClK=aY6i~@^5Pt!p&q=MiYIzKbVe|74Yk>v0I*NYy{Q-|0MG)`?U$u>{x&G71r8Rx3J z#g-*nBS2u#a(;f@d)M)yRRPk7K$_yJE?}gT{I!$f;1fI2s0zAz_SqPoY4*|4_%7DY zL!1i;oTOa5__e{Pxjx6mNPq-kBEuw)*GUr49L{!S+UMkmHA(hPz*c%SKA9=)5ml(? zO4@9ui@)saPMw!IKU2rG``We9l;>J4mUf<*?s$HUIc|t{xmWXsv^*Bhrgfd!fDHLv#rHuS(SB;KPF+eN`5iQ{vE4GoT7^$3c`g z7CeS_fH9ksgsKcxC@Mq?It)3+;vTQ*4D}rQJbBU(?F&-cpGYYk5}X}ZqMXsSqzK{( z@2IbunW0%>tch(&_G&3m2K;XFrCZ0^Ja&Xz-oe!b}F1Vot@uY*H z^PJ_{%=Pz7+zepA7(EC>-wH!3!V}C^9ZTwFf4RlB-85d+bx* z!6!OPb-(uh%VwYlFr_I753|7)PX>EXobHxXSrQ4dCe?Z7uICT=Cer2Hyc%T!d^1;B zH?^bkNd%Xna`cbk{>ePYin)Nn#TdU{t?GF1&53O!IWxLa{TkCxIcPzcww{3Xa9Yw!ca=USneQGLu}wdA5_cp{cDdkFQA9KuaG4El>BoH zB9MQ-CR;<0%>NE76t%Oz+_NW|sWja}uSs%9G~6qf&sykopc3O#MSmZmpVMN;BVKW! zq9oze1oO38pY#Q)SxiKtcr$~Uo0OpY)6U=fl`O)}0`gFjsPs#zdWpIn@V)zManlp3 znx`5{pA)5&Dpox76}%SZ^h?Nx@w@xWt`^=wfi~Hr)sA5bbO}0CQ`}vVIE#n+!>jOy zzKK#luWZEtZ~{DGi&Pc5;Z6<}g5gVSN9JUqnc0pYH~*Z$O2XBU_Q{K6IS zV)jEh;gg;@9mE+(LvyZdNzx%Zjuc5W-%<+oE1Ff6uQiQ#YX2!5iHyzs90A%A#Jx=o zw_H$!zn>}J0-AlB%b$F{Kkeor2K_eZe#HJn1w?0ZqykMm;LOEb6OT3y?K?QNKZir& zJ3wFT-a2zdqkR&l!2B#mHF)(8BM)kSVkjW(=bTmV#0+s_SN->pX*on9bT8DM*n^xK zv%T=*ULPR9gVrmM_>Y}kO40e7L^3#naVsy=6Q`h(5Gc9x}IUKn=qSn zX817lK6d%wk~ud!b?j-1V#Jzl-^ zPBUpm{+CobdwxPObwMHJE(zW_0%Yvg&F4BI#<{Y|ojd4|P>U>~1mxs!dFODd#~V54 z7*`3P!NVrlW`T#9)A zvS3_`PM_Is4Vi@T5$kae<8OU~miV_$3QatXccCgcUO*s?uWEF=aBU5SqS8l9M{apb zHl$5}=JHl{$?;}LZmsaQ#9D%d#rK~1KWk0PT5Wx1RZN7VCZqu^(JHf*`4a){YcDz@ zRo;sR1|tbVW*g0CfGsA|3x*dk)9kvk)tdUvm}s6x2Q*q)PGr5bojgksCu;)6KWUI? zV00g<4TU7jz3q?oeSu*t=?7&fLKh+m0RTyzkLn)6Vvqd=1_igng~$CSK?xk_Sgq+i zM5u;3%#RJqm|qJZ^Re5Y4a6^+W@VsDqe5TPBOrIEwwr_OF*Xm+^|h<4vwkDT!^vxZ zKaA6dSk8y9kcYEk1=d`geJS%;!&01vs6>0=sFcQhB*H#9Q7aW4-mR%9l!8{KClejo zC_P>TiM?i?oW4_5W=#n_GwZ>_>u5jmkf373rf|qx88$SanyqS9Y(gp*jnWr;7N}=z z;zW*KoTA^NSP6V-7Mh`Zp%s|Mq9c7zh!Fb5sqC{|H3K`gbE&#)goH~((yFeE2`2yX z#trhL{}wW@aF>2%}aee@_D^z2?NA1+Z?X{^URlHWh^o zd&LB3W4}mmE%1z(j!(CtA-$qsy?0cFRB45Fk*FGy}W%`Gwmp?wCb3A-*zLMl5bluLvfG#|yyOriyjlh!ZDv@ENF7 z{48AHxSeN(c7!@&ii~NTg%z*nVX@r`&48P-Q4Zbgw<6gW2OVGbOJ%XFbwfjeP|@&e z@3b^CaH*LtNKXJ!P(6QSM#P9{#kMhf8SF(I${%9LoOQ5*wb8>w1N18W3Zfza$^Gp) z{LvNtCc=LRs99f0&U>LqhkzISm3uw0&##me{_;JHa9^Fu>wA6knHXGn5AS;+rX*rn5sIHeMVf0+ zmqW><{39DYmTq3vZiUmVI-fxOP$*~h-}i{zvDp*rqL(<5{#-@!uC4wEfw9;Uwm{RZ zz-^Dj`)DsER);Yj9Pz$sL5lv>NuXS${@F-_ug#aa?*zq{_z^Np+UQ2%$>#nbenFLS zb;g|{TY+Pfw~-qN@pA-8afRL2>gi9CEk(I7xZ7dc>n#l>~s3KynSX&Ms9lK ziTU5#`YM2qNUDWMH3`>?p=yzXZK?s%Yc z+!@KiEIiJ$x~S|-3IZ1j^I=5FLB%IKviXl+2HY(yt~5kXgR;n(`c)c=lv#82D{?SE z0@Fz;a!jcn3l;E$sMYApC$d%Xq>~su&$To|lcEsmVC@=Q40X-}*^J|`iYJLm&IoXT zj$BFV)<~jbEAg$jb~anM`m+`WZg?1uMw>aCIqX`8R40*v@l_kPBG!DJp>=?@WC5=( z0sh5)uN#U^*6Zz3vX}WjL$V~!-BKc?26am#!XTS!ze-CkR~cN{HwG0}7+k}WQc)LXm9gs{wVJ+dw)YeuHhTSSw zi$o#3_rEu|IFO#glF`wwdE3;cdG{H0+0Jte%4{Plf* zHoPZB{!PY2$GBxQs1&c9y8V^%{5?!(xA<>~?(O?UCqx4mdSLVoPTrfYe>&Qo)kmOj z@?!KDXGzs%=V)I#XUpY}{3iW?{=s8ukF?%0iJae6CFb>HJjV$Q=IK2LHtMa-${Y$o zSFHj4DF4s(D8rB^sYMvQj%HJYap&T`TkLJ%e|1M$PdDA_ zz!T2{2yy99c$W)f+@nK?4)J7sN7dmfE@X8@%u|>?hBcQf8)t}seUPQT``>d#YxrZx zaWj9qo{nzdRrMXZNl3|w9qr{Vg_Yrr0;+#wcqyS1HB|;6+~wx#ku^U*vJ52EMB$?b z2g~Z+F^C~xqhrp%%3SLD7VpTvwfl-TvAzIIhntUz=g@mdHUglwgw5DT@V;%`!4VT45koV=Iu>m|Dal^~fq zKI4V&2m@$jaKK`*ZdMoUKz=K8|I|;S)!N;HvSZ0Nom(=qD5B)m#kz6j+S8UqnBdX2 z#9&3OGv{hBV3AtwWrWa7K?ApQDp`fG()xGXb|YrWm?rLuB)xmu0W zcD+prS5~@Mi2ax$Dk~f5s4qD*!Ko5tc@f`jm*d`o2-EatftvD6@!y20pDzM*4ISYG zf(PK6>AFKMKFLlwhxO}tH8NnoM60JX@jj;6XV`e`HIx2e=?QET?gDO&S|(zf`RL~GQ&A=1;Jl({D7X9>k7BhcSLL1 z2ir)Ae#=T`c+je#nmZ*W&*8U!bTtvr1++g>SS)gM`}J(vW_MIivc{IfWU~YfQB8Gr zdh_sYR9BzunXT&B$6nX+p-mp<)%}aXnD|7*5pExtZ7d8r2IcEZ)l&tti~1!P>o zAHN)Wf{8I!@qS84tQ5i;qR(+J5EqSZpDyTv;`k2w5SG7!=D7PBsz{fYSH|o)bWPHh zDl76cDCIvR?)i-B>KHWg!n_8lM#ZV^g<8}|or$pxGRMkdtR0oDH%)T-z*Z_Suqc`H zEJ4{TeN{?um7{A`v&dh!;86}c+HYm;Bjq=G?`Jz0sDl*3HvRykh(5UdH_=HN?Aq_| z(11>cE<-q&PDuhWxj^E(7SVD6vV~KyUd*5XZ(ReNKQBWS| zwK7$dXS}$ODo-w_-WE>w7IA#_;N}o{I=2mbmsRacbSudWN*pgz?Q`1T6;*3O;kz5k z4TpF(BELSw{wJ&Q9I3_}^gx#O>z~wxK9IiIN`AUR}`QtJPO$z!57=S@6w2+gSarw|lqS%Ifp_hDWoU zJ{jmHT|{c|WQ|!w=Wak~0@p!l>38^C)+q8lPp0!(#xkL;FAO4SJVW6^%ck5+6~RNu z-NS$r%g7+03`x(D0aH6_tap>D9wDVMvikHe9_fl4c0NnZ1!n!pahiEK&gDfQXErkc zd$h3JD57=V(khYSs{xu7C+7Tlff!aK!DFn@uGq#C>-gbljAK>Xx=m2-Tw>vjRLc5e z#A!}4lp~tO7ixa|mym$*KghBLyk^;>Q5ZYaGq{N7DOxC+t3;~Rwr4SUTu=vZyRBS_ zI|BtU#+3KKW>)71``ng8fur`WIFKl&mlXb@hVfKMv2gXD+Dfhr70phneUw>BXsV`F zYIowbv(YiP|HJkfBcKXW5Q!^$SN6liP8-}1&d24L@t?eUaSwkuF7Oi85u1DEoa7@2 zC~v9oUHx9)n>*YMpKjO<5Otpz^0DH+bzb>~k{e|di4_Iocg{sbJ{-?G@mHJbS!9bR z_3(L5eGVd?(7?-`xzDm)N$#!>1)BzKo69i%>`$!se`pEG0VBytNuWyHi{~mIoTmU~ ztU2?HbVF~~E_xh@To5~*I(dwM5n}$Y7t$(K-wNK8(&4r@(QDHoCO) zAM}%UX}0Cyo_wFJq@aPMGo}rWy>~2-VyhSEcjUQ4+JJ7c0Y2?9#}S%DGh*Ac$BJ(; zArBc>Du>aUrt`$x0T*NC=GWEtV!+Pl2UA*>0a$XU*C}r#_iLiewvS|()Co0VA5C3L zWbZ%F$!O^wE?Y8OLr;^cjdu9Rr5oiBWsY2g5&5_-Sk4}3$E4h$kiVwEZ1qV}u4|V7LWR#MM?872H5!QOo^C!sH9_8<&bS4Zs ze4ua>k-UnfSP7-u-z@1kj9IimG+#FUwCfMHJPM~_1b+LOpQm0gi>&cvQgz7#ld(fZ z-fnK`*x`j99C+Xr!F9#{;;I_VK*hAX@V5lD{RP$W<#hA#@~gUH5)8^)|5QS~|AVlq zq}OylkjbQ%?uUPXr%3CoN0J{ldRN)H)cp`G29&7Uts`~$lD-(R<&aBxrYq~ZHe-Q? zwdzrDNUoL}a+0SWU64UK%Uqw$P?U$rSw)#pu z4M$9kFKw`3Q>o*@U738okG9jY)Lp;A?)SXfb>Z{bZ5f=b{a2e>DwyL~t8Nvb?VOOuIYW1r z95&-oIbn4!rI#TV0eSje8D4M1Q|SkDP{e7wOOQb*ZJLiFg_4Y4JfPZenR=FMHOxNNW@UFO)q|^}-mA`;Cx~#zEuody7wm4>Jq8!oH+eFsWW_A)4<}oxSjCNseaMhSr zrBak(Hh(&)rmUpr@u^QulJ=2~e{oCSHq;7Co=>PO?)v{R~a7`3i?bOl7Q-gUalB zFW8ZE%#4;}Yc)8fpIgpDy>KUtvgNHN*iHzztRqubsp4QdY|L+(ZY;PpTNxgJTX)rr zftZM^_lG1q4xc8Ofi@*-k7Z6^-HU`W-#)755T)lTV!tG_7DqE#D@#&8Q^3XAj1hM% zf)uh<@@y_s53J;ueVct}Y<4*>I&-vn(zRKfZ*OE~V?XcQ0+dxqi?Sxu%5%Bv3y`y_ z+i5vU*)+C_A@%7ctB@Xacmj+*l+0;0WP2^002z{rNrVrx^Szxju|7J34Xr2$xlP78 z3kLy9?2?%$gKp&Zo>g2IP&gqq5P_6qRsY0n6(<`U?kfm8W9fHVFbA2)!J<5z93c!B zkds@On)Zb{7er~Ptkf6$pLyM1&?+<^SESXl8+}F2OsEjH)s8AOwiV&67X!LdnfO(< zy#EtcMU!tYwTyYk4+3d0T!3ub?n=B_lLyoN{&~bn=<`Iz0S?z={+gxhr?<3?l)x#` zu0G}oU7vK)G&U7+*FK9LhJL7CY|9clJbqTx4|>Is2X<&ZR1y%%rCqp&O!WO+uLUOB zSiK1)=;Kp|r}PC%X}{$Ys50!Y?FGb`|3S1y|Ck)ft?pP)5!sfixy+lG)=JFuA1@R- zU?vAE3F&_hZcc;qm{FTTpBq>pu^cv^xYHG9{TglaOmTDf@zg%tu~GeQYVYc^_Wy3p zjO`#AsRy2`@JX=9m@XDfwgrs1vIM9EZ#sH?$8ioAxfn z_kcdlx{P_OglqkeeIM0ByPh#qJ6_M1$dULlm*TIfL#S#rWEZk=>8EwQ_ zGm~~9YZqh`%3gZ=ZG42=}{~D4c48qO^xLVr3%s z&{cy%Uhhof1JxQ!l2yudb^=0JT<=-Qz1io5b=>H4*Q;wk?~K0@fj?vB4I-r^gwF3{ zx4*;x0^f0azT5h~E@!v&qDr|6KWUudevhU}DIVro2I3l2m=hYZ=_An)?6JX@U7rK{ zT#tcPNse2Iv0iU~m%-gzl8rQbmQqqU=g}+e=R&uklgdJ=6stJ3gA$dUc5EdEkFn)F z(F(e_K}$Yk*6`81;akLHvKdCymB^;ynz(vg30VgjK7QH|L|GZRSo$mDVDR~_XLNJ# z2fzy=dI!FI8S8H{c(no_|Sh=sEek~L+e=cMY_;*p| zmx~6^%|vCp{zS)E_P*FBdE1K9ht8G&XE#?-cT_SwV!!$^TN>=DX_y99Zxk%$Ehc`v9(5v?R&6!C>-Au?^4gVpR%{MVyMk?e*(2n`wa`s z3#cQ<7}~10iI29L097ca3!IO+RnU~V(64Zv<7^k|{b(YHGy@4yL#p$(pH< zxI~x=e<W1h?l=Y9`At+qQVi8>3}k+u?kZ&(Z1Bti9WWnBGPjvi8cI}IiEGk4!O45Xyu z*4oEy!?jI%un;9*8_=su>5SRU;j6s=09zzS^)*1DjI+{a&}*8DG@HC~N8u2GNS);J z!PH#$Cb#whkp{Q#Op*^S;b1yR9tpIDKt^vFvBH8f{_|06Th5IW!C{|Y^>;amgBf%c zd~bQfE)+PxlE^5(UPGYb<1(PKSuDk!z`6ZqH$Xm%rSy%)-JL{dB;sxdAsc&zp&;Uo zqir9GBh(vQVsnXWtJQ&{SIWoUj&V)0mho3Lo|Li|11)ZHYyr9kTfUmp6spFH#+O}? zgu%C#=56&jp0(_%kS8?Eiru`)y#6P)i`Ds=fREGh+S~eCbRE-ryP=!EgT{Mxg{@&3 zkv#{X$J%|8>~bx<7_dw+g$2Jp$0I zYr5{)oo!6nSz38h34RH-3z0JS!wvn1?yKv?GqxDmP~knU__X8LscH8*LmfojzA^G8 z+!;G+$8+qVK;FgRnRh;|sI2Km6Jo(WEWqi+HT9vJQ3Fw=LFv>glM@-tcOK92l7midb6%5ChLw+<6fTz#^`_Z{rtMZy`EN<-9;{a7qL zVbi?!!~P|Vlvc_1Ipd%nGrUySwx;qBo<8$ir_eQSF1m3-iGOr0sbq#|HRfH6YOEvM z!8rC44A<3CiMTntp9+Z=p00_szB4HM?)w&{m;;KH#>3R#OVaPrD)P3(+#G-nrY`Cg zdvREN-(qdE6mD0JFFxEk{_o@Ox)d}WnKkj?g1oX%kuQJ?VHR7wV=aj7!bpxvr-uP@b^Xm48iJD!G95ODdY3t z6GECn3koCLriGuCI^*T;0*2~etN4%c7LDYx6QZJ$$rfuwV;Snt;Lo1(Ywyj+BK7|a zy?r-AdCnqq?p;^BARQ_;xcq3L@kE{0djaH-lE5Qg;Yhx650-%v?Me@KeOpQxgBtNJ zi{mKsLT-X^7qBL}^yfNvF<;qB=L+=lIc%yu z_#)Rga_Pfam|^g>Y$s{~bSqC~y7n@V{|+wx@Exb|#8xosWgLO+saEwr0_Wo#VBNvJ zm1V%6dAe1g;^+-ZXD^9sYBjp?@#sR}h3Ajv3@;@Uc5Eg9j|2Hdjna#&+!6>2Aow>( z1kTypr~2FL9u>Ai2E7k(_d(?#knB z(wU!mkrwm%(Be=AW2!4JIl&_wW}KN#L%fFp_d;*ZHkv%607=a6*;u?w5#*oYtdTCF zIo4>p&f_%w^NJ!%ipYveb8*U~3Lv8jwXpbLvyjPItF`kXTi$@^-rcF`zwG;ZU}mNwl;p_M1cJWd*dp%El%x-ni8XcOpKyMObj{U8!&L5%W?X{Er(U3`)D`tsq3kp)wq>35d0 z1GMGz*+dX`bIOF0UwM)mMyENJ1{`gz3~mCkYQmeC`lj7D-VzHL>hpd9#sr9^8f)cl z=ianc!aS>tYhXW~(dLoGeE5N^uF^IfG1KNvI|YT<5GI50kD z6%op|3_h_-Tav5lI8?9FpUVto1u7~cG7`MI+Q>PXz_wA)(jrJ>0%0isL)bsS z$ksjazwmg@*q%9K+qP}nwmoykwr$(CZQHi>&hxwfo0~Ve$xUUa_v($6?sR`YRkil2 z%bhXLQ4`}&Uh5(T;e?1-ck`=Oz?%Kg-9v$C(F*9jV z&KG8DU>JMzd@Z)j0}N5!9z@#q%iZM9D3Qkg?EMk@)Q1*M7A1cNB1M^n)1wAb-wHNa z6?SYzfw1@hDjY4bp@vJ7n``PvQkEzi9c*#_(T8VV$D9fnJa8!B<0@UW0%m+f$>Zwp zR~Rfo)M%ymYU)$002xk#5y%S@m};v8=K`H{FW1@t9@J*ahUEwhRknF^r>2JAFsk+% z2RZf~3C6L^71>-<=uRRqNf8i~sysZPJgm<-bBb(}*}-*HLC)aTSg&Xf7lmOOTc!PP zw;--+MTkD~5)F^jN&rYPX;wAhF@OxLK*|z^6WHN*snhl-S3CLDt;G^3Fe=FTFZI3f zrMY5Zd3uFXc5$l>pVR=$Z#=q=o`pjuMS+7 zfEj5{R|~hj zIGFVS-yXpdvFULqCZGd}#e9B)rsM~L4c=Nbjjdag zZnG-y-aDKnnU6JoW|BRLZfFp;l-(Z~RH-h$FtlQ9Lfe3`24wV2?V8vz{@1)_z08|M z2b-9HjqkmcYAi;xLhW`{r+%avXx$6pUC$?=RC#8?Cm-)6Klt{96`a zj+(C>#Nh`zMUbQ)Rz1f_NCa_y5Iix7c^>)cPQyJF+1tCx<7?2Hl8qOclZ)B1|asDc;bNtRzCN zU3V^p0?fPQGpm>F7U`rZ7Z|KN6IuwHl$P!ZY@3}$@5l@PXrw1Lm+Oo;8-w*i7J9*$ zhM`EV&RlD9tA@27FER05X~IA|2XhF1W$=I1+Us2!EVhBnTaCi>&qi!Y;*sy57hD)o zXCmOT31gbbhl>Y@A1D|42X_=F<~`h}gnRQ@57?4pxun$7T{+;}p)mNFw^jO95w2^V zXIzY&pFI4d93e~ZqF*ct3{hk$i1cNkfJY$@H5-a)W6^IlONhGbGp~d01UYpv(Trs5T^$oI(kdjY5%&{ zQrT(Ma`NqEk3g{$u;bnR-S>n$0T7t_p=u|JY*^7Q`cZ6}+G1NZi6LF{DymkN5gxWu z;?Cmff=V?-%!`7*C`=o9j60o|Sto&lc?WX#ehl|!bO3*MW^8zX(*dXa zd_?&g{HOg)_!@ktZ%tnrKYOS@;lSU#u}@{d-b@XTsp{W{+DIElF?Fv~g?+FiMr8{S z=>PUPjVj?YCZbC~7bVDXRBo!YgeD|eG~lxWlqoWuxA`%V!;bhFLA@1GQ;y%XRLTu7 z8A)QW>SKJ!y{jZG4k+NZ9c!#I)w|>bE}#5EngVSoqZ~RaMmEMY5@WV;@1El5`pDLu zEHzzZJom*sUwbuKY`B~9p%M(sd*qQb&`iu5Y$o%}jr0)VF8J=q{kEIrV57?ALTJc_ zNplpX8)ac_9YDDcMRN#(5qb~RoomH7x~2w#aFOT3Gohcvt=W%(<@TM%)tCe4ox+~k zxxgSMsbqQbK(WGI*VhqWAA-8dyZTw=}6@t{D-|eQ`<$*Eq z{8LbD@!7h3i|n;H&SZ{tb2!uo@l%~##;TdX%oEfvRkhxD>p^Xyj*nSW&+=Qb6isTL zl3aIkB_Dko1_#M{Y}WXc!KsJHX>Hc{wmxq{2LHF;d$Gz|@li4TgxD_jU>d=cybrt6 zzc53XN6B`Sva2j}{fL7;t<8O+enOj`7BAWjgN2BHD~*agI|~aCKOzhECo01P1xVi# zO{y8v7u_G$j}o;K6DJ^TouE?a7MFS*O=2_GH?S=n8L#NT5NHsgQ`li}Z)QnM=34z- zxLR=eP%kH-aa8I|b`A7p~ zl>yiPh5j9gSd=spJj1aXLI8!a`{i>|Ro|OaM2!W;5Z28mzx3j##2+<~0k8-~zrm?y z|zkie6b-ta=+3P`&JT%`k$4E_VMn7~u=v+Yn zj!LW~up0Y_GAs`(79-dJGjER;V8MNDACN$KO(?Lv8Q{E4x7L<-x@f^Z!E8M^$c6Ki zvs5fKv7+6Wk$%WDkm3FqC9#IxQC79g{6T0zjY& z5ai*)sVP@m2tt&Hpb3U*jreB1V|Bt$KPF@~Qar7?nXHuB5gfNhYSz#5J=d`zia*0LHPVw97jzG1d z7?W*5jD}jPOv)LZoGC6_V(@ufmUW&K2BuIp$;4d|Iisu%B(3Zg{+37nE{Svz26jDR2;WP+U#KqMEN;&<=~;O*v!Z+8wtFOuV~*z(!T{s+N?}Q1(dNT2?JyI=WUcflJ(g65gzv_u zI;PY!Xs?r$Cj!b%7=Kyf%xx>|Xz{A>@-ita{bj782iEZ<^`9{_ZDd^U!C!~d{4-7S zT^RK1TwS~ zXHP-1@EIkf`KRqEPXZvY_d~1I1+aL?fSqeSLirNdcS@jK3#4e)R1u1F(h@EZ??KAH zL?TMU5UMQaDv#QojpuY>v_(iA1e^DQ{AagFe|Br}_YU}6=VMc&(jG?7(N40b2`&9# z)sN$N58{&C56QFIJ~-0q2GtCxxGN^KYY$yY8wL93@ zj$%OK_&PuUUeCcX0~h*V=om$g-x7FMdM+#`(F`~Ela7mlUu?r5LT%`Vk##Y8R&WM8 z)jbh)6RXCTJ}EBL1)GRA?y=TL)KvVJ6|Z2pfQa@tWm~ROS}KOf&A!+s*aZXi=>?Gm z%SG_f;ZjM>^gA8=tiz$ig9r-Lyh+ycSh|BOp?_cuwrySbdrd3>DKo0Zl>a!5_ww%0 zgbK}6*Dh2gNDdlsK)j`ry(q3HsPoOkw@NipHhqA!Flq-Wtj(`$%9-3{muadh3;rfd z18tPajm(LuyYJrBP#DF0(`{+RR#bcga2=v1w5hA7;H<_iYYx*asXO_Z$t=iiKk~NJ zv7#^%{*OJ-8J?f&WfMv@RLUMpS{Im4JGp1mtgz-!Jgx_T3Ic-z&gu;CJGcv0!h)3U zQR;>QaKZ@m4j~Wz&mP;5otSt^GyO~7%ZK(yCmcSbedrIv;!Q_i%sBL@ZMC#TorFo~ zcQNFyeN!A?)Ug)~8RR!^_9;>k<){A&yzSiVlN1scTgDB4eegax_7@Jfj$3Mz=vW)% zv&8dEQm@pfgsyysfVG!0*j&5P%xKYTHtI?2*kAbKS zW1dN@Rw++3;eaef>7Yp=kKXS-ReK*K(D$ZVxt-=5%yKu(uJoQhbdB&sv-X z_X-Bj3O{5n;Wwp#;cyHE%aX#GkqVFs@MJ`yOGE3nYS3VxG$xQ=8K}`FiB7t-Htz}3u>lx6f5?8cP z0Y1)$gCHrGf>DHs@ZQ|Rn-bL)$Bd((PZh9>qr~cSES4RCt+DgKPtQ!Mk?|5(h6m$W z!tW=+F5PyA000f(%|#leN(w;RZ}H0Iq7m9zXXQ0*C<-Nq{7t)2cG~-;%(hBne4{-W zVgql7{n2lH3<~vfd>;mcZ%%ZbCk;(TM zKI(ga<3jrRRhOPB$DqK#1&j@E79*RE5IN*qL3|pJ#U1)#j00@fAHGeT1*`S6X;H%G zXBm@ezb_8hGYdt1jse$4110Rk!pz5 ztcu=7X2Fu-uJ$_n<&E~bEQ=Z;wDqbCYK>4W=Bh>gsyDm*W7!7aR9_=`IGaNneq=3s z3r<8NtQ9PO5{SYh9XI%pas3+}SMH+mbBLl+j&H+Bes3-uMp}?C+6T6O(?7B(_5Z{? zy{sQwxWVVLE8-jjvt)jjV0WYX<5oKILja4J(Q7;bGLjLdgD3Bbh|xRYMpQvmnA|XQ zYkJX|d*(3Tftmk_J3P(ClSnYBF~`^;WmxwaT!}TZ;{gA${|(}-{U6?w-~YFORNr1@ za#bF^=97OaH7ZQbf@%W3BO68v)hJA+%RyaP@da5vv{E#E?ly|t7{Q`k@axc_>Hk|HU;!K_koscnVD?tGO?? z2pe1stBAVuSM%mo9>mpf1F~8a=Xp&8NXSlGw;%0@nj9x08*NO}@Obv{;a8s*kfuZA z#U*;w9n=UD->Lo_SfiJqmMny&q1NAd8;3kwt!^|xbQlgLj4yk7;#sYcNiD+?y8Q1j z_0x(0(awJk_A}06z4=X_P04@yE`3*PA@7JL+mw!eQ&yV%{H9sSfI^2h0orO7l2cjH zm?%yZ?Q=GiGQ6m|WM|%zS!9vzWL|BO(kSRKE{NPWkE1ubP2NTj2MP;{+{4++l`Sjl z59)d6Ondq?j5cW&M8Plv!TJNW`m1zS=q%7!!BZvu$9A;66bgmsQkU)=CfnVIp9WvB z?}@gz7xAT`9glv95$u0Nt`s)t1MaGNODzH`LYaqQ#uYqMi(TiH%mKxtyNxgZWE5K{ z64GABfB=pspmu~1xVZT7QQ@>Jmsk+pHft<%yAQ0w*7Ke7v{x;ot3gwNE$0AU)b2O9 z*qM=b%2w1tK1D7;_;LeFTPnyS3MS;e8JLaBZ{J_gYubsf(5IqHK^HIRaa8Uk z4*88he6e6LgcK^2Wqjcgsj5kg(HBfmoo{KDDtSPVJS8}0zZvH$eK+uv-vss3D3<+ywmaS6V%N7UnE z{LL!Z!ki8;$8GCB?+HmCT8(C+cItF`_}q?d2$L0q76-x20X-HzH`)#9$qi=;ObS1b zvISN&5wOfCq8XGj1T^~ppAix7|ElSFW&5mEb0s-#-PT;6IfBsur}%$>`cLrF4O4;l zvCU5YemZaSNPE68|6xGlX!!rShdyNmVG0RFpT#EFU;XcbiC%9yJGc`gVKo(Bd5Qj& zvd)u4DtE+6=+=&uHfsTV>_!>g5mHt-og_%V<%;GAPK}o$0$ic@*|} zEJ}H~yqXi$m;BNC`kwV9Ao^HWw%!EwqC|D_mMCm8SbSIEK`jK()K6o{KC? zWz&~G`tUeegnxV0*NZ~vHlMq|&0*zgPe?fJChRy|s#?I!NE$vEIJikW@4b_4+KP}% zg%m>hLroZuFc!*HqMb!vy{v!5fyFS=6w&bp;yX<)Hdlx6(JXSALf*v#ox)7@ZglF! zU=*FaM7?*Xr~XBNL3tCEl=la8uttbGGzX>rAmqJHYq{qDF%h4yH0E#`5_=7>S}WsO zPGLP_f*NC7N|T{I9?I*bOYF}D{P2*h*^d5y5;pdKOBg$^5sOiD6};;pb`|6 z61E;cEheV&R6e@iPUY2N)aqv=k!|`dT?$5C-5TTsS;@<|22&7KmM-me@v z?|=HJX}tya&=6+Yr)0~`9dm|@TmiAEM-ICR9ITjgiI>O-Kk|)%Z)XP{lk$I=3!(`| zO=Hcboc~@wd1@s0OHj1oLe0phu zTD!r@-iNIVKh{$arb11Gd~b&BaOSFHj&V%|k2`mt_Lm+A<+f=A=xOO_y&}il+HFAWTJ%HX zCPs}9eS{BXfY%;=e@?6CuE1@LB(m;wQVvX1d~HaVf^D7?m@7c@k`fkbNQN&3tJ-h| zXm^Dz+-AP(4natHnh$ppj-AO-2JGIV6SuH=)zC|0Tmvty;R~_!`@^iX)Sp> zpjGSVRhHGk*4&QLgibUu&epuS4biQv8|qKeww$#ztA$zi!2~O zG47oF4+v<%J)>DNe*M1fbF&u#D@4v2oLt!%MA8l|#>v{Oe5ILdu1>nN1Ri+|(vxDI z?FU6@_RWR5Ve>}@#OPDz9pk7*H9+iwteFlzOr_k#R@S(LS78yXX#~(U+rJC7Bkfjz z(Jg7qU_k)*Uv{a@31|6zcNLgEIcDucH!IV{{hEDW)=du*K3~{I9o?pxJ`wOhM#`i_ zhsgwm(+z!4r3n6rU^>6dU#C2l~y zB6YFLl)CSxj+kAfBk1hRps=tEQ%vuS7kT!X8`LV=7guVf`6E(YJyyYbA!O?uvCR3s z7u_F*Wy#4d{E3fD34dG2GM^URA8oMxXdD%%b}^pq=Sf`U256s>f_q`?fv3zjzwy-5@xi3RzVN)G#c~i& zH`ZdTgjJ)3aE+8I_%%&`|f;OZ#$q+c@J( zUWjC6S5y&G$5|PhN%mL~IWXsL=mg=DqXIEt@|_j>C)?GMYh@E^nVM%z;oH;MEILYT zpY~;Qy0uf1WPcuHaFLuZNMOvpcB})7Nb|_;%gf6l7B+1SF5q`M#}MW1gyWK7mMvNm z%QSw7G>Kj6C06Rph%qpHD4M7JF0+s|TWIz=!mC&d#JzIh!G0`nOA_eUfQ*X#sTXpS6yp1?ETs?v?7P(@aFw09%T{;fVHziw0X$`avwE@`+owp-G zR*I0eZ#3JJL2NhU6`QgTLvMVwfWTVDuwX76yrv+bhJHlNOkkt_G*I6+NiUnog^Vwg zk|z{>|Ac1mm^|sbGN&>Ol>!l-G%F3M=GF3qeu|zPniVEanknpQE{<$^jzXr-hKgj8 zWTU2=r=^6Ngpr;T{;}7Tqbe8I)UbAW5&$n*pMfV}Z} zk$P1gqA(MPEzXVLfp^F?YVTBHUvT2TD#WUN$wT{)P2+-V@?(RXN3gzRZmxuwiIY5> zB4OCQV_x}iS@3BflhcwME3y0V9SM+w&52T#!DRF#-`cNG%34+MCbq(C)>bVz(f$QX ztqYahxN$N2JKr+GwujbtJzzI0k(UsEuJ?WfqS75`LXc%YQ%yZhi!iyOCcXJy4>^a^ zkuGkJQQ#}5ly*g`!q7(3<4iGEK^45fPUzj?t*w^I+_fFWa z%SdxlO4Ej}EI!kMEPsR@T_(6m&NcR76WTM>Cw#G~k0<&7kST<@&HnLfvU z(iRMQwiZoFg$F`RC7R}^=3f7`8le<3q!YibvEx@kc{ya9(K~RXPm4#TKoC+$0lG{R z!ogxT-V(8ey=U9(Xu;cZeL_@1WbJ(K=L0K-Rl7ppN9JD;IO35K0i$B*sPDxN3~p>M zV0_xq)a&1DNO%<_6L+gzA(m*?wuNosAqZy$<1dnFWwpV-tF|g{elBvLE-!f=Va%hf zdBL#5YA&sNTv6`cysi?k66w6zyIZ|Me1?79dPa12B$>iXoqot^zW;5qaEQ2ih^a_eLa)n9(g(PsIa}h&+BKMHDHSZa%!^Ar zkT|0@(>2xc$YL5+wwx+5$p@WC$V33FQ{Yl;*&2yIv?g2eawMSo8Fse;<;Jyq^a zLa&H{R1R{PgLAs@E^%|Uo-Pr60+qYl>V@x-+&qbCYiYs!*N}hUj$Wl=#m4Gie<@S* zo!*}tZX*7z)BzdqDO=SiS2O#6P_j5yI9Q*(0~#X_7AB6e2@M*kp?nu?l8n|qj(I)@ zUwJN8NJ~m3Wk}0OZWuaEm;7ot-xg#AaH^Q|ojp?os8AftF+QI_nfoZWklFg6R*<+o zKyApzb`D#aqL7cG*MK#{>f zs~WY0x95l7r~)*$5>|gg11HdJ5CMqc`VZkCM6KAJf4Dce5CPsOLMYGa!xX|} zzbFB8@Q6ZD0$7m(Hx9X=OZBcqD8d0lXv-Boj!5=uBwzBl7>+<8V78?U)s=?{Xi^Xu zW(LIwNE#vtsmzbIp{oR8+p-_j&lQMhl1l;)#sP5bkIlk079+82e`&V>fRDj+3y={s zoW-g`lRgZm)WGewi`c6rk)yE_{9f*(bg6{edx-c>-ecCgnnFiC{HR8NMMojQLr1xF zF1WK-S{yrZlwqHScJ3x`ylG51TW=iW&mTUuz7?|{ zjitsPr(-MUy#Z5z@xv2t5r9O|e;>K^9=NYwl~q_W@V-l1c0ljtmIDwLA`-0GJ^cL;m@)%yLZ5(q=n@qq=oHl?0XLjx3``NNE&!+K2isbw}2CRQ5&#|lAAm9 zi+MKFPNkNFIN~98g@SzpA{>o_MG=ol@Krfstk)#7=CtzsUCETzCnl# zRT2M#q`C&y@V=i9%_%4OTx`cp@mgH&%&`Xhz62)yPJH&aWiR%@L$KF-G9fU((hvwP>$u^P73R$F@ zZKAGNJIR%nIHQdaw zB*iJ2xQOL#?qTW8_}ubOU#l5{ z>+>%(a6iE`2az&)ZR}gM5{@`ZPz4SIiVFw3nM7Me6waQli;G20i_H~L&i~YZ8CEO; z?=n^^vG=UcFl%B+r=qS+tUEI*pkAyW>)nRhF8FG-)HBbV$ZLrik=Wt-43k;90Q@lKE(9G$NcKqXSuItbI>s}4U8OPZP$^?l}158~FV3IE}kr0_cP{(<| zR@d`a|1S+nS&;brzUjw*^&hCWYpOhigkBOOz6|6t59f6D9rET%BSQkV1oBt^mGIk> znmaLXtSp$Zb$<1KPr1U?!kT6y=FVIQQtuBW50UIv>WEMKFiGp}Vqb7wUkyj4K39zh z%gZB6g|Yu1rkDn%z5S>d8YMd~zEZ3WCg!y;4t?pcls)AMlE9;Ku6!=LQ<1<9|fG`^$z^WEn`KQ`}8c z&_}{RddhZqxDIMN{ZYSgEty!i|Iq=9P?gdFRwz~QrB^!of>;NTH0*!S5TH^0#6KFZ z`T2UEx$(U^d&xQSV)%VCV&Rtrc#TU0Vk+Qn6Q}NFegBhwD4_VOKWKyawWBxw;cnoA z_;{%Zq3r+v(jOcdHfs`<7#t%79oj$ffU-|+XIogM-wfiozkTr0NNoylj(b#q$RL{I zK(k}8;Kr`qvW^%P$SB6v#rEYIbx9sos7d{s+XeEJ=n&6o3T{{b(DtVR{flH6o%(!g`RU1MBrw{o^u>(=Fl|>vx!XOf|z-Sqh~1`u@mSK6y%$Fivzh+7E{zt3FSY^T->xw%|4!CVT}jt zER`NNM;s+MprMVo&l~R@lg`%L{mY8WUsl9cjWqtp3Ki|lkzZEm{l|)6z{c_r!1=U> zrJ$HpXtITzmsC)>ziros=V#ujZ^mDnw;rz**Tu-Q?AjR$a*Xv0BZYJybb1pCtG9@d z@3RfQfj}|1Yy$Yo%mVmJLkN&LIl&=2Sb4KR?k|FnB|&hhV3eCKYX4kFX{B6CGX`+Z z*R4bq@H4OEZfn<~@gCikbPM5tM=NR3287&3k9WnVO%B`!U1rrT<;fy}fu5(i$jAly z2(%QVx*z>shVh0JQCDUoX}dFiVbN5fZ>_|D%uyKyw>13fiBvn>6?2aSJ)t=PfM44g zV|Fzr`QTHI`J#C7z0qXPyD|B(1`hj4eg*vCAos%u7U=&J<6kk+yA z+g63tL8_R-pUpDF*Bxp9fSZRyFfd2ZVK*WI5tVve1WECEI6Q-Ob*l9cqf-;9wCFhe zFZYRC8eqBBoiV6CKf-j7xnKnVe?xfB6x{bJrjsnbnJ|mGU?!L8qf$YqQ%pJ8X zqGvf~S>DEEU)kjY3CQI;#J)!)!EL}bi#@5;5}#F8$+GmKrd$ES^?*0~)rxsy$CV+g z)nK2^=a#L~Jlh8Lto4?0Z?*>{Y{oS%jRAA8;aweq4dsKtLVic8O|E>75BdBqe}&kc z=@d}U=Ff1yq_Nw$o_F^oU?}!l&PXH?xp@uBT$Ky%@{f;@gr)He&+hOdchIR|tg`gy zaVS5#yuw-jCPS&1_FTD1utJ*IZA#4(2Kt*BT5lQjvidn)=3b}U>1`ojROaBBW~0%} zPYfC^@*|@|;!J+wPXc>VetlzEdG+FSg!K5{cK&iIs=e)9R>yS2!R$afAi}BXl-nF^ z34%W`3z>64UD~k1&)#q`imslj`qU-GwJD1?HNyL^A9%<_axp^0w(AM?{^(Pjf`5dW zn75MpflKhe7~yNOzC=4seRbMK-T7Z_>ckq1yYJFRYbZb?m(s1~Ls%$T~|y;t;#CCe6O z{-9E(*54Rm?|(5uolkrBe~75Fsq2g3DA)W&1l`Lc^M8n#jA~$lsVMPs%yT&a7U)_f zDJf%7B&{UAW9YP43#{e(T#`e@t!65;_RUbHQgO8S`}GRSHbl9L!Zq-V0UjSvhp;(2 z2OKy&&=zN9fYGm)x+m+%GOmC$rV9=>Q6DNvSJOm8>>3zN3GZeY=c0i5w= z%Ut5XpCm}-+n+DUjhfgR6f@qlm2iMU>mE$3UK%u!&T>;iAIe*mf2MaKe;7^nO{!?- zMeyqOn1~p{8n4D6A&})&n1Q5iuh-~88C&?AfmjX#7~i3RcsS_w_VrZY*bcls_Vt1pEkD-wq|h={Dd$SSNJ@RF87b7!kAaL`et^m+ zhk_?J|LU2EZC{nYXYH{LOH=z`pN(+0kB%B%z#m zVT~wJECXpw@X)Z#gQiQu$G~#EIBO;MQ@6r;_E@Nn(>*a(I|^?x{j6)N`eqa=P`wExb(ww0Bw3D$>n zUgNU|7=gbvz#>k(BY=k~e|_N)sXSfcwUzr`mv<*&ei&7s_h>Fz=JalNDR(vFr*(QN z*JzIx{T{bZkvi`*aS=i%?4(T_toJi&_qWw=fE1K}<;v2=^5CYcj+i?@D>|@%PdRq9 zW^x171k0(Z`AShia44fynw5;+Oqm>&`BX^hhKSLE`!v(c6E2NAD)CZpW9Fsl$?H@W z`kj=-Zkhrhhes6p&6O`*5fjlc6Mf-V62pGg(%I>+9jTg2$_WPjPfBiIm?J^=n%p=* zmVSKmk9@8GpW}S6u|w!PAcy~{mSBK)fi<&;s=8qkuY7W01_)$+m30@wn-f;V6r2is zU3vD!#5J*`tZBK#TKtK`al8az$v943G#Rr0XL`BEsE&e_h2QFJ31tf>03=xPJ{o9i zJN?H$7FXf5Ph9i3O)Xp5GdH`;R4c2Xt!fh6*9!k=@V1JIPf}Sdw6CNI_NKZH?BqKh zCgoNAx&aTD5a!t%y^tqH*a%D@VAzOvKv&y21ztbR#!a|gpWePNfEjMHYj7@c-d?l( zuj?CdbSo|0EAUox8hQzZ(EOchVX^{&@|!{k{V2l48Kae}60R)eaHOfbEm@^DC)W=~ z{SqCoq#=R971DY=V}dRdL60l5b4^c)9=BS2QS%ht>NjzARl{6@DF0&J zns$j6B{Z4VnJl0omCaS;Q^IkzcA(|diru46VF4@1()M|_`#p$nRisb*j|R!+Pu1!;HB4Bar*Q56^6T6|?i(uc9ia_-VC&#_>TL<|ex^3{o-x33!RuW!fn$kc%$Yqh9Y z@4zwS+k`B};>ZmCSr7^$Kz_v^ihJ;wSID261*&ZqkgVO_al)>cE#+vb5U z^Zjr{dGG8h=^fPl)Fv$n|K6Y6kQkhrgU}j+aEl&R8oi&s?o!-!&Swy~bGGvRT~{91 z<+jvjbZ1XorD9*GB^&)_m9cAasF9DwwtRz!bY|jtS^Ehy6Vt;c4&IATC-YvskQP_sX*NeBbSb9D~r}e{Z2#;49+kW zv)ne(u0g?nRkYFnAkjrh`&55Xo<>n5|EsKt18e=>5Yp|eNPQ6ACunv6gV6~||NISB zBN*KWHUs7xBPV0-6=1V`g{1N5>`=XIQtMjUL}1wA>ZoJ+$I{?H|Da8@F);9Nw}G#s zB?6WCpj8r7+sPJT_Wd3viw4WOr${ID7Qs6Flg5DAT-B&4$dcEG^dByatt{z3$9xC+ zNCrsls+$*O(l;B2phza2!I9W^=c0TEn0S+Ib$JbWJAJc?937T+jPVPw@dQk9kwfo7yuvrH!8KLCo@_?M`xgVzTChQy~J5p(fw zU~sTB+kJ{x)fszrthSB*(x@Ab?3N2`VV?CmC;hG(-|N-Iu;j(?r4qadWswsb+e;vM zAzucnk)GGxi|)cRpBi@F6_D+d_{Da`i#AL0ri`wbp34p<#@A=#H3Yokker$SThx@%tcuu3 zVdHvDC}UP659;+Q24k7D?vhiFQF@G*BA%^mWY4>8(37nx*H;+TGklDNypDCvVxn7l z>4q%NdV;Ytx1<*}YIM(PY=J&ZGL~+9g9bzEX2u1vu%c%ENmF(U)`?Y@c=ywr{?QYk7PD))V&`(h`KHI&=xilZ@)9N*s&G=HyKEF0 z9Zd?w^AK7PV@FI3x`r>0Hc&B%^huXbEdTv>d*U4I z+exPyE^Vnxz2)(YL$P61vx<$EP^{Coz|<7!BXox;P#h_$z_12@0mybBO@LsIJ5&&u z3`Pvj%=KruE(##0V}7q*fdhV3my|_NdPQ65x_tn#f`}t)Yx7Khnuo$e$ttDBBnH<9gN7iUv#FHeBQ=hY!^@X#x81L zn4y<-&iQH!>+A{E+Tn%v(A|i{$#ex)a}PNRC5lJNg)5rfG%JNj%AH#Q5uq(lFftXd!_z=Z%)O zVkR};N&G`t^Aj?|>#%s;htbQ}b;1^D#|*Ah&bqY2q^Cgd=k+;P6NDM*$F%*`z!Ynapo!)Zij1 z@hVOwaV(UAyOEUcj?q09Y7=`U)x*?bZbts3tml!SV(>2m&76MACqBV*yQQwQ^YOIl zBTnwjdNt^aFmJx2H@@pZtTf)lV3|!e@Lq@vsn4Cj$%r5^i-XhI<@|DSt*j?baRg%q zp+<%=`^EKw?O@C&OO(rfFd+aS#%w0V)fq$})>ey4sOq!FbFbsOC(dP2 zS#I#S(dc}1>}cBHcqL44DH`2ZKeK!S_q3=}vJ?`oTe;UOa<&sB{I(P~ z4cfZuL48U6LL-?y?b)wc`AkvS!$jL$EqSN?+~fXK71Z21>Df-z!tPnWdh)*d)?QwW zc&jT&X_uoqeGB~NDt=ODHh^qTiVS};4eMlP?L3QMA3ry243BTGYD3$id>w;vSFJ^o z<0&ggF+ddMS;|*zTGUdbGP*0*A+E8obwWdKJqnUp9}eb!v^-~PdAZ*^ZJ~ zB6CQ`rX#b~h)s)^JlPn>rY}#jFqV3Nw=wBt*(vx;-aov zVWVRWr2+-PBzR!S5GZ!dFI2MgD{UsyAQ;c=BC@qOom3x*+Zegz;5GVxL{-UMp;_Pv)!#h)=mZ?>9Hus5nh}Nq|h0r)=tlw(yURg}RN*&nz z#-{^g#I>YTuRUPO^$l+9{(qdEQ;cR&+or3_w%_Wq?dq~^+qP}nw)K{6blEn$Y@^FH z{mo1=Gk@k_GFi#a&N|-7&U3G8-52ts^QPgnbV*Y1CEwak&4yc;0#97UakY@DxBIrv zy(~g?4ntXvkvo~UBuPt#Fga5}q82!aZA}7tp$g~;vy{3E^PGuziV_?@&a9MYhEmkd zO^>oT=dnE&Vbu|m7F0tR1#Tp_d78ciaSFjv{9XN*lw#uHgO~yw*o2M9 zaAK`&YvwBktsGWE%5a1@glm?jb6YqJCkJNDS?8z{e*0ojf(fyIYxu2Kq>yJD9Dmvo={@*3MLh z#S6;pI-8_pD%nrNEsj+pTSPyWhq)R3JYR~ZnF={K%17{O@?AAZ7f(}Zsb;q?L#kj< zv3y^vY*aQapO8zJ63`HcxWDZaC29UcAW)Hh=Z%L=0xaEYm6(rb!im zSH@OO3;ocQ)`@wGsUSx!j5i(oNnLW{0t${m3WETRTM^oL9;#qqefEi9DdsTkelM2x z+*!*f6bEVZCBcQfqZJF^CLf=%$2CZycMYt%!ICtU<;FN-uhtc*UYWQ2%+8T>xAb%x z*F+C%PSraOv#s9*0#w5#SsJQnX}DP$C;b9 z8Klv2xoU0`h)NomLZ-^pH>x4a(Pb!tWm_s_LM?~=N!GZ|TM9sF9hFXJlm28TR0vnk z$tt?IhPu&BS5!vTkqN7-uFtGJft}S?usj+Nvxrx6?)HaWB(|<-)Ds5TFT8R^I&+RNwH5rVye6Cb`|=}Ke3Bq)isn_3S)KRU!?0xx zW6tuVt-jvmP-rlSKPD!z#xC*QCw4V!a@xyFmW?>E5@@rC*+ni6 z!GY?;`uJOvg8Y9EPiN;Ns0hiqNS~S&>Q66 z!CFq*4CxH3bXl$Za-HNGn)tbY24rf(U_48`up=Pz_0nD=BB&tObOJq+{xVT{Rl8Y? zRb45$XdX`uo9xIlrjI44$s(G{$*jO@6y@HWBmbSI_O><{-0lm%(K9X`Qa^a5`LRUaT3qeNJysri zwZcqBr)8TTEN57!-izq>7}!foTkWZIGhWzI>JHRL5A62UH&}-=A^lo(kv^ofA^lwW z?*Mu96Ug?-VWYf~lW3;IY&}#+py}hQ%}Y;s^$k$dKdM%^T2BHPVtz0XaD{8yLhELW zIvI9*g9144FAnva0as{)`m?=kZPnN=BGcA9G@GqCbL6;NO6lIIFOfT|=1-w(q|->h z+b(o0RB%PCr`r;37D!BepmT>eWh6rmSVa6KUo2W$k;DKp-2p-2!{^L^F41u?L zS@?rCRc@iwOV}4Q?BiA+@<{)T-nwiGE$XUw9}~y$Wz=mtSAM#>MVVSJ6YN*Zz;&sc zO&ID4j#GCQ(?BY?SqaVdmgL07xhqm-%#6nV6o@j~Za&k!N^H0&9Jncs7mE?lw_R=P zhkC?cjrLrsH5Cg5=iTkTPkHK__Mu+x`pYbfGp}vGHZAH6gfDJk4Ig zA6&B1Sw!E$axCkyKS3Y8S0Mi^X3k1CIJz@R^KOr9bFH5X1})DP%3~v^x1aSLbngOm z&v*j2-&0>s!t9P&#NpuTvFJr-jO405@34#^<)P#gGeZ`We4&sYf|hhJMo5HLYP8*i zMUb6#thN1Azo|>Kh@P7Ve4=GKqlJ*Fv=O@D(}PNiIg>Y!^!1PGS&zqq)V4y1E{nUe zvt=a!OO#9*1%!eu`Vdm7F{G$CX_$@)eAiol@M32*CSpHv5v<5-D1^ON(dIFza8O}? z(4q9{4ied(OU;1PcBz&ZJp-iEQssFwQAA+Vu+haVl^>y0nF&p&1xi6*0=PAgoS=q6(O!@P}~t3;E7iz_nok>%&lw)31YSv1e- zQiw`t0uLb90Pq5Baih|gc5);8;5#kABgfb+Z{EHE41 zdd(0w>~HNTSslk8j#7IUY-~VEFAO24^f%*KS4yD!wD#DV&P2bo};*yJDihJjd!DNXWdvgOMEgpDcjJ3Hdrax*eLz*RBw5jYvZ!# z4ymo%*(1#u$NIwpgu|b48;%@F+mn@JRRqGMOe0at+jq<(;A^+fkEHefsfHz{EU0J> z5Q@uVDU!+dldZu6_q#99;^dc9t3qfgVwSDlN@@K$f)1P99Ym|6OCkZY2-J~h>s9I; z7h5);QNwzhFg#-KsU`f&gMVOScMDMMTr3J2sODGY&bX^%enGYbDN0M8kS%ecjo!S} zdd+@2BVxscdRlz%Pcw(uzV&{whDbS!>9s(;X~i~!Zh{Rq%J8+gZNz5(2nphQ(=nn} z%n(qJGN+|wfjdSwx027lC0C~H`~|HIfuJqu_~{*y3IF?Ml4K8)>J$;2wdvB;>v(iKRt?i3Z=82#nbs*M6yun?)KYUz?; zH%ZZ|BjzYRGT(}xWMXIFmA^~iDOV}QsE%erXP6a^A@(-jFrX8OK5e$pnxJ)<@~k5( zSGzxAlwgFC&GvJ02|1wmCr1giYP2<1cuI^7yzO7oGTLO?Bq?G|!WJHCN0kMOkomD9 z6wzE%-I{vs&S_`0wK)X-zW)LC8JemvFL71J#H;*=)ucKx@?Er8qPZ?&BeIsAgn1Nc<1tXkc8%TR39Ub!A4D*p*bb z{6Gw7sI)g!b{-oZbs49z`D~(RB6Ot?utLOn%TStu4MbL(un$sra5%3D^=e!C>-`lF zSf1U#7?b&sktHdbBBa(dE0CSCp#Nu@@^5c<=Bgn|uV%iY)r3blt0LFXkp_l7?w&?c zwx`D9Kp3YSCND|N-vgrxGELlLBg*)VDV>;U7vS>wYP`1A4CQqLk2V$V&L5ul6A3P@ zY{$5o6&7b3h9`S6F`{BUFr_7f1WG1y|BMC@qu08_zLDfU@OMk&1OY~JOqPR3+UO%8 zn(oYwFIpQphQbvjnv~qiCboF$l$kHvM3c2dQ8Em2=1G<_es`eAm%H*IYoXmG1mzS4 z?LlG%_WHP=3N4uwe?XL_d4mf<@;))2e-8TDtoDdIPWZr2`8c*@%-W+aMwqOMUNLeaqr0dkE;F9BKLov64`AS+1%GZ9%Lr8Stot2` z0!N7%B<*c|8w0!BZO}Ykcn={$MDGt0R7wjrj}2z!Bi_&vocq)nlh!?~cInQieHG3U5||Mph6Jnz7zQ z2GnDT6@!l4tSipodFz?LaTOaIY@q}P^`T5F0k-C%KPws3UR7*rs(f}$*nCs#fOJ~6 zRfWh*OZH&F{;9QBW|vm~mH3`K5Fz$07-Dx%WiBmaBX?F4Rkcz!(XA}SYDyWL7OSBW z+&4RTcPqpiEeyv1BYv5Uy30b4CrLp6HzF4|G>r&-uhW-f=oe^fPP@?9*{*!j1%B2r z(K%%~JqaiML;imFdKv!*`5Q4gWh46%A7dnAS#j2WptqgYG|FQuLt#Pwdk7snwx+gy zyt%prPQ=uQT{R1?@P2{)gylyA^C!)0nJYP?A_hhk^l2vU!O}PLuN&R}PIJ7U-=&qQ z9WY_C;t?3PcwLo|Q^rUc1CYWBc7#!vVm&X)FD!!wGniLs*bm#|&M{`I5~p}|r>!&z zL1PbSW!pUtis6<41O-{RnftYI0|Qp541-l^ve1)*`UppNds4!0&uyB+MjYkG^YQut z%Kx~$-z?Stc#Q*f!gM8gx!MEJl3Tw+{99Q39?(hc|Bh-<<)Dwvv9!;^o{IM~QFs3j z-Y+5m_+NNG^#32;k7V5bRepJifLBup@`tBe2>kw-I_a~(a0gfsBJv!x2=0Gi4zGVO z2h@LuIR*zqAWP9GL_tYTX?+|?1xt2GMCVhIr;slD+g=d3l#^Kl4bGfJIv}a-uzkzJ z&$(l#u}V#ckYabw29<2Zu985CpuG;|C4WMHs=X=bt&Y{VG#5yfD+JDJWeHSO)V3r_ zDA1ScH}_ixzEzHh@HuJ*3P1#VT8-MqK!e=9eHL}V!rRLK?biO6t6q5|!ALHTOsMVF z^U(i^eGK2&Qd6h*4!^ys2Gjj|d*|SD@1Y{BXAtzS(C_JVnl}&V2iw#l1WugwSp!m= zwD<@z-+GM^HT(??3il97s_gC{t9OqPrdnHa@;C^+N0dq#JH;bh#m3M=tBED1)?Vl7 zgb91A&7dtdSqjmj;@NZKshJ-+n+jQkiG|+SW@pvCEo^!sG05Jw9ExT!+HQR^F>2J& z28QZlbZQ3b9xW1dA*~c^IM&t}_8&RtH~ME7s$_rYhiZ!SQIx0n|Rj=Utovk(M5H z#|z|a96-_-wzK9KtNA+{8tUfGvqCgs6^rT#9x-rLxo1V^WmnYFTbf``SqkrL!Z+&w zHTy?mM&MHFY5A{+i9tD?O!*l8gAVXbY`D=qiTefviVANMvz?CXoJmP|uZ z$fdAlpbKC_{Gc#~qE)I5tZt;Mm>+t}(i-Xy5i3!)maX=-S%SA#9;Ut*ydNMtUGykZ z^Xy$q3HVj@f|(d>a5hzWzV_y$m{XFYdM%t132{{QoAO9niOBgN@g7lA?47;+YxPRF zddu*V-Ys^$CLq%s+jUiCphVstj{6X-c1mpJrxen~{4n#jhN9O!2A1 zo?VkJ#FB_cO|~!AFRw7jNt{20E3AvFyy5DlNghFVXS%djJ9B>nO!Z0FHc(@TM(xq;YFdjU3a0R&q$5$LN&@?uWLZ28arR5w z{Zc!famv(?`My?0tP*i~cGyw-CoPk%|!7$}L-Bs~b$L}(j9M?LjEOZ6(j zvZO+WRVJ0bSS0SY8wTgniY9^8HuLS=8kB|hS=!pxBeD2MMKEG|BfT%VrTb{mB=|Sv-x>Os{D?cNC^r`E#uu44M%nv19D+|KbW{{eN}^GI6l7v-}rVpky>{SJHo6ff`u< zT87Jhue#qA6v9Py*?1|J7oHxg3EmY%fBmH!mr2SzWP0I5$xKX~3H9D0Il9KR`M7Kv z&i(ol&i~q!%>SCT4D@)bOI9oY_Io4vekhUqz8Ky8`YPx9+~i+~`1XCf%ePFB`aVn6 zOvjd2%ofdFob_ku`+7%$s?TtF!qUwh1Y+7R^q{VK4&`6zY8@3GeSgZ1(4*|C)Xor< z^sRC{S-+BBq%Y?$c%0fRQ8ZCX49>VU^zJ;G&v@OT_?yj^=+`7RO%2WvWkGx#>>}DR zIl!W%F~&@74u~H=iMIrF@WBi16d@ZZ4!X3Ao(ZLJSUK-+>~_)7^F44|1}BvqA>1Du z0?-#BUxyoi-uzPqvZ5@?VVz{%$enmyn%yPbI0PQ;K7QYqH->p7n>HfQ*w@;RT>$OX zo`Q=_>}k(c%V90qDJQO(ppG66h3Y3K5UpU!G^+aiPDN{oCW+dWvpOZyEe+E8S@EzE zLKd4%SF#`7v%gJ*Hb}ZEnuofZ8+F1NzB36T+v4{h$djjRb}mf*;+LYIt=%ZVo^(~X z!dZm)>|IJe2I$hT(=t@{{D_tG^?ptNL=a6Ga=IGGcJI?Y2(d_Dzw%t(sbZ%~z@3uE z;mYpFrheIGC*M4Jk+5xhEiT@re%G8vID_ZMYdJFxugsflAODXlu;8IGtQla)sC0y$ z^)w7%r!Wt+zq_Kyi8aM3xaZeJpOiy{(l}^fw=zx$Zq9p@yY6lBIaCxadzjW|Wy)69 z{TN)R9aByJ(acZ+w@#Qese$l7;Iar+xO9HWG11vHyjZ52e^PI?)vBKFz{jSHi13Fg zTrDkW2X~~O%B?tIv>BkqKGQi2wcI#_kGAxLxw&L-L=X;HLr)N^yOZb#=8KmpKHW+= z&G~(_@gG&-FTh0dSxmL(kYV}X-_>`fUa-b-9>juTXyMtep3d$(S!8rHQMv?_t--hz z%KSoOf%5!+zo3NKJ9UymX@wo$&mK2B4j0S&QU>i;8{(khATSnITKe-AC|)xx}~ zC8iy?hSbiiteRu=Ijxc#7pTWW}yHA@J?Gz?sWaEc&v>$?5ix3r7(5bvn6+j`HA*(mB^6P`F&9qBaX+(17h?m7SPx!XX*!g3H$ zub@amU3euy{I+4YRfai@RvnsTX7<8-O_5gKRh{v&^&h=S!#V8*90dc(_NN)D+`@*% zIjn&XDz*eA&T$&Eab?c3JbgEMht=b+P#d45W}0Kk$bd|q6`6UC+`>z5=A3&@gm_NN>9`%B_%IHz39@)3$9;$c@3Bx2rY-SzheV6SUqxT}vo+Rte< z9Z+c=H@lk|GJt!OTO{#{K+3jgBuQ${yhrx5uRmS;a6AD+Uv4Z|Apk(K_#sP`p$jmP z$!orI?7xdb5*kcoZ@KjUqICfz)=FcCM@n|V_@d)O^6D1O&l#2FsUo@l{k(m#^?SjYarc!|~1965j!jdTb zOzu|Ex5~;?P{d!8hp`{U^QcIAIxTCoW*(kA$zL1&6QMNSt?|Vl5#z;<7s9Gv9cTnc zhU0OD6K3WaS%<+!{R=bWXvmc#dMh34++<>Lz{FWuug+5gP<0AD6uiIT6@&+Z&UiUc z=Stwpl(CG-lvzj`7+sDl$?3$bsi1h!7!;M!0%i-gd@xHlevHCr0Qq}?v-$2-` z=)_P)jOgkMcSSlhZPHBqgg_W`#xp0 z8idxWYL86=!OLQtWlwpPlM{rNizc*UdHNf1R?Z<^G+lwo^&ZWeQwx~bUKotc=jF_K z&yPHoby&>TX5omA1ASvvTG?hT=WKmEwNJRK`C%U=yh=@s)`84+d301{@uV}nS440k zN!o~bbcit8b)zG(pvVIP1Cq9Nx^Ii&@;^!^E5 zobP^S*D^#NJqkm6MqYMuJ?0O~*o&_J%Std8+LXyMx&P>4Cpy))+ZXfLp9NMCtMZcf zBiz-2O~3Twa_U47Zi5n3&+#6AvYJxMYcTRCC{svzFjAKTQ0U4@!q$Bd#|1bSn`>q+ z<%g>cE3vnEh%ur=s~T`K&2eJfoIY@vu2|$KmKRH#3#z48FFN=2gy#*ps4Rd+5BiL> zl*!E{il!lCs=-OQ`!aWBkawooWWq+4>#g?V<*in5q4=%~* zFyU@oupcB)6EGt1zpqg3$5D4G^pmK0ylR=ROjATxKA&`k77mSV?t`T{|AIB?o>Ky= zNEAD1Mm$_iAi0=G_KMKBvmpT!n3~V>gtF_h_43qM1!(jgfU-pBgos zn{lr$=FpHW$mpEU;hcIA>8qNi`#^++gY7+)-6vZ2ssc=^y}*AhF$InQvIr1?1az|l z*d=*%5X&RdbK#)YQK5!3AiXHxD+djJEG4f?8G5oBhw7Y2Sgtw3%Z^66dx|&OSQ%tfYzS z&)-uO@DA1o5O?c?B0jY_(r7p`17{+i^1MC#(L>);N6%A-uTwG=SX48I&*Xh{rX3R{L zA1DArcE%}3l8K7__`abcg&PxYIZt~!^A+eTskC4%i}XqOLWMf&x~4G$Qm#5HEzL}( z7zQ-K6lHN#xV8ZuA`jH+PPkRhadX)90159n6yam!g81-&Pk9_Y{6x>&^q zXh@*d+x?$mM#RX;@bv2mnH{z;y`Ts9l1&XnJyAY;$bzv!X==U4AjDN6+CkGlLe#ER z^k19gS07cEML-r3YssdR3SWFxgXqXLgbAoaxUz6t%X;@0vTs=$TKMyOv>&0E~rM&%Tt2KB!>@A$+LX5 zf%FYr><4J|_1ewIN(H_1g;nEnFL|z!&jGR6+nKwu^VW&|qyO%RLy?4{t)!XyWHaIC7s}V{r50in_edC-7YkQ3Ic}zETsKE=POrY z(djd#u5ZhNDr0<|uH^%63yptDZLji~)bNd77tl0s**|*?8cMTCD(sQ$MGPFEPRXN| z#fY7`n<9!RQa!4WO06s@Fp=Z3?_DpJuy)P~+lo6w>J-KjN%-upq9x<$u6wXzCG8y5 z+0iEk(hqDQ94`<-0NmoarG^3*K^H1G7)CrghQIb+Q2iystQ=#&NEQWIlvY0n#szwgUWHabG)7cGo zi{;sB{uK(pMM@v(T|wFVYP>NRoEf$iwta!Ye?qugsp-RMwX*YOoQD~(@iZ&N2R0MX#Q0S zML67$9ktvfj9)n&F&Ib6Mp7e)f!psS~|P=fYEqB^wbc=5a3BPr{o7?V%XrO zGmDjwiA8dk(JrTprbt)%Q^?JQOscW_^g!^T`~C7tuun$hze~lB&W{XU1WStf$jJBm zYiR%adFh*NMvglBi5igNJ7K1~!2JEow28^{Zk3Cni~R4GmNIBA`^#{di4yqzKgFL( z`@sbj?&)C%hY{8>h}QAFQbj;{&4tVt?d zaBCXKYo!qn!Kg99#y%W5l8MZq`IKZV;SDZCUM{!DTZQtO?1OXjUjQ0$)qg56Q6B<`BXHOpftSx1Ap47 z)QU?oMr(?kZ0!OMzS*(R%+f|@$hE7XD||g#GP*nTuvt$y(npf^Wb2R7+KqIr2WRFQ za{?8jli?&XZ$sts&(21y1tIF>v~R6MtA$&vNiTs58+>}HCdq|Ynt_)B zCcRnQl5!XbU5VQY$*F~w+t^X|abxQIW;xTq&BkNUv$?yVY4b_OLe{NU6Z<-GP%3YB zj;r76-Z{@=*b}RUHeq6In6_=8kTt?z!gF%XIDWNMqGMO3$0m3w)mN!y%|Lk4zWyA@ zHB4T^F<`T1I4}~4{|+_fh0R2NIq%25m+&6K;(>&j7-r=;zx%*fio9t%t0q+68{YVB zqYZ^oo!MoYAzcbBl%^&J1PU@WlXo;#ylYkAZeqn*rWRZ(xjg#r!gcVZB?2Dgo9j)4 zp4XsU$jlWR%V_tqQUm;UIp1{pgtioEZqc~NmW+xyhP+pag%P`J>389iCIdO4J*&xh z3(ZQ^!@g>@Qbm;^&&9fk(g7R)pZmjpt+ms93lXi&Y^Lb;14%YV4J|JT2F04`LcNQ; z^eAgE-5AVSE(#WDZ8%R{>*BRZwmj*he~wAiiyUIb!&kdtf&N8LzZ1{oqvNwv^MkVZr!Rc?RIZ^-qw8tGDeT5L`q$0Qb-g&oKJ1ha@ zh3?1nF)y?1Le~lA+E}546^K*KfXP5qR%3umnsnn@4L}nkqo52M%C?vGu0C*I=M|Q- znu>+pI&R6VO|*UfvPH&8oxLNKOIX>UPEl&8XN5$+MvXYerB%0H_u|>d^!}+DcXfXADLZ%o{uVjjTDMj4d zWV`bDq9q1OO3l7IB*klTeSx-v^>D=n>^e(h>Ehm<=shcWDNC}gEWCO7t%Yxv*gUv_ z`iCQ9+oAWRlt0^DA(=->1j)XD%ViC7c*&7_hVOt(xlq|j`)>AXjS$JH9iERA0=;>xV?0|d7ev()^M2f674EL zI+s>hh;&?_5UR~3gf#`eE2V9`o7)UL;`AB4l>9vZzHS|HP#|puXJ_O4Ae4sm3jbAC z*I1l={$ow+`Y8N_wEV5GT#nd)y-Uc)L5+F*^|@i2Lh}hFzjDpPp z_}|Q($IBNIS-7~D14giLoOTOHs|ZJ|cj`WQD~+KIIVJP!+1Z}J&=ZcISTju)1FfwW z)qAW(3NKhm>ua6Lv{vyo-s0eoZ|zVkoJ6=3YAjDuAoY3B)*A96>9zFd>Dh#ke*Uj3 z)hJfZ(Nz-%7=?S)Ou~l#(pU(c$zovl@%ik*T>I&}*ChU3@!1&ANWwZgBZ)A#4ZgpZ zxr9E!*BO)B1t|=+?1iD* z(x8P&ZbCfxTK_yxUe#h7$!wd?_~7+NS$hCnB{r+m`8D~S#@i?gBjgTF4z|`tzLyv^Uj2rS7L(W0vk7x#ks74XLLUlX0h_jkvtE-;-oV7eY5)_uz2>wR%o{*(++C{Z0D%YSAsf= z91H2fQ6v6l#Ru&7VfrOuvXFviPo6A!sDbpBLxLnyEKjFluK)-9P{NTzynj3*Nf5=! zCKG0`>&x?C5}q!VyfCB@XA*Cqh>9nIJQAr<<-^awp~A1-CRy;64FAo8jMXAFdG{$x zBZptd!YqCACt-^b`2@KD@spAH4o4R|y#MvuEqXGt`}Gpe|9N*}=_pm#OM5)a|K%ws z(Y&G=CM1QjX4i!&txPez|QCjed3mPPMmg2@5w4UEm zrwLI*d7zMTit@tV+Al(W;`+=UsRjCMVWj1VrWf5=^INa+^*>G-L9vL1Ccg?2?<`+N zI|-BAyDjg#5|^vTZ^iTNp8tl(~!l&+`s1uy^*Z0{|hGPR}uK zU246oD((C<3DKZHkWX726pwWEEJ373D>6;_;ff>CYB4FnA7-Rer2dW`m1fnk?q5tyI>&FSq8=A13azj}^Jb04f!NultqHjk_CG zjfNr3b%Tx?fyDEkpS0(t_%s1{)u69q&O2+YZM)#?Gj1%V^JpYKA{@v#b0KTV*IzjC z#*M~e3Z@J{LfkZYgyS@OhlardLYYbUbuOzbo`HunGcf~yH;p1kR z8jWq;O{lN%E^2!HNT877jj-pIzi|q*Hib{VIU* z2I5vbY>;aRTQ0&s`*&GvrFrjGoAqvARpkbI3|@}8FDs}~#mN;#>}aphlA|c(FJq(0 zHrPfDL`4o>Kfwcq)I(J&7i%8((_xi9Jtyts=s^D&Bvg zuu&UTY6KiI3`_lzCo>3(P7H78D9n|f!>BEv)XpMs>~}3y_n&P!jJA}vq1cuFt^O;I zscsg~b6SYKZo7N{*AEG9;i(?jCb=fY#<-)10;zd-K1LC5qt5WjD(WiSIAx8&A=R*+ z7xdz`{LVo{G9e-38ysr%qz$?(dy3u@hU!Al!M_;{tEO#O1KrR_sUP$XUE+F1Fl)Lt zja&FLZ)RJ8zQ^+@qrl36qE~K*%Fy#VmbQhy1i$Wcl%2qaQ89|zt09aB+Hd1n9pFqz% zQlH0+AXPHRTG$6?c+vwzTne#B53CQ`KfrJtqnimzjoSs!Mt^V{p@$3w{w?tw%ZNR1 z{Gr_Is)|C!M9bzIfV}cep7zTlv)~5Uh{@jcTxuw*Aweil66+j{8s-_|2rgtBg&K`A zd2EqzVkDa@_)IO2coY5ioRvynMqG}J9s_pDx_sb?C9RFCA(;btwt&m!_N}3HTU1SG zXs716uu&nezd*}_ETKn+L)mRlV{({A@9iAaVSPkB;}J@GW3`NO6{AF@VdFAc?HHVj zqCx}0Z@NPz8GEuo&CHY`dczQJ!L5`GzPxbCilIAdYlW^DZ(Gd=ny?MQk;MmLLm_ui z(Tfl{l$LXfbwCg_Zyu8#)rWNlFw@9u@!QtYxSDb+GnJHb4ftI82W#kJklA6qVqD)-+n$Po%XNpSek!751*pY)6M92of&J-xe~xrR2;c?CbzN zMDA{1&5AsDI8Vo^Sk*qG=~SUIl9q@UuDf7ckR-50q>93X@4lpI?yL-NioHIe5H_P% z2g%w7mMF<2PRX}4!cqv4i+xGp_fi~>FfA+9Ag2ou!761<5~@j zCvnq}Gxn~iheUP`cY|!bWfY-0dQqH}cKA;nN!&J@ayB9)|Jh%I8$q)@jyZvhFb)&u z!q!5;qBukdhN)w}sBC*w+K;`R(m3)UnA8Y=&q;^)5Y&YX5y4%=iDls-LwR_S$mV5m zzgh5g$D37uv$BUh1@SLMS|>7v)(1|y3Ck7q8iMp028zTpYF%x31`4Wu6T(W9$4vok ztJg$eyY)OcE2iEqT!zoFoy59FO+?aPbDPE)8#dwfW5Z6=NFM6lGGkdgo=*F?FGuXx zV_|Er&d92_$u^KgW1NTIn)$aatl7BC=(iA;nI$RqA2zifhPDdT!nJ&xwJN*1yco{W zhsZ9KsBYmhknYqVS8|YlTDev%+gB`mbCfUUP1+Xt9-4F$YhJ9G=+SqbRz?mBe0qdA zMv~z~M;bw)YQfhCAX7C5Y?*7D*qTWIxNA;|n52Z8LhDPnZh5}*cJc=!Ox`{WBM^mM zu#2L@P8ZHIEXMuz_4E`0u@!FUWp2!grRTu%uV#X7g2>deEUDoc2FPD`n(OeONvBR0{{UN#U6?=b7FmHB+c`F;9?mRZU!Ot6er>cS1@d> z=b~!|RrR)KXEU&y>4;>03}k$S19hM%pdkyj-Gj^^v=?E9^;68?go_FEowoJfvBFuK zETHQPsF2OYBhJFVuS7V|M)(J0c>1M0Ae%&HX(3s#Wkh*y+ADbUv;ACNzrE(EbF$1n zKIohc*{4H$7WLM&=WEvWfh-)-uJs%HKG)(g2CANH>L|rmO51w#^2$w6W-c$oU_+kF z5eKqgFZh5z7+SF>MI)X@miCBn4jKW)W>1i8RU2InRef^VTf0UnSbGYXdGP zt*oxbYndat!7~#002`IK?pCj72h5F5ymw~6NuhSN4W_wJHQOtPZLx=v>!R-ud@Ap4 z+r%g|LZjycA{{}D7_ZpI%1lg)CZf-lJK0u4|7))$3)}PPBAc5{63>+mJhu37KUo=i zo`nqq`pL+r46mO~_smX>KM72Ox;S6auc{rJyxpt1Xip@mvTiYz_}P3zMlrZ!z0 z?s&5&Cu9txxUJV_$XbV(pRSA0$s9F4mx@l0O>@dI9jGkNAMGz&=1tdKV4dV~v{74p z4vJ09fvsN`{I-$SDxNnCz2-ZnWMT7IGuxNrP%%8IUKMiJUY=DxPaPaJH;Hq|_fCyD zR*rK^TQO3?9Njb=F%95LD<(D>ZZ&2a-oIC=ISY6VPYqbfO-{kt#wb?!lZ;_BQ1{X;^>gwb-Ws;T$*p5YR(N44b_niy32ue zp%R}Y3`fm_U$SWiGfuI`YRmNUdEUUXW!h5m+_FLO^h0BNrN0e7Gme>6rvogO>APBq zRKb!)t1L613^Vc&3rgyKhY;&nzxFlKDYKvyO7moBKi029s$LM=Bs`_tB72udPriY? zC;xst<=+JaSDpX8S3f|*l5R*a7;AnN`}Itiqm zw6zVtm(^wxjd`lA2(kU0YYNl_LtX4!NIC9a+V2-bw(>*<4#gDq2u$TwCD9A&qCa2O z_wLTVVM=Gphq(Q#TVq)IFtA$~yT zdR|~G#LOB;XbSWKx`&1qZ`=j>Rii>i2F|{BUlmI>D@-VR@jYr@R-tM}1#|-%_#A7; zG54*@Ql(krMzgfMzetcUhuritnn3PDvbi+u^E` zQqkLCPdiKAgN7&WS+SLXsf4|nvNX+n?5aux_^CcSt0!D$B-ax;YMX%fp4^aOorcA2 z?`3}4mE+}F!|7R|&?NNETw;N3m#u&+{QGpe9){Ptz8)h))zl+fV!e7y-oJRJ-n~_k zj_7N}M*0sZKvlxFHO4H&wiu@7OF5PtqC~?}ciM*a2YW2JT7T%g$;-V3E6xfWZ;@rk zZ>!Gf7+P|NFW_PSPKHQ1di-O^>a=N39|3gdz5-1w`o0<$h%JKZ7jM;vxZ3MUX4J{@ zc2*qrVlks}L=lAwi$5mh#{=RKt>GXp(-pHdCbhtkNhi#z`&wwu=FOH#9Z>VTTbAC@ zV0YESQoklbxVQK3Z6Pw|-=7)^Y*8LpV2ftarr&V$uxz^2ymR6-PA$1>p{N~iHjl@$ zL6j9jze=^ULjVe-LN+mhj>$RZrfqR1tPKIZ`q?yM)pjRQKM&QIjv5aq&;`dcaH*iw z+3{$PgSz;ThF=bE9z^;)c$M3b+_ALK?S0z_fLyQUIf>y}paMHlMFc;sCl`=v1 z7lF=Pz~qOI5ypFzgSer*i(ByWJMWuWKy^*zR$NU>A?sgq!&X09SE1yt(%E#fgE=4B z$xs{lek!)QJYK|>KlI%*w_U$+Yx90%erhQa>p#?D1GLVjA|EW)z%lzI(GSFz5PHrJ z@yeP`#89k;A6uN_yG@3sH5*0k+b?`#^mV+<1d)ss<4cNiG=NMV$SFVzKK;7jZzhy3RI=l|IgE^I^K&8BRsolHHQe zP`KSC4b6}0ZctTWe(kL=hl4tV3(r^mjko2Af=AT%qlUP$#F6T1bVMg={R+ZOHZ$`h%k~?W=jJZ#BXNTh`#vo9=@n z|INU~g6k5_vH08MYi{NMA+CGf?4N1vwcxu}PT9L@*V5`nO6_sqybH#;52(LF&oOkx z19bw__B#tM*o9c?-hB_A5q7&qZeRHEaBnu&FJk;LA}GespX`mwaC}IFVB$Q!+J+;l%l`Hp^-xg0&-2=?_tS-VdEz5XWqC=G5$Lx2=>I9xGs{ z0-L?v3QM_zoU;UqGPc28w6n)Zpk;FC>v3eNKHuN|4Hf>Up*T)F=LF~5d~S%Fhv=<- zl6QrR+t=r_ahBUrOgxsCM;wjRLft1##cX<&F^Zb2YaE|*a0%#{J*StvXy0Ejw>GFR zBqkNO;%SqXhz{W_mM6F9;coh{g73FD&TEVCD5{Wek5&*iO1BM3+Zfn6jAKG_M03q1 zwJL&O)w)JuP_!^~NND0s-HnF>EfeA#HmXOWN?sT8WP9cWJCbew$HZeNB$dQ_tY#}uowaOY}>YN+h^OhZQHhO+qP}nw(Xpo$5bknm;MK< zYSqm2*98%sFQn!QKi2|YHh1|_4!ienX}wQeUN&|Fw`)7M6$O)E`JNjx;K54mwp`hQ z;vZImY-@F$)d2j)59|G>sF?;|H@Jji`xPOz;-{e3uC0*%I;kkU{?jm&k5(=+D%FyH zfm7dljIA&}zF^@nmdZ)1rI^2f!Ji0_=7qbvYDR||((nEIKYFqHs=d9nGc}eslJC6O zHe%`J8YH~? zWpSu)P8-|$Q5e?Ud1p|VZ}RMa35j6(^xRr4SNjQs?>pz(`ZK<_rSzz5Z;{lu^qzid zi0NzU4q*{r7sa;no_}0u=He__z(PNlIkw{FFSbiF_hV}Na=uQ8Z8|T$uT5NnFBsua zUkkSyqCI*wTM*&`e{syVSj)a|c0nVhEy1EcCl}hHIo!6uVm~kM+n!DF)}ZW6a;0#3 zBCmp(YXSwlr}F394h0ToLS)JPn z{-%<&9osLb<90Fb)@`WJw3HOiX3HzvR_Pz*H0Sp+bFiKRIxZ;|Ic9P0bdNL0j4^BW z6HF*)eMC%o;DPX)ZnSWK00l@Xs3^X-BWm0_Va%LagaJD76Ra+Bp>MdYOY9v1CyDHR zVGO>(uGp+jT7!zr-80*n#5T*WT9Y?$RPHz>=?A`=5eR}CR; z_0Z3A#W$*>74$P*(E~@f?*jM;=PgQzG-zWtjL}5JoKw>jx^vm*2Ow|U0gKV;BS^U-7DUe9CZy+JE;V z(C8X_8%ZrKM*qfOs~hWJ3;2OMq4P(noEVjdSfQuleo-|hE?Pgr0-AtOZIq@ z4_`foP9D@gr!w2BtL$plJ!9w$oh>y2dj46o@w!OW+*T<*`G{8tZONS{U%Wma$dFR+ zaJ7Lkov9-&%dyMfE*J%XajWi|5*bQqkIF_}K6n5kHzq;CTd`P@-h;X?f%#&!{iqQ2 zZTxCTD0@R|_#J@BI_^OpSz+h^U9&L7gZ`xB(0#hGQQuD;a!Ew+3Hws1^qYWRRZ?x@ zg|Wj#rGic5HV7Vh13KSY1hRp+(gYSgrT|3<=kw`!KC;g<0Q(_isl*g z`njsTUn;rO_+jmXG}+5=NiFT}TAwHqQQ>jV?SF#qkZ~`$fn9$Z%ihG5$&Ixd+kg#d z-G69GtQq1qx|>eS=qH0~aUkkDtNLB(-}lyqjQ< zF)2a1Yu}52iO;r!ToE7^j;<&|>78z>E6;DE}7%qU`+7 zKuUc7iClJVLFJ+EiLizxM~N6P;^F9X{26OZ_O6?+y;t*U`8_^ySX{aa z*PeTwagVo*+udO>sxCn^7iASknKz3DkN7TQm?Pvz{2sjYlX!F7^b-LuKE!FFd2Yn% zRx##Bbc`$h2@*p{m#V){-kAb@NF%c%lsbAw2$EI`O%2=X2|U;k#FcM|p*T^;+vlVS z$%Rz*VeT9F5OnQ!sQzdA(X1viDKAXlFmb){SUQ2VB%vPKE&a=+63ZfofO#y=sLc*N z^EBk}fyFG=3cJ_34w*_+cPYy&H!BW8jzjX#oyYnaIB1VeFHN38K()awqX#B&%MH+M zOGcOZ;GVcC>_&f-9vX54tq@hW8Z>O^M1`Z`9jwop^R>VhaYcL}yHPT}{iQE(PvMdg z&_QIGQF1}-?-+=Y&tRHItF^s5v9wEA7j&Avtq8I6BkL?r+cHQ8{L$5oBTgMcu3_K! z^Q;x;D7aMO+_f$PZa&3^e?COAT)GWI9Zo`*W96(Rf;Tv?#czU~gDN z^I-(4PHS8kvv?ft$*H85E&IiQcS(ughXfTjM3bA9`2eAmOw7R+A$N@*T&R*w3`hKl z!yxI~4Gzo|Icb#8#NSz!3#FRYTX%4u3wSG#`OC_^xhPdp)Mr_sm`+m>+KG`S$%+ES z<_13?n21{kP0_arzcxv&7f&35&PQf>RrS&Wk=y_rH93`LM0EU)>R~TWy;DJ@4;1uf z_wV<<9Z~CK6nt9D$pF!xSa=uxA{luq{IZ^L43y#?$*y7gt)B4i<3#W5l-x=gjH)_? z=_+d08tYQ&YATedWB<=pY&4@q;E8i;a20h2VRH}DsS>uDzf|^_79m&Nn9PEEk9$I{ zdb!Drs{v1wx|Tv|0r~EY6w9!p9gL8CX?<50N?z3o3;+iNv9Gz53>_Ykf~nD<=-L(F zRW!R1@XCd@@S$#}Kxqf&$_JWeOo6Y-8$@Y z%U&!`yk0aDuxOnRnea(>n!V;`4(mtmRhep|DozI@?Go+CN;HP*Kue!=RAENZ8{zS*}P4TDNTyKwP+9c8l4f8%{vDVRVQ1496Pkt`#aW{(YH(FA#ZxOwATMT-Lsz`{+uXvs2ny7JP^DT#vf^cpnnhnT zPYJgs=x39^@S7A>;*W~|C4&5jNuZ&NBAHt9nVG3unT-h3OHidiLA*c#6;SQP%)AeI zj9-uZ;u_B)F~e zsz`b~$XG1CxJAS-3AEOAwE4R?=m5|>ZcD5crI_tf@rc`_Wg6=>UqGpRjsqjX$HLaZ z8$TzVR@HfDVNK6+N=WtTmQ-%3?`8UhjHAt>F4CL z_e788-#Rw=@6fhHa7D`dnD{*q#w8(gl|=z#Qu2X6{|4_xA1ahBarc|>*gxLpMOcny zgPtN6?e&+jg{Cn}?rzIXbZDanYJi4ol)N1`$T_gGNBAkw%{#2*;P1G9<=v z5uzIW6EDC3rh3^%kD{r+)LJcJD(nTLML(n6OR<}o9j)r z9*r$p;OZ;<W#D};xU*-E zxYxp9Rz)iibVA=Q-82dgvMqv!A9!>~{-FO?Qg*PL!U;=pofYsUj(@nyQS`OD^-tsz zGqiQt%wkTftE%>j6*OQ@PqI`UG<5HkUC78SJaETUt*d{eun`V+ZN=?lXOJBsJu#Is z4*Fpsvx7XOU=n||Ex(rKnx#IlJWu))b^j{4(WzFeeCgh3m}=@;aflrJeTI5s9Kq6d z`)$itkGq!N@f{hH6B^(molYu~G>wilT!F}`zgQuwwKh~CCBnT6Wjh2b_F-(24PL<> z021vbi@iv1(ZG2xhc(Mz)!7D4H(#)OEk1<sHr>xsoPTd>8l>Jd41Fk+s$)noHu26PStki|fW$#C4{L^cl z4qHoyJ?ssI-O1(WTfH%zC{jGHmF@i;om%8R`X<*}xc0`8F15?W$t5Vb-+M-O5-~5q zbZ=BZU)%M~D45eM{Og~psZK(nuVxr(>-QRA^$vk}wV~SO-RAHz zXj?GvBqwZ){B&0IT;fj@2>|K(;Tc<_W?^WV(foBH0C(Q~ppbn#74l54z2E7*QM~nY z(TLh&E*m+4dh(d`j&6EXXb!)GcU(@wcop*J7-i-XWDU5wzE7`X@q9lQV|!&NE*3*W z)xVw(XX7U-giK6w7F1qvS1SBb%3Q(yUVXq^wxb5gmW{xX3`b8iOcncVrL0_Gx(hh% zb*p%yuV<5HC)-qq?yv(_uRtJM{*F@dY@)A?o*23#Ea7zN|F)SdShdVUdPc($Q*$yR zA&VMn5F32x;L}KT1P@q?qc0$HPcecD(k}oT5MNW&f86PmX|~!nyE5CCY})JU$8@Z! z=$h+qn`%;(L(a~l#;iLE8!r|d+3*JG@hV}9j#R|*WTFwVQ^02?g-J&Yv5pM^vG$QW z^4>H7{B8h0tr#&?RGwsHgELyuJP^2id8WFzj8v*z6M<0;!GJN4+=FfhTSg)R8e*6G zdN6vU7+KGbj`2=4YK~n#56_i^x~Z{KSuc9RW~U(2|MGFi+1ar^DBbZqN0clV-HYkj zwhkf4qI>?k<+>tbz%$c6gL#;?0S7(8TCgESLFKGB>h+7d9uL2XqxArJDESt+CDe=m zCh@j9ly$`x__B#3o@pY|7d(53&XOC^zeF^Ty&E}*(oZ5Aoz#tN_-V^gWvJ9+9{Iy6AJC@M0kZH2p z0`2k7wvfrl8Ey;R31`C&A#51dPdtyWrsnr1#cVXkd11nnv4SFO^l#)@8zQxGKx3_k z(OeIew)wkZc3i=KhZ7+~^*n82HEm-x?P8Vs3RJrMvw0Q(J%aUrARBn04!-*iK4sY|*ipsSOi;6b&L#c&4~u#&XG6yLZT-GA|1B>7@dnQba3F7ClJ=xqs!HBU>V_ zPno)y(ux@P{VLcJZ|r(gkor-{i8P12^C*zZw#JFHk>8B;F%?n$9T0DwKvMiVExkk%GLa6aeS9nwQ&$J-&`yHJrglUl0SmSHgENjNz#bSUt9Yy~%X z!PxUl!r`{jz&`+RL$9+^qQfQy%_YsBFD7M!W|;KibRKAk8wRb0f`2slR#+B1nw)U8 z3yr5G5S42TtGoRT=Fg%jy+eKyN));Pk#Q0Q1t{e4)Vp5o=03_9gJ}bFL1zYEFq0|` zteiFgEx2Y*g=6>R$f4#My42f|dv?^eaFi{hir>bD#JIi0Ysk}sNd_A{!x6$GC`)O~ zKFD(!o?%q~96pGp8bzOVg0TkP1{l?#6DFeI6(HspeVZx1@gJAK4k*tpmLV2HR`X!> zr=!09W5oIp_^94_86~3L*qc+G3=hy@u{t25NH3 z;Fka!i^O$F78Yf@2oO@HF$@%FkfCIsN>k>-}feCYC=Pz2Ib_WZjm^^ zZ#ju#b@#wBWvnMWJC+F>AZ06ujLDcN$QWP4zm|^pGHxg8*p7L9pYMU-yXf5_(9p#( zcn&@B8shmBf@;Vk@{3IeVf+xH!YLpH@<3NY?iQNv7py{Z*pGvs)G8BBHQT*K8f}~3 zL1W+8j6W2ZwneA~ED+9Il>O8A``39F`F& z0gbQCs*O-9-%wYP58i}sk(E7Ep@S`>T&1RNM?y8H@aT+~3zfb~z2C)O+JJ*AdU{@`-5i^5c9R^4Xno*cWB-rTIt zD9CHM8#-14j|nBL?d;@y@V&Qlr+f@4Rd$iJGNzWGh9D-sUC)^INL;n3FrT9B=41>8 z)e@ZB>@B>5Xx~unsLEFZ1(iMKRvg5v>|yE%3zoTzLBbQ}!kVg}MOmp=(mYfCxbNXu zzz;V446Vl+=G}hOPn_JHES0BG304kC`^sq&X*epu_mYP#6)1ID9b4s2lW$%M>^h*cWN@SbheF|?v4u!CfZiqZW~&1eKI@6Mhr z_D>-Kxg<@#S+G(%AhJ97J5d9qH;HNdh%R5M{wk zRSa)>z+Pc7|CF1gYhChza*LllZRL`U;?TR(T-eevpn?{^NPs&q#{3T@3tp zvs_T-d`%!>r!O*cJ(3b}TBsa?FAQorya3bLHLn!-p4WhKSx7YuRYSZ-l~$|4R2YV+ zetVdFip{p%Qm{2`dbxh&TyPqJ$-g%fQVq~cjB>L^c@J#g?q)IJGW<+c%%QpX8h@YI zXlPrZhpmO|F*+u4zyECT=o=8-`i#Zwm&Uu+etWvV9$xN@cdmYnMRdEpM!NR8z3#@k z%E}2}>1)hxw`m>81pwe{7@a?gVPU~u-l&z{~e9{h7#+` zGMcZU)xshe%eg#gKChL@Q9ZEy#bDE5d=?5PeX-cnlV&b+vfQzw8YujUk^J)$ASsfLigpNe*H)!}O0N1H1zuKHW-> z@QcK8D>^H`mTv|_ZOw2CGjaeMz8ysJ==^+4>-_xNJ^h(AhI@a&L$jp)eSX;e9Ua#C zIc@d*y4<}w*8S1Z_`02J^`(lIdY{$VYCrWFU%6hB4%}()_B9HkP)A{JkaO=rzTL$Z0tw_dSjOXv7^a{gz5y;C+gp8onk?GRfTaK1kg$ zZS@gaTd1*RwCu*{G$2^A(Sw1 zciFG*+|98UTpH_G*=@3)Rsc#D_~u_!pWf~c=-nJq>dxAIZ2A0{pSS7vY3Ru3B7z&G zw!WfYhS`uN{n8$RZOOTicR55)Le3Ig?0F+RLH3T*^y(FT!bm}o`Yw$mygIV;yNYdA zi4UMAC$o$k*MzT*uAm82-dEj&!>R4B9FfTF=N-Tnevp>F>K?$Vi) z{w8^KDVuY9FT1*kc}ktzjQ40Vh@8l})V0YzBh!(10(+u1J=*rfcEO;VV#6&lojV;v zLo`ydZ&P1odkwEqUbqmxKjn(Jwu6}}#?vp0`EP+lloJzITu2aU%ZKyZh4%UBoX+m; zn&VZ)uH*@94G~jC4cKZi>wKdgVoe?^`z8kc*F0s!fM+cN4-vG3RQ`-UjoRXl@QKUP zv@4O_v#XN*vn2Z6Wi|JxdDRlqyYejM&=K~V^K-}>2G*^krFJj5*)-NN;8wAf6EPYz z*7O1iS^(Pzm(+8x?fZpGK{$3w+eLNGh6#!hgR#Pi}| z@TY20yF0r^y2dQ8Q8Ok?6msBt}FJm}?vBoo7b@k3w&LzB*@Dbr*o~oXfYTEQYp{vp~t>;nGo1MyVU^ z0e;75x$eu(?p;|T@6n65v=cK`FY(p8?`mOpqW>~{$l|6A7hW3N<_N%_o z*5aG}o3$fDS!cbO`pGT6zDs4iqw@moF~BGgR!~Ht73G!hx78VE0e2u)sK2-;hX)lm z^u_et*}PW?t}>i^Kj+&XJID+DF-4Ym`y{pG@mj1JFspJQcErl$@iF&dF!0%*L}|E@b&uh32wh4#xM4hS}2B z7lTda$S~3P{ZH%mrG)~y!@fL_OYkxMVozH*0aQAD>1$A9+Ys=) z{8oi`l4gHIW@R(%(*G`nQ0C zlL;QFmo#a~QcXguAQFK0#)m@@#zu8hESQ-a`H~!GTiBfAw9*CXe_BK#JL|Nc)A`pE zTUI7*U|JMQ(BJzzK~|k{1-ey<7ucCvc%1}u8G5ptX4o(Ua^g?P?9Jhn22N5vAzru4 zh5kX!g`{TvRvKB%`B1z{ONts@zY5{bS2FtSqHE;5RtK9U<2(G2OuTIpH9~_r+oTCKYLfL&omu``|sc~@4 zWcc9hKz!N$*CE<^Swb+vB_2G-8;yk=J`5;+U8l*bxF?)g&Rf|Qx?;Q_?USr@Y-+~ z(pSk1oP;&iXkqC%1tPuu+xM657J=SaPbo`AREV~YEL1=!{@rYHxiRh!J#WhCBe#7m z(3U=D`CLszt*=1qAJX%45dkP!RT_TiaHe52m*#woVCp&DlaeVxo@oS_DAk!MD0hhf zIb<ZlAUNb7CG!SQchZKpD0rq_HraUa6c97i}aGsi|WUpMVoZwk5<7K1VwrDXms?f*Wic!#-?N4z^Plm@ua5#hN5g zaDW30d*NT;8`#YvpB{VE>K3uiJKh2e_J(TNX!cU0)Nm8`@F9JqA@Xy6Nff+*R+z#3 zhfvdSSofm&w&>YQ(}{}L2_Yt9^`yG&RoHu6KXiDpvXgU=;+CyKRCKxuH~Gu!hm5ds z3ZCZq2Y`3tpJ~u8U+Xy0@y^Sb=~~{!a?$emK5$o*n}j+f{dNFg}LQ1t!6D zNwW`IXNu_Mgg^<87$v0g;N##pfx2_jtpd#r>mOw1wEL)CsExZDG(oHx!I9UnjmS6r zU**wGyxcMP`lSI!mB~9!&80;sCu0N8lHvFS!Y(Z1LUlS1tbz5017^(gts7>Z!uC5Y zcEE6(uLuc5nDfG%Y4~%}ko{t=29~YS7hrG%eM7`KYveA$-5N9*ve=Mc?1DtNnaKTF zjjI~3#qT7;=o?wLsx^8$P`na z!@7Fxs+1}4&Lf43sYi0Ie2Eh9%|D>%xI6Hk2h=$gfBsUn3MbJiVkny00;lIf3N)}K z6xbS#?|>(C*^do1QwAMDvyBdLfWL@TVj@*6K#_*Xl}s=KXFr^I>BxPVB6^9Esl^*i zHTpW2#2Sq|aB)sfE2?aBssAD?eVd)hg&BPBkaRTTGA1wj0g;S~W;F21PSoGBzuI<0 zYX{ck&GnTdN0mCWcN63pV!3wj0%eL6h1v?xVb6mIA~HJ!%p_v19hFQF@0(}%^YO)} zXw&&60JKXV2C-CBC~rMlFb0cD_t5eOqBwf~Xx{`U6{i3-=)0iB zW`a2a#U0#f1P8~jOEVntH+kSuA~MArz3}flmUmhJPv$^U4tS1B<)0BNk{|Jc5`}?_ zfRyOXRt6&?IJ)|>teI*M#JxyQ)dAoodN=5&O?mo;+%Yunl5AigT~=3MGNl(z(RH{n z5F71s?%%}v+o`(Ht)86P3$73-ORb5pQyMII_YM^OQ%44pM&PTwKC5z|0E0toYa(eB zPo+P0K%~TwB{j)czk!Q2Q`BmC3bNzFYmX8O^g9Hf@thvTJRXTE%q`5--XI2?Vj4F# zNOns!XF83NAiw#S?Ogu}CEcoghJr-+l|sS01Ym$Tyg_vlTzp9)E!k|*<&tdrD`(=D zL8l!)WfsF38}l+#90Gzii+a_)%->{lPy6P=w~MlJPpUPFiytcUHPsV4^@Q;1Rne9n z-379q@nN>i=Z-Tu>ZKMOs95ggMP(MeD$0O_OqEm49k;Y(!LZyl1gtW76>aCU3PJy_ zrZFDMl4le-Kl+h=AR_0~Pj#`zt)`?i-6(Ry#1MU`@FvK*AZy0r8yKe(h5Txb|F)!!Fu6|)eahxDNfN#w{^Yso&pZ>hbd zle#}H>xK2E0V=+qlfIdyd$SJs#$6uQqP$CL%O(odRBV$fO@HfIZ7=(a8=J%i|85=_ zY$rS9c&L8K$M9vVJ6pBjATY{Y_cL9n93a-2rQb-?pHI`ETeY0)A#qNoCO?fO4FAGW zFikBGzYJPq{@|6XpI_6(3MoN!AvTAb)%(J7W{tln` z4ykS`=w6Amd(g3xHICjHh^kthFi7GSYd0UMd;0a>PQf3%zT-WKkIg=Q#eVHPuAVGy z$}Ph1=1{J_`*A!QQwU3VWt2_nzC59=FN#V_j`hhsFcIud`Ymx==)2C7AWa3to~3pz(y1jBy#R!39n1T)}}&)CaNp;JyG%;?m&9hd%43d?ynbV^w;1K_M!L=jqCgnL2G7 z7#r&(OhyntS+YC=Fsw^XkBfrKn82GipyKMe7D`3fiKLtuV86thm9z750Ps6|ZMV(3 z9vaLi?;Cp&blKCflDaZ>Zeo>Oa8(wRb z5+jKSW;drm(B>?;SAo=0FXDCjCWA+%sFxfqTpNo7LR;s<76Ts9r!e|OCV zLrBJ{ED6Liif@Fq8QJ z#L60Hagq>C%KTx+D}twot5}SXh+Z1G3HjH5EAoqhx$I{jUY(~> z!z+%C__&{w*MPb1#u4K1cE-EJ-kRhOHkKKliur6n%_a;~4<>*j*z&S3@gu`Tp?AE@ zQFaiHr_nvfxskWl#YpOBFRm}qWX%Pb5D*?cNOahHtnZzSraeqDj!{%2$ls*A(=_}f z(>l!{bfiPtRgvlOZ04X*4Ak6s8Q8rlxw|gKRB9Nf{eK4+^LLnV&O|!;=5Ex;S+h_ zh`H6;|Dj@Mw^ho-V6JsVzJ6{mDG#5bS-h$&pZ)$>pEAzvAk(Jgiwl{zriRHZD36j! z=c}M!$G)__*RG_vH!Qxe5%+|Y39_Ewb~Gm-(xE>Jx8y5}zXl}K3%aT7xi4FinK3`H zWS*q=RwklkX}nY<)+#!{hvKyF*!ii3-5;yYEYL0Oj7a(zXRX*0Jhci}DM)nT9%bxi z2706tchFMF=?H@(FsRJ+ZEpUzszJbX7r6=UV7ikvG`b~FC%_C$80Q*iKmWWG>b7j>IpQ|4%!k#odP%R;G7r zVr4*G2OY~Q)lm!Tg-DNz<$_laevZHxlJswlY!ZLee>y~VtZae@ zzwax6HNaj-j_IdLQ@{MJ!cynED@rZF=yP10mvUq}Q{X1vsZ)ihJFrbDjxO6n?LH&P z1v(@WP4f%2tNu8*+DRh^TRNw0?@FK!%VB1<$sO zeqO8x^Imrk{;})SxWnrl?(`vQ1lm|sWMjkvH^l3V2eYss%Bk5My|Oe?y**6VogoH) zF7Nf+**RETjyr6pp^g3?wYrCB?yKz>CjN$e+bPXMwc1aw!5JJZ0)+D5D|ReP`zd~g zg@{o9<`9D->H@k#677!C@3H`I8&dJ+ZI<}b9~<2yP+h>BM(_qqrBBzoy$V$Ki^I>7 zSeZdS!W&EBuG2Od=m~q_Gr29RE32XEhE$BiV9zjDDc*qwO=d!(+y>!a&u;KDFA{dJ zwqX*CtrQ7Eg)z%+pK7e=C^ze7>rJs#>6%e<*SE=|ApBFx z1Z7(Fmu3p9y4c}JU;ntF9@3Q=IFXtroN6>}ktC}$3EP;LQ3nP5T*l??eHE*uNTzgw zeTKWP%7>5qu*dSwj&?Z6BC0?<@TFZoVMsd%9?BNmu8EhQ`od{~yJzTMPljow#AAmb zJ-@1;L_Vfi){g_Jx}>Wmb47LHScQ8&?gl-u;FB@aejai9rp;GG6>&BsDs&N}u5!gs zg0GVAj#4GltDUpdD^xGKH~-dUG%_8tA9TA?P8~5hfGU67@_IeOy1pqVii)UDsodC0NA*qD)!D3XIEt-sIRlkJWrjoVwC;x zWtVTcfYPYS3CsS#)jSZ}j`7n)Z$&l6XgF1z6YlV8he}!72_hJf7GCD)*nOn$#@+>2ZVhliL^(_I8i>rHG2T#@y4_LVhS)g8b_=AbOIYc}NPH7?%@~j)pmvJ) z{Y}5OJI1KA6E%*q&%Es-&>l5!fY?JzJu8`)i91Ks>F;Tk+V?TxM;=LETNn_A@CR02 z1S%>t;Q~&bD=Y|{utT0V9whHEmD?7)3DO{+33TyWv+vBr<7^jnJcw9hk}mtiMw-wX zU2dlj@&*VSgbziKpE1*3(ytUp+bP-z#N?@uXfDj`z zy0)sY;zuuMFtqANsXHH&mA=F{r*pv6Zt_R1b4=2Op0N`o7l37$r`9e^X zN?WoyR4db`)S0q$U4IL2d7+upQa+GS^p1iFuuuyD8MYeT!W>Q-pkFU30TxtLi zRVlG?B`(?La{8mE7U=j7h5;aI7SSVwS*=Av0g-C1?<$newG}{z`52ZXBNBeT)EPlb zXj$A9ZN@dHF`MQ!z@6su=&Ie12omE;#jDTSn2~nk~cr<%8Nb zpmyDFU@DVJw=c37l9yoUr}gjwxC2 zGor@G126I7mS`YO*;xKM8?LrMV<7&aorFqw{$!!-U+ovM0K!Ehuy| zu%I5*N3O`}z1l<<5dvC(-bEig)s((66LUTr#q1|BhF!6kdv37s;pd7v+-Tbd?1Sf2 zsU}ofv~SO%9N#YL&TBjdM%T__;ekK(0(C;aM#qbmd=YIf#otJf4qw#bolu{$1&CUX z-3WklcB~0GBLL6_c3Ko;mrQZsyT5E?Y^ng4~vm~IC6h#7#3+?IOaxm?XeN}W0{%P1U6Q~ z^9g}sd=Q%WqPX~v+Hz25$ti`Ja?GoU8Vk1b3&U}}*gcfWH@I4|kF2eWMtxg1`i^BkpPP)T~#3(2qHC+*+5FwM! z@ds&qldBSmy6~@so5qRu20pAJ6RvEhz;3tAPD!ZMurT-IANh zB_6#C3-YEhz>k8Mz=2q~){GvTjzoZqskbnMhx-ef&3mCMU(!w_?M5yb8GNdk zVUSnr=t-y$KGd*0@tLq^j1d~=(C$-Ns3 zp$wYvClewO9?@j`)0vM}Br6J()R_nA%ntUROJM~PLWyN(uB1x)&2h-#zPAI;V8vo_ zvYM5_at*LzqeEiWXh}NT66wzFp)^VlHnV!L1@SQKnfD?mqWqwl#ex>byY|&eTCm<3MLd{IWDH&dZtBp5KP24Di$aeNpl6=|a(x)QF zd6n6@UC5!;olm;CF-$- z-QaScpRM_M7x@}Zm31w&>E2V&)9h$AtLm>u-Y=IB@sTsD%`|^!w4hwc5TO&$nr}}G zm1i@XZN{QI)C!%FHC;^jPKXVpJ!;xV2ymU>35GH%G_(^h{lBuIvK6*p;iu(%n;7oZ zFZlBkwylOT_)P*4$@Xocnx92x?OYNnw*{jzetwN5a(a*_&!NCk~ati*)*H2|q# zWO@|oBW7t}^Eq{)JHun-+99yEwZ}ZsqSjpvUOS{iPgn#stbW zWn#^G^98<1T>|J1#1aSr5;qd>2IL19J%D0T9X;dOw)NMy{(yuCFmxNSE1u{pV^W}dvzG0<2jLV9NQL1&!B3A^@7qPv` zpy*wx-;0oann&)cU8VD(Ijc+`0;ftpiSN;UEW%L3eW`q-HO@74MbW03_h#7ii@Z2w z$I|@#a5#jq6WbSw2*k^I0Ulq*Od8P0%a${$M7ZtW5`Y;%KlsI zuu^pIls>LRur645rZPh<9V(_;m}Ad;njBix6HMDE^h#8ci3yzr;yT&JhRdBDZ~uml z5xr-R2>T$S*m|1O@mi1EUDhd^it1#qWMtB%eTQm0@+Z~}RP$y}rAw&|HlKwf8D${4 zuHLnMG(ZwCke3$h=iz*MCSjyusGSV$0B((rgp&imkdYQZWj)}S>N{=Xa`i+UY^vl+ zIDuJ5l{$yeiA(NVT&RJ@o_mTluyzu;NF$INa+(q(v{U|Vpkx{3Xv?#WCPPNc!+H+D ze8~OX$3U`#ev6`wPFwVBq*yd`lDNdpQ?!Y!df2oG+sY-D&gD=38~ogDEb2rdi3U_b zx{ooFG(RW(rL2I1P$!gz)CmoE`t@R9`o&Yhkdp>j+gIu=fPz+NH9*1-u$@>VLQG-4 zWk&_8R=mv<-YK81xmfRHF^Y(k7#HtYv4nW68ADb^4s_Qo!&LSrb2BVmEpoO*LVrLi zri{Gw+UDc7EZbXHO z<~V?C_-jo}>uW+ERl1-`b6f4#ad8>w;@3EGSZw$jqq!$8&^xlqcEi8LjB#ixKQygM1hD;`pw*N=I)MCmzXn-fVk7KapS*U62VF1cy#9|PIpDGS z1V45<85HM4Qn@X9%G5)+)f0`jhF80zLUGX8nAUCBJ;<$vo4oRI9rA%ucmb=&3=dL` z1YtYaDgcy_m;=6HFC};P87Q3bQNiBt>Rler!u#t2QLhV62n2`_BRZcAF1w!8sb?yR zfd^Ls1cn>l4%juz;EsEZfEBHz)z4(u1x!#q7--pIdrL@V{AM#tl7AP+aus^Knf(XBoC|k@@ooPhFUBIGtZXAF=Mp0B3 z4L8|?>Cf)(M~JT%?GyKc&S-0=XXmUe{8D;NpG13qSf=e=%2r%fFiPt=FF~afTda~@ ziKlw-<*<+8i+;^qB~yd@5eDLgpX}z}Zeexs)fP?4?((WzT4s=7x8|g2*z=0D`)cFk z5UP_4vDl8s8{nOE$5UJzei>DuD5ofjlvhalka*2IT2NL`+;N^0hbWtX_A^rNqT_)o zAb1-sbbi4riGC6mE4((4e|sv2@wt|e21QHOopp=c0bbkd z&@&`2Y5wlA;6PWCtXBWb+#{XtwcWe(bqLso45)}A&_PRcMmJ3ff!xjK+3S}Y_>)D+ zlIc}W{L+(Di1V{-hgNSSqmr10blBFA#VKjVZ?=1%4fph-NXZ2;^$xNq_(cLU7-#l- z*h{0#1JDa5pIy>c?%i_Fqh|Uvxo6y#=m)~XGJuC`aVvn&;qd^T1n_iEMexKD{S-3| zVZud}@N@1iaUF;gjm%E|s>nq&&2VFYs? z#2QpW?yuK;T$|GfCtRmwxsWQ`*k(UChlx2^3Hgu&!U8~)8OvyYxIxTv;j9I|Hd`f} zy;61O;*Fhg#QM9uuJRl^xbGWejGM2L020~LcYr~FwM3y1xfu^xir_5*J-p5i7`RU= z8f*--0bV=ZveLwa1!m_}>bM2)KB)k{gCJRi>R1fqQ%9X#uX5az07hf#u#w0K>b>unA4kBSBiP;4Z8bahtN$I{2{6JW`j zt>cYh#w&NOsMOFeD>x_kqHfOlgdnM;F-iD0<=G~3o{BA0?x?YE$g*cOp?r=bbyP08 z%UG0yzxtu<*;6FQPQg%O?FatqNi*qBW|451;`>oqa|xW>RW%ipvTEWto~6>RNd zF%@DbAp%hWOe`Z+2um|mbnf1Kz`m&rg;(GqFoT=9P9mS*97(%x$;AMA<)c?>@?)2Q6Xa`O4 zjeLhG`^%9;2822zH>6{V^d;ZEPb?8u-*F+*$Q}vW*Z0$2kEvw0aD;}+kEhIuQnLd+ zkBkexXyYP+=?9GqGYstWLTppNn}k8W^=_`D(>XxrpOwy0;kvU5fGnYn0ko)0Q{bb!hB!SQ z#h_C5>HVXa+RumU`t4=!!B17SbPs&`(0kx1DH*~|Pi$n+5#`s6DeQ*)N+Xv#M|OQ# z99*TRFN>?H^am~r{Hpm9xN^MiJ!d<`p=ByQ=|J>LfUiI*udIn4#ZzA4mN@I*0=l?L zD+%DLPa!lXMXMs6qQa!5OAl_l0S`m7iE({^AhEVJh6O1zTu z8>6TkL=^Q=P{3Rpg}j1yPdT&)RpzK!12#f021K@zCOqB_x)??nP;Y6Vr-KU>^ z*fzVG7JJ%&*KBx#!!}FC9VDWYE_CtDG;dg$lyc8o_L@tnn)>ENcTq;L@$Y3dThlz) z+cel$m7}&7%5Fkh)TdS6^b{HL5IS6!#_5h3&$6E;{}u=t;0i7;aRn+D=BKp;9&Yz< zkia7X`ZY-4))^2}=35?SPm?!HD)3o0M5NA@9~1pis4*gg5}&Fex4=bc)C<>%9Pqgg z>`4%}6~GxG*)p|$-j}-Su$;Q?uvh=wbYZ?y5}zg|;k>W3^QVn-iFxvs{N009K!EEE z!2c(3;huzKzfuiiJ~q^_WeYE4$XBMy;^^3Nee<2|9H}NR@FT2~$;9-} ze@?_-Hx#?g_1m%xSJ(m2wG<%{3k#S1y~Ro=$vNvup0%poC_To~@E6;F5+-JM8QZGu z^{+MarGU=jXHF#*<}ym>q7iaBhGR4r)Nr>(GZ$|1gz!ca>-HZ(hXk^mT-Ipna`Fx> zV1>_lMeqbZL%4_UH9kEh0nm*haS7Zzw1@^}sNJ5hnAcTu1jlWQ3MUHPXy7?<*18OJ zW;4K&!-Q!3e!IXy^DV4&LocU`8&}6kVWa1)L*iEb*6L8gR5rM!0g5Hq2={KrkPzRU zQ&|J4j$Hh?Gv6(e zPT_u$biKWg6iMr`-c}@?i@=m!F_j#x5tmI?2yV@3@QSD4T|ZE4NQIl6yJL1j5cL>A zVD8+60W-QsU25pr^hP)pnpVqDnT7J|?WOKYVF4$j5c|3AcVpO}tzxIPjGu%1HE@Lj zdsf}^0>o;nZwpTNYQ5hSHVSFhsYu;%;({jmQBtM-7jf9JT7Tas8r4vXIc) zW_DOt4x71z=EMVMB7~lu4nu#b(V;o_t-BQjFZxdXb2PawCDpQOB^PE7D!iV%Ois@` z#MKt|FV&)K9WlEHqH6bRgK7)nlY9|H_tCL}{nfF8VrCi5o04He|3gfoRxYLvMI|v? z+soak1e6Gh=#KHr*_#Ey!3bj9AU_xyicZl3O%W9A9L$!JWG>hjIPwLKe1Rhx9Jv-} z`vjq6s@Es0INPQ<&UWGPINPUlPsJ|STtWAHaW;?u?!?(OYw$>%t;c#>ob40v#*>fA z#(rs(FOBl0Q3fc+*FDr1^G}Ml>evVpq9nw^H!^vdvg<~Dm}bB~%%kbSHqr2z5C#Ifc<2ZQPRRhg znAp|E8{!duu`B{~=pcU3g1FA4eTl>f5d!I%QQ-_=gG)XK8xxj6G%6Wn_0Sg7 zTWsW1xf!tIH>5^%CJRGW5}j{T?`ldZFqmMgFvzqu1jjYYwu0;s+q@}?tn>%B!KOtj zpj8xlH{L9|s`vD!KWe$g2k1>72G@QK@c-b@jW5?=pd_LibI zETi$0?l*tBWszHH-;{K$wlsiYRYDQSxi`JOowPb#SyaNO8`7{UzrwR87Yd%w#J9ZX ze!S}$qQQib*>c@%Q7|$rBcZP`SOmin&8BKwMNzqQyH_D>$~`4*TMCQQ(>N8hXs$1h z=c{b4fP%N4_^`q_!$fJ7>flGhgue7YmJR`8Up$UV3hldh*xfFvBAYiV4%})**R{+E zRq2KhM}X`m$s`w}#UJ){6xEoY+in8^9FEWFH#QTKhw5Nr&MKcm;xKdBhhLotCsd;Cj;EFxNcHx|KAY%`DTS?`% zQ1}cFssvM*$qiU3)=`-mj^VvIYg$&?Ekvy@yYBHLgueYNd?5%EB9tks8@ikBj|x5r^rkc6^E2wpWmy@H4_ zF`*Gp*CG8OJWS&q@DwJhn=*!oP6Q`>Lr&LgkjTfSAmWf_EcDC)Ug^xd-L&SFVZoY0 zei*Qk88o%Ag1)vlWzRL~r1)%8hw5VUo5*9P1y=D>XJAIq@Y&ImQk5xB>l+!>wz2lX zbhY?QBChRO7pRG3ml2sGhAMKiy_Vuse}J&%{%k2!`hGnF%W~mVKqn%F+zb-PGQlAH z@lnFm@>_mt{G8P(eLo9p${!{KTaT}FTDHge{M>;7x_cq?1hV5tn$FQq2d8UY+wP4K zR-)C~js>;zH1a@=xZG!&R6xorg1~Y2W)0c#K214!v82Ax5ox-UhqnXDCV3x?WlAoi z5!>#aK0-R#EY_ZdUgUEQVfI{%KzDZ0uH|9J?Llbcv)x}aCbHHiuPc1I(JKq^K>N$! zO1b`GnPm9=>ShhV`Ui`gCLcT|b3e97Mj!Q%ID3``csMyQY)YsJuuqqEc|(h2A@kt7 zuVK4187ZAKK|2drOr6^u+J~W|owLCp_~%^fLpq8h4(qhun*0atJ1q{J&52a5KjWxa zQ<(h8mAXKH?!_lA`aP#h%w8f+3#Xch?YEjBr0e5o74eq^!+dHwyYdbSp$<2~oY73x zXj90sXtaoj)Q zQ;GQOUgwocyi$o*D)CAsUa7?2nMzobDh%4W(l*c?haPEUs=+i?VgC%Y7H$X5-iOj0 z@o}3_dR~F^D{y`V&aWE$D{y`V&ac4v6*#{F=U3qT`vT`F4%HXr?h(KGtk72mfS)4r zm5=x}9ghUpBl>w1~B{?sTjBJhI4e{Dv>iwd|NpIHJBo zmpc*!T6xP=Fqt*VBEXvZxDJNwj4NlC`0p=tiCV;#6CZw}aHB3YVuNPb_JXv7H`98# zyPM%;h=m<=>CD4c%C5K1Jhekl*G@eYBGl{9EfD~_DF5CAz2+~22Zz@C%R~;d79$dD z9+HVSc%9)t+&tD)Xdn;mGRGS6!@5kDk>99^)(*wLRY+w#%(NECGwygWiXkH^XOggF zhGMr>oXA=$!z4I`{n+We)nvq5yao=PMZ&C2A~z0#wT{}0H&g@r_stquS5ZC*BuC0`t-iOr#A7}{RfXUpGa+PMVj3T<~zapf5TuewEV-}T04t2S<8IQ@n(;BIem$Mcxt3>J)ag<{l|7V5 z@2tMs4VX%G#G&!HSM>8OmCv>L;~uud`!xDqyO}{%DMWe+TY~$U@OXNd7kZVSbDTEa zv623_)__j6YD-mcuV~elYf1cWtx6Eb8*z26NXL9i!^Fp*X%N43>2Y*v0bp?YeO23c zwB%ZZxgAUSt_TyfqW2U(?hVxjczzYXX9q}Wj z7^+K_ESONbO4e31pol;n0YrNMMS~XJgE9Q_Bes_)euf!j_Wsyp-~#l#h)51$XAc z)*piOtepo0nEy3Zb77`x3+tLLm6aGCGZ1lku5Bgwe`(tvuWb}%rw0hKK^8jt?|T5S zD=}|yY27b|yzq=W{=ap5L;)=?vlf=)APy56PKdBh}a8lKaO*LX-NKBL9 z%J%@D4UQBH=z+FRIDe9T+=l}md(|`rSR=E|ZYd}zkH((S3$X%_89aG-nFJOwQTdQnGumE&}ReBhP9u!`% z@{1u>YZSfSvDUNKHNVObBzAGe{s8HFk7qmrz0zF(jS$7W#y5nCwMyKfNqAj17RLbN z`S=H8YT!yznGWSbfkj%$KQmRCV0=4A+I2Aa(Xui1%2SmQq(jn;QC1M1;??(Qq;GHN zcd-}j(Ap2^+v`!%uk}VBM(B9nu&^5sz&>f`F|p~ftX+;C#HS&jM9fuT=3LRoobwPitqLWh#XIon78QCe^s>4(u~hOmn;Tn6f!m zGFpD%>M$=-sOh5g6sFl$3`*PSMm-z3$eQ9g-dd_W=PnJLttz!mfrG#mVo;Od54&qy zO*fmPQDJq$^l*hM5NfoobRA_t>4?@C%ZtE?P_XXAc^Eb#up)Jub%MABqH+&ou=AJ0 z)PN-vSsCqoQg3Pie>-uon=66IR-Bb~X4#gmxcb=Pv;*2m*O%G!(EV8P;l6B#!K*(H zugvg!pSH~T!Om0$Vv2o075nh9M5A%l2$qFL&Ag{O@{S|;NrjT85Yz_2>hj%P(`PaU zzSVVyO4Sl{_xxq%jfPzC)y`B7bV|qeOdHOH?@q#4`r@v}zBS6O9Bz-`j4}w#OO#PFm!ZI0$7n1?)vHzX z$|+OdoyZRoch~EyX;`%n@N^6F;EHncpa3c~FS4a62)hngPzhvF2C$U|rfeqhi1a7N zexf;&YEx(7RqEEJU(Epq3=+A@&%@)J#Jqpx95fW;FFXfy7CHaj(uk9gyWnT96EVa} z4Q?rHc+GJF$Gjqna%*2E2*s#sJ_`m*uy=T)+@{5!b>$=9Do#6F`7G)9Cw8vKritt6 z9O_NiV=H4RnXqo}d!Fd-^gPkM?|Jl?k9Z!MyHj2GmqB?Ml$SxtW3kceXitP_dukz? zxBD@A54EmdqqNON8KtGOAgxq-whURnFELt-=}L?y3J7ZXHZ>u@-K)t7|DmE0MZ(!i z5Gi-SCc4So`0!vZ=w|0(Ai;Fa?+x;UL4-Ut%fl&FaUSK1lE;*FRx1IN>kM&OZ)lB% zSHGsWR+phX-Gz3!w?FIHY%eEnvOm^jibxy3zYzlCSC0wHG$+rh{)Xy^den}TmP{?2 z`c7@NQ!eDbEyb?mK-uP2zM*bRAA?d6NLTT<)Ww=5iPq}0pds1-NaFb$%@EPupl1<{ z+pJ?HR5%V$;gdylW<-#{te657E9&CvAWvqlmDgaUzV9%AHA{Us0JR8&G`sc*Pi4x) zB~yp)Jo63BD#>~SZ>AwIPd;+O)yBQN%cQ-u<}os)9|bNA>{s<{ zh|AzIw#jd;c-JoL>iOu}gKz@P1!Nf;B`(|NUX)NM6mFROCe~EB9cTg@-&%vq;%h;; zt8LO3=kN@QhlwBN*aQxEOYM8@u1*xkVXq(&*q*|$p#`m*dcR4N;L%h-J};&Iyi#K! z+9)IWu=?e(p1%ELC_s7dpQ+NSS@}H<`4SAt77Xi=s;nJ~#q^K3z11 z-WsqVvrM1`W=QWL|Mq%!j;*m8xp};qERcI^Z7BCfE7?l0j%>t8GL=KSu4{@S?Zwfc zFrlUYzOmwbAEwhgwRT%r{8@oMfNJKVkV-222k z>fn2HH)KJ?9O6oKG1{|sSNFCJ%*7V8$>v(QL3@(1jN{rkJhZ(57sLVf)}!kaJjY=` zBPgd3a=op3ux71@*;aC}=`tOuV=b=)@X z_%0+EQSm1s8rR~EaH=>ys5Rcf5 zvJ(b1D3l1eX|)@R+K%OXKVVvW5hE>BE&S$#Ect-nOK_d5S##bOLy+sF0f&QfLIyob zpix+@hh(M15bGA(4aVL@upy{CVYBLl=%@5eg+yCpqk&UHquIH!6egHqIH3Xc>nHMb z9EkD`MXy4BN3X)F)e3xuBf;XDe3am16PV_k8-|VyKWI~!shLth&e5dKUWw0RMK?xQ zX|obj9s8(zOP$Ki28CE4Cq_e7(+E5sd!g-JBmOsRZ{c`x%6Vfxblyq;{P?d$7UoD1W#ZK6Asm^{Yj@+UGyNY5$yipgZ<7^`Y;o zxl7bK9}H~kyec>>FZBYv)$gH8%Nsw2ibtctJ)F?taE(*_(R!|yYDchbHN|TgBo$mk zCbcZjSjsD%$aL9gIB{LAi3vhpc1d`mV|H0clFM9nwSuuw5`5y{rlH+Yt*`3K`YDMD z9u~rVyi#xvSk5J)x?RWAdg+-v?7O2<`0fP#u|cL6iz4c`61+mC60Qp$0-y{xBp1pn zX?k)r=X$a85ru%1FVqpw#bG$k25rYMub#Z+H5)oj)3~CN{=5pWKn&@?wdL~Q;5#w- z%sneGHB35PGYTCI0z=~=W)@g|IC_xY`hG!#URq!Zqim~`FOmtfDEK@v!S^Hxu^VS* z(y;=^B5CqvbQEP&cZj~3eIb3Xm(3pF4IA4PToqLN@ue5Gw1=m-=KrhdVF7Gke3Pu7 z#`y_s8WK4r2>}wEr6f*(9v3+mMt9yx-@>xnA3PG9f)Eea@^*utGjofh7}D@!!+UJq zWb^9{Z2*6h5YREb6T7xk9olQ!34ODyc4XqAiMDj4M;WmB27>b(tdS%->L#Ie3z8A^fMiVnpks@eDBUC9^NG*bB1xPd` z7(5Bn;oY~Md4kLJwGpjv;@=GokZq(aknM~)C7!{0Oi46NE7ACdc zADf?P-PiIn8G-*4T6kt}eW6>2Z?U)DY%^0nLoy&+doZ{re9+)d-u5wr>#ZrYgqOj6 zE`v+?tKct{^;u@3{V#Oc_+d-Ko zQ6bnFGc#l}I~h_1ngwT8*E2>;z70E^jv~5-j5iW#_qNv=0pSrWRue4VsV7qKqP2 zJ-6>klt0eOk>HzYWs$~9SxsSzwZi;Y>zGZfW0_J_&pI_IRA^60c?g}%_-^|X0yQRG zw+2)1D})q*%0ss!`zjYPbVmv}z@tLzyR~F-ui2uvdu{62c-%N+(RP^TSukYvSb!qB zS_W_}0RQ1Qv)_>92+49o$7M8+t|LwOX4X4&juG8o0Y+&6Xle|`bOtoy`U;(^w3ooo zbj_xE+!QQvVV0hQL~#R~B#P`HG$LOhq6tdepmYvMFm0J+Xa(G*Nk39qV7zF28OJYQt~p4SgYeD;O@W*&O%wV&^`uzd|zgvecV-bZAR)lvC!@}8|bnx zA#@NLmNupWVo1obO_6}ckF*psGNb|!6?obl>(!GdYr0D;1PC`GaSstx6H|oFUM6DU^DJ zJA{98Q#Ud#E*~x;=K53~^2H6C(1T!A00(guYOXP|JV+bFJ#U9cp^4ZR_3Ndr9D)MBW$qh7v!telCkFQ1L9e zfin1Ta-s@}6Gn)y>1?EFv*Gih~@yGRZVs{{)hyFOqGgXGOgQiH|XY|2b< zNLdgZViE)gFBlFvwDkS7-gjt;J!H;S#n5LNokWAnXI!ZfTI&k^racqTYlIpjW;)p- zj5|Z^vg%frmmjO|rgUVE$Jua{BS>-b z9#qF$gJ|v{-2UQ%;ewskAf-~|Jn&AXhp=e`5Ew;F`nHWXx93EaG_YpEqqLi@u(eIQ z;OoGyfFOjRp_babSP5(iJvMv+FDkL4@L4CO;ClK9lq+^&46H(n`kRT152i3QuIZ%T zN?rQ4P9|ctmziz_B?&s2DA_vK$zaQSUrPBkO6dkHyXe*vS7p`BdQL)Q*rsp@3Y4rc z$qk0=#|HjDtmt-@Cb0p?Y%6Za?+`FzkPVcXeJ1J?>PlA(cxcLp44Xf|w=8Ni0^Y24Lt+n;WxDD5@fO}WI1qwXeB-UJ>swdm;Z zsJS`R_jGqV7U3RScVl`I-L1oX-*QArWN#n|1`;{6rXDs0L-yu|S)cy>CHi!N?YC)e zGG{wF8`l@Hzsq}vp7jqFCi&C>$Dv85k-O>_6X=#;g#q_wJ<0jD|5 zQtwMlEAjcT3MO~+c?H2A&rH)-tg+)pe1Uu0+|MY8K4l{^$Q+uVgXOHoULyfVWGaV7OW$v(lOd@DJJ+71Q?V5YE*au0igW0O*hKlG(5Gv^L#6}UDU|tzT z<{$J_67K{Noa=fmW|7HuPqooR$DgJ?QFraP&0xUcU41*XCjFGuGZ0*!h)U}6izxc! zY_cu=1b35Ryf+U}xBMlLxxp$_%R=P7;t26%vsil;b}=UDRJ{r@MQOP?x6o#B#tnTs z{WXY0_&r2gg8+RoVeBQM2aPAZqe4#rAeMU;PC1O!*J=UzRsR;S;u=DG9E$u6 zcd39vCh)8TYto9%G_9pq8kaWi&IGn)U zi#fHdT{2rOi=(w+#jg^is5sO%v<3eB;{rL331iXNI|<}v%!6sGkaFDc5gdNjG4vfI z{|xrDQIurlgHayPdTED2`f+-2Lu>%uvLD;*c|^S>ouJ)o!xw497iGm4X~vgxJ1)3= zJt38;ttK}ap*$%v^$QP7f>y98&b4aU^Ik}lpg+-$HOVUA?m7Sri$%(90`LakrDG-R z&)PlDb`Y_-G@$5eaU0w7->ei5naNERx%p!Nz|>3`eMv{HKUL(Q@Alhmjn?d&%>Z z(^mv1wO|zlm6Sfjl4$<0#q8Q#bBnqC4$=RcGLZV@{=6o%6+r$%>0JP5lwKC_;})mrl zOP~fHUdZ~H%lF8exl1U4?x$-?9kSUlQdnBIQzAv&YPVwd>eB<<-bR4Ih@BwaDov2k zBUw_aMZi6)MVVUhcDD-yvq9A3k}peucDwnmg$D`zxnTr)#h(u#^5n{6-ng#se4<8s zMP~V8iw-fev8a)$Ko;vrjT#&huergPYh@R07nGK>->^CbK*fIu%$kYXxlUTR9ZpY@ z69R&91ydnq38RcjFct7dqN(8XHc?reO1gnad{j3lTDuF_xuVyG2IGv0?_f5ewO#}D z^AJ1ld;Bp_(AP8TmcvMh0B#X6A~+-_bbd2uP|LR)2vV%`GKhvo3<5OieBwPxfv;oh z)Mf!|^=?L&@`@B?)J%eqj}&GYjzb?SkwhGLZD)^ld6TERh#Uv8i%CSZCJUMu%ybuQ z5nT16-j4A&!L#v%>mJ}W496kVM!{G$x3}6gx9=gYS1bi(Esz;DlMuS6gr_+kAm_h) z3mQ7PPoOmADR;e})QcEh0o^De72PySI@k?U@ zIjyvtf}N1eyjcADiT8@OZelvTmr^j>l0K#VCM!2P*^t9E z+o%C_ef(Rt(}3ki2pownx?tD> zfzh4>t@a6KTqJ%71NX{uy{ae1mM^6}t}$pmF&awEmKDQvhGjFyCj=(>z<$^vagcsB zCV{bL*V()~2R+J+q+0Tj={Iv7Yy;b2@i5hYx=>xngYnH~&1tv4IpFxCa?pXtVC@MXgoD9*F zvXm?>%~_mSN)9V2Ka-YHUW+ks5V+$GI{=+|tb5pnEnj3j(pHY`s>B|-SP|E4Zmo&Q zT`zXxX|=G)x;I)ogjTuL;ahrDi(V-UxeA;dw(?sF%m^^Hs9x%)J4C~qrmelC{hG8x?BMU0VJMbemEmN->V7zzSW^#YQuJphDW+qI zfkY|<`Oxm_hOl|{(dX6CbbE(HsV&Y9bmIQnX8G6Y|J$9WJN6}*^P<9^lWb|pMY z@A4MSzz_DV=4;V0QBFG*ldzS!TosdO%5R@aIxRIoMxFENlFmUaE@&)YrgPO)ZXM=z z4<8YNhCcj369W}e@)6F7<+_x*W{D7NdXXs zXfowKnq0jv_G8~_^Uj!B>`v4u1mOO8m{)9eR6=gJCCNvH2_uzATB9-t(fD_w%qF>1 zA&Aa-2;}7B6uzyh?idH}Y3#G>#?8e&?j)o}$=vmkT?uvtvR>1|7nhlBmqcAWx0ba> zKrS^bzy-AEKkZdbGS;?Z`KO*9tf;7MZSAFbXRojOag!p+(tK{{&^i%H2*?wWThcB| zb~x~iXO_bZjKceU&{XwYTKCJU=gn$At$H0Lpo#@XnMpUDb89-QNLCOp@j-F?UYLdhW)f>;Fbydf?m-`i+V<_i$#$|IKE` z*n(ZSYG+JXw@-zY^;f+TFG_bIoR#j7+R~Mpc1N|HF*FR=)zGT#x~>&)Pe;S|e1eK; znR~Wy2KqB{#D2S3H7lb6}M8pyl9XJs+P(OBVsQ~GLF>6dRZF?f-!V|_pzg2Eu>8D88C7|LCqos9Sha?(!GoNMP+x<4sy zn)On3C8J)6YGJ+n1v*Doj)qErTfKsR>%0E@AG!gUaHN7`!<<2yk1I>L){84BuRi~) zE~5PRKLhxEyb*|JIijp5klJk4L zIThpI7nb#Pn49oJ-%-0fq8-gh(t;AmAbTNn!e_!s+Y#oH$6bu>_BK3JpefY%Pzpat zT|%nqyn23op(xtEhHf9@En#&5gw+?)kI>IX(i%{<5@;b&wy|Z1ou4UlrAv*nWiYow z+3smT*((01M%fCmRI}VhpiWG9lT6avh>$}_)3EP%ga^Rs$c)qezVN{I?5O7{i+?9v zz&mg>7v$uwmNTnMo(MOR>| z8f027ZA_t6o9j_lnZJ%Ydke8%GBCUM;I@a?rAdqV!C*12HaxG&c}NA<5JPbiT^JVf z?b2Ro&;wm4^MZ*sE$!KKUfS#MP&>m`7Xe{KZ>%EnG>U&oOGF~i^J;UvS=l3(cB`-l zV@@;6dg?aMPWJ2IIFDXo`5npE@q(>}Ybn-h%wBKep6+jPM)wds&dq&Yk2BVNy=)|6 zMFf4q8)BDJ2K^+69`sW8HDxOKB@o8X<33(O{3t?=w z<&sn_$k*@K?^p!Q9m~Pg1OwUGWOTb^mP#lSW9-hPBjhqJk1Zwkt|Mg$#?O*>{41P4W zR$2e^U;nR0sGV)A6wZ_+l_# z^=$BJkV8!gT3Q84)Aqa*39;h*8E&ks399BG#+ekGF8B85dXz2W-Ann)j~!E+_cOPnpS9C6=s`X07u**tjP`q0Og3Ap`KvVs3Eu!#l)Jo{}^K$ z7i=-ZF*q5W9Fws92O#7WCY;C2!X6iEloZ2Ou(N7PW*t(%=;WJcgCI1j-)!SjIev5d zT?-Go;AUJ*bz9tCEFDW>6N1`n*f5B)dxb*g^zG_6)p~;2KBhOhrCq}jZWjOc{A&L>^K4j?^^t?`EPfeM_W`PR|Y8dhq zJJcSLxl`TLG^;u)zc>c+<#j?8S8`x-oAEm9TD}p^lRc@l29?ih@_VN84g-1HKBj#= z)Fk-sxj2I$MrHa9nyWJdxrKt(^*+j|*dR1gzPKyZOvb>6Mwn9S^XdL&=8cA2F^(yf z!h#RGvc|WKWBhUB2$4Xae=>S$cW=$rr>xPhs!`SIcS(HBrjsHWm=n6`bCGt5x(9^?>1!0NzCzF0v^esm_Kc)$YRTx`c`s z#q|MYO&T%a->f*y}zwmNzS#98`lD$-%FgQxoWP|Ee zJON;8MydEnC*KUg)HPfbxTa`QFBy?jq7%;)j~q;b)0>+SAk}kbcD&xr+`Fg~jjpFW zOJD?nwvjsChG$0K+B6#t9hzk(m4lFT<)?{wrnaqXSJ81!l!C%EC?J<|XS1{H@auoh zBB$2O9a48y_N+HWv`Ty5=0BmY-$kGg)MpJ{qxj2tNFPM~okw(6W$6g$wjxW1qkf?~ zzX{#Bq@FT!i~ceea~?+@rre+3HLG!*>ITVMDq+}o{`$z;+PZTI?UE5u8YrH4Tk z^%ZiD80!%zlXuaR*V;}6+?y%@%nYENer5yzc7$zJ`x@5Xa_p`{h#*`iw_>ZWnQ-kz zLHpXZzf-ZbJ}3=1b*ESXq;V>h9gQDjFge&3GUQY}3Yk0YxU?#+?K-!%JGJN&hiY86 zNtp|4E|zXabah*7;is>0+p&XIKm1Ggp`ctk?ko~Wl(Bt$_zoeZVtP`jSD4^o;Ws>Q z(RA!VN2%*vv+pDs(P13u1q(1#vk5mLwzgyEslhaO~vjQtxrq~T9G~6T*j0zB3 z4c!34E}*pDwFS{Ta#`ew7NVG(vGH~!ks%fvVdG)MU2#9VM+Ob_RH(bpv8@+~ zoh>!7XlGd?6QRA_zVqo#Fk)JnA#nY_T@1y?yAV^iKzb>z@mPrOynU(25d2t3v(Ey3 ztTbG-%6*pDV+lRB`8M=;-SSZC7d`1Mzu%LFH<&QT5Nug=V{?+#t}ME-DT!{Z&)kh| z%~;Wk^=eir$+R(Mkv3-0qTgi3WZgZz*!IXtFZQfu>2oS%w&*q$5*EzQIhx1kecj&T z5!dXm_Sh+ZCY3$9w6Ea^_YR@xHg*y2oP8n8d>?QG5JI8sgxn{-&=01r9Cl2&j*rB3 zlj$0dZ*QG6I%I?Uw>i<9lkK5awldv~j^%h6H(%=@sLRXfLKZddYR%RH;w}U#hO{7|~<6eguyjdg*8((xhdz zyT{x0F_nM!+3Dnu)Hq(&KU47A(L2eFeAS6RS0|pGj^9&}kBe^S5Gn`9BdMNeNSV1* z*m}Z;anARPg^4g(r)XGD)QXxPfvvlFX)F95s#YB@f9MkO+?>@Y>*CoT<}8=9KU{M7 zGawaHVaT>9;zeP|f%L*%D<|F{9A7*h>#96j)g-io;qFU;cWuMr{|@9?s4IC_4L(;TM(On)qaN;$u22FC&Jzjp?U&sF4q;}kr>y?@^^ zm}Qz;Bx@iU#))BR2O*W<=s|E)qv~l^e0A zNj+{$lZyS8s`_|c*nHxa818G(C1d0zPdXrrptE#sA(l=+(W=%`7VQ;}MdK0LmS^45 z_WIq)*C(cS@$1WKJSSY9rG>_z)k;Ao^h9nj;%|24UL3k--^e$-u)&G+#fl7vNd*HdO&=w1BPc=GiLqx@CO$(=E zRJ*Iq)?mU@fAFNJzHcR(X&aHsrhZK$q;cQ{4fYXbMaMqTaGy?&g@|Ds} z!jd<8=^Qwh^ogT~?ScZY#Ei#Gb3=ObzRp>~-HYM(N!BMK)_w-`!}vD+vPBHM;BkG0 zi#o62fR2_8-3JFP(M;wVa`EbIeT!{y1jrP|9|j&Ytp#V^dOBMN>5?l)`RO8#H`|fK zu>{i`t7yn@%Rsaap-5N<)XK`{`h^zrK-Xlt$y!4LQgJ`vgAhZYuXa&4tH&9O<5P_ zyViVE`hs0cxu3sOh28ZnZIC(YOHu8K+XlVk@C}b6bS1P%(6(MBw!=C!LbWb__`EES z>!o_d&lcpyMX|jX(dZZDT*<|tTE1XOcIXsQjTB&55UR|ZVMO~#I9qbVLx{p|8>5|k z^Ndm+QE9lrGT5-QDA}foLzR2knL%=Lj9O?-P90BMhukkhU%4jR5+a0Yu6nk0)u{Ro zC6Z~Yjr7Td{v9Dt-Z4)gupBH6L*47Znd97}m-gFX+DmaibimMa;-Eh=(s(?S)%IS* zg>GXk_PtnbWMY~i`pH?Sa7=elihVkz6i?}zI?eG@LY@gk$QxnGH*m3{HfB#ucM&( zXeuD=qJqT3{}r{`{ybu~sWRNT`{$5hyXLQuG3vVn70IM9%D+dar=@K>Z_}sRfW9hi zsS-nqM|bZdB{XAu|0rMcCZ*%?HWpgmS2s3?)qldxeE{7gHEoAxwJa6iONQ|mVviqZ zT)xL8+WT%8;UQa{eBr=y;TRtSJCQa?I=ezlk!5W0f(#eP0H+;RhuLifHgAb~q*XEQ zfj=P(>7wi*4(V_qx~}-br+pcZxhyZQ9oXGX@=?=wzfKxuR1@jSJ3e)%l>;x{DZFgE z7U};+qyXRIT;6E8{q0N%Q|4sRg;CAzMr~OwYvgbzqz^OCU?jcRP^(sOVEud7YXbNF=8bHIMlISCb_#Bl0O$}E|z<@SF;Zj zIQuZ+%QKF?w@QaNsNc<*LK_vPx+gK!5O}Jhuq>-rA>1(6o2eY9iz2^;)mD-J1gE0o zA$K`2BdVKE^1enF1&nYcn`SGoO$0*&_j;C`0)Xfk_>2XXFG^0$=OX{-v*wsGUli@M z*L?8fvQ14kSrzjFhaAEw4jH9%pclNP^zo#$tNUyA!~Om~CT2f;g}< z3iajjlaOjP*Yvlw_xvmRRNnFn>lA~oJ?_rlssUfq_h3S;R6M%ANXMD29W1Wl!`c2P0xUX#8jQ4CcBxi3kqDpF&Fmx^3hksMAs zW}@rl9YZZ7Ge&l)8q!NECvXu{jL2g-vC0`qrGfA`K%s4Hb9st6ij{A4 z$~pWPHmEX&k!RP0z9eytGAZek6@P4#z#s2U0FcFgo&%5rmn2#VDW)5Zn}8^gYq+J5 zN(ZlCN90otHUPl~)Fe+U)XTR1#RY2V#qUS&nd(HZqW1zEmZs$iai$8YAawb}&dsvZ z1ELqxeWk@`361VeRmxiEBS|MMYKNyyE2^|=XUeMdX&SV}bGbLSIvYhjb%u;ZVRzl8 z+Y=oRLEIn5`F=<+3hn#47H@kIjE}<)7eq5f_sHHQ)JmOIJ^deoIo^(hfUx|9eWa+M zoPVajDk6RzXS>JMRzdgf`C(y=+y1~x2e`p~tR=xGg^P!;f5yd!@P#hE@l`eL%+XGv z`czE;^{E`Q)RD|QbII1GRLG%Bjg2cERZ|5oRG|rlYgf@}5~n~QtDdDgt-;Iip?}Kn z)%{AUH){&!)!ismr%DdIh*jPTnxPM2p!e%I1Q3*O84Ylgw2@gu?umho=7|o^w4 zyU1~GG@8n(2HQ$%YcNvToIp-VMooh*OFXU#Z>^iOzZbTE{!&OcWNm@Wv66^h_A}^qwh)!82KR>X|I#k7P717*EZ@WWg$p=_H-D zTWOu$Oha~CwAU4B-+ZwH+rGVF%JB6%@~xRpIH{*9A~UuVfg7e9Ao z<0q&+L14P4?+HwEI1fzI_s6BTs$Exsw=Kf>1l(`}1$n+i@#Db07Yz6XyZB%Btt2~g z9Ea}f6uv;HIg?t~qISKhBjgeK{_nL&5EIFeLmtu@nO<26OX2_!!^g)vX%|>nxa#st zO$?refEda*C;5{&$<=u!)xyx+o$bP9(Xa7Ls6DwbX8Ap~;3JIJu681AyMc=Q4qg-u zV9W9_vcQE;Ca$kIm8b14KdomBDc)Hf;%XaMt3$lUWm`AqnH+%Y@>U5tO6ujUn5Oa; z1-J-kM{1o`2XUFt2R>$&I_OkEtFhWGADm@*E4#7MRe1Cs_@4#j%|sp9dU*vs(B zCu0P?vUAaP?4e@>Z*8+{zDe7TKQ?wkKY3)L_;Lnmm+vg`_0IaF~=GPxb|A{rXup-Pc zWyn)`c^T-zR$Zm=IA6;OzXykB;$%N9!rUT3tMu`6ahgs$Gcvwix${%jndeO#;T)!# zHe@ERjMh^1O+eLOxkmR^&-jk+^4EM}s}IbIh(uF9dhNNS(9RDuDaayyL-Vbn_O^bF zXJmIG*MPhOa)+LGu&{duGLdVviSs>+G8oAVmDtm2jZyC*0Jxg9_r;2E(kywAomCBb zcn^=b{pufX?Y)&vZ==AIC;6BQ6cNE$|A1`|;dQ{rx?gi*r;*G}>-)2U+++a5Blb@x zqE8#D=E>wM@wpDj!1f8;Nt&{ZnZa{z$4Lv|MZ;G)kcWrci)t9vIVDCyXbWFUwE{Z; zm0r0prdl1{*psXU23~Pk_uAE+E}BRCZ9zYFVjT#&M7#*)vm%;4nfp7n5cgy3&c`h% zP~^&6!vi~Ua^7mSzAN@0S+PHZtuES97i)fBZRzA#Qn}+;+{&-SB;VQH1Tq#WgH%Yj zI|T-msJj)oQKd8xiFIdQwpy7o2KygnV}ELvc*Vy4wc zr{R4G;wq2D_u~U}eMq$l68%CL;Vi(na&~0?&g*Da$5h_^~_zR3_RKUpwPUAfY(eQZe3{v0(pL=}!}jOq^WsaAN8cNi%?G0;ZW3xc4)*R95fw zJ)f3pU2TJ`6gOqQ&})IG?pg^N@bKsc=d%t%iq#saf(RB` z%R*JmqGc|GtM4Cv!MyylYNKd@wJTY(%VO2W!J$RiH+m={&EXDjGi>?A?L(StHn*sc zn)8Rikwn$0+Wdz(|JIEky5bm9s(dk5j2e)MKEf7b=gp9m2E;LirAflR)t4k?PmmkD zBR#&UueUD*0vCc{83fUVjE`Vmp8)|V^wn-%cR~hkaw$FO4yB*P6h#=-vZwc==)D756>r z87D?R0SD$MV0K}1vpDdMBWaRbQ%HGeY3dw?sQ^ueZeRftOUL0%Q(ZfGq( zIx&xeJTm$mx94qt@o^ygx6lMUWE#oMGn!bl)uLmYC+RJT>3tNVo@BqH*bchWq3O@! z_(B3VQ+)T!9Vw_}&JXkjh<{GBESJVqk{I4EUD$yf#_9=wQ*qwRQvDePqa{7g>`nJB znMHquEXf^qQ8U*)Y3$At-qWIis?IoWzX4ti@9RY3SGDeE;=g9FyggifXa*~~-=TV9 z-J-ent0*RUK{4@<_fhHy6dMQNJm{vZKsCTU(Z;GOp$5QrfdqCny+bS>TFc%LIsj(s z6qC`#x!PRcjYBB_e$Y~GKTS{G@KN|nw^y?J3U%be7HSlR5~mS-RQqZ;8SNV1U&7Qe ziad_*unI2Vz+C}L;s)_2jEfqo>KIi8Ef8DPAltD+%~3pn00=}9>k3Vv9*XDR4}*!Z z1_^Iyuc7I6hlh-VrLg<=Ib}|1+W$0|%XlWf~PgE!48$eGNNpqVUtqwRJc?@>z z$Y}spSO~TEhXtpCG1WFi229isTp3Lc#9tkxz?%^>rEC6dkmCh`C^z{Lc*>;}rO;Jg zD%=p*FvtUsHq847Zv#Iusba^xB(fB&-=9qZ&X4|C^ z=CQ{%;XSR}gd?$yhVZh^6EAg}Fym#aO?XdJo3Lob9@~VSh>S!%jw+?C80JJGm$;mI zl3qt!s?EQ)nbEm%D@BW~>g$9>`os;^mM5qBI%%GmaH0o`+?@>p;GX6(CB>oewjobQ zF}v#WbnCv(!m1$UA1KLrcw1dL_TUI|YEt)T4iH^Bz8LmgOB-+D*)^PA<&TOXmpIh+ zBGxYJR#~R4iSXLX_&O_QoQghFX5tuZHJk{->jpnMr-5mKEfGZV3+=jD*ICcrT~6MV zx?dMh;*o3~|Bc>leuND$)owT2ed6?9>Tg%aZS2{Thi~g*=lV;?o%l z2VXY+ahMdl;G@1PUOHLW&6f_?g|C`|_g^}E+kfBy2_jE3QbQCMef^$~no1AehYHt} z5=J6#4{VNB{WdeA3?HF*Qgk}}_)D}U_rkh&Vhd({&YJC(fv;A^EZRD-J#mh-KTrVC zolC$inC?G$4t*oOb`BAwY!og6B;v|FZa&Kc{Pg25{}O@adcoSCRk-Z4ox3Lq$^7vfgY1d1r)$2cuRt>En;jWj=vDP zA6LL_Zi+G#ZCa{EMb)09!zFb#_R2sf{=(5BPJd2<(;uDS^dq#LimvTpU|KUR%e6g2 zNT}iJ0b<$$it9JK6BspCE-L;1b>h zs$1a>r@89VQ-4orffwZ)g+p7S9mG3@ULDUEQtSZHZcdBMSrD<($W5%WnX5tbx9?Op z9vTJoK^MrbmSm>Zi*9(+o#%TSLQX6Gu&g^brM=TiaIY=E)IHM#iVxbenwX&j>n?0e z?(C7(Maa{P65HWu86SwXIx%`bTN?TpQ>wJJb-h9W{P3lV$`!U@cxB?wN3E${oU~`cx{PrUb&tF3eEh%T#EDC}6D+ zbdwfv9Ek3Qb7Y9jFoT4uhlo&%dM+Z=60XL>y{E|pjEYw#>hbzyl`!wB9VaiA#0wpo zA_juMLV!UJB?{smsVTi>!-#G7PK!{{6h+How~H~!{$}qaHZEHrMJB=ZjB$G)Kzz3I zYsPNGwd8#o#X)T>zWQWaT4d(D>DkxojBhvqx=c7dum1 zyAdJL3UPOf+{O)3m#G!i{Wv_^4Ec$;~~ws6>~J46WBuDo;_`;M)SLob>ZVb)=nO};Zi=N;iI=0 z#}uDuTC@ATl9bP z$9TH(YD?LI%pBT;hSZ^Kv9#17xFMN_bK?{ChcTxOTXzENS_|^~HDq1^X#jy5)1ZXZ zzv>Y?1j{#`v-<5aV4;p26O>P}U}(aNtOz40C0R6p_~njs6wCnyfmJc)jwuD;#kJ$? zq0i7_bk)LxlV$?b_d+I~DuzS)UEr?0#upiZGr&|DC@KY`ghAa>u;Sib=bI%(Os03t zn!vqQvK!A7&4;NEn~FP(DCQ7`aKw*^&-k*KS|!QD-(*OrHEeeK$Q6+z|np; zHdUpe67;Q{ubQs`M_LSNL8d=Pe*Q^~3!L<%Q-e=Bf=7V$e*p#ntWHP_3T19&b98cL zVQmU!Ze(v_Y6>(sATS_rVrmLJJPI#HX>4?5av)nTMr>hpWkh9TZ)9a%3NK7$ZfA68 zATc>NGByf6J_>Vma%Ev{3TWQ!dr6NZJCfeLenp%Ggb#P8pZm~&00|iMQP4%DnZXD> z157udf&RUmwvc8<#*$fCuOh1o4|wGsNz%C(ZTal8Enoip#b5sG#b5raQXUg@aw;Q$ti8& zeEKUrUH8LnC7kb0J+~Hr>0^15q&?#wcgG%_Y4XWn`l`V=LA5+Aqb0VRi zF3z>RU9NoWVJoS<;R4~`^Z)QCSOdQ_ne5GAWFDcdi$`|+K`YsdMZM}&(>WUy<@_-R zRodkCJ#L3MsBI6Rt^WGOZ|>Ne9Hx9ZrykD7vtg6KvpFu{6wc6>$uH;MWBXIs&pnEp zJD1p&2FuMj_}A8@K0b;cH}-bY=E^Vpxb6uAsh8yYYu`NG+g=q2&&M6>=-L-w#GGfM>|w#ynIx4ZAZpS8tq6uda*_4oZDaSo#-cCN{&19JkKbG zk2vgeyfoiE=oK;P;AXLaF=m}sX0F5+{YgR>1cQ) z4GB$f`|0%ixwO-#zrf-5=Q>)!nbIF%OK4bO5wJ~nrd!7@V0&wHga=~$(=3g}+SrP3 z5(c^^S?DmBENbvm=r`8Rcqei8cvo@uT^sZ5Za>Luuh*2uR?#iT=bFZKj)nU=9PkKz zU%@Nu&k@%I-=}VEBpMAKk1{5hk~sWoCVR)Y{qj4~M32l}{z#1)4+URwdB;z>dEE#c3S|9;n|MH!(~N*Tl6DTb&jVXQr6SdbtK8zegS?(ogJ3j!ZWB24 zpcU~ETGk>wA9xHr7M%6b|Bl~<8Thdq@IluD@otx0KSQw5U7Pwg)i@gY79;Z6(RA*K z=dZgLEo#c;1NHtXW=+UXAr3ltIFm!z%!-nqB#+^OR(HEvb; z8i=yzs&OQU8AFLp-H%~Y-irM={B{jFsk^agdCosT!t7q75Za_esRqXb8-$AE+*H{A z_SrOa#Q6+v90n%+Wgo5ZFsL^}qkH$6MpSL`f^Bf)I3@NlDbT2sKEgQ>6mRB*{TUy~+$&7+GkU@G2r=;Wx5~Nu-^zHw7j=>l|-n$V*E_ec<+`WVWw`R9UB? zySfvQO!2;@jC_mCO5>x%L@EeY^p!C`Ry#F1fCXoY5Wx@d?;^z%q^s{70q#Xm zafEQ@7CHAw9qx)0=fP5XApYDi*zv9u=HGA&^OpYL3q2g!A9k6bM@*+FkgAZP7<9Gi zMY+J|5vN&w8V?`+oUEdXXXC3FM0`ar9}!TkP~N$FYK8^Gm3Dazf5Jhg?gF;AQzA3WPALu!_!GdR2*t(bOeHKs3%YnbckDhBun_>-X&Fd^zzE|!6-E3{Vh_& z&dJx>%hJUpTEC;0OLMA5SdH&K9p1v+gye5an4_eCJ&0xLIx`!yPX6po5c!Cm6C_W8i7b$t`%pR_(RAUo`LHQHQ;1@`z;EDGe1w&NaTm%}N1+y{s8;jGa)|WU;!1J9mbC~iX=5%Oh?MGyL2~$$s)0(wKUd7P zhh&Z3<3S3McH2OaZ_33pcC;f3=+Te5=E8mEMd{AxVNjxk|s6zx={m>66&vd7YLnM zGCGEje*cgy7DecQDg@BPszVOsAwv~ER_#oj8KcCGK~e}r#U zy{p{VoV*6sK&roi(oYAJ?&0H+QXgIeDJ{Q&(q9QEbxVNo%Rhek55a_QL+!`re_FJZ zfyJZzGHwwzis8_lyhT3PO(dT?N#m&1Sh8G03Ls7D|6`KloEtA1%Nl9UGW@h6sGpt4 zjCYfB*Ez`Cb*f_wPQ=34yvEgPf#d8R?k}uQZ(Evt1@FuTe4l*2Pi8V7{TbpxyX_(M z!@1mU6_hKx{hVJ8`Qk{(z6=V;UkwH1+`ZbGANv8Um(o(}KEqKFx8v&#Zik)b3Q)4~ z*ZJ+Ec`vPf-uBqs7k^HjH|gsTFdNw$7pprm`??R!% zoj0{Tedk^0j?qn+HM-7jys_(dRjpVQX6*z@nZBIhViaSoe{1?rpy|__6@4s!fTF)~ zox0ZdiU{b#aHfVLID&MooM7!Sp)ZQ`Tqo`wg83)MA8C-qM(zqG!g?fBRRSMd^a~F) zHeB;PyhlUO%8HJgeim9RFYb?!N3C?;q@$la;XhyznwaxrBN+mA%3Riolng=5Rg?@t z=k;{Ki9{|ax|rGQoD4zi?M#f7;^qr3Q3;Wqw1nI$b>}bOL&btuA!Jqk?JjXYJ6h_l zYjQzlFw2FO8*7C)olde%mKGqx0k@+a=>PjlX>}|erGk;x?|=Ol1#Z!l za*ZnS@B`-LE`JvDN*U0dTZpvGK+ZULV7CE2xOFqReD{;FmZ9Zi< ziJVo9F5;l?khTy~JE{=W!M?;%d9P5?A37&rV%zVu7hNj$imuT2w!xi{O?el6uIaHv98h%cMEww1ro3Jxvb!T*=3`Ej;>8EqZ+rlwzKLU_XA6g~ek&c`{K7Ut}`I^rKXr|cpSI?g#M z%Hj>Nqhk(5)A4`!wx0e>NV_`fu>z56PN{j**E1|jY1MQkLcza~70Y|`&{`Ci>)o}U z1|TSl0kF)%V4Nc!^%a6JX%|DY)oo6GDU-r3=4L@PM~+~4OE?Lzb&c(oR=Xm6>*j|$ zJ>I>o%K)Fh*4F7*VzqUBm+nbXatMvAV!b=g$&Cxe8}>?J2HmJOCmBiIUqL$jmS4xS zg(GisO5y24c%>MIS8z_r*ZWdSS8;VWrO;NR5`;$3ZdCG3?WNP3is^;$fOuM{Q!3lM z!Y!$sK!F%yLmOK}SQikKFK+||V#-aT>?FpqTz%#m9eF~&&7$eqaNk5lz`@;PAStEV zj_;i@?wK>rtS)c;MN&xKP{ywobw>Fb?>XI6Q` zOtbX7Zvo#;di?2fpEjg{Br0RMP-xj933Xg)@Lv}mt3M!!e5FX+*LF$Tl-zxFaD7U* zXXHiSbx3@TnAF#q$d$Ibwj>0kyR-80`8z6=wWp?7t!7&e8&iQ{b5VBa>>BSJ+)Kq8 zbaHJ@igZs}+orHiu{%8wX?Va6FHAEfyhL_&ZrEG`SX@>)>*X0b<;3RZ-B`&gc*5$U ztrimU(UgRhoYr+3G}PSA%mfrGp`}^VKX(PkAF&;o_FlwwS5-UnkgGMJC{LTd8WfJv zsTuld-361`D9U%g3|nyqUOH2}YKg|kUc$4#pVxhAlIGBu7+#Dzc~c?QcB(dNRuJlG zNK}{8wzoh#RF%L@B&dnRI5Gv_Db`9G?|j!OmUPbh9I%*@ELBuUw3>4h_~(`@Cw|S| zQ`fyV1h=i%EvC+pCpt@iY3YAi>*)GZug-C)PXIYNVXn_owN;Qy#}9yp5`~gfP!U6a ztfK`>mu}Dg}D0He*!aAR6xm*__w*`qe*~$-==t19XwIe_$esYR zrML)}cm5D{x5QWFTE0bbB}jZQMR-@Abk{$c^Aq~YRvHhDwwEpS5zJg;;gN39mF_TQ`E{*-8rxerm$?tRr|Dda`uSG zealW7Mz`=3tio?Q)~(&qpW9JW3)s$0)Dd%Woue8%@wahV$`BP28`d_cdy=f%OQWup z+etz>Qa#xSY-L^4>Zlx7Ud3aI2eg;nV?5+<4kjmlc?5E#8T0V2t`pN28KAlRxEXMZ zcaP4M$T2L8?N+FcaqWk)=Y>XaAO2B)&PDp9!fTM$E7jR0#WI1ogRs@{%y;nX?K{YF zp-ROhXB(up*Nf8UBY)gVn4xi#(z2iPs?3wFigoY4mk@B3Y)PvcZ`#XJ`xlS|ypM}W zdM=n+-MycVsOxo?ULfrH9cFC{(L<`jhZIuLFZWR<6<`IgCZa|^xLF#Xn#zxH@>BV- zE#En)5c%!_CjF^VJ%Jute zyT6VY@()fv7JD3AJ>p`cxBH4N?07*GFA$=)+$^tf)9)>EQG-NJ$=tUsl1r{{Mbu>x z5Rnq<0vwBph)ZUG_~VsU*pSxn)Cw1Bq2JFbnVk)? zAdqL_DD9;Y5~8mPkNUCB+c~FGakn|8v^G~ZD~?xOHEnv&whS{K5BdBR9#4X2GvZ4! z4Y3rSBq92}HXBw>yMl2BrHH*`(Xh-l>D+e=R#t`U))SLii*|Zu zIi)$-#aCOLEqZY*;YKt8v4lm({T`qOJ0vw2!sDsqFFoWuAm)tz0N}~zmRWF~@O+TT zIDj5{ChX>HUm={25aQ(wuuF|QhDrp%d|{ZbBI*IqwYqKqy(maPPPV2w)el+Ep6efJ zT1MkJ+pxD3@wt*64=w`?;0XQ1BL_q#5~9`d#QvN+j#UMW6;&YU6qf92pP`sZ61!H? zLQorY#X>k2mJ3N~nje)2?1z340j4k+;WX}UenFZGAts$w0@IaT!Si#rARftyNf zw+F5<>F8CPl|oMYslb7E6jy!VA31=#{L5kyr{+3$toR};a$5SfkaXp6>Bw^14={W4 zWa`n5wm7Glf(xkW{8Da&NS(w$2E}wi@fU3%ZZyT8tFox`=Y2rDs3;MMGba=+ru}l6 zy;N_D{uc2V+AGq1vSpeiCF1;&E_{0bmv@B3r=~YTDfdW_6FLbJ#vC5&v-UC-p!zlA zD-jR`sVVQ@aa~Y!1oy(#r7Unl3QHV~SV1RHVW_%cNKzwcUniY{zMj7Q2=F3wdGu7- zIl!9Qk(6S6qVp}K;!mZLr!uc4soJ`4y2xVo-)Q7?7-Vj#twMSNKbWVmq)Zb@))elH zmTz&i$^k`Ptt3?W{oR@p^4vlI%qt8w9MYd08kbHR8(ajWBEU#!NclODC#L-ivfT;C ztF^y~TFpP&%L=dPWkJNHJ@bXf;I)SjKj8n3L2${Yz?qe3Ldxp_jKrW0An;XEr(Ky* z2_HlS6Oq{&1Gm6cLO)^v!at->+<2J0Z6eVLyOhh^D$_F3+C>X>xM;4Lzq(9 zfoX8VYOERfpyf5+1CsfnD=5^WXi26S#D0D5Sq0waS*gvD;dO;N@yS?XHwMH$DyZ(~ zH4V>&?@uULru$kwr0W(9MVPq9-$C{Cp+B?gNf{QITVY(deVaff+_+6JfMKY}(g|0Y zOGvkbgtWiyWi*UjM=~@F+|AN;6+Huuj9Q@BM!xg44@%E2^+vps(1|k?^v&c-Zf*i$ zR-DGgJgkptZaoP8tW5$lIA@ZLq3qw(Ce8Nxs5H;dt4yGKocU0{26p_s7kZNe<1TYX zXi_^W^R>+Jq{FLB%!lN7ASsfLqOwP;4E>aoS*Gy;lhaD_jrpCoXJO7Z3Xpx_EDQ== zGy&=(bREDZOvgSgDoFBpm$``;zZ3)ThwkJ?CjU|VP6$80RBS;Af2fZTehUIT z2lC%4<_mQV@;`g6&9!qE4lY%?zM+oUW`a8-ZH~`QC!jR`mA?_-twXOIT6#FuwCz&h zqvpq^q3;BNS{Cn#!ZlrQxv1dHqH1@5n*i6yDuOQZp)!@1l_=g3PBE|#QhJSIZ^y2F zU~=co;yjgXo%ij*ww0stXj09MH?j~lDhDQUO-W^^$gMd7-)8|yjhE0H;l_0wfJYo( za=NB%S|W+YBzH2sJxfMyL3ui|D)r$+FX&EX|8x#5aZ{A1owyi zM=H7Hpcq_-(yhF-roG%Nh=Oq^*x6+!Z*v(LXRq3#+6_Dp+h4fw?r;C}<)6*MsZB67 zjE3Zy+es#q2bw+oZNc%JF;0hPz9#Z4q}HJTx^}XQfzfbsfpAZ|328SQu#j8%U2RkxQL^~B z8lj5sNEx-6b~nvcbE?{BXeKE;MFA-bN6sxcKyw-JW0dRM4q((C668v~#ye4jbBv@~ z*USm4Un`cdN%OA3dL5%($;y``akE2IYZ}d8g>%j$A~lR(1ax+l5rFF>yV=2TvAM_Xa_w?TaceOpd1igJdrqHs|rZzxoDw@jE6dKpxgc62Q1srI(u zkZ~jv@vEqyp%s5NqU8JVpibu(STqB(M_8BH$zp6u$>WkO(R(_Oisya-)POJ_LY%C1o2X;>Cc!{#gtYg%j zgpg{Vs!*3C$4M1Zw$Km)%d&$Zj~-wAgyjm9X&hVwVF-~y5C#F6eg(hEizyT4MCR#%M0f0D{GpD+jT4|rxZbx5S?-g2 z3aQQ*NY|plfCZIeV!o`P>4uCn^I+Y|;2IXQ#Jxk=j!SAexwoU<_m~_!a8OjMPX>DX z)cm>BYCOts(EB&&{ry328tmuK?S`s@IXn{fI0{pt_*wbnl69-Zj=wf2QITc(2z`Qh zwP^14E~O+EK3w@X@Hjxf8E2K(rFwvY0M`xwO z(d26GQz0t4zn^cfw3-q{?CY(90=SgC?9`Wv0Wyl?QRZ#h0h6}wS7aMDsI(k6#ByO^ zpCdtxALzMsEV%XMod5g6Pu+z6be?O^P!xe57l|w9{uSuDAO%5r;x%t+ z#r8kHsXUxfpY{854_^ zRJMldHAyU5NY<9(tcn*cB;F)cH)+2-5qxcoo;bNp&^ zYwB38I)BcSUPPEzBT&y1Wv(Fs5Siv6En5*Ni~6%8h*-+^NC~xKoObLV&K0#6^h8?CA#6Oo|en*`O_I9+a z{%skxvVX4fFC7MsB9&7WEh^27T}@3%^}?C-(3vUWHmxM28>{o#X$?S$ zw8K*fR82P#s3#)z&`SHlB_2t!jM|!edto9cSUki*_A+rv=jFgTU+v zY}0tROj8rvPof))?M~>%e6{l#8^=?J>%8;Wme6s36=G$|>gyn9Mci1#rlIz+olH%e zv9ihpyYSJ7SSAE*Q2s{LVZ!ZP7F0aEr2UpDe_7rI7D>g^Kf)q$ zQ>(dljP2nTGvxJdpIk>tph&Sc=wNC%+Hy#JlN|DC-5 zpyYirOr)P{nYWB7@3eo~)K*UO`M(c{);e(4XY|z2cB}qi7P~3Y_K;o+4f}^2l zAf!~u1uu1Q!G{iuf(+j40JMkOK&iuqn-9tDcj@f0{9$xZ|H=dR3T7HIq-Fko3!H)GEycMe?pjqmh1xsSQ9}}B2}!U%M=bWp zF<(bCJ*L+qV&PY{O?<|ozH=7Pq9{ya(0j;_7i@fA7OBT9kW-Pj^b&olRe&yS&a#e& zn<2m%hKlH@viM#?=fHOylr>LV-=yA1oRc)tG+d=3CYz0i;p-ahyXnLtH`N+oT?m?W zUM3wa&|XZdY1fwB$cZ7smci*Fwpt5jIo33 z7tda*s2^LG&L)c|O*I7i8hCeagKX%Z?l+kJ`LTIH&vRSrT+xu9eb&wd{Ck1wQ5A*b zoeEDS%@GSU!aS{&;N2`XvaWT`X|}Rrt^Zc8Cec(YxVX>w2v5R`%}Rm|otz&qxtWzz zv%_gP&{2j$>xV^2q{6qkgB+w7jPWNSAaDP@ZgyRQccn9>^^{YJoBvc}xT1cfz3-Mo zEU@1)@vq1So=42@ca674*yFvF_gwBfJ@~k}c4N^wL;QPsrnduH8%{D^Oburm0HsZ8 z$BX0jO;)X`gtm2h#;4P{0WBVeXB>%I2!T&(Uug?XQ|F489>ky&cbyqv zPwY}G7ep)cecDf$>fdS;PUMI$=E}1&0!|l+XtFW!S09nv?=z~+mQR_;rTqI!eDT$t z-arRSM{}#3R2_vQH=yzu=*~qeuH$gVZC}LS2|dq+0mDivOM0^`BqzbF5WJw=p@XFc z9SUP;@#>IGTt5>taxGwL1n77TwKE@NzSq+>sB%{-3Y{e~m~xcQ3By6!oFc&Ki=CWy zZwC=Ow40U);ExTMY^olkY*Kf8#WUdk*5N_q%r44nA;K5VAwLX z=Sa4TW!S61%y*9)s5cRtH=byuRx2ycH5$hm<~&?+2p*B+%-lIVL~~RYWnEUXFY69I z&fu(9$+eqp=CxcX2#jkV2i%{r+Zpah7COvZok7hWuwpfhlk#Lpd)6dlX4OGHJstj2 zhVnds8S7B2OoP&13S10YX=-E04+iP@MofOEEX?_Wj8!jqBpS=N^pdmysP~FzByzll ztvt7&%3NPwoGiFyq$J%O@G){WI*z5wyyS6fgDgL(ST?&`H+VW4_m0`SQ`tk^LraG< ziz+FnQ>QnD-lkEbNFZn1jmVcXdwm2%ofF&nFR3^Qp}{vTT;W*7ZwNEZ{EPj9 z80DDJcHS-a{HGfuPFryNhyBS%lfkuf@`r9p)gAKd2it-QV#vFo{>APf@wP<9;YK59 zN(?A5+o9S!Y%6gd0gW#BGef65o9rbB@uf7H_O~zkC(FjcnE%lY0^Mu8|c}+@7w z77_!*A^s03Lz#Y9;`6d_Y*sha?9dFA7jE}}2%}Dqf#m}Z_5>vZ%o9YxAAM}3;du!V z{BflCe7wu?0vN#O0gxe+5lsIO}z{N%_I(Tm|xZ0#SV;7zi*RFrn^ zaO^WA_?B9@F;; zY@k_Vj2OJ0E48!k5j5W3;A~f06&e->S>MG}E(E#6zBm-7U zcD97NS2+&vHDMjWD($06Ph^%=P5Mn1I;JRiSC(s|NYX`4u2oMJweIzbUChTM^r>CU z&y@J|H5Od=Q~EY`5}LJlClcu;&!<>w_YPS03T33x-oF)0^BuJXcb3>sylk^{D4Q}u z?Gu-c#-#)ElDn@uda_R1xD<$&N?2*tSOwu*a$NUNOO+3UYduy9x-kXRbfs zRLMKqKHZoNAKHGzN(z$SF>wNEDz68i1GRMA@ZrT8p%^XqJ{4K*oLRbRo-uD3_V+Ni zE4N6BC@3hS$-%0!<>wzlP1mFnURWwXxQ* z$KP)_i?_-@#6Mqqny%&gwB?rO2YvyxfmKVmvI!uCaqP;QH99iaWFY~cKjruocMEw9+%PHxg);7&n5=7zK54gG~C8% z3TW@!*$!qJjXvuKH-_TNXn{1-ch)>mKm3RMf~nKx&beGrhOV9zvT9VEZyPi*fyp3=2FEOwab`}M$(J-4J zr=5%ZkC6qAjR3c1qV7$F10FXP?RUp$aejV7l|&ZyjN+ay>Hr2>zS%oSG7j@F7ws1( zk86BbV6aV@6ZKH{`#iFVJj&&X{Pn8Um>Fn^N>SU^`*VnDv7X;hW;?BOG!3+FBrQZY z5t||*zOl0JwO8jeRa{EyT2$F=*%Oh4GJ5BopgwD}0h_$yL-o3JyD|+TwRj+vbNS2J z@f=UD_4C1NnRnN5%xJd8b^-%x08_j23`SicvV{bCm~}MQ5^sW8C~{xir1EYR@-^4( zOuNfj;XGbYPU7}oa_bYAtCz2~QddXzG4JRkc)jkDA4@EN^D7{3SF^sfHM!R2NEkUqP12i;8*=d-}tn9ZTTq-&= z0x999z7Nj)4GZ2R)s87m{7{hOf^WIP4nXB!x}?})Fqir|AR4v`TI!)oYHMvYzBqPE z7OQ&z%Ek3eB7H1!*V({O&05CqEqU(*2`~IpqUP=wZB_^*js!iAKg&of4U*!)5P{Z1 z)??BJF`5eQsK6@&Hh_tag>X3jS5)zWIPGNnjqPZ-cY}M93XJ)_YYAq5e(B`2sF++a z_?F&R+sX6i(*kB!v2>KNK&V0D2AzXN`9!`C`dR?-T87Lrnqb_3FxMwc!^-~UFlb+V z$!nS>vytjp8~rF(G|L*UvmaDJ+?NTs3cu+|L}iddroMa?*(4lB7A4!eK_s3k$@iP! zGrdxcI%|l{$L$T4VZ~t&#o=#xnYhXn%8MA7dF9k$WYPG_gE#{KtF|k>@WK-`$MlR< zx_EY~Llr$!yUaJBmNnuD-Zpin2VO^HFIMYn;Q9!0eWH6vgl2js4+LTakzs=4@GvC)@Kk(jGb|ucLNI}-Cn1lT$&7M(N#5RYq$e?Y)NIgO z1^9spBoWmSlW^)(J4~DZ2{@deBo~e#O)Ga{n%T7OH{Ry8q5~8Fw&^MMwmTN5T7;aqaa=jLINyqlL@yn0Kc=|MBg z7zs$-ertkh;M^tNNE_z@f>TyT{|b8@M-my{S9lr2JQH07q7yda3c)0?CU9yT zj^E(Vw!J=GeZnt{Jt;nYl*!P12SJaS4(1X_3KQk>4=E(qs27oH)gfY-%HyQt-*=!q zuFOrxKhJV>tM-Ma=uhNecc}Db@ThmUcNgelc!EYTQ2G5Pz%1{d#kNQuY8GJjTMnJ; zMeBuHPC$+adN9ct_eOdApOLs=;ki-T%Ko5&UMNrTq<}@fp}3hdgzNa+`6m;3K{$=E z^kSu2?&tNp-XoB4!%(TJ7Sx$nB(U4MKn_bqbt?*-H^D?-($X_&SsgqbM#WJ!N#jC& zv$%nQ4X;Tp>mKCH%oSJ3!L|ux!m%(=3$fC9w%&_Ua=^zR>0pg~O*hu+ip_AV5a25*togMj*dDuLG>>;H&HQ9!%o-5$Lk;OriG>9#|)VhYWIwZsT*d^Rn96Wo0&Y z8#M`U>@L;O@zYYv+y0}?9MvfxD%#N~M9k>HUjrG|00n8VqDIS&$s&+ZUh|kZCXB=K zE?2MkDC^&8PIO58mg(9w9lL*xV_cWolWpjo^ku(W&^qMNm^ZI980grI#<>fUv5$j| zrOi5eF-O-2c=1ERxm|!)c)YZRCGfuk`m1zpkkaJ}Br4oXXs?+GxPVNyk9bB6-?=}{ z7H7(aAW2I6@a;W1NQ_nmde)v7Se!&RTzHr)FK}MXcjG{}t}|g@t~3d^9_~NeY}&#@ zRsgsx^Xz*KtdwG=2uUPDlWyrTtQC}C1Gm~h8HVeey@qn8VWQD0i^YmCFwsRz*^d}} z#;u4?rb1UIzp~G*cU0a&mOwpdeMd1+kg$@^>%qwalr&r*Rwxl7L}m6L1j}qEInL(e+I&Ca^9jR#*;R$| z{M{P~)BsH4lyk|qONlNe zoPp#H%J3B9A#$N9@3auYVvopF2YJwH3gpV8n(HQ++i_xbK*o&_5kTm%?pq#YgTf`nYA8CDThtG?y@A8)m>c7FF$0T=okHtU?|8G zeoS-g47%ioex5{Z#se10i?=sNd9$0(*TY7!Fi(tEov6peTr5AP(vBUjuLgj9DGW@b zMb$ihnwRu@tAyL!0MUqwytVAqoZsTC_3%+34K6tyhxBWAVqV%;mr-j4LdYJ8y`4EUznr zJi|8Kjhu)tbEZ&`0WcYeN99qD;BTCaTIwLg%I>6!=s6g5?v0t<0-1;m)$Hp(*-a-9gK^S(0TO}r_ zEIw|-IWwAIs}92tFKQ&;6Hp68S^h~-wN@F>Sv4xzNz+E-zFo`EmHv@T+UohyuC|6e zG>7k2=v`7jP;bb}H*_>qhM}5#WJ6E=gH;I!C{O~U(lZ0$tE6eZ#Eto+&~veG6f3%8 zoa02alcniGm*l5nds_K5o1C&`v6J*LE*1kJ`rH%oqsy?16UDuIW(i>DQdxJH^ROMf zwA6p!rVPWx{*%Bl6PX?Lg&DJS=D9=??k)nwwlv!WM;-)vW7L`>M+RWNE-AOu#_kg$ zA?zS&{oP(#%)!RpuDZ?>r{+S_5Szafo;^8$9s4vZKWbCb{ktsR%4`efbaQX2 z4;HX$DGoVM93eDg==_pA;7A8l?%9+ACV{)SB;t?-$NaMI^&Bm~O`zXg#n}Ybcj*cc zUZ>X=U%i%aBUq^`o*Hu8kVr#hOn(kQ3vW#*9dCJ@&W0cYAOyMr^m{to-U&*fACg4& z(phmHIZAFkYCt)GTDSi(&eT5$fMrLpIIyxR+=oLyceUmp0f$1o-(Ogc;xkr%pZ>z1 z6Tz|mZ(-fezXu327V;=Qk{xaeyiMX5+IDyZN%^O;CF8msj#R)@zU1tQV588BK6I}E z)%OC;@03G5dAe1m@5Fc}199T6dDzT+riyIu1MJMN9x_jUUIIz67+}762sa}j1&MP_ zILA7&KrC|DQ#2vHYUW`AoJDN}U#Drl(oR8ppkosL>{fdy)Bso!ug@%teNA<4|Ak!& z;V8!8>TPysF;?z)o+vw6MZAMd85A%|0ft>KmAk zo=-y0&vV6bL1*U$R6;deEG*r~)uz(W5?bkH~u0@nP0ETDNV3Ix- z@3Pb9B&}pu-2=68omB!n(N-E4GMiDd#V3rO{NWoEQm)waBrFC9eZ>>oclB3mMUgy- zCAU91{M9qGX=j_d?aRK`3jvxGfytqBsW??*2&!4 zDe7|--tPPL1Gg4L@Zo$57oMI*p-^|vQW{v=Qa!J3rT%+q;5Y@E<=SJSYq@@jXr3(V zKclD~P#3PJVYxQ6CQM+u7+faExhAtOo{DR~lmrA;+#+-6Gax`$&Sr{tZAbeVdz4_c^!$r>i-Ou~0ub#PjLwYy`b zgV=uMauoABFGQT;S=|^=sQmh=X1yXafWVl$e4`p?AsW@PVah;6>!$>Y2i_R1FXrlm zL!Ot+dS_Qkhk+BDSH$;X?#ed9t%*U$2muuM59|g;+$G=NRS5lBd-s?Jyq%e7w7+ek zwlC9o%DrUrR^^P;`oxTpZ`wAuRgXt+q_dw=8DXEjC9^Of9( z*x%=DW*j#@BCMu`f@hgxa^KGb@Nf8WR$n)!zE(gnq-6+){N7f1d#rcEN9}|^DWKvk z7vl=7^W$N@gR%#cB9lxGE;`2g&=I^5^yK-dbu{yBt&!+lK|Upr=DrKu&2}nj`r?^W zk|22XZEJ)p-B<0d zbKgZvJ+|c<>5+w8kwSQg$P{ABm;mLMj7^j<#FoBZC%zw5+tm?D?lKnkb~%D6rs-om zGJ7&2UqbwU^%~Bc2(?xCGo=xDDYhb^aJ2DPhcJ(v4G=O)1&2x1SH{nl&Ax`$FxdFr zV^4&$QJ2XVqY-7Q#a)*oZWfe7GQoBC6o`12Cc$cez5Y=5pw9kfVhj&IokPP@GZWQW zV8~6|e!F0tQy0W-$L(s?@oGfct{ZO^FZ;4`IlH=k4ie}pXtn9?`b2N$d7B4n*+|y4 zZ6zM)Q1&%j&zpR0JGY{}?({r1_@uEGlaj!J9P;n=&?p-G_9$qM{0@t)aAFbH!eCJW zm7a7h$L$tA9-O$FbBb>Nb+<^wr$3ZPFm5j&^i7%YnF>`aCoMs}1)3@-t)M>MkiJ4Ux ziV^KEUV$52%TLN_`&^^0I+7-_RQH6vtZcwCBZ@(m49D<-W)+~eiIg8Inx)RX>fju1 z!fX#|^0@aHk^w(`fx7-7Wy3Wdg2m_Q*N%_*d>m&LbVLb*mEmEbbLf)buqZJHsw+Pc zQJblkMCZ%b9NpqUr1?AUZRfqF@))v>^e|;Bsb9?EEc7S<_-c_U5n9IXMhM6K=8nyq zcR??%nNyxf&wFyMIuQqHr^rk+*`!#kMNo3qLV23p=pi^5{>DR!J>8!cM#TRLOv+sq z4gAb>nfR`z!mt z<~YMuzT+K{+m6nScQ(3|-#N{YAwdf24Sk%CcuMOo1*!Xd@wwqYC>EJKO+cmal)3czw4Z|1D(Nge5`>PWnBwWq};StIBs+z z7W=m3Q#b_dz|1pA!n2So%*hAyBvk)Z85wjbwFKM0b6#5+$6dlzt;$}RKI@I~V(-Ha z*r0KJW<+n*6WB)62g>64XuF_S=+edA0E{fBQw}L!Xbb)xi@g#M9DLf=P5?{4MTD-% zuGg@B+E4J_f6;_I$%UwRyoR_Nz>X5%rp6X*r}V_Q8YsCF(!cMjAl3-lQF*`%b6jn% zLh*d6)He`sodZPIf0d^L}{UFEm(Iq6TH-*`==E0}%oPxNklJgrjGgh4#O4@TP?RDA zK_Tr4UTeuJ$d}dMs|-XsIG*15zrrhc5i(>&cNnLv<+1n)+kyHjhc{^mH6=IY@W)Akk#JDtN=h^ zo{sp`#7_I}9Z}WmRGj*udVH|50d=sIXnQCMV3CQ$(>Ea2VOFX9@7cWoR9z|{ z9wFxWTawNf(j1fm)L@x=i4^nsUn4B>m-{z@{Wl&csbNQ7jaAfZF3-Z$q!L4Bli=-M z_O8GJdr}gw83ml@g>o3ZU|gYRyj#sj1m?eB>IVz{1B}DF;I;)$?UVVAJzbqvjQgI^ zXhD*3hsc<0nq@A zTog}bE5>+v5Z<$TKMFQ~zjHkq<-O zs_h_ImeKo0RiW7aB@6te$yuj=2gO@zoPtY9!A~)dXhg5xjyN8Au5O=N=oDugC*t+?-w%n4vd-Uej?l&sHW=a3D<>yS`?8 zZ+>AbY^pD~6mI*}EX-fe!pwVlV)@IOePvq@*#N+|526Ws#n=%3d2SCikbWF?pNGkOTp1l&*7{7jJ;CP>>v-yjPn=~cPo>6P!fs)H>-dy!4v&hD zQ&c!OPxY+x6(z1H4$qvz$xeI1we~!h+Eb@f%S2Eg?KJG|@MeoQTie(+_O>bRjL>7_t<0){@>5hA1DSu^(Zat|3<5^(Ep#&YK#ob%q;&OT1_gBxXW?Z z*H@$l)Hu?Pam~#E5SW!T!5)Q(ZGf?CS4JeDoGl zv{Fqg`s0pSu`iWqqaObtG0)A1*oC3X@uOkpmUUjn&uqy{{GQ2+?9VdhKUlhT)WkI{ zx1aNw+Yv282M?TFs?C91>I+#5m(vde`V`v8xhspb8hCoG)T1a3S?y5I*5Q(uFPWWu zYICE&0Jlw$;%Zq3iJpU$<+c}>4)=%jF zW~U~AF0+^_&iZ!K`ZYG(CF-i`9&T*z)P@i8qpK_45_`BJO`5UZxUzoBEkXHMzmtc; z?<{wLHV^h5xK?<^=~BIJXe?$0!a&CA`JMU&`xj~G;e0^TwO4B(_#V%CUdaa3_ir zt;`c*>T?h}Godxs_Wp{!TiOXzHp!=hawQ7~F8-kIW%&^A=E373ecK!5om^HaotDLC zZ`fMh`5D%uQ&3e4zu%irixL!0dqh9&w=d%dQLKvIr?93&oy&UTnKe}Du%+uWc(N?b z!+e(OWbQ)YvCIx8TY(+&DBU$+GE}YQ&7Vs0lJ38%Wuw$5@xwuH-t0~9Y9jU-b2V4s zv1}dnm_4Oen{y7X8`;*-n=v<@X@=v9H#dW&S5`)FDvpj~Oz%*yahCPfUnQ~_S-5@_ zVaQb;(E0pd@6G+i+3uhIe=e3(bNmb9x-a*SEA7ei1KrF0mdmBw3eyPRGR=TNhM2#Z zCcTcXH4xgAP*?}yXr8GB@oG@rJD~wT%foc&%0wXOoM2y)3s_F@Mo{hGbruWhe}sRB zmNY9zpDOvO1I~`xS=RY3C@^m6tu?Ha7At70%Du6*NpW_~9&W+Be5dRCCHf7j*_*b# zgnyprx8F=Ol{_HcA{kCBVficvt++j}f^E4bJ#x=qVjNezx-Js?<(bj_wqd4f+H5>G z?U7&Be&iKqzY~|xr9~%ghDzgNnTbJ#YR0F+EpEZm!~)MHjW!WEK+Bk z0@yfx77*ZZhvbw^+`2;92)!&NEJK97Q>VCj&QF=h>>%Txz?tsL)Me~|zQiXu`gvTf zK%ya8@G0jJ)j`d<)&c}sGh52QpO&Zxjl3B^QQns&1r3)P_&0a=JDk8fZbiQGEyCR& zCM&b`pXa7BE*X_K@E3_hiyH^dwGn4`UFalMj|UO1d{G*RkJQ7Wq;bgB{?u>JL z%Yhp$VbK&xtc0-ax-SCy?2o0ZKXiF6JEF%|Sfc`li?)V-P;kI|q~^@6=@n)xLOS&@ zydbi%|D=7P#1IY`Clq;K&zt%~50iCTJt_8Z!us+7MlKVn^ohiTVN}wySHiA1p6Jrv z_>}<)NuE5Miy>`E(-#0s$rcFpg7a@>0XD$a@BmIEuT4ievo=z~Du&3hkOV51%KCBR zzzorjRI&62e)~RrY5-x|dfiKUlXOx5ySds`@f{Hn5Z90sZJKz5yRcwCgj;X?U6 z{U4+c<9j4`%2n1k|cTfs;;^kc5JM3YDQbPgnFGQ@xN!J}bIx6Tt@a`1w zIaHfN+Zn0vS+>3w_u~8~1tPZ2w(EUJ2p<~5x1vFTnFCi#_6wzSk)g7NIF8`lNiwk23ZRD*5_`A7Np;36^I5JdspvM}=^r}2O?RDP64mvVQ3mMF$ac|}`}>lV7QR>S-UsWpbjtt}By z!k=wjz?oFQ7hOcCk=& zg^ao~vkDS>8pW2pwkFQNSAOp-grJ39&goNrY|6|ugBx^IR}q~L&u{18f|v#aj)55j zNR*eHES|um&8w3{%D!lqSjGNX+hkKeU47vfjMmWOk%HwTpv3y8-#U#m`tn>No_5M0 z`V}-@VoN*1?9Ra5N-Lp$hu&iE9i_{*{A9O01q;?pqgYG%^k3mD0J~>r^(s!a=vQY^ zqOy6K$*zw8@BEO9OX~;v7{FjSD5~`O#0bLixqqIp7(kpS>AZc=Q&8A>(TffnSVh)I z{w7F7kiLFQL%kMgKii=mCmwcc1uFe;ZJBE7UhuL~RneJAGC_!Ik$D{Yx#arTWL3f3 z?S6DK#jbb>5>>}xG)|($Wo;r|Ax*8LP(xk7%v)%O_jHDrIzdz{kE^AK4K7CSbo?oy z!_GAT-D=UGk~}(BjSp`|+#OrOyPdWz8|fT&7y`->5*@2(&9iazL2=k8h=4t- zw6AcA+=wja0=D^ZC_CmD$lbw=fN~0_R3sp3HtuYk>(Og9X9XT7maJ^qcrb{tb+AUi z=aJ}gStZ{uLKIL>5-z2ScICZ7uq(+FAWicZhXv^(sse5m45@K@Woe2qngL4Uv2cjP zv5P&`G-@E(KxLObtbxVbhtgvHD3dQ*v%w5qFspOrmX!)1qFzPzKY^ec1=afSY518i z20s6!!7_pd2%2LRo_Cv#NTg(UI7y`5ThLcHH+5eD79$vJ5h1$ysRHLicDd%T-}f$? z5S~8s^}uPe7+*dXrhql9vBA+e&2q31g#W}oUk}#6q=>Tg8RG0}KJgAThPd%dK*2!n z1CY&l^C${rlCPO4gTLjnanAP|(F`R!JEI2Z+aq70m5I&)Q_-~_1`^Xr3K2YEZFA_S@ zFlb<}f~h}i zU>d{oQ`_lZKc6VM;1rBZ3Hp02a;LAwIfQfRAZ?e1lo;og{1LP&X5c8%Ro34HI`H7B z%skf8d+{^$U4Eg1dU9InQ9{-J95V0A9?Y6~Ce*yy4JM4;i?F!|S)g(ufpF92_xXDF z!=eO7^QqGn2Wo+L&=wK3PiO@<0j8~7xFSr~saV^(7~7F>5{`My&*?6!kz4sFP-x!M zkL8&kYJE&(55!1LrZUrWpyHJFiJ=TsYqW%=R#mfY?Be7X)1RswByD0`7z*o-Pa5Dl zWSskh2{N|qo8(-WOsA=`6|FM;^fao60=3a5%llzOkaxTzb@D)jmtu!3si61esXR{k z;X6o)S=J$#JPJbX_eFjn<*|FWkoqoiR2#;&oa&QfrAfqeTr;OFe$LWkz_sc<39-Em`ywAJh93>^Jz2nB;uTlrj7|xC0k? zoS@p5W}F~eyjo!(p?7wCKz`HO8bOr87AHRCfE4s>9)wCRR(XHe=FAR3Xak^9zx#Ys z6&?F2ipWA8wWGf;{Jnzb`@cZve`@R(Cav%LrqQd!YOJyI`-bkXND%qY!vG*2_9cq} zBqQT}6<;FA=PwEV_3X{>s3`eAEF=k4Q!^$&nLaN3q~ZQWK~==%T{-l52G!{LgU3Dg zjo)4a9DL0B`ljOU*O?!}d@5|`Fhw0pS+dF%YH2a8-^mhZV`?xboh<(?F3(5I4t(9Z zB2A`$%_;RxAw(EtY-fn>@D48kc>RQR7XK<^-N_}6#sxG z!;)zPsGyH={SyY-po6|ln{JSn2oj_Q>I$$#Aw>pgh9vl^*Y@PtabDP=YJ5zj3qd6_ z(t8Xz2Sp1bR_F&$ImTxlTSri_nnd9l2b z*G#g4vRQn$Qs*joadnQI2!DOc$&L4vPCv$D{ogC6c{PtzG7}kCu06}N9y-&3FS4!m zKZ6vQMpBF>GD!E!oARKxWwORg??u*LnDo7htLBfpXYqaNLN0#vw<-!!RmL~>H?2%@ zX)jyH7@`Cn@SmWpeT`I>F1Cv3j)j+3W=USC160hpQLdHd!Rbh$(j!*=$%dCokrAcF zxqKv9mNnvB31>8~JF3Y_JFznMDV>9$bcX0v)ZP8bU_UToyA|%?1R~d$)j1puytEQ@ zO^;(POz_2n>_I83F{$=ll`bCqUzCzz4O`)Pw9|9O8nvM%oujEl|J;UJFXb0RHspb< z*}y8dy}ZxIM>&qqod(~UW+bfSR`DVLJ^wzt8*?%{jSt#Jm>idKN_RYP&D~-O1bwX3 zO;@8BjTZ+M>rjTQ2pNcl9GA8gY}nr0tp1fZRk@zRp`VAao+7h7skw-tJ4mvzsF_tT zqvO}~WBA@gR1~rIAxd{I@yg6uzZ8u4O3R(Tb4Wy zwLiwb8&)`YyiJbRjg7UJJeZu3SzEX2Z1i?e0Y)?5iP5~r*iXA$`J$-L;DU>7kGJw& zXS%qe{`&Gm$d+>dP)6jfc0Ll1g8p~QsdXscXn0z$**Jn?V6^?9Wy|eh?N@g7qF%p1 zP5;y@(g;e|FAfqN$f>npp84)HQk2K+P3Bs+CXPi3s4Ea5ymQ)1@k>kM;CsYT85~9P zY{OyKtOOQ3yw)$2F>XKY#|@!3zku$;^OCiFVScKM+SlK2dC*Wd!BpsxmV(}ybtmYM z@N4rR3Md&(BgS_S29n;M{Mnx3cT0c(y0(L(WG*I}5FDX0yS^xI8au(Z*^fqo|G0*$Qnx*t?nnXomIR!d(-(Bz)G4} zNz)&hs}0~-Ns3mwXg}iNtyP}ucYF17`!UUQuhB1NbfX=r5qoS$n5dSkl#3W}Imc%k z$FEVQE#-cD5?EW9;059=jB`Uf3D0pWY@qc^Kouo7GHZv9X3_SO^YIQ=k&qr1fNGX@ zk?pxc*Y{KQn~C05Bh7$pm}P(tsiqBUxL+VG#2%`1*J$~AJbD(0YTuW=8WX=#Z50+% z(iovUAfUA?z%|JrvY=0KID}=&zB+j~M_0`taZ-0Iji1~z4HOQhtl?M2S-WwUBhVSi z&A(qvxThP1A`t|o)?m8-!IRuBDss#8JqgpWYcn^(3~p6YfZM$ z*e{a@M(sA)OdEYMS*3{dlo$ky7SU0i$vaDn=?*GlLkB~!oUO{--ymJcW^0Lccy+WMhRBbM~i7aq-9*T#R2 zytWhHnJ|L>b1mAz==_cpO8@*u(Ik5)rgLP)fD<9(5I(5jFDmyxjOTgfn05dnPy!`y zmt@P+I4o8D3PPj%wH(Fc2Kf6kB*;DS?M&2LvUikuHPyVFz@C3?DJ`iiVi%?}kD^kw zv|#l2o$|u0>_j8!Mo*y0w%X}2rMt`xWlmCVFhS51!%s$XS7F6o)ouKAwkgRh1x$Mx zzXTS}5BXIQBD45v+k)kWTT8KnMp9l|IVLTB1v5HKtX_={@@1 z_xfIUEJgDb)BkU#&yn?+mBm${&XJ-0!V<(LERX=Zs`mQsEGv-+&z9>_yx^t!1skE_ z($aiSW$&Z&&S9Wt(IY2loRb{>FF;M}j;m$@o)uxORAo`+++@3)B(@WxgZzbSBzJoQ zW8Fr)+3~wNlQEmKf_!6tIRkp=j(m2txt6c{p@2sidPAzp0N|n|cZeHJ2k&NX4%t7%v0aW1r1 z>(R$u{80{_O~NO2$4tUUImGDL`0lPs%4f$0b2KQde9Ql#QnDr~hDb>ZYfA+e-26KzsIl12LsN388$hI69W#ySa98YMhgaC3NV6Cf2BvaON z#4zHkd%ph}s~cgs#-TDIV=x#x3^78>16HR!NE--CyPk`yRfpEEaMety^^4v-4h16O z>a~*n))!aN3nK33yue`3Drr3+we)m7&r)H=;6HBkbBimW-Gfirxxi>^J?Ty?icw-v zYUe@nRl@;`NC!A`d7B%{Bm!$K(IQwFkC3rqnU^|APz_@tE);vSL#mEU?%2V`bip@! zH#S3&8T{NDq>zh4gENUk;YG|h{B5JVeCs!_&#h=-xHn}arXsAZAXX&S`Vw`&rSpRs zo4MtF2U>Gsh>8R;uoYca&_Xr>O1rfVjK-&&5wsa?F*a((Qk@5xZugy4Z}M9nKN;W} z*X)5N4Tj8VyF}F{xC6{x4OV;RMTiir_aNrW`ZT~(9iCy=VlFh(oC}uK6+91;;re6_ z%tDk8g-`%l06B|GmzmhoWhINOEZBc+Mn_2M^40{35Eh`cFmk!g+!t7Vg+M z|CeDms^=9T1KSFpsb70l8U>ZJj9PUJ^LESvGKeg{D;j`)hD<>G1r+jmY!j7M-`?u& z*NN3W)gp`0>;7vaeOqwIXAA)thLlTItT$!V8`ml_f@{B9oKCQK|6I6#5AL zh@lUp-t(ep9G4CTNh4>SB^qc9$kB6uyZoW>kP&MIV(x6SM#P}B&wICb<{P!0qXKPZ z)K}-miHB?_c-#=D9h(+w`e*;rX}J}1VKI8XUZ}iA2cM|ydn5J+TvJ!*q3icyjv^+-! z=qB9b$Ck{xO;CbglPhlA7BKOFLEx~g#y&+<+D@1keCELPf@=Yic+T~;Xi~19Is-*e zx_`QD?cZ;DaUU~Bli4!|TH6S5W62)# zXF=lCUEAF1K^y>t?$vZ;>SlH%blzy8GMlNb)FM8A$>1&jzJKkU>I4eVx+Pn%DQk471r0cGbfU@|!clF-xvI>Yh)hp}^L(u4ulY?obcxy!bz%eHOX zwr$(CZQHhO+n#SWvzWMx8#-#O*X@>4|m`4$bDQq{HSQiPptuzWk{W zJzz0;I^k5SEopWTfi2+22R%SBADub(7g4(Cls(~dB3=hy)S@03zyUiA8t*Hr6P~O+ z5$Oh1_r)&-?k0{tIQIhGLer$ziux|S{V#QlX#wt55quJb7~|*_L_LP|NWkG9xnlV2 zivoSrfo>*ao}Eddt!dOVV=)EjaT)DDkg7vs zEs`9CPS5bP7n9D(VKi}*tNmzx}zN_x}l6F8DWle@XFH}T@MdDi%vfl z)Vx1HUKMmYVITcTh{2P`-J6%Wmu>vXL0X}-&tMUJg@Bwm0VMX z>7U>szP0Ct8GvC_NT<)E2wI3p&`tDI1D;4{g$^u}j2jpILvfIzIhNabnt;J@isUF2 zQ#>B6tt;~2%MJX>MZeezzM^R9s_VbAkw&731*FVgYh&k4nexY<{j{whkW1a1UI_lM zpNZXFPG44-i`VJ3t0ikb4y}Xxe0jGs(Y@Lwo{@(L)>7^S(q~+8tFk=Z+9$D$!PL2p zA$+vAjOYlg@b#?S-StLG@Z1flVMN+0@7C|F4~~`@SD;;zH~S3v)qC&>?u=LKx&y^W zjOt*)xaZfqEgdOkD1r|9&fBWJjHCZF;g_9idn{vjCzK~LM3O$E;$DhD$2D&vGX6ek zqM3)8t;9tXDAn_ns96_OeeckAJ-}IHU~I;JyZr9|i?8r@XwfNJ3i<;Ana5dzBl}c|vxK(3PS^41X>k(4eVCJ&2$) zV-D(@RX<1fXuIJ;g*zTWY>((W$>R=3@0QVC4!PxRg4#pJ+6oeuFHO@c68z1!N~;J}IYdgaF^vIC!qV%@?;%Mo@6lO#Qz zBk>%|xa=GZi)5bj9%c|zL~cGLA44rvjT?eLXyOmUBSQJfdH>mtB&)!%x{%OW9hzbK z4*fg%y?hF%F(jYe+@%&fBQ(x$iGNf{1SfJ@Nky<}A(<*Jw)BPqB&ArjO)fy#Tnwt) z0yjRF;l?tN4Ka9z?OS5e-eq%l$>meC7%0G6&i(~?Uu>5f*|LyslJ3Y6wlRzeev!?{ zB8u9hB33XerxwOL02k;#9rq`sjp?iX1(qnzB+Fik74 z*sq|m67S@|@`%|D>ndcMC!0wk;It3iRCD(C<<-r5E?`pts`J}Z$3e5@v$tg2{f;(o zF2m4<3;ml_x*~Y)xohOezvO{o!ZIhK57;}fuNC`Vc{_iLjxzM3W=}q#Mu+LPmH}y|0?G1PE>Jmyn`+cx#>UM;b_|Ol( z4*vC5;O%bWi2pE@d08A^MoCI|28(m?j~Iz?2P@2C$)4*m$?LgW^+rk+JvkLLMMZjq zqQ)iSO+jN)|xn$IYG3E)FEDOk7giSu?{J(|fE55?JcC4=B-%23ft z`-7vkqFQthRl9h-g`6lkL>!+eRb>vNhq(R?11nxkh?ci7E7JgJ5=eK~IDX&e7@kt+ zB|@NINZaC<3g~{0b1=Ms)csSu%4`nSIs;zAP;``4lR}>Sxq%FbI30T=CorbCJ`Rc% zC{BU-n{u(Ig+2vXq-h^6%m5)xGeGV?(0hjHqqJEh|D{(JvIdn>YMBRt;#EEED!k=HEfWnb2m@iku%%%+D&Zm6$=cRL7W9_iTfexp}@p?~~NcH@S zc&koFw*>R#$`E+;*5Uy{>VdHnc+ahZS{oAl7yp-@5B`xx_E@>c_RFN;4ZA>lTh5PV zNL105(6D>w?D5#T89Wfd?$()xhpL7#^QU|rcv zC$td`Xn*jqFjEt%6Cm%PpPNz#h`$(}SoPk2_YJFA&dsUGRFzug*Ct&p)U^C_kHhBD z6-rSH_KE~YB)bmp?ihk<&!7f5=B}p4LSE}!tu$C21pqmWOfX(xdf_&L9S-+ zE>HjyDpq0G7M$c#mq+C;8dOYuBrJxH!AL7S?V$QBmx&mJq~}*XFiG$HJPN;h0+w{#8Y-N1v907@S@PW@Yj8R%=2UEY&!0(Zm`jvF z7bQs%dLrLz}>L%sp{bQLWdy;eI?al|F4awPnMO3e*W%w;wREO4ZWehq2`@}rQjjmcI2 z^W>n@Res-Q#__~edd{sKlkA9I3kgvvem2Lr?CT05KNvNRn(xpRVnw`$r2Z<*4m&6R zb)jDlb~aW~6jMa~#N`ZbHr^69+os2G0MG;xPHBec%ySuMP4Sm))ts~&*EpPcb(NJ6 zqb*Z5!1$dS%-!%(bY_+6uDz*gEjOjQ-bo5L5l5$}HBV z=|4T_R>3@u4O{pF%rTj$+6RpF&Ffznew3A2+Bv}~tE@~oqQIKDe7H5@#rc^#v8)@! zG-Ky0!8E6R#g166h9hv@A~f6*iM_ND&t#%;$)->txQ^M{$8}Ld!m$w1&Ks}PXv#Mw zgCdqewAcDx>+9z|bT=xOUwW^>b-0ox?KrbPf6Oz#dl!-^EDN7C4QWI{GoPu*Z~e1& zcbp<~^=>OH4gLi+d{T;imouhi?G+Y6@V}$aZ@`~UzEKs$PzEja>lO7kHolZ!(Ij%=7Dhv3`|)bS6xT0L5p_e=~mD-H?zsj*AM9C48o99 zj~{NRnQ|{~7L_i|ILq__VMr@ywu@jaCExtwz%WK1P|KJ%0uYGKi$D(+T@O6guH^gN zYqaV-eNba6_WYG03auL>x39$vvlxt1V98512s+4C6BdG7FLwN%jY_x?gecdR)trok zA*e!pK-|#Cpd(}&lq`?!$>f_E@2iKAIjZb~ zYqVh!47{gn;57I+5v#!UxxjW(G=cLnO$`7P@C_(MTg~xa^HGc+-XCF2YtGbEdkUus z+9VQ}%EM7&m4OJ-Lf?Z(r9O55*26Lr;V5y6O){RQ=7%DREPN(OmXMqRjbbr-!cpPnt_SRHv24>Y7=Gt{9&W2>njy`{2sJ#>EF58Z|DBkJ7PVvXaXc%E6s^0^DAgqB3EE|19<`Jg!L>L*`6EY4_hF z4I0cX#0mgIvSFQhGmO1;68AC|4F?Yb&Kg`7{n6F3Z~cR)d)kIMoCf1Aza?{^9VZ<= zi6=rL5VaZbFzt7i843*+_iO4GKkKNFSBr8mkLIUBe91@!v5%%=_Z5S;F3?uRVc#|6 zfaX-xgj5Esy}Rhog)%Hm=anI20~)qr1qjDUaGISz)SYcMP56sr2|gYR8dh6TinN}| z$0%o^?oIjm+1zhp5Dr|F%JT2tW$fjorzOLkdPr_m__p#RacZpHekbHRW}V}iE5mG0 zVQV{afjyxb&qifuFJ~*`VjIjnrs-oa*|=qv-bTkXwnN^sBF(W3>Muh zRp!W@8cBxNCLO9kMzs&t4NaPCH0W~?BYeY06vQ?`0+=so$4$=Aoi?LlT-69G8vXeY z=lFod*)*d82D1V%#b$~caVLu2j&Li^2?Hpf%zT+{?Fwy1EkZH9f=z>QW@ADXK$N+b zk!#Iqopm`+-7rpK663sWjgT3{i(q9A8#7&@pezSqM+mL$cCY$!>nhJsEUHTa)PrA# z+Yy1qXtVVqGJj_kOp~){yJMmZzKbTL!(d_ydo21O^%UjW?y3j243w~c?YmBW>>2?XaAT?`VaykoxX!`Mrajcfb zW@05+>}zoY++;Cpg?ZnYR$f|S>`q-hp$IZD9tk)GfM^^|uP>{3$1_BXRj+}*dA4S`OJ^XBT!L6NfJ0ozpY4Z{D$m|Xor;W&rOKm##r=#eGIzqeB!;2ko3;h7atdR(`6h7`Z(^VDOCGrQ_+-19(M6tvT z>Wf&M+%SU}9t0Eo1(-vcv!)y=)J~3i3~fYY?G!4RR?IrAgTLMBH)b}^z!rmxYmXf> z9dr*WFu9n)``;bEJe`x>sY(ToCP~GcoopmxJ_TB4nr@`YIF%6K5tzxHW@0-0D{+Q; zbClY1LCTs0|FpUo0@%94AWhKqJ8_Zc<~|VSMfk}>(3Yb6Ah-o^2Lm5bROCih;t+Xu zHtS$Lm8b_V@mZb`Mde=|@-FV-l~+v35MeqZsk!TmP?kKEixIB9L|^NT!espv)E+|0 z;UZSm?5@<&^?pPCIW-r#EF5aF259zCqJCpV6xhfxN@*CKlUBnhR!7FWGl-p#7O5@6 z4n?30#d!%XnBfB?px~sPTk5pa3{)!Ra{bbZdRF5gj%h=<)H>4&SgPjX8F6zfQnX&i zkn;HFk#X&MORCQ3xeLk~5y*g9Q&cYF_%%W}Am2*p!AML|7*{sWUv^sA#=EdCF7Zsj zObOx?QD+D-3~<7J_2dM8Vy{tQ+XHYu%1);5#6#iKw_etha+a)O{0G8 zt*sJo&^0q7@XNNn<}?cJb4ypu7CKhmDx7VtbL3xbqS+F)XBoU-3WvK!m9=4dXFt~a zjB&p;@PRXOF^@aBq2;BOY>@raouse$kX7vV01G4JJ(npC*)^+SzJ$GVr1#)`Lzsl< zAkcd6Om~uc3Dh6!P%xcRb?QS`^2{5> zg+b<&Ivn)h-rHnb4k1{&JOdb;Io6t{N{6^~3t|2#a4x3)a-GM5a184l5A|1nkr8hW zuqwN^-b`!^SXq0rH{X4bB#=jSVj+O9sv*8r0m9p^4!5N~&e{pe>cS22sIC$rW9FN7 z=7ovT*S*$vWyM1m95J?VmL_JtfN(|yA$OCq11%aKPFgb5O+l9MX7FAd;L%##!uo9&On-pY?Coq5`@(f z{pO5ZSjeXv+7e!M&Hh9T*;Fd}nY4;*gkA&XQuM;|hv95INF~UZNmd8{#H*=Y!m>i2 zaypn|OVq^qf5I7Z2g@*NXm+YTWqhG zuNKNcVdSFnirC+>fViphjLe|MSY#X4FXDp2SJrxUT}`GJ{2~z##Y5cr?xrit#F|Nw z_J`cnmkx{s$>@Zlx@}%Eeyh=w0=trtk$X*u$7ewjk&I|PgCc?Rkg=zXr4KaVPh-u; zaz6uSP-1{*RYTLzd-pkYxX)`(Gcke!E3uW@@u^C+TMRuoJ*_x-J?;}I)e6KBu4@{? zxr%k~*((s@1HV%)W=i10R=|dIyo*6P@%_oO%XX;qmuF12*EV*R-nL-l7}AxQc$}A= z)Yj6c1j+oDUNtc!p|}{egL|1|UH3q>E9|6nm}AgqoZs4=IG7R9k~$5dVFt#9d7-4e zYC@kIx((MA@M`^ygnU~6(Cx9&=?;*oAe`qk0o&~2(b5oQb_IR4+Qld_LsME}O=nr5 z58G;Dwa#Dut;w;81eU6Xpm_SXzZOtu_4LXh1>~d{C3Z6my%;IN9L#3$CJbmqF&_OL z_;E8!PU|cJzaw*B(BSL1i&F^Q`dOYb?Yrp;h^w>BfYrZ$5ipH&w1&Fb5$)GFHxq{P zJN}^uT04m`N7oU;DRrBzIkL(d!dCrvV^+ci#FX+f^w7rb%QH@sC*lS{5rxZP829}=9Fh7@48~UwnTXQEd)^_<34(_Won0G zbc2!(yeDQ4z7Fim+dB9{M|%Uw+*L5Ng{UCU-8Vcnt;MW8wtkR!Cl1t3Ep2729(#YZd*ZdkE4n z*lD1$XO`CKXi286Z7k8E*xiS9h(R!^Unzg8qs*&%1|pZ&{5ka^DOUo(;u}aMS-XCe z-*5E~cFyDth*r>-(aIn#Lr%R5?Zmmtb(aZqq=<5Ch$Rf@3!Nh%Ye_BT&medv_1~ax zEp>#B{9w|2;`%f`3+e`HKK(YxrB2-hn61#jdBedy&sU^&LBk*qL5ng=w>a*ecdYX1 zQC+o}xp*NjVu!8-DKlx+fHsOvvR+3VknSbpvv|ibj1~$m$cf?f)Nq%G>WRglDiiJU zeYFS5p*Hx{CyJtMySoYMRXSFsA3!d7ftR7V6wjj}D}-5NmJ5ohrCowFcC1$1;=>lz zNf$K1i4m^-qt4?UuRDT516dOij)4;J9(nM#`No$+G#vNrMD_$n9v(s5=)3IJ9x459 z8xjz(tE{QMq^X#2YK%<9BDT)>?j-A5F$Gu%uqpp#p7vG_pW z;z8LjG0Eqs!1nOe(UhYg~IpLgRHc&^!S41GX%m3IGHlN;5D|HHBO zG5>Hauc`oehaW`m^62&aGVQyiWJ^){OwI0SG;9sw3 z?xqP0$4cqy{vwCs$qHeE$2c?Grv}oiZpfjFyOm&Jx0UBL^$7-H+@Ni1W8#5H>&u>? zvxADcm2NSikL&`TF3rWxujDzct>ii1tV&#=wPkK24qvf4fr+o*`5zqlwi*-+xJ#I? z*68C?s*zEgmQi%_2CxlR>F2NdF)an!nI5Gt2s+9sA|VTlOra?->9m`H_!wXB|~dfm8!7+uF;ftj8g$gdh7?uyzSX z3~!exIK-{Voy>!pQW181V(1~Tt)BWBj`{I1#&JGozBGyYIJC@|3H&J$TN0O<*>eh z5QVHTXFIK(ECl`>{2@6|CU2&kVpU}l9mSUKxtYD;N0T_(J7}pYhyaA;=++2cwP5;3 zd;C2bCf;P-nxiKi;Jz)}2V^jy$q+3|F2D~5q+3=H6DiYO>mTh+Xv=JjD=9Y%pXiC6 zz+O;y8F6l>(Q(9DU;6AZoYo&ZPJ}+*eH4#H1!gajrck zVIiQso#YvH4&LVqojdW55!u{B2Mf%9CGa_hsOQ&KLIP^yC{j38wK5L_IaLjiFPU3= zOC`19KTo0Re5YDZ(_vS?coxP2deO=Y`^ad(1skg)1$C&p$@8`FFe?$U&hGhh_wl7s z$sCEF(0rF@%!~|W{O^r1L|fvi8s+HTI#_I4t}pF=0C$?9>v&*3RgHFMDya21rFobO zlQ;?d*(cE|{w)UwwZRZAnlJjk}}+-*gOK$6%jqVZoGMB zvK>7GGTDq?>aR|pE;!gRYX03NqxA|YyiEDHY*FDhoyg8Wq()@hfPA2GKf?cW}&X{^*FV)bqh)o zwS%?NN)N3zS|-n%K#J+o_1-Xv9UkG;8%9Oju<_ig3^FKMCDfG;j1XMd} zJBhL&dSe>P7RWLtaEw+b)E*ft8oe1bs^?yIr}o8WUm^hA+pXf$<-F#sL%@J+9;hdK zmy5(4L)r#J3+UIutXci5j%S4rVm+=r2EU($AEm_5kp`(85&`W-A}OoSF}%eT--L&k0_ zre7MZ`fce{&i7)m@xamQC=%jHsb*G;{R6+1p5>X3oCi5T_+HByadb6vtZ$p6SeVpR zoVtbE+)Zchy{;yQJQ{#bjArE?0k)+FrH1tIfMG;$f#cGEB9aQ4Rq`Lt zNbs*a;@=T9U7E3P`0TLVMI%oTg{F2iLR{-3#Rr=Gz`=R*Pt zlCp|FMx%j$&rd-Octh~-(4YSAXatSmXv;37pAWqwa2Qx?c#1Ey&h|C z1cM%elU@bSTw5hi<6|>9F<(G3qSA%9kICa8eq6E0v@sly(kCF1h_HnZlgx+w`g+q( zvd;8X&QXZ8b}ujT~%JMJyKGk8{N=9IMJS?_4Zv~3#A^0wyvp3 z*}P8CK}P5zF!k=aF2ML8wfcp&lcZ%aV$7V6DaUODr;az%G$RN3E}qcy4)+elj?1$4 z-q-qm=6*f2`ZBqEo_y`N9OC(YzTTWxajqK*{<2mGi_7ZV(zJY zK);wJ`nOMseVKD4j3m2|{IXBOuqEXRi6m&Zj&C7=M}U({(Tu&c#)NsM2}2oIDG}Pt z5ZAzGj?zJJk9}m53W030>DksK)Z}IaF76R5AK~1L%gh?;Fa)W+O3I-}DviDv?`|Kz z#KwWOGPF?D(SMl3UovAQ+!j!L;ai$~L1(d6sl92$|Jm5`Fg!;kybYWt)JGM7*8!OH zBN0*n#sfLNoGGnH#^JD*-v!E7I;MORSj#ZC&ZmpCbx3_waUoWC1^fnM8M^rDtcQUm zDUL$AVDoO-iU1&GkAP;7u$<0=;aVvP*HUOy1zMc~+fJHCz#RxWFk)GCCud2&yMGX( ziJ#R7S0W>g^o_I4Kx5`G0|m0kB2>i3q_Qgg-PX@M;Z76|gosF!^oCoAdq zE%g{-B~g;`wwJ`j!y;02YckL7iuBOQlt`w}ltD?>2gK439aoA-kWxAc>43OWY>8~` z-)@{YG5uqfobsZLMXA-x;(uCR<#zs3Jqcl@#0h|))d{AN#PsZl8pm4sKS(Dq(s?B# z5QoTiXe+;t81i$&S3R$o?4m9wMPTma8UE_nu_mNc^za^P*(|ov;{)al1(j2wE9809 zXG9CNzy5nwBotV(ft{SFt0Ifsvz_Wk&Gnxu-Jp0;^{kD`%I$|1JQhsmQ<(R&ER%5I z&dgqoq4v|KO3S9r`kgu;%eY0o#O|S1%cd=yqjStirCgTYTN>Eb+AjhRi-+l&T)@dK zr*-%xvLK1nzyPNM)Po7-)Vg-~$*YR*hRVoMq|kF#8;enV2aShp&BX+mUW(Gbp%tvo z0oL@|^~CBZdU1llQYwXaKJB;)ZM`k&t@SB&rUC8FwjdtHe_&nnneBt4)~P8t0HSyy z@;<{J(_n$5ycvgzmKgXft2t-X*1$Otow9i)U2Fsf9c!)8HkZPuI|Ns;M;C^=QneW$ zXm8Q1$MXh&I0aLP7~6ziV-N#YhDb@kNGLJr_paIZ(R!Qxi3XflyvdD}=^TZINE=;wcB(0HDurBP zl4P+F42rEXMMC~*>;y^wg8<{9dXY27E~de?zQ;MHdJwqqbY3R3TaGKa4F07Vp6v_f zW96DH2L9NN(BL%MbXo|(`Fst5!8N}6J~NEWK9srZ9Ayr5hp;~Rmfzo3-=B}#LqPd6 z7@F_ArHUpD0XCZPW&r4ibe+gQV!GBdMi;>x+(E12*tF}`*va-bY>l#L7`xRudh_Mo zNupP{4Y>7yI;6lA2 z7>yd+S%nDJ7Jg~FvX{N+>A>771UKJ%BCNRZF|6r*zD4kDwTOa+nR(b}!DKRdzUi10 zq?8bq!T?Oau8;-nFtni|TqMDqai76U)jY&UF?t>wx%VSIDavBr4ORo)r=;I8to&XV z05U>-vM;8LSdY8-E;wm z^PmH*S}z2ZpDdF*jv%gZcud#eA-?8n?mF06GDqy9{DNnQn*6~<>%>}sPO#D zI;lkHEjv#b3z!9k&L1tp)g7*BPuoLxiO>hvYX1>d^Gz6;vlK!Fz-$kefxsV^GNx`{Z ze#%hyVq=Q~ZtgJuqxdM%+f$^(F>}o6-|ey{THR@iH9=*ZFza!Oe$TM<_@|kW=shay?JJxX_S}mi5h9r z)b!-JEErUzGgP-cP+OC`#OF*>BxmYELr$f(kBgQD@;i#+((Kn1k+ge@;5vcue4Ghe zz_P>Uw@1kgN=i&$@c3#fd`>J2{%Fu|kf1hphoeaF@^>9^n~i0WqJT?hSycdAKF^S0 zS{ZZ~E&Dv(hU*kP%bU=YoD{}nmRL)+zROxABK->|sKB^J4l#IIi7DSA0_4L(t&4_d z8K@AJrtu0MIVtpfNOF8T!T%i+)%N=091>kGi`|2NtPedB{ff{DPxwpQ%H0lldy@Sp z5Zi(2Jtc))9t-PD=Dt$4tRroBi16zmvZ|Q39#6Esf{KL58>F zE^be>uq4~Jol5J#)TGx#JMYO`b>(E_;o{~pi&!hPMK~KE+XS;7b20({ZfYKGldh) zWJbRYxulBO{}@|*EAGAPObr59Xi5_yc!EZ-W}9f7TR8Fs$Hk(b<`9|U&^U$z$^EU9 zS^xpNv5hhlZQzc4yZ!LPjK_}kHSHS0TZX)Ihu3o--o=x~N{5zNOmq9!RDP5ZptSZw zxsTf#51zHn5cUP#1ujh(Z@-O2gx4Ma*-`hy_Ac_Vq5P z-B_&>7_F_$3@ALB1fpk0W?>F4(hW>y%5pQRpiu_QP`546H|v^l%9ieylQxE#>a5b( z&?HPAvz$o%t49zS$P44gGCG&iEaj>efFHK|Tk{}c1fG~>k4jd}Pxqnjh>d6ABmhuS zO-B1n5B~VPO;zkP*|%Y6((Sbf@_JnHafc97HgPKg*wA3X;*48E_(__F5S$vm;&RB= z)Ho%mO!-5y#!XYRa8)uR81zpRl~GF_0_+2ACP`aCRWWpdVR~VwP%h^(9>MSPg>=|YCVZM$xVbK*zCtlT8RNSKn zgQf@y>GZL_tD?*m94|;p*dS43lwuR@x$%+bdq0MN-lX9}6j@{Fpcn&6H7bQq6>n^r zE&K!I(;VNt_S@=4b0_}45q&dR7&bA3tH?l&=(qk|Im1#x1R z)Tz+4uwEHIksi%HYX+^r%@2=Qa~0lMr#~_Uu02_os9%LA<@@RFCnacrY(GK@viz}j zrE^%|9@=o9u&r49Rn0xl#Db`*y4kulmJu)6%L0c}U^1ac`CszVpWIxx3p{b_1k0pN zr!P~+(529Sj!z|D2S?htiHm0&z)4(3eErMp${9HdykqCXMO99kFy`!{g&KAN-Q;pV zRY#T*6DCFGI;@1`Ys4{JGfqJv3X2QG%)Hhot!>Vl%<;-kmDzgeBkEl0Simiwa$UXL zkd>{JS1i@mp^IfJ9C=b)=?A*beMcc|F`Zx@7MNdTQF4K-&e&If#G~u{2)SC0<7~I( zorsr@^+J0fu5-JACm^F`%>M|MS06V0;BW5eD-lJrb+i*H7Q-&csZLoeHH?sL=N;S{ zR{7=cX9!QJy=DBTz#uA@1D;3Mp%<+Cn z7Xtdgx8cZ6MXUYGglhXzrHQy7FJ7g}ApIuGdl`lHM*x41BFkAzjN|OVHmva=#4)iJ z#EWqT6Ju<%VgD}i*?7C39vlGH|`cUFy# zuZjU6W3GD23BHJhl-WUi%22KGgX-6>5C}r0@WQBH)s5NXU%wGDJbH`zj<#v5PKn3T zsUN?oPt$wj?hus0x-7%ItCe4)BCD2)zAa9UP`OeG4qa)n9`#Pqk(*)VIHHt-_$rH< z9V9NvmQbxkyNO^aTaeKP#F9{qQnO+WyU8An>*=p0=zYt~AGhf75^UcNW!t+7+zf>I zxp?9_`C$eB7OlE5=fh(Ow;vQsNbS(VL$6Apxn^@-OX@vG*#_J4u-?PXb+cL8^Z5_| zCNk`7P*2?xH{z)n%Ym0!nYi2X`-Tk7a@`!)**MN;zA#Pjq`S5x-nMbZe|J?{Z2fT) z)^UBEnTF*8L`Qf}3kR`nm}@J`+4n-rcZwZ|tZSQS`a2F3HUIkS8hD1Ae{X{#7SCg1 zmuN-aLwzx`AxBjjB?GaCA~px|gjIw-9@_*r_VR!1q# z2;uu%tK45ATE4{O$yT1YZl{Gb8bHy|2|n!B?yZufmuf_VCb?h7`#OZ4i++e~=w%Qk z8+9Mfz{4=oY8vrhoVI)$LhnTy5hH2;9NLC_mL#@ul2Pb5x3&^!@-Z1}&8-{Zt`m7< zl#52oyw#qyVAIIlg|}7kQ?@Z52nJaVY3kef<~ML20cWzAo7jUp6WcGZsTxV; z_lW4NKrOG439S{xnz0dTU9M0DU?{5m-Rx2XYjL&GytVkXD~6MAlj2}fa&y-&%Z$c|+ykIJSAgFApL>G)bZo__W?9 zQ0k<#(tN{}XVyL#h4j|T4mI4(XdP5zK?v{kQQ)RG=e+-W4e7#ej`0spLeu%vroR2Z zJNdVL$3%s`R(Zw#NFM0{YQCG28DB(VRhT~_jy26U<}k!{cu;3XSr<U)u#MJKw zxL>fgPPLLE;x0ACi6(HgQH=z-78P%B?!|41dLDS2elw=F3W3UeU+1 zQ~Qs(ElLfmHSA%^<-`$H;l|26KqZ>TI8WA+NSvV^7mt|MynB`s?SQd`0Z_|-9R4zk zRAU*5c6iwfqhXqquC%3B2${yremfD>#UNzcMrliJ;n|lrxLMSwFMO?N(-rBY`=80T z!HxVTh9w4$`2wLU-}!)HYTCbqi0stXpLUdU?m$DcuElgD+-mZ(e(w9A* zVt4g2Za0PbNzhpO8l)kS2M8bc1?Su^KM>tvk&1upR0a^((~CW5Rr)~%mWhNwPlna% zQB?m7ryn=N?s7{R29VV0<{fAbQ_VIIglnq!m*uc_L( zx}9AkJ<<>{201D|XhvIcd4{jAaH~;97WQT#Gwr?JV8>t<9IJ_1ry^nU24OV?HJQo5 z&K*l@{-N8yd>28{^sqT@qbq{CYHnVX*+Os(w{;>96*R$axnCX8-i4MBywjeYh8|*m z5X^$4D;aaa7^B`sw<=KrN^rxoF$In*^ZKu2fqrF;PSlV#``Ixysz^p}A22lWxLxcW zWn_G3vf;cr)kj}wnzk;hxXiagSdws6^qa*sYyV&|$7AW;So1@i!NzxqRKsYm|9xpVYIvY2^y(PjO7do6va(K}u$DPGFK6UCaI*qJ65 zn*BXo>cW`Az9~8J)aX|7bCI1x0?TQ;t}Wp4+ZyU-zu$Chw_XxoN8>R)1(1;iOD<@NvGN zwSVJeGkQZy(T78W@T>bf%)5`d0XJrZ7h-E;>*w6en)%YN-r$P%T%ic(%tAbI?+s7c zf7CLq8^KmKd4f>=^JYRP>&CB%MhBO?u*Ii0 zw;lbB?2Qj=P)i}`s{8!TARP*3yAz8P^7-R>OLU49N! z+_P~D@`&=(<0B8vn`rNDk>P@gg9zUr;|#kffH-1`qW9fnobvqap%>mKAR>j%y*!@3 zI{Gi3_U`S!UUG@-r$6A?Nxn4?5YDCDNDT&R_pzWWZQ(HdSf{KEc{HH%62n%J%Il%a zq#$%be;4O7o)b^!I3=6x&bOJfei1M0)KWxM8_<+qVux^iF6A&k4IF&*6sWG$a#ut3 z&c^mk#3L9YXV@Of}VQ{ zx9RLw4!zA1#^jta^0#GhR0sTWe6;jzYN=IqDmXgyAJJ*~3IitTC)WSk@L8a+xUw}5-ko283rf;<5ML|P z{Nq#!TsYjU;tei12IpUo;0OQsimT2M!NI|8FT}UBz?IQmU-0H6&4cxUWy;7ZCL&+t z7_5f}s>LqKz1(-1+iKs{MdMi>6s|u?4v^q+zFy5JM{yeaj!&%SQF~5t#0D`GO5*PM zbg>LcX7I-JW^2Pru)2t>ymL~gZDSr`OKlW$9pBG^Bib!V4}XWwhWH~sV{WrSOMOhU zh|KHXEz&S|IOm!REh}mx=He zNv)l+I>0OQ&+LT$rvppfXGcPnm}hyTCR!9?mCRs}laVzIC4>aJks(|sUCbe$Odg)j zxV);X1T2=QGdY1nmF{A@@?buwYppH*k$w9;Ijt_XYKzrN9+81pE;-VU*U)|<93vV* z8t+(XrplrvXfK~^*8sV$fLM)7(8QHj z^uZpT{_sRI63xRb^qkUi!J5CSNNza*c$6=7vF?Cq(EC3CVnCh0rPWzGs>ZduNLlqPesscFR;*lB=hO;R zNkka!jxAUaWnz{C+uu7%`8yTu1f`>Q+`ceL7KT7kmyJ6-i4;n*7D_x8BB=!Y5g!;b za7Eu+j;;Yk6y6GiUtV}Cc0_BYLx-8Pol|lm%{#T|`@bX;RHLV}?nD@x6!faKlZ})6jDWy3-kJy9ZjwP3H zDhXj5@RN6{FqDf?mSTVH+Nizz6oQ5r^hSKATXfknd3eIZE2iLT>*>6no#S<|?Yg9q zAZ{3G6!4k!Fvm@Wp*WGhXmw z+dwzJ@62KO6*n$k!cSJ{;duTsWx^+XsHQ+dAx9nL8uN{ES?dvp6+S1AoLrlzqJT%^ zA@4*y#5eB|4`n1w6sLn7N8S#kCNsreF50Sk7W4bY$9i2#p}h{M!+cVqtBQxekXwM0 z^sG@h!n3Lc3=wIjbkjU(9L5m|J}*m8W(S_ufCb-I&rCXkN${o2DbB}_JG`8vC*V-8 zCP%>OfqDX&k_7&e@5vI~m^Vok9lcbU{xS~b+WsafVs-L4cln{EoX3IrA$9E4wUH!U zUM5cZ7f2?3HziR#$L_7~WyyXcr2QYT3<2*N|IAgm+G$+*P zUk|Mamii^kdn_oXHW*juyL|~FCAo14hf@U2#Iz)5AVyR>0vZ~HK+_G+j|R1m;N7$8#mL5|P{0(8|l3lt*Y)MqJhcq||Rr+vA;lPP)vs zUe`W*A!B46SR<3cR|;T^0@HVJSDP(rTa0qkd|yYKADuAy9HpN`EGo;K!*}Xsbelv* zTjaLqK-*6VO*|?-1#|Mt+Sdciv+EnUUZnACN{Zut=LyYLak&vW-3^@b;wG;_WHTL` zTT>%JqD@ll;}jP5aU(vuEV43^(=+}w!uzud{LfNu&qAKhGKF9SdwFML%$}aiKAg5t zzkw56fho>;?5kp@jp&_h#F;a5B|XQ~Bd;olITe*ZHWPKmmX#%uIBx`wLVNrv7Dale zdt>t)|#Kv>QT+EmqI_$z5t$GDtOlB^&Q8Z{?0I_ZRlJl@ef zDtvcy3r)Y83NuT<^834VTt2dgm%n)V_zkbq=68)qNsAHTnlCDl?ZA4woH5EY0!US7 z2JhQW;}iKB4R6VFl&s4g)Q#>(QMaXiQvO6Mw^gD~3oCsT(qc&?&&C+X}#wF8CW`YICFUEV@}LZt-+PItR@SKD%_lM8oMS zVSa|V=V3C11twqDA*Tk2Oa7|5z=@Ata>MX~uaO(*=cxMe`qD*IjmNP(4Mmc;T{a!y zSzCY|rE$-YbxVpj^{i;lYkkx6#r-Js?v(TK5`B$aLf& zzfum;%3;Z-tnNcS~&_gCkxOeb|~$HYt<@G+%wqKAIqXP6RfE_YcK#zfF8?A$e5onLhE zWLU_)4R*yJ6T71BUWVaiD@Z6wkm9rvkBVs%->=Xn=4tLFl;-%We!GD80^{Rl^WeVN zI`y_m--mz+iE7dos>&Ax-nv9keS>B=HkK1Rq-30~@H!`ao^zj^N>q=O$@BaeVJh>i z&RxmRiSs)7&c^CE;VZB-@PQ9uC(+JNZ7zN1O{ZnCCaj2_ zG$uUv=!Zfp^+(Nif}~6Dxd2`R{eR z|9-keW3dU?oc{j(-|6Q+|5g6{*FXOwW-b2vBytYcY;Y2r)2w4dtqrX%M`bQD-PDKE z>gg=pY-%xQIP&de2>L(Yxvoyl%G`_r&;9LBDi2}}x0?_N zlBCnMtu*1;t=tk&o?s6CWt0@mL2_BO$NqvT>C>_`3IsRNN7)T=`>-r@J=aIFYI`P& z{sy_X1}6CFEyu7m1{dWqYLl9 zc1jt&vlp1t1kV*3tJ>O4M;CYCc?)%a?WSDlH={G1mfc>(`v|UvHOr3NauxFhPZmEqODyO3=R(PtFQXnsvLyZ{h+?NsV8+9^ zs^QgN+lmUF?->7xp?0dK(T5um!Hx^G;e*rH9*`$zJb32tNgd=L^7O0Z>G60kf|$&) zRYN@ec^Su67t>$Ez>t7Dx4iTLNebZCQ8XK0Xy*?}dcYUhKXhSF*WkuM3Oj|O__oTp zuJGod{FI_qIl?!`Ha;4Vv^h}#A6Xp+b4mMNfGD1X4eOs#RT!>j!8Q*|Pu9zf!>^63 zwrV#rPDyIuty8S9AJ-=y@k4T^`$)v&O@Wv)RCx5^Q5g3o z16l;{R9ooVb5v+b<}}&f;U`RROIIeCR`!ytD;#!)+?P)`F+X5PZSIJiL0&9M*uEn_ zgX#wIM`gTgzYT7|pnf!pTN%7Lmc??O2X+5qV~f2Xi?_K`$kUzmiVRY5Z8#nO@? z!*RTEPFrY`+CrPv7TzU!M12ZBxcx%pBWU88oXIOy-6?X~%>q6WI8~gAp*~p-mH$-n zcgAMJn_1jNnO`U0>a(Q@&C}oIEwJ9dW%?hd^ua-nZD$47RCGVZ{D_WL%N*08qo2+! zlU>*;D!kDyc!SI-;5EoPJoF!jm0m_162UK{G{nwlp}cQ*2@;bZs#~ddXzV=eTSM?o z^NZFG8g!-2%-iT`=pE`wndo1?65m>Sv`o=lU&2%*I>)JVNoL#;6}H&|6#7IC;4DmX zYeoZW?-I_r>y_^s;)UX5(Z<@E0*jN)uO>8V^4$J{yP{83^h(1S5WO}|ACqj^p4Qw2 zL8uthJx}NHnw(oxB=IQ4rbajV9|fLvmN-8~f@nK|+&l3nH(rPmEp~5Q+x~>mvfE*A_P-MD~ciid_xXlLIp}XM%VJL_|i9;A*Aq>fnfY1pX6SvAV79m z@kyJ0HGw;IFnnrt!DT!ojOP}1`Tpj!QU!76)mEw-le_Q8hQbd3?l;nc1o0tm74%L( zb8FjaI&5}41r5zK@>xzbXv$QsHC&wCjn_@8s*{|DEd7JkiBJPHU!O^mx&7waM2OgJ z6QSz#GGtZa)We(P5fK4nf))e49AeF*dbD7%4fDx524t3#L|9}k0s+~@! zm}WN9uZ%;hKvvTbZJJj5OXn0IP>3!l|rQO}%Vv9Ai*IJGg+Ep27yw4h#NGu#@3MpLsO z_5hS#b)E?3;E& z-_6ImQj0}WR~;L7@#WNm*Y7HLrTn{fGEwr8fvgQOkabA}vRQ#KPvu=~uD4l^P$SkK};iI&!xsrO8 z$@>m&E$ziOQ@YDe?UH>?PgQI6GL*0?IX3zEO768Zt*^>&^OG3hHe@E=zyOgg`ym8C zA$)xV$Oi;`VF=)#v4kVEfu~5tS(9~1>J7~lAsFDI-O0(&eq0GDRu_O@{gnELOm_Lh z)jwKT@XM)xIMn}8|H%WuC~;zXxt`!}9smf2pkwso;lJZ*dLbXBd7NOcMSG{+h;|*u zAYzv#UaJdN*Q(_S-)%29>$*NfNVh+U7@q9-j2JH8iyAPY@f>P|1k!z=#(^5Ij2dQU zhhBZ;8BZY@^vth&3abaek36N%F_Vft<^crA7&H$ck$9N#`z>8gNJsu5U2cc`F3L>Y z3SIuj2yD0f?ZTVN-`7;WF)m(L<%tbNk8ohjH%@$qwW`ShzUX%W12ZFmas@zKnBp+5 zw(<8I+HspJ7JsBmyI;^U044}_piTXG?Nt~dX5I0iRIOmXDMp+X#Pc@!?sa%^jb6vr z_zDvn=JD1Iq~1Ij?U;p^b`|LOy-xw%mH~Kv0?=g#b-~{O=nioEZNZJ1qciu=G-@vU zx?MB}PVjyi!Q!QBJ6coJwF@GNngrrS;U&j(pNGoSrYF@NNq|2=?THY0sQsb#pCG__ z?_i}Q%p^RV)_l~^^LwAt_!7weK3dlN0i7?~59cQioj-K`!{Zn~(K-dKS(Z23&s%R4 z!%Ls`BARBo!|1#tx$QTz=#RC@KxZs7-%VH+Jg?{AAZK8d`~(M}IOtP9z~BIbS9SoH zqXdILqQFVL1kYqG`H5$AI+#GJ_HYrRtF_C|>UA(1wD=r)edzV;>$Of;N?sA!-RJeW z>R5UI3?9GsDW!J-aN=RALQdU|Z%xVj@3-{bZR9ZgDt!<6Qz?87UsU0_AzLw z=XO@K9|_%G(r>uN1rt-cA4ltyl(JD4*&vPPPSd5)luWtb_P>Hl&K%|p=7U_%?~?T)b#WmCWZnA;U{2X zM5#V7@xa8d<7)kWKi>j2 zs5%o%HosoCOGM(Te=Xvwli1~*z^)M11PMv^80f@~nZcxDBy*C)nxY`F2FoPY^e~Gx zy)hXCnMce&-{oNjQmz430?nV5z@5(hXa*%;<9reRx5o)&g#mUHJoWZg)e8OmEs zx0$b34t9Fti}35Yr};TtN|9Wm8JBcEyCW0kv!8;dlwmn92Tegh(~EKHpM|Caj6Mk% zH8#wn-2GiGw>8kI+U(+nlG@(GksNDbeZ}-pRrC5xQJFV{1&RTm^Q>%k(EB&fL3Ilv z(7-0DC!MWZb8?%Nl%Vs7g=A1X@_w+9J?<|38XTq0RIdKdg;68-K*!T@_y*ThBk7N) zAoNYC8)1#ou%O}-C*qUAJ8V9CL-;+N(sU^L)=HK%yCQPw-0Vx2FP_9eLZKk5)WUNC ze*9%KXd~YI_LSw@=imrYUrOadqaU&ICh&b5NFuDiNFGaL74mBQDLnNX3R@o6joSWw zp|(%?tI1J8?KDDan@G3M`}Xl!!X~9$aGO4dOBtW@tFWg0VM0Laf*Pnn7LSwnGUfgS ze4KR;KfxtS*DiTFgGE5owZlEZPnxd7s!u`mFPx=RbmcB-fq+@?a+n*r_cberbyw{? zd9kFiPG0|A1rb@PxYA0{n#Q6 z*sBn(=JwN0-ZZq#84IvaQ{uE|{ADMV@b=B*>xZC{{TImr-`ZJE|JbE=gH>f{FSivH&GfPI+#X0&*7MSAZ&Zx7G2|R&l z!?csaQ`Z?un>Q$(q^+nZKCW@i*+$y2AsBZehb==NZQ6pWnG9E7M0s&q+?r;^F;TSv zofF~Wtyhg9;$+M?8$6jg)Uca@-AEgj!-kmqG{n4>^SFRGyHlYB8$x9zrNCs-T}ggU z5vd^5z&_Bo3{YZ3L!}R_1tIrq8qPz=cJi}mu=uSl_I7=cTnb}JAShe?&A3zFNsXGZ zu*$yn7IZg3>aCxRlzP>LZG;lBc15|wR>L$c%F{a5u0r*XxzLl=;2yO>sP>ITCV|P;D<-a*X$(L@frOFl7>h}nf*pv z%D&#W77t`*9m2Ag6w>7CT=qMR^VI*>y#Q%{HYX&9re@P5UX+6K^oe#egfLu&+_9vh zMd33Uf-S|JrWa(o&MVvG<%*j2;D+)Hc^N$QVYHgd9n;VZliM{*V;rrPT!@_YB1}=A z+~t?ncI$pf+c9HxC+lS`97HU6&acNg<4KmDY^`#IBB7E*4s9~qfYru_?UYvWCb1?<{)c&)cUO4BPMb-$%(Y`W){Z{_iono_>O12a2oO#+E;8_>l6*6Qpj)Thc&g z+0=p3jTiRZH)$zOBqsb2yje;IL%$_=P-I19Fca_Un`5@pL?1Mmg9dZZU=AA0L4!GH zFb56hpurq8n1crMS!gi61|z9@v^GuM0KYKnGr?f^bh_mYX1D~oW6sMA3Ri`>-EQ(`rbu2kk>kwIwu?U&Sly2TWlp22)nz3DEhp1de^_W zGltTN_|=x*d656SmVqw@y#U|#DZN$iZyE5KNJ zp|tN;PGdjZ+f@a;YH0AW9<5kuC#tT@lvF@IrYFu@>me1wHv#q5nke_{+wHw}qMmMx zQ#rkNX9(pHvg&`^!`Soe3hY*??t}UbkK!o~tA)CK2niZ(`^zwOyORGJ9d9_h`{M`+ z^VEe%ayD{|7}xqWwPMj1vDXT$jod{qxm91yE!}!a_sXkG29q7Y*Xyf-K-tIaW}Iyr z8E3a9oRb!*&_jHdYkG70*|&Ov-e;X;G!LBG-WWbfP3z#j8#f*KUjoj zKW*(NUxfWp2ZoK*UFEs+z6F7<&Xlx%Hv#XR1D6IG)05L1MxY#+H5;&=fG!Acpv|C^ z1hD-3sJ|_?(AO_OML#MOQw`*0#B2=UJ}n*LTKrpTX(SrwHUiEerQMXl=3$u@eL7b| zT35r3LZHwHx!_faTtL6>*?=+sER9s3ZcM9AQN~T>h}N!Y8@lm>@AWhmEIz9&n@qr2 zRdp1`*K%FaM2xlz?2Szr`umvC+bfvX+DkK-DTO=_p$u}KgwE{H$*90|Yu!dIPVj~M zjdgvTX|QN^(+?>4_q)u;!C<*cX=O_fm2?tbPXBr91t`26zRykuDFi_xj*?MaoY(`Y z1MNGwnO&xGt5{yG%==(KE}p{zzrh*)1S|*^X7!?A5Dzdoz<{2zb6utR8gyp16}`BQ z(qoR1`s_6oCz>yMKS0kH$D~rq=ez11Bk1UJ>K#4MX&zPYa4FsMtU7I*Qt|J%)H^0% zMgEX_w{w3N{U)~@$^s$p6bKyG2L^jV*9Y2h@o6Lpg+J;38$x;u$Vn?s$xN-NAMCd9Pe7Z7d&4BO>pTfE933@)>93p@chrWGOZ+P|{lhE{^siVb?DqN3x{H zOb+VDLHr;sCuNZnQQ$VGl_S4# zI9WnXQIt@F(HGU7~Snr9+F$e$Qc#=_K3Q&C+Z+ z^&h!C)}T5iv)>asaZ~q?Qg^7{FVu$KH~G;u+lN`E4r$a5*wn8=?Y7|Y;FSEGb`e+1 z1xR`3l5P@3&&1fjP2Dba6YmgCZ@p)weK5A;_R8!hB7`L*uT-)SpF7;I}p?b$yUr3gbag zdnX*MxZ`mqB+uu(W@*0m7PN%UO%87Za4FP`>W)=RnnB-OlRmhC?c{!*ZFMzH)~oJN zw4esvtC%~jIyau4hp3RY0xucCaRjwB<8y3w@nt+mGcL~Hx=lMKb)4oq4~pD^5%xLR zSYr?Uj23q%O#LzsJo^CE*>2#jo$8n+>mNtzU5-aa5kQ|!uVTJ<4G+MvtI|@Z;>^6- z>rEcPVi$%pS|+czB3YS*Odh1nV$P@O%mjE1c zTf8ccKW9LSI}FHSKxV1}H*BcbK)3908A6Fz?p-xDwYHkTb|CE7nFOGsu$bn?5l7B@ zy(sj%PE6WM-tEe*;e1gvGZ%W@0@z?Jq$o)1^0r`##6l=tp@#cj5F|YXG~8?BIqbn{ zZkhOOC=8KKGW$&t-8h`#o!>EDY(7*JcNt7|!t*3*OTFB4?!hDlOsS`Fy5M-%H(yE@ zMdY47(QbwehRYB;7F4tfd?Z7zrL@!Zf{fmMXV%c2SW#0R+)$n&FQc?}u(qV;f5bEt zqjz?lSr`Yozoph@wMhK_ILpPkSFuE1*Y9L#yjwHC+%uNC;0_V)d?RQuD@*U!xxS(L zPnQD5+W1mc$Z%^V2tyYf+h@l@#Iy4~o8sx!L(ICm-!9&hX`RE6_cX1*f()P1w5BYZ zzz)lLSk}X`elp7%=tM*QVtB7z3nhaO;MfCEYX}>>WRA&h$8j}Etk(QP;<)55pE8UK zF+y4H2;&Z8a~PW=j61@(N5Z%{5qs?NJMQw;P?41*sS*vDIUiAFy2R` z__-s+oKJIVG|kNbuc?QfYViZY?$^;1g5HHlT4g4!!#8!NOE5m zl1eH-{+Qp~Z82WZ8<95JubyV9Y}nfgWEDlGWVRp*E4saWy>d_4VTo==0oVN*(|xjQ z-L&)$86XEP@H=9K$3`iT3eB=4HM-AS@f~8C@r-V-a3gg3g1oMkPyBUKV(-=~R`u18$*$Zo5;X(ijJM*R&Mop4vK!Yks$U*BKe?ZP+ z6g0g&M(MWec9U~&X7qIY?WT-jhz$LDyf?@X29iU|H+_nTB)m~lp2(vP6PvcN6J^-o zIX*Ct0!7$1WK>6^NHIUktn<~=OzxDnp!yXAmh9n#j|I3ise0DiME^{Kh~J|QNxdb2 zZ=_to{^``^+?g-J6pL|wSVa`#W<2?+ctUw+946O*{{;Vk=-q;pE~(HkN$iZ3xeKj37JGGITUmxYgBNHohL&w_zl+`Qq=7m{hJQ&g z{)gzZMo|6^UV9RqIx4niasG-qF^J*+{@*pZv=xtLVLGc2eBGA1At-{=zW6TB z^1Sz!C`Rtj3Od44)`npg6I^xM{XmyPd7_}EIoI2UQ<1f42Bo@70nJ(ytDtG5hhM{!b^0;}#!jAp=?-Hv2a-G(DK`i_Y~nf`BaW1>XQNdD-6aWDDj7L4}O_NOHw^lsTf z^v9}H>(f0-dtbe@=huct(>g2JttQ3d-pU+K;yZjv>F?6=-}De=TDcn~^<)$32B*qp zk1DRylQfb{`_UPFHwoaYlX{BYsr1ii7u(4dhOIsoWt{e)`MBB4262zuX`ud*%9>3- z!FX6-u+ciiY394KK)s|lWFd#gWr0;p_Ge!g25qe9XVJu1Q6q?U-vutwlk`*bRtQVw zCrz!!6!unUqbXLqfR;c{((J?N!aBEg$Dx=wf^iZbJoij)?Ecf1`z3ioF1Hr-JcT8O zy}}Q$7A|7Cd&1wtT6}eD5m9-=)U5kRSKh84k05L{!o4F3S46e$lR5DXhh2bJ^K0R- z&j$`4IDFvn1ASEr4RsZ=N9Bzg(W*#MZgUP-_FT{$RG~EBvO5S-=BPb9?VG4do`-R; zVuNv_yupM-PjKOFa^TpzxS?2%L|gFuj!>iPBo^Uq=bC7 zr?(k#)$M8B)Tuvf18j~ZDE0##uo)%FUQe{Cr4J{5j>O}c{nlHht%pNJ-v?C>}V_yb> zR{RY?-pL{{=DLb3ZMvRYm1{i9#Sd$4`@2kgk6GCnW-b=eNHr!i1!9M4F)^IZe%=j& zIU`wHc0iNa$((iYFv`j34vuL_ba52h%o{N~WSO?tdGEv~Du-kjv~tBFuZ5&a4s`1V z;@SDxIhBkyb+dOu%i3l-PD|Do_fs_%*XyRPpmWnsHb|H)A`6sovAjp$zjXy{mhXD( zP_W%KBr`e6=!Wm&q%8(;4A{@I;uzTPg8y4LTxJ_cpiTev21Y_d(FWE-=T8od{Gu4y zN|`1s@Mm`tP7}OHW~vT|_BT$A(b_i#jpJ6Y2Wg(8P*)|shIU1hFBOk4a12H<$Y3<} z0#WJLBmQLiZJJrR%u4c#yL;}Bryztv$wYCB7=$2>n-4V%M~G^qtj^68?Vayg^Rz9o zJGAO4Kb%M`AuEE+D7{LVGsF3Am9)vjyrenL^0Q~K@E4zbds5`>**>QhRSxL_!p>l+ zjzCd{Ui~_@5ej^YQ(zl%hmIklBtRPqTOQYzc}(9?E<)ZdB$~XVU(v~6zl-#s+^zg5 zT8mE)V8~>`{VJ@Q-Sw_QAZqwKbVe084i`>BEeD#evkGWBTR$B^1T=%0Y`PA&NE>Nj z?L3VD4yK3L>*KK3!0`>{o~N}7Hp&Av&)?1Y9Eys-fLG zUHg$PxEH%&eq!wXUKnTH`-O4)0WE{}PTvE|m5R}LVLmM}n&B!0$;_gJGzk?L|3J#< zs;FfPZ4OU~TE_gtbCL`tuZtX*4sY!*;+6j&j3zq-Ox>_>I+k0MFm!2*>BRV0O8FU$0VOPl&CCVz~?h@tK=tgJE zW)AzFz}!NmkE?B6g)5gXa|Q}UA63i3l_F>yTz+y`OD#>Q>LDG1~d}TlnEKWK$LZIh>rgI5{>*(RE3Pu2%@- zWi8vvj`Si#*DCT)mUV z{>&t8d1dG9OuVCq^E@A$+~JCU+nliUow=-gpq@{i{T3$TVfU26VQ1!iUvIY<9W)kv zDRX-eIh0}P2AP4`L+gb*g)Pf|@;a9KK6d-hs}EfzBNl)0)A?R&^ROnvmc(2Xeff%o zqhd7Lw^pA%-z`wXtnd??oYhgE{uK4;9Tx8fmaYQ74x|nm??K}|XuJoF_n`3}G~R>8 zd(e0f8t*~leMuT`h(MQ3A=K>{Ym9q6v5{sr4j@A0)dQV6IsIy%pvI7VnF^=YT;>dH zoZ`e~2>A|CrC-j8@aNX6#t;$Xni1t!L%*g$^xnuhZbGEw|2Q-o4z*Ze)UV`3?+vLSOm zf7ZjeLy<{=v+WD1an92Fo7I2|1vb@>B2l6tZR8C0^J6m1@Y6{f=-l;5KJ4(l?DTNA2*X)Nt+clad5{4Kf z++@GejYMciFPw& zFkFV%v7jPoguJRo6pi9OBYdQUVYD>Fko{k zrR?n2dD*ZRn=VS(zw8|nu;Uir(pD(j z;E|x{0@wJ=on-j{-O|PkB#EsYM1+HFd9W=%4cih9P8aH2M#f`CW|7$JkY!D-fjZRs z!E)sdJUkN%;B+c*Q#g9tR7q{aHKE`82V^F!DHyWA3+n2stI>o3;HTM(kdGh<4`wdSmBVw_cwtn<9{B-83ZsXplSZMF~v3Ml=rL*=uk91Ig znI9vnw&`etKH9pLSvq7SUW7Ty3n;;LtE;=n%Nnr4^HzF>M{r(ByUKT2w?HS?VU*^5 z`@eMnr#%?_)A?PUDbXS zZ=PPA4Q1h{ZGY84wC5EJpl`7V#{V?iZQr2Ywz+P+&|g_Z9%7}$zgh7EDvq61h&!vp zme1f=Ib|9@uxWhhb=n}AqeD?X`O+efLs6xp?t=#Vr_@_KIOS%hN%`rjPA~E>9J$iM zt!I=~ofk?|B#ikzI!4bNQtbk4*GZb;HCC!hcT%Od(r0n$7DXE{5w?)uuKWFMxYN|5 zJ1}5pJei*!U_FbDPtUJ7L(eke({=T^afK5LM2)?gzCXR`J6C?gz+2+;TiWyQREX>5 z$q1;-M*U^A8V%=xi?|81CyjLrM^0oKdBE zf7rB}$i{o*Y-7sX+xa-BA}9!ef%+%MP)z(fJ-))}&kCn281JjbSo6H5EIFFI01xAI z)ABN{0}v9#UPzKLi(wj;9Rjlnnly^D?$9&zNQ_Zt(`uN5bv&O-4>U{d)p$xc2z0xi zw0q-steo5fnq74!vV!zvpA-tAExG;qRyk=?ArAr!v(`sKq5H&0SY?vFu%Q_<}xEq%#QoY0z? zX`tYq6S!wq{TAIJ6{ONEH+oBXp_{iB4^MZ&XKsV{^Mq}$nFwBw-qz2Z5Bb$SsYRvh z9Ef!slD~ojd5`zb@baBiW4Jx^4XGH$v8iu>%eI*M_B<4qpwg?U2(bJffB&Hi%Cz@Z z)oJwSTagm-{=8}Gdw=n6oHE;Hxw(3x>=P34HaG~UD^?-czTsw}L_{&0g~gk2rCI*6 zI{Q&#sdvD=Q3HJYjup|tYCL*1N$XaVwBLTfgLA#jxyD`u;lkL(1^p_bO^$+ojhvG{ z9*3@M-rF`co{ng4)#oF9?t#f+TLQ?*g)|D7reR+Qg$#LnaM&6<03H3Zc^EjA3g=`k zsD|`r;InZnqBCoq3YKaHc<+jQd5ocDOgX=B##dwfIgPP<;f%l2 zPM-izT!>@#%I)Nm9rO1S$Sclm>Sa)n=;c;{KLC#)uo9nHc}3Ric>pH&+niQgeT~vy ziAGKCvdpcL+fA7eLC!C1ZZVKjaVMi*3VCqIorbUP)P=M}cULVJa0v6g4l#vmw@wg# zyoiqQG+y1;|MAb#5wNz`boDA-)qcp=U!M+1&x1evA(3z+>83Zf(WxpC=z4+84Z@8p z!kTH=aI*NidZz|gA5G2U|8zBvdnh%Jf5~}Xa-JjITBR4A87q?OEf(yJOx!!Xz0Z?n zAx{b4o+Y;N`YdsgWR#g2YOp?Ome{~|%o1-1iaFv;U&F-j8z#m-yymHY$2@f`-NF~m zQ=@Cztl5C?n5T}fdFscWr*4^4&<*?EgP_5HnU1$|StUJgh z{=DpJi^TsKldAI^X$r-(!8dqFLe0Vvh`lYe|Q4vzTkka1wd7ek7yYn$?OeAH9&3!o)$vpDiT%<~gzn_bgRAh$RR3rm^cTth~Iui--;7lasuVo_X`2!Pq zCk-j$|5?Uij?VYdkT9BrM@@>>P_({?#sa)(p{u2c$Y{H5wxYTgrmmT(T&`Ms7Y>Qn zZ@bj>y}YUNIB@}^_Oz>C$}{Ryeg7*Se~T7X=+Vxglf=Rw$#<*uk#BWV9NkuGOgLj~ zT^K}HwUrc`>K+W;V@5~SX_!TFllBNrr2KpH2yzJCRgi)q(I{i&ndu|Kkx zf|S{S2$fC1gwJAK_Q{H9(SD~!i*_HhSy;2)9!k|Aj)D9Pvos$rZoCX8kfBsjn=18c z`kZP9D-XHaksHhiL|XF|(UM`pbNt|4hb-j~I^eS%Z9)+%Ls;mGO=7W;#7HecIQ{~3 zhlo(pd-w!%BK8)36tCUDUE?7GN(iChKq&F>Y$Q#WYCi3VviA0zNV7`DVsp zuy={NiSeS{vSpWI=Ao|KM+JJLiTf4nm*9lJUp8^E?;T#$C2lUWg7w^0e^GvMERt)! zn_PREXqah*AgZi5E_cMk_R><;X@hPzxxOFRhLK1&QX;8>XFSjTnaf97OziWja$yoA zWznwD8$#P8`{Cq-H?_t(II;U!ubZ`uUb?L?fG$toES3OeP05#1ByH(bUegj#033%z zL@mv>4fv~`fQD3F)%Z5#2H2OAcC)=}7(qwO+`0g4y3U4ZpnXqn;W51(b_-uRiWtL1 z6!N|boJK%mMCF$edNU`OfTL#0x`F;f~?Z2XRT%y+zFEBAlheAPHP9=gXx0K1&I%WupLKUF}_8?$At z^|Vn;sfgmd@ifBiuJ@#6Ihwrir+%p$TN&EY?ds6!Z2cydJxj(6W?VwVHPbh#yjwD$ zWqtfAhlDp{Pth zAB;o@-4-8E@R;qke9a5_m@%`Tb76o#Gc=H^_?fvZnyS@sMAvXqscp1+5Bay$nZ^XwLvzPeLZ+=ru?lOTZqFp#ymT;97Og` zjwn;J5!Hydstq%j>FqktF{^H3792*~Xh{rPH(&?Ke9E(Pkp_HjX7LjuPWqin?Oi&_ zCzC156`P(+CQzAJvl#Uz{Lc!Mckbl(^F*dW<{IBIMnUog8Voer@>iFd({Pn(_vz|; z`NVefF>z2)1?~G1H&aGV#PZtS?w>Yp=};S2lNTseLHm~ZGCJt`fX3|m%AQw(uziv) zmm5E<4*JaWjzB6CYsZ8|Wz17vxe_-*h;l;*qxc`fz&`eP6onYVMj@NanH_`{*}jaM z)<5%e6`+Y1wWYwNFVPdWJx(wKAk>$%q^tP@mzj+FOOaAj;Khc+cSs|)W0RV`v;LA? zKU*z!!rmw4OamPK2O8Mnh3`Jh3fJq zmfTN+;I=(Y?6;UyjsgADBkqPI9=9XDzpTd~7B&KuQbOrosPOm&K|38fVWneGz&~#$ z!>Yt>_@;E}HHQ@@?VTWvK#W_Lc?M-v20E0^34moYYL1%&f|ST86)D=2;kK>S)TXO% z+86J{QjK)QaoHm~IKXvxSk6_Y=pOkjoWkZM@E9)k9-XLx8#+om%!B<2+YSb^0rKk-fX)Ko?zQM**x^H z*V!k(d&r(PLTO@UPbBbnKJhVc_|XFiwyO;DRoKqYJeB93l?s6+Y^SG8G;EimxG+m$ zME6(O3=8H<;&zFDNhnF$;<+Pu1GnpLc?-B>1a6JoQEyVtX9V&aEM1$c)D|uaO=eD9 zLp}tw53+-Dx|`zSZgZ?ruu*V*E(@TZRW}szJ$G!9hcrrxfTuswIT$A=bdQ(qmrTbH zEw4j@0G|m70^AD;dIP0TKkAt#UADY?=6)Bvjm^V?9>ZXo;tHc#VN-ev0T|XhD^b#! zX&D>kw+Iq=9dPvAAwEBiEu_eu`Wl@=UpI=kdpCPIpi_kfx+RUhWlSYex2}tHL*q{4 z?(Pd{+}(XO?yily>%yJJ-QC@t#@*fB?eOL1-n)19NzO^tk4mai^0mc@-uf@6Ly#M^)|JG2Pit~>{X<8pMH2cNQL2ZssFQ$usWj(pNfhIMCii{YzF@rN^w zUMa6n^nlxK;^$~xx!A$)sx*&-;lwF)ClJ|k%FK6lky=Hlu3zsC$v@AdyK3FC$ibN< z>Wq@UQM|becMcD%Ql&6TaEB*&9ck z8R=yV>cAh)EYMox3qH&*nI0Fu3Y9I)EN=#i0#GM=gzfx|PPbVkIvxH(>ri;(G9Fi_ zb1OR;7wA0L^~qw}&rrQ!Qi^EbzL{$NbC^;TK;**x$8dXYq9!mGecs%%H>Sy?TK1Jp zA-qcNovfG3hW{FYW6%W{HKEU2Y{@S`-N8aE<>hPML`8$@#F-ktUyoJRjZ_#-D}5|c zVnP+nqne}G*QMmCJZZ7euDu__Wy{%c;gm9^pFv3FwATj7Kr*H735`L^82sArrO8@ek8 zGx4#>>90SXM2ipS0oXg#9U@-orYP}H_|4S8o zLqIsUQ-xzjXd8j|7FpxE_^JfEE*%@-cS;h1Uc}HitNHWTV&;{qXmD8&p_-qSiY#>^ zod!BpzG@V2DP(~g+P$ybyeavTH|6sCnF-QsCbhhmQ%vrMHu&LVO-XZ_xy6BTE0S^R z2!=AJMJsiZE^a+_z)pv}ZHz@f>tD%PT%}1qSpF3%ow}JVIVqxPb}|$vdvXQ0S;vrs z-Z9sXjE&*)idcUf3Ip3ymE!3L(#YG|7x7wm+njnoaSTlkfXef;9|Y^9ju&&*`DwnZ zXVe?tV>ahW8WK|YD@ps)kFyA$``T~jrw_NTT&Sy6*Ef_+>~;_M%Env0nY4U*7GrKz zdA;fAy2GCczdyG*on82c2)tjLsV__&vczj&>)Xcl-{#SCCPH#ZAH~PN5050G5_1&z zys?GUi?@OFO`hlfcv8y*hS;TKM(#`U2WH;wgEhHgNzwf2hm&%*gCa>J<%cIvHDDvO zMMyX~Ggjq?Dy81%Ia6dpKNAh=O2;o+m6AjoABZf8w~rz=YgHYGSKO#;F>mLRKB2z3 zN^mjgZ48!qnJE?O5z%zvHNxEUT9Du54XG1l4!PB5cfm;J?~`dpRhzWynOTyOO^?RK z1p|c&6Oe8u%Y`N!?L$BPZP)6##J&?y3fdIT*m`8h#kP;ocQ(`Xg$%fCT#bfUQxvvM zCD!h}9EpJT^xpMQTfCV^=~3_e; z2Oq{n4Pj0#;JR>b9-8huz~aE;`dE)#;gG!*;k3Bvuf~L&OGKQT;}iGd+_KFL-cR zOif8O&|iA&(Ph`|kn-F}roy zSg&*GFB4=C&VcFlq(iz}z_`QGTKR(m^Oje8#{|mjT%JuLfaZK+U_iW4%#%uQ~I#k(z1}69vSj1z`o;o+9iLE9!a`{BY79i|7IHL$`h^ zTRpdQlT@Q9W(7!2QaE7^8>8+K!E=SV*aDe)c98sIGl1K5T=W8L&!n&-<-t&8El7!A zmm9Np39F6K8CeOgn^Ami5Pa!FM4X*ZWS0uZ+LuN>qE?bfnI+Q6cREFPd1+4()bPbB z-`>o+D2%VK6>Ex4;)xQoepywtrC#vA^H~mXE7*RbOpqp}c_`I%6)@bmaqwk{?>ZU- zZI(Cj55&i=ZPn*LoHY@)Hb_b7nyfGR4U&hzGsO$+;?gu;-1H;WoP*>%`g1v29Uk>P zqH5ZYko^6tK+x=-A$D;~Ik9}=)JjpN%hnUWBJplTlE9byQOMRhW82lEY*Nby#}hJ} zIxH~G9f;Jd);$fx-WsdNO)P6MtY{wgsc@~&vlrZ4sXe@Qqd_8R zT3hR^?MtCgrZ9LTiLZ#zAawh$ojx;4qUhgiaM%=g6$u0BgX6k#U6~q54fn~lF*}uy z)iKz7y+V}(YX=6K#N>CG)t1T@=d|0knp$ufw)5@XJ6sqf_>tSSFK3v>J5V6>Y6c%^ zQVgBk`2c^RxRu|j0k;ijJxJ*K_(Rk$<3;nNR^yb<1aHATVaT|C?{vMA4o~mJ+BU!F zgv-O5RNVu%0#9q|MKyAeR&qnD<-RR-uF=+sY-@`kS_SnyJAZE-6z+oIUe#g69Wvoy zvwe7w%tM&_~UpU{&>i(ZcFv1CZJ*-25Q75%H@n{?JFF3t>v6s3Nl zqJ7Ldd!-DDyy~}w@>SFt<=rK1!7w}P*LnzVObJl>R%YT+UxPu;LIru*V$=;j4Celc zcL$wzn>q!^Sp^6fVqPou7>a5|w;8+uuNYLn521rq6$^;J=_zp#txJczqdY$%dEyU= zlBM_S=AlUW7r8%5c|k;fDCT%=0&6bW=PQ9T&#gM+>!~}V0kvu-mB5Q`_wHp5iVE@=}!`N#S_aC z?ft}kB)7s-iPiHqZ|rh|*eepG(c2+!H#{)xBl)D>K`tJ=3{w5jn0gCn`(~Fk`kn`? zrQUyaIal@_FxdCgdIPHT0U6o5S%hJ-G)z6V$`o;Cpwm!^n0Vw`N42eEujum8((Cm$ z@dl3Sy=82~ch!FB0P@6i!}|6qZ!@n`@MB#STmHbqW0%ly1rR~X6X8aw7E5^MW+8^AiNyRdURkTm?GiB70OZ3 z&-zaV*KwIlhwSIWjo-bRL+IzrtIzK7rBAXf+@Otd*XR4A&#opdzdf)yP?@RCg`OOu z05%^B+cV5`B#A5EALeWoRT}GV-*wE<3mVMvv%N_im~+&Z6b@6AP;Cp_VBabtgevvh zU_D1O`xy4*6cpkO-65Xk%8CK76vm+J&uw}-S9(!qw|!GG1#lgbprVag@*h;H4&?kM zs2`iYZ{lp`<8Dguu+!-oR`V(Jde|Y+&78G)s0Ehm(yT@D|Gt%PS*J6>6m+2tjtBO2 z*L;Bc&Px*zg4qV_OPxsP@R%Azd(My-Q3!(Jc z+4p7}j}jY}!};n2Ziyx@U05Fn$<19|GAGiv4WgzHM-2QLp~iwD6**uPl8L1-x6i9d z?_3#oN5xpTud*zoF4VQ>vUprd=r5aIr375S*kBZEqc;MWpP8i}$V_rV5m9SSt-h7- zcf4a_TPtN$OwLuaz?RCPt7? z2bxSgWU~X+j`ZxD*kw`lb-D=WrJOVwOi3ztwfaJr$WCLC+3CoEfA@>o7TOw?iTgXf zmY-OV$CHM?-KA=yf9-Zvv&7lkSNq*UB>H?mtoa-6_I+OgSA4+utQ_V=OLg&iqc2f@ z;^|t8YxW-tg>XS%bxV>_DM?-;W?#6pr_5r05|V=Weg)N;*8*4clzZdr#cthWnaVtU z6oiBcX)c~h(>NkITc*0gzwbf9oAQqEn&&iRP#gQPa~jI0=F5>NpcSh~*K zDgoW$wS#cSM7eJ9EqCvTByXg4@XK%>jxURAWWcWN^W_pm(h9b`gNN^vzuOHmly2{6 zk+yww@3%S59%@~%Y0lIGN!UNWol|7j>IqAO3KoaDa>L^g30oH4LkeKFWncHx66Az; z*0SRM{;rd~gWe|$`jM$pwa*{v_GvyKyRVlssQB`9TBRl;fMDuMA2Q_t-s<+g`T0KY z*A(tMrx#5kSG!!>>)?mIxf0A`Ya%8?r7Dn@i~X)&QEc&@F}XAN1A{+I<1@=<@$X2& zo~g&aqiNOYLdrvBz3o1I^j$8d1M&55sn!ri{j%3+jGK6RxQl?C3YIgy7fQdcTp!+) zg7I0Ho^fNe0U`;V#e)ruv`kf*znW(1ubn)rGZH|nPci<@%6#L6kYR$lT4rIm)q)%C zyYdx%?Z!o_($eK|uDJ*7Q=G=@x+;_wq{bQQIw_Tg|sX_&G?8$EfR6I{i#v_7a_mCk>RynLst>#}mCGYEDDP?&%;l;{% z4~#}5?!Vom_9g@3A9(Ug8N@)|LAP}Wg95|)N}o8kvV7g$?rGYbNuI);2A2I-}e*j^yh$THv>}P^S7b4^61W z2{J=O9t(=)WW3V{A;B7A-f>$Qz4BtE$OW7lA4B9)_EYuxwdj$;lvoD$ISfX6(pDui z{Dw#@SbSxOP+S;%_f5{)bkaO!L;vT&x=rBKBbd#kq#{}h8@TPLc=V$J}YQrHosIp28cHTN9Ba z?cw8tw2O;~yioind*Au zDp>5gIHwIC4`Z_%Gh{>e#ylHy=P`u@y@29X731vom`Z8G0as{xqd=*@XUC2bxzTna zX4%>9zN`SBL&Ub3nx#Y|0>bUC5c9k1v6MmDmu5qmyyp~hB>X)L#QX$9`s4X}K-BAZ z<UNAQ9Cze%0)K)!F|Tz5!2Gw&#FOz*ySIW5KFgIxU9*Qk#Hj9P!e6{YBS;EH_o z$MP`=@EAyqfThwK;Y+uFa?xe=8Oo|7WuzZ7es43@Vz<`%ncT$)=mbsiLAwKI`Ki&f zHL!-8^jkRQlMB7dU4hR4BJuU6xF?p#+;dhO<7TEzjjF?^|kPh3Q+^2f|*E z(bYLNx(2sNI^HMRz%UV3`iFo4%W9LB)xF+Arrt~Z&pMC|7TC=#73@xz`$?%i#sIv* zCEL)F7_c!0|Fvc}ktv5BRatwAp) z_7HgaN~F%|pvrQT&7q@no~Bx%@|aGxQKo=l9e+%8m^e`KY(W6?#j}nfB$MZ~)M?7| z=tf|xCe7J2BH%G9m=nKSxs{2$k~XtHr>RusrKO2%VX_vFAh_oxA$iQ!)` z5f*q~q7(`xAwMQ4)fzlaYo^CsD#K))a_UnmaPtYAxA>ln+o`$xH(zkhWvUfcR(gU+ z$Y@B(M<#8+OI_ce!+B3#%gvy+`PezS3Eo=52mi2)A^U6e6({Rxnv@RVkfe_I+(4qQ zg+0gf%{B8OlDnD*e*W1x83l5ElvJ{O7MurW9BIka8hygo>B+VBC$lLoxf059d#hA) zRdyd@Oo57Hby{}X*u-5fz9aw944^ys!IdP5RNn^EMCF@-W4iV^csk5Hb<6e=YxZKNZ4TKwn=b@7X{w zZ_QQj0r=e)y7{8D(WCIDs}5^VTaT(!F*KbyCgsjsiGHhgJ2ObBJwmVVSb_lD#jIO9 z%9yup`8Q=E_sSk@pUh;%E48H5`FUc#DWnC8Yc#}xF{&ZmejBi+=HaKq7s%a}NKbD( zWwiz*UJq*9tQ}5~kLl>#@@~E|% zXWIlDD_p&e^QDf|^wI~mRE;SHdxNij4C4xKgbvH%BzyqrJ;rd#@X6C64=IXqO*u`w zz`M6B?v5be-E(EtDFb~>`Zy9IZ+c5Q&C~P`NavO{fJTsu2HHqtDW=IaG zZND}Wv}t_4=T|14H^_`ly(iSv>16m&KV&57=`lzEM?lK;l$RTtkby;YtwH#Q*-ZYN z$6EHZQ;<&&eUxp@b0*6Lbs-D5M1Wx!gr?7GZqV`j{sXIWXTHXF26&lh(qBn zohki!nZ`@6zU~HJ8R=s_nZCSw)o3m|8VhtinXYZa*-8&jqND;d8KOhc)qH^HP^@75 zZhQ@7_>t_4y%j+RvEnK#j~ghD|4Q+}b!&Vu59^}mtWk&ClE-FBaa<7-(}=}hq`WBX z)xU(uJe#U!L(z(o-7cb*NpLIAa}nClnoXxRsGnHG`@s7U&Mqs9==Sx`wBoR`w=dbY zag=yAGjB?8n07ZJmP#K2vo}B-ttQiRKph6MY{bS2%O;7=741c~{0k6&{soA4-mIuG z1H2i{d-EtODb*y+{*f%5WFGr14!7{{I9yS)3*2`azvYR?{&L8dZfM5S`X3Y7*nlNzRgHd0ovOi@FT7`s>0W>!lo6PRNuNRs7G z#>`9JoNasPs{8~MRf?-ht%}NBVk(j^F#L0Q+5WdpDv%C32I}As33(C$cJLNU^B>RnKJOfLCJO+8}dFqIJ$x=a<` z@$NN2L>QT0OSZ$gyQ>3BzIWhVv5})9`WK^Cd7rn?`)T{YNDY=Z3wCG!4a77j^6S1O zqi)b1>K@CAVO%-GSlh@3nMcQpi`GnXS*@y8l7A1h4rF0BN}JFWV}f<7!v^^C=#yIMq4T+ZBV@j zPvM~|u(Tz{vV&x-^WJ};FM?A!k0z$B7CmPG4g?1u>&9gF0~KmEBWlh|UtlAWX%?M6 zIQC-A_a=MKpz*Han#vOv7OT=ysn~b)DK=*%+KvO-1o@3zzVzESR1YPjl~yW$<+1(9wm}sot5X9OoaS$@w@5a$W8Pg zW$$_XKN&90TNkA2<%;{pOXgI`d(mLw?BZkDVZ}w%8Umk5&l7COs{8dbDz`j=$qqjc zjx#2<+@nmVhm|=dRBV<_A4r4bGa`*RgA1sUf9!VKLk1xSHYWmWMF1BO`QaM2s)dY$ zZkhi8KorY##)2^7NTPK*u4aZOafOcn6(>+L6QgtFVsjW!V#|I+SfuAs8iZ)~q z2qJI1Sjkh8Wx?MK5mXF|bPOMtyVs$fGHb8c<;*B+!&|nJT)AIU^^Fm|(0;~?d2kRP zNL;dL*3~uh#B;67WQ`Me?!_+1-vE8}Q$&yP1_179T(kaBfy5vx{-UVp+|pcG zAXIVn#7R;^S%c|OKARJKqq+_W8cK{G@-~EeI*ec5Kf+Js5RwjD{*<0S1zS`oE3BG` z=K5=y7u#HWQrwJ+P;h{?*2=`0HUn-gDIC~L$Mmp!>l+c!0nUH zKQZ`C?Q<~OtHLMSU7!OfDyChr(JRk70;tJD}p2PT*8pTaV>RhZH(#FayWzyvtLm4diM1Q_X)t7gkGQDoE z;MQ5$c2S#RBmMH6>f?LfapZy(8bDE&()akoxOPp@xquHB;rQ$)cahFRS7eJ_ZmbKM(`uS!Ic;B`R-(oaxQU=_46(CO5AWb4PWl6`m^EdLn1FtT=aWrud9-Z)vtkWcwa!=-EW7fs zb*XtnajND)n3`;BqBe&6Y0A&N2t0ERHf3!1WBY86M(`-QVqdE?%j zcOkG&bSh8Qb$L#mz2Z)F{fts#RBXQ=nN)t`rKsQ&oX*R=CMWtC0sbBBWUiuY!wsGZMT3u76^gJe<)@97mC7xtXIRiy z{64d-J7dMLLvvHi(DYIJu$T`;$mSNZA?sYzgb~)#$RPyJ{dv0cQI73qy@H3Doy{7+ zdisl1AN5+8+S+ugi*4g#p@3Z)?}+A9(|8NnufQBn6G$N*kQFVS&e&^^QRuzwK>h)|Hck-{uetaN5l%tC}wWuWb8l$WE8W~cQO_+HncS|)`n%2F}5*v zG9zMP=K{bo3jXI2o3GnMj3UO)=7z>f;zIw;DP|$1xaUkT&0xF7Gcfty zF%aQ@Q^YcX!WsqZgO{}mEfx?#K>-(y$@T@$%aJG#H6W%c)m)fc=Fj7jsj zq*L&sM}UkG@YB6@80IZv10G!Bt<(7ESiUIWQ+}pgr4tNnShxScN{(B)|CvN?6g@xu zoRy?-kSrWqEG(5#N}=Du00i7C$nwHmRvbSwA^`U9WGF9>STR3_x_Si1kQ(%h_kYL! zEms(78==7}nKF)X4Yapn2}wl+3ny!Y<1BYYNe@lwM?!Q$xpn}v%Wr1nJb=TrtNpek z+>)Pfa@%5m4bjRV9c^P_J(2C_Q`Z6ua#jLOLdHALl&qD4R&d03p z@2{11VNbD>QLlK1s8}+lFwfW#mOGX(|9rv7z%hm)F|xs({D_$#)w(e`Apq47PkwsH zhzk&yq0l3~NGyU3OYpD)C`;cT{G}8iPXtNLz$pcwIT09q$F{heVJ>{3^}a<09O^-} zgWn>5(Y3N2?g+1(W-` zwr`~n#55WQ3>~?q=P>0>mS8~k|l>yN`=U3mK zxILi>{IdG$eh7sAKu{EhM}gY$e%l2`c=9wa4fEr5|nqbRO;UQ94YKSyN&bq^yeMw^51kHd`dj>ZX(8%^7nK^&01 z`Y-vP%fHaLfcP(67& z;d~(ZL0Oa%Xih(z1NDR;^jUNK{|PmVxJtN+lA+FrE%bwtBsYg?2=d6a3*nJ>l8(gO zNiyV1#KqLdI|VvrIz``w%TQRxZ5Y2U6%BRF8|MGQ$c@XE!ToB+w_gkCKmaVhGRYxGSO+i_X~=zt3T7VlNxl z=G1D|s@9qsiJSe9O+OHo&Q1I$_b=G&GMS3eUaMEL)=+XRu7}*wA>0AvfY&0_qSo@u z;d1}@#^xsMrfvV%9wBu$`W*%YItvCO&2Oa?wS1Ztnp$)?40);zb^pY>M2N%%YJ9a5 z)9?(!sS#?&ORlQF0wi8n)A_t?YLB34g zQVuBoOU5JJIpiT9lmSYX1Ig7*3TxL^2H2$8{JpT|jU*6eRJ`=vkoD5o6yn$ElKKn= zx6(83X6Mvnsz&dabEmd7U!Tzzj?0PH57w{SE=HLc9-^8m?mG&qDYOr~w%OI$m8Za@ zkfgY$K$0<*QBOHYbx!@TD6}19DPw7~mg8^%Y?^JDe>kM}uuRqlj9q9c(0S4~YB~Wu z>+5UxfQ=e*n&w)fKvf_DYcaf!81rs8`^w6)d)~eIsS8IE2Shp=N7oY03dIV?vK+&r z=A@=hm*@BwblP0q5?~*?tA%B$5=e-oqA!;`RbPHP0Xybb-<{`T<1d$V{gfBVS? zhPFkhfN{n4kBtdX4G2X=$CyLEqgdiGJ`1p%e5Y+dbAo0q^GMH88;Ud&W>A+5|3V}YWA7mXZyAd(w93WOM8 z6aLYCXSl#@YS?a2YG|UtQ0`TJXeI89?GbJ`M7=N5zcP?CC^xt~a2}Q(?h?uvopsP^ zN87@P#)D>odVuZzt?=|*@4&qYN-6B zPVeG)apYcf-+-Hqz>M1pu&^56(X;Gn8x}nJH;_Ib%mA%`OgtMQPoAwye9CESXKG(QtzL-cjh&RdkR?k=8 z`Di(~7=-|fAc{a3eFcidL*QANHJe{r%AZr2fd{~)7lA|x+Mc6M0e|U(=^HBQ7jk#E zny;SfZ1cMCxwpM?U&(fj#$2>ERhtx7U{`Y<&K^=hPoUVzt4Tj)TDdMI1@4KJg zSXZqsUY57BGNH3Lxo|qT?lHVx>~-XOFkYIjW%*Pe6Tj$hla`3@M<)_2z860lo`&uZ zrz$Q^cTx(<6GYYwW_~7o%!XXQ73~%6qm`mX#hb(z6|EK7@B!bg-rP=ZpO5{s3VbTP zb6$7abn$$S(>2*yKjJm7;IuETL3qB9m>3e`%#~|DNO#-i>uQXJ?v-f__ z1L(7m@Mw3!Ha<5W_vV=P>Z|mxt%=9L+t>f8MOpv56lH^DRB^NWl9=)a7RpZ6|52t6 zj!s_+l>PrCQUUD$wdt+v%DH-v+^PU_$CUbbg$l;xu0_5bK2-&7oFEiv|NwGG<9M?XXd>dWIoCD-P%G;yGH#p zsGMx^lrchK%))p6a6Zl@ z_zP?1s_WjIUdQp3O=;|dXw~i>vM$61D*DASr~#l0%;kbExnNH87Bt(8$rvfe>Nk+! zke)#`b3Su_Z^FRVCyBra(+$n=e(;gLJ}8I_)8vCS+B?G}JmC7_MSX$SnG_A`(0F!( z(YdrEVE?50Z384kz`$suKMt?k7?c!6)=+D3iU&Mg;vQPyYMiY3vr*5`*`@4_b0GS` z`R-Oc_JzB`_s0TeW>1NHv4s2uhp#j-Atm*%YCH;L~P6TDeg}Crf`4#BQ1mQ6& z9GrHn;SwP`@y+zz<3iUq4<7RRW6V!N>Rfw8wOLiZh_*cL#JnTc?XN?BD$s@66s>*q zXG6O|Vc%<+vPzf%RzlhN%<>+(`KwfGk+mcP6lLDDzD`JsC+}duu{@=1QS|?eFLti~ z5@6~?KxQIVBIYk^Cn-V%`Qmv9ODaj>xgghWI|*@cBfS=hLQ1XObP=sQ?D67lfBG78&T{js)j zB>I{G6da6=%nhAv9f+7&{$oAAPDdvPV|{B_*A+tpF#k^(8F={dNSRM;ELW@)ER7W; z7AEG85;mx>N^DaDBR!2DO1<6P62dq9ke3B60vwm{*|=EE#@&04$Rj!66v+V+ljz7J z_xMLb!40Xs0@^k3wti;=@FW5c_ALN`#(h%7!p}%VMqO6s4}KyBD5FK%c8FSB!cfR% z`DI;*1%R1Kj8P)Y=(OYglGfrui#7nNQ@@4bl?DI%a$ z0l`8OQB;bGAl||EobNlo``5j9AlYs9+OwWn>sf0iv;V&S`wn8z*3i;`U@$nO0sf%B z?pi}>2tEqKaA1fsxc~(LWk~%9XuOKO@ASs7EE2nT)MnPKc=&3NoKgma5 zu>abx0utchiAPU+2R_IC>*+u^bo_tpGyjiP!QlUqvkL0K|7*WT92|gx`uF$ue_{Y0 zcJ#!*^N=cpI)0q$I1)ugMMX`GLepaCXlZC@*_ck!W4PFPxVhLlIk5r~LRdaAeoju@ zd10}$lIPBy;}McqmXlJFkUl4MBm_oHO-)Nf%SuPbD#gpmEA@X}{yu^jQBV~OD9Z=I z8DYm5VSoD|9?(uC>|gnhD&QD?41qjOg`!5&fC_C4M@SumBaR`F2n4tq4z5E8MkEuj zq{{J=1~ycDJ{YMRX~ighLepbr(yMO*(zd=))M%DdtZeLpr-g9BBIjge&&$axsH&-J zXcD!wuNWE`Uo|l`v$J<_baHla_45x13PoDNY>mL{#dOkieIW;}=dUo#pho$9})wPf7pT2Kx@9h5g`D^d@ zkzPl7{&)G0X8)UBj6g3q0&xsMb)**z9(1HQBLc}Qd7MebfXc?_B%jm`6o!yi-1L~5 zUz+rd+1B?JnnmE;d%^EVs{PaK|4gx{|0m78;@Z#jtqVlY(EyQl&~- zsZu>-vyKlQ@8{Ho+@A*zRA82WRrtm;yL&Vqm-n;S%F+>KueYjbigdO3Ewo~0cIj)d z15<%P5F8oobRZtq^cRwlefx8^ru`zDXYIk8_4axJ(*41w7?r8jp9SPSkK#{r{Y@7N zeQ13I+&TSv!ZS-{tB)acc@tzN9~O88po-wMYw9oaCEgfcEt7h zBvT#B=uBC+lCC(o+%;jF#@o`~m$E8rnj-YQD)&R(`}}ei_O6N^ zzt_k=@8jNvy$^F|+lasLHn=*eH`Z2jfzysFQsMhw=$E-dikqU>(8K=RzYxtegRz5< zxkJ4}8J3#2-$lNE4u=bBOn5ANWowdj?35KhG`E`1Zhr2t$O@rp-aK|JN^_@y*DFb( z#sm4%FL(Xjp^V#;izg*cPh*=pZ;va6@UU?-Q!5obSnWQU;lkDY;aT~4b%|z+W&?7S7U~gbR;8G^Ph}&6_HQW zDTRBn^O0{KtKSVX36jCpKKw=WZvYPlr$9}1AmnaR$DcnK)0DZVT~%7+EgHRLKPJT= ze*IX+zIr!u<#7J+u#U4=6-*n%U2JaSL}YI zZMrzdmvleKdqcDD{ui1t`U|mla3=6kX&7I5c{A0;#MLKE>gIzfA(`zTWkKRSZ142P zFY~iFax_YXMi_0()z{l4O-pB_JimY1k`U~WWpIPA{KbJ*dSk>%xT1ua=vuE+`NqaN zOEY`CeAQq=o}Z8Ms+z;*hz<05{W@cQI5@55S!vt# zL{YN;)rQHWSJvxp18oh5>FiTFZd0l}clqhp!zsf#%Uv7V`CqCi9WPUcD>PZw=EAA}2!E((dEAXiB-b4zImbEV3+aT_ zF`lu%$v^6x@UGw{znqe!9ZPQ0D2|8=o1u44UEb`XP#fa?Zd z+{M@yk+feYBGEDZ3iosBYXylPSla7tq9=Zl<%j-=VwNjBqg(SdN8W3um|vE*VUkx2 z{j<UPIjAj;Gxb7d(lwb0wg3fKYlR$FEeJ#*##ebJ5uB{^&6NCvG2MW)`#77MMU zE#iyUyQkwluQ>~O-X!>FjEiWCl4#5v@0>2JG9l!}Q37lxN>+Qq>7Ov{(O);D_9)Nd zqP~dJmo1Fg9Wq-Sp{U!0do`6M2Z?an`yVSD?S*@t5__q`Tug4gL&LCVzM53>W@J;< zJ_1t~z*{3%5V>~oXOc;K)anXtJfZ)@`5q+O&QNv0%rA4J#+IZ@-zd#|n7L;vYy!)k zkACcaXpiq{aiY;_8oBTH)IU}Cl0)oT<>O6d*PUs}C)tTI$jDYgi}jg>p+{H7%xW6m zYWjt-&+*YE_laG<(G`bQ_AzXuaU1k1V#J;BNbA?doMB!5L$z@w+}({`tL`!kUW zu4TOa^LA#j@SOG!(WXWwDcGsfg1mtBuZJ%W)+J9}>!@1d=lTm>^Vy3Z-j^t^W))NQ zR2Zz7c>6lyK^w>EV%Ghp>otWBDk_!^$M!}foMW0SlcH=!j~DY?+T~f=Ie4anp%II( ziHPxoh}b0|XcsW$SX+DXw!@VbRym)SPHzi)4*f_^x})=&2JMiSqLeFDnL@r4dTr;p z8qnMJaD--+_2*ai-nna^##(O3O|+Fes5U**#)0zl4l04*rS*o1c5-XmRRJ|q*O)Ik zxbx8qUqdi%y^~e=Ib!zxL__(aOZE_5Uf@@zR7;=jq|Q0Xp`qPUo%4P&h_WV6J;o>3 z#Y-=g9n)i#3eV^BP3&}+w0m3f&aiv=Yqgu%rSuCQPq(YGC*E9H{&MB(>zoyU=81ea z2iqUspBR|w*MuX0dTlVMbE%beI0 zKY7Usaj;JRyz=G~k8J_QBss9Th$H+lPvPm_iYFC8w`;Z5enw8tDEV*jnaidg97v*I zeBG8b%WcjWWV{k;k`|J$vy*9xFIkfC;vd^(OXqNLNQ)||5=?RZKKmdf?>2$@@K=M; zcP;Ao^B+R@)FJ|wvt>^yWR1_wK5@9WWkhw|*DZ%#w57SEN3DkDLEsm;9iJ;M!))F3 z0t9uvyHUgs9lMb!9Mez@?;VpsOIt(=zOiO%`L^{bMXL~|-tQ~@80Kd%vdDu;f5FB#hUeV7$! zPDkR9_7hOIxr(-*eUkC|`*bbJPC-p@;tc1Hlz8*s??C~keD!> znAW4wP`lmg3@I&f(}E8AP6iC;Z{xC+*0=zH%ZfbW@lHZ)oIKMlbA7e(54mfvOUO?H&uPM`ZoY2P%}%zG`wR>RV+@!`)GwM2CP*N4HP zTTwm(mV7yJpCwRi_A~OAULB$bqC+fD_zB{p+2bT&T>(6t*_e%ezwW_xnNJe3k`-Y zZOm|Y@=wT?%+i@!JmbTMI3BDI_j(7lN3?|)p#8>ns>h2}lNXZCIaY+D3N(Y>^fhg2 zRe4yOI{t+W?JdGzK8`etjV~C!==><<#o+owuMJgkY6QOT1vb#5*0&yd$Fnl?t^Upv z-#@veww!aVbgk)WYkuCLc~>Pd4y74qsW{AQb2atWL8Xkx(Yj6c6`$2jqJ`PH-YokD z0^2&n?_5wyH@VeUFU~liZ_k7mhJXJWbVX86RB2jvMj@{4ojzWFvNzx>DNNS9c zV6go9fVh`wdHuN^jQX3kr0!+*V3%LOrM0-^doz63QspRSNQ8&K_T}kbry1$s_$b z%6%4V=S_#aPHH>gmA0UhXL!!&S9ClG7nYgmraON!q_F#9e_hAw`lF@qrP<=XJ11Pu zgf5ADBz|1E;zN?&_BhOp8QNZfnBoKJ-t7CCDXe+;y6nDI9M~toXv7K2coJOsUe)`toCVDO| z&k(eKp3N+EW6gCXve|aUYa*)UY^g}F3e>gzq`fQmV~z>3()(QPDwI-B7=9K0KrSZR zAyt+mtw8a&z0tlXM=$ntgW$7_TCbHoA8nfD#5L}MUAp=duBjJ|0?SJHbW=PP6{nx^ z#m4QdZ3~Z${nAgGJLRPw@qWy^&a2}MAKn=2+%sxls$?DTsSSiZ*}=zbO< zwNxKIR%YZXZtE4Oz{2B_;Qjn78`VRZs*1${uI@PZcbOTTO{|X&L{BqzuVUo|AF=+P z&dJ{bOz|#$ZhRMq0mjq(XtR?1wq$ zW#{?9D0_lYR;>6o1beqzrGBg9)1fw*q}mf2z0|?~y(({sC#09=mf)E{(JNB(25}|o zY4JC=ZVN#^Z^vu36zy;!Bv!k`f}6jm>r!Gi#SVtYnyFvueSIm*{PLTM+~An5vTNHL zU)2zqK9(^r$IVaas9~J^&_F#Er&E0Al&;dNNkLtMJ7TTU_h(P1m1Sn|4`s`J(lb-t zmY^@HxzoX@#V69=bI00=G4?UPGRFTev|+@xAo;O%uc2xLH)kw5v?X~>RSQ~>kiGpw z2$Rk^7}46k(QSP;=Fj$xORum=Dol{G!Tj4m7XPC2i<4tMrWogM{&-0A7pW90}bh!M~@xo7Kz&`$6UA-Ti+?+!Ss(tL_ z|3c*+fHeA>!dcPHrH zu6I2&{`raitPA|IbdE;E7IsNfwJxl$_wfq_lj^l^BHr`SaG1ZleM~Us(q{8o+Z zlZ zR;XyTths$ZU?i){GVx1W!dbSp^??lo%h@M(NhNnTNzWdyC3|Z~&evUS%lWYABYwY( zOJy&U8`nEv9}X)w9V&PEG2J-Q;rdQ0878pCcG$LZL7*i?t+Qa-g_7=rO_w)~lSnFf z@IJV;6u(p&Vt1Q zQdF+jy^qZDVvZIr=cVRz+dA8DvDDY3*W|tQ26pOba^JRJ_N?(#F=3Q_QtFJgQw}X$ zBbjSDDK|DJQA}50%s-dmD5a#LrH0U?+ReFNuV*FGtxlGY2Ob}@D(D(>J^67kCt;#E zaQfM$>}QjW`84hh*y0nXST|h<;ym7Ww6~gknLh32{WW%hTCrzyW1{jygYKQc)OD}$ z%(#-QQa%SPBNil_Zvs|pe${2wwf@jN!TwzKjbPBI-hTMS6Czm+#V4cmUzP^AI5v)n zY9R7b-(IxfdD^g59c27U%=etZ!v&aRPZVm*;G@g-rzX9V{f0=-MSTIEjh=>HwVCfH z(=XnSoQe##+n;ieQYIj7bMmZ;^0OP>Xk%0-D+{o!L()n`c0 zRk5k60hrnoj88cO-p$zA)mXe~V#$eEQHBI|jtT4zR0$=!#e0=`sQA#;?HF&FhrH)9 zSV=9j-6d` zwZ(kPU-$07mF&Oisf?%uAgZb@G}2oi=QY71eqNvA=-Iz3VPub}pXiolr9};F6|1ZJ z4)`bLn;KS)i1*}-(Pmu!5%T$6bRIQBbEW{T&$d4p*|4XHdx_J{n_c!yebz2^8-+XVQVRE0Qyf<~ zwAlMyPkeWb{&QGW{#s*v43XeX6y_*p=1qFLq@4A!P5s2ZNF14}Y#}tM~o*CMQ!$ zp*{B(`o^s2gQDE&a4S8#(`%ea{MYOBljC)%A-+3UKparC#_wC)~^YqFgB*~Mz}7lM6x z`>k%eJI&3K(>reJ$BjZ;X_7{51(~W{RPWOL___DP&lu%cr9{&v{$x{K$lR!jepq1R zR*{`G`tIWiJeMvNR}%HYT%SyEg)im&Ny4FRBQ1<9e z{K^+@wIz#Bs5kX-L>RDs40CF*3Z3TTlIIub9klMd-c)mJ{XliT%_uE5p*PKpqb8Ou zQJ0}POU~fsZk5oa#AZpdV%(x(yd?YaHP>Xa;ZL8vlSuV<)r|{@?-G*>`V6U7lWrIx zetuD}bzJ@7V0L9Z3Z0Hx%`)tI#QEW#D&4vGw9G{Aq6t)yOKSJ`oL+BehX4I*N2O-} zj~BBHQ<)SD7rotfd$#UrOEv00Jq8jJ*X%Z##H_aS5(~@IXCS2uDxUP0xDUAV6{lha znhLFMv?*3DB?}rl&Be3YudNu|_(i{FG83BdW*`ztZOT@>5)K6nQ!VNXj|aDx&p3q@c>t%l{NMql7oz1 z-@82YQN>3-A`kY`NPwflJJhR2s!@J_p*yFN{wtW%3XSfnWx2JF`3wDC@6k`bN8t(M z?xehdHb#&M9yj>^LOp-fEtC2rDUUPLpAoXg@QM*K+Vl4~{yhC7Vruug>BWm;9ZbJ( z@Z*E7D%-Z%bGji84zzlNLXMN}J-k_T`#;bmkhr^ZXGZD#?0>Y-V1E*R(>UT_DNHbe*D?vqI#P7Wm3ib z5FDyE-xoO>x%A<4ik{qzR*|H7n6*@OPY1Wj_J;XWKY5Gy{Hu0D{R)9KX!+{Yp0=^< z*ysc#GM8t(Vff^{#SkXI#TG3zZJgxb~yck{b=c=%wio?^PK+XXR5 z?%g!A!i~4SrT-PdackmTj(NGsWF!Bu0E>WK!zI5Bt9+Tw(s++;MNaqgj3xvLTgw?b zp*wL@L#oxS;D?C~S+s1k9KCe28GvA06Db?NrG)#kfF35S0npQtnhB66w2foqK6kFFb>622rkW?4;Zu+uZE`e8u!Ged;^Ln`B({ zrRM0_`>DY~TveVN*-U4-{Ep*4+kXn3uDGqQUS&2qExQpzayYp%kBB_+6-0B~NwQ61 zdLQ{(a_8e8b&<6FHC1NPreD?`y?||`O8++Qza!NYY(#`Sm#1I$?w&U1)~QJmnKqB+H9hXv z%Cjn(?1lMcaL~A*R+E=EkRGXG*Zp6*3zY z6ao2}cF)9|e)Wa>hYR-XUM@4U#-<8?9=&#Dw{KSZ$+h?%DZ!~TXViqlBAnu75u(Qi zlsoG@%%9EO?y9KETJ||dR7oVm2#See_I?|t>iw_VS+6Xw7zzt)&t&|$kkw)zCvh`$ zRnajEg<7+|&ZE+K@|W=M`1Sbivl(tz_{MiXXSJ)JYzdI)s;ubEF=d?MkIN8X?N?Yj zxM;zRXoxq}B;N($~*Nq23ubUr(=d3$5*cetan(u9Xf&xKREGP4(t z(=e}%*V9QIu6t}Mm1le*g&4^5ui5lp%<}jBbZ7h5g-@??&+_$KUc!5D^uOVB>QyQK zl}y8RQK#e4X_``j`4ZzN>GaR_RCj6&)U+W)jjC5uy?*@ zKPUU7QZ=-Bbi|4YjC+1zf>J~WBbTiQ}$4HFtG z2QgdZF{YHA5H4Y({?7a)HDV?V{JK^6y@oyD&uXb?UF(d%V~*#hxiHO$Kij`n?}gOO z>iMT|45o(&D(zf}o#)RY1ixeO4*PDV5Ybg?F8FNJSYARvs#Tl9iRHMOEH$zn8d$Zg z+Ef_HJe$2aZ4}fw&))QgLYrkxJyvwEk=NPUz3l*Jd7f&Xd~e+>FtkMj2@aeUr(>11AkJoa8mR$zA?V?oxY zLO_pYaF9yeP3zY`^?p15iP@9+V3s#@p;)X*c3E!DC;6=CtVaM&TuHpdJ?G3h(=Q^; z?{2PML;x zD^uW+G8KPdotHnK!WqFlB$Ko%{ll~i3`iY2D!z$wX8c;{H5j=Ma4A-68cQn0-6>cp#hi|9-Nhu5j4%DvU) zwd~4PA6aOh7!RK~$cae8UUq!Zd`JB*SLwrZ`>!zF4@y4Ys=gCok(QGCaP9Kv)Ad)b zbW=s#qBZh!`CBo~= zz`$=6K4RXIEL?W}KUvH`U?V& z%~;*WaoIsWm1zR{g11K{S>>2>6)e?qd8+Wa|4awN`Zj4KUE_F?0Y=lV{UwUQj{u> zU{16T7&|wVz?Uq4+K1DirR!t0ljSYF&mz9)B~mDq=syZzess zHh1N$g~oHnr~N!Z{PG+9Vg5h+!(KLorKd-~YWpA%Fzp1p72HbF@Jq8e5WZvFui=fa zDK*H|@9NB6XU;SKg1pN5m|5MTl9TgTL91q<)yFmp|Ah|c%6XbgMUr1ew1PM^t6gjO zZ@XX6YZ#R;|5$&#%~qQQ?jYdQAR|%A_n@xYgTt3|X<`+lXLBYtLANRD)A*|C&yqgM zUi>3+7`)dxMYkg?AgyClF?iuK^_Si1)VKF#)90(zNLIDO8NaUFu94D6+w)<#+kbWZ z?%<|aUyyT@yfNQ<8o5VGyHv~(iVp1^y>Z%|THfbV6^-4y6UUT%Q%jxH+2$hA4_y>3 zTz>jK7#YC|$ojktnMDuPD;mTPTX*d}3$6uDZwZTw^|SZle$U9hzMNPAeZH+EzV8G7hUEr4MD+ z7X>bToVloS@XVXe#Rajbw&;`bE1w9{o9MMe78~*0S9hr#b45R?JRW47^!h@nGTr&H zxfh3K_gFcb1a?`K|ExQ;yXoBH_0;BcnpsRoUEjZzAmFJSeO1gZ#3b2CRIMRZPo|`Q z=x#?|m#vnfc?I}EEzn?=SS%n$jlG#z#mSgTycq3eYVmPND|>6>a@_Rm+S4*`xHTT_ zwdBEmR{5Q-H%rj%=Vgz0^kmsS9rgMt_a7HVcZZ?#0@n5ib(Xy0)czlL?O%P!dDE%t zX)p3$r*9-0Mz4+S7 znU@&qf7n{`eEmc8L4g2|1ih7y!LRsH8ZOVUurL?#C46VR*0HoKs>*k}BPNYeEb&qv z4M83}y$L>#*9sH^4>okIVw7g2dl+|mo}HjoUFm<{$s`rLBxAOw-J2+};mb^R5V^I? zoqsV)k2ShJsVn>E5Smp(Wy~&*zAsr>L?^4G{hsc|?%QKoa&m-0T;2=;!E*loY#!aGIgzi_v%3hzBCILUtpSt8!~jcQS7|NidJ>S6Cf z2e{kNurNn_HkLEbdZ`@s$JO40i*`66iHIkKI5Eo28Qfjs!t*tl2N!&rLq;<&l%ijR z@Th*#7f}^Rl&H^7U9v$GNWXoHdF9~dBXeJNy#2=;djWg;OQAV2_Vpu}+&~TgQO6vu z6TY8Kme=b1zc0RVrFM6}H9brdv3vg&d*_ox&!qzTYi6U9J5CdVNnCeh*q)xA<~%m| zn<0{Yy#0p6P9;gg3ahHo>zD4AQp~x3CH~4JDdT42{KkIa7q zdrJS|2Gy0sNS=Mw?eeTi@6zXYI16{fW31kOZd2p_PXB@j{6?Cx{$;nq`6v6OUhb62 z?AU|ctSq~EEUS<;>(j{g!UC7N5-W>K4z#%yj|7_YXJ57aI12p4^ziXU z$NbyfgQyu3Dk=o|V$k8&j)xn2r%T_64V}VwS$8sSC)!tpQ-c0N?vZIm_5QPB?_C^? z<~m1TQnphfeMmxccaN((4M7nb4SHZ@gBH8Vhcjni=W+E*+)M$-7B!uwS#2B!6&AT6 z@D^J8Xx8Ope_USW<_2pqq=+5#Uy%PPI$iH371I5sX=}K7faAi{(1l;WVP+i)N$I~r z6@8$SH8IlPlj+5Kssw!EwS^Zy>wa8axymvWsz#94ntu~Ty~JEoJZL>xSCl?IxJ)Uq zmiPO$mfR~sqew2`$zCZo9p^}Idd3%Z)B8%s@56v9rS-oM>PKPSy!kV)i+R(lf{BQC zOvJCF;bDee#cj3qVVCdT9)GFQcGo^Vn61uIVV$)VSBrr^6}phMw6RpDPi)lnm{xu4 z5Sw*vCTAz*_?Ys-t(Jvb+taFS!V{dvJ0HFl-}JFC0EC#dw(6>aUgkw)+09`sDd;j8_N89o(X$w_svD>un!^&axn1yZ%a z%P6D-OWiQt`;)Z{xo#*s!y%%>t?nJ=Ufr;1vtGoq4&s=6rtSB`1Hf;jtWbY1H*x&4z-_vz#Dyh1Z zVz=s>QcnCScvB~v#O@p8!`6>lPYiQPc~Uyry0_Av7MH!LAA$eQ%wMm^y2iS(zEl6O z?ToAZv(HMSQsJ@(gz=|=TbG7@(mj}n@>LNC0CSV3TaW8rr1Pst3|-iY1wZ+G^l$Z} zf4x^=GAB{`(sbl6be-Kjl)ExLhNUIy;%k{trFBXMVVme&bLo+?iH4jlsp&g(9vtM- z=L5rqDwbt0as(HXt#kD{rJDU>9q4fNWvo-hwuHJ4@ zrS1zwf}G71O~gL;({D1LxY2F*JH{RMJ$fFCzA(3wI4c?|5mj5*V<{q{$Qhr%oEygT zclJN)wCQPR>1fgPbhLDg4D?K=PP3h2WPk{5ZoTQ?{c}ZCrWpxuBWfen$jO=9> zT|;v#J9|4NZFhfnYd;ekyKDc}X)`b~p2D0GXJZq;c2V}?wg2n#_X$|1O^v79J_Z9z zl>d93Hal3m{;&M^D((MXrw!IRv;OZ_{&Vf`f2|f~IK}`@{N8~OI0O#tLGb7sP&JW4 zK>}GcBnAqO7Y}w(`oa(zk5)0pU_pHngw`&>;))9l|gaF%*#@h*t%iM?ws(WGEV5Of*5Kx%Pqe|5$B& z5e2OF$3ns|A`A~tgAqyacybdO!Xh!T5Kb84CBlf{0T3Y-PKJ2#5FA6sFhFP&2}1Iv zV#uIj`Y3$}Vkm-+?!iJ7;r}248^J@-5Ca8?#bI%93WTgeuJX&M<%r5uQ$l5kWl?XuLiiT!D_Xl&?JM zKRkqkNC;sFN5eD;$ABjP0|N%?z|ar{$!m;d)Xk>mqmMtj6X*+b#e*7tpm!lW=w=AZjE^HcxfP8-tKiXu)c=5B zcGLj?69^b9B!Ypk00{$tCLDt@0h?$PT0|WIH3BGafHuHUk7-$uB7I_nTQKJ|0PVL)S|v1A;CW`bCv;Kep7Fg!0Fi{v9~H$kAA z$-vZvktUD|=ynXbC>jA3W!bW%K~O6kLDENJ@%k{B3mo)2?#O82sYTJCOJG0<1TZ*y zhvh#700&MIF*kF_C}8R^aGe1YMW)275Yol*`ouOE5y=aYNEm=U#Bke97_E-2A!cH3 z;Y5;7qewXHZ8}WROIc?e10_MfS;tk&fsd@O&5QvasVkV$j$^3gUUVsa zzvoZNHnkObT@1VjonR|S!c)v3W*T7~^OmwuH0(s6Jwput=>4U88i2>{Whx{>XC~fT4m8hJf@UhJR=wn5|V$ zUy`tm$``F7fy*fGdPc$7%ka!-MrSoW(xaw7e>G{zhn?_UlaPF3&K&68h=by(iNMGJ(rA1MMWn25 zc1CxVOm*%V%KI9(7quT0E~*$ctDB{3mYE8##p6tF$>@V#k4CFtGUK%=Vgxc0m<|Ln z+YlhW8^kPY*b#Z&I8wir0mFD?i~s~Y@cJVk{pYH{LjlnOZbgADTKI_M0GLJKAR5Vg zbPbfF%BvWZJ{;1=iaj}Z<_nq0yDwR8D%RQ_FtNTNuVpG|$bhRv zT$8Y(b<6BDwR-(?$Q{#GcfR(+h*+|dykG8GqE6+jm*eZ08-SdUyebGBOa()Rn(KE9 z+CJ7nCuFtplMk*u&3$5#b0IxY$CX9YzX?yIV4~3|hGKYpG%pbWF%wDZ6ci~HXvQEc zjD|rttQj7{3j@}=p#ldsj{8ry|D$jK3&d;Bu&U7>+Cn zU_?3MY>0Cb0$hMb0XGHa2*LqW1P3C?L#q~!Tcd4hB6C&Ky}3^+rSKyCmo)Q(S2xb9 z>#&T&$s%|RFVP4E_zx+bQ=c6wTEzSOg+AmUHyn4pbGpS^Of19v}XI4Su`6iJNnF1V#*G2`V-^h&6Kl1t`cR?}$ zXNxmb6X!!WVi-jp0U(dKu;_-!BM<~oNRm1Tt<*%8Vp|MA0{}|_aRtI85E=CGm`rd& zA8;oLun_Vnq#qd*a5f5b!WC2nr~>Oa3MwEH0yKdg!-hgMU!`LbEkT%<@LD9X2*`jLq9C}rtCwzf{>U-vVSV*ul6`#-E^P!8&9!qT=4-zbFGp=>-mo#vYN>QFsE7fglX%ABLCbEL-Dj zs-ZR}HRvd~$&aV^a52zvu6%Rc9$Du&r_VG;Mhap9Ng3X*2Rlm$S{=Q*$NeS3Oq)wNgWD*=yXN?k_rQtD| zCoj%SWE8s@rRI*mE)v1u`Qp_;@CCXtqZw*5n~)?-YBh{6zOhIE1Q$Vwj4X$9Ac^hj0P|P!~|toLxLvJ%rtNi2aZUe0Z=;dvLht1xPK5Gxg^LgkG_k@ z;OkfpnE)J>K*sA6qK+621OgDI5lAZO#QQ5N3DyQI-Nw6lF2tbv%Hz6h4=mp3Ov~n& znMFrKY8a9c8Daq85+|NpH)F2w^6}`9HSY2A^W0)?@@19gr&~Af?^S2+n68VbVuYc+ z*_nibdQ$O*@-vi?+S+)~w7<#T_LYKet+fu(Y2ES>mY8s-wbqgHQWtgo!0GGl-$!db zMcf&tT*ovj#&SkIuTt{G8hPEyE5a0vlQ{Lb3U1=Jb?$c!ucat=wyX~j+uX&@SGl)h zwTp->045}sn%IiUj2C7w!s6nLd7ViFGKCS16lgUu6|97i`q63_BqM}_r_q2=jRVOh z9xxT$hyhrffqo+vM^Q26byWw>58SdO4dfMV*ne>m@H;S=B9M&(0FST)-33ly$VV&( zOcA6{*ngf3nMJ`=;OVKtw44sW66S;X0p@7MPxt(x7-c(uV@|0of7 zytv_le@mNxrRwcwh}BB6^Sj-Zb8R%2P2YxmXK4);+cm;N(DgSPLrG`Xbi!jEo;8DW zhOSN2e%2hzxjR#F@|o*my8F6Wfw$?YaIUsUgd3tUn zRgv$OcwkFezG$(oP<*efa}2GqyV5|u&oy1$CTGHQn|M5wrIrBlY|4L3%K*}DLYgo{ z7u(>W=q&4KV2N0exK$xYFhVMd!i*;a^COoOTLZ-r08IoY9)cGGhc5y)$*UsLhr3o*a>yx6R_l8D?z2_z zJJF6~WnB1ZQL~(8lCUt#U=jMrtq?V6tacN%84Mk3Mz|%W4RT?Sqi5oxX&7L5zEl_< zp^rxrvZeHeS)wq!wj^Pk2@~Kz7Lbp@j1j^|NvJ*^4c`7$({2KZs0d_ol&YntQXpm! zTYv)|ks=|r2!I7j5xD{j4#|Z0R2%{v12Q~%pBus-yZ7A6AkihwNZ()HORCLWnRynI z%s5=osUuqJ{0a|IcvbL}qkuz!U`7g8wKp6;<++bUVK_%co^35%n#vS8@A$J&HeLKd zPvPYp5XmXm58R$5wJ;_rXMH6nsBlNj?z$-mzZ-2Cv#MQt>JpkyXMD?SqwCfS{=9BW zwG#d?*U=E#+AK_TjgyBiX^<_xNY&}GZgybx3C;Mi%Q>dpN{g>Xt=R6L8`{Yz#tV9p zKIs#H2_jAOLH=!$iGyQ!)j-;TqSK&*;R$4NB?F}zW~&eHD}sXol*kQ0bciCTQ4oQK z;6-F%n3hAPFgmqbg(VI67X>iF5jz@^ZlSZ*PgrEEwvBlsHUVME;Ziw-hxlp%iVNSud(QhWb_OD9FFm)Bcxd!MV z!mW4+rmFFI-O}AaX)Yg4xOuPNl-o1RUZi@cSHbOqLPGWK#sx|dI<+VzKRvh^TjiDyUI`-BWl2aH5EcP(b($4SZ;}6P)yaB8$=N} zS&iA&6wg!%f@vI{rLPeaRfRPGF_2Izg3`ygGJ>(FmOcstk{Reo1esT#A`%;o_|NDa z$xsO}0~j_zN5KN%B2HvUMF8VMKUwVj{sQ!eT*RksEnz`mY)^VWTm`Of&WYC5AySA8<2nhyg9 zb1Tgl5fvJIWww5$iGG?hFF`Px*Oidk*p9#m^TkK2)u4p|%b~T^Db&WyFcrRWURRX9 zI<^|CLWD?0u_PlnqKHg^immZzZ5BY3V6=)x;(^zKD1+lAmK4RSsQiQGXtL%AwIc@x zg9+ex#5RZwaATnyodqoc0}ryMbmo1(5XV^;+gr0MZ`!(NKTUp)=eB4Wvq1OqJKnGb zBH(EtK}iMa6@2>hx|4^jpWf;GRJ7{7$3eL(Ym_RqdUt*9Kyp>t54Q`MfI?7vT{F1_ zd)dSXrHeUQA}#2pnG1Dm-bzdx1>Hi~E?lRITmMNTgFF-g90d}2R9*{0Q++O#^i!wu zM=2};6X&T|-*q)q2$G!J+(MJ=K^_677tnb9MqV3z3XZ~1P3S8j)$$%q9uc08t1~oG zgwZH{7!A@;n?(@N5n3=C&5RIhenO5mfT#(5aB`E#lUu@Ak#r0LGYN;+ujCuY8%Bfq z9GpoeQs9V~z^D}|$k2|Z9GMu%EdLdhJ_)Gqr;mcn(o;d$I7+Mk6)*)vxCMqt!K>RN z>S8738^fLTaoxM7uMJbgI@f~hON(371+gNOOn`R{Iu)dzsqiV?tcfeV&M_HRh!AHo z>66m^A6dMH3Z`{y!(~jrcMG-kagf@>^X%J8*ZahFhQFr2&N5A~ifEat_2+#zTJJ^N zrViqwE2}<=dBr4gB77WG%*;0l;l+y#r`*@8rrT)?FJ5_>$*mi@&Uxq7{TiWH8Lrx9 zS1)T6|C>Y-=6e|pk>T+~1CW(~2#PL>W?<$u#FH?LGay`hTmFWcoM{3QD?i*f8PfFyBP`sj+mlqhlA;}&2F#AL z^l82m`%np2X_q=SD`eAuav^qW&FoHFL5Lr_h(8trVGOu7bVOZXq=uPt9i0mj9^A@C zS@|{(rAyS7$J#Fx#*2IhhlhN;zn=cS7iZlED@F^ot9m<=S&mHN>LBtBE* z$X5}#IA<(|k%(h@4w8)j#*4%`3BZD<5rNl%CPTpfAOj+ZRrc?E?ikoG8PK3_lXcv6 z%qnT0$_l`%1WmA6hD7F6tbVaAL=mrI>8nm~Fw32IuvWxvvLpgA39zUUneAmNDz414 zUqjw)AefP1oJ%V@i5uS9_BB)TIkk1EZOqP2;xq;bZEREtrH#*s_fjUA0Ziu92t(|( zxzfjs>U4YJ*K)^B3EV&tv(R|6#)%ofFU|R-+JI95Hv`H6;p~L$oVwSxbP!xkEqIp#yF>1miLnPsbSeN+! z;_1!9lFYyN@dtt##cIgVCQ}DV5)5;)tXv045|h zeHVv1te#@~RKomJUcXn44P_(?M{faWSq>c!wKyF`$HIei<=iQdA_$>IJwme*LScyo z>tYhARB$tp|Kl;$3V0_?chH$cdSVdD(hP)(V+yHgCq*56LnT2mtxAKfOwLSamMCik z62aR;y<|-*wnP-nzyre95Ggj?Rf{qklOLw*e)g9AFa~ zW@z|q5D1e9CkY^kBd-FE6$C;B{SRgmAu&R*LTyMsX=ZdV5$q-fHeFc=NID}8D*W^y z8R{YL3{MJ05+RdE8i`}UPpHa-SOo8cuiz-hE2Ft|E=T~-lZ{7Y7#ti1NSX+l8Ajd_ z3IZa{F7E~@q@Woz7`zo7lT0V1$)7M+I-+eR^Irlk^a+w#Jaihssimz(zqbJ6E8iG+fckoN5Cop7bwHhlL57p#|bbL{xb#5796pu#|(U@ z5~Q2^3R_Hm_An{0bBC;(4qpQ~kgU4_qQ@eDo639@=oh>W;T`^!`2hx(1Fry<47Vjj zgqXbyf(!tIY+n}Q30xlN)Q`8yJg@8*$fjUKyCe++194uC9j+{-5M>3D?834L;Icq7 zx}~9O2IJIF1y;851F&BJ(quWU9EHP_Yc*3a!=*FQgp4GB__<7B68~wMjv1z80w=G3 z%KSrRX!d_{D=uXkR%vTjy7C_CP?%t-7)N&=$;rF~&5uV~EgA7-`%O3+?jf8uxbsn4QO~8S#1Kw!+?BHh=qd# zga)ubzz_gMkYTCtlp0Jkgbl(%81ese3v3jMrG1wRk^&(>(*@)O0SHV3X=qL~hse(0 z0u1)QEC`*3LNQrvIeQcf^lPiB-;JGy)A?3b@DU|4P!?hEG$CA6>NJpa1iS=Ob+GL3_(k=ZZRe#S>P6fA-l9`m|5hSl%;$|+03*>R- zG8rYKEC5}CeEmY>6`vy7!Q|#{(%4XymH*RhG9%vn7D?w|Tg1q!o894P5t9gTxeRN9 zAB=c@JgWp^D3QWg(X)^Rw6(tNsOlmFgrQ^B?F3SG+yGN3Y=mM>yB-!hhFE* zx$t@0tfS^@!~bu;iDtNao(9Q7n2byJRgSj|EXjpz0j&{)7vSiQU_a2BBD1xj-~{j- zokqj50!Q=fKE*~$!f1eC3alxxEzBM^gyArVK*86QhZeHIDx9D-WJPw(G6zQlULC7R z)u00^*i?Z{K!G#h5Gln@2o?f47iu)JE1J-pAb>Omt8tUefv`aURRSTR7!RruEAT=M zfGY41UN&cluf)=D_F%==!2Smi9YS5#R~J+8iohUShVr=nB$SnkYaA7^LTxp6xM2LL zCjs8$7zZZ;lEtM5!p9(q|%9%v_I!m}_Ez_(Cw=14P- zgn%C}N!Pv)DxzVj%mvj%AVCqpd4sRi;OHRGh!gTK?n&0PDte-mB~F=48o>)VZq9Uj z#X&Ge91^EsZyyK-1@T9y9j{DOQSz%s*$B}U6h~|}X=IaS3CMGAbF~#VcyGt7SKlS9 zqc++1hrdG3fB20&`-Fdwl|;Z}=(0pd23V^{4oeK*n1d1F1>7nYPC$ouQ-Ab(F+6bA z5cH|aLg?qpanO8h1N#`Q3^Kc|chphxZGEPl*$iF=7v0&J6O~j{>+^rbA#3P6R zp3|y?%mIKVi3(j&ssb9tB8?~=v0~Iv6>F@7aB^Ju6G*_w+4eYys7KjKRu$PeH$M$a zOIo9QYsld&dkrMqW|SIX=x*Sbi{T*@a9QRw9juuUDw$EEbCTm#bV7V1wi50U*<|dJ zlPJk>bE6?nn+SoAS+aNsac_Em_wkZ6D8xWEvXTw71V@K&{=XZ588B{W)jgal~ZvcXG&FJ#L>6A|J*TXyp}6f?rkYj!l|>V5D$P~Q-e ztYqKFQ*hYHMj+J$UjYRK8@hC$Msge+^9L({rvd4IF&$!0bG)(=X^6nBaKb<_k0uBi zLl`9)tZrUSRU*1uD~`C^IXM5`NG^0=R1KZiUFYkg|H%2jJs~F1lE1dyTZ%f?clEv| zbx~sbPXC6j=-ne*l^fg2O^v13F;*=Y)CqYY3^Vu^Zk!kYy#I-rdmYs=0KsCWg z6Qv*i*sSXJH^WLN@acOmesQ-!nYj)TuzCdl>!|_(L^l+4WQp3!;O0PRGQar0i-Q)r zgL8xbZXuPuedoV<(DQiDRqMptKYk5DrdVoM$^-$9ABV+I@vTA#FlHcR@I?d;Bl%!U zQFY3r6l_A0mHY|35U;G9rljeVbv*6_--83nf=LeKQ0W-}W<7ru#JVi{P$#^?|UTvjup^gb(e z&(?sQ6EWFQH+#K~)pQye_MOXrSGm$+a(>{8$?4bqr}-Ko!$pN#I%fyPD^oGFFJ6&r zO9ee#tOnyuNaMhy*r1?h=2D_nzNl0ZZDLzg^SLSs;`MI8&v0@=jNOgMFa8FHkCr5R z`MuxCsk2VUOSSY68ODcI)EjdKD24k&G;(YV0K^zspoK&S{L2r0B!GNDy$n@E`g7t} ziuU9g@UdXgTn_j(&^kylpqj%HfieQ-i9v9i&{!uTw8~M3U7`&=BT`k9L({Oz-AYGv zSQQXv80o8%)r4nCO=;@IU6a7_I8!JjT^4&zl{965N)ui zu=f7CG~hrVS3S40cW(0YDfNDjC6@>D*AC>y3pweBi$ozCyL;MHU++5Bf4$+-e1LV) zXVc`rPMRp$vpDF2F(nKD_X5b3C0zx}`S(+F1rte6Panu!u^#9;c|bi3L`O#yr^8Ci z1lmkuF)7~F>ySfHLa8hOfYXOujn0<;U#&7~>+ejMJd+84w48$ZDBqJT>L@U`v>-oS zus74bYu-@I>bjW4>Dnth3<{aVg^Ug!lZ_ykQ(O=HYHw#A6be1|L`KQL>Y{O-kwua$ zgrm$9)4;uI-onl0%5)IA|l2&|I@0v4saz2)Pynt*p$Hbrm@9#tPT?8nA$P z@GTlF?F1A@N150Fr=ydp4-@ghI4{dmnqQT%fYlSTy%I;5A%q!($Id-YG$&}LD!FN zx0fEn`w%2OP$(2qwI3L|>_%j^0N@Q+1>z9V55*ar3kpYfN?_9|7?2^34%8QDuK;;P zq)EZRJyMm9hNjasSb96HiU$B(pm^}d~Lxh~>a`Z;zL zRQs72jBl`0>S-aA%7hCDKEzDWSy^3yp&sV=u*i2a*Ij21~>PF$;PMTr5#2t7d>BfOX=d zfo4LriI-(REL@{3-FJ)wyG#?vDoi*LA$+(1$^mc=9LNnC6*xdWdw>J%0X|4Hc3NM* zzdyIrq3x^x?T|mLx6GV875PV_r0gv|c4zOeo4xl|vM@5u&}0tN4HCXp}WB}SCW zO3u}VGM)zs}jJttoE`nLN;tzv@vx&jm+4TJ=1Q@`2QPiFU*eyZCQW1b|w z+MCw0&qHZ6c2;7mtw}*?Fs$V4hYi1~ettN6dCcq3Pvv{30&Z<9_lNF#cnwK+LxM2KM(q!tqG%(A^{@F72 z`iqT9y`1iG!+ybXh)LRH2-v$|bY0`W|`(E@{-o zoY6d^a=t|WT>q6O+h>wIZwsfh!%ruugY;)~2lmc6r1f`<_hlqdz z$oO*l-j8QF26fZRpy_)=*C%+-nI z4}a|PR~Z?vm<{05$gd(UGF>wlN&VShGt%EAhFX;{(g`Ts#)Dt-ltkzL2x(ACgJL1vvg+fu7W&<|lcw4F&-Uy8`@$l|`|@y? zI6vC|tMp9!@W732k)zkET4)==y4rW`%sXl{Gt*aOGMjZS??Zm6y2&v~Wl7gw4jD&O zItn=;oQUinIYcM$ZJM-z5V-oN+;AeJH(;B|f$@Vcic%!Tk@4@_+y-xui}dK^R=N_7 z$>O-v<9WnnGkmiqf_|FDRH=`AQfcjY$fn9QQ)txyn1igp!V;P{UD|7gi+9bgamQ4r z1@g6PSWrd;YPu)zZd#VkLScx0BSdN6FH2B%O|o|;y>V+&bxG2MDi8sz#AqUGTK8Z< z`?WOBiPfcg#i{V;TJ`&Ty-flP^F?s%Zlw-pY?;n*^GI^Md!F0kVlmcU`T}I@Z==br++A)Wo zGk{FH_#xZU145!N-e({fdI_C9+9A47ZnC~SPu!bKK0S8cxu>%xunFiwnFnP$T>3f7wJZtz2QVgol-uA|;0xR*o>Qld z7dI5G#YVPW{cXR4a@3x>tkuxZ6U%=7#Q`DYB9nkecyRPG3Lw^%O5%?s5rA%TKkexe zk0S@qMwKoNnn;~HvcIn^KLNOqC16|0RY2(~M9B6;v;s4c@i@>L50zOBJPVj>gv~A{ zi}9526h~E}BLKe87IlMa7lLAJ<_!b9e@8I#C0M}4Xc*V+7Tfg)zD<6xnW(nQ4@kB* zPY!(-Fwi?JaSbW)^~oAmtz%V1hg$nBFRC5uGqgOSk>OxkX|QlA{F(mEhYwq>eOAx^ z>#WgeH4+yX4h1A65eKQuy1M&Z^H-Y17I|$JSv9>4gtUq5*jXxr-pL%Ci8s`Oj56I|kC*Ocn^zGM6nPv(0O-$;Vh%+QeVb=oj=d}?&!5lk-Q49J8}Llx zEV(IOS)8?4|8!$^_{h@am)DR=$+;$(Yjc6Q64-J~(+r)Yh{czsa=r}2PM$go9hZ!N zC;nEV=8l}iWTPJA?#ZWX!%kzKsu^OJzJQ>!r<>ILy1R>dtIylaM|t$=MP#eiMOl~5 zWG>vcb&kj@-)6}4bhXWJGnicU(p8R7+fwLfXyt7wa*8kao7zR2bD9pXJnO5`5MGyV z>t8d{B;dWr6bgtdot2Cl_}6OThT!2XZDZ%}wbS%89ouI& zPHlF5o>LHWEqA&`kohS@zt$pes(41?Srf0k)y_M-(7OHGOywK@MfK!V=J*};q?RMr z6!t6{5+>yG<~QQCo149}6pZJGjB97S&}qv0;bSj^#+T`eccJBt>zMv}d)&=nJabmp zh&uD){MdBXyZ(+Zp|8T1zuJ;1aH{r-6+~Hq2uUMEWrVZuWVh!{1+GKQUoJ&=ER0NT zr|WG`1-iXyEidtLK6SoqSQ@)0^!`(F(z(2^Uqp9Uj5Ns0xw1Tq;}NBPv2kRwy=EqIs-J=2ONoQ?KKRs@!ieGVn(voB z65n==j|b!(s29u?sjT$Q*~yCr+G}2^m(HE1tyn*mPe;Cp z&tvsprKnduh;$h0yf{;SY@}1qZ81ytvc&SOUFKPnmNz-^(OZh8n}>DlBVab25#aZvwLW9pFjuD zDh{xG0FU`;xFu9tkJ@J1dP=mHC3Sf+x#;_{KK$`#Xs$ll5HvexeD=IK+=i^Ri>R0c z1ivYC;fISxcb!P|TY5yKBN{i`*2q^zeV7sArQTO&Ct4liwl^j{ai|UZ`B?gP-v)=u z$4??^uJ4Vo)ix}6uruI*OW>R8y@7WPReS7z)d}q0fN$J+n(v&beXk<^{)|$!;@?ik zllJ1Q@wKiV**3Ly&gD=0rV1}yn3;I$L*#D?MAT|lX@<&V@|z>ey-zM_47?VLYHtX- zUPL_fNp3xP0*%^Yx$wetR!7?3sM|Y#!{kBJ#li4}JoUrjZ~J#Y>wj@Hl)uTu=Jl+5 z>{j0|?{yxPOpQjola%y8kIU8jG>%Ro;m|uazwwUId+;LXY5U2T?!&tBl@x-IdCuhY zzjfwZdnmriKbM3ohTr*lT}u1#<$|kYoTAB>XHOkWk#tW^Sv4R+l(Nu1NLI`6h&*yD zlgQvI6Ikr0q2-%*C)-yo>OP&VYdpR*E}46$*kQBgFP>QJ`(!;Pe^ctES3`E%?)1V( z)U#T_lB(kp$hH?n3pW}PpOgldmEO^f6p`d zxnwbY$k>8Pio-E_Ct@C$79L+IqD;B%+v$9MPerWJjeMH-SXAozCP{g~lm06ueJ=U? z+*U%@CS(Qi^eY|FoSRfs{6X|MJjXdY^rL^**ZrnSPwt4uinf+Szx`N~Q+2jpv)bg- zd4J(KkwuYf(Q`ZXP!s*f52{Tnr}BEDY?a8BbD}=|&Cde(u6IP@nQT}%x%ptNtNNu9eL zb9R2LV`9aPso2v{62|7DFJV{*f9?r?rE6gQ);s;pSY#G;hlp~C+3}0xZP5c3p2Dj{ zcnqG8`CmST|NLZYu{o>r1klt!&3x0FR}Eb=DhLd8Op-&im2t{MtE#jr7U>yUNdZPZ z8iZ9R3>6N{ygJQz6!9(Q1Izrtv+25h9(p?`@)uJM#a{@hY3Vr~-_ReJY@ z*n$YXV~ptV`kTpC1*yEDaFPD82w&*+65P`W6lhpMps-gQav~RqB{ zOSF2J`gGHKyN&!Fp-c3Qy<^*-2W0jPKMT0#Y5i_2Mz1@1a>ZC=4~Oa3JulKK@>2h1 ztk@fS%QW(Q?;VrYnD28p4!V8pJ3bdx^KsVvt4h(u=hFo!|Ux9p(1<<6=sIe74cYe=$702u`qSYj_t~t>(l~y~p`Sb!^45ZMO(y2r|J(2V_A5;Qc>OdE zg~s9W>D{J&kJgK6-sP=so*D7JX|5Y|0|)KM;h0Q1I6`6d3!hqvS32vmo%Yhml;_(? zI3LGc1FfFL1DP<9B*;uk;&Zj>%|OViAaS90iI64;&m)*XmfD57IjH;3Y*dvcducpX zczJ46H|9>Su=o%CvGoKc?N2%HUauysFY<_K$-G?sJpU<0@5YVEheD;M2lC28gd}-K z*&Gp%ai5!}1Y{$59JB87aILQ~|L=f_t`#fFfr8c@62?h3x88JVYAhzQ1a6l|A?g_Z z=KfN_l+i7Ua&6hZ)mL;X#Prg5whvAY(@^dw#jn)gDQb5<|JC-Af!c6O=3{#Waz#Z; zf{N00Cp|W+`=%2=^Rn;Q6K3tN&>kvVgr~}@+}h|(pke?`?_nU zpsa>JT$*3=a7#x~tldfPw;`o5UdNg{DQH|-YDGcLNWR7QU&d!^S?Jw1CivpDT z^6SM{%2j&4_r|#QhmNvIV>MsHq9flPS#y_c@Fy1^p8QsGcza5?n6JFcx4!1xNeL}7 zci2cYczR*<)9hd+9rk-$9LR)%hn=piXi)y-wshub#m%a(Han)WPU{6lA9{D+RqCQ| zNst4;8By_G{?9w=Z4#rn$Kd*{9TJ-$jaj>lp|D~hjRJjb2LC~8njq0xiImjHA0m_D zfk(brMVr;{`5hNU{`zIyaxX_$(owo^~6{>a*y@i-;k5GsDvnC9zr!qso9q7yrbx+UBvdU|H zjJRw#)>ZSR6z&pmK{=hysezbgE%TM&Z_WSco&UHz{W$wuweT-fgLs-o>7x3dQCpr# zyw&V>%pUyd7fyuek^bfv=daeAe+bAr`d-wPzojMnwtvQi_kk-_;)2rFXxh@Oy-CE( z9vwUX@>IjDcj9I1+=zgRr5?Kz=l?x(=T&5B5v6K2Z`^2Sc3lHzrQd~CwdsFTHOe6`*`?V>N%4$^{MUWjM*5gs!wk7{hhx4ZK65&|1FH% z|1u@HOupY6tLGom=ik3$XTXcD75}bRx=%)=C(TDWc_xV~OA(ZN%eM}ADvs=Re_nJC zZGFH0$U^7M-62|hR=&t`?)K`!x7{zTI7l|(4GJMME%~@7?ZY$TwJDwBjXSHp`l=N7 zd#5KW(3Y~)sA}JOaNbRt_arLxY2=Q|58^c``_tsIx8mIA$?x;N z-`>Ym_j_rH>^6p)rbsS(>ROv5{+oAYK>V@JZ!w@|ZFzWZ^7~rFIZ@;5?DC!e35EvT6>=eDcu`;-$mK-vtPltV6#=&rbKRs=qze z6m#MF2XzX5ozn-azfASR-%oy35mq}CbgKF&pQ47H^T?kWw(L3`aPa-n9qmtxYI4Uf zzciJm>{lyYEpOFVcYh%o-#;C6|1}v<*$?_vt>^Y^-52IHQPEYnr6BU|PD3Nl&m$}S zl|eS6X3FK%3VB~wS~3aK^Z3mTL+Pts%JPh!4u`6Rec=W!+c60QV6$+cn0ERg%d5v^ ze*QjrDy`*X*Li3lDW!0v&Ws zCcgCd8~OA4u1Kk2@2AMcL0=6NKR(G^FWgjJnxf}EV$XtUOj$P+D!e$9r6VH_`ox2V zE{^xVOkbW_m|pB_UBH$Qa6C=@@_g52w^v+f2B6b%@-AnivnO6<)Jg`Qe+cBR+q5jc z-TUrVoH&L-Hlw^#4Hmr!d6x3MPhwhjHQ%t@!yr9s#|Fal>)rv!_>YHz#l0KH!V~T^ zl^a-36rDJ}P|&^Ahgp!&5jwMd=Y#?FU4SCfXdKIr3b|C#dbSRedblXk_Z_v*BKm;! z^~K8T52_Xl9M3@qr09~g&hBu*O|ps7%+JGPF>B+j)hVx3Fx=Fwh*I;XU8%S;;;+xu z95dG`es2%yy}YH-?{!oE&g6ZuC(q3+%=EX$c19G2)i_`KbY_>g(FGsRujeESGvBX< zTx;-9uli~*9;hQg%LpP!6|Xh)otrXR_-`qf%e|zr{b#E2mK>!ABdu}@mgd@uz~YwK zDS|tf|F!+Y@n#-yz_Wbu%WhEn?np{XKT&oRgbc(c7{FveD_ssDTuXdr9bo*@_%_za zVzj@*fWyUUCveN``p)!MlTq65eMAzkq0A(kQ9N~hL8|@@-7x3id~6&AM}qMIi~<8; z(-nDecXIJ~2|CpRr8?Uy->_Y*Utbykwtyx;!HdIX-Z8EP-(-kwdjS$h6@VQ(V(j>X|(uBT?c- zvGnsb`!~qC+M?_>%U8DfkN$afm{Cgl`%y~F`;!)Dh3(w|O0)i{wf*1Uh3q>cdLMo! z6USzLtnZsI%9FI(?QL&~yZKCiE~kx4#8*RO9h7flc2pHkPV1*j8i^_{)x zmy5|L4&s;`%0;-)ON15#c3yY*;T_AH#eoM4LI*s{Z#YQ2qm5Uej0W

    2#rhizO10 z7$bNgAn?FpQeMgEyfm#_<{#Idt7cGEK*WwB*`R9;fJab7|5bO3jP&)uM&drs}x zME-#4;-kvBz7=}6RNwe%K{b)L4;l*uHXVW+9S#M!1?c>pg064POK_EamSKE3jO-$e2a&(5uIHXdjU+wAbf`_@M(MYn!C7(IW2istA(e)CHG zS)JnCQd$}*ZgA7c>ePh|KKrBS`D2GsOcEu^iZLO`;3ruElHW^yyb>F@u zJmcwh@wB!|l2y-1H`o2^_NMT~g}%-k5-x`boBD$Wk7ia^^qJ2!+!HHz?{Rc-2&gr= zJ}&qY{ct-OWjQ4AT`<=2l74-5q9*f_Ri~#ew4fWvt~gT zi4LL#aE9H0u+v%gY$nO$Y~fqCzxQ7q3nXQ>jPHNz`zh{Yn{C8uW32d>oc1q!yVtAP z#B;Ogza3Qhy47KN;rzL%N0T!a$#%KJ2FPP-Q!)L=XCf%*cfrhBdLUxn#52^2HPp)U zF%3lopX$f{w&-~_Q<(Z!&au9LzlXIPZ<&g^09(Z>pjh$Ql9Dzbg>AP#J)3{=@Tzcc zr1XN%zAev!L!K0!=hEc7%TO=8^&d8;TLSM8*kV|Y96TkJszb*BC4`AU7nkmy27m}b zksu?J@HDaZD7t*hLY|3plql*q(h~vBN~cI_|EktAcEjv=gpT=7c02U{otf!KvA#R) zwS8Rw^>C2*ykmg#%x*`OYeIc5eG}SBUD#QZ$nl_Sz=cj1^1P@m{w^nS z=F(4Q+jnN{zTPuqqm}F_u5+f5iHNWPT57V?QI5^vsra1LUkR&R`fNP*Ip^)`Gp5ec ze}{J@g;UuA2sJ=xhADaLIM@1;Q(PDri@xxen+kO`DKuu^$4BoIJ#)-VI| z*f!B%fYtJ&qF}n`cf^?mM( z$<`@68GFvFNh<-x*XxGL3+6NWc$NlM-r2W7iy;olK|`owl!mb zo&_b59C!?60t`3+siy{*QE<4ptRvvW9jZbnR<@7(m`YUq*)W{<*`>tix(AGr{^io* zbjhGsD)!#QTd@eL|0&&2{rgL$JJ-bH7bB-DG|V=K&4&lHnqHHBlGa}wHudape3vtJ z;%FCJ14MkVG(X`c2H7T>a8pK%hZ*aB*Yo$R^WDndwJRoSw&(XoI@d7?l!FNGmCoynE#1dq(C=pg`7-gJla)@shKSXOF<0EAuq_erVyjzrzOnH%?0;#NA2s>W zcqvW!5>FwsnuD$ZM2YAQgWLjqBTWT33PPJa1r$fyIutgCXl%gqtQss&aG_0)2v($1=beUbb0hBpXVpW!6=%G3s|<9r@3noNWjD@0 z?6iGx;x)?&ebS3&SE)PjA~xt_gz?(h`cm~#UXDZugNX|!a4%6`^n_(IiVu(1bss?@ z%Y-|3Z`r**H~Wy;#&f17VKcXLv=KBF2Zjo>HnOUd6j%B=e0-39<2Q$7ubj93XY4Y> z|BiGEplBq@v32BOfQNubwYi1%N>>$Xruh2NzR!OBc8S%6CPQE;kM6xV zA0)T2oXFm=6&1U6eEh-0QgvTx{jDpkwYDO)$Ef(si9ie$qn!qs0}MGJY;yrEnJv&( zE(~3rOx0TpuemFzo=X*}*=1Bpb1FXz-?!I%U-dUpdK%DL&W%&#%CiV4HsRu+_uq(g z2M5pZTG1c5YphA+y0O&y?N|Ks3L}XRt?H*lQ#yn4E8Au+}rGDuq#8@UQww0 z>)~D3f^7M(iv>r9LT4O8Hj}+ndjfk72LB!i?-cEDIFyqsoanP`+Pc>v_og*B^zsIk z-yJ8mtb0|w?(J97H^);MqCfWok7)Z24le5ZUUEn&z=jokF5<@XKa1F2py6J_2f zY|X7XsHpO4mA|bES0w|-W_tU5m{EQsO-63dnM9b-L@uQ`KgqmAg@G8FfN31gz$agS z;_u49U*5VDlB3_##Z@>C%psuN{vQE}DOdfzzw@$_>7m)YJNlo*w*q=ae~WRO6`Dry zC2mKncK9JUGZ=VbRsa#(47fG;o27-x0lAUZnK2x}Ah<`ES;l zhaIY)XWvN*9|NNd)7c&}XBoO?7`dPN*-cHP;SKI5N{y|Xyz97DcRzJD%kJmat5bo^oM2m`ia5UD>c zis!xc&eZ7U?$&h}In8le%GE^daRAXh%Lyo|Dax8TZQENV)pCn=!F11gXl1_dc>7UpdyCl4U_NF1 z>N{O`siukEYl*>}_amNRQI_;qJI2l4fQW_jecjI^3u;z$QpttWbCDq>QPQwZg)H1z zlc$opS-tNQl|b#KXh$XLDBSxUH4&vc9Jbc)s3e-3c^@)6zBl_Q#@)R2Q^w-y`THUF zo^3cbS!6h?TWr{OQnRzxjs^TtaCC<%=*WKWYK>5Ik?{x31b+>3Hwu$`Ym2FoB$t;A zaR$~^q&xYdi;sX$BD=`Y1LWX% z%Bn~?@)y&xn{&OiSE^NMzfx~T_~IrKfXF$(MROrxK=38X30aQB^1~*f!#$DGb|x~? z;nFI)V?6lUyL_;=ywr5>z^ea$yVOiwPP)DzLZF06CkB^do3)Ul-PZeL;_l$xWj={3 zM;bW0TX!VKt#g-nshpB!cYIvG9;@=Enp$Q`hu6_ z&i+AN$w-%Epnpw$!?C$3_ggcIrj@2ehUZ&M&n}^k)kcMWc1+FRipY~HaOW~auKWDG z&qiGH53#>5jwej4tiA7jGuanzyU!dEe)!EJ)qMQPyWEG%ap^kl6?k~#cNL#bFCMcVc<+XI|$g@2nnkxd+J#m5XP9Vwyi->hos|0K<+0-wr z_R%n}Xgfb;-A_sEbUF~((^@ns(1%Q`BqW}QCvXwvw!)gkY45NSe9MtvN@#D+yJ*!K z5v0~>QD0WyNxWmHdS6~ACbMs91=TM8{%_dNHL8h(OMBeoPXrS16tcn(Dlh>W@7y$s z$lnp~G#}73qkc?M=R4E&oeqba-sh$xijjFA>v_>;g*}NUaEI z?CqlNL8pELRTmNw*iX78)Cb=-JG%}4_WSaK>fab4XCtSenfcCVpTz%Eh_Tbq-ZQD{ zMc-d!$5gHO4K*BD(KTOdja?6G10+Td7m8dz>EAJRk2UtFd|g}Ur**9}J3`;ouc-Y+ z_MZk?RYBf6V#TBNN`*N!r|b5ccGtT7Yj=EXxW{2|mz{d~P+xY8bRxe>dR;WJ%Wk7+ zWp}l=%IfjrS7Y?@p&XUinD(|C8hRRZGo0C>w;#3{_FQ$@o)U&Zr;FyopSo3M@FX{E z1W44)=PBJWc^Pi=-i`}r9~M`&M%Ap;%FI`G5k{llK5lT*y0Y!EqZu*M`8Ydr`qGCxoJjVo^x(m?{mr z5=;#>V06wHxzVK7{q{%_`ctx>x21C-@pQwMiAVL`F4^U&!w)eC;rPh7Yq?HD zPyXLxI@)6+*S>ws7RXXy1R*)037gC@G&%t>6Ft|(z52~8>fy>-;*7>&!ei|RJSsoQ zyqfTsien!itmvjaeM3+rhu))O_lE!UB#n?*I`{;gyVn6r(XcvRc9lTrb2$BY;wIue zl@3mGZ7k%a>i!%2T%ICh0FS{oyP?A3b%@B|yFO`9p7^Zs42?^Gq_R^v+}iz`^Vw?| zjuRoH;fpdk11D;bZWY|2giRByeVHdIYFgpx+2o#Z@zSE|IEKTAL>e-9d1pB{*h7ZU zIh1a{m1D7_;L~GKhf1gYcPejKN47o@M{(u00Q24qQFiksxN}P&NI4_Cx+~EIMGlJD zh^tCYIHynT?c1WD$SzMen)Tc1;d+zsv6u_j*a;kTyvX@%PEn~ycv|oF;cVf?h}+IR zvYZ4%(S(IwB_qJ7bZUWJSxgh>U#MGZi>k?yezVz5IE&CliJz$D~8zy`Gwr0j3!t*&N!=FH!FMZ{2ur2R~i{w5b;2U+U*W<~n)X7=qxQy+^1a=fkjyJl+I#Ph13b1PB( z-v0lhF48B0a4a^4kXAB~)`*4CCdc#>nmio6+=JR>;V@jYYV35?+lp)Q>kC_x-2>10 z{a1kLZ4hZ?A|5aA8@~54)wI2Y3&1QIIm0j0nb-6_8~?yuC}>1z6l9&H?HeRnPRM<{^sIQjJ@%R#^0EcK~2!20k;l46K zL$=H;PZD`dODxM#Gi=N_M>R*2^FRS8%yb#Yn}E0YZ< z_Odpo`{DQMW6vLXoBlI3ya~Z)c!hTacs0fv_PsD}liaZMtC^Xx$FJY^)562h!7b+2 z%9owfU{bG=3m9N)#RKaXqXWe>MnR^2 z4Z>s=j5rdhEN+7^ok`Z@RP@2ivJ1^ifT{hGN=wbE20FeJg8;z+gDBC~KScndt|(id z=XI!buteu@rP0JnXf+F%qyQw)f*Vk_S4y5NurV?HUP>5kG(EmpbGqis``>T7Dg$X4 zKAA{CII_t&RLim0-5tH{t@$q^YSzvf1Qk>*+e`%SVDRI0w3!$zS;J8g%cEEcFF}(h zJrI3AU3+BS-KPG)lSYN0ZARhq&$f+R1Nv+3nNtq!9k*AeQo=Sp)72_ZRr9AJi<{M= zrSlb&C-jF^Ta2Ia?>@W}{xY@RpysaMK5)J~*L)4x;tnB|%Fi5nwU^$c@3faZ4cR*~ zsNiIxKW4nV?51Mk*>-MP>>o9C#iqqjt$*^?-#Iq^EqjlZ>#R<{A%ciWNn91{t+y-} zZ{b}Ty_fJba0EOK8IwC+CPd}m{+^&>PVBrnLDA5EBM+2Uxkj99g*z9nQ5o9 zbQ(~WumX$3%{uyH3#3Y#|33&aD9S~N#tS3*+te@&)pyd#PvWnJS#TIIqF{!zTG?@P zhtB0xMgZH*nYq?}3rVEYEiL6vgI?d**VK?!k|v;TQeePXFn}xZu&QUpT4J<%dfsna z^5*cOnZvI??4OM~Fcq1_XEoCmL8Xb>ur3aVl_%if4+JoesA6S#L}z=+r|IEV{b8Na zk=e?O$d28|8y*`!H(0#&hfX}YDDk}Y+gHybTiruAZuxso^ty>fvrz_z{n5^fH9tHY z4A!9(V9`iJYpH!&8l_TMsMkV$+Gatrwu%;?nST_mRA@7CGpzeKt5noAH?=sQiUC!^ zz&1qTJlSB}b@5c@rl7&|3EWMNuMS5#mp{rD|p2pZ1zNcKOQCnD|ilB9v81cdo9Uu zoe{gz(Qz=QfP>Bjy%JDD?V^N#JFl6TsJ6K_B`KiYr2naYS)G;hzsqAP5!o=?2rDyW zV4`vwf`_V)?bt|F3L1f&N3j7#)lp2R#59#kr^ns2+y8p>X{A;d;lFrNvH-Bgp?13-&f;-99c!DG8qqa%s?Nw@( z4>%9cwMZofa+xd45MG|)gPh_v*yAD&1S%g4fgE$PI@w>AlH14@=FEi6HOQk8Gxn8j zspLm{aGblagjGj_XO}=JCw6GdCSo1hOuh?a&rxFVec1V;7*F zpMt*cME93yo%D>7wnj-d;Ye&iJGt06s%W6ood2e8=!YcH8;P}CS#u-5!3gg=CR}-iKu~_r zG>783CRagbOuq;2621Z@$C^6*X3U_DV9h|dLjJQK=qq5MScT^Yu!GN1?JRcX_t#{x zMfxcL-7j-;%6zRF1f&O`H!z(K<{*16@ZDAfph^536Ee|TelogIKyubM?l4$dOgyrp zeuVBYs2)Xlv43H@bMmvFl}f*Dv?R6uk3Vc+wL+XZAX5K#QDu|cDEdzcLc!qt>|X&o zt6d|-+D~+M6?}g#mX0|GuBsCW@$m=-tBeHOmODuw>^}8-n;wW0+~yf;wXHL-n1Bx6 z4L;AXZhfh%)2z90pK{^xD;QywFb(Q5ARSO(NdXDTV@G3O7+|OfDhLm59|-C&lOOp0v>qp~zwKD; zo?8Es;IJ2wr?!rT`4=My&5Dk2U<{mD0jtv>?ZCi8P_PP|i}vEE0FS=06MNjoB0uOQ z;?Ab(AU_MIlm$t5a$Lts%}2VfzXQ@qm~df+?`wNAc&09k2?Fc35LmPXYrQ6esYyLn0#I@mj*~@eO=mb^nv;*Cg6SA6xHpE- zoswX`-GVj0E8_Rgw~I65MpT4|{n;TG`6=~w#|WY_)8R;qqDuX_0(v}$FTzw55)uM8y5TLdfyluJ~EKk>1yUeUM;LLvzA42 zrW2py7H(xt(G);nR(o;^h0J}CU$@OQ&;3rb@6a&Y$$*PdY0W0K! zutI}_6;d`~aq=*cz+91z9{6A&@*n0^;OK&ho5eRzzp8+Y7`6t;%!Cfp#Bw|^30XQK zz=M+M;7DB1j2|#a)E3KGqoVNVsKyucMRKrJ z_krk91;WD@AK6K7Xe1!n19Tbsu6;KFy5Sf+(L>8Y*uK*JE^lqM50(-`o`$H@i*v5E zXW+?fZbKzm0NYxKW4rY=ap69RbiAkRti`>IJXkmHYqyJu-c30h_ zoBLA=GS~!^B9|%1cG|2BJu-zORwd}iu311$fbl(q=$OoM$7e-oc|=)H*SbA5UgU1% zWl!|$ob(Ki^?aH4=N@)>rP1N@Z|{xKI<}WaZ|2-pF1n5vIM-ymBOBCyIaC&kS60Hs zbb6r?Zl=a{X$S<(2Vj*nYhcIF$=i>tgwNkzUYV`l_oom+%KDcc_BYM+D}ZPaybxi> z!%rS0`dlGoaA4gCArk;4I<^`O*$0GCWMkR29aq)j9zY@p2@Z51VV?vpouyzI`(->b z=1z|%eIYtZ`l+`)ir3-;lSACVb*64oDtnU9u(|dq5dA{G{FOgIX#R3MbC^R4_i2*7INEi(fH0(fF zVFX3?lqo^*8;~eNAZ(d30wHXOfI*~AkP&78QPe6U%&H76)_$)46MxtL^-@%fkZ0ca zxzBx$hOaIptbzkIY;Hk5(GO-!BESCdjDNs!t(aL_W}5ONs=J!q=T&j#t}$501pA6lm7#b z0hb!kz5<$mKurV>CjEy-jdT@&AQ+XR7l4IINXI)@Go;mAqqB5NR$<8J<=JMv5=@A6 z5t5x$hma(c7#MeYn|Px%2WWv}3F+mRBbVF5yY+>XbQTy+FrbC+I!_)*z_Oem3CRdA zyQ9t_Tf;&DBfC)vvGX`V;l-`!4En*`4;_cBCJ9qr9@wQzl9QONR=^!;Rbor|(|VfO zb)4K^&j;WQ>%(HcL_ zy5Di6NDkYE%Za{Ye5A*7ZZmVpHE49^Y6Hytgm1n&OKfstIZCW&wWl5+K`{mRh ze}sT_`r{FEH+eiz@jdr)9VVg^bQw>I!%>w#jzf@yf|UyPCn&s1fQ=2#QguQqm;rE5 zG9AFh#sCGK0{}~xPf3Ts;Q&EyI~P!R@(E`YydIjsl%`8pvoDWcGH(L{;xD?GYN`#& zLBvkr!a!oc%mGRvX-{qn^DLX?Z8v~O1mxn-nZ)R4eLwl6x0%=5OJ-cJyP8+rujAUp zo=T7PQb_pERH_`D3gV6|m=49%rKvYW>3cY3c62?fr?{vip1kT$MYl3~zuH+wQpI%T zR9>a3OUn_Ej(pIGfVU4amo={f(+^@0qPV?-oVS?c4I;YK#~gg?p0!1p#g#A!+tU6P zvuixa^YQDX4wtxxFlD?g5132nUFA5+J_6xmyro3GxVQ=pyZ4Pq7e#?!UrSfFC8C?R zW=n*Mr)x5al|XXW6c`zZMvTCbW@&&$3xE||{|0Utz$Ed0829e_)#2HSCN@emGT;HCUZM|RU{qk^BHeE| z3j7g{as*2cpt&dwb2aH7BOzKeqECU3ioP!lZ2~^6gW4}p=e+EE?NHNf%h-X`WpXCU zXO;H_i%Dz8<$a>O84G1ltIK-#h9!fiAW5`AH@2e{ndllEEQ zWiqZeO$NUxh&$GK*EZ&%O}O#tmeUI}mtJ`p->MuD7Q2###z2>=H8{4u-w@bwNR#UFW?lK~ zexs2eR3Zd7eY?KSTz^}m|K36^6#_Rt(DwnEw-bZdg=e5hc+qW6n{+RDeh)<7h&FIw zaO^CE%7ie>R7`u!2LIMkeT>K4Xma7kzfEY79?oT^N+y#q4el0-<+fSlrAb zEBMdwvMgraMp3V!_SY#7^$Zw#C#rweQWy&Jw0dx+ml@`+!HxNw_f^58Ku?lLf|MQU zkjm^iTs~N*#;_!nNtmjUUR*R2oJ#^agk;y?HWkp=t#u=7Glnns_j5*+i zAXD9PH7d>807wBNH^mn5qYmqBV-}T(ung%+Wyiru8>N~NyyJW!GAT27)o&`RqKq2l z!LPWPP*SnlTHt?|a;t?pIV9A|pzNBpo)%-x->BXu#!}ClJ7Ce(R#t2n9-F;E50o)m^4N6suGlGi2v!}5j!i9zbpjo|EnkR`?rpS8`~ zpE{IqAlZf-^^l#pvh~g}1t*b3fn+*q=OPI+oNYz zJjG+SHo->%mHCsD$efp4`^miE8K#`7&^%C3xCcF9P)2{CTkV)rj$2 z@8*1vU3qtx=Y^Xi;}e{c7^*Ki$tjX-F5VE6XTKCw*BBdUpn15ng7s;bS%@ths$|w8 zB^an4gV#*)1|ak(=Ix{k2l`x~J_`I_=<=(^4lfr2p6qSV*>Ue3S1i0i_lj z;e8j6ZTzFy-rq$Sa61o1$;}Lz4D#mx|EXhDfm4_zl5jy7ADM1FQTCWM8J(3gRH!U@ z-fhXh&Wox$R~&^6a#>uWu4kzNzo_y$6OtiDr|j zgj$gZ4!BGT67}3D76^bli6Jbd&4>w`cFI8K1nmDC7itW@eQ!0}SAKV2*V)%=S)=G=!z7_6od0oB=rPf zB4!&4+(jTRz*+Ubzysh+!C1y-_%(U0Rz3}KMRvfHEWqS1`aq&R}yjp zH8qv5wDf@|+eGe)SsSx+jO*4vrgNc&{BBmMW>{;mcw48iJ>H4+_|(keYl>Gx-ADD!GdTF9+n!`tpV+;|sv1Il(D3cSNc zX=PFa&_P!vsemp?k~qe7Ri(-SqXsdgT7E8TZa8zT_REEIqidrsz0sa?3k0cUH?_iJ zyREU|I_Mc!+Esnc!n&Ft|;GBkeT8ppwU^Ps7^W-_bmBGAbie4o_I!&GqwK}&8 z_PFlZoZiUMz65U#sTWrGR0mg>yFG#||3nOvtm0G%aw0Hd;MA*<1I006runtOiXhV4r^V`{;QEQMd0nt1wE$g+D#ugVrwgy0^%QoS9zs~qF_p#`rGv2aN zLfOeT%C$|N!>h>P84SW*-Fx5FjFybJsApuPFq=gD*7_c~fq#G|Wo;%g*`o}ED;FiD5JZn`PGgRhbWd%hF zec#ucX3tF14!V_h?6#hp&>G?nHf4u}WxC(l`{E|(4S~s_JLjswRSKl})cJn$P8MkO z&amrQ+=bEJ+%JNE^_7nN`I9{e$R(xksenBn7(s#5%~%i%4uSMS*%XpFpY{2M+^e(B z`2EDmE!&K|^W>)9%_aY84J)sw80DBo2Xl7Y}NpWLgGfN_bLf>UmUm(BP%I z>C45wvbRn?yBBWqDH&IF>eC3@Jzw}&^Ii8|m9`~Hd^x}LPr=emjMDdy1Cv1`%b$6> z3m)r(egWtF4)G=~9gKNpo56bCliQ@tkajTDK(T9RJHMU631VihzqxzL@G~p-hJyp; zA`4CjM`(GQx-__XSrXtlhS#ISAkXs4=WQqVg3FT?4E+}D5%awv-%dU8(vE6yosK?R zh|}zFzqiiWC0mNaE!*VefxuKfiXs0H9q6NO;;)$uR43?968N@qcK{fL2)uq*UwNud;eYcEvuqi6T^2!C=;Z3`cD^)J=}s} zj=t{tLf`zZ@U2n#p5>}C2rA7EIKvnS?XY0oC#i0wXX203Ir1E$tK(1A)fmf$Jo(S} zz|;UT2lEFy3Q$uHZOv!LH(lO~Q%<|B<`#Fg)E(=`AreVP?QG(85}k?9`Y2Z_Vs*l; z66ah>yF->+=;*o2O6Q!RvmLf_4vZZL~!q)%b(RkvN zz0^Lr)vOfTpq7r%AXvjQ(29Z0Krp&Z{_|fJQi}XTg`4c>qu)Es_FXXzk_QP7EO$x{ zB~Jp27Zj>kcn1|GGGv9L=7*~YqR&lIV~b#Pv&bEDp} zv1=$LapWY;Wzfh%CmA4KSW$8hl#dOfWe~~;{2dc#wgT8gVm7T)!au9h0szun7qa(n zv0w|^&x;=LS@vdvlV@LF%-ZOK3&AGWw-;J3XjW7h4uQ(2UnK*QRw#Ns(3)MR(@WRY zdT@)%i!+Q`nmWn^05p`WUhldwgRT2Hnd1ChH#AqI*)Ge_?$nh;L2SQXIk-3o>P7Df zJOpMPvEyb2iPCI!`DR^1p+#si!Y^IlKW=iDJEr0x@toNeFfF4=YzKAb)WUH> zn%X@gsAqszV{#o=Q4)?M>2^(xi8`K(j@cO!IWmfxyOZTseyHruRHS1YtGDx-rqqQ> ztL3(a>GG(ALGp=B2IjqXTdF*KYp(}v?F1~hB!av>po%4tC)_%mepK(oEg|OeT`gN(6p*z; zw0C~<=-$!MfMf#q>BZ?Qlwm(1J|3IWs{}hn<=f{(2SMl3+l1iRqdQxxv%mZj=F#Kr z&?F{iTl|h)*!v%MmA?{?6*hf;Dk|A40A&c<@AvY>k%VM92?u&CRUMMCxD;-9RR<3Q z@I#`eSLOXkP{FmY^7yllqIi~9m;6~SrVJ)LWUud}84OWag-Knnpny)wjn2v{*WfLjP5hAmemnYsI* zQ5wRSm(O~eh9*l)`lx&VXTTX9-F7SN-}t<4tSWRAlq72mEel59JD&~<6>s!8(mh)` z=KO*`=sOLX%`Ku5x*`N~6S3#uEzcQ_3>{bl7cs6ZUFF{}dNNPHFY^>v!RWLbLUyiWE zzzGZnAq4=bl1dr6R?4Per_kZ4;ws*KPGulDgrt76D*RuTqZ+A_VfHC3O0cy?OTU`s z7YM@Hl!x3t!{^Ep2nbIC**&LCt)uxEh}8D{0f2JznfJ(2*V?D1b>7dMT|X@r60h)i6ZN$DtEB3a7(Zq8wCB+08?C zTt?DC!ahh|TmzIYh=Ah{5JUiY@2A8G@Y?rk=?zyiG_!gVonzf{8LD!C=bnRC$xRBL zEG#740K5$pN6bR`V9Ztvtx1GDIs*YZw#NBP=S+FDWku-OU=xks$rH!cL4yuNNlds# z>&{9%EkSnJ?SF8Ynic=~%hJ;pQGiSR{w@COyZ!94HcTq0ajY)2g#7cLQMWQA0c4&# z#o-PyMY*O#MB`fj96aAg>PP@k9&`KaPmf!-nGvOdQpOvdn;xi9@svE zcfn9_i-152YsOGKcELlZ`Gs5I8wwBu;q?VDYzC!XkK9~@V9CJ6*18GEn}CKKewSL&$_2qLIeHm-1;uq?D_BSCn= zv8kn?NYN5TBIPWIq|n4-f65l+dEiP`tgjD9j9MGB!@jo`wVaI}X$!{*`YPO4%lQnv-4|B&{lE4}f=Uii9hNMKJ>R?%fhRFyN0*|w zz6?A|dNBW_MQh_&bmdmKiH5hD%-eTr?SPDl2d(317%=Vv!e`P7UjD{GZdYa!{(T%j zP#0Y8hdYujzjQ_n9M(@&dgj*cqGzkejLwafrfhj^dD>k2+&pu8{f|FfUob$4TTPr^ zDF)|&H$hJ<8~^pNNg{*K>2x>+$3(Lp&c*ug-`R|0r{AOgJ!O}1ZX zSq?ky2s!lVAWTvAM2#e-pXnP!GOv=9tsg|Rm9q+TXMEw9e=u zZy1F8uvYHa>n^RkbqW9JUAEs=>L=oT zZlH6uAfN8&!FKyQ%rn^Ny}U=6&(PC%nHzJN3y+(Y%yM7RJCNys^2~1s zJq^Brb}rZPE#W%6eD9BWwes?JpS3^@^A5CwyqZ~+rBjkds8Q~Upz)__XK4vC`MA}H zyU$B&w>*bjVDkZU;#=9n;G=L$iyir|`#7&9x&Q%*ho7mP~G}v~^877`(jWXC2R|X3W+L$}) z5mL`R6_3Bus#x|XD{RLISGdW(-cAr6EpNEJy1u>Bct|h3tOro3@;kuZgTD>$&bHZ} zIi-->Eh`+H{q^kyi&8AX{Zh?zd8iYVoD*t?yW(r!J`IuLe1K(0p{oVzo{`hw2yviy+FG4_d+Cy?0uDq3_yhuGgTP}%q=%qX?Dm= zUi+YrTf1faaXx2jx7_rntSQfBo{OR3Wmgo}twi>p4X&cbV((h6EkBZLp|;U?4Q_ZW zL#4CG*#2V)f261`=6&;pDx;nfoYfN{LrT#l@Zc%I>P1$Dn{thwA1N)=vhAeR@3h*D z%Fy30!3n9QGF+y+-(PoFJ!mGOR<%LlbO=+zi4M!Lz&!Rv0h_dhc7luR);RKJHZ{D2 zH&?S7^)CH>xbbnu4f~D$@1@1u3zVx0xLE;jrBWg&4ey$t?4ThFIT-7O)zW_{9%dm^ zf!ZYSgN|!4DDIL#pv5i`RpunMx^X++oodYV(cRHK+}3*Ur{76TIB=G*y)%0~5w}3> zXCExviyrAf;KAex9`eGwbQ=Z-Xz!ps(-Rj@J2vk?))DeT%>a^#X(vKr*8f=+GBjlr{%E40&Y7f475$(PNfh ze7BlzjxcW$dshI{#gdHL$XC;A6GUdJ2Cw_U8%3s21(1I_~=0Yg$G6i(Jr1JM{JvfM=W- z4dn~6(CM(TD&4mu!>6zNHhi`IXFaQKUvmT zFz*PA@IO$@>6cJ(gK_ab20Ak^XZ(xSNm#pFg9 zfgWz)g!(CS_=XK_XOP=_&DSsCKYkfA6tuQVSwfiY(--GSNNjETrR&+6jTN`Qx7~(NpUzQ2fl(UtKndpm9o{EQ9Ie@ zYWG;wO5@1_lxqQj=zdWeB#R=35S#%wmRYI~(0ts`;l~iWBwLZPaAZWGyWv}1uhUyY zzpVnrtGIB*1LX;WXV-s!Rm6bAA*GyO{gBTC<`Xp&aW+wJ?YA}4b`CxFh^ffk_CHX1 zNvf4Ft3Ee51f7ZI=HG+xthtfJkjXXMn3PIoqWg&?Zb3EMEcdUY46}@qd8U-?|8h!2T?#GPfb^erIA0xKEmwLV8;P(gfdrj%UjstMX z4l)ctfGb6meH@ePb)#m4%~(Pq>zo`t%$}HE zi?-#uWh-sV4%XNQ2QPJ~voP|=G-rD(p4EVdKz^yN1`60`;xFHUz09RL`_Su>5MkDV z<2y)M?H=3xzsG;>TVGtgTXT%H_iYp}=53FuOhy9eLqg09j=gF$ofo917t__cxP~h+BS>-!rjM8Dy!KK?e!3djvyV$EwtxwF3 z_GI;kCFU;Z5B6^JlBte*(XJP#qirCbjl%8HdI{E~H?KK!IOLc^6{*2=Um)3GtJ4Cf ze5D;{ruOs92VyrMmH#|&uG}1uZ<;JskTU8daH*m}R}d2bZdhsQ_S(r@C&T4TEq9ZG zF#-dY0+=fBHoOB$E-m_-@284v<5ml< zQhRZ6-C4%8ui3_US@lW=2NIi~c=Q5H1n2;Z#d<%K8L6|CLxi8c$ZV7k?}}gINRw(c z2BfxDd?O=U`qMOQ%<3=lj|UNkb&<%%H%9rMriKGmF-oES&j}*hi#p)i!K)xNOaPNt z4n$bC0qba)A_+8Lb1;@?3W-a-(C#02%6G0GkV@h{(wD(&@&B$0bCAUdk6)gvZ z4RVMOln$Jk0zN9a-OSXoQpISXtmNNN)@EqSdwvg2sE+!!Y#u)~G&*;`s8DFA@2snJ z>XceXQ8@@e#OB-iIq-1os8ou&u^1fo!5Ed50al)x=$Hl&;cPE6dmC!+-2G+b^6NzkfXx2X` z@$9>hzF6T)-rGA*A9Fs4vkFWR<|vQ|0B0PO8xwS$sXK@hfaVD^ewnAVvRW$SPse|~ z%b7AP8ZHuUEJUfOJJNs3%;ldClEELf4T6b_B4&_{W5v(JW*eK=@rxS@UB%1~_KbB? zJ}*R`KHz~AsrjN_~n9?&6-BZ>`N)k=!2M*Hfb z1xrfi&kN67En-w~61JjdE_MFpUDYrjqNU?})u=IbE&h_E1sw_CeVXpTVIgOv_IcX9Q7Q%Ai{H_C2xKrD8h*Y8}v z^|{jbXJ-R;7bw+%K@L_)p1LNCF(*a4)3f@&3JM5JM17`{O^{Uh(Pk$|>^GgerZ6TW zmDF*>PV(2L;R5MS;QT0Org1())>C%yqRY7#cnAgTPwF-Ln%>41xY~ z&wX=!O2z-W{BeQ&w1{tnM&d=3OE(~kC!(OB5rC5orVNyr-;@W&D;~eyWoP^D9NT1a zi~oJM;@TZGIvsfruZ}A4b@P_iH-Qsl4uU%y#c%3Y z9VvWg)|b|z7ugDm;&rU}zZ1X2@cg}`jJ~A{9(Wnk0re+-WZ-B{eVxW{b~xvnC70UL zaEUKg@3|b+Cm6I>ju@gUFuwZjjXHZ5_yBIh zg5N1tn7fwQDtn5z7BIP|w(D!~w`B(2ETs|`6#Le9OsUuS5GMd)nfNQEOG_gQRS-|& zT%Sj|S~x<4N^s{$gvm>^$*9l?sQ^zzh15yFtVrrCbyaoQ#~IF*c(EGVQ1vqn{j9zU zrF10Iaeq;(iRalJZdttAd(JDTV#i{QFl{_kEvPN5H4MCSXSN3Sd1qjbJKOt-zZdbI z2;2_cl11&^uwIbcf$`its2xQ^qA9<&;lv6RdR$q#;lf6SKgp<9>xT z5u6uD;%ZSgk99(`a@Q)A50wX_E$I!J@&`&ybwU89b0&yDXxeWbv-4@kLH;xk9;~JB z5wZHTI5{s=%2@WZJX0U8LI zu-B?+5Hy3wF-3cP=DECiA7 z(bi8C)uIZ=byd5N5+6Cz_eY-P@94h9y=Rvj@BjH@1>5nXzvGVI_q@ZHc>&cKRH*i| za-)dqtP6h{F8KxO4h^65 zMvrQlZ7oml=+}Duju$m`X=b zKexo@9r$b3lntrPRNEp71_b zUsRs|vGmkzsZbmH_iXLila%Xz+;ln%pi~+5m}f0_*4N&zz&kASRcP@G*|v(d08+|g z$4!XkI-&IQ8+h&LGXaR*V58;sOb~P_RQ#{(f6Zezz4GIH3R4(>83VDvg(CU|VR{KP zZ5;@NX@ItVOGkt>9aax+Ctyki3`R{=1Y&R$sH4y!L`)H*_)LsP{-l*TgFwGJLmTFt zK9XC$)O>NlFViN1tIL|Kkykxh=o#4oBFk1Ef1OOabWP7+1^n3JR94~N26Y)KX)YJ` zmGe4J%yJ%RU-9B32MgD{N77ndC;HjI4I;|)Ge137(=tQoZXJ1$C|s2(tOI$nLe z{4guG%KE6X)vtvK$xGusa5gf0KptpwK3Ynnwab>FDKXb zrG6Tyd;!rRv1U8_k?rXBtK|;qkf-sg0=+@T~B*%RNA z0DxEACZJ}1OFaGe+k`s_oCGHoHPMa*=GcI53w99zr$C#2J{*Li2Q1x)sOo3x=BAh= zgiN3+;8>@mgYyh6DSE-pqNa-Yn?>zRAhxWoB0svDd?(MK&{!(|#Qn7^Kri`yq5G?kB_gs7TguKv{&#zdDNKK7iwqSCwXQxRg8P;Nr$O> zQ7vO6@%EeHB@;ikr#~n4d0GspAZTx4<9X4$+pF8(K&P$br9^p;`>weqS+N{N)yAQ; z@gwLP5hZms^wvS5$dCKFsrI{;7La@;xpS_tzetidZh1 zI3b6Sh9G@^Ho17f zO2@PIo4z(xW@{IBjA+h4zsiklJZd1FVH(VewuQbd1Z;BNV^2+Kaf;aR<~20v0kI?}i1EZIC1}$pWbO(80u6BJv%OX#^m4T?v72*D6q) z0a|yY#T&uR1r7k|i5aTgA**rv9O%JuO7o9@%n}|MVOIy&P(w|3b*^6zF?L!LSTwCK zQl7frvT&hZgCM-y&eEaWfI;=PFGA|u_q^2Mjzh z(9lxo<)@oz?fUz{#t@0Em(;l#a$%hqc0LSO#(dyW)&Qg2pPM+_%2wc)I{I<_EogJ6 zdi&hVn2^*7u6&B67Bw-^=s}9>Xi5foY)a{RFOIF&IT7N=H~%TX^r$Pd5?R;ST%jwt zcDkWA#w6?WM>!vn>k>d&=Vt^8kjYTkNP@LM}2kIVXT09&`_wQ)ceqS z*-Nkfq%~(~x?KB6!$pvGkmUt+HvJmu%0xP7B?M3WC<#fO{X z+i9;l1iErjnoVmJ|Jg3D3*xv}i|*dF{!lB4MI*-b-37t8)v>M*^~yx@^42QIdhrK* zvI0NMnmBxT*#W{}mR@bw3`z5+_BJV`QbBwuKx3ix%5b6Y3lJ7_T=W%M2%b$2} zu5#a$>Rk7$s<>(EC#ChUwKR&S-PieP+NPrZFXt;gxt>1jVGgYpG!?*1j(FvzSmGZc zL(s^SY_Y)ZXdcha+G(|I{}Qs}?}hPVj(A1J&6dHw{j+oVdKhnE>aG=Ls<}AZ?%@5R zv3IvPxYpZ?r&xv5*mEq)92bRf9bNA`U9~!4ThH+zs|7F?%)AoFxKwb)=V=X)7`R>b zcK26%fYyGYP_z34fQKrEvE6P6+i!syNYW8Of%h4|%)0S2o2gNNJq7=z*f{gJ~IJ zF-MCO9BbiN2@HWF>1rE1iSzqc`_soeYG)p9eij8hfY}dgYC}PlfY$;CFdI|}Rifk& z3{ea&!rVYJ4j`2x^YcMMOb6{FYAUG#8-3Aj&6Rh+-kbGGHs zG`56a+}*+z;w(pLY=_smW}YsX)nlW#w9CbWHUqf25v?lPxK*k0#mJVl8LWJ*=T-ORDE=sgS)H#2`lH*j&&}P-Y-?`O~KJ6@}SvYjoG$MG1^7oLp~~byHDV( z7|+XV1|1%6JpXWC&_3f?QQ5cY-_Grcpys4A!P-4#vEHO)Qt^M*n;blJZh31(9HL7X z9xtyrI+pLZhK1BJdfYiaTA^rj z^tANzwmHBVWO&rt0z2&q{?x!ovoInVRNZ}Vhj+lh_a*XEO54Tjkc~G z78Wx)l;2hScdQ4n_jaD~?%5X4a&P{3_T9)%04xfh> zc>`0o0A?~S4cbtPEC~oaJ;glAPD=RVDM4LLS6+u8M`Xc4wY5ltZ|h=WRW@y?yD3=J zDm2nJwiP#cE!MNCU}qd;c&z$ZGh!_|&;CW@jzRcMmSE}Pt(Y%C9&<&5^H0PeU4@)W z_OLGl;Vw$!Fp6(M{rg_pwNERf2f5e33mzVqPxw!m#nKBv4*p!-(Wa1nK4z7_6W(h=s zU|?;!F)Jk;#2Zj>!-0w>OAR#$G6TG|3T{~$SFV0-CUkyQ(q!4oMCI=>DqB(bCNm*! z+n}K-*F7Uf-pcQ=IrDxGpMpEq?3CB^r`27nBU8d)9M`|1?`HT!c6s;+uW7>!?_@ss zR_{Sc-Zd9ns*Rsj%0^W-Orj7<$!zf;;ZC z5@YF$2meGd?*cd-e?97@C(WdNdmR^-*{TAx(qY{ z3b=3XNBbA==uFMtJPsbKgfF11ox9YvCW z8V+axTX+Y^36*hfJk|)Wst#3VkVGLr8VJe34a(qN6 zDrjaXS8^R-6HbvS97UIVW$VIkXBS*K^_`=Fb4}@wr5-UnoI6JAGJfq;&<^~)Aqm*e zHi_oN!Qp^>C8VBeIB?V(e=v7PAA^>Xb|JsxtU$GJ(LLvY+~+i>;Ws2=)WIME40iI= zUBv<2XB`{PEBn4-EUi$d7=BzFE|Ev_2 z&+%Stc}DP___h0VAacdXQ@U%Ty>5e|2%P)J zY(Hw$hmQv0EJl;@C>{Rh?ah!C;kf2}Bk%G01VeGWgOPDCc> zb5=@*u1t0LT0)F~k1-!y>1a`954ckTxgB*>MgW7Z9Pm}iXONDNn!WeA;`v_{wVzJ9 zE&}mVr3Im!NoF5~HYX4&)d(S!27AIJP!)KHGV+svH5?sli4+|;XJWJDIO1pe=EF02 z4(4^$C0^!(cZ+-1Y*aHmm$v6d*Tg4yaC`>ore;%#r3G#68z2N8YPNoNaM5tUcTmX9 zywS^JT;+dW!#ZYPQ2Jk=anK`p5)zHM{n5DT)9!oyp^D)aO2zpYt;TFm8$jtTvTm@L z)eJ0+!jsJ;)L0z>6C>EHjx$f5%@Y);F+6~Uti7&(9(I}l0Jcg9q*jC*laYn&m}S}q z9afQ-a=)X*^Q79~{Y_2V#sj{YR#J-CFG2C?W-xlCOn|Hg3Z|iWXo~WK-U@@kpxn8W zClXwajl3af&c4YEI=dFd^~ddK%~<l; z_aH|DvhN(ZKkl)H8H^IlN7`}*B@x}YH}M^N|Bi;ViHl<#ZkQXm&sfrvfn53_4z=o zoX~F<_&}QjxSz`p0@_hh5Wwd_2W!CJSM-a~3c<7Gi%*`EbPd){M%%``=gp@`*$x~q z2qtlOx+Ki)>sUgQc2sAD-D>EEmjn(lOS2$>d3kPIS<@hV zVwjy#m++>2M<@OQn>^k0JtJHru7$RaasUELjVC5SV`*0I&!kCtD{JQkU8`Rkf;=eq zA9aZ#lmW&D&f2x;Eay$h$0%rSE@9brV)T|yb)*`{*kHnU^f0SjVPbMDbgX{-9+!Q=z&Wempa8+RJ@W zAg(oU4Zc9b_n#Z5{SrzW^SN)!PWcurw04o$#oGGgzRB(#+Rub4Z>(zZQFOp>ql@e^ z(xFN^^h<52(16uc(USPDu=XisCI%guUn%387Tm=i{Q7t{GZ2{oFI*yK!h@}Qx{mJ zaVM76qZYFdl5y+8^|aPs5+dIk55L8+Cj@&Z54w+r8AfeV9Y-okV|%AYAKF^@Ok6JS z$eAJfRn zrw-rsp0GfeI+>|UsFI+4weeVf{yq`IwjPDgxpb&tENemgOUd)Xw`SVKXCD6Ss4t`T zAoKC*0Kq9}9|^{ilGmxtYCF4Xdkc3oFL!0_lwM?t+`ddu>!zSJQXnz6yhw*xu3cz6 zc5b272UyUoXZV~Qcsg1adYhGQ>NrRQ(x#7v_CykbR36+LGLipeZ*dZMlEGDy9gskU z304WWM=;5H+dccLX_YWUk=pFtE8;1r`V-kS_tvS~3W5 z_=ps02B_cXgW|HiG~f8WoZ&{@A9mmqRh~0ChT?mK!z)|g7RE}qLIixv^mnmW^e#Os)gL=*TJiU{<8TnzCkQs}6d6Q}Zxe>3=QpjiqScv}k5}qgt>o@1byN zW!v9%G43<%!?%S0?z=CqS11~+-ON-4T@sPO!z=IqHaaiGei(PmmR(5LM325a&bpyD ztSga0mfsj5UoZ?Q@u2Xo8S8od*WPAM_FSr-)L)N zqQA##o&V;p$;gdh@UcP?WjXt&zH`FAcluI?XuGkAc^vXn|Iw12*=ag&FJoALgxqwc zRvZy#;R@En`9+sRFRovnqtWhKR$%9xWkRV*SWKCqkN|rGL6}==Lz8p~Nhd=W*5=7G z+XHF>J|03?;^99zJHd0z+8gNYP^0Wy7yPx^LI1P#N;*(7gVzJ)InZtg-tjlR?~6cP zLk;XM%XY{q%^S!~;7M?ko8h?+xvtz|+Lw=+b77|<G*Ro>r(^!PJiIC3Q@Y6oe4X5H_Q15echR}K?ieYJhZ|9*xEz!Ww0z9 zeSL$hbjx*d(F522cinC5_*ll6{)BLSFyT$qaGg#)9QWbBKmM>YnKwQbTL}0>?BlP0 zJG<S>iuW5 zKA}1_(6ndvZu+s0VDDRb?PA5*S9v90g4cXA?iO$gE>K!)N@KDM>Mll?e7n<4*;B}U zc!bAIYWTNt(wg$AQTE^FZ@gmA*q7G4w1}QGAk{s}9Mo8%02gT+#jCX0dD~S>+WPdd zUraG~?8dZ?tz96f<*1^Okhrqjy6&RDDIF5mrLO&(w(S2{tHeKj^GvE^x;(0qUiq9C zOc)|RjLKsb*Ha>MWCJ5&M#qiU7yV1XZS$-Wo)(HBk^oakjDR%VDO#>}RR^^NJP=z0 zJQ2XY{{KjN54fcBKYsiJL5J8tL%OUyATc1&%9Znw)BqRa-eqphE=Q$hKQ!qa4aJok z6&3eDEzRueGE-FCW?5aCqS?3{4e##v|G)kI=#iNN^z(VY->>x?@REOD(=P>%z4g7k z@wK4yj=^Sg9{0`OxA7N;%ciS-Fzx7(wc(O84&Dh$S7?a3pW-)Ol$eydo*fwOHkRL` z%N8akVut@+7r!i8?(s0aLs}}H<6S*rqIcJ9-$wk!^L4b=0e3#N{+cvMVW+4*YbG5m zBHTC&dJGFoMxRZ;<@8_j|L1PZN1gOLrswA-FF&~{TImaWqe5xzGdXFjN!ZR9>bmY) zp)Z+;9@QQMfsZH=K;QvG@3eGzcg9dBj|UNaQ-C>bz5o4l^LMt+y_S3ChSrXx(~iy5 znVHCI=pr`V1w?lq8Nsn(ZvC+C8nG!A0#}0wgyWO}Mc=uh^7#aT8H-hs2EV5Be5oAc3$G+zEiz#eZ z2zUQ$!sGAXbk$dFzuXDs{Q>-O5Y*duMqb}j|NDKycKfaR`Wk|-B|gF9H!kCJkE+n; zW0XIerfjt>& z+hm{7#oaW(D_Ix zq`2W+Cj5t z>!qj4sp7BME*7EM|Tx@!o1s>?s+2$H&^!B8D zJ(1-!9Q0G*R~ax|mJ#IG?x8_eiQT3{_6|9ep>#%c#WHCMcVaU4Bt= ztjEL1t8D@_l>k1&xp%q>6ayE(e%9~_o{BPf5J(x554IFN@`yfrNaFdeDc8X&QrBA) zUsn{jJmK$$viNv7w#oE2Op{BbX&-)j$(-`z_ZO2u(ppa70ZfBGfHWW=3Xu=;-Ak*N zJN~2jRqFID0lCfRVGGN~jxe501kL7<<04?x0y?vO)W5C~#k*gYlyKG$c7Jc?5zbyR zFD{`w_?{iUwN?7LVEyzJpWu<-hUVx^kxb@EHyH13}p!?Z{Pn|Aw}cCF6UqJ^8o60LV*D;>D@+x1tu-UapQLhZEf z4=1b?L37GL=Nki-C74*6IS!paITlsldwubzs-xYMgHnCNw@U@Li94_8_g)M)qn=>L z@89WL-3caWj=;MHClYAlk{L15iC`XfGi8M|sZ5b=kyBsXO89Qjs)Mi{(LcHG0c`9> z)4lR7Bp_`fSzR_~G_Tuyls)WRXzdG`3&A4DN%aAUhZgh}j%Cg(l|vBhta!b8*YLgY z_otS!vRx)o?nB#7pnq%>(X<-Y*5r%2=E&>eCBgcM2uF0+F;6l`G{aw&`pWa7b(^pO zi$fU@QUCxL3^uhfI#t%J5gGQT(cqwcWp*DGBjj!I~m7cF*Kb=UkUrtg>p^|W0V;<34!rjy0v(Z&(96kPBsB%W0y(_=LLGlzpIQ^v(0+*lg#qiu$%?-@4MMB?Pif zvrQ8q-piAzRt6=-fY1Pj@GNc;qKv3=%=`N%qxN(WsBDrl!&N!UTMl)#6tL;QJ8m#Z z-P6B0CFklqBP#DN~2BPA6ItqR!nau7TjMJ(e8`&w9VED_qnoB0bYPu1S$R zdJauloTKm54D9+iD z1$4qr?r%4`u(~0Z`f0tbK3+dXQv`d&DZFDdsWR*8d zD+KUFFUhsUboQ99Ys__;h{*@wc1$e-5|d#xmm$#E-_;i7{fvM@GY<8fWyi!e`IIc{ z5y>@SFo;fsKf}ZBnOVm|zvuuQ7>t<0+@}o$^9%lDV%3O=5H4uz)4x>KMf4cZ3!;y0Ly?cC+$ah`0{txA$%P@Bk`T4QKv-I`)w_tIc^-*f^fySAO{& zb41m~F$@KI$|y)&4*FY8OF@52uL}nogVNAU;iF^^MyOuv+o_5ZMkZ`mX8|i4;pItn z8Hl<;M2LfCpPN|Ho~BV80(mv3CD5FtWc+W(1jI^jVqby)1 zYQA>sJ6G4e8J!L6w26SRajapX+)3Q2dx~2Q=0tETAB!oY1P%!-!A+QW*8(MTnU8Z` z8u)bQN17vEjA8S^tQ^AE@-NX6abtSlFQpAf8q*>8st*X^n8 zxjGq)dV5Y2V3b|Y7Dm4eRwp&CuLmw%JpFbyT$krLu-e7n`03{TfTOYARp@}~(|_vd zX*_actsdOtY+N$`V*$M@IB+N?@yW62QS%6@nx=^QxYh#35|C=p3=r1{CnPPJ$fu-M zjwdstgRNI}!-bsq_;Eod7p7XX8^_-&o=v8p%LGF`Nef@Iqst?GuHsOEaVofqvdN?Q zd4=u`ZfAlUgx-NWNqm?E&m|=XP&q6iE(2cmu5Ms#H`ot`nDp9*5&`Y@^Kb!=)>Lgm1nv+F1sx6Qh}T>YaTySl)QPWq zMc{9nx%+(Lp~cJd=K&XRsP*~t>80;`y(g}FVH6$S);%0-3@SRdtDDorrTW#9kX7+5@9E34pNQ{|6CYR~@B0P5 zpfJv_itO}sT-*JmfDF8wZCnYEyE>o{+>n>CsbwiM0Z|1ZMX%GXm1FeRX@%dq(at+>2_6t$bTql0Y(u+cu@GxhQM z#Twq>*W+k_QrjlLQ>{?#Xg-67w5!a^5k*>nvR^rS@>HxQ6>J&MymT|b3Q(Z#gI$r=AePgQ__qV-=DTb#i_palqr0)~M2EmVmops5Uxr)n4A z&YTKy#KFVJ2TXdrG=cZ5y-w5LB|o>4YZ!Es_vp#a?WKmlMamC^5HI4%67xu>_J7LEhOMq$S;5XdelB=?xDOnRv!@t43e4C5p z{@-_muDK~NkP^^Er(1FeEEF10UI0NiBa<=F)94c)>}{A|Gi}(YQyRCrwjjlJFjSOJ zhgNY#JOo|Qz((8kci#tvTHJcSEG}o-uvnheWK>f*)RQ$5qE#2{`FCIG4%f9>h;q*; zu=iB$N1uq%QI&Uj0}Ql^Gf;r$TZzf7bUeRKtHM#{d?-%Pe<;c-wX!!<7ewo8D~hVQ zLm>Kry9VKr4&Fe5&QA8W{u#7?&gPHQSKeMjN1T^1pZA29REZ}lpU0XRm&{q<9;#tI z1u3e}S#7C-ti4Tkng0{Og)kUPge30Sig#3(3~VqI+9LR<4x4ZW208Nd0*QQG55xU~ zkRuDjhiLDd%5ID5?5_!nt|#GMSMN33bANJ6W$y4UW-o-h=h0_)xV{hZ{XZ?cgXMDL zw}&GzUu|YCs3QpX%`W^(RSeMwX+NF+eOIyPAY?Lax$kDUbnLt|Rri?g?s3D#C*3bf zQi6WO2Tex)4|A13|COFcQ@Ne$usj&qv?qO)Hk)-CbRulLNmCW?_~S zRfNI~whxcO*RrPjs;l!H4tjsT=kH-x@_)T zD>hPYRhpldlt4)kzRyI*8=C8tz_C0=EuP^F)n-O8%aeI(`Xp~J*cdD5StMb*)|pnT zN?T7uE&4?i=-*}VoJL5iE_O0EL5^~=M)z!cpU2w1ipI#i zhLVQh*$`TpB@L9;RHYCcOHvW~Ue~|O0Z=RjC~ziPuC~0Jifhj;3uDv(Db4yl_Q`@a z6fOhcRFZYQZm#%`P_YyY1{Qqa1Z&_q%j*;m;#vJJnS9{2yB=1%9R0+-122G04erTR zJyzX2JLJ@G?r;$MY{>8I3;G=V_NGfW_sWYkITi`ZV@J;&8!69x-gS_(R$btypTJJ| zW^T2@{!8;h-gx1_;B>jKi`+iQX-k?NXD`fn~G#&%VX>E=J3~n^tVcVh7zJq(N>_BJnxKrqQ)hVE=vk!O)9qrN2(f z*mk`B;slMoUuOR7(A~dqM?Fp1=fF*=LV9R%49Zj}P&^Cy$(E z{S$XzvsORY#z?`;9`OS$xovcPU;LN+w2-eF2cE^Fwjs2A0L@0`BV|6*vo^wy}X_DAo9tnjSV z*SgyzyYAofGZo5@dw*Q$KHWL}yZygi7w@eqrhlq*gsQ>R#56ikvVi9LMR0!5)8P4uZ; zF0^m|Af3$#!{LP7Iyg@$l!l^XW|r9hW2m9PgXrv_YwlIz=HUpWQg{Tk&EbHLKLcTY z5d)n;fGK(1R2<%p1#-1l^#nyX_McYf002BU){M7qr%@Bnq1Xgn=V+1zbd&I-_Vi%w zgS|^dt`!YMdHFknL#kFU=!>cJ1K~3zCW?Oi^=ElA7b`B(el;B?(L9yP`IM{l21Ed64-%=*mjU-bCJ%*R+>hPG>(nb?Vm1N9n%|Bd}d%ZooY&UJo??~K1yl78s~Z=c*gxZp2$H_!JyQ3qQ; zr_CR0oNL-~Pw`;)mCNy`CtXe4%H`Yc&E227qVcaZxT2)10ZwUq(>XuU|6#t%(Umf3 z9?Tw=yXuee=qzK|4Ml%F&~`)^%G`)p`Dzpm+IH z0w7VF(>i59oPx1H8XD$K#eC=<6k+&f{=3VjC^HGJm&O_hY-fq5CDn@2riAaXULMPz z5P@ooo58_oI-*L#OAqb))Dn{hH1kv_u;8QnE40|Gj*Zp$pywPGqr_}DMwLE$AuC4& z?2G%u7|O>A^pTZ#2-+e0__V~wuS{d``Sv}A!Ct1Tt}0}78qp^!oBi$nZ;%&oL7nm)d^|eG<2sPFo!#P_LLSp&Koy; zZFVf&tS%^Ue{8AsDrr9NN#|6wxVbLPCfV3$92EZN z>s;E}oR4m(g;Vf$2knKO!Swg_stt`}mmBjHzTM&CGXJ04<+eZcGXzRlcQhPN!FZkI zLli^Ok%+DDyl&^*P3Cmg9$@rtw+?sQ_IxvkL2*?ZEA#=JieM2yGxYGTc0$|MbK;}p zgMGG3o~jf~7O$5EhO}$a#a@8Vm6jP|fj2x`sg;ZY4Gt7G2?JMkpaWU6oGH&r(spak zE(1~6u(g9_G`>zPA?n)@=4YwaEv;%za!o}||49mu>_I9c{!#Q^Fu}_JR5J$fG}|Tf z?da)I7rTQnSR89AwapMw15e%lajC?|cK@Ll=l&Y*q!{UhRI?ivmZ8#&{YQf{Q?C%a&Gf0C4F`v-Vo68p z1Zho`I!W@Aha+{ZT88`g@5KDna(QXl^h8_TU##81wNEep6~8>Rf5?q;v3ks}z=D|3 z#>ZQU!9{XOL0cd@fI-0cQAgfC$1d0`ER~qWEeu3_ym2WmW@-3G*XoxG^CR;ipGuj_KZf4cZjRR7}ehEOQ>ZmGI)G~suiK<8UA!4S@X(U8f6!)N2=#nb;{NUJvhi^F z%c*ipqe5wq^h4{5lgJPRK!YP7uB5=vMfe9{_1GGmi{WDiOU|4gitd+;h{cfDg4sXZ z8o$jFsl1dooxA%4bs(}M?!$^0nusy-L_%WrnM9Q3LyO800Cq)fD<J)vW`o4M}Mv33YU+AQo#lB6f{5qZH;2$Rs_t{wzqogoA8}Q|iZ#o%k`<|XN&s+uV zsZ6#7EHKrDMF4amJd!VF^6gt0q|Y~tzki(%E^UmiUtB7Vk9%z$;jr_H#uXtCUi>*o}E)p zwA!SJhLtKk#z9mP_*eX))^i;}=kkUBRNK$=m^s8feLMAG!%IGX%lM-172A25%i-=% z{0*Dc@fq*I>bPhtoP)};>B(;sw^Tlw{(e8M`g-k8s+#-PibIZ76znA~vs4&Gi1=FCS$$S|`YO&sWhk^mIoE5uVc$ z=sp|2iCU?0)8A!tx23P@a>Cs<;eQ_SQ#G1;J?Z9-s}I+u=VSCeTOa>w=Iu~j_|bsN zxVTU7;ahvWJFI-ybGJ zw=9Ih-;Zq}{$B1IY@!$DBa`tk^C#E+3+C#-Wu>j>1s|WT`ADXxhB`8UMnq~q@Xz8MEpGMqNsDMgP{GIO-cq&9h+LbqEn@%IrbTV* zj;6JO1C=E*BOTI)%9@iPF4}b4d_q>jYLj;L9mAY+KGh?y626(vuLS*jX;|0tv|B-T zg7J?psF0ytPbZ*<+KL&?3OEd_5FNW3Xq3Hq%Xug;FhC*NK;*)R0fIS=Q?6zl#9`pV zAD9=njPu4VJ6B`o;8#%JBwF){@n-*v&!`VXygBkf*OKe z2`2FSBkJUa+0E-{{A8^CmzAJy#YnnmgLPU@`Ypm6B34k{{b&OIv+9{>uBE=R|8A1N za`b?Hx!TDj9vLIDlsMB=kVxDk%1(0;yuY#+KnGbo_2ff(1UqYT>=A9+*qfuwB#G8^ z2av>Ua+(#0B;ek`NB9i1ey})qpL% zBm}>6I}8lyQ@EaML7!T=MUU2uHTG&UK#zH#`OKAW$x7+3tdg087Kgl=IY|MH=ZT;( zDDZqqq#>r=ZT5i!{V|1M7-%HBJp`0BKbw0c^uKMgy4@=4xTv!)ykEO~y2sD{>~V&; zG57q`6}=LD>p84%+VvMhqy)W37fEDSd3>CG_@Z<)SbudPTBy@?c1G^4PcO>Lz9)Ur z{cS2v;VxS+s=~cE5<6tGGsJZAb~{i~LNml-B&!^d%y}*d{+id~t&$8Rf{L#dazz*@ zX##EqxMDl1S&7Q3xm`A+5!>EtTbcF|>lp&^L}3PmaNM0UB48$k`OG<|-v~Ay^o%_m z8knZFZf5Y?@NLPvdlT+z6)k@nx5l}-o)jJAya&n)WQ0oXdeij@#{gX#8AL6BU@Ay; z3_*FI%fP!lOeH>%dbLVt^F2*J7fl36SbM*@R%7J{bSTQwlV27fAl}GM zrc{hQIIcamM#dWk-qL(v67}gq=i`g?jd(eBp+`L6?}v*e{b81WkHpKT$L|`AUahc; z(u^mq%)HM7x}v6zawm?h#Hy9PRbF+eF|KLomR@E;)K}RJ8)Ml*rD1W(M0b#0uHC+m ziWp?msb>HJ&qN}SsT`{sFrRTEA!<`CO_eqwFg%n?W*BqRok8s+z+5kBSLhFvD?3+G zoI0u{bE>8*7>P4dIEHeB+`Ajdz)T0?qd%+qd3WD2TGss7{hyOeUVT+xt4ex0%C#c& zL&4tx$K0w+Ju`JZ9W3uJi!X{tG(B}hZ}z!ztLjw1ydDo038dx^ZUD?#KsXh_n0;_u zK0bP*+-=9*zt7Lpv|EQba4-^tNkqLcz(Lri&4po|X@oIjnN#bAWv8u{;i`+ny7vXZRI;Ji#7CtqX~afN5H9FPwBw*#W!#ex82W+_du-SxaHG1{c%Ei6ID|%P zB9pGw)q1J^xGr1HYdh^=@BZY6)v|fXmp?s%eQB>rPZnaeZeDN)b~E6Pz_E~34PXZ; zGZ;Jw@196afpMFONFGq{LL(qx^i|ymw(FKZ?}C{)lJZ&lvHFQ^U#XF%au}$#;15-o zi@}r&(`wa5IQ6VEa#?+t<}ua17@!d!Q?F=LxvdQsryZ}s@e3pW?ut+LaS%G}0v zGKne3C?63Vm~{ib5;LQ@1}Jml@MMw*vzr&+x%|4Xqg8DBpYEH_Ph2a&roo7o)rn8e zPwzbnw{mkAu1duLFT;&jXCl@X)`#AQd2y{-hgzunhEt!@#S}6RDzmVg8m}sLswrjw zzWA7>2%pNr48@%_-+e5+EO5#gyo8yq(eh2{pB=<_kTVxF%=v0`cdp6Hjigxyy#%lz z0VI)mwLa#U*GGkC{^a~YM0G`8WPayT`i&p!Al?2jak~SkXdrBOo(3_u^cgSBlf^tEj~i$8NE?QiJ~U=40_`|lUhjtHUGnr`TexbN-V143mKic@Q0 zSEKL6i85W8Pf(s%*6A=mZ+KvI{MWN_pQ0SH3Rm>yQO;x0;l*RP43PUn={(TOqtFQ_ zEm)v~2!Q>ZO(-Et4FZHOD=~u$6c86S7CQTemTr5NlZaClv!%gKZ>2!n;i)^ISKFWmyz{o%&i3?Z760&4*e|Ij7 zCLo8V&5MsTUNDcGU9AbfI9+|qF6hgvW9Jp%GAe=|-_b{j07MC(kw10ajP-X7$ks28 zKeoP9D2rS9s-Qi~$|(nz3gbFgd(Hz0yWq(TCO@n``+59&v4+dzwpZ@94ff+cm2)-v z2JzeWk)%~tS4tL3Wr=s)4s<<@&*~Xi(&y7!nDSY&ey?f^i=Tmx86UJ5@Y#;op zu2a1!m@y?QTs``IV|XDVySMvOG8qyJU}yl*Y(wHz&^9azj3ps%nxA1@2q)77LVT=+TCNn$v; zwV}n*1*$pozIfSyfrhPoUsFwX=5s9Ts|!|Fz28XMKGW=7*9;i$e;vQuywm8kBdxbt zjBWw6>@pVi;}$^#@LpRu6|a?Y9NYt7;>O!6RUUanq>!2iIT#4NU2C}Ge5Xypr?|OY zmWnMW80A-)nW!Kjw|FilRywyfl;4U(xG3B_H(cJC=b1$fU8`G)k=G8LNd9*+e+Y2r z$NPdwPa?xBOOIb%vR%IEXXrjVl*N{NE=+9Jn{ZS|2IwQeN#IHVG^}{jJ`R<0GLZ}O z=fT2YXgrf|c5%AS_{Tr;?N;twX01oU!I>suA8Yk!E(rh}W?~CGf@tu4>y<2c68OVM z0jmw5%asA=E3>Ii7LW}+6E@jIFF4x$Vd5T^ZzTed3>1uoX%jYb7}Uq2BsG?@YK=M# zRXprWfFO~2T8zP6Vx+HrIs))obkrY32p5_KHHki?*0;mSCJT$e>5W75Y+shfV9IXy zhp4{b#MnQHrJ&H85J`Rpl&u*g*HQLWd*vO;R`V5Rno#R|J=TewJxvDCDi{?!xGU1OY=VPASZ~fF! zYI0FQ3}ksZYHPRGecMR@e5U!`o(bE7N4wXDR(>fwvL748{6x=hn0YTPiq2CSH|hwp zkDoS3-juUID6!hnXyA;V0*9ybfUPo9MguP|CT~vr0PPz>LmKt(_tnV*M3}M)$7dUA ziqq#5`EY6mLv;q#(wD&o7UBbQm zS0*+^e)6z@wS~2#Cx>JE;+AUG_04;a z=y`C-VGIPy6Jh{hD-tk|7@BvYE?nOuVR-0umhm1&rH4xXCTdod8#;itoSdt8bS z^qgsI{ilMX6kZ!2dJ=oh6-qplICifp7BuF6T<#*mxR@=P4s^w%;czU24pc=T3c(rf zF2b}Us2M2mE&`ZKB;jySq~ib|*!2`jmpxYK{_}W>?bKY*?g9v*>&P-VpT$ms=csTT zS=eN*^3t8yg(e?iu>7_V2}Om*zPvb-e*x0h4R(2@5l-B&U-fBS35%K#G*zE{DU<(V zU~b(~Q(Sp7ep;Vj#)1T?U`b<{A|+t14xugRtyUzU?hXXYl7LDg!or`sDR%_9LzF4s z%)vR%7RKnV%93IS(Zv;oM%--?4CH@>k#_uCm=C1sIkdEI$+qg?B{Qba1yz$4$m&~F=-9>qVOoS%|+(1AZ5H>Vt z&uZqdT9k<@46i9a{p?2rOE3l=tT;YXqM4h_g#bni3w|1qpO?L|$D>s(IJ@;|fPrTc z-|LHmA>9{&Z?DB-j!tfWaFbz&e&visgz!)Gl6%G4_BQuQ&m@fkerKvHi{~krbYeg2 zP1~#t5Mm3iC6Tk@dpTmE#S&ujc|hHe(Uw9%i@@ds`wl`m!a}!L9&QG#ZvlJ;csvz6 z2DWnB_j1rErWVUx;^oTBuVbQdNKK@d&Y0ZKOkOJfCX*doon>Uu5ZPrcTpf8JNpMLz zU3SBPR5VxYWj3{uKd+zi_iSV^&q=*|q8X?^;b|Or4a%8D#dq|R!4x0~KB2;Pg(ov; zb$H-Dhi?bTF7#8K@w!Z5>NO~)5J$@nmR;#w)iyp+5Cm6=n0_E%eEi_oaoKeAhbJ5F zFRhn!TyYJ5`R?N*`R?jO+%4z(q~7AdFMBx;tmO?sx>wNOzopWEi8l+&_Y@#4PXE@5 zwLX)KPGMBk{-_@n{BFTyhH(ma@E7Uh#tJ)*lZ~>n*&XBS+K0|x5{^K?RSgWWE!?_nNSnIR zjO;`uriHGrjr@k(sInOYA_WZS&oTI$2@{hK>Kaf5vZ#GlHG|2ztWAAjl5z1|KLd#P zd8%ik8IBk#y%n2X2I?S&NVC0i4S)rKO3|M`GqEf$JVT&P&#(x@AGZs7=(?bA%5AU- zO5M^J7<7B`QLg};&zq_X3~r7kC~`5t$bc_`1Swu@xR`=22XR6ZUe8E_w#*dAM_>N> z{SM$AQ*+Ez|2w@f8zUPWMC5u!H=W(_-LG_UVaoU*>19Dj(0{)kjC6Us7B>ZZUpOIk zs=sK*>?tngiLYE<31jimtqd%M#1$t^+C3$NZc$cNisof_#`=+BjD&Z9>^n%68GzYs zfhJf1rGZVdI?Cm^xVR#pM$XX7$W1Pwt{KbtPV%5oEYEm3Q6%~P}><&#(-A@uL(eN zATa?uDg%OmU(E?7McYmj24fKF4tM_n#3%4TzJv=2B(N|G78z1~BtT+Q1cZ|q7Zvmb zhv>l|QgAcmJNjHSBTUIUKM8ybyasG%@DG+bib&g3-~0#E4q?ZA_1oa7)EP~@td!%bbsl7T=!!4 zjAD7rZ}$~bUGPqh|M4bx`!Wa z4CC@a6$f$)fN*0lVshQ;aC!D$6ZGmrRLBq<2R;R>U8`(imL9t0&Q}W6L~&Bzb$^$p zOPb+8cYzD4B%u|Y4=x&nMk;~ePOt#k4E5b?z|6J@Y5o0*p&;?|x2;TJJt}D;O$_Q| z5gASak&uDL0v{mIZDD{65DNiA8VXvK43;GxJQaAd7I26H0zU@$w*cZd0I;eN$JFqi zLfnKfooR&vK8_u%It&eIBAfC)Sjb90iA?v|^Hn43iHGS5Q-z^p8$K>;7=B}CT|eI) z*Y$Tn^yF8YVbA!$js)ZUsiTiOQt!)MrEmB2>=MW`l51NzkXnF?A+R3u62KjK3=y-q za%HCS;h}R8WRz>aRvu`BsiL}Cv_sVifaDdy7a#VV$ajYz6@v>mEn5eef?ZLMASyEr zrOdTLJay+=gppVR`}Ccz+F4!8(TkOy$P|bU7A=MjFUfwH#@|eZ(HUiUrZZTR=YZrV z1fX{!km~bMpwMAJ;6-@wL^Om4E2XK9_Tq!ApLS;?X6jf--b`NK)TvHN8t85VmEBAR z{Bg4g!ZIIaNVp{Mec-wgfW6YDH$5m`5YP_=vv-s}4eP#$Y9^dMp~M>9mRw76#EHXnJ=E@AvjR6E;`6>F7#dsLV99k) zS538m(3a$C>KJM0T&PID0Cto2#rgCP4)&{yQ_+PB zd2``LTg9UV+2Ct<)IXFh;E)R(RbD=W6I0WYKoA8Xj9>xU(!fX^i$O{@$IW3B%FLiB2jotqfqS_50HZ)+A{&Bgkc5+F`O|d zj(&h%SWR|XN_Tscx4&Ox?9-+0|8%+D!lPmL)k_TkFvRI;i4C3)!;N?tt6)T_k#wMi zXA?2y+}q4=J=0`X=zH=dF_956#*PYkSX+gUA=+41Xwvaa^;X$7(2oM16WpbPfeNh< zm<=XpP1`Ai42mVZ&mko@#ol?s1nQ1I=2;PYks@0d-46HomJ;+Ad0#N-}RxtkpC=|TV?dv1(z1OE4%8KLiu9bl>oZ_|XM4jPKuyamL+=TwV<-nV<{l>XwPCOrCS6G7c zSSFj*hM)=g0CWwDSSzSZ0!OPA%UM8#a|hyd%neSR!ot9b3PcJ(ULZyiv1pzq5}Tdz z|CnAdSA*`6Gwg!8=k*7Y7`w!-aWARN=ZWw!EZEh!Zx zy|b_&Sl5l~%s2>#D~1Qt8IHd9;x*Vua}o~q8|#!9HJK!o`?_u~1cb8mw$c7fx6FAw z5+WJ1DR^>v8V%0l*Z_z-Y%#AtXgYs;wulfGk*-R&ZDRgR52FZO5~(<#!Hu>~1d$1T z?R5DCUrpf%9NYi#N&WQiaqdU~D$H@0{wv=fJ!W>ZOL2uZ+O%2!SJuNZS*I?|PsVyl zmq{)uWM}X@K^S;aNxGrG#N16g1Lf?2$GAH6$ANec(nD|xVnirsB&c|Rl?Ma3mJ)Gb z8V<97F*gq6L>?Off=7XkKlkWmrxc5?>HBA*u?*t#Oa}NmmmCYQE;p|wqHgna1#Wmq zJoq0li2@(}f2!kT0StTumkExC|D6yt4i282;gX$(;1e@?x|fUnhY=(m6lQRtsZ4`i ztZ|-+qDm;p#TJu>;#=2p0!v-=#9*)D5y0YE-3%9*%LAA=7J_Q2hF~7d z^8T@>gGNM3viU$m0#n8&0;d5nMx?@KB;v#n*nZ#=_(h)aZM}E_Vf^A-{l|k(^X6x6 z3+#p4JSDJ`VLn3#)CW5~g^moEG8q;It`A7uL5+Y0Jty#&^*@_sOc@$59avx(1U?T5 z7(nJz{pjYqAge`Nwc=cWxm^w31;J4|H9Om!DpZv?28>QjdKl_xuFj^T@s(HsZ%r zkIG$CGCVD}CZa;1li&pdjhjM`jbI>DPyXc&WpqoOcBAtLKjB@OOl-nFEj*QY8Mb5oywMP zj{W!)_8TM989~J&RMZ^fUO-+9e0E!Ah|tRtGzLjofk(6Hhg zZoQe`bH+|v$t(R7w}mcNa&9SLpque^%DUt+D*+HeXaM-jr*M9z?KIou#1SsPGQ}%y z>a>;08IaLsS0=svL(fS|n&2IO*04me`F9H(s zm156NF*N~{mGA2*Bm~Ej=6`IOZZU4yNUbbtKNc3C%mwu+NVXt25-Zls%@8@F1$;~r*F@)@PEq$dmQS#dzVGWXx318YpJOP6 zSul`E>=6Bd8CL=mn=RrBP##!E@=c+|NwuiElJkQ(g;C8XEU)2ccKtPR&n3r!B6LvR z*6?#;E)|}%^*SHc93Vh!P35WiXY`jt95AfSUX0bnH6d3s@V5$#-kRDX5M|4?Hz? zyw$EJUz+TCo_{;>XzKFOU_CI7Ngm_TRKi&TBG%m*gLR@XldQ^=vs~SKt;_!q@zGQ( zJS3)KK^4LmgL8(5-HZzG3ic;xO!w}C?eYntxRg@hd32lbQ+N-NyfmRvFT+P%$iQV-l=FG;s``w zZDAL3K^SF>96in$a)f78BEb2zMSse^`Y0IG#@@uO>Z`Y@Hf#l5PBpzSt=JE9)AG(g z8+D^!nHi1rKa!gl?I^(B(!Sgn*SVf-$iC zL~2HjvnNC(oMDQX|FCVG+c|W?%@Ru*&tIw?i7n zmC|uP-_Gj1-HMsaE#=O=Bbjc~eNA~yzgpdQaILN}r|UMA$-IBOE_|I8-FhlPX;7gS zcboF+wSD!t*`5aluYR7caH#O}DtTZw{)>sOQ8{$n!eAF$kXylHCZjo`Br>ZOAx!fM zNTY6hUPA+`Ukvzr8KASEjJ8w*2{wM~Ah@XlIDn7xMHnSzR!TWi&FsecCp}lB!wpPk z1~md`J6(OVY~Wz}lq42qI`fH1Y#W&;#OLNdb5u=1a;eU<3_d9*-O`Z-!;@2_DAmXA2AgfDq^?AMPS=Eq}4CKcqu9 zfFhpgQuO@$ZGO4r(h~qA_%<~5XKr;#dlX}9PM|V_x*ai=6(|j@UDEpSJm&7_v(;vA z7)Wym4gPVh=rCL+66zSmr-^xd2u!{)A_#_zop@LDVb!KHw%FJ6%X!5Oi|}C9lDfyf zm`QoTpeO@=N2f6)WaU$+p4b1eW}ACoPA{rY_t9eIIL1dWy(sy9p|8uhsVVy2uRG-C z7uL?zM?LuU&aWqILk~|!7aTt*Brf>f(;s;mHDyjO>0Tb!A9tFL{(JrAvCdowX16uW zLpOG}qiPxq0djplB!J<>dwhTQezo^D*B#OW*bXeH8Gv+}O$0fGiv-Of@DpRxK-s>j zo5)Nd<(y2|15m7Yj(Q4!_+oIAPU{2Wn}b`=XacEXdMl$9mz>^cPyieU^%oCETJuJJ>h!YPm6IQLNBfeng~iJ(@@(n{LyV!tq8(`tspKj zwj8wlxUV;ZY*`z7b1Qw+BCxV787?c_npTikWjMZfWo=mh`g@i-+2pkMHzA#LGiTii zjld%joE_OrURC`kd3fWGMKb8|-d*Fl7rp!IZjcwIb)e25MlZ-c$V;c(2b>%*Fs?eu z0XV!v=i_eXck!J$cN$@(OvT6dX#DPjXmApm;YRxd;coFs4jC%ulwDX`jiK5#w5>Do#^S} z3j<9Zin*9Rz4Uw*dFwmfva=?!!c1R@CG=y1bNRurCBnb#vE|r!Jk|eky zin&+jt~KLUY1S7_%B2i(%QaNo%@mi?tSLt;_uR9bn#R=BnkJW;n$P^t|2jYC9Gl}2 zfrsaL?!B+q>%Q)^wviGYuZ_Rz3g@B0bR~Rl=2diLZ;M3nAupo(L3C~Af}Ks$?NYd? zSy(hlj;(;XSw*K#9drpLemxX}<8b&mDc>-JF#v$ZA<^sTh=RE3uY2#=&G!~5U)k9g=Tp8iRD*`{h)_fq+f z4D4a6x^2BjHu+zzwM$6Jcz0jedZ@A+8X!O+Nov9AP94pR;R0f{N7r8K_BwVJ{+r&A6w)7Piht1qma1)(oqZzw}-8|rbc8(5ByQ!-?YwWWUQ?EenEQarB36k zN(F+!Dxn~O!g_VE7E=?Qc*p9L!^Qf)nhx~zo8+X5F-}&8b?&Ib8^rfCXtSC;m1*y< zg-zs=;hys9>%h<7tleAb2io)SSH^D_2C}_{^h7tjyjt|Ht%v`IDc$}_$d1Z9yp>7;@0uO1N90@}&DbN2iNJm#&XX?T$`?KhOd%8- zQVIufdcHFq0sa+}3~!48i7J96GeF*u!66Y9ad<4vO-rGfL*WCDput8bAdOTaS+hpT zj1Mx-s3IVa&K77#W*ilOYfA%n`4HvAi(|XXvN5+h!8DRvgC+45+_AR_u7R2GHv@OM zXwwZi0I>O+Zufm!!6lTYmaF~j#L>>mscW{-BUhF<_P0)5_!`+4KIRhaozt4T6!~OB z{jh*0Ys~yw!*h&oJ3GT+^DHw%K;U&h5p$viCa`mq@ux?6DSoOMUt5^muE?sQi9b?5U{% z9p{bFzZ;Z(b_o&u7UAhTA9>*R&QaZgb?>qn4>2$|36I!y@N|ZzTrAz4li@z4lVQ6P zK|&!_)9hHKe|ZXcM*!rqm>6qpJTU`^SfrXPMk+v_8I(f;=!oX(c*2j`u1pD~BfGBg zVRBQdd(oOB7IZBdwTeRrY&&Jspng_!1nso31>l>+dKovq=r|)}527@}ab?7(2(rFl zQ)2`z*pQ3PbnLzyXV-0zQ#_JJ52W~PJ~w{x{HsV6t6`w+uerVex1Z1NoDUYnivHCr ztWv^@0RR)2pSghAhT}izUAI8>wnz3==bWhC@5Ng1N%RK4GuH4x!uJMR3eITtth>h+FLx6^TI0EK5g<4&soha$#G7C&C2j*EE--eY~{6y9A_;xbi7asB8LCIFm$0@NJsb(hf!=Y zvt6?40H;ZMo{<(v!^_#l=)^d7g;WchnnZPTmTWGlBNSPH{~`g)5n(FPz>-Xq=;`?p ziZN^?@Tn99l7BA#`PKMKRv-LjPQFif70}AVT3M~`0o64 zXN(`Ksv!N{f_d!g^|86V3|#?rsaQfc3f9te1-}go7aYFTeB@ke)2O*%#s9BDFNc!6 zrPICxrk7`a9*LLTn-5^+X z_LUkPhJfy5JY>JPC^+nxOCWsq@BR2>*cd9m&_3V6g z11yeN&sH$o|Jw@OB>sZ;J;UQa=w9bgdXw5zEd~sa+PTR&e+OcNsTB-jgY>KlW5JaX z&=M}5Wrv&3OVw_J{b+Yo@*@=Sinxn;s?id6dvCRp25H(EI? zD_3+rSrve9Pz8nw42y)b>#B$B-ob57Od;mKmyE(k<_tx&COB3WX2!Fq?NSW}o)SnS zlie-Z95pg3m?Hri7QtqbWN;8=L>PVuKrK2M1lOX>{TiUhGkHNxhI|L!TRbstLz?}W zcH&4->`DVO}on902R!GE%)onnA2xYZZzI7IJSTHLf!4601F>S2qpl%yK@-ZSu10qtNU6@ zV6r8hbb|U;5>&CES?$~_UY=I0N@75mlf;$BlPN_)l5N!$fkETtz~I&p^IHK^6aC&+ zM!jvpvcVzG=U%&dNq1_V_y1&QP-7*aq;P;Ec3-E3=dN9(yT~$!WQ1 zWZo4)jZ0u*Q@3c_Q~=bXJk4aT*0LjJAAJ-2c8j)pv8%+ zJNfZRom*Wgyd>e5rPtR_@54&~O=P_C-1aBWO!UXy?I*Q{_WWGwrCRCED(fmAYAE9H zRCF2{!Q${_Q@4eh=aj{-Q8M`!XCXWi!8Qjuf^HT5*O4G3T2>qeSq6P4huEbjo_(cO zowIGj+D$pw`wpdBYI9odXqCPnxb1o+W&iZ|I_`7%*#!!G2O15;g(XX(A#Mqon*L&Pz}D+!yy%JeKFhm z>-+Bx9`yf4^Z)bV@Vw`WJMgY(qNF&UsDTug_H!0UD%U;)zQ+a;ZMzi4iF&EaqwmZLc(fiY4_TCo{E|-r@-S_*NCDh_bz2wrn-n&$^qVqIm4GD1a< zbD_bqvTQ4zNi&*c4MLC4Mb+A9nTIqSyFrk0l ze(2mnO?1u2@gF^+-{)pq_2y0uc;_#)M&0J!T-*Qmcy!Fs+JOPjuS;bosHwxQ!#8df zd-xxV1z*2SAHMX}COH;EVXcf@KNybvsu$3rY{niwJ zT%*o@gi4YipiO|f9K#(#Dxm_ZMq`VB4KDH-^HF3yhw>5x32$WVsB!$fQCGhf*r5pb1pr|M`NxN#ek z_)3Lj_i*^|fFreAez>izdSbU~Gu+^uXx0c3 zt_0*fC@tz+mpHtLz_w)KG^J#OIEX)N6+&L%H_qo+NghvJKyGAglc*fui zubJO+(CuB#gvFvsq~%%{OIBc+gw4l^Da>iSBbQ_-9JJsVk`fSb{6aC~vycSRxi1B? zZex4PHXPZ&*?REE)qMNQdiT%P>*J<$unlE?wP!jJ=Px_7mTMY@^#?}2StWk%TJoFI z&%F~6Goxxasa6oxw>!JRe0u;mi>RuH1~S{iD2^JnJ>3l+cDqHF{4My0AC#*cj2@f` zl{JmL1vxdf>Z$rulNVl{E!T8BH04HGsN*;xv{3R;KJHYmOaYV#G*w_=R-_1T15#CD z9GBnR!N#GS<88QcE`}rpWEdK193d6-Ey?we>q(U*1$D(rp!QJc5eYFFiO1~MPK~LP z47S964s5R(X;lC)yxJo`fG##Fkhf4G6D62+&N-$p#|`(g`tIxvz2$Ec9)ves-2~K; zWDAaIhnH?)V^Ap0KOyx~iTKZk=cJvimAY6CXmB z4bJVHwu+y@tD@vb5AsCV^QC|7_-+(~SLk&Oj%_9j>-CvSvJtfy*Fp zl_(2(yqww5oWOk!GlaMb2K9MjqqG7@;3_JL59~Xc*DL@c7+)vmH&QHc?r3I*5Ciu8 zB8ml{@gIglC_)`AfV+0}0suJ)+SNTl;)vR4`5<`9+MeUqpjQXF8DVJFpwJ%2AuPal z)?ile9htw8baB<+L`Jta@syh$Y#C(d{z2Iy7 zz4t!<`?&+EI8ZfkrBXiI-)q-Zwna$gtI~MLn=PDLt{9BHw}Mx@q1ZasZ=EV%2?#7N&1>g|k2Y==84Vy{WFvk3ae*a@nS}toCKQ zj*1e2Z6)>hl?C&bL#ib|KP_4UHrpk*SMHiW#!3$ChHC_i5+GO%IP^OSn z<0V`mA&H2RTVeOqQNWaI9cQ3{ct<%MaVEIJlvhXUGc^1P6uwFi9d~P-PIFP1Bc~cZ zLB3My4x$>4Fb<)CxzM};Q@=#tO#1LvDb&57PJ@)8LI^1d?7vlB3d=9EFF%=+QCA^C zD^h$tN=Eh9Fj~&dpSh~9nEJjUe6b~jv$ss5iwjGV}@maPn zk?JiCzYd#UUD*2AR(DfQ7thq{{)vt3c#n_A8V3gY7M~1^MGz~;{9R5pEx+tN=``_! zx}kAq1vSyRYs_SOl$n1v>D-&VmRp%odG~JKtoeNBR`UBo6GK*py4KH3389r=;YPA5 zaVtKkZ8xquX8MOgquZ+ize06eo1{bKtY_%N44`G;8UU)lf=t(7#`7?2Vm$biW6^L; zK*w;YokjFBG&&xg=*~eJC9A?+N-*D%F9o8=IT?Bq5GWkb@48)`-O)JM<3%VDzLKWK zgiBSN{A9L;lf28{N5lAzfO%+mE>Z7dF-~DX-|9q zGe`S6#islmwC&yaYm(FRw~OOrR9;ag7F|qT^VpuM}}n9M3$#Dcq2=65x3@)qm4> zJLN-p`=U%9s|!DPXSDy7${>3j48SLwU;C}UW&ZR)n(d^16%n7G1Cu`(izQQY4nD5nzImrbK0ptyqPw zh_K8s2By`D>+jQT6Kahnj5rzJ?Q`xPB0`i_Ck)a$j}J;RMy!{2L@oQjhXEZW0pcby zo+;5$6RqFk-QHNRp;Qa7JF6XX8HV`uo&-xqDzfm3K^a1^beAdVLJnS216-__TPlJv z4UgLXp-tB;jdX-t8BMzbuKPj2rIvCq1oXIg=lWO8No;7HWP7v&zD7z40Q_qu>Dlu@6L1jDO9 zglZu5sGx8l!^xtdEO78bnBYx!CHixKlk2Q!djIja;;rV(O^0-Ilh~glZI1qlh50eXC5TJ zJ$xiJXmgQrCQ3DzzIWx;7ppF_@5e9S)g+qd|6�|7q^=gA<>2`vwOHLOr@_x*_)^ zgB*f8p#@nNLg%1>DdES}dKK*8XrvB5WEzh<`6rTCx)sk1LmDA&TqW9b-=AOs~jiCeR_%)-N_wqx| z-?VqYoGXO`7abIf!r?BXCN@*Voh27~`Vgx|I2AStXX%Bc0=cE0cI zPgQn^5NaYkhu8$OdLT)!5&0$VqgLmAy>mS4`!`6)Cs;AMSX|^3k~l0 zrRXpIU%#YU9HosaxC~7xpJi2D$5?IHg>@PPJ zW<@$j&qSd7sD2gofn-<>U_|Tj5PDD)zF4}B$WclZbR<60SSxyDb=A>BRIzjQaN+&7 zgCVA~ZNDl5oVs3LXZr$bF+_%6P(qFX8xW?BL5g5Whw*uUZ!$7VNL3a>?EJT3ELoBOMq^A`V#RWR`J+=juT~U^ZyL`>?w(Qa@Z&J(#(UPiQ z`ZDV7wAV?!0ViG8&nI0xJQ#`y@1UXCkn~-8L%DQFc@Uxc*!%gj4Uu&luRl>>6A{%s zJPRFZCa(@EMxeVCCk!&EL%C5ZlocHJPYdQ1pFSR7=U;t7Zsiyi>mF89!T9XNIKjBd z%ozLVv+S#PjiU7gdj4qn_7H<$Z%ZuJY~9n$P;IkyGj3S)7KxISFd1G-%}dTCQb;yc z&&aNvETiE5tl;*NWS%tbc&AE~h!Y~K2O3HNDOH@r7Zc(jmw^?wb{^SG;t>>RUL#b0 zMp3iSoHIGF5!};G3>_=!Ip6v9{@+vQl2?Nn(7n(>fC4Sn>faIJK&HSKa@2sfQdx6Y z(3;;f)3D3s(ym}c2xW~B+HxSGE8tf_b)|jF(v+5+nPbZLOa_RZ@gX(*Q$tPL`(>NH zb8b#mOSdcy4$m#V&bB`|VD#?%-da2VK3BS{Q-fLYZrh`!`-0_Si3DGSWxTjIubHd( z?&;JJR!0ltb`~bQ5=lxm{2&fvt;EtX=$dsRhNvf(Ae3R(2Cq2-wn5f^M_qLrJok75 zXZg0yLuqD^It@Xb$|Cv~(64>|JXGCZuWdU*7n)9}<#p1(Q_Q3U2X{;7BQa9d+Koo7 zDr{MLO?dh>8(#7$CM|(xffcT!SLL$flMoi|RKd2|2EfBtw;aIgnsgK$u1kuuB@5B8 zU`i-}2{T*bp}>VILM`az>zR)cxAW$vjSE-q?V)}7YoYVz4;L*zc%M`Lr{ICVgP=Pg z_l2YZcCbtdu%*(SBEYY+)FO)t^Iz{PJ4!kEed8!~JGZVt?5C5~8kVowjK z4|u-Tw{;Rf>^Od<^jsNAR8d67;BB`1N58zBxw1L`96j7r);)yT{{E5QG@g{`*Y8Mv?RoRugtGM}#$Viw-fa=!4%OB1FLO zfn7$V04m{89GrKh-yiZjyH1VxW~^c&cniJ+;_{j70e8NNXU+3q)s$E753BmHQ7BTW>Fl9fzdA4Ln@+iS3WhG@>nP_Z zXNadb zXpf?uB1MGYGepShRzu?WtBMUssX!-##`B03rh?5xek%n53>c=#XuQ7ZaCF0~(|4E7 zf~}%ypO=H*O{1ly@n5bu7gW;LISNUd|`|@95@Y>$Q)?%LNnMZ256!Y z=XHGKaM1g{B^NzMyH5UywjQ|Pfr7SgOXoh4k~-dor~FjU*coN* zp@H1VGY>i`V2rI=;`1|-emeJt_oyQCguDIa;Q@N1S=dZ%wH>mKz+eOyY%)mk&N~ns zV0hVcL64J(j~8%ILOionhc3(%)+W6a9Z8MK6gdJxu37b%$wMU08Syz-@Nv@YK{Nsl zs4@n_j=#4UH2pH@%azax8t21bH(dG2eCh3S_>h|Wchz7%(i%H(^k!N8%Eqkl_&L*Z z!+kT%?m95|l)=O|(JKE+%t#3ZVKm6IinYi>0fZGzc^s-_aLc;V&U#~_O>PA;^m`)s z`<}Y2)J(I@Vq*ftG^4rO`NXpOjlp3-Ua%Wf-f%_v2#F~Cnbdt8u&U|i z#FS13zqTx_v}6a)7Zy;_iM~mvFl^)PHH|6>E;iLm$QMLugIBN&L4)A7ZkL=6i!9`z zPyoL1DiPnZA&oHMogWWIOypggar0do-;^H+9MuOS8^0={d-={Xf4`X$H=oHYQM4^~ z#Y%o(^J~bnFz+sT>8-BWW+dihTxk=WMG1mBI+-DD*}$2?i+A6Q zYklF(fCsd8Tcx>1?pB-j;CYPBMS)^n0c0 zZDLcu>DF3}!b;OGOnAu`AzBmr$1HcMLX^aE^h*uXE58eN|G}rI)G^!JB z>h6Ib%KJXvraTtQy>x?2Tuxad5@a(?Tnr2k=1s>7k#g$|_tKO9xxD*!!*^VarDC};y=U#Q0+Ls>2mPi!y zo7X+FX>XTB8h_9Bi?KRre)ZhuOy}y8M@lcVK4`ngI0y~DzwO^JaVm=#7Z0U9$@_C~ zX>Jmi2>YLek^kwQ0RSLT1Bj`na1pO~HH$|QuvlUo>8yM8`f+%m!GN zW%u&ev_&*0Z!f-KD15hmi_vLnM`uh=M9QI@X0zDV$j4uo2SW6BxP%<<%ecAWJK0zVknzOx9{!?~6G8&T=H85ruN9xmzP64xS`3 zD(VOL8|tn)SDeCTTQSR~jB$Z+c*d%{%jj%2>G;IZF&bf`(r{@V2S*165DkrZOM&6gF8ns#$8fBgDXGrn{Y@gN4{x6&mWy4E+=+>Qf*DvOB57kf;!_O+Vo4w$)o+mtkIUbNWD zNn>BBnaf|4*Vwpu(8y|dh7L1Lvx3%WNvT?=CLaYEAEC&>zr3f_MxC;s-kHXMzAy?O zBSf&hh_U{iE2k${Y>rPlJ$ZdI?~W!(97h23k7d0&BTmVH3z-0?m9H$tU;s6?fJr%^ z9VEvgLZ#()-`(shu3gDj1e6kO6%?KYfN&GG#^qj4(KZ?RPQd&_rQW5kZQ^0~n9hZ8 z1y4#STPXWUkNT}7ei3N@GH-vqHm9c-F2*bc|21}QV#w^kn9K8_$HgAD<;4T;e$kKh zCL&%`a#ptn`nL0L{@pvL7T<}u{=-~0vW{O&gb9DNOlSm<(7~q~z*QMy;bJ744kb3s zE)V>&xv=4B-+;-_y;onpcvU`f^(C#MdTRq{Y5O(5hIfp(XApnOp9=r_+5^ypu_aNx8DcE8WDqMoy< zPX?AhEr%RRAM|$8t@&hC_1gX1wH?Fl(Ev(Hk4rzF%VNKc7WS~KE? zsj7pZ!%i!29>|WOY1%=thmS!S&yU=hwbW*P%O`QU+LL-Ap?4>pTm7s)e(=!rFrj#9Qblm#j)?`4XZ~&B@;7Ae5k;F7H zHQrh38-Huy5`>U~fXti&`EMU(F6-NwzX~F|XS$p-zIo$J-%_VD6I%(+@35gaDI;p@ z`&$J)cDHZET2ZYj&-+>t6rrgi6X(R~+GuO%ubXZ9u^@8t%gi5UxrIIE>NlP^9K9Lc z2ZqAKwWe;EkJQkPof)>0>N{DI7DHLVLbC**e>Vti!6V45kf+^qv#( z*m#I%lbAzosUv*+RuJAe_Y2h#* z;yF!J7v-prfvSk^WxHU0W6|w#%eH<-V)Z-Ixi1Dn9{PcO{Zd4&YKeguRT{goZq%lU zG)T+6v(>&@LEV#b{K0MGVhifnqm#RWbCM&K$2-qnop)Xe@cO!NA>cMk|NOy)A6aeR z&YxTO{=x45Exk-L*&Vl`vdb6M+C}E@mZzKdY(8S)D?<8&=#hAFMuG=klg%M#CF{UY zND@sgU~qWKn6?YdSNNeT3QwF{s=>>;f&PVO8U+P!uw%_+xx}Jkw)a`pX5gQ%r zakF6mILjrzJ(F?o(9G0G%&D=4{zG5yH{7;(y11*lWz6LEKtln4$EBQ8W&MNgchKAB zZ>6JKc_y0oju>bBoVyY>H~k?wd5z0hkO+%BFix&CY}RWM_gGHrAgT=XFTp!FN* zk6luz6RfH@f-6hwxHSjYNR|pwlu`*cktH9jg2SNcX6)oRYT^>8f;!d}M$1UitT?`- ziSPfSqK^V1{1eSqQL5!ib?4*;H zYp=RoJHpgk^SLd%BC>nHatMc@73&m;4<$jS?MZLNXSugsoCdP(qtsxZXbb zwp*8*%$j$bM}>Lo?i|=+6l33d;hhXiG~fE8c}Pu5Rea^z)U0T*PX2s!S>{6_NGS8CZaSVy0#!i!AYQ-*Bf1k# z0cE9<*o$Ub)NP7_nah4I>F$vYt!EmnGTvO{S<=bt#CRQ{Q5r|01V$I9>2cD3ICrLK z-Qk$R-vnVx{hFU@9cL&Gj^hsZ&<{yPf7Qlrd2K0Wpx+Ih9$=Jy`!s($Y;pf}^RplN zhR$EV;y*p7@$*e)vh3`hMrb)^^D1vh(G41BavH4YBM)9}SBAgxFDv~B-aV>w=NF%N z|DSbBx$Iqw1NZ$>d7(iQRYEs-@V}PcZoX?^@cA#dX8s-?ERQ{wZWvN|W0pTrHI2?H zQs41g!+gTqOpu7{u%d?L|=RXdh#~Z|R-s^z3k3{;jSL-93-nUKFT4Pw`x!x4zB( z%qg?;FhQ3lK|hBFfrQVoaarEEb86|G&f(<1g@W}xp@Xe+mA{!zlytZ4_2ogj4ee~I zPPqpkOVO0TgyH63_Zyz_glhkVmo+o9v*U%{jP7G5=~fjB<-f&o7@K~d_`ScigWcFI z$~6wSF=zZ|cG3OAhj!iH(%MjZ^T6bN!$|9|*$1lE3~u{SoWMXZ5M8<3Z71xxrUBly zW-)(cl~x9%-`VYD^6Ra`8Wtf|2Ou3HZaL;1K@l<3X`|n^-j9f#dw1LD!LExSS0Bj@h5-wh~}y z^%0!JNl_U)^JG|FIEOX4Q%hYt`FPf~r)X7c5_k23Q=-&&Oq@v1Nq+0RY}2j+zaB50 zzPk<=jkE50ei~WWQ5)0Q;K-qp?g`$HT{u!9a3MERpK)avrgdH8ix3KS z=2}Vv$I7ig21E`{d2bnJLg<7@3MP&cqJ)s>CFCmCiAtS+JKvN)8M_cWw3N!2^~^K- z?t=My3|*xAsNvUw<)i?owJsTz8?Xm&7i$-|Eza`ZMIK7aEqmjcZj>BzQX0flVg+~_ z*}5KA_dcgCjD^1+7BlPoxM3i=@2LWaYz#al3=y2>_vYRaQv#mN#RPbVn2v`YE2vza z{(JH4$QQfV#~1YG?kHip`O9OQO+L0P^#6YzKU&!zT6uFreCz1==-0p7R?G|9h94Z; zy54iNH^kvgc~^)*df2mRp@gnQeTX1il8fQC*Bi`x{NU?ny}rDj-LWg}o(lqM&W^?AJ$cg71px3GtDt<3z7ryrk_5fUIt=^A0|(ZMPd`&iY*qsiy8qk2Q1)A! z{_onBnz|pRej8vtN5hn&mzrEjx!WH9G*$bgEK8YGqJ*(Msq&>dm4f0sxjxV%r#PoV zIfC3_RA@f`Z=FMTr#l{%`h6#eb~M#Dn%L~Go)%rk<;}afL>#oaJMrvui21J^XRNeB zNG2w8(^#t7;Sn1eFcQT@H>Rkwm~10gd7sCaY4K|_l}FxuPX!`H;?sh8Q2YR$WyyAC zNhw_X;C+YF4JkJZywAN2zs~$MWNtOEf$+%TQo!Yq+R$RaJ<4}F4qiB7Y-%`_sbA*3 z?{Hev$858je8kN0@qN9APZ<&%I2a`uovGF}`n{1_3FLOHpA%;?*x*o1HL|0S6nEX-dpz52=&Trb{E{u_O9SHSI`Tz={ec=zYxY^-fc z`Pf)nPN9G?ac=%X%0;XF2Q_qBAAA@{HzuDp-4@D%XE@G4)NmL`u9Y#g>GI#M*Lxp2 zw%hpOqao(6*L;k%O^@DoTMj<=<$79Jx*no|b)C;yo1R%Obc7U+I5BQ$`XDL%uH|@E zCK~!Kx$!mD!2|XFrC-Zkm-}MF-MGswD}uQZ)nEPp+k5~j+9z)R&5_rflo1Ap>?6H; zlCwzMH2S9K_4D$s%AnkoO+FOcF@;Kj5R|JfHT4WI1F4en&t?xO?W_&rS?EV|Fdy1< zTs8ZGj%x&e5xx@Qs!aS_VXhnH*bmvwz^SL0Uyb6gXjg+gOCx zwA~g37Go6I5cN8GHlW~u)u!C9iNR*Z;|}-HJZwem!JF$}+xE(z;k+mZVA%A?t8&2s zyBLGMx}}ExS1EAv4Y-AOr;i;yxLD2x#B+LtQ2Z?M%d8h|s^-OKo9Ted<%L=nT%rVe z`qsGc(gZ&6A>JO%`82bcaWp8??Ze!KP0m-Y7{;GpIbUNQS(v;6gHY^Z7p|A?U#u^f zzhLKi(?*am|KLBW}I#`DJ)pz z>Ih&c3Fl~Ze<}K9!bn@yL}n?!iQ+;`q>IoaA~!r{yI#vga$Cb~roRG1P_UxX3+lE* z8Mno8jNei-L|S8_Y5$6SL+Fik*`oQSlKGSvaMVz%b(6S57%6kX<#H z#WA$W*8g`{iis*nL0!QyfjBr{k^I9C*&=>QydM=`C3nV>5p7d6T8v0pD4qpLM(H2& zvKPD^nTZRP=8tKos@}ai-DkzNoo98CBN)nM{j%4M8B!!^@jcuzB?G=@Y64<^`8ww3 z+~x9Y`_cK{8*{_@y0+h@9wz^$_cCH}y>;C4nH&_~dyd;q{N5xPvmKv5k^%BGM`%b~ zy+;Vx58G$LGsCoy1b1lGS8$6QH3tP)@MczO`G5vX#~J5k7BkS7mu$Sut zb?Ch8L1RD9iCELDrwW1+94bLK1K`e@(wi1wIsQh!uccE4T3BLYx$CSbt%aed=JfO4 zP^y&s5KT<7hWhoKYJRRDP0aNzY2G7znVtg8 zVqZ^*+x=ZxCybp(A_0qDV5_*5)T(&=DrXs|Tyf@YyxyZA#CztSlz+ae%jWgFILEb| zEwEvy><#1~YCg>Nm%b9k;H84>eaesm`BUzi0(p0F{8JAXka$?fsP~=Uu+KV0Gpon@ z{jtm)9tP4re57rs*_OHYJ+qMm#WkKkHG7}VdH=xWf?A4U<;p<907F4Qk=l!eT4YB{ zrj`&TlA&Q40pyC$VH{=f8T!gs{+oPN9Xe3!DLiy|3Tj-SfI)Z7dS+QieMtaU-d%-# z4mU+-Vj+Sc)sxf5&Y1Vb7RD^idPQjVQPQu*l%$qrLjZsXVtl>u zi8}SEhfZUX1s+95Y&0bl7jzc&U01qIZV^cR2}&Zgv%rO>=8-xUJkxXam6jagi!n(3 z$gEIsSMzxRbvKh9het~+>2~dtLRIkEV39E*dKr%bDI~&pe>MN;t->hX=-5#f&n)aw zwCMix(;)+uv$d-8VYh5bc*MQiswNg*U9ceHS;=rq(G&4}_3?_n3^)vyRdPDN>#-+k zPyU_4GyXOA>#OdLMf#Zp<>}CyZGyQnp#mpD<4MPkZJ1msP}-EW{FGImeP;_;y~YpG zAqF{(oH)DfeIHj=ZnK{i*ZYSx%~-$GAlyT<(QjX6n9E-O-sFic9U{UJsvgE!V6~{v zFjdwF@FG}9Qz$lWvS#Jx$s6fgq%I4k`G57b81a>CnKWyHtZXhOv+F~9mCXq$KBPn) z@bj-8z0;q?w)0=EHBF4;5-FG}z^*8ed!e8QZTQb86XxE=ziu{o3l1fg?jQV9Uli2* zweW-al+=RN7*9;dh#z!hK6PcFiOFk~Bibu{l(Lf9V8{jJfJDQ8L_*1}f#(NVeM_^) zbX_}TX@MjPKhv^)%mjq6ciq%`*1O-?Q%n{X z>S%39+wf_&GMIUuwv=C0_-Bm%O}96dcbET|b{TR5N0>2C^WHLA$E&MT!=pieqJ|Gz zZ8)5QK^h1~r^VL)_q6rT_lipMqxK|AI87(`!2e;CY9dt7-1K<4S=cMTvyWSS{=T<3 zJDd-xm;yoA5AI&=BuMGf!@6Y9sZ^y2pk1|{ha}@re0PqtqFE5cwtZoP(1mbyfdL!n zGx6{*xA5JRA>~wx*oZ&VTB*aVNpk^HiO7CurXX0x5D8h=T-3Qr?z5)%!_z&o)81FM zk{uBOjmSqpr<(}Ge++H5^4pe+1+ld;kAI@24H`LVr3aih^geGKz>ZjKXrEwdQL8%Y zQ5=*dlZ?TWbwv7yG4AeUM6}u>S4T|)i>=fBSzA_5fde@S&cDORQdlddVsU1HQMrkXIR4~*HXFXgx((T6eSYy z97s8FC_B5ev#`p+wCGk?U=0i&2mO1*I0wfWBp!HT3m!F|1QuEtk`nDMLst?-Jb{3R zR)Wq&fq{<#am_&BVyEyh6bD5_Z?AQk{Mn1IZ=d1${3hr-VPsC^u0s!TtF$P7I!%}+ z3U7G4yf>R^dgSetQjMS>TeCDE`2#HlgSf1(GXHSa+{JjnRAxtPH1_Y$I@e@wU)SQMr2devXFEMlTci9I}e`NfOKhVg*L;t^&NX#{~A9m5^FF1A^v*O_oFuG?`T;!VWm zBJ@L$W53Gv>WEk4HmMT{=a9ki?L3T8Bb6_vBRj5D;Hx0$r!jt$IAqpuTk-&30 zBQ$0_o&!$~kF5r#k}GxnZZNx#az>rnxH;j{5kHaL7Dn$@TByylx6Zpa@jUZXHmw~t zYj+;GD!y7Epa0hQ_b2jc@3bc{GYW;KJ9FIdIHE*|D=$9AyKw0pq${%pu3G#3jNNaQ zRX+;oer@<8H{@wPgC&+wVZyVUpAG=$JPkE;`(vqqS0$BDV%(_t?eg1}UF$FTQA%#C zegEF4XI(VmDawb|q$#75>xcHb=Tx8Y{E#JQ!mOVJXn7-u?34E#78GG=nQk@lVj!yd zQUsfb$2q<_;D{uUo5?%!f9t7wSNNNQuLnOPtAgxKP!hP36g%Cj|DY?P4q7fEHw=WO z7_t2hi!0q0@c_#RP?{8H{}RLJop*uxtzY^M~LE4rURL8;GyUhMV#;Ndrd;*$$bt zQ8z;$SiT9(RWl`R)97I$+{cHaw`r_(H+vYDbS$lS3L zW@5uww_kSmPIgLC;0e%3+3$T>$+Mwi0e&@VC!nx8lg)Mb++=Rb&m73JcN#;LxWKr#u_24o@U4{9Yt&c5tKb*F+Z@n zA1RiRQK%CfiglVZcygk+a1Xm8+=mK4D+^fP>VG@uwrH^eX6y~q+e%>+2&a4b+P0cx zz5+$XHuKT~`Avv~DEY4F+|9^;#EUHpeJO3DQ6qyPo%+?g3--Rdy`#LRt#P2`cHz$z zrDPioKAk$kKU}9S-Fi~-X}g1S#G$5mIT#V(mTznSQ~x>S z?b0~QQ+zO^>r!dqHT&}9*;(&H%b)MO1;&A6iI%4cI65BCZH*$X(@eR$GV{pen0i(> z4m2AQ5o6y8flFC;n8+z=Wz((xvbG!J19v9}4%T>3N7RHCcqTe&c#kN{+r7?t)sk6C z2|}YucPQaeJw_I`9+2`;8(DzL}w-pT|B{o4w}{eB|OY&fleEe;mfPCV^VV7v4jG;30bbY=vWOQ?`qgnT)Ow^X%Gg%| znjt>S$HK!>Tz>!g#(w<+i@Ha`qHs7ozX_d&bu{JMuvu-9Ea8{O|7@&T zWbN}Dic|?c=IU;B-T$AY_l|1%ZvX$^iHU|#HDHJp6+^D$i8OjJtKw^NfkrhBepvo;GGmHR&tI7r^!xnCPpWlnmk3V{jr^i#8yvFsq zuIKad)Sp(+(ZFvGJOTNRi(F6RySA3~$^-6y-&uvfi1qfjl+?$P<83 z8pXozOqO`X=v}hw01h@meq-v*fhcjB)U9??<@>s)D2D#ip5KPMG;U<`aT!e-1m|LT zd2c-6^gwVvM*-EqcH=cvL9i?L>78pUM%?up%h93fVd;JU6z;B9*J{^5E?GvL+J~;q zf0j#^>wE1kY%5S!kDXqMDckxUGoL(XIsxR(5c2rAPUCNHgjox-?h+r3=c^BfS4Jvw z((}4vH?|K=CEuquf|yzyCpQzQ(V=)+MrFz2bkD0nxT{_UsTdqMX5m2Rw_%RH=)HG( z!?A_4?*IR&_g>0_FGL(qQ?(kiCgeoU5MhedN@xNWY*-~#D`SQ#+PQ~DPr%7CaP7}L zeVL9n3HWK(f_)XcB1+Ym;+iUpy0Ng_B6~l{C#A~%rI$<*lMOjgo$Yu3vt1~gKp=PK z&uAV-PIbQH$9M0Spx1;&?adcKng4gZ;DPZ20{wD^syTrVni%wqN^so3mArx49+VcC zMvdYNDw`kvJY(o2Ia^!v^tAgw1RDrhU+UlPA(X$Lua!F~t@lihQty?dT|O+Cx>jQ4 z$yq;??L?`!l~%EgRF3Or(6EK|R?B;iKMw&+$a;40w|fg^$xL>5eO*K@cit>K?(~MW zJvFxU9&D_uxpTkVJlL@F)NkurbAMWU2|^xJObo40MXpU9pFXDDF0A~dlOomTF-sDW zdn&Zf|GmH7%TRf7_^(^5trh3hE?Nc|^_mz>_j0~j{l`^pr$k35?HKC;?l*x@BM#5A zIS@401lqd5U5;=&U}3zg;MrlxQmwv@);pzP5U>hvCJZ)Xl|Z)9IjTeiW^zRevqc{0 zoc3@Lkai%zXMnW|9C!#Q!plf;A~`sTB=9(12G75sx-^!HbarS`;uB#h@Wl zQ=)YP$`9~0v4E|>646*NP!P!Zb3@9uf6!Rkcipk5~ms0SlZ{$OEmOy zG^}2;Pxn9a%Gse+fHQz_0ZpX~O4z({lFIln;G@5>IdJ@Z5AALA}jP%{L+WqY6u<*>%!23G6(YR@! zisJ+RO{Ab3;JXc+1{UlgU) z&)BiT09hKTNUcGSI19MyB0wz^Ba?v|8FiriN)rtGymrS<3YUS|CGPX+0LIWM$&Fvn4*2c2AO9==+W=3tPwy3D@d`+~O3?5L zrv_dN9`0~C8L%MXj1sxaB>>EqfF;X2-syN2z4rvJ%!8F&Js8+bOZL6IlmI1Eel572 z!E34oWdIYf*bqoj0)_tHm1DnoI?uIjxHfsVP311mUOV=yfi*c(xxUQ5)#Gd+W>?Uq z9O-jATc_`?<9Ye(AHV24Qjs^io*ow`7ZGJ&=+FGzrM3tm9YHu~eZK!4@@Uk(l;G_} z($<7zMaN>RZ`S?EMBJruZAX5rWJM+U;-X~!=XhLkbVW>T=7!^oCh}^%>X+>6w_Q)g z+xtWX$s*Hlbi8$bF9EnXnn)!-a`|ujCh*o=TeJd$rz_WoJHCMflAOzS-tbc;JLog<3zXu*qS1P%O8%1;~jC`FOyx+dV*S7*n`(ECNg2cH*z6W zI@r@<9=L5l3K|T1`TO06D@Vpssi{kO1EFhIW4TSvgk8=XHLsM7?yWp)>OK4LNbMHx zMqWf5|I;xusnN)`?nXNGzKS?bXKr=vKP?S z%}!p30p#;gfPLwA_$b?EfA;iG7>K+`GdpW2HEflP4f=h{)7_kmbGw@sRra>L_UpC#nL?!;pgyBCm_sFE zG=fVQg;kE`EFY@P2?0eCFjfQ49?~G^;@~d-7)@iNq3gPGIFSR3QDuc|Dpt2>&?wEV z7L!2lh^NW`>=(T8f(_fc>|`*aMp4FN?RPqp(Q~u4s4D0@T;EnP_0h$#enY%YTer?pQY2=>0a^&EEY2e)pHiRPs%oueok3YM4J>9pI9VVh z{;ISo;AY``!PZTqwijg8^9E>ic6ZX=7b)nD6~$DhDjf%EQy@mpD1M{>l~dqYR5KYB z?fb`ph!79;dc&RyJ<@lpzSxa=Klk~0Kh3r;^FeOA zkJ$C<&AO}HwVU(3b>3L(My=wA;P<=OqgP9da+_#{20qxnCdJ1`r({y0gpz((S1g7r z>!M+fzIG8k5VIt~AbYM1XSbRfGd0GMjkj>u z&GJ9y+03=gy5LW$I3hj2M@ua__kw@(x7neHIQ^&ViZ($_(N{JtqkQiZO$Gm5ecgqN zm6u0vFP50uMoAfQ%w-)DQ+4I-kd50anr5*+aN)Kr^5AN(@>cJ-so=dCKP0{IYSzla zkCdU9+45_HGEjefb$wuTxBlcH8=Fi+IF5AMx5uHkUOvkG85Dc&mZqbV!`lV2oh^Bv z%HTl&GYIi_1@N5`WT`NiJaWmW&)FG?2jpsS-LvS=l$iSd?CDFoYk<0e{r;T95IBbO z)i}9GCr5ob8qgW=@$dwiNc9Yx#>NqG9F|sYf?lZS#PB&m$+J}*jVF4-yX$pY&@*M>h-kU8&FiZxvmIZ|>|U;~XuS$8p_H&Tj|K zh`rk@H05T8GuW`8rNFo&Gm%UB-r7Y;=JtFX6lo@BE{)8b$V}nKfEIAS6SgyOAc?Fcv=W zp9$>rFYw4n0L>GPsWQE;yq_ zHhG)fAnf#mV?KVZ9Ia8q;^2r9jzAm`7B$suy%BhM)GlE1ZE#j^5ty4&;xzt#^3DB6 z&+wH8)8C0-bWF?`_lPR8@AYT$7n*wAGS}iaR&UG^W9+uNqPLQVflp8Alc&oKH{s@n z<&bFuwdIRx)n5RtHC-7N2L ziOAcNxShEcME>H@J5(LV+4?Tqu(XPGyQcwMKcK(+IrQO%!shDJ!ug&@QwL&(YwmRg zzjM(M4ClOaoTyrP`zWcFYC-QxUR1au<@4z3?54c z{Ell%)D;INJ!@(V^9qKe3ytp$^7j3q3-Z^k~`jPYk=^+c)#h}%q`JU{)^>i(IZs(rn=@Ok`dp*Z@UUZGG z_W7QrZ%Ck{FPhyTdt?(xrt4` zt(x9S%uZl;byw1&{}OR~Vy&^>>}v3vg1nQx#tS~n*e_M9vhQKi-b+2F7RN}6SSX$j zx-xtolBaONcHql!alxl!r`SO72EIaL=iB$5uu*7O_4e}2FxZZVw_Fd&4xz2P23Hlo zHQbOCXXR@ai)d(?0*OylYkdKM&L#wWhd97q96#i_cCqdt`URR%rFunx78RvwVo+`3 zOczzpZJ?)H|v65eCyBU zq$v4EFNvQ;J)=}qQH~GaTZoshp*qjFbGuJN5ynNi>dNnr{DmTG?TOx~cPxlHo`fzr zUKWFj9HdReg?9LSn@ZgNBP!Gr`_%$wfu#O%X`yI;Y-G@cmc7!MS4Z}Huly3VI)Pa$ zdaza@UM=kJ!1=H+jYw4&s>15S&noTzyxt^E{9dqrd!d_FaRm(5E4W+HV6Rad;2_D} z9E2T#+pv^Kc*2=U3Um)-#WTqWx4ji-pam?7m4TgzKA==9?g*~RNp#vDJL&tOz)M>) zs@w4*xP%8GkPxN{d_f9312({r5T)Eqi7q|mX}cIg=@0H-V`8(9+F9ET^cmM5hj{8% z-XDg0&$T|L7DyjeFjme$8GvK}vTzE$?suu&YSGge*`GNeh7{G>Q&i27T(k(q7cohI zDGyIs2Zb0&h~6B`24ZyAhmrrh>J8SkK}W5&Y~c_n8YP|nHwd`(&*=XS2+I9J(`gdI zITws?@W@IBI6Q>};yqg&wBQ+dfMbQ&7*GodvU#^wm+LQg$Ii@qouw)acX5-D@i2yU z+wR*%sz;i!$VNH8#5N^#;4kLpXbaGGUdnrOZN}a?Ha2js_DI?73PVmGD##RV`I4B%y`txuxh~)U4y@ChR3Uuek#iKt>kuQQ*5LP>D`SstD zy70N-|6O_ha$(BE1|PG~cx3MpeFJ_U+zknXQY%r3JnHrnF6PeP}|(~tw;sXf4H2+u7dE*~O7#uYV=GXViJEr&=1HfT!Yf}7SR z4cgh(STHg-N03ehw*WH?R;~3Z8jsug;?Fqa#P(+83y*zCs@4bWuQq;b5ppN@$qnZC zf<$_6APb>HJtT{tmd#vP`suJyZf8ZSS81pu!xX zLC*&;GFhNqkG3GUZ~Scf)zI_qyk{iHlm*#=NJG?Ou{VO$%A~;18Gz-6&e&bk@u>dK zV{ToZ+P;IKzcdm0v>N`n0um8o%&uYJaBw8z-_d&(*&X`kt-I( zOpsn<>&kihlNJh_0?)FnlbpD%lW%xJQ+I&&ebIe>);G7zdzm>Szb7`IIooza5FhykYpspeKfga8m?54s{a zhagi3GKslrc4B>wd*~O&*j4vJEx$58;Z0xZ33^uX;G1y0=#T5piPy8lQseF(kffLH zP~MeJ{qe?M+GeXIAQM*lFn#u=Ix_qGj>r=oi?uc%)0Ofc9R1sD&d^*MbC4LiL^-<12SF?gowLjim>X!;fA+nfMo{`Tv$hPi(Q9h3A^M00^ z!@r|4eceLVMWuknN7{WSWw4{$ImrzJUy;U1eLm^rnQZl?!Y(L$9&YHUZCsSS!BldI z4xlrrMnaGfC_s6@g9U^nV0jzQ_tOg2-M1Bwe3ISM04qXj(G}etY#R_&e!HU7Q1gzh ztE29KR;yiaspH$6D^@t!&N$2*mjGxG(GHd1l{JEr!+BWq6L(J-@xCAe1H4jzI`>kuT^>Lr=p)2)tp=zQrY&9dtyy%D;JAu%-Rm#cnG9`M;y@-$t!m9d5sG#l3%u z`)!ep1YL7*^a7g`$_bZgHxn%S?*0-uOc$JN!cjVLTgHXRL99A)0d%(%AJh8$=9?+yqMD{v+q=N)LEy-ovM;f+VyBJ9!}DE5L%b z1|J|bL~;m?1PGk~0tmr}&x4`~DkV0vleAkUPD*!o`QSaPo`x$I4CObeIh3B=H#+8V zG-%X+#F_%JGZixffPxX?E8E*@?V1Z&0y=?0-{uS?5uwP(xMesaIq?wMx-8jUUtfpQ zP)*;Z9P=?2@gr!p|kBg@C->VP!-)nV~ znpBDtvjg9`N1q%Y7RD_W7nJNdZqsn4;LL*g7`HvEyBs;~Sgfz_F{|~@e8Mx4 zLLeAxK`G|u*lfj=GAm>}n%D%KxMM^-FiU|JO*}XuSUdOy0#DT<_~%NomYkCs2-q;+ zQ8>smZ^f62Ln=Z1Minb?Sl}4pt$#${!g%58;)AyfZKCeKa@n;H&N9(~Lr013pM#`@ z^Ku=pxl5cj@r^NX?lGO!$W}~DHJA)JX9RZMq&jv)mH#-ID(K-=j10H+?(#2mbOMkV zFu)~n$T%?)R61an0+|Ux42r0IqnhJ#_4-e8P{A+oc%=*Bd3UUtWPwJa`OC?W1(7nl?Vi$IoVS(lJZw+n*me3h82 zP}$$temxxJx0QBccz4>^rlF&u)Zc`V#NsPxRjY%NCg8U+sbEk?ODca-x5cQL&}|+T zownDPjO1W)Xt@gHG09Oj2$kUNl?3;+wqO@Y*(n;tY_Q9B^k2{zFm*P-6)uqQJ5yY9 zmdw$mn%aCGYzp_q6iqmB1=Elw*zt!XnVkVIwF65CsLE7T}6JnshT+ z|3BR1y_lRzUZR*y`WS*ECJ{?47!6;J`T}jBt#qWi1!hi0gU~(@_bzhUlr>?uj4psWKkA2H_Bhk7_YF-%-gc{wZL?F#V5?e~-M&@+sIp^{evZBPa^3 z5B{v09&c_pX^~UOwJuT{=C-Jtc=w=i@9g|@r=0{bM zjT*e*PG7%>Rm`p;*^Oz2ntobUKU~?sw?E@qyDB)7bJfff~uBokiNw_fGw(dm;X;IQ4VEvku3g`N?n~ z^xy$H`%Zm{RY1eQuLT1jEoM&4GcOB2FN1HBR@Y9|dbbtebu~LeI7N2;q1lg!)(pd< zpQgpD+G!P~j!P#h5gI%!Scx1SL|#$mf%;z!iF&9>qCZGtv=Azjl+;=Q?hvX`9MCF( z)>k4tdLa5A)UI2N-)>T0{;4}Ouxy{{U&8g_%V`H-cpyqK)lIKZ(oPFIU4eS$D6GvT zF~re>inwQ)lt#u+$@+oT8ihvchnYwQqJ~U}XT}4`SEdWv3N#HE*i|nJA2;kR0=I0T?nsvS%saz_gMpxfTLsPx(D2AqoJnT3sF7eGoD-}AmKa={ z=$lDhj|Bhx4RV8L7X2gt5GK1Q%7i(8U1$x=n+6V_v9KD8}avnn@EWAXILRw@>2>~Ml#~3V-B0!2^3*${2f_O+%`0fnnpU2XN z%3H=oz8igOff%5c062MMu`SVkv;Gd2g#!bYBw zDF#D!E@Qd zQ9dDAN&a)eqRwH%y!)X}aAXM(vSGx0mZCK*umti{W<3~fWP`+qp@;Y zu}XuqX+>Og8R{5V>(~!Q0D*KlX+rXQX)a3veod>j>ylOdkim;lC2J0JO zS#yKfAQwZ#zykyg814x*eV;E`ZQSzHgsj{JKefy}71uTwh|J#o}W~2#8I7 zIpaK0q=hI`g^35to}wPPKc6e%@vJDyglSc}EsGy$b74BLysQ{)x;)G&$<(S=v~kej zS<@;(i;=_@5zou4goUG6EKl^y_#{;WFZovG95#gjx zU12RN9`2t#^~VUZ*MN>|iGFQsq?}h&P>*%qxC%n2I7jfBuL2Tpk}ExCVm!WdgtDGY~FWWvfd}n`EvKRm z5t8IpUN3b7%c8;!S#V+EXL+}@ie1A|^GWq0VN@M1+{7l;#L{?G#d+Sp>GVJp!=0hUjoX%beaVQ<;5!d0TUAv3ZJ5{UfvP<8o{(;4&Xh*!s9} zMDw*&>q}zvY>(%o_rX~sT{hH^tvl+BS^p+e=a>EO@3Xfwr;k)ugs;8cF7Mc^GU%dS z(x0?PzkqUQxOy2lvFZg1h;3=^OSMp(kt1?)lZa>wk{lN77|@HVQUV+hP~Y&71fnV% z#xPVkSq&tV@t~fDv6{%B89@&Iq!Zcq%F)1mb-)*DwzVt^z2qpnJKW)LBI=d5fek4IqOz^WxldSfOr;BrrN#U3NCe1)aGkWb z4lnrT|Nl-5-z2DBy!5WfDl#xEU8TGdkS?u>uu51&uIp=|o=)2pVSYV4#-7r7XjLbzv+1AV8%t@* z;dVBk+TQEj`@Zl`&G^r?DlK2tn@&3$TNX%zyNowZTzMw!`8vI^|KymI{!i8`@b?t# z^`$A&*gsG67-Yu~8&xcLnWFVksI3?y(T)xC^=04Z-+g7SB2Hn*bm&KKBzW$f7cr2?;aMdmd zo;DuM$%(ux!>;~ZUGPgQeL?CQ?fb;Et;-}+<9Yl+hdX*0$oR+;Bza66f?#RTd2Soi zN#dbdKYAusM`FBq4LiLkaRPp- z5NdMdHjp2N+dEzLGFqtpy>Y(>FSMp}*NvjHRpVnkkTps`M= zzeOWV%XtcO84oe&NKSg5Zji6k|mqx&BFZOuH0wDo)~Z1x@x-E z?gf*>R@pK|nOB&tTow(>Q_yn;uooqVq6*FHG8laT1Bzqdtzm<*oOJYncLrWH=P3Xn z@x(TeG3ImB(5ngKqW8Gb>~C7NeFYnq87z7tGAVZy#3H;>MdivFYLeQLxdyz9{b}Kw- z-rwyjyC2%)wunKF1txf2K=6vq^}TF`i@x7x|DWz6j$V+wYwWFSO5o|h09+yo5iVIe zw8!FW%L1=HI_lu4AMqhIG}h(6YTsUcO4kVY5Msc-!G16AUQZ`_gOP@M=qb;*OAgg@ z5y|c!#9Xe@TO)0|a>vOi-=2*4fN?`-0eABJQTwwMqqZzKD56323{N~yb=rY&R&o@G zvR0Hl3;4tU9v-O%pC%$JIZ#yCC+(pZMD&@%aeIOD`oE#SnN>tRkgRJck^ub>8r>ff z=~6!>>GcpVJgRq#be&d5{t@~tWm*2nqgL5Bf&S4xHWJ;I;xt3Ur$$IkLS%{w^bx*-gEu!24V-$ood%OU zYe#qm_^Sa_vNN0GoW$(9pnB%UU<;zDD6J@uxE4p0si(^Y)FtsKaSF6}3Q=Sd}6 z;3k~dUVUFTBYlkq> z4C*gl=R))PI&P*p83Y;~Vl<>`ZCa9}xRedcQp3I~L6PcF;>6V_l@YFAp@ zk2}%`kR`ogQ)PBlz~oe%DRRT)`!e=4d^_s)cdv%kXzawtbp3FJC*SM&RCNtmn3vJ# ztbuFiT0Ac;sq7lNHyrfQ{=Vlw>&|JdTgR8xZ*`v3l=}Z^xait$x{=NhT5l`<2of4g zN3xzYOlO7o*|6O}^^ZldVK|ZP3E&(SB>>VZ$r}9bJ3k^m9vTZzkLx(mp;=(rDz%jk z>K9Hng(qtaDuw!=>-hflLAS9mj%ovJzbJ*vl~KX(L;E`&=CM#FBQp?(quP9O?^~&N zJ?Hl;^$?*%A^CUkGsAY{4x4|Zmfs$j8}7Q= zVEe)=cW`}*Ec=MWy+RqGTq-Kf15#^tX3-Qdg7tjyDGU%&g4jjcaCe6D$T$ z9>e>Ttm#k-)j}o$yFXv-QNa{cVd@~6giHv8%kv&aVk6W(uqN=)`PRbuN!XNR%g7h zeq?NEVr*SoK2;FzeiZiN^9?&ef1m8b&2+PG*?;%)WchezE3%TV6s*bi(Feid0fqi1 zJ|#+4&!TXj}I1p*qF8k zAGK53ywOB#rV-LwV;`TcC^H4uBSwV6NADYW>s4A<*Rg%9Kys4kbh}ZOFss8~XlMu@jl-W%Xw5Qht{nYgLiTDp*@RYWD|v$tqH$C^?^&`Fz?# zt$XA`MeI0dGK|Fm8ouhqB^;o`fL;n3O41OP1R`V8j>2CiX*V?>;Q~Pl4L)K~1GDl~ z1B&8WNuOtutX%`9@$;*tYxjrho!V_wg5u;i4lGvW84k8~Zr^9?MLGVZemhZqB`d8C z?}#tHK3>8~wz(;su9+^@au+)~kl#Wd>2L=}gvjlcx&_$b9b;%%!g~t)u zKUJd511~=ToCT1~7UTNhp49Hzk=3H2pg+&uJniqLV>ratEB0Kb>i?DH==r~x(RE}0 za>de)qahi1I;uDblApqK$dbHx1;6${Uh;X?)MJJ zWOwSDOt3ou=IqH+!!K##%^|Bgmq0HwNi~GNLY?qV zT(^xPqtzW>N4lsauItpKHmTQ@Qt)!6226CFjObFRC9M_UOW8U?3CoLVz@eu5u_wNe~O#@ae!45Kjf94-cu z=m{(sl0#`?5b-c<0*@5=abuuN#;-zJ58nrS&Q93T5j3vlsu`_ba=KTk<)dsP$jI}@ zm#1xOnD{vIvjK-4%LK%Sc;LB<1)Xp3v zBZmKdImO(HJ5{L9N%!eGZ+uUxUv!+9w;cM;_S8i%itwC_c6OYRFzDdEk*6tV`+vfu*cOsCv&G8w<%MWF|S#g4o1R^(b4_E-i@;H%oa%Vp(Isu7GDKgbCv- ziTBmhygNCkF!~hW^PrU8zb( zf&R7K*uTWDXZ20E8=+UPu(0v_^Zml92hDP}f)_Y+3IJp@PoA45&R1CxaT(38^NT;$ zbF;HNgS~K#KfSWI;~2T*>9Zi?#Qy)_E9i%GQG3bq*`kS?k``$s@bDkdr5z;ZP3sTN zyX6hluJAH1huN1zP6;kQ<u@k`7u>_Fn^;r z1&~BjEl@UK-lQ5J=LA6~#)}#)(EPo+?pokaaI(Db_K)_czjX`T=N{}`R9eYcs9aj!?Ef6jFItwd_-ordr!7#aLKq#?P*%r@+BO zZVXRG=yj!1GF)HGj>4h#{_aeMGgHh4BzNG`sil?RaVhpkqb~$f#0|tt*CMulc$lSm zDNF6b7wrsCpgDw4RYF?=@@9?#S@p7NAecZ|1MUdBRt_G|4A2!pNP7<<*SpWqv*?4> zl4%sMsx<&$NHdKLQ|!m`P*up1m)V%&s(klP16CIUX^@76+f|NV)uY4`XVi%5UT$f* z`)~l5u;Dq_y{^Ycrbhc!lBvt>EwiS&pWofD-Lhv#${+-IX)UGU{zU_ep!d3#crFAlQqoNaC528aJ`2t+ zAh6I`4H_Nk`$_7@S;@3YGdx-enT9E>7j&(0^j?TkcQxOs zdCPt;Hm$xG`Zcc~GYE42YclqaD$`U5&nK{uUycMV+pG@Z>~X@w6v&vnB8lo6T(LId zF1-5{(Xh*5DaQ%!a-g;&*~!Xfm({+3tKM@ur1xiPV?vv6NMwKNa9iR3u^QnmU<=8D zyPUmvxR!NbS5vgzy4SDDU)`^_VXUpE>aJR1$Nu@dsAObMnL(!__6TvEh4>u75&C`( z%Su@o9_TjaN`^aAj|~5z^dUp_qP=rinm=bDN@LfALL4jaX>IgA1KBEeolUurBAHP1 zq8uoB+2gZ(%<>@MG`g%XwEDMFq!o@c1=V7xSqT9i>A-&|{l~fbPDBz`m zpnwo0`V%L>`h_|D-sj0bs)iXUmhSAKy?v>R682xouu>mYV0y(=h!(jBjd&Onky4#Z zFHZm-ygSqIdBPH(oSh0WoB0+^{eXj1bV)b*cn=;(8>(^u_y~yym!mCW;WY`LV?ox- z?(RX;FdEF7;JDyxuc{X9^D4qBOn!S7_w%~%SIq>sxnln_lTv;N8{23XNX+r^aVc#M zsT=$6eA~^ew}CBH^Ir1jC5x+OJ{v0f*hCDVVckqK`1kUsqMwebbCaq?2mJqZT=CnI zG=s9xpzR+bucFD==KVwGy%hvtIwzBykVJB@wJOd+bSLwol^e~u!0?cU@5O!P){cVi z@}~u|3w-k1!RboDTE;;fQO5r~W26jb%3?BMMowNMiK*)BEn~J)KwvxoKM|Q2F@*#E zsv6iftB0miqgK@qSUP43Qg2)gF7$NX*A(JFK9MG|3iTUcja~?+8pMn{x$&G4qIhr< zpe}ESN10nkqLEfi4-nW!L1;Vy$!Roj^aANN$0aO?h4#PyLUfd{B#2I{vEgz2uhYbwql}Bf~;huJF;DJbTKG_GSN=^g2z*2d`a`1I4#J-yO)7b98!&Qd}S;fC!c!~~`RtrmOr+zp7D(PM% zaxk3>b0OpbMc!38I^D#sJ%d{0?tWfT|+vv;9Jm1xktscpDW24 zVj#{e)R@L~D`-)U9fA4^n7 z8Flq@^e5<85t*&?geMRP?gY86b&--4(-5LXHH?-7YQ(DnsUeLPS~U;^nm%GNj0yT; z7omiI&s{qa{B1eA#lFN_diGksZV~YV*)tm;<4FEq&NmA0t_`VrrkZ(*CA))hdVl^u z<8SI#0gL^Jg3jR8YZi~C_jCW}w_bR+1Oi3Ep2*p26ItTd13eYOd3rvHTw_*!?Xa!) z;?TItPi4%pwpa%iHATsfO`3HPl_MMFrUslX%mWf zPODJLJx+g}kp!(4P8xJ-PK0j2E;r*vWP(hj1zHRC3@s=7tp8LeD!9zkWo6*m0wp4L zYzv}}-#=ewb41SAi;ajymju+(e{ibY^GD*&jA z?I9+*5mKP-vH+=iW5YB3{u3&PfclE>qyVLtf%mPI z61u6MpT|7u#NS=k^j@F!QEQ>-RDFc@gTCk7UE@`2`b923aeXT|+po8y^zSo{4;`uP z*OYaBnhBI1(-zuwJYw-^7}hTEFk7(%(G#=wW)h7=zMEpbOj2&Zk1~`#Mg9@Cu9fQX zB0{pPel_OD|HR-K3eu}Fz-JnF1PB+5ZT^zAVpI4$Amspbc6u!XxZY~+mk8Te3*QfS zMBMNv>XrxxKih!U%Rhe?S|I5>UPBWJ#fCr0hGVT!JDGtP2!b{((%EHSS9QBNBuon* zB%@>;b7PFfwVh%2g0-eOYNWEBr5$g}I)v9IdRf5Q(sS(o$3H z^2e$;h2>Pw$PaYa$}d;P`y*%TzRht!*A|U(1xtT4ZA*4q<*2Wd7`a<2E;`lhCpGx? zSJJ+| z24BDIb3ty}GUtNV16dlXml0sxjm0PtQkXzX2tgoVkOe_ElcJw>?e!l4fT_&x%9G+` z&-|z(tKtJoDrei=S5DU&6an$q>v#DcHb-5@ggl$Tt4cIRB`9WS=y1ujPni?IxFjJC zayjQ&Y#tuf)K533aeX;>46CXCoNI394VU8JoIq=-Wxe~KzgD17ykzlamduy|ujg_+s){6;~rIxxdrqi9HV3al7 zdGXYVbNQY*@kbyLG-?#2}r8=dC_^t0DvNC$S-SWn~?c9Kw$83+b zx!t$^wt9L|@GFCp?%pKlOr`=24kx{i3BB0gqT)f}VZkE}NUiWtu~pE!&=vc0k*CI= z-Fw;dI4uaiT?YD^4!!JYCwe9lvMyGGU8XsaFd%9y0q~;~Nni%PZ!T@2Y%R#FG&|HT zb)TUp!@B=c1DY#!j~Lpz84Nc!1ue)<00l2kp^zN(G9@-QcG*w;p) zL@|GLIX^t^d?HNPv$Y8pubdUr0nbo2uAA=%6R)ZopdtXh1Ca%od6FnGW7S4OQTdC0 za|>-PyIvJB=Fg{VORD2Fx_Qi2;BBHc0)ZzucNlm^u_}G%&<9MFa>BN}PAYff+?u;E zYnb*ED`#auzB~D?=ej4=A7GnJznuG$RyKYEhd5-<5ODqW9_@!H;JPS#aALKrNb7Uk zjzmYKMSpKZ!eC&kS5#eq+m(bt*Qf@fYE0Xu0WmffXtd&$@bnx%qS7zG-i`!q+|45! z^ANV^rvx~IOkxlL*AId}L*f|^L#+F7APP36e?u}Jnj5Dun*^XwmkCY~y&5>RiD1Yb z9{?HT8@1pPZ;cyd`)Oq0AP|lSBjz}EggIF#SNcYk=M^v1W!%pG!}w#UQ9@Cg%}U-( zqfvnxz0lCp*1x|f?*)^EVIbg-2YRSun+5AGQj12@@7E>zr6VzyLfze-H-41dj^chL z@l6Ky`PCk78eEZ{_eAAD@$#$&L@pcuU|@A<;f5sWdCLV>36Q>Ea(t6%Y??E%-YvZC zbJUGL_44f^y4@7M4416g_NIs2r35mX`D&?v3+KjUD}jL&xGLEY2J9P}$4Ia)yc72AOqIg@rlthT0snFE~30H@2Nm&DNn+UE)+ZQ>D8b37W0t*|k9S>eDIpvi! zJi4hifdoaTg};ErGZ{bu%s`AJ*#w{i6iA>uRFWyr!U9)CqGxXO)evck>GdRyBsP66 zH$yBo`28T8o_djGO_K{mal+2sZEht{h-xA-G8w$IOR&5I=dj%J(js~0A^Wf?kjrs0 zHfp4zBJ@ACf6aw9D%T0NL&iO{MzT?Rxsp0bk6H9qk}A-8pm$dOB_Blvsv#GsEQ1u8ibYL!~o5~s?l;= ziq`7U;DJER5`+lPXZA?bISam(@FYGP__4_l3&b6D7{4+t+S=OO6C2cZ?do~Uyz$ih2Bx1G5Q01w6tkt08AsC z&(^W|zvh%>8hyt@@S<6bb(k62jOADA9nd5u#9PUu`3?||Aj`2p?KFVl-HaNQD+2O|JM zM2j!4tO~NYs|Sko5|o(WI>3l--Ffy9rD|TiQWSpwoY4JRzjrDEwvwkX{Qq(E-eF1a z|Ns9B4xFRdKtnnWJ!q1Mn&l`L9wbfFRK$t1a^+|kYJ%F2JW-Bvk6eg~+cZThO>JrB zBzLxR{b6s^^U5D}F`FxD~{dR9`4f)`EzlaPZDvl7D1~=yeH6J*+ zIp8cKJG7dw;j_E1m@D7I{Z2d*^bEU^q|%V(mZLmvuQX<4UCHLX8cNxz*k+pr{q8pq zk9{3mXSU|7;p&JiJd_NQZOO`iHm?R3s)Yugfu~{xbWpc&Z2F`0*B5(MKSsCJ=YA_- z8w5v=Im~Udd?E>$_(05h`Q7f)r&97}AzP35Opk7N>gs&<&GITQgZt&xtmR>n%6GZd-%D!RTfa40ACALhnqABt@OcrC z3k(4mxRTw)OSQq7tfdd^((0%4qLLGto*lvy(z8HDW)gpm=zCKX(zf(6dK@Yd5N;tUQgNa+lRuZH?R@|>FTYct9_5c;5;AO*1-6ne&!K4%G>(5TJ^ zd|0}SdSiaJGd|8WZDPM>O8uCpg~OZ2oa+5`PI>o8k;CluvPzd6Wu{G~rFrGm>P zo-C+*Y@nBE4HWI*`8LN9IOs&vA5_wgMaKtS#`DEfRafa zJru#xGY)*enj!$*5yEP4VW5rmsJ6PFH`hH}5Zh5S=B}9k$-yhBHCOcXWy7wa|Jq5~ zm&JvLS00npJFhiH2&K33a%9c2fY^toLfmg?alkD04h^ho!A>W>WI^?2aR14PEc}<& zq1YVVe})5+X!gEqW)D=dR6Jhu%^GST0mKI{_t(@6B0~@Wh3zbLlRiKdj5I%tMV%{B z2MMaFjbM?!IM9F4F+3^d{SUclMq9CwVsnJxW_l?*WE4g{$1uaFsR^6!Ja>XXl>~9* zFe+z_*>n9&dwT+eKso_#uA|#^AEsB5r{Uz{@q_Ci)F`^eA6G0ND1-E-vwVm!5XW1O zbktxcgTw(07l){Zh(Md7dw8b%f#N26dk35KV}Q_~9Ka;RsWP!31=(Eg-ylvu0gTQ02{Rer$u zq493Uv#&_46%}i-CtI|T43s(nGH8MAd@li zYT?WQ{qgfN?tkbmYAM*(b1pE8dE_tuA=0(pgRHjdTn)dCWr!cA#`H<6? zzKi)mz$@3b29anoHhCpHtyFsn+e^lzh5Xrqle1 zu~FX9pNQf(DV*M?Sy0pG2f}N?v4`Z`O!iISlgZ0a8KT4v{L z4rrR++;cqYNQA$a(~!t5=q(3}kfNy}bsLAmk5v+)Ye~vjH3S-e*A6ezb_Wbguz&`; zSWF!Db*d9kcHe-@jkR0eQ1nEho%c?w$67r~RWj z+0MQr4Sl^W&x##;BhE(T$hJIRJDQ6Wx@8S?CA&_yPZ+Bs)CIXxT&f!t^pSuY>6X(o zxd2hY{Ei60K{CA*2Mmfhi66n*x{`liG9(X@!U(XEM%E(v?Ro{`Wa^#(ol!-1OK{Rs zVv%*1NdK9*Z?)CmnHuyy^|!&1kks>g=b7Wfb9LG8jISm;3)k#KlQUG}OR1m*KRYe!LMCSWDMPhoU@=b6XJ=bydqE^&%m;{}r{2R_+=KIfr{{-%Y9 zf%wgTHgL!5UoKR5S$eu@kZu~^F(FZ2#r>QUxH-sb5P=GIO0f)TDNaD(nqh!H5QYBD ze&MJTS^6Z6pjOgNHbt2O0|)P8)F$&&a@k?YvQgJukSk>Ig!f<0xM(@Pa;bUSeyg)D z^^CNssTGKG;VRSB;7@nNTbfrmf*S;=$bkQYj@eHI1Wq~K6s|`Wo(?ZEBOtiSBLK@N z;XpS}iKSG+&X_tQ1au4yOVgnpA*Sj6WBm^{><;18QO9cA)%k@&%D~HD?KR-t zG{<4+h$1SW3Wggjz*Y^yfVI@pJig!f>cK^Iu<`nJ?}CWGUmgQEm#ZQJ%*5c@K&Z*k zvF{Wgt-1m&Qtm)~U(bceg4@nB2W!6lX{0LO$@b~>+<_jsQro3tZcy$t9a6TZ;osW9 z>;5xS0ae~4UWwivJH~VX?^5g5b9uaLQrbm9P6fCW`J7VS{AKeZ40nG{W)?q#fCF29 zm?>B_T+lX0%Tj>Wf$|jaLDBc|JEiD&CCXFO{R#@+)gGdZXzccA)2TJeW-PHo>yrIL zFkI5zvFxOV+OZg^@9`l2`-Fc=6QNjHL%H~MEOf&jM@cX>Gv}kEQ5ZNDoD zX^=f*7BrZK81!qJ@2NZaj=AQ1qqLZ>CRYW2ih$sc57Fr+E=N*8vd_sp6C+XX>>DgF zD1mc^F7J$!k>d&=BB2uDMiIcs9I*VLo0H}0+34HDtFqT$jGmq%NhJk3pu0S?#; z=oYASg8CB$qPDnbO#p?s65x<$Z?2ryfvmtQ3mQPuY%F+!IcT8gb|jh?0VPm9(lxdc z2flX*4Ns|}#Ddc+M4)+y-Z3QO>`|u4FSW_1qWN;;L#GK3&Og9+<8LbOr`5|kNo&M{ z2rjVpsIl4+N8`(-rJ&sQ6DgWf54tV!+7BiUyU?wGBwDE53l1en1AwdXU=xU-r&XFt zg(V-m(MY2<#&LmC4%~gX;z8NtC;d&O&b^g8Lc3^RG6*dE)$^U^;Ijvh1r-DMx#j#I z0#HxTRFKNFoBLKgm^DpO;KKiP2J#_LrD@a$S%IIuvWL*S*&MwH)W!kxY z4U5>>A1x~vRn@&%L; z2$LEJ5USu^0pAD#U2G1}lQ6vJs0LwIAO)gP`7&nP*vP|;Jnq-1CWG0ZVcqx#fa#eE z6r@~G1|-B~I)Zm3046Fx^0l`-v9IdT@da&qPBnUPfQzF`K{NzGiHxqGOSwEgQB?Bs zvT!JWFHpL1s7=3y*MRw?8xeQrmc#GkNNtJ>^;xR#@8g27>{G*SG3rPfh=Y*A{CdPq z?abXVCN7F8W&$E~GB@(uk>I=<4)RxG3D6n=UlLNq2}YIccu))kRg_d2QxxE#&{Ozv zuCClllpL}+Fvwpykpcmzg)`K2rAZC@s&O=B!FSOg|6w5M*i1dJc>m)hjm8RK)-*Xn z5sHp3mbP|fXxPiuSP3+UfjSKOe4i;55F)|-5${|^5dhmM3^aCpFdl{oaHSw=>;#^6 zANYab96nz6;xj2f8Uk?5aZTS$qMNzpqn#rzSrTDzOkvNcy_q)1V0>5EME2&`)kyU91tf|;&Tkux4flKO)Z+Ez-y6J}Deirj z_~JBvIOlnbMal6zLj^q(F1OM#1qm0R8@UKBjmVa%wDeX@CIeTSw5pM@+shpqGQ_9< zcOGMaI|*fOjxD`C8gEHOfZr@|U_dZuylVzI!*TFX!2^N4vo1r2(X^lF+Yd^-1XuCl zpt1|~{M^${p8QmhH^WaX8TCA!u_d;1d}OCj%34?I*H;|v?mo)SR+6q(CGBXJ8?lNIhO(TWCj!7o|UOfxk^4Gt=F zxI7ANj)_YQGRJ}tUmCXDca1R`L+~iy6F=*9&eaXw0M&&(F=2S0@(x|oD=hF<3{XEb z>V3)R!L>__ZTf#YUph=0R+H}pjChw_i?Gey*xM1^vAwul*RS~MhjTP5IwFP@W4+b5 zt@_4}(<-XDaBU~ZVGHX^i*TmBs9lSc~myqlF^Xk%#sF`fj{i-yM(MUhg_F z^Zq|+=h)kVhPPVRG zbRj}$$PI|@AecFV$Ojh?D5Sxe!-X=>ff}e759r_8*5+|ES`JOkL6-*lB6`>9zVkYc z=0vLlcZ7MS;Ev(-vJUTd+fw?9shGC+^!|Mxh}UbNJRNx?6Ebgjpmwxl()=O_<3@dP@W`}4aSKLX^pq}Q%&);$}x z-0<59pRp^+8`*NYkzrUjo?aWink{N&?~=Vvg$QAQqIDo?e}nB{np2W|UqbzXx}tr# z^?hJHZA0!(D39Ejo&R@K_=j%w>qRYx&|#*SPq~q34k8q##<4(A{sK{fFIlQDEX+WylV90WT9E?X`_0LOq_8BosR(}Z(7GG|HmB2L#KmLm5Yv$JV$I=nl-V7wtR z*}+RNd$KRe%uu_rd)ZaGJ)Y+F}e3(vKCsP*b$U!iN? zlVgUA&E#8NtZPr}QdKLqt55XKS_B|g0%7UkhMNL}0UVBYOziIV=ct9V+tv_T;YM9w`3~^mi-l|6T z#@<)$hhw_R0W|H#55^PiPb5*p3BAu_XJNv{a*yCyt!&ns#cv>^8a5<4eKyQ@ilJ1} z7^WR$JUTETqqdZ(;5U7FWIFI@%Q4!bV&6x-L?w-rB%ReR6Uv*dcHI;=b$08K#3po=PIN7Y@_&~^Z0Ayc(J#3sQp)i@;yTo zUa-lHCmM>wNDtl>h2hF+lZ+>ZBKB5){+_p&4RY^U&zpG0XteuTM!7VYuw(Yjre2Gm zG&*o+oU_S0Gz$2xYlaq1tK*N4V2mF`WDhE8QX5PIWzJ|Mn!?DbAW4B-sRX$91f<$G zVLf-%vZsSjDZ95`P_w8tU_7WsfNNE(Ja20THloFvD|Ls3RUOqQ=sKDWwdW>^U9-)! zPddL!3wnE3qvf>53A1jiW^TcbyV>SCmc$o_Us0W_%xr439fzh3V{1fEJl^HWYLj$sSi@zlzGTDiE$&7*|kSTB3**s{FCy z_|-@2U>Jo^8kp+}?Ry@_2V5Q;7#1m!F^OlSnoeI=gQvxTurG)VzCTTzBPc7~;FJVh z>Ukj7a7^^IM-a=BCi26sQ+m~8P-X%U9qZ&uirAWdnza6UmAve^sG6rSnw0tVc%+S@ z;Nad))Wou_#i4{3%_ReDk?FJO%CCk8XCy97YLiAh5ZNowl9G|iB|gU}ojr$=>b9oAQ090l9nUypO~<)8d{7Np?5vzN zvg~AC2daA2a=`mEd>cL%<1V_AkN;OPjz1!D_Sh>bvr&DxTknbci3eBzH4HF(#aA*{ zTGNTt7>mr2UQDLH30zCdfCJh&j*f-l?1LO$2iu>V37y9w5ZBc~uap#?`HBW%vOt$e zBSOk=xHQ0MC3A6nG6#%?$v6SbG?;HnOjE-zWKscvT~q005gnrKki$ODvQhA7oo~{x zf?*QKbmi9xsZM9eC`tnQJ`Tv)1xi3f1I8p(uQVF__s}~s&uRWn!RDe)?^nuP;-w3o z9Ovuq$e6g>Pel|L7h~hVMQtU3%t5mTb_@{8-6RwEPnAx{)ZB}wqy^wM7TEZ$IVr** z_g0ym=KV#j@y>tWO^t=S8NFW{*(Wz6Gm>Fy%}8jra*6|B9QQND9>t9yIFX>OoYipt zPfuKeOTN^K_GaUa#W^Pfh$Lc>%>>pX6! zOZ(}jNulNZn90qzRhbsqC*~yMxc0jvk<0PIcXz&T7KQ{}N<7}^&>v8-sC9RlITUPt zH_LZx?40QAViu)6%?*P_#+$-Z>Sf_0Zo2F}?6lO?<}frGj!5h;RBV=bsGY;0F`&EY zz+%%hL5M^J4$Vizz6K)!D?TVlY06)c9X`Qjg%iz-Q@C_Eulq`V_=(a! zz!_mZQD;mym^+x;HuzY&rxP_#9+Q^?XB`=JpO01^Vpu}_>)==cy$x0Xx?wt)fJ&q8 zgT@W-6h9avJ7*h_ib8{-3+S1+=wg0?91w_7Mt%)=2uRIBT2}P@(1pwIAxcfo>4~Lb z`m8ZA&Vfjhovi>W%_(ma(UG#A@P<)gZ{FUK{NVf+J9E~J_GA#C>2OZS;A}?N7gXH1P(P@?P6u24C0j&c37&@b2KDn=9gE zdwgdMW|W50RPuFKQA5k6y~UN*nGWg{fk{FInuA79i_#>s%@2^A;xtW4EKD9>1YtR# zPX{=8X>3{=NH>iGD+!ph+Q(q=#Mio=BILUNO8)>aM~8^aqNk=g%LRpdcuYUIIu=!D z$?Ba?mqY+4yH%K8K<%umQ3TWG-M!OY2ZL`EQ&Q*OIlVM_5(p^pjf7c~wg$K@{VBvVQHv6RS&e z@3(3OOG@*EO@&5=iHkz%m*;u!jOjBm4?^{O9o|)b=}%m+b?Wg{EU-IlzV5N=MPCqw z7yhl=-fO^Pg@K5kUE#awXFj*s`SJD@EeikOc`7az7HW7q-PP|EY%(OXw<>wToncWS z|61>MH(s7P0vZ~u;$x-Rtnvq<9k16JyLFpLW0C$15k{(YjJbjz$D*BjrqU+)++C~T zo)*~-_e8^DO;iXsSutF)_1>kJaqwQ}U60&!@toIAd>(0QoFT|48z>WLJULY6V`OBZ zX|vz$YWn-eo7bhytdz}_E~q%!s9N(Lh>L{2MXQh2Xep)`%E5`1kI~CLPcfMh1?r)E zX6-3yBsh#lAP{f^f$;;G;|7D1`CN#SG5^HEu=4q1i=eICJfZkyecAak$_u@2V+Ea0 z4r0H#>{HodkFU*&KJQd#mL%4&k~dFI4a|FCtUX=C=T_^|3YGhOaiBB_7BleA5;jx| zqPitZ8&;k!H&2g`Ca1b)xT4n?%U*}~gg5)0)xH|nO1rFYWm0fby_-}H=l%Bf!nx?F z(64s6%`}+hH@$sH@(V|IE6p2f7zYj%QPN*ClfuPEdHi-Cj-lfGt)xd>h{h2>Kz~t$ zB<<(Lv&#o;$Mp-eM-u6pX>R+TEsV@*@wXZ}RT!vmnAr>0Zk~`d6_RrG97lP*`Y)aH zgtGB5$NdbN=zqGhYb3pUN<*eJ>}*(mWlMttuDm?`e*Xsry9}C*7MOB(7(Mp$wsJjh zFV-J9)Ryn)I+~-S#r{kk4Vm>_<6Y@I;Zf{Ts;_ZpY{34X(Ewy>wb8gv)}<1MguaH0 zZP?SF{KGpW@3(@F^0{mo1)uK9`LSy^XMrl0u9be^-?IbWrkS&5)6GJQ(Sg?BF2+L4 zjv(vjk0y5AswG`XPOcO&7UCmax%2`6Vuo|jO~?S}g*g|}n>7#iH?OS)M*?@tgDp*k z!+%RRAu*qS@lzffAH=AhR2QZ>J-=LI<`mme1*xI=Aao5zOhdI~*Xq^0FDLZ{Yrm=@ z)4111W8Msjj_38bWIGfsX3tAX@FVEHW^X-_=sj2iX*4%R9l2WB<3<0E@N-?nQ?g=T z*nBCeJ6!hlszrHidU3tM-aPAY%yJC&tQ}C&rHHNt*IK64dG2J`1O2=KQB-+-E*gWzW-gI#17s zsipsZS}EA@UVSxh(p#3nlHLo}>iZS1ru*kNXMdKl(3qw6()BCj$xNeu+lbAF-)2Mm z|5bf8#zYI?vG5wVZMD2;aprdFtT1hrM31cg$a0vsxqLh_WTQi^qqF_dDhT1o#EMNq z#o3j!cG^E~tEOHn>RZV*NqseW#^Sf}fV-P@gznF-Qm7bdK=r-8&Wv>N>ENzes=s6w znVoc6^Xc~VTV<4d=~*$e8J=*ti1zX8+8QWVgr+wnI*L z7<;&*WF&t;9sE=0zc}}yb-S^t*v!Bt`s>x({-4ZTB|x0seD5#!{qrMwf2leYbVA&F ze>sbaMDG1PKVyvDJE=J0KUG(Mztj8bLrSUb?;(|f52D~?^R5T$-*{l1u@&7yjYA=% zcWG>eCk1ETIypj7WESA@YHya^q$g{7LG=S&H-+RY`tju#V@T14*36o!&h9@y8pmu+ z(Td=|SyyCUcl>d1{gD{6vJ7C0@Z379z!?3p;@({f$0nO6TN14G6!vBg_;D0wxrXDI zBT2J0Gp9wGeGDMfpu@+9j4k`wF15x8!@npZW%hW&TCyg4>V?0KUq<4>iGY+_uf6xH zf6AL`o(+r%mF%?`HpYV3q8mmEqW#^5F85a%Yxr5G&sUT4{QaQaMT&+`O>TPaH0t#5 zSoL;1vpPscl2XOqIcG_8NXc&j6%$iHiK>5{xIpqS+%~x6H{{_y#b8GRalDQO8 z;I=s-HgQ*34Dk4t?(tUY@D7!SZvi^PZ54r*->KlE{%zoqOVad7f06CVbZ+X~ewTyq zc^?9LkL-QzKbI9oY7r$H&bH^>y^^K49ME0BBpp9_qvTycnulVde2)k3T_I7DJ(Tbz zZ?_-M>DfH?N`LqA$f|YRnxil)JYzG6g`ZPQ)C}$KUK){rzjdu;G?Q|9maMMg#(ey( zhPl46UERVP_cw!03~DSc*s&uIw;lV|zqL3u#zaXuC3;POdYu?ycX2MpCdbR5-T0#{ z7cf(|f{U+sOq6av8b5U_*Z4rExia{U{6ueFJ}kh0U!N4m#X)XM1uklXmqVrPOov{D z>0785=sY%he|0RTmUnxDqxMJd)EG(*;>33r9%+^YtCze(t&Z#W@30Qa(#@@-Y&-Nb zXR^?m@l+H<2lB#LdQk9a*TcNBV>Q(lCHuvRHGx`rby|OW1tHN2C8=qc4^N`xHG4(n zy&qX4Fqy}ox4|zL1e{PUj9huYHB%~o)@x+NjGpk1{f>8oN5c$tM3(zpaY#B0a$DoE zQ-wv(;g82__45Z#?Y8VT=!FH>FXNeMS~OO=`ocZSscg|n0O*;#KK6h$suYuT)r)?z zuXSl%F|sEPwwzRED=*fOk5&_V#Ti=5gd{YHIt1+6fLSh7*}708$xp)2hWZ?t>hmj2fnFesl1m zjb&P`jliQ|kBZD=m9t@Lznwa*5G<>#5U#V&E4Hu06W2Xdly3PUdi95PM|+Tj0Rg+n z%5rbNSCsV7W9Q|L{F40U#)zc)>4%x&NvDD>MmxoN7q%XRKB7Z%jbXxNzr~l6KiMmn zWFh`MuXtbf9kc5)4wdu5(gHh-pQfmIs$IAo1`Y#07aRtr5SeT?#hhu~`e44SIQ%RC zw>LMNW)=8*^;o!Fp=(^DoH-xo2FD^0RgE9R3wGdspt9K5Wz#>m?19s(fZ$`udK(bA2MZX7RO(d)kn8Av!a-Qe2Gdl)s0Ga zx}x7bOO>j>(za*Jsp047Giel5Tpq|i6?{tlwiOpB8Bt&^6HorN+NU-FpNtwBB+v-J zWeEdVXEbCF16SjD3fL0?K`T8APzly1R}2_46%bm@&iBHV{NT(CIsC5r!7G5am};1x zeK@%?@14=D-jU9y5YhbJUzR3yr0#1V1@j#4H|HpN|5nX&$ND^a$7sLq)sYS9=o5Op z@xl^^_uv}m)3N0}Q)381Y^vPBBhll9dhQE}UhX=+&#olw1HA;|1fS*}3jdX*W23oc}_RzYw!y@m)f4_OQtXDuuHvbJ5Os zY$YW0qyDA84Q0-tcnkmb!glUA$=xpDmG_LrY>n4^^U(NLD3SP9ksUb@_Q4qpT8Reo zOn|xt7=|Gj9E>W?_k(hta6q@|_g!Z=;5DEkh-r0*ZZa^~KwO+7n-#Xk{FFG@>+I&d zlscYm`_u5sM`N!f6$pILSszr}D|dEfiH!Zi*!@kNhII*EzyKQyPZTU_(fuE4vjkZw z5xSS%uG*@2jWLXu!)(j*tH=7f@C&)MvcjVN%MqrXY=vFW*Zir1Hek6^51hY!Fu2n8 z@KnoXk8$6TcJ_RDdf$VVvO@*oiI?_RoXknDxBcU!{VP=m^?{ZHNx(zALt*L(VMXOwodnbvgNxK4x)PQg51^e$b5aT6$W~;JT1)BiL%{bai7dg$5^o4aLb+ZTehmt(1fp9-o$?sg(={v;@~4kwpLK$}2NIX2y5i z7{BRC$rxO}w~eL#{GVha^oi+${$B^8|934U3ky)l1kJ0qBk7r8GB-ZA7Z6)2$|J$%`*KI@$$ww%fP=7uA-4rD1Vv=hzwKT|7>h=s^7z?ku9 zS?gcDIa;EyPZoU0F`kzNouau3YSZKnZ_n-amhHWN|Lz?T{%!1z6frKg1-?y&Vt7;lY;qJ0awqoSK4=S$3950N9gdgiZ_ zd&>&72L?Yfybcr=-3bN>WRdfs2IG%UG(}efZa8y9!g#c96FL^{7PG_CMI;+$^|9t}?qer&=EAKtIHEC1*Az*%@g zdA%_z3tqA5QrjY2v2z@+yjt#T5@oW_mk-uLP+di6?d3XO0DSz?yjhpAtQC)sp>7>N z7euC&4^kxhrquX}P zoh+W8W*RR(KmBxQ<7LZ~vw`?UlcCuG zukG%5b;m?R57%Cd*s$ogv-UPEyvd&VcsB_^xdUF=`L>0uJ{4a&`II-oW_&TS4i20q z$!$B#j#s(+JLEt14qesQb{+W`{oLzjdS^hL(MRE=;i<9mL#^Y%CgHqR@tHoSuZ1TZ z-W7mWprCZ1V9#@Q^_#-O9tq{by=-1wN4uw;UJ6$C>Rj#j(d_-aYdLeE@HszGT&E-5 z$r{y$4cP`Kyp8c#Y!5&E*9nK4LNhnc;F4Y4or}SL^cfp<+;=Q*{v+23#JY$PSrhxL zb;PGHULE;%WVlDQbG&e8N&z~l=CrRBqTo_1Zmaphw0 zE6}Zv4&m7Y4O_L*>2!F{Ua^Ys)s^f8UexikQP$4~{!j~rnJOl%tHy21d^yU#-Id}p zWPChEIFwp}S-!}WQXOwrJ)uXu3H>#*!BcwztAp!)FTo97hig z_r`qx*8i@suucg^1t`T(Q2R+d%~Ihg0sgTGq_H~_1kmQ!4DB`?;hPR?U{Tf`XIUlg%Dc60apxTRAQ z^kLc#-FYls%6ZP#Gpe7;CCh5!o6r?bb!C^0yQrHyW_Z~wMxDrqt9FG5;RMb`L` zfVJoPdiM3fNa6Iu*X@E(L0{um~hzBt5XCf;?>H>jvIJ*QpYD`U zy3(%GtRn_hi^GaH{#`gTpKCnKa);+x`q^C=kGOVrfX2WUQ6YqeHIUC!s@4Wt{MWK3 zSKm(!^Da84Ss{=Qy5|SlkhM;CH~dQz8*imERu`B>wyA46wGC4aPDA@e3O=P#d&ZCK zAleO-<5Tw%l}cZquF=(4d%t?x3JyeM1kIQ|FZ}U~UU4h%!qbxX+intk_CS;!oayR{ z+O|;Vo!UF!b#tJXn!lSe>n)9!Hh!281CWFP#pSpZ?Z$po3GbVLBu_8%*E2 z7?YU$d|Uh3wDGLp4H?+N)bL<$dENv4uvvuB)U^Qx<>>zh*(n4?98)?=wF2@L9I*pz zZ|s2>5R(z-NI>FX6bzYLfdE!w0s^injglfa;=#8K)@Cp=@@OlPm*iPLwk9dM;CAEURN3T#cqnuK-H^TVTc4N*H{Y$pI2Q* zzHKgVFOL~7SnZXY38Z7h0WF{u+H0UG#lS!ah^ztjN@dsK6sJs({ef~?)_Qnc6}jeL z^Y2CfHdg!l_c_AUyyIaEEd{&d!e!yS-<;>z_`LA->iGUO|7$FLGaZfJ$KUDAyx-_v ztpcOUnsw3JoS$EX_&JOtaH+-`j_W4gKZBW%IO;p=yX2=$M<3o z3_ibbnPd@o_L+RYFS{n~`|wC>$hP*Xo#DSE=P+-z*US5i0mKA+j%2WY!=Z4$mwvkw zF|e7ZG1s~x?j=;vt;k+)MeQC3H8z}g9NaZqVv#R-X{q6%kz6#loUpPvTaPY^$!D_- zybI^&ddrAu9S~s2X9nSrl>qPN22nGB__V>QTFDGJ1k>D*Qb1FO$UNtk#&x}pq)8Ki z;FV)fcPo~cMr%s(VvjRn(~*X0`yaZwPP1V zmSZ1NB_T7pbTUv_ngOR1gi^QssP7mbM5+1(eJQWrzdq+G-V|!j?gOB1 z)P2+HvuH%sU=9jHw4}5JF3@+$e~~Mq%LCap{`|I@>2B$6UkC^$kvJKz@BaduH=hHH zGe`uPFC_)E9?v-$gVi`VuF8}!T1cICaN5LC&__-e9@F1v*!#tc_2gu-_wh1A$%fzF zf~@-i2R2!oU%n;(Z5t#NTREm*)q`UD+%>c~p9N$=zTG{UK1I_82_P?yj^XeV!Lk%c zIq%cWE7bs)96ZZQ$Bt+y_$}_&Iisn2e&vlWh?uxvTc3_0s8H%2Cff#gl^qst9E&-) zAx@5ouG*TgtZie>9(4dJwL#zvE(pujAE$0dju%8RMp=xU3(d)-JfYwbNj8t&U2PO> zVi_HvewY4FY2hh-KoAmn+Sr8V#;T?IFKtW=lZ;OsOL{ve7QO*(^L0}h3F{&OmtZOt z`e^l7KlA*2``yvL2Rd^{iwi_n5}UeA<3(+E2#%?N zB@=hnlMdw9WG;3}CI&tgCBAhvr8nRkn8MEHkZo3Lh{QSASx(dYl-KVpZWIkiN&a_Fdkqwk;(g3ndX$b4O&HntOM00-g#?ukF^%&vH)K5yGA0QdhaT;ycDRsf{nIXL4*zqT z9dR4<+yjYE#i~Pd?d*)TIwNEGp1uxBbvvLZu6(^ZvaZS z_+44*seSm0EaKAVlmr5RSfwZdyDzvmq?|I=oQ#Jygl~AvUJbR6BKw!d4>m|@vq`8w zDwbHmx33zNXH2(eh%aSnvzI2Oye?-TdH=+2*sA*6Zd;PnrU$5<;ipxgF&w4y7?89B zOqihmF(ng-GTyYU%FGl<01NkOj)`9Lm{`{{o_I9S01tXNY|;ocgG(bUpX*CAW4%+$ z0}Q{iIQI8T?I$E8WB>cvt?k{B<-a9mxKxqspFhIdT??Pn=)^Gwc3kjNL=h{`q!*Ke(o{HlF5*W?V>xz{e5EvgaY(gB0a)pP|UpM2JI!VPus`_(z+t zYu8aI-)_^AoRIjcKd(cs7=%W7vGJNISpb0?3nT=jN_vv=q)(NKCkF#=8f0E8W~qMy zo!JMiOaMrKeq?Ez{#|q~dVABt2>f|%Q*WS#7qp(=XK@o%xnC$&+_PZOH?nR$U3X8b z+ct637&wqX$O-{en{XgeC!;|pE!T6uNiBGpF_ryP8k1=WJtonAY=up>ZWh+pY=zeN zOVs>6O4{Zkn1E&Q(s*UAHfLOz>}4p*uEoa<&d=3(E9O5mE_l?dr!_v)tSg*d6Onq+ zYjy9^^P>wq{A0Y_zm$cO{@5{*_`-%UEZ^KFqOhl?0%w|^*~%}c547m>5+oLU32j*+ zpYji|r85X$`#^1TtC;cIKCiC^n_Z5-YNw_lBiWYRHhMf~4;1k;1=gqdfk5 zaic*ArWl_HdvR6V3r^lHG0*Uo;+r2` z`CDB)lky{lTD38j%l%Utw_jJdgF5G0e&p1P%D9EICoU?-Dzd-w!WBx2c9YnLL|%6l z&!J!n>VpS|izRx_28uWO+JpM9Ciy3N_`Kfb^wGe2y*X^_gHW74i1p~rD1OV!GiC#l zeA8?-@ z*(u(Ql(V&6$Lte^38DL3qHvn#3Dn5#=_zu=F?&9{}^E2!B%L1KNIa^X$kFiMuz zXTzC(O@53W&j@N|;Q_(PHlhZwOzt*Anp`4vLU5^*nO(W7&#*`?9tQ)tk7RPuujL^D z$jqfcOn{@*uipY3^LE%iXy0)MrDL9lIQMurn)((}*=3rN?rvX@QwEP60bU1bOSMt07wC3+fE0e-j5H?bsAy#xhcF<7((=#jxWHs3XWl54X(^6tyxD(G1&MOVg*~0~!)Z`n!=++Vor?m|YQTAfc#}19 z=g-=~%o)G*YDRT{18e*+^9&+ww#7!{Lji~=e`YWN5D^&|95zLb*i8wl3L5C!9E(Y6 zlS~j$7X5Rt(dLzq(v3MLBrm1xic@iuL-0X`>7)YhfMah+gWoxdt9&CtgM1xDl(qy! z#0vmo1xFx9N$F&Xu#+xG-Xz4Lrd6b!z0A?QVr&N`?H~i7FT=BuB>M^gt^x~z- zK0^(|d)oQC%CZwq)|X03jcW7{lVQYl0~hMe)8*!G*Xg0c+hoVIL0>n{eF1RzN<;k2 zGZ2(Dfv*IN?-T%?LYgTj)B^)9hYTf1WdV3IwwMp>rBp{Zw0RK<;#52!-@jk423+-2 zbTLQ8T`r{>?!>785t!x;R(t|@QjlD91q}li;9z7Nh~^_ya2w-IVP{RjwwY3iZX$Lx zo;X00-W5i)KQqEt!($qr!ZWz0jv3f~`Qrmii(cgyf%wUky7hj8M#e!mAV-d}W-JZ| z0R|bm2n2Lc;?L1cO~Dt*5ul54^3-2uY*}J4_IWWK5S1W42P8nM!A#MZEC@vej%s-- zAh!S@4j1C$fL#%TCX$hW`e9Aun-vQhxd>Bgahi2Vih$UwA*DeX0oF5+cVd43euV&N z{jVP%qid+)?nA#u89v? zt^lz2BdXZEb;01)oxQBAd*o#Kk!RK_`rk05Bg&4gQN^heQx?0J{KD zu2T{2C>+t84{Uyb$qhQaRALbsKvV7EH2$wQ4i-PAKwc~L>%R~fsgZGX7@dHLO@V+5 z5L=3aIhvjWKVS&Fe>L#>v3MX5#mWP_7>MM-xy!(45Y3X<0|OZ-QcWO$5Zu~D)C_J$ zBB0~JWMq_68o`SJDQ;=lkra23sm4`?k0jdD->IMo72p$<5>zQs-~xNVmu^&|(um*! zfP<5l1ONYdd(XJ0mhMrQ||!mnl)=ynUU0c z2E;@I|946O=V74s5KC%nseQi{`LS46n(ZYDl!^1vBPM3x1Yzx4+DU)g9S4qFwUX4i zlk`^!PCr-g<|g*g(M1U(mz&Xg#X*UrZ1n>JmT$ zP%IzVGLh*IfO3BO4LIfn2%&rwb?R8sC9B_0B4XkWcDSD9k)D% zcvj5?oPT(Ufb=y6!V7^fk<`K31KZ0A_v=wFUUFkkdX6*9mxi#vvE>BLY#d{!NkE<( zokL%;y2uPfbx7+8kAZ-Ftbu0V0nXuL1L_4}n)4p8spetzf$m^qOR}?xHI_cb?0xaK zOBYYGCmp+EMJ%-%x_7-Ke^}!0!_74;DMa8*V5XB{2ca;gQ(UjvfVJmc^l!{o=Y;P_ zN+bRT0=fXdE#UZK%Rf$59ghWOIOdDTp#W7L<^r`nSI&U$Y#KJ;wC=j}|65Hl}08nEv?v_v6QYXZroO-vEsK zb`1188@rGk$6xZo7ydr3aM4mkhg0_-#T$1JqDenSnN9+Qe*5Ft52ih>y~u-JRPm5E zj7n4D0}OJg;sKO9@xQ^zr@C=#@ugB;af0Uuj^ad$Ql9-0SPAqE;7a(C0q_hk97^!~ z1&O8V#S4fJ9EYW3zb6_lh?HV`+Nr2Mr)Jm4LZ>mZZmXb_QY9;U=am){DP^f2Oi_#h zA0=|PeuYL?LkTLsKLL6Ka*8cKllflysQ_3hQj!b!kUzojSLV^9`xY;X1C=VTC{j>G+iD>)OrZq!o*3+`803-OcUZ_4T9f%4*1J z0IdgL<6WiCaOf^b*6$XGFpK)UHs+ePp*b=CuFkzDAfdH|z-V4x+>2a(_&IzpFA0!4NSlcollee(m4b!@AHcWFQQBJs_ex}O9Rraj z0q=j(KE4I6fODbT1P|VRM0XY%(e=5tCgSN`Jgd&XvF5n1Fmi+6IufQ(+GpV4174!l z^h*&29vwO*?_8kMn?3isc5f3M;#M0&s*Ic4Mok8e*Yr5FlfQ?ay5_zMnvJ+jUPOGz zgSY$Yjffjn_@_Gci^^f~_#aGXXcGP(1)VOZhPr8z=DGa8VGKIAZ=p%q+*NV2yBBJ| z;ic39K`RUyg*}!yQZuU_c!ggGNHLMl_Y{xA48VA$LGZv644}QaX8-jOz~c@%=}DEr&hEaofSNA z0N)IwlFs+>PpAf)M9VRM_e33NxDz(yYI>PRTi5pHq9#}&nR_Iu_F)GjKmFvm7iU@$ zcY^ybfwNo896Tw}FBm#(l`Wr92bOJepp|`#=^sqDXcD(tNNUZM9Cr^gu0|w|ghE>x zO&b+qpDgY(zY;W2+ip3aa0jG`r)?JL2BRX{icrqf%Is|!c_%|xLFDv7ZRA3&yUf;_ zOkj-LYGg5q`=hKoPg&)kqb+OU>EX+3s40v=&R4>|dQTX0JSnM7E)Xo(5^>nUCW&8u zz7=+md{tp>Ox8V6%?tB+*Dl+ylC_G?s+29BsaZ7KXj~`WJg3yrCdYQ=kTT5ZGF$kx zObt0To<_r{)R>PjQW&P)W>ufN3bzUN0EcM~g=F8DbuQ8AaR`>t|J8~01)pMiLc&v4 zJ0lWKqF&9<wg4uAjXZBJ{22eu*Ju&jAQskjK6NO_ez zq+VqA^}N~3cAKx~UCVDKQq_x4=Uq#0nwP3~d=)7N?%RRqhfgX#941+LfV(Ha-H`g6 z!`G}|59aK?W(5LeN`}{~W3r!xPEs`|wSO>`tfc&4O44D&7Xi=q0-RUAAmX*H zOT@z`E>Hbnnqhk&a|6BwUpN)np35#Y6R+}dJu|XH7;5M+c}@1;$pUsa{n9X$45Lc^bnB6Vz$dVFSLgvvdTZKHr}59|03riow_(4Fpc|IQ$;4WDj( z{P*U6Oe(-3pU(ez;F|oOr2EhQue2_04eOWlKTb!7?ZZ+@?n4r9sxa?+7 z9+X3d4ZtX^ht{<#JJJ|IlRe4+@|3uMpRy~^FTBZn#%$_4&?jee2h?LM55MRCkj(nu za?8|N*lB?Ib3HVaumu6fDeVe+uRQLg1emhR88M+FYc4ywlh1%O=h z+@rEtz&9ZOtwCb$paJr*CZGY}6Y)PjfOb;Uu?r$cv+S?*&&l@Jl&d5HB&>eH(bW5M z_!thv>F0YZ33ne3o}(Gu^IvQn;;H^=_3GjM4<EU&x9H>2Cw|*t6{3z3-5;dTdr3>82CgXmQ%TJ>DuWRHgb`KB~CX`Xy@R>k4oen&~{9oe)GvdC9a^FCj_OBkXJww0h*slLyl`BAz zBHX03y-?YzA52}aWlRLh$?ePim9ZRz6{Ls~5?g9DB>zc-UHv4+g0^q4Qyx38a$cAh(bUUL8WV)+bJ@L;pBwFmGM|~p zpSdB`aPt1d^fX4B&aas7%{D9HWFKql|MboeCc2c*a1(v)@XMPo!wdpjSAH-xMk;Hc z79HM4*b*!vzvnC%ca&OLKtZ-QTYY_L z={>Wz@vDg5xwME*d`0L@@_ozf{??Ukd&kHx=k;F@()d1It_T(L@pwT5YwA&1-=F2H z=^S%+&+qS8;jY?A$|+h%-^Z3o=qb-Y-_5Ey8QbW4B`W)Ic(GpskeY)}nAsHpr6x;| zMuB6HFQwS*e<{1>cUY}k&mH-qe=s@i{)N;#$7pqU1so^XqESp~D20;X72!!!u~Jp~ zoi6iGO01F?8Z#ZTS0wJNEH}7I+CA5knkIHhF_)M(69E||hLkF?GDTrQXE1-~47P3Jw6(y~5o-})@AsSK z17eV>vvDwL8?EycPttxI!_W6ch4Y;m@{rvixQ+EwzY&%~tQ<2c!{RFW*!L z6BU&UjE5<vqU^|N2P(n?IOra3QTrxVKJg6EJO)^(hnMKq{>HlXjcv_s71? zp}nn}E{GJ0^+uk&yuR?5sIb6Zn4dU7r~N!IZ7jr8IUEsA6Y6HYeWj z^4Ey~p;U4_KgiT5#f&*D1*D55t;lP2o=fR8+_N0Aq$uJ$hPor(;44{G(O!1LFF)oQ zR_h|;#5vN6R9}(?JKCn#ftvY4Li};EHsclxE^BJOwQZk-FBDm5EVe~ZV1s2W8&6!R zt&9LzrDX={%}yh`P0L~3oMB0C&E-SI_OS#x?c*3|=B2CoIZ!GCi<7cS{K51%yia(8 z57947HDWg^L~=@YChuCLZz3?7sR6^^(-Z93Dhd0ydDakYREj@4`-t$#kh6%%0ynJe z<-xUt_62TPQ!}K@iDL322a~)0GLV)U68n^c3GTm>TEB9casFD6*Z(OXp8x%@tcB4R z!TbHUfe$SpCp+aZIj;pl6sP^(Z)1!@N(x&lzAmOvj?!Z3WVDU39wOgLf-_c6#MJEP z!m5u0VRjOrpnk6j9d*x4uRRE^GQ*>yYxRENr>|Kmr!JCFQK!2?I$60)hgz{6(|jp3 zE-oYwwUqS*%k_yeZc&peIXSwZFS+w%44gMT+XBkk%OPsCG)|3CfJ`qnr@iikF;WD;2v0g_-Sy>NJmDj#$`g8zw)bfwFKeNdTy7r6x`4*73kAmOU_x)ZiRv0FpM(HUO+&T5jm|CN15pcH@aG&xYp4H5u85Os+Tc46407T- zw>2NZHBFOthb(8^>b154sAQGuSaxx4PqZ+ssC{9`+$niOSW3+Np^Y~u&WKA?SPi)k zmU=1vy(^HS=&eUCF&c}d3ip^??fr_DM?JYQG@@`$2+>claS7b_f4*UmQzloleQ8O? zf6X{Ky0?6Hq>Uwum*f7Ov@9`Av7~2X>Q;P3JR|*XkES43jj{mORv?{MP;k{Ok;U!V zNIwQT^4>M!+*LEOpz)dcic)W7m>PQ=%JZt(OrA%yl8$ZA@aG|W-uFp^r=_#h&MFb3 zJuzOs@7kX|COfKD9o|7la?|wqcnjzSWWh@w(W)OjI7I6BhYCxYY5cV5CxXaXwP|xw zm^(ttzsteo#ql3Z9x~1!Y6EIS-tI*{`iUd==ty7FWSMYmptd{Ewdr)B=l0UslmLvc z@UgB4+4ZL{HQ!v*7ricTBYH!R;@$GRLKs@!ST?Kmj++ySWBH)y&2J_LLV)u7&92Er zUIF2idO&*a31BOlNR-IeGk)NB%J8!UIRrXZH{Ku`=hElYKL=KY>!35% z%~u|Xmdd31d$y6ROgt)}M6qHkj=W+chrDMM(AL{6#nytdMMi>L5T5K3=_nDq;)br06BbDg^5SZ7Fj|5#^o@A>48K806LCAG-wY#&^A?vlQ}!Vxm=*KGgsVY`3?U1D6c?O$N3hEp;~xHvI#x6n(1U zR#-W>2NH}>5T|whKF1+y7J6aU$u8%ZK>J-nf*iv~6qd|sAhFdrRG;If7V`(si&D`o zy=yc#!?}ICx<>}_Mf_%7Y0`>H&#EomLV$iF?XhOaaKc^Fa?dkWUK z9<2iA;&CP50v;By+udtH6LSj_bYqjDRg5S8l`)ABL{spq0-H6epHcac{>)7lbh6mg zI=S_>dNfu3g@hNe67?#sclrMm;>sQ(pssIvKNoDa( zmS8nN$c=tnMeep$LzXXaeRUe`w)IxZ(%Aq6GeF7YBam>_a`5V*9DWH9fPK{OwW{CG z0A9&EwKG|><)&FtyWz^!%9&tvGQjWy##_KzAoMb?K{{O)(rDuR+ZCjm(u8GX8;0)91#Y(Jfn2Qg^lU;%L9e=k^X_hOztc&+1?0 zeH#X}E!uX1A&ocm#fNG0d-NGZ+b$+tbz7uIJH$y%H#58-HKNZ0OjH>M9b`V+&Pl@U z6FOK4Vj{zpEO=egkK;O`ecw@E)TTF z6DZil*GWYQLs96EUlf*jU35c20%kpZe2_fNZtX{{w8}+us*Vzh#%o)8WKxp~3e}%r>@wmX2W`A_x$)Uk z_(hw2j_EtyMK8s4?}GR$w5MXu!8NgzKfR{PTKYvz7+#7w7IUgQ&v86;lZWZ&vy`^R}`%ortJ+kfwi;SZ)uoGutwS;v#45ek;$$~)Ya`vYymH7rt}JAw0` zvYdhDfzK^bZT#yl4cl@T#vQ^cwwIctYV9aVbGj+v)jm=Z2C8%Hf}%A!+HI%T=`xTW z%bOB)9-Prt61p1a`OL(5i$?_HURnjW2!qe3(C4^yFsj2J0(26%9L1JCH>a-*w?)f8 zz`|BEofn2I?rylO32MlJP@>RN%qml@9|c|7-IDcF{-)>!o3uGutYcA;4#`o|Bcfi4 zxKl3|cbfU}QRlARb_HVjsQukA&+=(WA!!pXt*@D;%bTQWHivkshrTb0 zdS}Q6%B{~>;ctvO>pI?--|xwJoa!MSx9`KYdtuul*a-FLofo&y3VOO)v%L-wrM(uz zEV`T@1MMTJI%!og_E8D#O>eF^6%Y{r%;IL8SyyrjRwL~sc&WZ zVQ~}uSKX6$gHdPw8x*Kr2mF9+X;+7~%Yf|JqN3_ZX*j|PwZk3lA=XN~Xix2^^YOuX!w!s^V>$r$vATj$z%^*JRQ zyD8P#M^?H?6mvl9p{)U-Eyfo=?-rw@9+j$~b<=;b8rPf$VyYwE?S76n^!aYE4v8o&o;#lj)pnv9H1js7&2tOdpYh+_@6IJ`o?L@h5dX~F>qyV>ku92aLYPL# zH%-!iU%-H(8?l8h+u;`DY>g|cO=~;&m%`3l+y7vCI}it-?5+@I@T!^aQO#Wf`v$_= z58Iq?vdKj>&G9!}JNu8wY2{sL%bm5m9UXYlf3_^nA-*P)lc6Y>f|1^7(HrH+@@z>? zhTAqHnj`r@L;cK`=TN!H^J*f6nxKE)P4%Rpn<>Ty#s)@NVnG@2(f398wirpf<peBfm`}tPg4p#o_d-Z!|Wq}3_ zex7SO&RNs|bAHZvHP29|ww8k8;d~u?vsfKUfK@0bmS%dEc>+|Tt|w&ju3v)fd)G|~ zD+xZ5aWX=XBpE=#DHSH`^CPdv>aiE`DW(U->ZgjLl|+mI-qR*N9y`VwZ$YhX`{vN= z8F`V%J8yI_J-Kl8PAv(FX}fgq6EbMJ-oCOhG3i;LV|Lrt1WVmfWe^*fry2CnL5|t*wQ388L3#JsZu_ z(;_R&=|7kZxC}rFR-ARPp*RLnQnyWj0rZ@pMFprO*A+w#Cy;eoOi`WhRuW4zeXup( z5~a_hRa@LKUB*&R>-{;pUy8^2xMJW#EmzkW107TH992Zo?*>n$np^89INH?X?Fd&l z+E%upEfcKFiw9^Kjq-=%ZzlcX@C;p25BQ-N?9=5>=^yLM^+;(Xf_nnposLl!Q+Bei zuBUwQ_0>(rpfJL;E?TF2n-Fya&I`-7jZi@I_s}{ubKC;(An}cdOEIeTvcIvdd~1WG zvMy>{cTwLE-m8jvUib{CmI;FDRoJrPnE1zL3RdUkEkCL1_gUfIbN!Jsov3cAtt}4+ zpNfyntF-1MU!eQIFz*LkdNsYg;xIx76|5ui#rL197+YN{;gPQ*YVJG6;jbP;?IRl8 zAZ9mQUpnH~vDo(Z;NwxG!To8LoxC^e{QuC;Myig7LH*8XQG{8mS6hj_Fu}hX?4Hmk z&boI6-Tbq5yYmOrTUhdmc%yOW+iLfg(H9CP;x{oaaTx)+JvX4#cJbR8j}v{VG*D@R z?|_(1$C`x3U0yB=8Y?~?-`?c9uYERT;zl#weO+Mo2a{dQ;y=si@bXtVntrt-f?Ani zkv06L-rF#X;<=5J*!GF2E|K1$@Kw#``wQGZn0kp|c;)rZEQx^BLWi!s&+8z8vqy7oI#;dYLboaPT4Q|06 zZUS7jF%Wtq+j&X# zjlO~Iny84O%lO!TX}E9&{a7AQWzrecR*|#lrh1k061!|c+g8!uIxU>to+0@Km;yIf zeUTo`L}eFWd7p?Gq&`>QgZU|ot}xFsCG0l`;s6E5q})NyaTFSpM0dx} zJ>0hk0X83A*Ki{0ju1~a=3ULkjrt+GiGY@lkQ`($Ls)28o1uLfH1`#}hWfK!RXgto z6Bq_54NT0K-rCbtv)nY+HA+xKxsy_FbQ=rTreuZMMOe)-FB)4I2e}&0krnZhf`;W^ zFc4Rih~aAPOl(g~)>RZN`*vXN?9k0DR^PAcg4eF1-mUqlce;J>HI-G0dtOi+(IXHI z?8`pqKBp6H)_;8s5A`xQWjLg@I|W5S-({V?vTy)XsjrOzrSZl6b#QD6XqI7Q(VJe- zPI+@gK22_K4H(#9YP{f?TYD_@@2$A>oIvQ~(XkcABnDO7zI#uGn0J0`|AAg=%xFAZ z+U;uNVB_3{y{gk5QiI>O|Jj~;Y}uOjG#YE3knhJ~<@QJkrO%R`&i*jzk#Ug9qRX~L zCcFw(p}7_diYMkjfU}EA&dm_*(sZQIQWC2~tH;86;8beHl|k@b1JCPdjJ~oc+V;NL z$*?wMf?R2Tg``-+F>GxP!B&I=!UsQYnT(rB!*Q%|BB=4cc^s(^igU1eDeQ_?J5d%? zftI+6kVbELo_y8e!C)vc`MQ1|M2dljhT?NvSu>TYVYkrm4}$J*RlDBGCIX{|#QJ>; zHel?QJx!9$MqaEnhu-9*Q5Wjk#{V*ggDjYOepq3FA5w;M@}cel|N9OKQaIjZpM zMz%SoX`0JJ9Xn~(F^ae)b}2WstP@XiyiCw&OF{I-Yv*2x6TwOz+F$Uo#glyx^d(oe z@2wk2wqClw)#Q|^IxkMYPrI_OXQkX{tSZJ0!k2-=9JJlyBdcY3^jI_HU$n2{HQSus zno93Mdce}CbzVK`b9Va2bew5%bF5<3Z8lh0^Q;`z({y;y_qR=<3G6ct3@bSe@WMMB z>oD$gzey|o!K!H({VXVMQCyF%xg-(scoTuBso0=wRw!`aLSU%vQcbkqVHR+FNgODS za{8p&tUQKPVD+&4_PewXD z-)_3e)AdO)s7ufux5R;MA270cmY^>z(%lCL`KN8s2By#Us(50j?2!##kCz>#Bg!u= z#1QjwkC7b3vPdPXo$!Y(>(gV5*iRS6SZ)slrv`r*-!gguUIVCXk2Stm~eLhP2@+1C|Q0y1XX2uyCSKJhEbP$Zvg+ z%(nH-V3x){Fz(9!qI2%<40`(@H;`A%;G0FJCL(V@XFYwPBCz^O53Of+NrO>eKA4p- zf(8tG{^0v53P=AUzhUD}skL*PBha5>d-`i8sV8>Sfq18L+6i0;L-DIRL155px$jz$Co8 z!~BL-tvZxa2c=Y&7eDEOmX}duyQmaud6}eCHTB8PzNiyPqT1WNlC}B!$$Vd5-^*c` zh7TSBKGP^LP6j;x!Tr>{K%M0eCKP)_7x>tsVTgGdl&Y=z>ODfpEg6_qKRhp(?*xfO zxc9n+5W3XbrTJBnZFVRN%-$a(vawmGmIeS%5sxFo&;K$7{c95{;Y&C)gNYzZ_~HQD zDge@aQ6g4K!hN34?O}k*iYrc!(pPeHtpKuq-XA3r;`H)d|HogyuP>lgErBo^NjiwM zTLu|{0@EvgqOyn0=+h@evDHjNvUg)V9}Bp8i*<+ss$s69CMgE%QsTWKBh{H zcN{}qd{^+|4Ry6~|6=ig3XupX{IbMg$`6~-QJhHdgIH+!hZYhz|1l*265KH%EwTvc z(SP}|{E|cm4xM`bwIV54zXfFIdAt&> ziOfGJ%U%?_uWvQCacVQytFyD&a(S z7j)8*2FBm1*#l<+CdpWvo6Nsj{rr1 z)#fdq%!mm1PUGUUw#`OUbF7hFozWo;z| zU{0<;LwXcAmZ$-Z<827{Pcz99FGdbtP0W+iPKSRpVoy7ugR)NhG)%F4@&SZFc5c=B zK3!+7eaKb4!~b(5dcm*5=B_xStF0ws6)keMzI#Koq9hk9EoUV7k~T#u1M0_m6#CVi z%rEiGMpcxMyM3*G5z4>DSba*z(u#QVzWDkg;MSFAuYUib$Nu*tliRtppVm2d;HQ43 zIDw(?E6HaD>+iZ+!Z&(oJy%u{&M+-R7T|rgM-Sh%*3z#AEaytqw=+!hOccq+|JwAr zVMq2oSl*#h!sF1&cVzA(9$sO9js>`_Jj$#zQ9J~r0y63s#KPWn5!4d^`+f^u#5Cc| zd}U$JLrz}&^1IsBIo7tDp!Tc1Z{9S;=fJjkg~0h}96|V9!yW11QhufVmp5WdCGV zR!T=43NYj!8nb~kAbbpY16s9s>-;-|Gp(24BTxL*4CZ!dIbP~ZuSazeHL zNvTkw=NGS4g55Ad(GL<5`ROh@Mp~`v>hWgPWBS!Mc}vI{zB=FbfS-1zzLF8=9RY0n z8^8tlAQ6azu9pb25pOT$WCV8XMbg=8-hk7$z(WGvWBZ&c0%ufHdL+lE8;xR5fsvby zZc#nr&^=({3tYaT{RvL$yi;Iq)p+&K^z@cGs}~T&_EPKw7oR|$b7S+WKX0fWQu>qs zY}o6)e6!@$)URiEZ-iAiz93Rsg#1T|qlfnFq7i3qj+m9NQ1;8In4C&3d)ZJ#!4D=W zUduV+<0$FOA56fWfp1Y14#U*;ky4#Vd)$Dl3b`6yx*n%NYf?yM27YoJdqMQCCc7)27&0gi!_~c3HbF z-$tEG0lS6ezqrDDtBI>W#T;gcnbewJsJ+ES;peJU<9yRYjmX^fmT%>kXT_Po(7cWOq%UGNyAQ8caT~ z?X>tPT`M2b{-_%)u1{I)&C(-{c$8Ox=0pwR-%(nsr6f0!wzfj*!?2a7^x7PzK(jyy z{}(miDnNt)JYs>~2BfmXLF28&7EGt_28JvjFv?Knz!(O`W^P?KYd^I~Uq&XA*c2>bZC9$P?&@{IxH|sQx z=>)Cx2#f$88WH_)Fe^7cDV~>QEJyBVMfOzqzBR3q;H5fq9GU0iFE2E_;*=9GTQQQ1 z=wC7Jk&_Fn;!@UaXH5a){X>~u>em-jLqb`SBg$o2%XSTQ#wo@*Z|2thK05Sfw{rab zED_hQZeaM%6N=}R7ue&6MgGZ+Pl-u!!{oqsI4V(T*@7xqwc=o(#M~^6*wzvYb_6%Na^w3t(+bQ6O@ae=VF|!j|kn@Ie0v!6-DsEQ z)w2=&Tfi*4=zh$q3)rvo8Zb{dre(Q1rV#*FGr-EG7i@cT1Z8`3rwYdxQe-(O^y1qS zHwnd?a%?LzJ!MKM*#%@B(;Y9x18OMXX6uguJmS7?5N?XDuCvHJd>A`Ys5>W`@}`Tu zT(&LvP~Jm3X3Dx637j(AYvxACL9WTKnm-sI3U7rSP&8}3l*WY9ffQB`6 zyn$&4TIF343P^2`wN{c-Mxif^R8%09VU2;;m)c*qZuvJ=Qi9B}%f+sEHw+2BOhmbb zY~(xxyd#z`1!a9Vvi_V_?o<0!>)&F2PkAg|d?RkFxZmv<#h6HQ3ofozah^Ntv z^2_ril?j9*hCfLwb0csk3@)(^ohd+UF`Q%`2pc-oybKMVY?#q}N)>pD7t}r>+oaF; zeNKkgwMVpefA1Wgh2ybWd0u<<_P59q16%!SpCrog)c!DmtI*`Ezx|9)atR>?Gr3I{ zj41nF1~YGY;p2yHc)A{nT!B}}7zXS`WM5GLf1{U~a?W&{4nBcnUs`|!UVV5Sv-sxS zw2%3Yyxv*0i|-~&r7zdDIndi24#+YOOSlX4$Vip3{eU?BkEQCQGY@-YG7B(z;4#(J zSDGPPJmY(uE?aXlkTF5`-lb`2krptT7V>1?J?gwwrd1lJzB0NgR_OP0HZePCw0Iwc zwfAu`iUs?Jnp!uAPWxRomyQR|2KescjMZ)oAy-=y%P&{%^L4&Ibb=7>0^G?ZI1>Oi zdMINZx|v5;4t`-c_N~CFWPa(>z}ZfbX6I*I!<$zm94;RVDszb&$6UVcj#uoW`h%jh zWVxAb>=};r!TuyQe-gY95Y)W_VdbxOxz&U<_d-^d}}y%gC(99)LK?)XEJZfWbgoZV_i2h9q;eZMM5vvfhau6=x}wT$!l)>a zQqadM-&FA%a`Xm5r=~T z4un=TzWl8{LtZrjJfjh5nO-qnR2+|zfRQBqmEu6o15*+QX@TDW$C$ozUKb&;NvqMu zmxk+7wPYZtk53!biLjVle6MawC?e+koxo~tiu%Z%s=tQje%+i5CD_}0oRz>w6;-dz zRc6-E0LU4pgjZmJO>lzxa?dLU^*!phe1!n$B-?3`)7DaCcq_uLG@Do6p*bUP>5B(x zxrEGHkhXIiMIX;%tCq^z>r@`8w>Q3*om^qyJxpeCQAguI;@kAEddFSIP> ztX#dP7`!lVFZhEo;@P-s>V}{hnFW_Y&)NZcgCoW+uHHOv8!&`gVEu8^!BT~ zfje5iW0N0+mx^URPeWka+K=O4xy1Z`qbLG6*nlChIfCo0jbSlBe95B(MaAeau5Prh zVn3$L3ea+Hcf2)|Yij4)%;V=|hsY~%P;t65lTw0w`jB8)UVGpzavI+YQraA*ao~xQ z+MsKTuYF9!!mN(3ABdF?-A-`SHx8!0PD7)y&BHs5BgLa%2+`RLZPawkwTGWL9|EUZ ze(@)O$446#i1#$X)|(czB6c~de?KEGHoijZxlot@uMK(K!~^>>Bp^{)P=@0{9q4Fi z)7$5#-+o#N*1P>_hJ+UVV!AiHhq*v7Y5;T^rufuqScS&}Sv>eo=XPki;CuKhl{9Q< z4g1_@eH$?)x3th?+c8VCPu@2Aw^FB9pp^6z{vm zlArd`{M|})A++X8?-7sURXB?vPE+*sz}SFPHw)&Ic9#9i6!TLCFs&kSu4~>%ZHlqQ z_qb1QOiajf;^D)g%FYELNkLvgtB&s`I|if?(?mYe#dZ*vYj}%X2uMz%eI<)iR8gjr z8M2(e(P}e+ z{+FEo@2%igWN!GEfDGU9c;8g#I(EZE{$$vxzt>6X)yflJxnI7xZpJ-kT6n{`z+do> zW>W4h-$s4vm&|o>J|r;(`8cn%ZINC=>^2Qd6V)E$=XQ%);T~a6I3w=+!l}{=tF6 z=ey7v73~V)rGq6DZ(EKVqP?uMcFTym97YE^;&CSo*w2-o5J@CN^|btx{tP`aFzIQr zp&HgptKd0h^}y7kMqm2@8F6DD8nL*0UOMyS(E8`?VoY z0^hXG*W1DDj4pe3?uJFYatJlaOv0|L)((>N2Q$N{fLl4sDm; zY4m}*hKI$Es;||C>ou;PziEnEK%rucy!ERhZI(FZ2G9-SkG^LUYybiLzu}T#vTB}{ zG(UU3ulnKxKh}F1SrCKi;k`|v*(?Q+&5f<>5D)Q8y{X+C;VqxGFoQZ~ZX@h_1|Aj$ z45KFw!FqO&poe-R9Yvwn{@r0AVW<3MB3J+iYg{FBmjexGnGZ{X-RH~RD6NS~L8JC6 zA@|tLEvxBTUlS0up`*;-SdvELa%}v%&yqYXv6ku@FfZid2UEX5C^vo@Ag~x>@5e5e z3@^GT@_qSzpLld0cPg-*6uxx(OWR@$L3hKyUV~dTYkAkr>KYa~Ft5{qPiT~91?bYz=HpvwZE<`HktrEn_8lIY4V0DqADVV>XtK|Vf1|@@n z&^few96o{dt_-*x7d5lZ2e^5S#&K<{CPMfS)D}|hDNf@PgjlwuPic#ND5NPR7V_~; z-6mze$(Gs@D&4s_a?seP|D18#G`I{R8E$)1)CWDF@vXl6RY#zZzFS`0?`6tBUa~}W ztg6plo_QK*kKUu=tNC=aZH3`56-VXQGPHDWSGf!@noRr0*Ey)iAxQU6uVykX!={8LFlIm1se-_MVwxn7^& z7)tAXZI10)B`&t5K3N0wUtjWgt8D|L$ZH8Rd9TChM*#&czqdJ#33Dpwm~`8F(jdtJl7 z5&@PGpI+lozfHpvYl&01D}DQ+$e{csO|j?bz~S07Yc9Ga(FD4(lDq6+gor$SE-epP zx*8?}PW|&+i6TtbN=c~u?6ti=6zqZU3_+oYkMCx#082le>g(6NAtOBVqKkW+U#v$Z zW97aV&jFo!qp1Gb22o65-ff8FH!0>6Oye%e!7f5B&2yzJkUy-ER6lN9fl)Uqt)efQZhIXJdhd%r4Q6yP5R8N(1-)ONJDo6FmqbN>)0v5&@zn8e zh|nNyz7B4!P4t->2mGuAUvE!t*DggRv)-n-{vl(K5ad`z7HMybX>uF-!E^$`h&Z`C zWRcFg0VP_w_Q>a6H)$byz0X_><5W0(TEfDi2>Yl&T>Rl~WUfP(;jn3|53mRhdxfw~ z-4-er9bNf&ddAwU3;`!!Ar86mEikYPIEOzwH$w@C89o0*MH+tvgD#p(9rBa zM%>0~Of;MftmSSE*OfPVE#>?k@fPD&)4g2N85c#PE!Vnsy7$iK;Gb9O{H$Yb~e>0vQI3?!OZe9zZAk>?A&}y z%rh$B1DsA1e!n!D)Q3_;@{GJUFrCjU^wiTAEx>$kv=!1n(LT7gwi4(xjS@GIQt6au z@7Him<7>s~W>*95bxs)Q@Z_S7I?lkt8!Qv*qn;|EMl`tf)QAqrcb$`1TO7`*bY<^x z2$dqyrd67z#bmf;lW)|9y*jzNx)+hWwb55V=FL3>WA?+r6S$0$aefoWAzFE7M9s-^ za(64N_w&cZexBex{+0YbLki ztW%(p+$a0?T1XnvJ3O|nV9@|6wWuqR+9iQ?vgftAeqD2pVV92cbwY+JeQKcsXU4F) z2W*FVw68Avuie}kmwBB#VDs5_atvu#d^%=&9qjGw zv%zEa{?GX5Ff++^^>AHB*;S{ft1!E0@$s!|6P*rIZduCfCmm~Ue*7(IH4JchF~pO> zVyE^mgq`tnlCg}YSU&Y(WqI4u{0`|@c2^6C4w_vhjU=8gaoH#|3O&H?a*Ys}^9Csf0CgwJgg2dfNq?cP=(V zYen8x%V|KM^mg6SRUri{nbw|WH-G2?Yj%${!!bRRErD=_eztAx-V2<}$20HR>MqNY z+WfF9DU)DQ2c8B#MqicDRw7SOvsmW#nPsaq%gX>)O)PFJ=ffD_uG^l2ZG%S-4cw^W zPE}F&MT#|uvkd@ow@x;StBCB;1i<^OD?wIu1tDDKv=et>Q(c{8Xi0H$Vqi7|O&zAN z&MeoWu={MXUV(2f;|KOj!k_Nk>=E;f19l9&t8fM*<6)B^D~c0JMHZyVbadg2_sccS znAvYn+?3BKNHsuTp<77HLdK+5G36_27hJz&3l7!_j4_s@{hKS_pMzOjOJn`VAa82{ zic9pvF2?{ZqA$xhA*a9RydQONqaHQ{{BJ|rm#1Q(IS)d3W9GPZ<-#o1Hzt$gO}&vI z?JuGb4)7vf@Q?O6m>~D@nBIV;L#j)jvYT>DWeW+^}P@ zXLxZA^j`5RMeDHg91lK-6vm}lqG!U57ssL|W4G12A~12%;T0mn4xlke`#k)qJ0B`H zOF1Z`AB`(WMItm2XwAl_)zp@i*oi5*Jyog(;jzMsKIN_W!a(Xxo~QlJMK3NfgAy-i zW^GC+ToL%D=F-;5_>kaNTN_pJBmwQZy6M{cY&EI2b<;V5SM7T3o%T(>=V`hN+T#a6 ztK<}N&o~VPTTL^QI&cA-h|X2+>8@J@aLa;ZNHnF-Jyws5 zv*;rvj-Dv1Vn-qK`^Ia@h5EN`%MO*_fW7KfF8Xq#V0g3;Hn_F9bnE2x6k&bis#O3)9czg|eUT&w@u zU=%yM`>f%@hbpq;`}1T}M0!uBTV2xN22A-g%FU4_c~T!C{MOwLBML_-H1YEfHxXB} z2|S(28=r}a{ij5vTr!(&j^P?V^b0MFErzi}qTXu=zkRT}UO@UX?5d|Z4**$Zmv zJKq?gxqC)ef^~9PWjwvm`0}a!MqpHlqZzLbPOLW5XK-A~@*4Ge`t+oXA=8Bx;u=Mu zmzW@Ym*+7wmje3UhjPi?4*u$qYq-EQQd>SCk>yLe?MC!Zd8{U+Ro*0GE@v>P(F1zV ztAUx^GQq#D<`+@nC?4@(m#ftWXD66@XFFr(_L18pVYqBgn+0TLZkkvq{r+1_d}VWD zffDMn`!!g3#VKFiyX-6QLO|ttXnVB{vS204WJgi$I~NcwIPV#kj9r33QE@WEA+NT|*z@>1*{*ciX#QXV^)5%f zt>rQebKB-_j~h*nc${(jvbSEppJ;V+HsMP33mc*jNB?kAi_i_-7Tu4ByymE#uMaql zdv}G>9S$NnK1XQP_=H1SjUSj)@%SV1*om2dTVgiz-L1~=tz+c(nbYBXpt6*2t$!>Aqa>x zB|wA-NK+62Aw&d0Nq|rS_^;?Z@B4k<@xvY`Q4X^A+H0?J-`92C$R_Fctx>8umiKC9 zLxN+UcLi=Xt&Zr0BkixQg6(4J>{zp50lT3iF)c5fp%yew{ZF}IAQYr%1^ShcQdypr z>fo&TM3PfS-zzZ+&+r*Uwo6^f`i@f9=Z7q)3ABW+Q$wE*BXtw%bDrt6oS{g3$UK%a zGsF^Ow8k8NejGXJNXit(#VO{A?(*brSAySG1Kh$<@+>4)qIAQ?L2Ogb{#67Ub$wy` ziftP@U5J8kMIP2Sm*rf@q%JKsv&Z}0U_gBX!n6QpH9FvlbA5CkrGLB)HtDp! zG#MjmuV>FY$|gM}{Vtq2=SMmFoTOUgsy?K-u#ReT-(m}5x(s>#UytIlJkxu4_pPJF zWne@6XOrnjG}2R^h)WE?%yd0V)-Brd#AJ%+x8s6n@i&fLT%1;DKgb&jMDzuHPEm_l zD8;5}T^vinJHN3PKVRx@4QqN;0SMWPp%02(%#a6Tgkj| zL9l_0@a8Fckp`nIrw=p0g%m*2C~y)oYkMD~S#T5Mk!Yjf0WN$*PcdbPk@<|XVv&)VI==^( zUWv?l^0wQ({Kh6oi?3Zm>Sr72-@W|La(1HYfZ4;TWCQRx$`|(f1*Ch7yxWY0*Fod8 zKG1(05lXp&9@oHRXw^SUxpmdPut_AMeYGRq#$jM1)%*Rks<<8x?QmqpTWN}n+!EilRKT_I2ZW9MbKEW}afEnSv|fRI-I72*IAguzSOL=w8mDYid|srUu@mN3$_p{sFNDOB}!s&$Oajw}idz%RDmdwQZKpdlrV& zib*Cf@3-E{{AWN$@1M7!gU9dw^>mdf+u$WT721z^ zoYm}q+i=G4*$v$WllBp@pSONN>a$}e0(#gA&m$TS{$ZnkQEj`|kLE0So2Kiu2AwDN z>Fg+eicL1N7GHKyRTX*uw25E3mpT}tjZs-HOPOOGIHj(Ps=Lyvv#zbflOvP!gk6r;_Z;E)kcj?C6C9cR(3F58qOkoAH?Jc~shB(S1^RlAMEVtvv zB`UHf0I5c6?#ueA-P*BfVQ9eldr6-43X>9C($YVnq7)H-R;}e9)zgGuB2yNoH&h8SCm zA{YRvvPWyVy@Cm-h%}xUVry}K{@v+oUOzJq-5@8$!wx4EjnsuHSs`9Ne+*@AN~9V$sBEV$;kU&%~AGn&(+ z^;&a@U%2&GN0|4J*ULumfo|}bO|qtc4YCuYTBMd28ezKs8UO3LgFa+%We)(^x>Q?k zekb2!$3b^W^UbN&k?}^JmlMWTDz|QkC;oXp#Z$a1?S;9{7JtZ{Q4@A6F;S~c9+PTS z&ull804uw$yGvC^1hL@!W(Kq@Apu*N@}$N&_f6~WWbrYs-0%_m5&L(c|Eii;8;_La zg~A)26L34VZx?{gV#SJ<04;h}Cfiq&$#DOVdE5ZQrPRd73fhPi+L-=mWLx2Tp0_r? z1O0L5!cITypl#lgJWtwAA6dGN``jn<-~VGG@tr_AMcIPzI-;ma_}+WTy)0+gUL|+l zKily1h1sogSg^s?@Lv6oBP=o=f&~Y`d7?X1&kAE(nX?YzMBG<3YN#g7fmO{sspq0W z;|XjUdfkHnqQ4HR$v40~-2q4s-!khau=(*&1WGGwAmu$pum3ie zJKk$+z%ldS4Bdb@)n5J*|7zz;{BfOuWnUH65R+B=QcAUz_!kgm1dzo{yws{<*xlyvE`8zA&YG z>AysLNIfwlSv{PKKhEAv`eBu^a;cBPHJ;%-&l4Opx;03QwKpj_sKA?)z-zyEM9G6h zdY6tc->&|%0Ry^IUE{{|T@w1y^*up-XxFjuhVb^Bj*E6xIuEM;M27mRG*nyG zq!Z-QeLiZ=^9%FU%MTQ98mYZaM7NmQd3(Q|!X(WDeUbQ;rr+i(8XY9VHXthurpGU-7_m4nfA|NmTK zFtp%6*CY#3R!@HAlybs!Sx6jc*T$6jjW2X+2*1kXvq*4_q@=>EGg+Y(D}SPT%DHdh zu}X_*1$_h`;dMz;5Df#Om5146>3;8Vv@)(LZ>-C?;)cOE%F#`X-8R8Ox&EizP|WG8 z{Qc49$N+Lt+AX%_C5ZCNs&IMYka}8E|Hsu+ zOR_%g8_eB~?v5*1?~&KPe)Bm{Z@;4S!c9%Z(_)^Ej#U&7sfBBzTOFWs%HJyrHz{hX zx#z{*zV^^u{)7Y$VW>$cme4+YJy}4EpTv_tlxG>-B4aP8XTiB&vljy9j(vloE*7aQ z&^9t2!dR{*QRCzh@wyj+T88U-)m1sVcredByO>I1`PmP0XHD2MY9=J)P@!Sw)l?v> z1-gK2R$wT!DW77MEE;GF)0(s0=chWB3 zJFQ%-^o-CSl{wK8Q@4BCpEa7u$tvTEuh~t6+uAUmb*@228S(G;c&T8vk{9{@GA|d~ zo9x(!;niZv_^5SrH*i<5306&F?I~>41DUm?_z(`FG*}2e(~5*3|5qXGmpbQUfz-P2 z#{54t?;sG)G?CG;+7^#@{BfifjH|^alJSnfqph)yl$4LMcp+$e6C+_?Wq>T4d=8*V z+|H3MLad*LlMuHd9{ouz^WzlsrKEung|&pv zTdaPyO1dX6_cIXCGbu_x6>s20xn=$=-SX-9P z_wVdy9RL2}T;teLs+n14YBqsj7_$wp?RTv-=9)rlvTu?d1>+LbS3R(VUJGGtsrkzLaGfyGoh0XCTSIWbO ztc_^0peD7|a=Ox(-{e2fD%a@O5Bhg!c)J26evPrN8pfei&!V#LbKRe{+RdH~2K2}q zHJ%N9(Rm+q7cq^<$dTl#6|1H#KG(>0;2#RJ8+)Xe**iMkf{*do>1V%s9>|FP`8g1P z7Zu>ePqA7>se#P8s3NSPU(~9-<5{v-AiOxq89JL`ywOvg1Y8GJ-U5$ptzRirb55;#ARMdJ! z0L@72y?}yF-ln>aQJFzHCw`IM35Z`Xho(biS_jqa3$({rtm z4TZQ_mz9IlF9HRs^dBl)W`qX14CM zw^cPo|A~o%vr&ppZhaH@Y5X>Ga{V{D%v@l;12H3}U~+(@!o;SX(Zi`uJFOlT9EuIO zBxd)!4}ZN#Hv8;AW(BCD zhAA}TP)6~)`*^5i4LRjQ6lq2oisy4i{8OwTRax2mIA1e?*S4BY4cYQ;oj@FzJyEg; zCTc;wX=bb(PdZH!=RW^|OS_XKDbrfifOglBK4Jh(jEv6R$wvBPO=**EW&tptEb zh`DeX=Y?WH&v2yd*u!zA`ySA8(t&vFYicnof=?KGTLJq?WM4c^^ZiuS$G>w2)VzzN zUnPcXUA;waJ^cC(X+XfWCi{aqe^ydrV%z&p z(F>zUY;{&u4$u1hqoztTo5s{K$U~S&@arhlqxHNxFHNI%JyT{%*G;p@zTyA+TE6&S zFp;T&e>ChxM+)i0@Il9Y_mKmsS57s;`BVtRF_WO-y|3HVGIx34X1}J{D^bDC zhLPVt!v*!r@z?KpF%xzfSsDhWZ+)b};{j}@k_!7r5eaDGtBJ-{dl9V`k`*za~TY;MwBn%BQ7x7+X84rj{A2vN}vQE5KbJD$) zqdZenrfopf9y~Fr(DtXhJHV+2oXfwl&WkXH8(16MakQmIR`2T@LX;_({LYKO7C!$h z&L=EdmTx5RMiB-@zQ{dvUE5#lAH>k^_`!YeC_Ww*+B^Bn3;oB-))on(#Mj_-7} z?}cL$<<}JE)|vewveRWMt))kAbK&75SHIBhp1ex-DTAoC%q5;>0)agu`P;36n)GIU zS!9~5T?S<;@jSJ72RXjfQ(gtziYt{9`|Vjq%{b=(-jeMYL0fJ$g zCr69L=S{J){;d3A6A_r?&)=bJ{UQT{1%`fB`p@z#-}Nsz^FAMNT)MrmTf@)yd?w{> z)0uwv`tc2`(&nBo*Io^m(R3km=sJC_8wJO;>HTjc(c3n8(_hDzz%=sMC5)uwcb= zSI=%C^JtEy6mJHK@f^S9+B^q*--I5cn%-gSsOzT-ez%{GVo%X3jX&3zr~WBY3#?4y zJ`3kG-=PtgP7HH=yRJzYGFL9Ab09`o)%8C_$hK|{<-c3MhrWH*TgA&^VR^d%7hi5`H5_w+5z?5j><`{M7XbWc%}BFt3P>%!>Ti^44T&aVX1?u@$!nLXu?jvKAyO+Gz-`wc9uT{R9 z3I>+gmLZ;ESTVnm%*TiP#o}RXCZ}UQg~$iTJ?}E-J4|fsKsqPB0?r#&DV8|iD6@AE zJ1xLb0`ts@RF=Q`g0Z2MM}C|qEX_$hFcJ6Y>F&fz)*Od7-FNydvA;=e>h})2B%ZsH z_NR>{(!L2>jvPAHvEOUOd+DoQRmW-MunO=PQbmiN_yv;-1%0G6>rl%nzeVr>W?Gt zH$NuFs+^I4)q=&hOsUaX{R;b2w_a3P663p}l&RZv%3^(`gPP#|TNRh0Tu|A1ExD@> z0PJse2MM&)84px(deFeFMa@(Lywu5*g&^-W}VleaG2OshXHLdB{(f-~wyrJ@k zwz3$20y32{(&elrX^G@@1;x0pLw_vc1-b2G^NXF5<1fDMSb{(GFbj{<9lY34f3?=k z9pnEuZJ&*|ZA4y#7{FPL6Giom8ec0odvtT&_bO(aqdbaaii8YzqMxAO6 zpW~X0ZO12!ql_asPOU1?yVXHDJ?~BAn!alG0(@H@aWrxbgWcc*X%~o#)Vw>*vD1F1 zf3bCEWM7;mi8SK8Tn+er{9u9>6nta-*|nEQqAgT_K&nLWopL7TxqYL+K}gd4ZPUy(Lf!QN17`m?Z)wj}g% z<} zPU&AQJ{+LC2;B?I*3sj%EH4(r!@H#Qn#KTyP^<#Pp{KS^^;slu*l37HZX_NlH0^^es;NW%;TLitWfca&o#5*_K8Bq4wnESSDz=Z&tPkZgZE%5 z=fw2ikFZU=AL;TQ8+o3BHNCU(xkVm2S69u{^KV!a(5CVI>Be&0a*kxvSmwYY7#Gbg z!OA}97eKQrzqW>j^HxNel`bq?MP}c}*$Dbg&^@*+>khrD70;(i=KpAAL9 ztZ~V+O?Sy-3N96(Et>kAiUN2F11pFMY1iQa+gj-R8YWf2^m#EYh?mDTl!> zQ^;4QpX8nS5Tod`j23#DQll+yr6Buy{-ez$*Jtf%wg&mj!e%v_6wH>*XWGjJ-Fs;k zqHsbEJre=x|2arsZ3O|umIq5U>6B>x{299B4Y&LCIfW|i+4XZ%2ilx%j#(0NKi77~ z9`u1ILcy*KK$?RcM`P_L&GGi@zczJ4rI@rAQlHgw&oWu-c3*iTllL+%AxkBYvVd3m z3tftom8zIxF=GDBG{wpHSbL;+m1p^@T(grdU4HV^^CQGfG#@Xzq}c zS4z!xE+2ZqZ#D{N4?ft2DGsp(&UpryPCx zDxt3Tu$Gg1(bA(UT88`d^1H%B@C>m50zc2{RO~w!kGv@+Y27&@mu?RUEi_h8+YJ)d z8V}K)WG;#nmHd8%uK|C*u%HIuy??&d%iOVM#_~?>`QeR}v^lN9uc=G@_VR}G&um=9 zTM)ZAbNp8jqtHwgb3hp~j`o#YLsk$b$9>sNz3VgO2k71=FL=}0dTkzT+w~9X7R$LS zgr+F=M&@718@pn5>t1orih_wb%T%1A^>j6`8iVoxN$1rUYVwn~xu21(qVw%E`fH0> z?`8=$=!9T|5;R-<2d)K=k0wi_P#rW&qm7DG8s^i zP%S(o$xNtv1CNZ@aWw@UI9uj53RH!6)$*VFE@o%*cHaCrBG{9ZpQ;|c&3FSjZI<^m zq2^Oi@^=9q(kH}iG$6*vOjQG@Qndy5r_S-tarYcxM?cS(BCH7CxyJw=86ME8*Uhqe`R^Txy*DL0XrHnXU-PsHM0>aMBHvacve=&Z8lbB3Y zEjoUkcg_ncf3u{bV9UkN(L+9d;puY)cx>X}-qZH@DJ*4O_+@I9RAATDhaGJTUnW)u zY}*Bl)^)L~1 zE#+G`O}+M`T+cCX3TV}>rK*R_ImEwmy=k_#Dj(k-gP2MM7qm)Mv!7dehs>0DOF@xm z?!v+kWcI8hLpZNlKihhM^Ru;3q z&l)uc1J4Akl=H0OB)zgBhb!Nt?O*NeNE;8GF%aFXw_gFS>oL&m%qSPr>zuSo7&3o4 zyB2}hvg!`dl;ugx!*LHH4fhiFqptJQS9~luke+2qvuYE^(oG(D!k?VBCzb5fvj^ln znbLKsWgR(ai0P>H#9ehGZ^5IL$WnV>f#$A_JF`Jj?>>7tBhqXZN!f^MA1iIoE)6Lp zH?WbL7$@V|<6E#wOCNNvD@4+RRlZGCw*_O=ucaAj?a_I7qlQ6Ek(dO7O!KckLD3wiQ8wx^g6C(S zB_^ZA*MsV=MvE-*E7Vml){>wJnTe?tcGbjq0*-fE>m{rdJA?x(H?zxzOx*_T@3<6oG}^h;BY(U$I`#W~jDOLm9I4usoxO^sR?1#o!|P z?u`6AFE(<6u8HqJ$Fn#s!QEZe2YKII+8|!TO%PWCLg-zv&j2Wu0n^|#%ZykrJz-4< zLa14WM1D18Oz~JnKFR<~Z&b6d;FO=WdxJxU9g1Bc?}=f#&-u;*oZ((cb8ny3(xL3B z*Khj@ZNcw>HYi@okL1VfDFn5*l?u31jM`Qm&gG_G%WINec2P=e9XP;Hvq7`PIY#Aa zKKtbolE$a7L6mMYA9F@L7OfTU*BagB3yWNM$OCLb?avC$B!D6}Q@6rCo`Yp=p`#07 z`eiSj8a)@r;FTSE!0IOOO`c&~?G^wGZEsm{+> zy!X#gHqY2#_MZJKm}%OTY2cqU;+MBLC8jM1wKH7i=MxlAa2?C*BhM7<3a`46zuR^v zljk5_{eT%Jnq~|^Vk#=c!MX$FXnQ>%ik`vjjZldtkA&b`j5>z8Abd-hI>!isFB+ZYW;_OT3RcM``C;xArhUIS7qF3 z3Ar}_Wx4)c*cs0TMJ@IDjdg&X``x7(t>`dPQI-Oz8z6gcTE-GZ-Ai}tH_YxzkM{7$ zsB85E+=Y}^R4HO3*9&JX-7PBou>pa@vl5ha$BbW{%OT7-KQ~g)!tKxy`QJ>!p>+$k$H|@QuepW$;s*S0MyC+ zN_?@yzC^DX0i!T;&BQzgb>byA+Mm2&)cN>3K8YE$(3^lu7uv&4?KNmx+JWHjy-7l0cH_$7cLKS!{k?F;M;#g>>@W)+UK%l1 z5$Lb`a4xhM`Pp&GUXuaFg<^rNa2NljxQ|+=K~VLhT|oA|Iea|^G}Hn)D&jIl{Hi-j z&((_e1uBt|P~!z7{7JvZ*b{b9MP}kZB0tjX3g} zGl^bKrj2+O9z{blea^b&P`-Gg+n6~CfZ_b>3MjJa%8F4Y61BhKVrXVsG6(099P zIRk)XmxIp!z+09`th}z@AVvYTlA^q)EBXy|h_vnJ-vU3Duw*ji8o*`673xL}LE<68 z#~lw>@S_84dhl`{k$GkOlLK`$k5VOE)rqe6?cUS+g~(2)6&tB2q@IV2`;Kr1;=-qH zMqNK8s{YxC!T1K2@{O9OiJd7C&SYG<-=7>?*ANYxMMHX-;?MscT;E<}MWwNbU!Ruz z)ll#?z{ju>auv+N+?@F%<+(>tW*l`eOC7bpQfsIV@y|TF{aoo z0Iw~+R=$!J5Ic0Kqys}-j2ocm4Pha@xS#TgaxmzFEaJ~h2$yFjhTpg!T5VY7Sp7B; zxD3{J6c1+BgkgPlG4dDYo5VQQejHg|6=oy8MYyr{@5K8B!cdLI10LWblT_8Tc(dpJ z_+|hSk)`g%{UtVT8*9{=xNd|{<9sk8jB(mUUTf5-W^~(f0X_WZkYj!U|8aw5t(K~^b!(>3mMR66WHf!dhk3NS7O)?x2Q zpHP0qR_nc-E8N!+HrLt!sNy^i`$&!SS8kDX;0ITygF82cXH<3|G7tOUE@6wW5vJs> z=V$`uTPES&y%bU3Srd8IVRvwyOLOjB5p|l{_LWZR=I>Dm;XSuMF!-x$Qk`d)58gOO zf2z4!THAkWMRa+2%V;`=?S^R$fM&6b0@+DA6L;2jjji-gHiZYIDYAUDz>X4iA3L=l zet5~{4HhyaoLWnlu3zuN1Q&qyQ-KGi&M!Z|(Q!XAWL;wT+q1J$7NcP2DJWF@hk2xr zd=Hj*nBSe1CYW@Pli+HOiGAZDza?Ta+F|wJhL3`c#hZs;gpYy#307m^KOp@kzAnKo z#!bW(3r3$N|2fx&ipv{r6@{1T-f)Lu&&K^RfPdoIb***4WM^}&B1r7nQ}wcVaG|HQWa8?6N(H%t&yj+)2us}zP*#pLAXeF!Lw zVTLvOnVDMVQ8!sTJjD`Ys?aDvY!J@)@^XUnhTh^Q6_-6l*}=1gHzpetr89(DmV0_> zJFM7DVhG)(MRnPpIoN2CTuv>vu-6x%!^iY=Ok)2nr<}cmP%EgNvnM8lgx)U6Dsf@k7 z5Ra@{TlO+HFngfxo(WuIprivL0PGI{U5`mt`kxmADZonIJD-|nutFCb;{Q)|-fSQ9 zgt5esA$njlae8O1B&C1<>V{}s^c?o3F8)ph5$3wynqgyE|8WaaK7K2Gz{9Xc;69Ey z62ruiE`I=*oUIMm`u#~V`X}MWhiT>xNruz-1h^D$RAP#ZC)w=^^?Y(v919!4zjIvc z(uENj-`FDF;Bggw(dW_rnVBc2QqlYF&TqHQrEv2rknKvU9RPBcFEQJJ!M1d2?|oY5 zH(1`wn3#Y|YW-d5Fs|1J@AnKDFybds$5)OR1q!Hupb*j&7KWgW(LDoTxW*&K+ zP5vg$ZGgSJIx$5(!#VF&%gcW!L_A>|V*7L3N4JlcS1i4Sx$LA2LL^aY-+}B-85B$^ zL@o=lvW`_e9vF~|X^5K$)Z=xIbXNMfhi#c`a~+c64U9Eh(K|={o4WqStJZRfHPj?u zA16pGh#>ty>wGME;0QB#K+h+Z$|R*|oS zthDbLpEgV_IJ|@)W*)+^)WvwLNGy2cb@>6G5Fg8_l%rRo)1m_Y{R+&*Ny4%oaDE4! zl_`7E6VVqJ`|tUFh}K$5|^qIx=x84)GhiNWc6}nb*SWx*NYe;O9|@{&_>d67=80 z7it+Cw^eKy(o&d%;20c zkdi~@3h5eOOCCu2-n9b1cHuecfZfP7yk5ik&Mn_ljT_R>d~S(8>U1xZSx)gk^eG>` zWvojFI8Wy1M%kl=ejFiRe*}^V;PBDU0{9tk5OC)-R<7%ffQ?WAQzko(xD{KUhe8NF z>2?2c#EoNPvXOZ?{Q-q*rMmN~{_Cx1nXI@h2!fAw(v2th9Nz8Vns8c@ZD3;%Yq43K zh8uWJKdNRYgWD=@;8!IV_Zg==TtjVSAwom36`^@5`Yu0CkN|!&w~4GlvUkJ6Onh0Q#~KV3+{gI@3pjbjrEf z2s>S}>NXdJV7&`1`wBeZW)dFi?mJk8b30;W>b-*`g^2peA4hD_%7su%cb8I^zR!8Y&oW6!Md443FcP4$dzzo7h)lu{HB!vN=W1pJ}n(G(puGa zv)20=Pd4vC0^3-^U@)I0=Yk04YI`kCF6V{-uxf+GKxdH~+#6#MW6p| zSxk2hihi}{h^+=a?chFa&e^J#1jbN70WVe!ae7CMI1{TQiXyWSr?O}$UK*hZZr((?+S1kNgu%vVbF0h@#M5FthCuUxI>3dS zaRvnO95QL~4rTRA!XXtRYtR?QrdS?2|6m zKC1>f{?g0OMoQmHAggyfh+xew?R|vT9~-l{app)5 zR#?pr0>8dk8`zzG9GTFXjEwPMJe|P zMR|NLW}i?$P{hDBCH`kG173Im2z^ObKJCeamVnv5DSzBdo5%m2nMbojxPS4K|J-n( za9Mny6oZ%bbJ^Ru_$^1e4&jq%%EiH-EvdK|rSmrS(6KsOvdI`u{0Tw)dS(^ZW98S@GuBHzF76sc=Yu7fC~ya2AOe-$Wk*&fa4t9-yAQ;AgaefF9d_T zl@qfc{yIKvSTLvYA4f9hHXUOoX7>^9$=H%?d(~&CMUyktMLhRSL3Dj|L!SrnTPonM z!=}97`iL=118&A3#0EEVgiKi9%Semy*_X%u4r=CEbk`Ot?5xFpUFFr{Ew0yHIk(Z5 zSAL?%%ReObb?T7zf_gd6+SJ`XYX*D746^15MbCW%j2s@D{cuO~+y$SDBsafi5&$&& zf&O^$BFT^bZTe92Ff|)H`s2tGDaKKQyx}(9)e%lJcU-pvn{Mt&hwOe$g~&o2@b)mf z=)<$pq4tTxFWr%?dR`Eh{o@EVEm&q+Y-*7fv}Z}8Ve?R7!BbTT#OK9yH2jud=ho*` zH(EmjdWQ5UnAho&Nj~E8V%at$>7T9#G;?Pz+c|wW(Twa5A?>F*#$S1LTPUPtY&kd) zM6i;8R^``-*@liH!RL)}Y|^gEL6`%ikYMGVKR91W9bnQ|I%{YQ(}0{}3o*qS9G;?b7Y6;>z*0cdOYwfEo+Z{j$UA#f08B%gk*Q7P)^t!2 z7OwtW6(cFbKj^M-tX0Yi+;((z23PNkTWG~-(&4c)0NB~plFjpV1i<%NIqbGw3MjvY zR8!d5DsUr$IXAuJpeCrE?ULWkvL?0J_>ep|=G=o#@hLpu^n^*v#G$|j4V64wd*e%|{YrOP_u-}c~r z=%C~Yo}lutaF-iHh@JHgcxI?3W#=0fQDgyqL^tSG^epR@G+y-Hj6Uxe%702fHlw1@n@zbRpdFSE3FXo zG;*J$It96Y!0p!MLWYXM?YD}wraX?;B6cQYgt1?Dw8gP~NYJKEBkSE6HEKDsBFEmw zyG;SP$2h5xx>^YeW~n4`t0!}q_)_HPIg`OA4mS~qrIG`YrWnO zG&Z(H1)e8)em?opa6$NroD+WFHCTe{jrtjn?C7z3eTpHLtYIiK!YzLrQQU*%j=Q+c z>_=nR#q@ zk~Ke$^oY6y(r+$po(fEuTP zSAIo3z{RC}yS+=I3VRB+=6RR=9^Jn*@X3o!&U@jOL;G|48vk6nPVr<8IKQin!gHOk zqhc*)(5dHkgoW>(%**S79u}7;YVkP;qi48U=smR6SCoy43hj&DhJ{Wrx8IzvAxjlp z%U7(d`w&mU4!1ORjLy(iEIt`T9Mna>Y}|W%JS`xe^+Wr2IhfqPi->VObs^|w zZQFl{Xr`oB>8G?;cI3YT-;AP+oOE_&B^_nM5ius#Q3mW9!NMq7**0ty^w;%$%wp;Q z>4GI4!{t$}9WqYS)&UPS_)zBHbLtnC8NVI;-_);NLG}1WU*NEeW~Ltm)3tx?@xc#s zrn@asNW^J-Q9=5{@5GY1&NnX7JMT>08@naKpE84WW1c`NQwCyhGW-sCy2~Jb|MM8r zaLid7#D^C7`~*6lemiXvjdxW9fHo?ok{kJ!%`8lBu-JM z&kFI{V3!Th`E;{3-q z`JupjZbw!!KVf{&fI4mG9(u~P@IviBYV)Oi+@&ly!CSG*tcwPoiYCo$)x^8lHD`l<_Gd!|rNe9nckkSkLc_j1d9uEO9_ee;m?N z9UdTe;h#b2EEA4e4C+sL19SZ(HN%@h8h3gcIY=_GW#^uokaR{{v#MV?6qYlMC5^1j z9m-d0HgW@K4tO+0Cv9L;IHyyl5ZHAx zUJGQ4nEi+2KR=G7zDPQ>fta0@yleQhr%vXQ2NP z7-?x<)I3paLm-f+SujA}J>L3^zq%JYUcXn&NbfXS9bQU&iGSTStJMoSyBfZab`MW* zhtomaSiiS?W85&ZI=I!|Q*hjE!hm_3K7am#1r^XO)_4O)l56AN$;CL4?+(eeBrbt6 zRw5ssBVbwZ*&j#lh#L?-5fo0x84_)(;H!A{cB&{6rk$2Dfl(hzTYW{n0N+!E+G8A2 zjA6we&rlGsiTQ*2cSdLSp8-;yh8)~zZa-w`k0CgAGIoUWxz&w~=!s_Ab))hqF3rma zodc!5&8An;sVLH3zELREcMZv7fE3cPR(W6zz*ME~>Z@qsKjDXK^thmyJof%Yn%~{& zV-HgnyqOxQQE>#+=%KXXK1ldScYKVY!tr-M=)$G8)hw4d2xl`@gS$6+H&*{{gSiIN zqQ#OAMb9UhK$Uok0`!)Pkk4LTgdm&VS%I>Bv)s3Spa3~tmgf-2Kf3L^Pu)F-lVgT z7R=p~O(*Ylr$RWD4|HujlIT&W*j~*hJ^l`3q?B^`oy{jE=k>3yj2H7IYM9N13-f6q ztkEr_pD{6$-S2W-KdszYJ`2$4Qs)2jZ3GAnp%&C(YMQC0!s_E;;&-1bUT!vrePSq~0p3$u? zysq+_+HA!Q{kHJS-?t!qJ^Nidydi~)UKD!Vi9&9ZyvgAed4zECV&i@z$mnyd)8F%b z+S&J5eVyF40Ik|fYSd>%Abapp@K3G>;E||i+?kIM!REHT)mMIT)w8PaPq=Lc_8z@nr6m&T!yVgR@7DY3feE#nW6` z6Ou{Red*DW@ji!m;homUUc+zVeP!pydVA%CRz9ZFFRJEJSV47r5h( z&|3kx41(N^yt?&wvIB-S?AmKfx$-}mR0~s zdwgB*oK0svVNs9w$B~fbiOQO+5A3t{gfw!48%ViWd>!xz*c~v~8jzxwND4?mCQJQu zW_0`3(KrN-^{3&$?)*5{wsqE(1l%TrdmxlUs z9_9onzlzv*e6K`XEi>-C#E3WT&|YXUX-KCNvLJRpjs$K7ZWdv+f@7C+r$L~#X-~SY zUrw3sBPYeLot}2sVC!Q9|1v5KdQPMtGEC1Sk=W-<;$dEz=c%ouNeWms-uQ^FmAK`D ztHBi7Zc59^w~5JhD-waHlUseH4-97Ze0(HijQYOwTNUgM2}bke(r3N*hch)e8hYM( zgKTv)JFe2m*I4%GgLdK8+9jBMX`DWa2xmvAD*zOtpFWV?+%p_uknVpnKSI3M$4lLX`*!{fDnQELyby%kG83DYqv0tUP!3S92rT!u*{r5F!KL6<}qpd42AfD zW|yH~ro9d^?$^<~t*^=2Ft5;|MqbgvT5e#@`Q0)N#M4gcoQ7gBy|p$mYb_sqq5|xK zD?+TdmmkSB+*`wwNWQ*4W1b@WGz|`^6OKpI#wW^?7?oh`zyL=k^;@ZyXUnJu<=p8i z2EAFH?<1ua+CyTsANUZZa3zsZ6gzGi*6Oyy?=#hgd10S@9nLGRb@L^$K)>1s|8*PF ztXnnB_XD|JqfHisR=(%+yJhmq`uhg1Two8J-^zsv?TqHRDMZxT^dB3uKD%Ab6XW4s zKf8&>2zN-lMzB2Ne#J;-FM)N4n}rA|#MS;5JW!ncuj8k6BS%gQ9yEsL)t_gV$f(4w z+M&dhp|$yyyH*3)X{XfrOw{SEx(`*2n-tgdTw9TxNNT9VsR~grV5XJR`yr{yDP&F1 zU&q`hPN`C2eLi^d>&v5lqjRwZ-?4$phVuax<*@FIBX2hDK8>8E>8F(up(fv27NHex zOe|kdA8$}-nld+ZKlkTF^1C_~!1u1TOZM1r2Xw^38fP#Em)kegV9?0qm`xOH$v(ho zuQ_UwTDfL95|q*;RxPaqL2h-z`ta8Wo$;BE^g}$CX{AqK;74tl@$s(IS#T(DIBo{EL&Bv%fquPOHp=~g#XyiV44 z?a!aK9h(XVdPA-b)6D6j8#`7(M&VFE%G1$Ikiw3pB0X~Ks|OP=$Brhw0c*& zwuGBkdbP{sLVVFTmF-nt8Hef_egi&;S^Yl2MW;>m`$=b>yOb6C^fAz^V$~D;=&bny za62wo$}x-jenPbmL{orTZTch1iG{r+j*gB+k0gMtj%2oZ+6w7CFMX$U?t_trZ=9)? zX~B&@%5eRQVCiI?mSP+HWieC9_CP=l#lTED42}hoHaxUp>%WSE1?-5q#YQF|82)nS z)ZvB9rBb?L@E;wMnIS>>rhfuj-p&8+kTTRLR#&?aq!oJ3Ktn_bu6_;0Po5${FR#{^ z=xQ*q%NjlAvei(s(xU;W5c0*|b!e+*l5_cICGF$pA|GIZ72FUQ;~2R)nq6A-_{r)f z^Z}+Vw#dBuAze3H(`T)YPD8g411u}}fdIT4v-%*uNC-M0C8@iCMp z`Jp1c4z(aD!F z&z3%eT9Bi6P4sBvin&i-Rp9!S29g_tPAgV+7Zc_}LPq4dde29i-*(J@eKVsCI`B`2 z-4?sEUcGF|(gi>z%V&=QVGiv3zT+6;L4r+T8PEfJxNC-p-3moe;2Pk#pd5oB&RNQfFzJy?93M~T$RiG)w7}0wd;yk-G z(5nmjSl;tcIV*^Kmr3JWVG7bS&atX-<3*uB@#!R_QJnY9+tyWJJcQ0z3eo=38|ra4 zf46_qpRlu!BXae&^q$p_4v~+=az@}t*DP-DBc#Mqu6U95UQ&DwOxzR9)Bv$ikr~~P zoddshEmVBF0PDl-FCx2b50L6d0WOXa(0k6()Hgp8MW7I1=ZWur1u{I4(}^xRWL#iR z|67p)D;h~Z?%1h&i)0p%2H10_g9jIiME zvFl$G12odI{2$nUsQ%ea9b{6LXW4}(U@Mdt*}Dr8jy_))Scs|fmy}Qe)wj$~r23WZ_FFem0AL#}2d+PJ z!V}p)2eN^NM1XCOUx;!Y`?!b#>US5mrn1&&pjISa<#nV+M3c8{=b4OrDWPJOu6daC zfY$f73^X5K-iz}mI`ohSyBK=U24kgI{u~Qtakp<|)-A#SS)4A$UT=qF9FtR%B{+gV2$D4=H6cNqn&ZA^{eh6H`!?5Mjsjm(8;d?G6TT}>$R!5+`O|~k zwcz5^B-FeT#oD!*8&uiOeNrB+#nH7%uNT4gS!YM5nuLw85KIa3{bGW zAGsZr{Po+!y^#-o4E5y<|2=<8 zOfWUIZQ;Cd--bbQKg6JW_B49n_=afmlx8Nb3y7>hFwa5{+(VXbOrnr7HOVr)Nc996 z1L3tYT<_5l=KV2>a$jEam;)s19TpR>)V$+?U+M(@{0WrEU$cV3Y5GXg!Ttt7QYhfj z<@ZMtMnZ3SQ%0vJ&glsLHDsgb5h9I%qSZ~6uee#^EaEwoekh%xaY>EqXLA%<<68US zxAT@=&{=bjq{3)(@S;>xyv#n+DhT>(WfX2R^|VFd6VQt@nXoHA`!5(@zJnSmxQ<`(r$*XHi87_gQY^cJ|F2DB>2IPk|Ua?sGjouk3~ zqSsbli!O#G9#Y{+>sGV2LS1rqoK$a6--2Y54yiD0XQ|x=u%1K6yH9!Oo!d6N7AhYO z-)7wmmMg)u(WnF4`4Irw3nvdA9u&Y%9zocvntUU%KH&0Bu8DYC%;_XfwVC+_DsOAD z)z7P+wwZW4__oK@&7&c|hA$bApn?;UX6DvDvm)Lm`3eeQuUp;0Zi{3tN|>{LSi`+@ zoNEz!RM_43K-mfT8t%!^ni3xS^S{SK5!8j1O1Ys z_SZrrGnbE5@Mr*L>~qZBXoO`}3##jyMA4P715*ic>j-Fr>)FdoRgr z5w;H*j&Cx@@b}*Lyusa*Hc)*<{UDzH`a29iYmqiE;`pMRe{tD-E1At!#lrs9K6BK5 zU1dO&A9nK}ieRB>t|;aMRetjFPVSrC_=KS+TW)i3vCE@TzkN46wx2k*Pilj}E_sOq_OBz@@MUgMsC>*GC*dN`nH!9nk5xTRS-s`Fu1(v~ML^0|)8cydA; z=XJp^K@9a}>9Po-p$R^TcYT>7^Qnm)o~G`B9AubLaW>#w08Ac)6P1fmmnKtYlNK?m zcY%Ou5Ueo1HmeZozdj=MVgP1-$+VpjA<<>}&FowQV1eP_u0mP%?G877Yyyj-l!$L#g%rqHuLf4Z}f zoerxOKMgoxASc!hak7EVtqj>Yw~>ZjK)NLhez;v8L-~#mo%U_`$VaeCM{eEYksN9A zpWa8ls}G`@MZCUP+kP+(0hay8&xPkI=oterH&AdB%yZGi{^yWsL8_%+WKVzFcT(*B zkdC;b_*@yvgQRQ+_sV&QBV_zIv>_rPMk!Zg5i`5TzH}nd1i(@nPTV0DzjX-dL`Hwm z)nKk1xbd?EN+uvb&eJ!F{0-Xj+RPAnhKG2wKcfyvURb>X@=pxx7^Xb3NN*1QMQ+V*%4kRP&eTQoGR05ojYoAB$Wu?8Jz2D;27s$vtY2h3|&qFq8@K&ANR?&)2#MzU^ zZ%i=bKla@;chXCf9ESR@X8fL)8!P4$8MAbm5^{sXT1PFAVXKgINH!DX8y^(>Qpf4k zk0hJs_*S7!CoI0$2UxZ7s1CEs4aIuWh=1%}hbt04;C*)IcV=F+lNgR4vyK%fq>w3N z5zfkJpa@M6=ee!wu=Le{T23S}T9T*M+$aYjOT`x^lS2O#KH?1&zZ8L@0L|rEBfDo= z>@E)6pzs-f3qdR0tE6ZgpP56&nrqe0hSJP>2tzD%#3hA0;ap0*!9GO$JD>IZ)-k9V zZ}%-!d-7phzy_BUD(S%V{d1i2Ck|t*+ak};+NyF@BV?3(Y0v>5Xpc~iBrs-bpkqU? z$DdfI^&Ix_3<^qs^&H&ptF9cs-&=?l*+ zYj_;|NGE$l(vF(RGl09fCVJh*gGGIU-*yLm;C-~&HtP^KpBWHuB&ifDizoWxN*?PM zk8lbJcnbE$5_X(B;|UMtdI{NuGWXzjjPDG_u>ze<%*2biUZ+(FoQM2fhV^hZ7yDjq zqxJWaxjq{1F|<)7vFD3c^~RP-JUwE%oRUgDR;Yk3>3oG?RsESd@taURe)_!_bbwQj zD%4YMQM{TG^*}BipS-isT!f`WyrKuKV}sEV9A{41Du)_!WCCz`-01rpo8M*}fRqz- z)4=n;R0aO$$wfXxK^TF36J$Lg`J!Fiqz9Y7LtnznsU-~k)ItKIY=$4yt_1u}x3COT z7Lz>OzefGS4jG^@FNR)_1BQlSBJhOW&Z>oUCTz-dZvbK8^r_PPl-iw>{6d4b>@tPA zI#F1izl(2x*q*`dOyRdd6j=5sx#K3J<_t`(u2AaM)NIDcWgqp)SCom2Mj9 z(+#WOM>XT|J8$r@84}9@cEDBbYfo2?aDb{p#u%#qWvtu~Q8%fWMhgQg;i80E=ss?TW?+4EvPW{%4nR$q^OTH_BYDyHesp^Qx!+9_}cRr8R(>M`| zn|A9StqRRE%I?l!dbaVtKF>z2H}`s;%(Rvh%6VeVMu4K^I@{VaCE8X-K6 zCcM52yO=NgsN=q1S$YijjYanK=#{4$$0;kSvGp+Wawx^IC1GB#Yqn3HV5QH zgkyp9m}+cV<$e~2^34Jd7e|UZHs(r_2ECX)ux^k^AaHU4c9NQ^pE*I&H$cpoOH$kK z&!0YM!Eq^n<05_vGUDcrUZVf#T{#HW}A@oNh&6DkKUH??fQln+DhS)jpS zCP5z&w)^y>dYjh3oePy+XE~+N7n*4nG-Lz4}J|Q$xXb`Pz@s&WCVv#fs z{3x9nABm{~y~#>z^WF}#)^b48oeB-@cp+U)h2E%{QnZKZd3-<-UJgY*on-Z^!d$UnbYW`vz&l*wphYRiY#;W^>%%;$I&r++!^`bVN zs5!diieUc}@2_Y_CV4T|T*~~S!gz0v{a;YOydkh68cAdC<%F|5%Ps{o}N|*pO2Lb zei#3gKkpFgoz#zQv% z`Nzl3OKLzV<(_kHb^EfSH~?S&V12~M(6?G07>!HJH^1B>EDMY_xibgGrN!?wy_`mf zfB3K+g%+h5!dg9^lS$gIQu1OTy)B<+L}Yq1lj(O3$Iz+%LCfrthb zv}w%<1}PzsJ17_532m8rU|ujZLRwelyr1kqXIN#hJ)Kn+9{rKb;pGFfrQ13V<&U)v z^sHKl<yOFsGj(%7(Gy$>%Ckzk_L)tBzU^-4&T?{ySvllF``TpwcgN}-PS5G z#TtCH;E;iQWW0wDYN9KaWL^I;lAJ&0wFj1nZMJXuM6g^AL zxo>-j@_j|z-#0VBZ$}Z37Cj|BCv@avjTYzu;mH?j@qR?+XxOS8-AJ(Uxs?r9a7E!s zT5O7QN2es{gdi9H{7DpGAbXcs4PU{HD0TA1;P0vJ^RzXH#@n*|U*nK61b|Sslu`GP zah@>teuEU_&l49A%*b^`m+%n?%e*UlET0t07vnK@YI_uSg1a<)_nEu$g*c8p$2`JUIFb|5u&0p>8r_<-E9pk=_EhjJ;1Bn-R>w);ot7q z?ta7fOy#D7TJTUDm#?@TT{q|r6N3Ow7HF*Pygd7mxL~z270Jd(uQgi$6%n{47{Enu zW|~M3wK1@-q_<Dx4Yd=M*p*eeDz&aQ533b@HK7E7d5ro&Zzi7 zas)X1|NLlav!b3EXkm3NVN~gXY%*FGX)GvS2my?862OV+@nfBN=(7Z1yOLl{Sx`}~ zPo!g|<2=J^TI%P;`6$GWOdIe*%K~tw94>sHUNQ0}Vka!xM&1=7S%1-TMqVDly+G!NBDI{=rH01ZZe|9g?!9w2z1 zF2KB%=A`+Rx8spHE_u0{l9JN<9qHGC6SNFp@cxm*aEq>_kCl0j=m2{nJcae$Fh+%? z55Jrj`%VP(teeA4mE&hvI>%J64!n7Q05WC4>^QP1Fo*D6&YvW=?0BL22US-Bw##Q` z;<3Szy+M%F=Ejjut)zWBESL!8-Rum z`|b2$fS&{D;!4cTk9}8l!F#M%t3Z{Y2v~Jp+-(2B$bn}yme3lIKmMSyS{x=#?1@k& z)Zqc0JZC#|+Ro%KP~|t6oIF?4?Ymo$XXYRBY_M2rIJ4$U10(YAL7?;TvtgF@yZ#CG zdF9p*dx)Z7R&T95i1X#d9h)*87b{x#Jgf+>nX}`DM&=n^{t*7yzD9L1=!O~d! zK_0rRIhtNsJnKVG-mCfsI^P`A>%)GswFc4;Z!IJ^EXC(@7*pUlGO39Yr{@Y}Fg|zY zn|IFZmd+=S+IG4jt&#Hqw%tYaTvM8g$uFWW3c z3=sM5L{Y(l9!vU%p6=2)s{+o^W78#hZyGEa3+@f;sJb@*6ubH>cyUJlV=h;_k?`U- z`l9(VeAe4cr|JQzFnQ`&90y!?g(6Ybn2$P3HaZeDYuq$zT$`$7jXKsMCz6p(Xz84A z62eRXTW4&-ecf6-xmH|PqQUc%=vHG+|A0_;uz2;YJZy3Y4Y#kpa_V|F21OzQHR6pR zdpMZJP4M`Gf!z@IP)>oAiwV=dMf2}qQ@3`@xOa(|tyzdsO&S$a;XB`{9DHQ0a=*R9 za;fG+TS!HbO=ma|WM&WnxI!lKtaohGo7M@wl{U%LT+X|e-bN#~zR}IhA#x=Jbv!kT zj9XjvT`}qm1*Hs~^|Q=XyR(OO!kK)UVq5!ipxg0{Qbw%~ZNW$_FWGjRWHGSHdQar_ zqup840Tvh>*H(kMW@Bz*h8hHRcisF{K2a&?u2dIvUxoN0oK)4%vyg~?)RS)IXF;;_ zimHlMz2X9E)v?VrzjexWt1ADpo3yxt|50+Ca^~uN}Y6Vxrz?tqWg_TWIO0ugSpLMK119A8u9X z3nVs6gfu-E470N?vc{hIzp|b6jUNl!2fi+ocH#Zftr)6U7uPJdTPbKOU53||#U67iyAU6wD60wJN&9BH0{1;U$6D?uW5#pN`+1gs{bMNZFC`@)hV|lK z^N6YUi&3#!`NP;J8*NMdR!C}gb)|;&*l(+^k#@9MED7kZmArS*MV*b*iA3yT=>k9HT4Ff zJ;aZ)rr0{61Q|HD4k_Fs zOh14si7P#=8y<|^@XC*9B4^_+Wj<|TdNJ)A5-YCc$qr$+X}D1aQ*!-w27}z!F}DhR zr|nlMfBb@~n{5MfZoMJ3EJ6hE^dH493kP5dM_EFx|NIFoUPc$P%a6bc2slFCZd34m zfxQ~bwJi(!GSvR_q%wdeyixM?uLoV%RtPIpH+nh@+@Er( z8Mb8g8WbQAD_?+Y0bEWbD=mXtPSoHs-*i*pW7at9aS5-Hxk_AV{x3Q-4FOJFRyT}_ zbuJcD|7jyPu1DOuXiOIwf!`S=++}l5uk=?-z9RVXiVIKI6=idv@d(5dC}G z2whvYPQr=5qzW!pZp8b11q44Z7NG1=v0v}0^BJHPoG6`H`+Vq3P zIq-trC7oGs4sR+%v+cP~OIbRJY_@NIt-fLT5XW&0d6pz|_W>jC%Y_;DWrx(1cZ^Dj z{?(|?WSu9~50rtfZeWw+*{WcLJ5A@uED_IAt~tq#?fH9}2t=kHl269E)8YD}AA$}a zb(W|4VD8BF*p6d(G5E!qoT5$$;t{n6EvfTJNI1aOi0=ONPUa+ifjx*6w^FvfoSTxA zPg8lk-c?;g1$$e4Z=c}1+NzXo7ewpPVoagS$DvPwl)ut>&a>|dv~|2GCdh{$Pt@f* zle?*$qBhJuas)h#c5RR5KD7B&aTdFt%LVtkShoA2h|}yPqQ}cHeX8QQ{$3_?{|e(( z6R-Ww&ez@l80vm9&zo~TseLRVgkVV%g(|^IJhY*#tMiD#7nUJ8t5_=&yMrp$!QEZE zn%`E;efLoJ5((RUfBqDgY$Qj@tQW)uQF3+4fv*@YtCX0PGvf63)@@(Oc^RKG`>}FD z0lHG(Af#ok>#s-C;iCX0L`3kMpcekvZF7kX)nA0Re%koDwugMt!kde5$Y0rLn>H$# zT^2n5Siad#p>Ny{3X?or)XsnVAf5c9-|8EwK2JK+N(Y(ACqPE zpC!AO8kJ5D3y3xsl|H+c_S}PaF<=%B@>?!iWV}H;@26{z0PPVNIMkr=+h~R=fFglb zRo&S>B+u`e=gvMox0yI4wY62>kX~-usvL>cWek78ldl$ktP%bVFd`WiPw%~@*z7@Ut@Mnjc5^CG1VdNS-2zqDNg#!lRFEx z!M$q59%r)CIDY4ZRWY@e#WDD@51HEV?Z{g*GXaC5{!)dk!nnHNMHS!NW`Y`%YN+An zX@t_R8(;kFgt06}4ZoJ33TTv-jl^jYl3S*;2&Ng(3gd7f`XiXn+|?(XQIOowp%dKz z%BD2o8COd2Vi|TscQdali);6EsCoO)$|EnQvVUKudDqW3XxE35e#}C#QHa)9h=sv0 zoG{ZUF~vWB5?^m|m=9N9$oxE-jZn|fZZ6Ec++w5&n_9E+B$J`7t`r$AN-VjJ|Iob9 zvldzihKiI4ayfB+0+$M)(y)TOmL!kol6Hl3wX7yyRkjSWJ{Sef zBEm^)!r|;${Riv>AK<)&@sy5tY{$wlr#&;+JE<35n6rgz7iDex`9UW!d8bc`6?`4*d|tVYJ^)%btjO5XTB;`p8GF{FfwwmM zuX9X`%*4tylM^7i-b!9gfBtmWM6F#Iee^`gu)soaU)54W*gF%gV;W%Xd`c}d#;IJt z6bsTL$^ddv=4;SE2lDFoq`j`d$5izsUX3I|4r-!NGJE@p_{~kvI2#t99?aT4IaTEo z!>jp;^H!$Iq3kt+xj~CR8{;es>?#%vtJ=zmk0RLfRJ`KzS%+g!_c(rSl$g?xWG+dk z+n!2*R7WoEZpWGl*V`0M9eWCX(f1vCd`)M^l@KkcQ|%F-a}|{wcqhJa&`B>^H1P$d zA-`@g{bM5L*Kb*D2)TE`zoK3vJQ?o$g_G?17$&1hMe< zOjcgwgk}Nz4b=O5K23zh1@wdf`R1uk12*8s5(lWXeI}-NvJIG?1@3mvr?l# zBSO_bMJS}UnN#puUR!giAgpVmIRKGWE{E_9~RUGXi8uS2?QMRFFX z$VJZdm?o{|HdZtM3W_THu$q&OkM;3{YM4tK>(flyeIBCyd`pUpo#{lW1EUTAN{Q}K zKW)@SyL0htztfN5T`$h)&Vr-}UB9VjrZ1DlF2fpZ?xmi?+8>_!H9)CUZV_y2KT_;Z zb4(%KOQD`SabJ6Z_WOb2owJIS!y|*QJ&&L-d$!L9LRo+S>+2M2vkT2ifpy;dtvB`L zqzHJqtk+M#N>$rQ7;$s%=#o@6e@E%U{9!0GYzpy)WU5NhSIBJuT$>-T+cezPkJVm< zecIq#kqF{1UW}YGCv(S_U6!lrmM?i3eJ)oqx2_e>QJ$e-&s98UyRy&dY&Zg6`EaJB z5Pa*M(7u|>WBpti{z;tmn$O}BQWoJiZK20QNylj;RnW|GjVDFKd=~l*WNKxjcl}xi zTBPDTpPq0}DRTQui!f7xH6l{qu8Xx) zw|mPSEsS}8@=rt}_tXlKpAQhC67kXOT7S{ zJo$1v`|5FX=iG1Jf@4EGVO|D|5tE=vA1=gG>LTS;UGTv+X$v#D@kQ;GjMQCkK3Pin zibA*Sj2b*wFRf4(XVi@sv9Nx|lD}v$r8#EfyHU_bt6(gxXBxAy~9L)D@XFGBn7{$*&&T}^!E`qPwZY`=8))}tyP;d==rM0v<8WmDF*PN8$FH@pB@zibWIoTv z+nQV~aXI;8;U=Xf4)iD;1;g5yGAba*pL|@V z9}0qMSZ$B~wtnOmtgr*Mrnb*%FlB)XAgu?o2Tq*GCWBqBX;^%^W2?iJhilavlam+m zt?kKVGV}K%dNyg5PR7ni@9IQ+Ze4=K#~rH=xgt+fhsjy@kV`J0u(TmgcXiD{0Fkx( zEoQ{vQzW(tj1Nx)s*SG$PZ}plLI7^+q9jAHx38k_>m#N5EY+bnz3zN$Wa9Wx`z+l^ zmKbqja_4It8U=ju( zAw@!|Slu7{kxD34GvFH)1B>%hjYMWVT5aipQmVMX20?Af#%&Z_0g%=CK^F$sGO_Tr zLPJ<$N{4#rz&swn0|i&FmWl0yMcH7KsCPn`eFB)V>pj# zT9z6U3+gb49j1t0vD2AmcWcmc*cY%cpFz!wtbvY~O;^D#EsplB%0iwD&xkf+->~Xo zyOcdncma?!*u?UR&L`^fq8oP1A4o~zx4F6giq zA!xs>c`f7$dEV4SqwY1r1il87A$9D7;Rh<9zGrf@&jc){QVD@QkV**xQYk?~w0*yy?2WuSunoPfM-iTcuY}AkP}kXFyY>RM?M5-(mRz zi~_*L=Bwx1omkIpNrX(BN6)YEP(4yAoP|_n39xXJW~hjAr6x-?r+ih#piK1IX@@83 zs}g7+rN4=r=*Q>SVr~us&Ot~Q&4}RUO=*29EnIC^7aTgpcY-8ou6g-(ZNlh%SU zV;DjE^Dl257S7#+{K^XAPL$!ZZyK4T+(xYi1UeR+bECPi0i6q>o|ESh)DgaMDu<<> z^8VdxPyD9j-exS)BnU})dD~nOp3$SePJQ#+`u5h=Uc#~8%;A=LWugFUfS0wKsWQ9> z;Km%T^ld0~vwWHvJA~L@r2+MUERvW8C{creS%I_Qo#P9wM!T(|Xc*_&X&Iv4 zQ)~i?S23S)IW_op?y$ag-)<8ll(d~av0ppzX#d{Y-#ScSkHb$WV?LZqJ7`wlcgPqN zvRtpQ^;PjX1*MXgL>2P}Slvdb+ST=CK6~85K{HhaGaoW$bj^r!;S{e99~kiuqF?#$ zbl;<3lg$$wh5BZ|F>xHx9i?N|e|3!I*qW;>h3}knLx(%D9%V>=QsqCjct-vHgr`c# zJ|S$kfw-eC2XLSP=A(^vn}ZtkJmfX0F^VM_MHt}X0P666K}?DOc?WdfLHWS38jvj0 zUNyLP^3FMH_4a30x%-;6 zQz=6?<<8t-Lw-U#u(rt;X5@QJ`7t#AIRQsMH7rRK;xvL+p@d@aewU}E=FD!-^gnp6T zA9+-Z$V|P<#cH0#>}duG3V6SBTr@gEK1r>L?0B*r$ucB@5A0)Re#f_WyU(WkHAAME zi7GG7fYvg+t}Ui;M4_NDSfg;_lzO^7ti?n=@p#%b^2!YjgtAv*A=*ZmJ;I@P@k#eA*u z%y8i|oL)g>rb6<@Y^kfXzBJ)i_cauJgv+BEldO(4OVgZR0rGBg(RU=a-t3}Kwi;?* zKcC%Gx(A~8wVAs744Yu{O8d|lplmB+&>(L-;%bM@Ui)L5&i--L_p;Wj3jmHAL@^_R z#}nZ3U)H$DTg>ND|J=sHX`^!Sfww01y$%CHlxMyjuD6_Qwg8j#b9Rl3PtZ0xQTHfy z6`7Bzl1*V=5b{_IP6+%>=UNt8HBc#4YOHvjnrRK5M$A$XAb%mB)U#uJ|5dqz9paKE zp_SBQS-SK|pr6BjSMLc>cw~p)a^+6a|M@Zch3H%6O<Ph7|+(I*Sp#Y_)V?6zk==U}wxuHZ{WTRyQ+WK);TixjXO_NuhYp0ORY?PT& zPBO!kWT}U zr)CC=ogWKS7KJTicof)Qao~_|GU9Q(>kAEpMFk!-{^=T=#AwRDMyat9xk1X?=Kf~c zVIhy?cx78lCre~P38qY=Hf$cjIco0l$D-$18sBD23-x>TPb%M`K*E6tB5Kk~4~XAijsq0K%L||xXn|dv{HGU20SZx6%=0czD8G}| z^n|vqiq9eh;>aSV-q}x-V!AuF_zME1)Fjv>Ji`mV?kMsGj=Tlw(KVJ~G+#;(lHIU9 zJf;3NHqhT1QYyH^`CtzPo5zz5p6<)8%_xd1iY|u&0oO}?zkWbg$1iP;TFv>Wzu)IW z=lVX0+;5)bbgE)>75@a`T$Ax3L?ltWm@nG5O#6rUcGiu^SmLyG znV#Y?BhM81M6G*6y6xzGtcE}0!~fq$rWg}-KLhcOwGQ4$?3JAbP~Wsu3xCb?sj$FV znjRiI+XKXOYcXvafxQ>-Jb-`rF9?V4~+c5iPh z3T_GxGLH`8hSkAq?r%o!<-EbVujKe1b)Hxh_+GeHf_>@70o+8uX$TA=sh|)W@ZD9| zbhF%B3LUX~@pduSaX#!HXBAH`r5|VCN|>CoJ@_qP?g8xHub7UO4^>SgC9+%hr7Ytp z>h+Wf@ZTwM<;QIK&m;k+CmhnwY7fhdxq9Ufc38%_$yW%&Vw?B=4G2YAjc zD1PAkMhn&d$I$fhbvo#vlcq#)`*3 zSUCUE_-`3x#&j(*A67TD+hRNyG#C*HF&G$e1v?AK9R72K07U^t@e$Z?YXP|w95$!7 z)>CpC`<5bh{{7iG=UyCmLnfn>n*_A*JCOF4{jqsPavzB z!wJI)*QCE){5|j|FAhvM_`?C>Z<8L}FGv0p%_Um;B#Ik3En4=8Q;mmYqtO`Iv< zTTL9lmPosMZaFRzz%An9`#{5!uZD4$cK7r4gJ0>mI+p!%^?m&PpTug*>o8_ENVa_O z37$9+Ix*c&9rz!^cToB8wuO0t+uNz%tFaZ*A4r31yg#(m{(5wvHXjcm;F79z_|VB&Vv(s9bZX$vbK*wZ zm{%wMA#y#}8BWJdaA7FX+~&KcQHh?^>3lIw;%(aDeqo3uN0rj?aIejD+)E@Vx*7>;~mMBQ;7&{=W|p78U;;9BG1IMQ@aRYUXy{jnR%>zu2f*FC>frrtQMo zhjIs%6AwJAG)@)HI$2qA;-M9ff$*3EXpL0Uh950wN|h^#bs=gUeBD(;lB=> zY|R$MU%}B)|10H;>r92fRSaL*V}3a(Jk6{rb|w5Uf}=dVSoChJ2eM7ltA@*#`sc3V zcGmFU9mP0*_hnb)ysRF<(VgSS>sJpqHs|NR9Th|6@jIVUG+s_2_L1_>3Qha+z-mWGMahXhN8WHd;UrBwNT&5uOqzGp*%hh@ zDopV<21{L>N@i9}3t^rR7 zaq%kY*4Q&!81ydn(0Q)e7m3MNMJ)u|4Q=d_C)xSv~H7w!98ybCnA<`tzsMOB4;J8uk)Rf?+pwn9Z{cm#=&7`51^7PRk`C-)E;> zoebw$_B(<6<82?xc3nETUh&o`Rd4dRe8?8QSaU-M4Xw2n9q21kdLDW$D8b$yn}jyL{Sy< zSUz7-!Nc2*_%&yInQNn6?{$S|nBMx0NWM3ssg(_<1zZldLzLtX96J8|snlSi%`Y?8 z^>?(gx^<$@rxg04O!vVTm6M3yV?+_xKeK7j+xm>ls1x=yV@0z>wQ!fxU4(xrD5dK6 z%`e8wTx(E_sqa#0AYvVRh+A9oojU+WwFGgL$~7vxx27M}?CP2>UX^lF8+9iu*SjDk zYEQQD`F0n%9S!|ehuGkLc|=l40%*IYium{3Um2wTquhw+7c`1jM{gf~M^9@|^$ALC zEYuAOVb>awpe{w^YbM6RlpjMKd~iQodXf3Ovo@(7={cusUMf>^Dwei&R}#X}JS%ET zhOcJj#@@=v7*-}qEMQggCyS1Qaq`yeVRf;w?#uAuckv?i+MX|J_HqM$BUX~93Sw_n z@yHkmB8K;FF9hEmLLm-27vC^9et7R!mGA$kWBl2miY}0VQLOo`S<1)K+S~(&Y3jWd zYhiVn=%VzHEZ9EJGO0rhvn}m-F4;00-rKMlT}_z6zFe|)iG~YY$U8Di|4AA9`B4( zIoRLsNEVtKfA6UDD%GJns6vIRf66l>sh{MjY@p~cZRQ#m^1^O^h=}uva=hYNj zaD_(ieyC7gQrAkx0%sHU6ClVQ@psgAroz5ktQcUc;E!+mx-!=z6D}(0F^x>Vp`DS7 z9zcezOj@i+O5W>Hc# zeYs={+g`%9DNYy8{4!ZVcjS*(b=Tbsc*vL(>9VkB-QCU*V?Dvr&aL)wU<97RCMkc$ z&;A$@u7ubhDeB0=F<5Q_>36M7VY(TG zQx6li(m7#Li+7g!wz&ox#bAMee+Y8t{N%qQrN3e%=fD==4YvBIWn$kGq>ti&Y)z?* zZI6(MH>OjxS0bLJfp5z$$pP3l=bG-gi_m6~I{^An#HC99K&H`#N$lrH^gqgNI;)RMB{jPDHjn1ql!vc7T0ENRJ z#~&a2gqU9z<^jR6^kD^OFvSKC#AuOoVX^q~q2%)+>r5!@ZPGrcIeXpzVeP%+noRcg zVRu~>M5F{kQNy7Iq(r1CQr6H35J9CAme8b2kzUSf=p6!~2~r}U2m;citq?>ANR{3p zAf1F>_nok3&vTyVdCTvQ*AFJS=bpRG+%t2{_j}FtH5^*5Kd$>wx6l#WzHkpjYigQ! zG@{QF$Zix&7JU_#6`X8A-7mcZwnIDWinOvu%Q{5K@&t@k!}ny1HKd(kD!j@%#jmFE zNqEAcakTO^*iyd{yrQ6-$xA*TV>trQC3G!NUqG>rq76y=f`Gf&TJ&MR1^tQn>n?P2UDb;-x#Cg287s)@oFCItz9ctTd7P~qZ<~ocaaWxBm zat64+Xh4WQE&AyaZy}^0=B;eO5^*W_?dcDi8;~{`;c2PK>lLkEbX~E-{7$1fKf4iR z#w570XQcByWKIC##f5QB&bj1dm#lj?3Oy9{@d$T?QeUFd(y6JZ0^Z~o6C8wfieEW1jzz> zFTtvian=4tE{lf5m0O?RO8^xpIp{6ff_m@7gz$bPO^x@{SFRNEYHDnrb8871-xIbK z%r(`hk=J(1=7!_D%oO5QnQPI^`^6~DNEl}jFGJT7T|f?B zU46!7*T8xB$QsHi{HnQ|a_l#K0s9ly_?vkXZysi4_o#>TCa>h`E$S-El?r`}5cPPP z12x1gsBj#4L~xfA?e%gZjfFUM840p(f?$a~r@E8S`YqLjKhMNO^Lct_7WU+!_6l*<8C+;hRM1^D@gva0%#En zimd$jN~ExODDE6t0V5ZGbN23luD)neH|26JkS@cz(zUm}*Toros7h-;qV;by*hq6R z)~z78H>_LT^aZ=;oXt2%q0+ z%pTfH-r`bYrIuR79bix87N{uO3vX|GMec0eRQ2}jQvxL6`3jY{HWx;{9qj?g^mueT z>B_t7H_4&0dgD{P`uS2zYo93zp48+$j}FQ8>zTjJke)gpe%MLALuzJXT)$_U>lHnl z{PT!2Ih0d8d6GxL@Z|O(pGJuV`S)+I@z#xX<^86^1OYL>yT;Lov2tC@VWR?*WFyO2 z-GZ1|o1E6NQgxH)^@9FDDNgK)W53~Ezdie`-*ADy5!mms%^CY8_hA)qr|gxrRPT5i z?Ug?aF|wWMM2z_l)4r}tu?LEjK@HytvH+p)-RXUd55_y5cXnLH35cbOfoi$TS^g%N z6DG==7%=3GL+IZX@X#gjf=Ftr@ycMKfcE*!EgleLW7x~Mn$3HeQ|AKr>`kMa`XWk3R^Ri_3*HgdvL#lAmGui}A! zhZtSEny+i`9X9Y=jK)pnu6Ic-w5lO)>-a_i=>*Ls9>YAjlh?7gSj4X&86UIB8<0udr`Nd;K1}am-QLxcfA2&~-=C~^S9k`-o31XalL14keXtyN zl*Ewgrc6BavG74A2+%g$1C=$7LBE$3&q~9$A}DcpcopdIU@74NAep$yamO6sq`R3I z&Jz*ZHVeEWnG0-k4Uw2KwBK;k4*oLrduUhx@wdHAUIx=T2dQ!Q% zJ{6Wb%&#~jMN~OPbILN>v>Q5=Z^mNo&+lbHfMAt%#Pe}_JE;g05{rbMi1tN0R-zjl zp#GrOE<_yxVLuAa(`DeJtHF9vNpj%1II{ZPaX0U^K722CKd%DQ1wk6-Za>)lZI6_Zzth3rpqL!1Gk;}5 z2JZ=B^LVqO`4y4OSHf51ntLuKChT3ZSodnw{R~H(!+4Y56D7WHnmf1e1t#cB`Yu3H zoM6JR)&)uZB6)!Xx=gOZKh)nfbw9mbx4?ytzr|U1Qy`HkLrXR@{+8s@=We^(HN?;o z^|)DYS#1H1WIlP{U#i~GlIu#jmt9#WN-k*RH7~G2>wi{3Uw)|(Ob)+Lq8@MXGOrgb z+Gj#1MAV6%2j?^V^NhgnJS9vTs?aW0g(_BT$%O}b%_D|AC))h z7o+ft?VEcleZWbf0=T%Aa~J(rMJbJdN%%lT5FuaPbcVfQ7+K1$<0j?dTV ztvJ7Mz>qT`Tv6kta^`ndJAUlqtfcB=W9u(`T!QG56_nm=NZpVIW7?Z@=X&vDQ6tesJ?XOE6JZBer3y= z|MZtlqfSoCE#KXKqmsUYQq9aed(fo^s6?RCMNnSCUdfHBImE@9VN09$xJbyG z1s7e+D^;qAy!9MGx8E>xO@EtR=<#!QC-36fUj(n>=`nt{J&wYEbr5Fz_Igel{a7%A z)h`~Z9vWj+|uGn7Qc71wJaQk%C{I{CVaqoxQC3B5z)JtBxny zYuKkq{*#*eBIBbKz~BL3KB7|7NMvT0bj4-OLJLDsN6p619VHerTV^jg-5O;lx#X&zmYnXhi@eK5P=?KK6zzoA(}jXt~`#sl5>Yw z7NoC!yBr>czD-#hiG#2v;{=2NDckx9CC3h;jsuAJizMbHcBLUBDS@!w#i4^ zDSGFyv2XlpwrYo?=v^B&DgKMHzES?7w+~`{3|9Knq=1@wY5Vc2ru+l zjB^qE95)gq=i-%~Bq+t`s>YL*p5QPZ$3L@q5o411q!RR1*~#USiNV~$Y>pVggrWpt zzK2wG2MeAMo{%#2z68PKVb%Nk!^1Y5!)p2hw+d(cG`e3!Kg_e!axLoDRCg0}DdTNp zrjQ_{;e#O1$ZCIkf5IidxMM+W6D5LC%-{By2boA&ndX}0n4Nmq)p5zLMW~kDow6Q# zvl?*?6iH}nUqDeQbf~Lm?4;H6`t2(Gcf}cnq`cCbK##RBsC65<#a-~@6?YR*Yl1>` zqhARiFPC>OH;B6dT_5q!*$>L!bY99SS}gs%TTm&7GZB7%{%Js1cPo4S$C3pLiWtkw zUpiUXId5;w#1>$6p&EOBh4Dn`ctTE_#n6WcUGy74)-+AMs#P&pxexVsf6>xu`8q{- z!M}K536*uVAglEQ85A<>=wj}2LZL;r=t-qy;M1m%B7q0Kzg4w9R!ftdV7XGJD$pA$ zNSvCz%;mI+twIMqny(SIWQvkOLE7)v><1uD*i`hH`qq%7bEawA0VrN{@RiblYSGu7B}+cvuO9#aFZF?)xl7)ODiCOn_R-B*m@{+J1YYJE(0NYM42K zN!3U%$~gBEZn%tJY$%pbtyRSEQ1FjvH4zuFF$Lb{Hm^HOa*6`SkJ@O1Q$SYlFExtL zZ_G!OK-pI}^&LR;2OnZC*)>*iHJJc6_20ecsK?bFU602`ho-Xs; zZM%D{b1gRZnjjV9?YH<*jd=h}Dze*{m~o@4hK`Fj#iyffl9Kd@^Nb#0hygi|9Sh-f zzhxjP8pFG_M%Z?yY{aF;3LjXq^lX*oM>j}Ahjf#Hd13uB)Ge?i|J4I(R<`^}_Gl=6 z!C(Xb5xO@zW3h@m=@E^RMv|DUYFa6#akHCT6%Z~v3!i#&#I^-%P|J(mFHa&ve$-PG zM#x`H@;ki6{huApt!a#Do40T*9c0z~bIG^L@A%%mtMKoUySJe!#4qSr((RL0YbRxC zaWC=vdhFNwWG$O-7S*iEZ@4Q1D0Y=C<8CXQ!H} zL~7R0EKD!Opz0@a(?DUVJVa5>JQZPtv|d3Q8|4*!u&jKfWOl?7QzJJYLbHmrk$OhH zvl|rxq9Cb!>E7-$IqMJ|SuMDn;gT#E1fYhEx__iAdH%+&Nsisw5bOODG4scTsg()%)TEng*VBBA9L-?rqB($4B+p^x(8WT6_Kmaty7%6=J)hrQH_y%dvY zs%5fqQU4v%jS^wD5t42}VyUdiU}?dlr-}Wf8}Hfe+etth^Lx??Ag>i@m7C|4>V&Kg zTfG?(dE`*Bk!m#@K70ieVPs^TWHpUG0;N4;Z=_))XyC-$T*gAMCdZP8LU*Q{$*gNu zK7j&U$uQg=bClvsF^SkHwXflD+e;;A;mO&YGR=vM^cRl77sy6#Yx%~6qL^Tene%liTAwP(KoE0?G$SH?o#QUVby$Gd3+wY*x+@;K)$ z%L~*M+G6Q>ut8~~5ec{#k{Bf?ww`cWQk_+R46YS~hrgwTDYU(^{ zofUL?>AW$Ki=xiT8m9`GKD(Qdp3GL&iY~#kOG+hKUkytnOmc|?$#E1k$G&f?Vlq|8 zYGpA}Jo1Q$LbkSAaQ*X4MtxEFA;zYPHSZoLux@>w zVNVU`+>P6OS=ZGq8#_k}if5q5KLKZe?5l26X898=*`%WX!;?J{J3^c7Hlm zuFrU@i(EX=S@aj?9sb&VPHcCY)aAf=u6y<}+|vaEk(@xIYY_9&>UKX;#3U)H7BO>s z_$|+SAWXlOZR?|(O<4bJ5Baruv$fN$9+_Xqp0p4h9^O!CQLhQR=?NO*L2PEH>9q-xf7M{`V=e08Z9T}6z(+Llqpez;0(X@Y?A(S ze#po72H}x6RY5bb{GB-6z$Vk;rI`GR9<0Ysb92kC-Qgw26dT^kyiu%kc_a7DRdbhf ztI<0j#(&eOR5;?t5k~3h5-OUV=N54w{AL7A)DNd8NQ+shtNt$vh8`d>fROb3fY`?K zw-#KlE=?4UQmbH$8KANQHIJ}&>6YX+Pg|$ZYb!ejk5rn_h1QxkC$nR6g4Bpe|bbQ zY50m2FToPI{cv6|fnD!8JL&d>uTGlm(;i4v{w<9Z$5)bfVB4k`^(K6=Ru=FM0PD;xytw$SiuO-V+!vrv5SfoP*hi2 zSz`()A*;JE<%M^GD9xo;kHPfu-r^&GXdjkpU{)-;G;tMjij@>yIb{3YP+dr7eyBW5 zm$hYdL5Succ7P8@NUm0APx)5%=rkvJGbsJ5p>XXr%8d{8EnR;!Yu3Z^3R2P>#$u(;YB7pS{_)Cw@1l9j zp-M;E3!wS+zTZJh8>IF@w6t$a5{Y&gdzEwu^(Y0)UMM0A{iz46!`>i6n7+1bt|t(N zLef4sEeOX0*3Q}iLvw_3&9QT{2e44goZ8-K=^i`%hN)tHJmKyu;*l9Q?v_0S z!CYpSo;Ga)o;77CRe_flnLIYAY^4d2aX!i6y zo{t;f6~nA*o_mP>rgrv0eyK3`MS(>tti{o_jYAd=%Qm7z*U5*_)$cA{kS?J(kJ*TK1;Km>U4^$ADW)muU0~+R z|FZJcQuJbxS2V@>@px zG3py@^F*h)X|_V!+e`TDNSg}QtYL7?Z`8W1m_#N9|EG&z@VKNqw@V3`O~LU^VhtJGcZc<$%UxI1?JZ+kVk{(d-!Ov zwZVeiJ-6#Z;?2S$b-lc2tk)9FrbIj5$-uO42IhtOZx(3&J(K8l2|i%zk>Y!sm80!y7?^i6$DPe9~JN~^C8VrA~9EnI@_FUux`lWfPQvg7)%u)z!v+ss)r1HFTXLjkL3d%rxASP8CmfSZA}Bs&v@)YI zDeqNm0>gJHocBK}OE>rNcg9?A@5OkfwO>`%4QosY^mP&uzokdb!A8NaJTokFce;YR zYiYLJ6JqpESW1Dk8kzvRnZRSw>Tj+v2A6Kj;qwWNfyw`fD$~0GY%rz2=0ziv3BxQ` zgUS@suiqhZp>6@y1Y?!s#7;^jwzf$Y0#T|i5b#d zxM#;JJt4bhGR?n)3y@lBow-Oh(SG~^$dzOb>KDxDp*wt9$#7)?B6tH7A_sV&o00x z&YONVx^;zRnhXMk-s4@8BYfkE^gB^(@ct#KdRn!#aK@V5?ct2Ry|gNuj=|(Pt65-y ze5}cDq=wT$+}=38akU|!8jVb?ecJS*?=dP1^HuAeOt>fV^0)Mgi|s|jtXYoAw5EUj z3J7c3lyn#Jr8mBWnoZ7}wIwJ2>R1YhWFY*pGE0F~rN(H>6S%!x{bWni=0S}5ddNnH zpq+T&R87l9b2Q7V8mGvx3en56oG&{ozpCj*-iqzp$E@EKWza8}^3j>#DG-u>*c|vK zkyTYD%bAJAyhD|%Eo#l1MJh-@M2kzYkb3OMZAB4uJ}%gd?a0q(tbInNB(dq6kC@z5 zKgcmbagYQ_uK+t*msH_zTTDkxu^ESwdNT4TzX<-Xq@Er5Hb z6G(ibYTxUjSXt8_QX)td=Ve^!FjpAzL2F#~4}_6dg>;Lm!;?dL>@Hgd^nfXW_{oXf zNKM>yUD4b>Cv+(!;Iau3xW&)O|Af7SqiX~`GdlYDFiW@Xd`~~mD$0kU%{5Zur>n91 zyb{LOw`{K(edL9?TxbV8kdPsB)LgGJxo~g#H|0zL3Q-)NUv)MuhqVr2LSnRwR$Zuj zWwG#88g@@+Ma^@Z<0(+yUr5ry0qV8XxhBydnJus zM_WBn0K#zf%Z8k8^4IP;O?u`zItuC1LP^k;Aw6fbY02p+WF0@L(gj{&wwTi(6Q_F^ zfB-u{)bYdh#AV^3eocb}xG)d`ZCeC-i|6AVjjSVV9|rM*Y5h-t#@S6H2-AqQe^ZA4 z-+ug?_6caWxj+1GPum&HPP=w)^XrXbPG*uuB(2F8_}@`md*;F~M^+fXkYn?eszZDghvvN0W~{ zJzK)xG;t7TGtKB%1@Pg|69)gmGTm#0R1YUX$3Io zU%D=f5_ulLL?;WZt~Y@Y6TqjE^ady7;*r&&g5vgnSJ!Er1hDn@_rG`iAOH9lwfPn} z0K@_G`D*D1$cG45}Q>Je?>*54cdpFeD=v?X5u_XqsfIseybXpyH+ zm52X2^1m&W`ZqaPiVcvc*}yYB(mj2w(+_0YUyq6J24r_Y^q@WIBi#amnAqvFw+Ok< zQey>uDEq3CT3XuWklQKPacS*752ApDxHx^8V@gT}q(lbwvZl@hoVoq4lch!23%P0o zByxI2qnSgf5tf@ij8=!zv=2H2b7*Y4Ty|V&#J)io0t1r%+DnIk{IxrUmI-1-`;cHx zO$WQRv=GdxAYTeN0g;qTbGu)f836YTS7?zF8kYG-KGo&4dB~GWL!!FK+ zMhxHX0p~(q!g5}tuVhZQpl`5kEhRV8mp%J-Wz*qD{*15#^R8%@TQj>kUk4F(A=Z)< zbJD4J!N|}LN)GKM3`^H}?{MXUdzEhi;YoC^5qs#vv=iUm-UZ1&ghN(?a5_fB1EEzP z%8H_D1Hu)KHO~}hqNm&mkA0H~?SeM5w@#QMrv&z!Dz$f~C&`h5-YM9yvITJwt>yihrnHT-gyV}}6uc^(LJ3KkS7otAbYC}II_iSwnP z_?s}ay6@=d5U{b37SU3m&SLza3nAX?ekmKlV?JgT6oc1QlTiWhCJ8NK%W0+)1Tkl4 zQhJ(Me@#sdp|ewL#8hFK-CTIeHkPv)TyMyP%g4WWk`5ISc<@ZCqq7{6%$%Bv?U+w} zbtSaZ-QC-;Y!CcFA!EC=`?jswP2NL!RyKJU44A7oJcwk<_fDbZ*cFizfK{#-c~dyD z3Ghz%>kQP?P?h|8UcE46sO1O8Ez-n?0fYDg!WgxS<@sc;xnzU(2}D}lde`6q1p&c> zBKa}c2~P|PEA`@du%2!U53BDzs@PsPdu~{7zan;lxI3Ye-m2W%0NyIv>xHF_5b@pz z_lL~08=4Mez8oMi)0Plu+w#?xA9!%40NX*#Ja$sn-Kv9}4%75ZIY9HydA}U0ci3{+ zk_jF_Hyz3lzlbGG*QR%DckQTz2Ji8%*GCRE_HvwEuABU0QkPN@x?;XUVty52{winw zl}ziL?zHdj(qI#sz6-fw@OyCwk@zF~=#V2mK&zR(Z8__``S~h7#Z)COH!qm8-@W0C z@@R`FSgGhA`USKPI@IqJu1f;`lhe4yUymQ{{qmsrm+&mnMdlR0g-?`blel=g zJF%OzM!db=$EQPwvb?KrJQ7#uDZWk_8TblkWg6CcpLq}4!4WRO1n)L~ADG^<8UlvL zlt8j0_|ErAoI-hU)8p6tJ(V=_((AJ9fk3PtuHo~6$6nelooRGzXbYuc&EH2ZYn~Ky zu&@M~aTtS($;`=osf%nnZufT~ZGK;wEJUkJ3{BQ(p#r$@cc>ikUeYpa__(?%-Thcn zmHgO&a2Flw;qepTAOLHjk3x>;|B7YoQFt0qqJI0dw>5BmK56Z)_{DtB!d;P&k^-KI z`V|qNHy@;4|8W_$!4Ll~T9r+Q@QXkZZ5GxBKh(vp+G*+?Vx{(cCtB7xa?`WvP-UpO z$?3t3r`qLIWyL;xQ_jib&;vKFT!;1fw$z%#?a)1CZrNvNfT0avy^ z*4Kob+;YSYDOzW$9%8qJx9mvpa;<3kCV)yWY}-!9e=! z8k16jE*{+OwOa%Qo|(;IEync$yVMyDk(e^qUL|yFiTrt{E2f69XQf2StNYM> z$A!Em5|{@WW+>3@&{1TnXtZxt8LnPaZv_j>KOLX2qsTu(@$J>@u%fKX;h+5TBZ&e6 z?B*OXoFiq&l1(GWCc|_(?L#N$b_zWk-zr%P1#&aG!%`3s1ysD8B19uE)p8z7ggGvEY(q_~N+>jIxFe!0?Eq`U+$ z0u4>jmSsigmY-~Aec5|fx|3{P;X@cX`DwH9^TS>4ozy?i_;!tHH9@kcn+}VDux2IQ zib{FCs65-_-MEa~uevUCOaz5Zde*7y9SbY27owM&L%#+P@O#G1yA#5UYgtTmI)=2- zT)IoIelU~?ZxP7=-xwTF$1Zp1QL?$$)$naRoa(e3bV?fMKb5>gw#t4a4Ev&QC@8J! zO`p)qNQ)?xiP;*>Q@m$MG8j4h=iYgII0i=>A|E|GBJ~Hp_gGMB4vwW>L|>E{sHrW{ zrtD3xQ^1M)01BePC1glYkM9u5Zn~+p@;NKlbm9x&0`0`e)=Pd5;}^Cr{dp#5Ng-z! zeM7_#_M}>7&lgHsdKfF(`OJGIwU<8@{@V7KLwB3q+~-+wXed)0^;0-w^a&IFAE5)- zrEKnfj#LJ4_qT^8yBC)l-V6EdmV)afePZslgIyGy9P4Oe&JdQ7uv`|<+fY#MRQVxb z+EI0ZywMGi&@va>sQjO2XeZ4ara?Y$7UZ6`*tm+2>Y-#U>zkK*U9*Z&CldeTtMjZW zJ*P&!gf1w6@x#!?xE++*OeZ)TAhzuK!j-y@jWIdQ*pBIRe_yDz*jM-s`(c^MFv4(v z`&iBNg+IcHpS%bFLl*U$%J&mm_9EByhr`P_wFQ#xU{9X=%R&uPUl_W0?4Ju=o*+kl zjX<;MZRD({J1>T=+aV((TLK+gX!S*_wAFmRI-y2%iYZrZr zU2aZ#FZxOnI)&8sf@1H>h3TXTxysU+u24rlP>`ii?<39C{0JA3QUAnr~1ErQTH z8MZq0(+sZp8T#=>O4^(%{-Z0NxVXiy&TB(&7xV4;jt z9i_a}@L~$vytj=N5p$7$_qxX5Lab7kOXM(}R%v5+x==vk7OKAq;xBMG6!2tI!`gkH zangzNi{)o9iKiSoaI?vnJ9M4Hj{f@4l@d6-*o>)y?5p*;1bG?A%t!KF6%cs6gs zlnIv}bGl$%Wa&Or*95v^hlyc&Q2U?|XWF?wCnje^L-nU9U)qPkY1n&_h6g)^km-Zz zLIov|4cKN$qb!QUVV{!*&VkSbZTTQ=kkc*r-Y_$RtG`#toaJ$h+PpD2cb$(IM@L*pYYn5vyzR{Tvbn39VuDiVQ0d&Kle^T(+0do~7s`e0k}_(; zb2`hh4+l&^F>+N!3bRKKrk`hvE3mjLklH798siboCdph3^OWX^4;!_pg*U^?<^v6+ zcKA7XyNLMva9dLFYE5mO+d%(^lSOC3cI@neDEz@L`_O|p>^_R45@MUU{6+-9{KAOp zSLj{ByPsME8B%E4HyAT@nOmGCr)Xyen&I}Y?^cbQ?G7ol>~S4# zNnO!f9C4ZLiac9lcAfyK!;3TA$&{e^wK&_BxR~1jLb@>$N(TRSut9Yx@E8 z2wSM0@whDZ%0@N%)aA@cR_2%O$^u-kmH!b7SKa+qFBIg0vJ4EjIlNH8@HN-E-CA%m zTMNbXwc)e7f*}I(!WpyA|Lx@aomkR{x$^G#Zm&6B3A8^vm7$ywwC>}dj(th9=~>-8 zn+jK^;H(%XVxYw4!uGOAouFUVm05GiJvo0`C*ngtOKRO5+^uptSZtmto9O=%(kK@M z_k&n2^XYJeCQ%#`T)k)8)(~=dzK%n?R(Z=qnE{HBtBhit*<%qO7d8C-!>8IGK~V*n zX{+YoT;97Qsie2Tv7%p;fV=)Y^B+^N@RK`64JK}=-FfP-ROpg&||VadGC zJh4PQbkBVoK4ID$%RoK12wz~@k=l!B1_0`?ZC_=1vc{afjS66pXpV@Z!=OHrgxS5>O*E^MkRr|}mg!JU`l^21zG0Co#P6!>z`btIkY*$DpwAMaieXy(-)R8tJz-N-GM?p}P^ zPKj2dsX3FT-qE?O$bc1Xd_c>w>&9N})MQQO4D&0$!-ykk$mHZ$VGvhJ`XVw!v_$3u zz>UIAKZ;>#4jtU*MG(ICPh1Favq(=G+&AG|RWu0n{PWCnyMuE6G*Mje%GgAAk%ERIct_Pv5$jBeXBx)NyV=PHh>#2Pp zA`+ZtK9g%6EzIO*n{ecYUqo|#Fx_0K(}!+}uQ$f%9W6I+GyU#9v9*u7AvYcgHOguf zXIeTnxPT8l2OB}m&K(&6=J2?N$X2GHh*tdGxiEj>Oi1zp|BM|sBQFJ(3m|x3uQ&O9 zfm-6##_J|;+BO}Prj;h*Wn;TbZajLJX$Q3); zNv;-3!WNI8El6jfU2r}nbg}!8al&;o}W3bPJ5`A92&KUSAZ;ThE?fF`^#$lz|tF{^TFZ0v2>SBQTD{L$$-cEiKAc zn1dsU%MC)1K?MRh7#JSe3k#!F3^m}8+BK}zm8+g^q2P2NiBeC=9B3u~5YU_TvvK)D zk=TR8bs7TvwdvARqOEOHZg@nRFsx@6ZF6j>cWpQxm+jkltB0p-Pb%%gyFtAs58}d5 zf6$OcDt4c|Hb4p{Nx$zKD7Kwcf$O>ToIH%6S*N@Ze!?W=B3E{GO+$sd;sbfM{lZPj zn)>YucngQbdd|TuMSNxhjv%(AhnK9ibJqk`74T$qI%!MZtL716wi_If4R7s4>lKkZ zHc&IEewvYRX66K1Ew(Uewp(%ZVkFhw6}eP=I7;!swk96`pq?ij(fDY;r6^&%~-wpqp>i-Y*BipWRx2WZ&OVf4P6H6e2OuIKpv#%cHt}$vj@k z4ireEjTEP~BVs>!A0_;Fiw^_gRFMYqF3N-<=kx z-$?Y|ub@QZ>KNv^9(|=OB3#lJ&gaaeMW&hC(y!nSFMzW7p#E|aOkqq`r9e*lVH(BPomz`{>@AbC@#<0+dO6Z&Kkd;LwV49@(^#lOYU%wfy z@gFT?DH$B^B+dg1Yv2`e>Ed^jejf=_=$Re6K<&uN7M!?Kx&aTAC?84ZjafF&#?Ge0 ztg|Kr7Q@GT`5tU^B?ob~j3^C-h|M;GAUtPH*r8kKB>1_tUOaUGu7XT&^_*}BB}vFK zr)2$FEii;&&M3kP4Cx%P{$m$Fn}K5ojz7Mc)cZMn+7?)xFd}fB15Z?lti(5JtCrwX z)3d&m`r0)(rvsC(mUj2c)yBv1(g>%_5m!D0#;u7t-S%}zqWT`U{70@Qkl-T+_Te(- z%oj5&wQB(Lrk8Cw!_UIr)X7+l%S*to&(-z!3k3N&3;Ff;%TNo`BFu4^{iMZdFnOet zL_E_15hIqGB@-q5U_UP+oUvQXy;2SHhbG%a=9iC0e&pc$MS53K)1A5OoC#ZOxf3-AK+u~<@CLElC>OF)pg%72L`B>47$vT00)jCbE}k{Ui<(sQYSDn5V7OOzOW6Z z@<8pdpnLahjo0f8CUiLUW;T0cdwOvvd+YkX41-xBC&s&Y-G~j;b0mI4!{X@dQqHr+ z=pbwQO<}nI)?lF~`dav^tubgeNGklto8b}lSD;!8<QT^ z6ujHW20X{eizKBu^Yo>5GNtzcb7qhS7LC_v}lzn zEUerDY|#Do@L!JZ z_&<^2=+2?_;Ay;=jb{3HOa)$_CTFaG#l2}d?ac&t zbRO`y&?bY5z8{YH;nNHhtb$4C(&Qi7ePHy3Y0vMt$O|DWaka&yGJNtOVRi5WX5!QO z@2;Ye!8?V(bo8S!K>h};4ql}$!t|cQ0zA3cCMD!=Ov=Ap`{r~{U`Y!GSIfByt5_pU)&7_y)YLSdsdINbQvIZHAe>&dF#)WrAsc#8 zgwB~duS@7~w_;-*gIH+fqE3_A31?(_>CS+;-oaA@#?R+#@^!9wl{r&Msa^s+C-V)) z2|FzN2;$C>W8^Pl+Fut7mFHNBn;=!}nokKc;xRr{Gx|OMX`_I)KU!liM{f5C!$(kW zDb2&rO3AAwecz<;H)Ah}Lw$Ou%&d%*N}25mEXEOrO359cm~vW5x8R=|UJ|{Hud}E?S`N%Aqbq}WN=r5e);+I01?(rAy=f_V3ruo^G`7+&*sUGka)=jW7mf5AqdmhkI>ACTdUvuUi!Y_ER76^47-9G(SJ!Q@ z&#VoT7V$_|^^-b5%tGSMP)pNBRsA~jCI_+p=Fm~dp}P!|)uQ=78{UiG0Vbma#@P0q zI{oV-nO9HVwX(U`H~)Aa8BD2zwbROj-0;Pz)1F?oE&h^r@eQW&qVLQ0f2$)Su2RPn?uR zGSM?*o8&(AR}%z;0ObE<5#>$!(db*xKdNb7hlMeWg$7qxlC1VxnkaXBhdyio)ToAc z-Ll!ohk_@c$^3?Q>J+0nI;R>ET($dV;d;-q*O5@vLN9d5bZ;ZKZ8HZ$l@_zBbn)K! z(fFeNmbq6Tj~jm}TXmh#bdDqPl8-ue2D><^AKp>6i?Ejas2$GqIzk3pRU|(BTwnoQ z;|Q31zf|?0fDuq~y0kv5+FyI6VUcmOhbj8bJg2(M`2&Va87}$se}LK#3PN^CYvUTP z`8bp3?8T(G_t&Zklde84Wpyqb*5p&8a-f-&f?7`<6R3qBVs_r}D?cW#_f*&KfBhuv zG<19n018?bT~C{SG=QECbY)MFWneB-LlDwd3W$*N_OPG>fIS41CG9_LR)ziR@2@_T z4#10)l*~`_tJMTDn7OzDU!)$cx*E*Lgpd(tgB(t@Q_}|IGCYS22U`tcl(r2LLAOKl zS#rD`Wvp93gzVX`SM!gZk^=cgCGZ^F}9X&_Kp%8t|f=-Y8%Rz3%2e08T=I zuUZ`l=kzxg(jbHo&CLofKs(Dg@HayIxg}_$6hJ`I+8gOMHUe#bo*8%fPg2DxrQ(!T z0Z0`;15yPmAXWU68zO%8!RtGxq>7*aB30~9(~ACdgae)P46gBOTnhGw7U;9Fu(!av zBns-w6jY5J0DvvKQ?u-tKZyDzyC*h7U++x}6__0g~A zp1HuQ*b&7U!XNvv0>8M(_I-$urcC95$tN5YPGO`yc|UfXzH`PKw1Byc?*DkRfNOz> z<(@$>Q@H88Gx=!0{$npdD4p z_|7cQP##?0*9=^jpl@)(5{IQbH)tMZZE!KbThM9(rv(fFKtZ5EU4$c6;H&l~bC!h9 z`nSpE5|TO6HGts+SBpNJ4C-}ZU_%9C(g0cVT{pE#j#r;!+nqVIbY02NbR&yH6U^vk zMro5>cVE!j;OiVRA@fIsh0LBm&oG+G(RR278Z*6cY8WR!(>rn>4wANRZ|)<8Np*R4 z8OU}q{2oD8bflJhjft*17}i*7sqCy<4F}!vdpNX7Uy6y%bjIwir0cVDUs!`a+!0;& zn>NAMRC{NR00kufcMu_WiSy-}x*i6f8`RdI?B6TNE`DNShe>PfTvdQfbAHz)fbvlr zbo21v{TVEGnS>$kL)G&F28~AO@#pZ;`gcE|20u#ypa3%Xao?#_d`2you8;H^cBjWz z_^FlJ_&xu0%0&Rw1X?>u7qFl;EFwTqbO;+82ml~N0WfUP8Vz=fN%(gr%(TDoRObFV zwB>76eHB|B!-)Jlj)}>z8p60&-yYndMAh18x6r=B7+^- zt&fa`URzdYFR zcH)3xL=nB8$x(v-JndyzHelyjWY12bGQINMt=TO8xYIYNU>n>;vnQ=o6Q&6*onp&B z#7MNODF}!(u<4fi=K`j@#;S#kb^{;m5->qPFv`0SN3Q&UbBpu)>-e*zi54WV?+E;( zT*27I2RS5W9h&rw`hi-XyMxMB_`W?az(uMnk}L7tsVnwM!Uu0v0bQi3SMr^)!IyIU zA2&)zPNqQ|MJP1T*YCp-Z2%J}skb zzcNt<@8Zt!5c0`qfHXmusj))LKIUhr<-pT{61L)~!%ky@){8NFemxDz`0(g3#C}zH zG9SXP8etumE@i1Si`_df+MN!SY&72Z)Sm<0t;aKQIIu&-h**dBuaczLE%V>|VV@W=I7><2uR3Gf5($B~+hLLGnUL|@!>SU9DT3gK%E{*i zIesa_Zd>%IZ@`d%mzhgNMu-|3=Hoy47+2OS4d_Kj9&_y1<7%`F3DDfH==Sw~U2%JM zA+;h$f?TxN$#bu+M9X270;*hV(VHx{)(BCx)OmU9(OlG7&S6cTOv~?BxO)kv-zU>H zdC1O80N$1+r;dP-x9w(nk4aq6SCXc(aXaH-y~p3G+VW9khj;YUqVM^^ckR9hG%L;Y z+A`pH+D0Z>FkN^QP+N^@T7Mp zNZrq*qnHiv-{1F1-PlaV1nBM3jHZviQiXzmoiJdQqr|%DV89e*sTj&lzdgZ6gz*C~ zi9;D^LmD6Cp-UnCErj9(Hd>j2Nw)Ww(4Ta9!?6H*;b}*Z7Oh-1>5SSc`L(Z%Qy!0FT zyzj6J=&M02MrwukD*{}4^8AnEli0nbmZkc!qw?;iw8yyti6L<(DD!rENu@J?i1WIw6{C11xl3nPdv?(Td{@FOIX0Ec3Ll&-IJVO8j0n#Y7Yd9>e!NxfmGmK6dL)e#?U1=|0JF37cvSX1lGIK!U1PTLo8P;mO9A5@o zE&)y~k3!zt`NBHx*R$sMy%>NL+b!9G)ys(VW7q{-!ljJD#et4YH5k~fVDxu?wFBO! zB6nP8kIk;<_Iv1!#l0(HgRvirtm0!d!(FAE}vY6_w-FhSNpOV zcaNmoZyK1Nelw}ynJL?1IQzHxtDU6LY+nQ9h9~NrwTn7Mgt(wW&R41~tk)2eo zE+2^N0H>us6E%`9nk9ni;fO^SWxugEFzVrmrvygyR|{kPuRy#Fg#YYfg{h{RZm325 z-!F@?$$Cj5$*B^GRm`j@&tfy559F4h5#|TOmK%jHBmlbCHMYuByJ-g@LD&Ba8KZ=ctslJKmBuIvS4dyyTismSasE|3G_zmEfH#_+7J05cNL)T%*$yUr?0 zPO3~F04)%lt+nHuOlmp;Y%|Az$|;HQOP#UD#7ls@irSUxIlv-vQnms-rl^agzl;<& zZRt~tx}tSIP`R%o;;wKOgxt+0deJjYC2`)9RV8{JIEz+Y=G1|j>f z_SD<>>l?yPrn(QHl!ExGjZ%H106OG^SSVNL1=I4Wr}_!$BVv z@BRGC*ucKcVSW(*SNK7-hkjM%uO;c#)!BhDi+aYII-)9Q>!a>@nDX90D}Wu3g!(k& z$&>YOrDA_<2OK|W;%k4=k6EZ?>^@+?$`KF^KlGJ67)5{Fm1NYhng#iN$POx-b(7&4 ze~C^LUOBD?Tr>fQXaIsZ(-WUPOO78Yuf-%2G`6tsKnrgNG*%1O0C%AQJxslGEjY2-eV7$T z?ptm<<2zd9l_-mb^)+djE$VsthDQo)O?AP9Zy_K3GDQU?(J47A8_ zK={+fcBM=T9pUu#p^@vZIg##%M;a2pz`7YV#YI@5EjSLk&# zhD(-7*&1X+y1%F&CsyIC&VV@zkj&PyBsPh|w5Tyt!vrcSu(gXF?P@WE@y)2%>U?59 zZ`e?{IA-*F{~2NxC$R^JIbncmh{dGskjtWBq4&N_StCR1gXSY#L96^oGpE*tb)@3u zw;hwxUxj}W2`KMs!)%~J{VHltjc?rzC<>Ej_}kpY#&U%}xqa#hFA?M!7gC4Y$?+{x zGL95JjDOUScYqg^RwLQd^XqSAd392?odR9&{l)@5%U9~9J) z@zg7xr9%ok0Q`UcKf7gxg@AYqsI-pl1~$_rX(yVt3*9ERYo*~FBdHyPl)|rD*HH#3@JE7i#k__uNKt5E9gqIuZvPlG{u;EDhL2ZVsU ztW7!het`10Sok&v=uExS51y`blaUg(zigv-%73 znkZFA8jPE3Y}=fV&XG2rx+Jgo+HYW*&dOGD04f&zS&qG=6~O~pxIGd_JF=ft+MxBL zyTAYdW&CLM>s{(tJRyEe*64EffKk;2`Gt@DdO*-_^_1{;PjGBbjh&B=hIO1`d5KQ; z_RB~8v6a~!lYS1!s`EWzEOjD)T1}C{kEE-6i;sRJUEVN%858GS!jxrPHut1@Y$q4u zR76hkE1tGts*dZw79vykhmr1i9<^%=35~E{#?8@h%Ph1m5 zn2>hxs8AE6St7)-Ex^XUN{^6 z0ML(5Wa8o%L>@t5p`v1`JcW5wU;se{ea#XETrvH8y5rF)(7)Ijn{o;qz2P&DsW!K~1R>uEHi@TA~vl~5@i@K^$H;x9!* zs9fyWp#&^@-tJ)V*QTAz6E1o~osg3VW@!?Dx}nB&7|JDR2VXo_D9 z?P3G?suJDN+{I+R#g1_0^e3Zg0@4-vAb>}*?1CG)^YIPGhuT@e+hi?2^KqjVS54jW zfdm5pgQ(lZ& zVMdBMMy#I%>=-j_(V(UbmoeC!49?7J?!KQ z(+>!PJ2gO4kb1dtg3*o;eo+U77AGNUwOE(mi`#q<{@}Bz!2T~?KOPK zw*#t@!X-_=r$e_t|9#ZcWIc+%ivAm+D?k=Kg&K3*{9w?6CVTU5v+Y=0NVzYv&H|OH zsSXZVM+ffUd{QpuZC`_9zYM;#>xWPMSUb56f3d=v`qN0U6423I-oodZT|POJx$=(W zT1NqafDG)^u1i9FHJa>%qVO`}wz6fV8#V2y&%)-`6$$D7c>m5b zNo*O_?v0RhW1aa(!GA9l9bdA1@<$kU8LfDVz|U9nJL1TP53Z||OO5I1jxd4+0@3N}a8r?M zUDJWtJ3AoiJ(vYA=gM2ZWtQE+ioqp3f3R{Zb$P|C!q7fi-+4E%L;dlie3xIeGBP6$Kk}9k87~D7!FP{QI+v+z@c!yUnRm&gKSQj1*AQdEmo6`s0_% z9$i_UYc66WbI*PtowK!aAj^a?K}#g){LX&3qmfU^!RyRwswewVz<|B$b$+X<^QZxU zOKW@Bh)okNt<sN zYK9Gg%<311lUH0qUJNMWgXw8Oi2Nr0fi)MNl1HKv+&Q-j&I=^$NCI!4OuIjQ)U;n2dpb6eE?o&3ig7y1I0vFB~&nqX+YzkU&xHSFcxSH00rULBQ8W!6k$s80eQC@EE-XE|lG_0ZJH2}oOj_y&T zXnn@&a%n{eBeD#%TdsEMYX2sn!EmMo?rM&IZPdh2(z?r9^edd%R}MSR0`KK}T|~XJ z#y8esuGq;M{oT$-AG;H-tC#X@f!W>rO$TG0`JDME6|FgS@=`ne`LEZ!V8_5L0cM{U zNBn3ilBOu5>zO_h2X3Dr?g!fc(ept43eOg}e$!&O#c_i567i1`8A59YY3*dJb95N3X-5aR4D_=EguDxc&`2q^+ z6{O+G^Z#P9{|@ZnP+q02J}1K(pymtt<0`+)~pxOcm%X1NPJU~OdT`=d400EFCZ~gAoeiX2~d6toHw>kPn8MYTM z62HRegHBve&qscO>=od>@b%Fv3QSkCT zd>4B7`#&N;)vnNqm`fzZn_+v4oq(oiH=TNQU4eNxkHFMNd{G2>cs5p!ZublQe z6xNpwFM3Hn)YUOjBjDf{i~P1W0c54FrUgK1g+|N^fJYW7XZeKtB4@Son{WcttxtwBwGbmsf;IJATg8vX1{a^&h^hul7n za6>nlm@{R#{E2=h28M=AciR-LTX2Q!IQMtOM904DcsmjZulA(bNYK$0`~+}6EEB^nxi>!(Pjc)obG?2~smE2l>+8TE=Hy_rP#%b3 zyj~{(jcw&q1qx4hE9K4I>aESGF7TEK0Eq@EZotiv@i-pD#Aiij6uuKy1(WV6%oJ zK9D>RQlyTZ$|hhA-D)nC+(ZJl-;LB_sY0T57)F=V%tT*Kp`XR4#yhZs#}yhBj|%aZ zN`O9sYCRb;hLN$==-ML3AL~DATu87SSI15oJDu$UwUBtEHDo}J!})b_Z!H}ZCd~(b zXko=SqVZ$={EvhAIjffukXFB~(H%CbzG`h#mTLd<*?DOFu~lC!Ptr?dj92eP$-Hm$ zhRN_&x}F0{@k9hzmYAnL)TAWa%Q*ut8c&!dAY$Yhdl1TvO%32CAje?BGHFr2@1D6i z?Y-S`fZc{h(mKBmjdlLKYY)sms?#a_n8T7a>N?8gX*rO z1AGg$gfp}!U`W{MI{&HeYyh0Ji;7|FbXT@YEGH_Vj8=>BZ(t8L>aE;=bd;?d&w>z^ zJK()8N}JY7AO-Ol9pA4dE^oet*^sejceJuOgUzBls|r3QS$RfCQ{wg#t{v|HX&Db%{ZEb!m?!?5d180}?+oxT*zLV4iE0cV zuYn2qu?3$Q_<)xhL){oGPYHAcX^{YFU`V;ce`?-ffP8oTnv1bruC8L>+oLKmz0=KZas~&Kqk1X*xK4LWdOpT z%Jbi}6~FTJ(*LN?=u*IAYQ7VQd+Q?F>6aaKw|^W+Rhg@>l=6)Z?!eGu!MSv{jk%m6n9Wa zoe1YT^MNNZ8^F6b27<>>&hHGPm*$I58+m$2vY7=WJ?lzzN_L zz>~?nK7g+rPLjfNwxe z$$-TiQ2gIEaa` zKRyMkNM>XJw=r$NEM$R9zAjVl({f>ZgT7-$n9stS!q3@Cd<#)a+xJYhcPrEM?alyL(kx{MbQ^*v^XGQcfl)XQC00Ap^{aJS z_-Z-d>slv3;@)H4;b}h6k^H%lQ@cX+Wsmj=QolnOwPDd5*Y^?uGR}Pf)Vzw2Q?lOg z#wB_EFQ$h~Ua#6RCnz;e^H<)kG^mgcv04bRHe<$=@CQDKl`hrrT0Tg#NvJy|f3YeZ zAMK%ic_UIs=`zGkEUvwdXz*v+hBGsxU8S^Pg2q5$zKmJXYG-#U5P|IQSN#~;j;(ZX zrZ%#Hj2*)Mc)))fLHxZ~an%nLfAJ=z-YX?nB?M(84CJqlv)n5MxN#J2nLT?yQ9uY- zNn`erY+`~d1E8lZN#^; zj)g=ulM*ZLcT$sszkZCte*hR9;Pd@G4w&^W)R|@SwFBy&3A-~2o|XgT4BO3)&e?>I zW7~B^S8jdFLKqmxd?IryYQV^K^=WG2>*zOU5E1II{-T03H(z*X+9CjXl+E_WG2IW4 z86g?$8Wf>#EtES(kbT>kyCz}s4JskvaE*Z~7wM?vDaaZk1(@eFd(*L^I@W}Ea^Ea= zr@xK79ggFG>@^nIy*I%-V4WGV<+ogw(AcO&RtzjnV7gfloL~vP7f)i)-;rcL6fU9q zxn0La5DN#P3=)uSwULjEM}AhAgi~l3VdKA|SF+u2M`u%bO$i4(=cCx>$rqTeH&)aF ziLD-i)#lV|#>`R(8W%w@RRBBAi~BOfRo>kQ*pNArBguVR<~~%^WQ+WZhiNm+$=h<} z&oXeW9YaKH!%+!UfWUszRqlu3gY8Pa88&3rR|i!%>jE?g;VJ~)fZZ9De%sZ=H-;p? zczD?!Z#4hlX*wo1n30CX`*4#+J{v+}#mhJkO7bA9Q7nCoyNAu(EPRy)$4@fqFYSR2;@VxMy zEyIk>$UT7{Gzs&eAEOA8bLV5yw&D>_zlldc>SVjV2(;0Y#maX5jFh;=`RQTgeZR0E zQD)%I(9Fl2N9UH0GXltH{^ybmZPz=|Ze%Bo8zI0nk}5L2#;aHLJ>r_`?~8}CcIN`$ z588g*wIAlI6#1P0;@gmYnNfjW@z85&`yt~(wnfqJZw=}arizBlFRAXn_!jgneNp8b zYk;@JUsA%QJ=-N|W6;5J)O^9Yr6syji7E)08`HHq08M9L{Q<#kAJY)Zpp1Hwr^1WhN7aMDkH5C+Y>mBLsy$sQlVQ$KAoTk3l#3VFGAx^+GI@+%X&*ty*BYuuKWt~` zmARTh(7Zjh%o*PcH9)THH-)v5f4qi6#y^_XhyU3S)5{sU6RsR`daJnjAJP5Zfonmb zkZT%s4{N3ZQ`ant>|s&l43JW-*irNFSU=uqCVBct9x}!6ZbbigZ;PGzSZ_d^YN}q z60IAQE-eo|qu}T{Y2=~b3cW^rGr&=Zo>G>cQmkOfp~rYVnO}*CqI@*v9^sDRe5CMw zBB0LCaLsQASN7)nj{?ozka`z6ar{>{-7pPKZDp5EPH~=~RaYNvnOwSN5`LdG`jDpg z9ASYxefEVIjU$rYo~M%H)MGO0<`D3_U7*eC2k}LkWUo$60l5_VhW{QES|ZoT0||X~ zZ;(`%CnJ#I=5gVBOZqy=w@&RYx8A=g(`GNRGqx8p&aFmIQZBb%+`Pz`xl6PTY!|7$ zK24t|enZ@6iE+qP+xl`3)X7XSo0v(Jm;R0YH08N)TY|E)T*7yAu1;JnOa8Fsf^lG( z{w$S(IN1|P+2h`6sdi4H7K)xB>I=lOU|Il8+^ zNW5IfT}U-CR#J3Js|IPC!uyA8tp%q9{9Xl@q{;anuK;KKO|L&n?Scb0Jg}WMO8J@~_#8QGdF~yiu0Jao{>7rWx=)_l`88=i+ z$3L!`ZaB#lX*i+3WstgeBl~D>lKB06G5-8q#@ZU4p&X;YA$p{j*?!VaOsjiJC>`Mx zi-Ci_LLoAxn2sq|IvWrOiri=VAh_H;L}y8D@60J6Buu>p1;k;8=&StNc)=a>HX-PtYw^B2pD}sva%T-!bWTgdDsF78 z(r?aVg>=9>B2}Rj59CW%m7$JhEHru(C>?3hEpG60{ zH)g^=+p^EHOLm-k_Ox}v_L4>T#vFUHcf=CUAp7~Inmrqv(`H*qf9SR z(d^i0$b9$OcSp|lh~Rx>A!DYWRvJCt%uHAN;+5LS4Tj$%?wTt)$>%al3pZvkjY;w@ zn>GA?Q_7AUliuE+B@|-k>@1h=C1hwgA_E~p^a7WXw)&qurS;`Xm!_@z>2Fskq5QDC zJPtlw_Ki~FGY5?WZr=%~ldOTGQ#r>k_fB&jj#J%dVjOOJt%X&Uy(Mn^%q5XBKkic8 zG()zD>_L^Zhp5(?;Z&uH8hz|kB`EHgih61Mjf^@A3U?6q4Yaorrl&h2#TIdgm*@F3 zX`Pz;yeZ9(3sTfueR5S~#fm)k`H3@+H%pW0~?mxZS%DCbwNzah>A1ICAxZ<}}7(Vi&M~73&hV;iOaz)irbaJ`!Q6kAvKJ7K>uMWWI~be$Zxx zUg{D5h9=urn98TynalnTY5v;9RtzIg2MFUP#t;`+(DtF&-0Z|9jhm-P9Xke!(ldXL*u49dHC91rDqOqI{^kuG>*{jO-&MFS2mJ~i zr+_U5hjEC;W^><4P(P7lYNTb3L@M2VxH@U@u0cRE*E7cOkj_{8EH_#2{(xIzZ|3MNk_tMu_bhR4 z+8dnS=KCX){4-mD*?7 zzJIbAPFd#m-lby3@lSYUC@+2Kw*$qGJe%Pp&hBb%KaWntH>GsU?(p0KpTIO@8&)G` zG>^iPf!&0uCS}A3NqU_NkL$(dBgotPYj3%jhB0j!tQ;Qe9WXmb?20@8Ho|Vq&Z^0j zfv5B``#r1ThARKGKmv})W(Vq}l|Na&W2`?5M(G;pCwC8`l$<=vzdBI}L4rJtd02ff z)JBs&kBCJ@*H1l@e784)o4qN5;Sn^`oL}Hy0%k2GaqXNTV@pfxg9)Cu49mRTE6{X| zt%#A@#2qPi73l@E0na{d@*B%#EvAt2iDCCGp3JtNQt5zJMMtA)O^&;gnhc~fQzx!R zVyU~q9RGX#OJMt=B2E{o@qVQxjLF$JB|byrnK&p*`%A+Lz7G{W8!CP8%z>gyuOKe^ z&XdH}R+t>PCcQRHg_=cxQ=7!;K9Yl4^7`NRE)?%p_+~B!K9&w>N); zjKsjc|6PzF!<{8I52pTJIYb_mkJg0lgoutPEb5G=CMtnZVVLwTiQ?@}XiU<}d_5~F z(Q#!Q-qGp@!#GOKS+l`mLkoMM_K)bIYt2e`?C zcjggysD%)J-H_k6e?%`b8MImn+(W$&+u9!py3{Ct(hvT-+`kKJg>I-2alPB=2tUht z;`pa2TY}4MFR%MIe_W2uO6hb|2+k zQtQ$4<~`eyCRi@VhN4tu@Qe7*Sna5zlxN!^j}==<`>Ta(9iev|7n~SIHp94HWcED< z%>)Dnd!OE5c{Rk}AHB>iE)pL^^R4|%A0fHR`^%Gmr2008GQ!ZQiLix8*q~HevJc&1 z8gucljWVRsx(?$BT>+fi263A%zhcMaLSh^skCt2kH2k8$L~BjJ%k>+EC|q3 zmMQXNthQxaay*=s(iM-O4Zlu$Uq{*biIy%0KhgqA_xN*OT6|X9PED#b+DcrDy~=xz z(6bv?9%O4zS5w198(Mv{Uofakl08`K(E}!WLR3s*cwSlVLFyj%+mVhs6+ov`6y}Iq zy$Cys-;*>~96vNaEKSpYLfV_b-r(ItrWe<%qeE%-SWh_}lp+KU zzjDpcoKGpN;vatHVg4%K4ryH^6pZ7)Q7pI}qM#BOqdO=`eAqyf{FhAKyHGOUy&>WG zxdk+eyxDTsTY{G%M%?ipB1uF7@}52uA|Ao{)2YS5iALO;^I2F@CgKz3bl9ihl2}rx zW0cD9QzFQ_bL$dkd`~%FeawtG;2Qj#2b+U6Oi>|+%_L*5qR$S)3R(?+bSiZOnYKPv ziz}o-%7{nfQ>s_%hdj+#4l6rqsdCP4#gN30MRg=jDlfkA<5N`MGEir#kUqRwFMFdx zwN~^?qr2u!d~tEj#6P0%BE~fF#oPagnnN995)(fv#~blvqGzI|bRhQaA=0m|Uw7F# zn%OKEVF};Pp)YnoJkd|&^*w!X_6L7M6EjO65q$A*pv)t2#<6Ki%Z+qzJ5$h(_x^9_ z!?tC2ZPxvit={e@k;->)Q`4z+`qevk^Khdwql>d@h)+;bA|HWm;Md;A$`iS4Mp<^x? zX|CoV2R3s_qK(4slgakeKGILE=4K` zj#43pb5XBTmPwCK*#HoH@)#08IubUc@Zqk*JLqxg#q3-3lsWd5O@<5S&s&}Qqki#? zWWxey%U{x1`o_9!WYPs9P?XCX^})r{vxl0?sE$pQR&z(qq3AXrwB*|_N9PZI$kNnb zCKA5!ai8`nEUsa6XQlR=R7eZ+As(*c56P`|&1@Ho@Wsl@*3eh+m38epXm>b}mZOy**mr zPzT>l<_y!hF2KHOkIJ^Pt1g|=!1+))554XS>Xd2VFZTbGaH2aW9KD&Ltg`V0`}E^# z#wC>lNzqMatfPS?ojiAy4h?2>IBZg4o2d2b6-`t_WFjfC6HC;q?Mv@_E<=UNqWa{= zO{yq-?7^451o?BT*aP-~j_LYr+@R^(@kj8ix|U;NJdxw^mj-CY1}T@{r{SgBnKLa0 z#QgKB!YiLo6zuET{Nn!%uRE^Q(%&jY&D6%erBNNbrcfZ7wZ&FAroT>tet0+fFlz=6 z(b1HnALk;?AThi#&$1{trqJoLASf8XRz~+BD$hSS7gzt50BkbU=l_q$c~)m69bzSP zNQ|n5q`zRy)1V`=4ZK$PB&x-`@Kzo{|B}wBb-*z?wU(OvKxtJ+yWv){c+}YATRbu+ z#!D)jVy1+^iP+VZS{(&R9R_kMd}wDu`|I-Ix#kVBJ3?6AuiF~|_ftb~z(-kq-~W@_ zL&f2h@!c$fJE40*cXq5sqTt0}tWs7|t`Ype$osD#@z^y*lu@c{w*!bYHQKKrZBYH z!B{@7u1*_0iORXyURPFL|8sZkbl&};h*BV@#-pH@j={JE&tjqTtss=793jB3)Qf{Z z-SapMr%+JsR%T^qcQ0%l&lX||QjRHc@n<=^ewnZI>IdU#;eqMu)lS}6rOZBHpOvc@ zV99pg$4e^5l3jpN$Nk6I72eW$&#p6C8o;1Gxt#d<)0H>x59hM7XZw7X!4BiLyOlG8ZBBY}#V|4s_-EA?u=x*5v=D_BQg}CVU`!q;4 z%lm2|PSvl^>_8emIH=~W1lA{7?W>QgkTg)`9F?#zR}D#n*|aa(TdsFz=}!cALH+hj zIC;bp1AK75NmMH(b10^{<)FK+;TrmoICw@jpecN6CX{E3PaM zccDf{Pv9>0-6?X3kM3f-FqIDDBp#6uf0U%9BU#>Z6ZAAkgSwfk?E106F~7B&%F%ZA znfTQMxH5M=B|P+z^)Y`)_XKzDM9l~enMixw7FLe5R}9y2v9Ms9R`8ADmmnztp4hra ze4VzYET$_uV~2VEHb-(HMPUKkLe?Z$uyj3uQI77$?Mj6MF~leMX~pG5ug3?>D`C?+ zpfgU{!IiJjkKJ@!HwO((q;Lq;Y=8HurxKpg8ZTkfdhlnYbdl8%@37%HZtmC#O)we0DDT2jJ4=c1`|p0c~-rwmW@PK4+RS>r?s~JVTO) zkjlH)7K}l_o%jWysGahM1hysyHyqbDSM_PN?8Ot-)%TqV9+Q)UcPNKMY+9`kbYoSU2m2^pN~ zJ@uJq>vc7-`OIj9Dojp)OV;XD=>)6dyWD9pQQzn}KNO;kiEf1KrWkHn*5{5BRg2%Q zQj70){C;!`1+aor+f?|MHzWkH-zc-AKi8=yy2YX5_ab&zmm>|?YKvB2E;5|dVU%JT z$&mhCd_Bz{Qt4abSC(=k&$q&21tSQv-^O-HA&F3zR`bt?I{wf}R5&wuD&|x#A zSFnFwx>IZVVhcs{MI~9Re)JglZtFZH$>S}Z zS8TSgZg+_-G5g-E96jcP=8Im}PDKlvY=~D|T~1&>h%wDP2(jBUT{L7CQiYFZ=8{qjO06;)N(QXK8CiN!RuzHxV4~JN)MR_1cZW%&f|VXx1g%q zH95_J$TOHHt?oQHu&HyL@Y%8zpsp=^>|#uEeN}^OykB{8_#aJ%SljLW1j(wh~SOQuF!6GF3TPKz~ItsBF ztn3Wa2=l)cy!Y_IzQ3Ql-wr!P-FDDVxjxo!BF+y$3LAGEyAm>WytEfknXNOY@}mg$%Esx z{X?&R-%6t&yvLQwVOdT8LnR%?U6!4m|B4?uc4 ztIRk5#^_peHEoVxyG(?C>feFWqFF7x+~;_UM9GpnJDT7WL8Tk_z8A(G=b(rI+r=_1 zUZe6K&lx8jQV`wO*Rqw#%NmcW(?O)xE(%soq(3Lp2%e1)C~@f0{N^C0a%C1UM#bCC zEupg{?KYnF{<3y8+(QDH%ky|=^6gVz{*~|*x?&!21D=sRy@hX;x_S7M+n*Ksr-BkNNCAX(M59ZZ>j`&_65Oky&tR;OBM1p z;{vM|c@eKTxngD2H@u$vEZaYN2=&OTU9>Ir4^Dq>Z1#_6>=l|2!4&(Zp%}t4p8sX* z6JQlq1uE`hWOcV*=@w8tSB*Zz4Ttj5Y_D*>&Q<>C-|9o)k2DnZ8*RYu+VIa8@VeTy zGe!TV{6lEbz0294lL`OU7+@`@av18pv}pl31;^Ub3sy^-)mB?UZlUU0I5U~=5O@k zy=XZ^g;l#NQi8vY9|-@@Zapiij@xK65pO&%$ngqU4p8Xr_!`n5&y_)1h?p;Yn*8b} zyc8w(anuA->;&5T8}}=EB;qLtZbhPP(e_;NQ^;PHC3b^H*<+BoiR9Rtr7F6?$O;<`KxX`Ys z(R@uS@&yoHw*KTtta>PGMGiSWQ4Y2RsE*WF1xwMXJ1v=ERPhZ9Ly>|f>?;(aLBtR5 ze#rWJ#@P|Q3!1z`P%hz;@m=R8&(P<2!-+*5zUL)e9(Lq^}y%iP|NxCL$`a9D? zn5)%BkUkNlwnM3#s!jBntNqrhok)_FBSX3*7_)j`I{-`@YWZM2l?XTJ7*B7X>4YX)D-LT=0G%=@TcZl z`NYb)gHe`i6Rm38*Ee-EIqxMoSwp}vl3$a27nmL^Y(Dw=B!)xHleD2m^=Lg_k=)VS(%DDbYo9?uTg57e&T8c08dnO4Ez6_cRpWK zwwd3>|v%S7McjM<}{k@?EHQG>edhps$0?8eC?gxX{v3 zLt@<=A<#{>>!&on_~(zXl&M8h{*R51U-WfO{!G^u6m@y$S^9)kdyn4YXPEVOJ)!{)u!xo(d4yF=#o-*OJbx%7~OEb?3 zVqlh?0C*BB9I0mtOQ+-dYrdbLoDtT1FI!o{YsgVDCK`jx+*8ID!&cOTddN1*Dx318<#@w-ilLvd_5(O`lWM2FqnF zYKmzkvv*QQdy0_eD3=s_PGwpykW_)3aLN_mnWya!YMsN&;l`cTEIQltL!a|s@*39f z%Iqr?L5e}zkoJ9}ocjF$PyXzjV@ICO1!`c67TsI-+lmz4>p^K!gy+!I#+8lnF zgCav|s`oj7L%FX}7&=h=*4ra)PZl&TT95;9=Ku2}JQbMSJAjrFmO2+gGdnA2F59y@ z8ap=|Ks^@;nn*V8a>ggUOOE6oY?hLQlxLKhKOX#IG zRIshxgQm8K9B2mX^=(0rWjV_%3&~Ib5l2=ylP%-C-3z(w1L!sorTZd-2H+-3k z!=;sTKWtVu=APhqH6d2;fnwN(pgl2IqUOD_%URzBKh5Evn=Cw*Or|ue zMvIcNwNY_h^RSyikE1+G)80c~aes3^HgeM8&hyU23{g{$PTTiCxC!UNXWiGyAi{pV z97KNQqE=!8D~M-7ZSCkZFkK|831-otw#7kGN+Nc68-&X@>$y!glDk=|i^IZKatq4| zZ60}>vwAl;x%DP1!VsYij{8|nESxHFTEFZ0IA?;BP+eNc38!`Aahy0E9DJM}7?i-8 zkQ6jt?It=>MkPuJx+%%I+1$v^A3%{#uA#Z$tvF*Bnn(;)Vimua@U<3T@m&H}ejRs< zHiY2lk*LofPAt9FE&1f9-=Yr{s(El);r{L0@5**7Ik;$3$*Midgv) zIsHGP{sXRw=6fGN5vc+aYJku}4ZRnsF9AZ8{)#BlLKT#tC@p|M=)Hq96GW_3UkOEe zFG`c5APJz-3Dp1r@A~`R|Gmqy?CxiGnAw>I@mHK>z?|!)8^)U?SG^_j9 zzn)j(`T2<9mi<9(oVk*{2fTrjI-0L#6S?w%az9@B;I7dln8Cv(q^N7}u-ui=Pzdh7~zKa9^~DOh5hbMi{%%FUsr)j zO2yJLs<{CE9^O(TQOVq)Rn22w>ckC0{ww^C-_8u0^FPj0{f}AZeaW?Vul$m!)QbBU zj|wr4MwTVcfO;R*cP^Qdiw5U*y zl^E?MP2~7x5}nQZ1O4lVNl?vuwJ%YdWJ!Qp+_$U(^6gXk)Ypj6?^AOTpHW4YI}xA5 zc6IyG8^NW=TL#lk&Kf>Uzt^A9>3ze($$Y~wq8@4J%*#5k8?w2(_nWLRMBjlU z@6Ik&3fLD@3ZvuyP#s^Ti;KndCF2=2=5T1)PqM5-Md{Y2hvoI5pY3!F_sa8o)^(i~ zx`h*sSi8en&Gj#ZTAS$&9+l@#hFhEKLPKen!C2GW+76b?&fKzo)}gK)jzT4_{rCTt z<#%qzmq}jGFfdI9blQSNn38{I;r*sM47sz?zuxQN*$I|p6;5$2-@hn~YTXYx1vqfN z1Axned0(XRUZ^25SpVv+jqQGZ#=JHub4uO~7tR}YDXe(>WOToA959SicTSo2a|TkusxOMaKc?DY7T#HRk;@C%- zI53Xo+AS5YxjEkeZQ4d_M`Y92?H*ULALqP(sE(c$XnoKivk%r1x9n=dA{zKKtRm17AZkxzn zvf-)a!x{K8tyx}~-#SrE&S6bEcwitfKANe#iNbdwA1%>wvV9Hg)NEp`vWA4D#$H@v za0VUveW~rS<9odYgQdrlxyekq3YSgqJmgVd`zEx<^8w0~(aoWvx?|7$ejNbOPyFb` zwb(uh%=m@0!pIu>!hem>mA>exgoYg>G8`FP%^q1EXlYYm@YD22L4e!&q;LwY02tCK z+E%LI8~r_-exEQ31W>#1j$e<(q3h|9sKt_G5a&E4!sA5HxV?Gr!>{v%RSh(hk%^kf zSeJR0q_j3wr94-~%vYGbeQkH-g9s`zc2*%4)Qo2J&OizFw(!kAyTh!$SmeelBM5d9?ZkzvxeHv81cqErnU{{LX-D_l(4Ww4zK(DL> zDw3c~Sl(I#vq~#WK!VwKVov!TCZw0pG$=~bjq*gN(Z%tU^IEk)c8dJd$6zMn_QcW; zv`b+T@kk;4+<)))iO|8FKU8NARX67XdV70M(=o+V|8nKkMXeeIm+t2!t=kie zd+$DV)7&E+{0uPPdC3d>&i@s&A7x6fBvQ@fCIG9eiWUj>dR52h*U(i2v)L&O! z8}X0VbE2)SR5_N^0*@^H=;*XkCu>Ja?uDE#cATk~MIl2mqOFxp>HAOy*G?#tvpU^P zP>U+@XvYa_Lt333RO??#TuDE5RY5jELUqbnm}0?mv%MHmt6NbC?|pxrSG!-p$|_7c zNV~wRK0%T9g%-nyK1_y*#{QT3Yg(j`$&$ZV48N-7RkVr+>5`%Cr`8>U+oN zSnlCPdv4tV*b=IjJ1c)!n=91Qk=tZ(YO#C#Bbq`z7XDj<0j?U!*RM;0pZQS?)@=Q& z8xv$$9!b#YRYCP4vX12~#($4EZximS8$p@KV$fg>k{s%T#O)v)#~^J4`X`}!>paUO8@nYr zBxtHTfd4JHjLu!H0H`c8gSK=N>yDWQBWPEFo!^2zbHKSB-2q^CWx*s{AzLzcP9lVD z2l`vzD05zq-|h^!QH*Uh^Wpc*ur2m6$Tzqkzr&R&@=b0Ab`zHOL&DFCOdgo+nJpJ2 zfXoCSXP{*QvbS`9;k}PlzDDo@Ex{iHjnYl5FH(Acp@2fCUW~ z#;!@regh(~Ec(_f(-f`hJ2v+ppE`Wh_~~koR%uol1uGC;BhgKjO?!dKf2c+p8-Kc_ zxGwuvh$|SAKWF#zW&FlHOx$qx*xVk+cQ{49)~~#;oh(jiJ!RgWSQrp!-kl-uP7l;n z?}bRp#1GFeWi0Amg!~5Y1CyZwpTNQBTha7-V99#a!-+I!28D8rZn}Py|7!{p`OTrD zQ<)lCof$p7JMe%5OWDP;$`tOoI2wkLBPh~ntqc8*gM*Wqdt3L8X4)${UbH9-H}jxr zUKLejI-b&ZU$C)8Upe_T7P{S?a!fFz9*DA}1mb@E*glXi9PMmT5NP&@R{Mc2+;Sz< zj_IG$`D+lP*#Z}xek`Z(3F9A=9?UB|o6ph9_?g(?7}MmunNT>@wr1Wg#Nx<71Ca_3 z)Vd{{nUJd<#G1@aJ9gO~%3>M58i*u*1~-iGwh- z5=Edr+$FyI7tN-ZcG<=4iux#-sc(O%>VTK34Iqy6ZvM3R2ufCz}~*aCG6WeS#&q#y{+<+dh*RnM#^F;tSU@q$XKeJ z+sTVDg`>cxm|KHroTrWOp37Z$X!L0;;E>zNh3O{_(^uf+Rgp9Dt6_MrpXhZe71Tfh z_kT8UVN!?>)fXoNE36@vl-YJ?8ps3Jo@HI268W$omeT-c$ z6x=AW2dIcl_Z`X9g$U*n-IaX~Yxgbw4-t$9U3#YbCOJCUtC^y%Vke?QdS?3($&!En z7|^ijWSQ{`XMmK9q|6-*!h|!$vK;=7{-~*Mw1O-1T|0#1puf^(`8U_E%&i&)_br(r z7i;$@>!2v}eaGBm$Lyx;^h|QEB&lZo34R5Ad2D#PqacXEN>U{mh*X6C4LkZ9D*FH5 zF!o9+{ypYMvJ#Hlswm*6q%vFRm^G2 zUu_tc#r1XvZJen@o*hP?e@&}kmbBa`{RGv5>QUAK##W-Hp*uL|md38=IJp$4?u83l zCCMsD{8MyuR@< zth0kuuFleDysH3c(|mX$+gU~#A>k2pwa?5ml4>MexwnE*U6P~$sc;4h<=G(rJDTfC zAOnwEL3PU+c<6rvPgkp?EKkbp|4==t5UnfVt=|{Ah=`JC2A!vAvap4_YP{YH z{iu=R;ul&4;Q-W?-5zk`mP;_l|A;hzqx8bRYUzLCEUyYy{8z52|2^~fKm6Z@r}+Qv z;{SgqpTB1G6?66WB70r-EM|W^KDNyHKK+t?HsW1AbCG=!hXD(YU8x1GEY%>nra2i4 zA0HRxwkwP${w+zR4^|-#D_YRs2hVuZCg>?hF;W-2@RoYw>uK%Ih+f45h z?D3AClrnkqhbnI|%6dm#pArR`3Rk$k()6W+g}h|E@e%w~&#Vx4_&rdy`hLmS;0e&e z&^B8h6))fu5>T>0g^%p^5WRz8NrdP#y3Vc4LOL>xw*)iMFf3v8he|UX>8S)fgro#- zCn6D@Fl<*?GVC)KK**pI)3}q|N7ReC$+W42zjaEG&tkqxXFXxfvv<gsq#IOHX)| zJ{H!>p3Iuu82<$KcInbl}e+#`Ijbh|40EO0wqEUz+kV$`9XF zxwOGHXUTnw^DFceoYixgC!lO!M!uH#1av>ouSF!tL_#i(zwY$KA=9>Qf}5i0E&je` zp5-97Rq^63(UUwKP6rD(qinDkm}YeFQ;NTY7T=m{FP1g#Cz7|AK7*4|^p>T63cAnQ z#^*xUV0+W|US_0R4hm^-gJj7eieUBd`Xu=e(1-^vj-uR2Uuf*p8AFrrz7(EP%B9W9 zKPV9QXaJ_F(HTt3J0XK5*8fFCOkQXoYeyEO&CIQq(i5xUhhXA9M3csp`H-tNYiC;;~|onRj1;-n{tcphgD0qR5D`I%0DC z06HP@?-C{;B-3lH{@M6Dwygxvlt)|6ZNX8ltzfNtUniK7G=_=MR0Wo3hCG+p6*`RB zTZYbO4&y@@iTb*#w#cq83-W!ovO!X0e&BWSh%AfbF`!8@zyYd(_ZnsyP#EmXAj~o- zEi(zw48UsAD+wHPq@_R9EPJ@#-rRkf6tgyTlT0TWi>O?Nci!udvN7}l00Fj=Ik}10 zg>94e*K-nvt>;0ETt1kFUUoej!$@J($j55#X}2c%jP5QAEv#aMQ~OueEM2iL%?v74 zsl&3u`M5&Z;p&`g@9-^L&pf7R$l7S_GgD`tQ*};7)k#a=)}SHJ0u&jnJw( zDq_RIaK7&AZD|T!VYyUaI4>kzY2F%P;!XEem(-Dph;{2k-|KuE_uRmCEYpQ9GWXtt zR3(<%f2eYll|yjYZB)c3mFil8l?)-8t*P(|K2;|1 zThFdBMR1Dl^u-^lu-z3C_Y+q4UESqgI`usx31}ub+H>=D5S>Vm(N9&i3^qA-abqafcI+6|D{HeFl_mygxN4gTT z^*zkz3!$LdQb>_;mH3mcePG3+ZLp5lziytj<;Go7mgz4obS3`+6F7uVhbBQ5Y(*o@tU^v zZ=un2iAo30t^{LE(JuE<9;M>C7tg^;X|8=7s#nj&hmwqd5O2ocBEDn7Il}@vbaGix z8g_w&h<*I_IWeXKuIg#=*1aA7hWN^)Nd9U%^Zolg7=>j=Nz}(TC(dxVB%YT#oy$hM=WtUZlAux{}&(x%MfjpOJ~d zcGG=P!`@r>d(1;Wq)0Mz34f?jr}~|mejTl-bXQZ`kY~HktaG}SS*8nsHmlP)h&yd6 zeurQ;1^3ben7U=&xy6Q0q}j5V>t1eR$Em+xAbN|=hj?JQeWXDEb|%G-Hyy2t>Y z;x?vkHR%}!$;Y}#o9j4T?7Z4F1P~3)jUfUkrE}e+ght0-;}vu}w=mtm_%*(m({=v} zYqAdQ_BEV~lC3ve8y>l@XW@N}{Xb-b(>cp5Ab6a?nNJg<}r^qt7m|$*= za7wy!E8kYy1W0sfugt&WP4Dva!Kd{(?KthDW!f-Nz3$1k1%gKy+H5Y~x{Q0<#Uma> zdzczyUaw>MQ7SrhA`$dz+RtGn)PkONl_eb>hP?SSkwjz85Kmd{G->hcWQXI+YEPFc z;?-X2G@v-8om6$`-S{>ZN6r)893&)toWc937u6SLXp|@&28?nXZh`XPRyF5zlm56h z?)DD?4D%e|iI8~GkS%NRL8S>bcMUsCu{V5|yC|;C;in()Fd1fZ!Hh9)6Ec#`Q{*nU zNLr2ZYE~TbCwB|#U)5^tuo^j>hVv+GoBXEF8lSP=ZZzRq@oS1DMsp%`nG$E2OYeWC zMg)DxQ7S28J0GGmyzII(mv7)-6? z^Gw2_?cgrAwP>@mh7m9BU}6~pVlEpv)$z#!IXDmt;seP`%O(K^j#}E!a`6Gaq_Im9 zdg(nxoIcV4@At{-xk+2GV}7^SbgVZ`Hxc{v9cy8?gP>@-hXX=se9Q0XKY|{g60ACq zF_T(AYpuYudfZpldC;V8#RQ`wp~1qxM^HlBuPfv;Oy5@L!B5KTU{_|{JxJGKFWf8k z*u0N>hl=vJp#lRJh;4!M+qF|JnN%4}77H34>LXYY;p|q@{8;3%m22m9Vo+Eb!sH#XYP^>AT!)dn4Q#oxX)Dh-B+p%t+jL=ckFzl?Y~&DD{u1 zX8kXMSA5SEL&{kaKN8LL&so};)m*#16gY$y0?;MjhwT*yjv?wA6Xu>v#QF%xa-V6{ zXBGrmACF50{0(|M0A))m__F7{rKfg#I#<-t)TE$&*t|Zm5R*%Rh?laS0RRs2zT$v@ zp@9~;^c&-a%WZ40RTEk~>ADZ~ca?+>wsYvZ_g6QBv>NZLo1EaSR61^{mQ|DnsH2;i zh5|h1HWJz-Bpo~-&iTfPdLIZeJt=xxc6H4edk#i)HRVX-Yn>(34T)gIhad?Y~xUU+?9%zUQ578~&8BtrXWaXzU$Ya$h$Cdt@S zWN(`cF)%cEwDvPxVi+T*G%jy+%?kyWA8bn)%H+W2dA*_?nKu|R?GhR%&z~~U;xI#n z@&q#AT;qP@7-uUNNuE?Pzt%c8m#gTDYvW}h3(jGOaBd`<((5rFWp5N=ZAy13+)lAe zA`574Pj0hjM4T~DMvel~BmQ%v81{j@)vBkj5s7l87j5CV8Xy66ZRz}45Mk4~E1WSt z{b}y`Pp9uzq#m}%`V05a%$3Uxm;7S-$rz#*SAg#f%^9Q8-h%F4Q~fTndzPCU%8KPO z$==NkQHIoCPfCrAy?mW9X*`GR$s%zyq$qBX;akR_t5sfLMprZbwe*gpm|Bpkw=4!ZlH|H&qJk47O1`zH{kx3d^ad?xG(nQhrrP#{2VFAY&x=TaiOi(|}lz?P$JZd4X`UB z#5|zOeznya^yR-<`TxaVf~zb` zmC_1MV12mcm&G9|ip?&Tt__5{z2!4uY*1ZReR#$ z`lD9&{SO*rS4iwLjBHsEbA^Mm8QMB5S*BLnshfH%3ql%C@;(h*`Cv4GP}MNIV|xL= z0iP79eU5*>35@;t)ZJ=5L;Kce_~78K8jzI_A6DhFZKP9c(w(c7tM?^Y()%j)iJW4+ zLHh!xqnFoL)ff@cNq#d3IoAmpxRSD3?gF2u{ZJ0F+- zp>lvv)Zk!NEy%>=I=+WO zv!sU6sl)XAQ5_Ga4HiNSMKqjWr>juk@h4wh;>T;JpE&brIri8c^@KQ{ve>lvz?aiA zr)VjX_Y1f`_0adPcrdu+&oo^7L#1FH6;G;i@UZ?vW#6hHhQCdO$~{$pO6V{zol4rb zeyLx_1&I@z8+jRd%9(c((%L0_3Yg~jP|~BEv+f_ZbmDa`^$3e^c^Ziw-SX-atou>E zM8lyQD<@={p&o{WONSZ`nq&zjO~&uJ~^+cy1|>CSob*?>aXWXQQC?1kmS~W>c}gi^fV*gk1{XcguST%eqn$U1XhNyxZ*iv+{d9nCnJS5cj{8Qx)4V9hiZy5 z{ta}&nb`6?=X zx|`d$rf%D=ow<1y&Ce4fNMyxV$g=@eOy}D+m*0*@7ikt>G1q)tzRPkgmYKOFz=%J9)(d>!03RJ!T1Lq)ONzfcYU*E0{jWZKP(#=-21>$B*7Tnk=6`iATErDn+rKS^2V0j% zvqqIIr^e0=1>gDF|54`K1W=O}b!gdrMntl#FPLm@w^tW>O zl7}c6k$tj~XS6;B5s*tB@oy!fmB}H39Wu*#f$7&1DbhkB#9F~zwVr=Ng_v?K#DiuN zXFllR)X!NhiWzpA(E%IV;|Ir3--Nd-22YvM;VvhxkI^3s1$chX-u30U;P+Q$7|l$? zbo@Tlh_d-FYtovo@=j7##5LZhdVPa9JDtyqQGEwzZ(f)50yZErOfpuf~&(u z*+W7ZC>9Rq5aDW2dg2-jI*t|VnJYHtjF%=hwn{6tYp{t!G}5@9GF#4}aw&A=wBEE#JF97!kW|66 zS-q<4Ll--)kki&jk9xX9%@)2){-*HV__e$t!fM%dbpLJ|XoMt;Pfcpk2FJ)cPWWTY z_KQ~NU%>b=w^>7o0pm9Kb|J zORQV7u;=_i_$nl7FWi6)ueZ@F+`Ezba^P~Lc3FiCa(#LbdBFxDq07A6KF9CnT(C?f z&NjbHkRZ$>-2y6hf22>=)`s6vZ&O|QVM4xwykA();A#-b^ra>t0s89n-efugTIZ=R zuj-&KLTner?5Kq)M8AFok?2*7{o0bnelIO-+`m`529u=`C3=n%Hfy_jSL-|flt>mu z2Cmj^H{L7B{y@0dHV#zwT8tnLY z$QCLv)Qf`h$9wTk5Hg^gLHRs*)`NZ;PV5Nv@x3E~7rPZx`R866()efC<96QRxtfzFk!%>MY4_{-|V3`}-0 z0`z;hAi#Dh$NaNxs9@7|xi?s5lx;HTjWWnU`JKzvA!F1{(?0Q2_Q7;HflhxNDRr^f zQL~rNUXnqv;DzZiO?Z#IJVUZCmiPP<8-dzSy8uw@f%vJbnEQp5Wh{(Kfp>FP8L<${BJrdz7p}+I+mICL>4cDVjf2g{eR>j*tZ%hz9 z8TJR418y;B4Y_GQN+s}--fQe{3X9_9U6G-1P>+W=reQGUF?${(qR5D=?u~IktPWFw z{DeFcv$JUr7niqZf^dEyV&cjT54&_m&wnw>v^TrniwtFlvsq5S@A|{cN$gjiVkXlw zWzCn%HFf_Hf3%u*P@wKuhmq~|nY+u4X-@Kk+qo_QQubNp{T_`7@HLRhOG`u^=#_ps zhi|?I`{aul_9q4A(w<=-PiQ|XO2I6Q;*AU-a#$}V`a_)L9HwI27X$QbqV27ri^jlY zl~1a-sr_kmM8e^2?4ZY&Tf)t5L;9H*QE5(&3PY2>%`JWZQscXMkY8-v%d`gNQ zi&=&$-p1&ydz9O#apdMgZM{==yk`CC_n_?EGK8sh;vx&}b%yvVdSBm{8RZn{!zDtX z^YQ7r2FAJWuJmKI7gFkahR7wW_+M5$9B+MJG3NPW5KE8_%`eA}CHorz{%o!B#7o-> z`@00}4f%LjIV);$-rWT;7ML=8(C3A`x0^DOvv;#7Y_JqI|8j{7F_ib#Dr+oFkZ$7S zY9HtG&apQfzW>h6qQQ*GB`WTD?_hQ}g<5gt9dJp&Z(a-pjOn=x^}x|H;^+w+VN%qZ-9q;9DYsW!C>`(8C( zZyp+3U;k;Lut#rUL+a%P%cu|M2>dNO{Vi~M%Wc=)9%ZRZX+6enN@@QalF2tyj=Q7i zI+7MwOmzflnIqOmVQ{+{mYl{*TLSZ|Q>b$dZNS2wI9cK4_7Kg{OJRle3^_iO#oESEb&{o%UzqT{-=|W)ul1q-)IL@ zA*>+FdmwKSyT#V^2^um{kfxmRZyqC%bu5~VkV&)1Mo2MCtGYtVLo;81FwcoK`Hi9Ml|Pxy<&tD#Szj@T$HMKiWs|Sq z9t%(6kjtw2gO7AM6$x+$2G43@H+uzuzp!5wQB+k~>+1G_65wPy1o_RT{%cu@sJTc$HmF^M6NTA;h2Ft;yeKOuI- zKhJx(mTH5kiQ4$BeRSQYrD$9+7o9BKH_5%-SG(1&S5JSBU(f^o^F0ZD+%);d6&O;v zw>x&Vu7_VCVer7FbMQH=PfJn_LU{2z830;v;=d z4VmQI8@K%L({B9Q>pKGVTEtp$HljOC1;e2I=*z;(36?0~nQC`BJKI`hw5J;UfMy_)yN*w-i+~h5#sqU*u&O$mJw(KU?z`)re~Ll+_jhD@rj$MSkF0pc z;16L((b?A!BEf2=VlgZ{$4l^N?!HlYN{EnQ;WLauEDd|9l?neMX8K-76^>HAAlo}y z4&Z%UEM6|wgdYqUb2{eW9dlClo&F+#SObY6Ju8S)K6157;%~`OJbbo0A7wP6j{i@k z1MXlUE>CC`$=w!0aaDSU{&PdL@@!`g3_q!(DBV7c7ATzOI*t zxP??+MT6QeF)be_c5k$Ud8Msmq}K;1kP{{3)bNjMoZ+I{9_yu6!pjQ%2`{`Z+H>}5 z0Rtz!!X4JNkNWX0ERdBY4)S4oWG@6BZi)1kQ@FfVDcBR_iRjP$dF}1hR#a|@Wzdt= zAZu!ks7SgHDI>CfgJh-gVFeWU(8K@cNNX4B&J*mDG9JB<0HN*jVv54!Nwv(yF5hYi z?YBk^XK(X*E8Ld~>&k34x1~7X!qjS!^-S5E$9-%5Q(B-r6)tRv!V~L4ll97j&8&-I zye{W43g}7wUEQ|OJuv5;#VPj zm8Pwa?bl_e)FC21*}|puL;wgwc^{bbCED7Gm8>zO8Aj7^IPuy^^+w{91;rT1ww?f$ zy{`AJN43b1Y}xM2**m@N6D+ib|Hyh`SjW$CN3QCF6zq1B)~LSVhl}eC<~Mci%Cj8} zbso%s$4%pcN}<<)A59a6Br8)msH>zoV0dk=hAV$U)$_2O&d?JzAa$PHdm#=zgACZ4 z7}Yq~abwKr%Q1uIqipOw1b>J=?_LULWeIC+zDGn%D_D}~czNN*{{d+L$1ta(h#Vrv z^@cv7kUI&^_+AozuJO#ko04vc5R!a+< z0!j$w;0&iFfRilM_*Te*PkhRcz=T6a&eH}X*VtK)5pa@iZo6|deSlC{S_zqeYXbwn zz@4b@D==K9ffuLa-*Px@ow*3O7HS+GD10q-Jp76-ePz)RQ?Cdqld84SET3=$ftKhz zA|B@RDNN1HL?DKofDZ{4^9Qzk(>XMXd6ZIIZXe(|Ck?wxOvD&UJ+j|lH(X*AQlP}DIf&Mr7>Td_Us3>XPGG71@aM<$BmoBjT-=2oGY`AfXhu{8TJOl839CN=f`js z@H1D|0m;<|Cezbcuf2wrTu1%Y_EPl6NBtu!#;Atj74)$yL?Jy-0wO|Qf~)|Ske75h zU3HUF+NLB9MrH*fAI#RSZ{1z7kT?TFnhTHABe{MnJn#xYlnPhO1(Z9QJ3MgWG&{$u zeK|*muN=;Dlm)e`Q9_~-LKaKOz5Km)*{#>CoSO0j3C4pK0YrbBy;%MnRhj}kO^|J0U7&ISMf|>=V{10VU@+)lR z_S%e)Rmat(+fCC>P#;TC3B;o90gEnM!`uf?45q9%9>L5b3}0pesCNN~WT_-$_XEWW z<1F0CEvN1z0O@A$5_iIJs6%`G!G$@bHq|B}32CGpt^J3J_<_O=3Hxx{RD^kPAUgfr ztJ_Vu5HvWOpQbVsZF3^|b$9>WQx-(2dWG1SR(8wXGY`^@)cW;}W~FHs0$NKknwA_g z_3_9zOmdI0-}XQg{9O$6UyLgVm|<_Bya{tzFCN|J`%83FAE4GddY4L7 ze_$CK|D8<105D16cnR>A+d~#ZuK!edd97BVs<^sSvo+z45T1o^6jequA@*nahacNv zR?utzgMcoBO5q&I0JNvVk0+P)zDVcOX_dfdhPO`b31z5QBZ#c*SCV{~0iw`@y};8p zKRZ;Cq}QI%Uulc|s>`xu%xE)pvNs6;9FH9Aij`QAJOQOZLS&z;@!bfGjlLGRB}=+; zVQQaknDmB0^S718Jl~0rk*PhX#N2}2XL>2K2RE6a{CGTB%LWPld=e*W9a z)Xp$%c_*Il5lkf3Y(+=%7I8ij04s`6QqpcSg8vqpyA2TDy8z7KLrY zr>W4~5opK!Mw)|FrVlr-d5Y=?w%eG zJcR{K?CGM4pNC^gCi(7_GOqgCm>!`Tu}LL&Ab+TsD=LM>HUG)FYdI)#&X{ihuTIG! z4*!TbXTF3XiW3Q?b(Ws;)!XfSnmhNi)ZTD?{!~^d;pEu7BjuKaf`~dFkE?7`JXR8c z-IASwsy*|YLdi3E6=#4s?M5HX-B%sAAXuzjo;(dqr!Yh-ql^TLY2%`on}M*PpB#Wl z!oS7VML*!_H+`v&eUTVXd$YvC?Ss~}cCVmtE#V_pK&X@Co~G-^u8JpD9xPXM;_(!d z8qT`Md~LD!8aZfE`65&l+}V}pD&^RN6ppIJ$f5u4+a_oqUthOrZXC-Z28KHip$Y^MKF8K)8vsb~0(F zjVo|&priVWtgXq2Z)fu$M@Jza;7|666XUsRzcmJ0T0iwIev@fAp%vj zALKD2m1z?H7!HbyxAsiXRyT^gH9^5=hZ}_&HiwB5B$#b(<1f0X7|Hrq!zACbiFVZ} zh%TQ#{esKr%#bo(P0#N*t8-2o_1fZvUH`N6tY!|~2Xe_>!v732>_;%DOZXDg8HSzz z3J?Gk3cY6HGw$Ovi%^rllc9d0n1&&0+ChaF5LTdg&^cRHJgDOVI$5Wp@=(tRstHHM z-2^jsbHv$`^Xpwb!odWmI12?FS_|+0M&g|+x7b;Tw#~lqvHYD%Ubo4oJz)87$`@?+ zP?DF0G+t1^RdOviT7s<@-c71ndx#si82tt^hZ$_h*`ph@X)$odf4AeSrGCXpWmN@8 zX4nb+4xVUd@8PN!H_C}f<@M=&X*`HEc@!*}G$xer*>YkVqTubfS0Cz~&{}!UVojWU z_B=j^I|Ks7e;P2aGCbr<^QL|I=w69Zo^PNK%o#@MJ`l7u4&z6Fvl*AeY4V961Ka<% z#PKV-b;YO#t_e*6=1q>h3TpPWa!uvtFF<7B*tIwShThxlk+`5sxg~HRa>09#30d!< z)csQCvN8Iji3jqh$Oh=@E;{et`BOFzgDW9&X~*~2cci$xWo3($b$z3|<>mfR@wdzT ztO6(>?FF6Wv}qWi#&ALTo}xE&cF|UX3Ec#9(}r4xTP*x^!#>D=uQe0-!psVEYuw1O zMm)pZNtTnu4vLw&Yg}db_$e;^+1Y%K3&)PKeYP)K;X$GdT|;;ldnzTOvR0y z=?}X#E~u7fcf3!@c6G+SIaTfNBdu{^Y+}6Yu6>GrMF|(bkWXGWG#hT9w=kV}>W2w4 z+|G@9y>EIFapLWduGSq7{-MIm-A0TQ_L=&NL4m|pC|^Kr8K7GHv71G`b=)ly_PPR1 zaswg1n8Pf(@+22-JS_#)@V4khkP-(lgNrTl9?QXBw3%Z0(*(R;vujPPsMWt)+f5~f z3NZDk>ci&RR9q9^2Ob`dCH@dvv-YbXgbpi~4`dSERFKVVIKcsY*JPW0oL{IS-Afnx zLgU0fi{bv^fO~Ffs06?#Sv})wjAmVfvIsx`IzJ#&A$)2+0QxObtOP(@HqIg}**Fu@ zvhO2}gp*1*<0($kx<#A?@lNjzlh2tKKgWG}^ywW!WFKbs`cU1@%|LvYe zmG7ZI*>ibTqB=M4`?;R)%BI1-W54Rqi!?c=Ba3}o1Upc(*_}nLU_DL_3uN@xK1O|h zhqL%vWWujWXLZ!npvV0}$V3_s-BLL&S$nKB3iSoZ4;FOouArg1c<(X7)P8)T-yJe= zAt=0)q?dsAPF{rQrl)%b9)2voU|i%A93bmfGH!)laF5UD)gOKj&@T07id{mN<=x+Z9~^kPa>=7>FXG{X1)1?ipR9e^ps26- z2j-62Jx@Z)2%nF->SN^PnWkZ(o_YtheUj9><_7(>qSAnX)cNERE2-NtB5+GjH*foP z*!%@sU}~Qb?^@d@Tmsjjy~zTvV*DH|{{#%Q8Y9e1t)j2Q=#F@gGwNBp7t243mG7ftu9yREZHy2+@^2F%E`3DOaeDGGvLiMG z!7(49Vz>{8JI2iA8x!-rKRMz#ZHSBm=RIMkgY$<%Oh3`zo@%xQ%Ko9M66q~c6WXh5 zH!GROXJ=MndHo218Fdc|VK?TMdk#aw)6j+zjROkGY+fko; z8f?X!u*)7)8_r(wrIhQ*+)Zv-)+=C{4N|#HxVNCo_j7P^Sn3B2hD{)K@uZ*ewDe}%Ni~CcdJYQ#o^_tqE(Apuus6+qW*DU4_ zl~DbBuW+_uC-D^c_|TRvL`ab$Q@mtK=SDMH^tEFzhfszds^`ilx6vq{f)3VLBzF2qXD(0c+;m{t9E^50+R*vS+`cAmv4CN z>oaliUG#F*{~N)m7(!&lfiOS)+?BpmR!#FKuPy~ryLGDR_5CAGU!cN^_{d5hns?*5 zLv*(sg|+JcL(_Z5v-!U7|9!Pej2MZX60y}@p=Kq-UZpLyHx;YYE=9zQ*wkz&-3UsI zBDShpZBbfcv}vmvirW3={rfzA$zRD|$@RGJ>prjZJdWp~sjmZ}UU?B?TvsyMXuHG# z6HXNbhCMb8h6qcGWrtT%pgV|^7O$zUw72PxuK&z1Qc1X|3$PruFnA)4d8|9u-&Z0( z&shmBq17tRtIu+=3Aml%cG^nkjFcu4z?~XLQVS2WF5p>K-{RDX=Ksb+ zMV>p7j^r91shO{;Dk7T}NGJv|eQ^x56;t8*&fw5ck8$krM-=6hak4PV`TAW)p0qOQf38Kiipux`O>g^W=} zAzutzrTF#8-2a?75MVw&5*^`X3)|-`Q$9{(?Cv z4*=cF`@vkO-a=DXJTU4lXMkhIde$j-N>q8rS^M%|xIf?t9^E<>?!;T;bQ(;?2<@IP z5>t3S(wkcYOYzLidY}avYbP8jRE{f6id(HAXZ8C|YW*Ds)T~yccH_Rgg*bcwy!Z9wZ8P1K z_g2#Aunj?-4a7n*=|OA62e^!BtFI;*{@DI7?9hPEk$+FKdLrE=B!cPdzdlAl?|t7L2_8kfkwzp+^}~-do0X+jT*cli7*(abkL7^< z`0)%}G^$kdWbeTVc-uSzbnQB1-l>dwKh{%Lw$Su3LoY9l)RKY zwq=wnehZvleMgnEag-~%Sl$2YkG~)obZTu77(CPql9FoS4w_369j>%ma<4fCKFGwG z_HtKUIKHi%`+@$foJwp`e$yuw-nbHJhpJH2| z!nb%ga`hGOKf~;KK5F{fkS(tp885QRa}>3y3*5fX5tvVlO<&_xlIh>-e{CyvDTVXsDE~;)HmB7^j8*zjIfA?#f24J_8Zc6}Y&T zQf7E9LW{meyI;$(T2xvUX?Qk5)n>^O8_lSD$$rgjMl`jn?l9};%aafn>9}tmA1thu zx|FGL1070Jv-i?$pWx}D<%-8sA2pp{1It!-a+iZaMloySyc3yfa?P-l(8s6g$-`q+ z7hC@1%zE5yD4#nM^sX0O!wkp8p-zoY1wVbCWSiwfy?t3WI&-@=kiJg)=-rY3ZRc|M ztz$)vhHZzo+pEO(59Wg%XdKj0kQmzIV!13DbIGFar{}!;CFA=c-E_>Kg;1%x(|qml$LMgop)GQ1@;fR+1$gD>eG zu9=#$eFM^COjZ1U3``7_6VvTJ2{_32&g$I)zvdu0ZTzf*^?+KXFj_Y4R+Qv~@MTT* z8n2k8TfBpMz)qPK;{+#4XtPyCBJB6Nf)mvuR-pPI@q@12!UTqoxJG}fZ+;Xgj6+RY ze8l?>Ki)R?ZAiD`TWP!`ob=aF>i_PYt;6{>dQhopH$a?I(R9n0)ENHxEUQPAEvSF3 zW$pcn6oR#2rvsOoTZ(-@hHS!i%j zBU9oY21!i}0HQ8xBv(ow;s4jYBUI5_eH?bW#u+0Hdcvgp)k(%VMTFPoni4=B>YpRM zR4_HZsuQk6xhx}kmlIkAJVY;KH3+SRpmtU(Jm0^DgbQYWQgea-u)%9678b%jj)yctlKmc=YU%$aVVDAl)QA-ED6;W=is= zThaV&BCJ5sIO=!|Uo zjf;3u%sL6~eL9R?*?Z}Rqi^LE{e==wyR{S)661M2$L?`h&}Tq7 z;l(oThMI5H0@@NIvE<6k<`~*53W*%_xek7<%v@MUiB>9|6J&@ebPRYrkM@HpY*ej^ z)T7MZAQ|WKCSO0_UEZFp&5VIw1O3=zE&9NX5Y3qnLb4A{puaCUBh&pnsrsDXM-716 zHYr^XU6s&ibu-SzNA7Yz1quFb?$b?~A`dK4V9w8p7pUE?aA?!lhIHh`@9z)PTm;2J z0Q2)SwUJ7GpUr?Hjasi`t+X5lYH+8)x@F0=`9kAN1p5w0K2OmhT)l66$c7~U?PuMt zdD1SNAM^9Er6O~N3a?5zVFOF-i_)H0TE=dsugo#`D~;i!)|>M`oaL3*r84Svs~$!jv|txLMujq+30?56i~_%lUMdy#$5sDj z7H0D51wQBVup1CYy+rPybIH^1XnouMQDKH)3jV*2Xi7+i;ks7&MjSD%3Zu=s(x#S! zv+a(*jq8T+a$M2T&e)IFCHQy;{v_0}$`B@~$sv}0?~5wO=$r=aV)bZa)Xa4Fz^aHA zs7cG1tf}}S7uS=*dCawX7SQjgtvgtfER=o=>2VRjCw2pUX4DtMu*J|-^{`hZh{FlY z;XmU54^b@Yc|~01A5JyfadfpB#Y*SI*E#at_mES-&~50HI6-sK+t;-wXCtXTbez!V zgi4IJ>VI9{J|JbHT+YqBt{`0d(quk|8^b-ev1IO>>y2YMbnQXoj_@#4_J}9S<&Ddy z(K(OB<0Je@3}TWyBs7jiA8FJReasR1it3V+%cmU%5gEqnTqcK+fRJaR*@ z>rg&qYUgc8U*$V8VYAn;o~aTc(U9@boxfIa14}`HDSz?+^fYX}LN#Gncuc0SVv0e# z*#}6>)Zz#ovm-pgS)ozD;a%-1MguQqb!Hcv<8fjUDqew)98jteF*+r{L`R6wS*D$c zf3+4*ozWKs6xUMfb#L++g$7U5OL$8OY4i$1+w~2pIjf9*J{<8=fhGl7Z@O57qz)-+ zVBq9M*qS-9Y1%L%@ki7d6Frm8>n_oM03M%6nw}YZpS|6tt_7klxC>6Vs*IYqi1qyl z>Rp}+I^2ljyIjE~$`!`Pke1AF!F%uBlE%^)`x;%XpDcfm%{3j}&0(|?1dvnAc>m6V z+iWvrM6NfS?FhlMX1MTK4wk~$={J}&eM3Ch?ccd4LfK6R@6l)R z2Dn`=^CD?kAizjF1hE6Mc`=(*x;b3cQCD2`^e+ir7TNgOoyBoiK;GDb`XXr_3v-p`>3< zyvQh6!ATme_gP!W0i_I(I~{AsIhWL&+Z4W}p2K_a)^!acG~yGb{Y6{PR5t@`sD_6A z0t>1DWD_K*Q3&Kc9DYhr)^lvi%6lOhr5*9p zt1pCs!Pc`^Ux@T!m^2jv6|D8UidKVY!P+4w^n!G0?(K#?{VbsQh3IBv$*W{Cy;pMK zNDHE=0{&XCI%YJy#Qkm@H#|v|b$EaHk-tdAPP)&+IBHi?(P!v&O530QW!JTL2c`@l zlS2OY+Lc%n(;YZhv1FzDlmkAPCi2dHynb<%x0fCv9a0HU<~o=)4<>1XqH;>mmdL3uwC)eym1X&kNZ;(ys0 z{r(R6zzOv>);M^$$}F0vImsL~IL3>IXvCh+(r1AhsjT|d5sm6cmQaS;%GdjvB1Xp; zbCxX1fuW_PrPB>4XP|W6LuGH|oR;)RZi+{zBuX(yJ>oWQiTbr|V+wtHt!1KjJ@#M* z9kRCC-5I;m_XU8yTGCgTZ%PwKaF!SWto*l zc+y@VZAdMh)pP{(U<9O7M+wnw~y_{GTbIkF$gj zIvUoy4x$Al?~9*x|2oYy|98%?Thw}SHDGC_W?|@qqMh=rSuxS(mLrC>Q&}1tUlFao{v>(JtH`5wE`BtnS$c%gT$QFj83gJpZG> z;s}L#@hqGMN4!9`+~vtrj1&Ojg|zV~xznS>Stk^iS@dwm+utXBbkhLF3~aj=_{&YG zqp{Y2?#q4hncOQ`B@Yd&ksDeBAl^FahL_LSx?(yQowXdjb7$L`LAgo?g10v`4m4_q zfIWz;zUFZ`0$cW|f#TzbAJF?85A~7Xe{bT&59xpwP{QQYB*|zq;oD}l_#r4nYO&$Tto7PH!oM%%(iO*%P+c3TXhns2mBw zd{0Yo{E-asALl%aL%~G!!EjdJlE6%4FQ#id6FT_V0NN?Urxz}OM zN^4rchfJI6C;*L5^;a-*f-M^aA5=!ar;h~Zf<%sVmEj{X5+$3RWUey=k-u<8?%F=a z-4g^Z{lE>UL_sv+YJY+^^j~=9`YWvDxyMC4Wk!ID$Bm}Y`P)XVI+~B734qytqef9l z1{hm7z$(`s>-NE4EtM8L4pm?4IIsYDnE4 z-Sn{Or0xv7=X`?{uRd1Hheh_X*7~iK`ldL526o+k=)eDW?k4!qkLGW*aL#E_=)Zi+ z?iQ|o`nP0t+lKx&a|@i;x3pa@)V*T5X^IZ-^C7EGM~L@kkuvRa1& zYv&tjlRerTzJ|CmBPr$x_t%ViKg=;TY&$-dZKkmrXAjbL_K!P%G*0S~!4IGRS3(Ti zJi8d*33R5~^(%$fS34iXQ9je!NR1aUlHG`99c`=Cza(Z^!r88_pvG|8M4}hppq0A7 z%2T3agijh+HS0cZ8}&%y1>Wh26_!u`$*9$GvJOEm7cpWCCLcNzB#)U-u?_25 z5fGfNAh3d}?z!PVMCf?@s2%@P3Yio>5cSO9cRcadR2J|H7B>rIZub7cODAsdR!gp` z-}ACd;GjP8Si?9NuRHl^bO4ZkoQ9d`JoeEI_6N}o?Xz$>J- zPhva)f5h>l%YC^&BtN01+&s>zUiB7Z*1Da(H6E@k=|Q=Z*SruTw~(W0RX_3Wc$+tH zJ3U0=8wI`{EntVgp-(lgamCLL4o~d%ho>wl`TO*3+6L!7*q4tIp5rRq@tkfsBR-`O zVz~04$#kGk>HM>mkWCF$po5qo4+uT--bz{Q0^&};=UCkl=*7Qtwdt|gT5N@c7Q^#P z`#@SdlMmst>e?yp^YE|A6rM;bJmME4zEX0)J9zB|j5Ise3rWPo%-87_q@g7@F>kS} z%h#WhMs{H+oaU1SqXP-P6HfoJnZ^;0Cz+LlbwQ0C^YhaYgmn>(Cwl7qtN+f;-_qW3 z;3A*>uBU62e)CS;WM9q!&NA4t4j{H;K{ZNzyP_U!)B6gnuc7Rc)vJ%U8^1#uB0#ml zwcqDcUxJ$u*i;(1g)^`^}Wl{A~ zBD3JuP>U@2+utWYEpls|A5Y%8im-XWfu_rh&DGR5L<4@JyxQU91OLcP`p#D&{k*74 zZCBS4s%7a|TYdx9LBCU$g3knxOrALG($p1LIgvLZr6mmi!zGyyQFbJ;eO_enkJ}Sz zyL_CUeNU5C1YR&-6Ka7~o)MK=vhO*nx+6Pyqa8%QPgRw6zvj>5Qd0_w7WYr6m&4nU zKDZN4oj&%Yev#k#dy>BsvF11TW|fJoUN>)bZG=8G?f)oem=8wy zlR0NZoc-54sc*B}`~OGQsFSWx97B7zDVC%$ysAaXQ(>AB>xw7;z% z!T~IL&89-th@+@~MM2tE9etPgyg^qqGI+hD&%#2(DIs=lNI^eVdxEq={WY3(9^#ie zw2^M&4cZ7RNOs2xYQcyS`LUqNT+DD*^{MH@&!N-&-#bYW;lP(wlZnlGW39*$W?LXOuiHoZ!E@FDP@Q!BgZo>$0~Yh|DO8^d@oVNP(1K6m zZ?4%sYWFZRBx@Xh^q-gk0@*_%6eZu=OX{=W;wf{Vc*hqi6O0ES={^!opW_?!9}bSk zW#vUP$8RePao@t{^ClGWVkh4*^|;n|w+a&P-1S^&+FSDu8gBggg3T4>ub$1L$K0Te z_=+#HO*SYZy&6)!Pe}HigFy5(`+B+tDGZlbntJW5pTW&_qyl)=ZV3kAlpF=8YI?%0 zT-3Pdskc@Rj3^?K$~&alcOReN&`wqOt6d8x+7*^7gtL1T;sUYPnx zMSvMfUu34MhvizYXoZCp;)^ptG`Ka`KUTY3u;PmKMX;#Y{ktQUuvn%9kXLM;XErH@syuLlsG#18~FU(2fW2rxn}YYmPqU2~GYVMv|O55&HR z6G=7|&v963lFJY&s8y_ccqab8vc^t2R3%R$X%CVeqY8XD0^t({)jO^*+pbK*ZivW*TmYPmP`HC*dS z#YW(YKo)M-?%1vW9UUNjf!MqD;!yISe!fjTc9n!!X7vnQuv)KXe@+;1CCQX0vzplT zT<%!LR(Kvjz9^56e#;+zfw#d@ZHqHf*DK&@$#v8FAE4?4p!5f<_t=gPZ#Lemquoh~ z?fwwRw$T1cbGq4%O5BC1THm)#Z)A;@10sGn3oz=5e8d3s#NiV65K@dmJA13~y7aB8 z;D&s;V6@Zv2mDWoDU;Fu3lZz+kOJOURR_1znDq->kX7ki&`@0@Uz@rZ>r4L zX+z8jS3hrxhu(4nc_RP|=uVY9h^3ecSd2ts^V*PC6mNNyIG=`28l+=icDewova;SOh{J@!v#0pZQljmLFZaF7~mTm5|6Tjgd4N z9Yyf0G+vArg9$i`lwuM9#w&D^6MbU-?_Ba!aHoSzj47>zx&KQ*7u6Z@bU+#$;a`b#cf%f%c)tZz6UWjSeAF8^06 z(&~^urLsA1p9h}9Sp3@&FiZE+8h1Z(-cH}7L}kpH2SXQY4qDB^YD)*{fvjnXQ<*hRI(!qQ%J%!h z&ndhyQ~UAmMLyU0#k6kbLBHfFQXN;m$&Q*7HjWmw?cx3~X!maQfn$e%q-H=pe()Yk zZ~3=G@HSv#ZdZ{pYru%x&F-iKfnSM$>==iO3#blz2o#8W`@n*(!7NNVoi}sH zP!DlFZZ)^*MI6(?yF<86W!l+2xfsx&Df!Ud%eQ_=s$|OD*3iD-h}1|#jny5lNhD=m zZ-D>wyCdiP-&ax->pKR1H1C)OwkZ$cjD0r4Yjk>d^ zJ@jTe%XeT7$9NKjKe)clJosZSf7w9Ne&;$YSyWU3kWPPzjpmwMKJU~5wI^ralf{mn z@vxzXS8Wk7tabakgKz^nDZzLs3+d+}se4IEoAd9`3a*8dvqDk}oDul`ER1#5ZM)W7 zSVw)$m-*(6_n4!jV?O<3g4qou+Yr+UW9{7$4@#lsOpCPZ26J~7pP6(`eyh)OQqg>u z;7%K6#&EVvV9BHR0>|`m<^y!O${E#&FS$N7>u}nSwIFm^(G92u!cOonXy-?%t~anZ zdX8wf1i!u#BJztbx#-~+-$HFe+&S8&S%G=UtO-t2-P6{@jMet6 zOS_ySuUBY!h}l+^pH7_2G8r_8c-~*wd-WXP_B|m@<(+IE(c_w(6^B-reqFJ<^H!T^ zEsdm3>D!NotS*yH@*ljh$P&sG{EmYKUO)(sNL-VXbK_?qK)ey*q&3C#`-0=IYKadk zNoMs2)7tnqDp}%cp0`$2Io>Unpa%@`z`099Qx8X<8_$<9w;zb}X6= z7K%$`**gGUhKcCIY~Z#_WK=@GghgHeFxLUno&ZPJ<$HroAf^9V58yM z`A*Q+76~8b`)P;q0q>SL+LJmjPw>mYkSKJ_4S6DK{JgD#6Q;%)+4RAsht+d_5geG| zC>vm&0@sYfr!ef@n%=)7$v$84IC3Q%tIUak0$H}CqWDS!w(JaAZjAK6;lh<{f5SgT zu@-$ENHF(brW+B6HM|hEp_{kD;cH>CeUCj~YR+46D@nuCF>J2TpVj)-dK{J6&ai!< z`@I*LO3hY9K|=gDJpG6mPknw|4*B!sjnrwD53j>a zvI-l$h6EruO(wh?+mKeT{_g(xt;%aHcJkxjx#$T|l*jVJYXi@YGr2treJ{&!1mPZs zoR=Ma&-g0R5V;BQO=e!&`g@>HJ7Y4w{?L=N!ax)NXNx_N4<`s!=-qw~U(hN~nJ>|z z*b4&cz^jb!pghO<>y2_=;>UlQxWEkERfcPcO)<;5)vCgLiAy)+^QTHF*)X3h<5v3@ zE-C#D;QC`lvxRn3ThvD^whv$?7pL%gK$9qpG=B5monRUzxNZ35 zTUU|>u(#JOys6qmFO?W784Y*V@s1~63_4hAiho)AUj`(zM#Sz7Lj_ zJyOhyq?3}i#LJmyn!K{v>SKrtbX5?2DKS)qS0@Tvr}`h}&IkZDoltDVrZOGN7J|4s zgiV!T_<)`R>8Q*c=>LJzMB4$QV^qTVloaRO3J~YMqhep_F5imrc_LI?`e(4DvI1sA zkWXg#9jn}4nZ)F(^P7(Q@a=uGNhd0zZZ7d5Oy!~;nyB|E#YXj zj6*NhEs}p%$1E3gZ-q<*LAICozFb_nzJy>h6lfh-cAH;odP{O+koNPTbz>Ak86Ex^Rq`e^xg2` z7>_dhaJTqR6@YfC96aC$fytHO@tZx`%kBL23VQF%g#PACy>l}-weEjObo0o$Hks!VO{Jz6>Zg;;tj~)o zGV1WtyfQsXY3?IQ<04Iq6dI>ysA>MoGOm|mz8a>LqO9W zwu(n>1APn5uTMB?xjmsxOF~{N(|zbo2rMX@ST#^8N7{2%$FDiTp~$A+)W2E2i))_W zvSw3zthP`6H4_l+TeQR8DNma#H?Cbasn&FQ*fAd zG(ahJMS8S=F|y;eGbp}=4Y71fb~wNCw^z5hJPyUxOw_fRZjtNP_jqJnOVWoqm=8uM zzsQdkn>Uyv%;1sRz%_44XWm8O169XMa%@9~W)t)Y*XK`dgE*Ol0Yp0Emx1=)U2Dag zL_R?b-{KEwuq(+W5cjzM?AZ_e?(Q1yysdGbM?UI$BHOdE)`cXr^{!yL%rA^~bza}P z{;r|KT+=Y~BMsdg_7mtqBEx(!IR!A(z^fkZw4tY6`As&h|N0R`BVXEdk?rr`+=|P- z3E`K2>?`v|fUun*?VwsU$b{jSp~9dxeYVA_lfN6Z zBQ=giMmXy)<_ouPTS(#sa3t?8IiB8z&mmDvRY3nW@)iH zJi$D$+*h9h57WearR&5Nap#ZDmTQ~*5>!;46alq&07YbgL94vK74;5r(qu(b+W;!d zEe@|rYd?NtkC>n7ggt*%gTqr#09L_=A_%Wn109#tH%ZUsY1_0m|IWE#TW0B85=n+d zpVXIsq^LR27rgBl8^W_YJO8+3TTE}Q%TqvJWi?LiaIx}gty>ag_xB!MFW#FZ=Ej@f zEWONfo8+t zng9r&kAuhfmXa(`f;`bXk zb@~jbs0f7|jZXQ*f2}-Lro{Ii0B2wigww7M*xAt1z=@u2qYg%2E0+Pjy+-`*)1pQL zjD`dW9&wl;LWv^xd?4BF{B==xa|sZmDpT&)SMG*Y{X9kV-GPSs-?U-b7 z*;r*o3vhD`_fpWkv_O4w0+iNfZdv+z#kyuwx5u{K?BBW2Zk^la&Nn_Ow5kV%R=p5t zNBEklM}9Ziy&KD63^bx=#nXk=_fZ96`QWMX2X}fDPdJ!7v@g%X*PaZ1vb}TrRDWy^ z%Kjf$G*q_o)@xp;EmL|-Hyl-Snm&*g)`gKTVME^r(h3vc;(QJb%3S2rxWo!0j#^LgLlO~OUCTQ0A6 z+EW+hj8n*=^l#TYk1rulDb8-_-fb4L_G{jF6W4 z4`6xTXp9?EA5naS`g-jtST;NlfWw^_%+I^FF+m(KW3{5TiirJ?U&0BuS)y z7$!DKG#aeh#~nIL3 z8JgE@o4Img`Rmaw@+Y=qoo7WlUh*6~FSET5q%7?Gh|hgwW7zta!*11&5O#1!#>X8H z&D2I%{Z{pXz?13`MiiNiX#nwg@;L1=k8f}PQ`Egt8Jifx)}wXn$B5t2ZXTBpetpD@ zEHiZ=HJ2Qbp&h@sb)u-(AKKgA|FH*;S1(0bWRx#t>eMoriWdxeb5LvBqm0u0KrK7+ z9-&VeLx$99zc00DgoS?`ZW77;F1HPLSgIM9>xq>nb{KKnls3Oj4&xx#>eLwbJBvdG z+;Aa{Q5^C6lwL^X#Ly7EJna%bYpqRLC$nivKq?`Xt**SOXzlq=m13+l;1^|Tw_yOf zrnTqwsl9&gC>kxP&O=WUFk+%cxJo~4lweVQ{59TtP$B<1-pdQHOr3v!&kS~TGpkVO zz7vSjd9rcE-|Dw_m&nvBnZ0o!vAE8IQe_;C)a_hmp*MVGqG4ryT>xlN_C3<<>hsKN zI9K4nf9w+LhR=p$nO1VG78W3Ld@0dyo*vMD+Ae;VUnzFAcb)ZOv8#oxSER@nl)NEa zb$nyZ>w>xTb)k7&Jf*v*4$yaQ4l4Et zR8zz)>hhD^qKlX8W;yX8PHo@#muS@^Mf?}+?q zrMq$IuHKsv`ocOZi#r-|C!{$jiryt$L3+&T@|i_G`{8nJRktUO!!HD~-9(cA^BJEQ z;Qdig$gy3iQUe$5MCqluIq&wo(Ce5Q>4)kY{F$9Krs=ZH2N$Jy_U$d{2HsO+h5=0P z@4~{QfSy!kk&;LY6}%XC-HC1gaywG!N}p*S$aCb4)q0HB*!jnX7%6axbY63u;YW_N zc^LAS?&B`JNcYBZ)d1Z-AGR9*K8Wv&&*g=yt$K&1=IF5;fd70fV|$ulD6SKpP))sb zHc9^i?tMPv-sETg5bSr9L(@+PtWQ-=3g zm8=nc-bu5Kx9q&Ftsn~yBD2J*abH=)KXh&YJpDC7%OoiLJIBgmFheh_=r0;dcVimr zl4?`&I<$ni@K=fX!G^bTPXt0zUVVe^P> z?i>HX(lS*q#4YuA&JtBw0Ia4qC-*?4AX*Sl2wgbMEyMf%5N!-8N^xx2XxTN#ycmW( zt+ExPV4wGyEl&+fu8%!4l)?Ohc4A=V`OCTSDnt8LJ(_K^j~Ao)num8%`KcA6{~XxJ@vAgZs%y>0WT z0M-w<;qq-h)j?8RP9e4Oy-Dml9?fXcm5BVZ*SwR?nuA=YldRU0D})O+oxB1g(pY}? zH`Mq6>{`hv| zOL>y;kw$oehVZ*Dfqs5^lRqWQK~S>6lW#(6i;#y~%(e`b3?_M@b^{zO*57=))eapF zf9*n@6*KS{DI1^h>2?TpxeyWVE?HonB+`MxlS98SGrw~H7fZ5M9U#Hh^i+r4LnU&< z$p+PGGxoqWWlCh5z*xY1TB~o^F;$W^k0=UnU)6u8Bv(&e)F?jAY|F5U66#NLjjP1x z@#RM5%kMY2*I8fa0jp7%OW2$h|AP4t-X>@1;a1$(L-f~NsI;H2gcX8|6pDIyk^($!9QAU)nGZ=T7Ed8sh_+Dg+N#-)=#%PYN&2tci(<8XN9yljn1}s zBTaRZuy1G4@ccD3)ZGn<@Hd~lhF^-?$Z+An*bmRKpd+zs(vQSR2I63PgyQmiefsYi z=4-`6np>jE=>m&I%6g+W$2oi5Cmhy2h;4yLlRBp|IoBmf?XWiCwo5@B69t9(%H{3< z9$0Fo_*WmRaaO%0oe$E6%*}`27^N1ZvAxVGgAm8nRe;lFe9m@L3qhf$R_o}tyepOf zZ*OVrx`Sx{pUBGmaj$o$4Rh)YR+D$JH#!LB3#O@cDp8;mzqQvQ8%-^Y7GNuuyk! z)M{so-`+K_C=O8D?f9Np(1fKHdiB@VTd@?17TOkYSvKE8zct5%@Xg7`Y|6)X;-B<@ z`Go#=u?lzc4ekwp?Wdc?BjjF6abZO8XJ!71PcvnjS)RIydcBUD_szFFSWJap_)G3y1Ee6NX+?)JNYGbu>*67@>x+* zyxvInk&smcEMHvoZ%Z#P)pp=y<#72-KYbDzt;l#=`B%}_yYEw9$5AXBKzAZdB0=)C zQeN%808ty!hE0+PJOi2m97|V&i5;ZaJVqJecj+K*$%rxqBd%+lj`S|hHv4?YSBcC~ z1*5y1zN7yGv&X;=3nSus>=#UG&2CGaDgh2L2jABgx1F>9nntWnCc z%sXaq&4+oM;bG#6V#BW^ty7WtMXFw`;sa`&#-$-jGDbKjmz7*_QNj^f-mi3hDlIqh zg0~qBiKWBAVQgEs(YNxNdKe3$g~z5o4c`oll%5Gmm3+>(A$*JGp0`mt>)c!0R3^0e znq!DKlHqd{X{MN2RituV|GZi20zlF+Tix?fUXqzszSy962&(r#{iOg6whe_f$`)UF z#J_XOwky9FwWIYv^z-AzLI*V*k9lViC}%N75QF!KjNfbEf#zB=Yl5NFQ`b$ibQG68gi_nffg?D;>jI9$emHuWc zVEb0ZA?#1g*TU48*3^RsJp1kmT7kR_3$+?Cyl5hNrRE^KinduKkYM=0&xpjP!>qRVQ`2jX{E4$IUmC4^0C)#IB z!maaQJviKFn}(#v0OK>yqm74Weq;CzueAiGH+;6TVnm@aYLU_(fs12{Q|a^pAuueu z!q@{RfKtRY+doykDwkd$x*;@BF8egJ5?D#X=k+9W&{VCQLS3BhOsqen)$!t#&0<%b zf6%6Ju45cb>pp$OUQE8PmO^#dKwZH7ROl&N4Ozi#nYCCx@*7G$G9YjiV~?CXZ>w4X zQ|JP{DgWQfqV=b)YWerw`NANz@=L6<*21Dy`&|-VEORgPaT0DeHMKX8 zpl@k(L{mHMUdIBfiG=_u{*mQJu~+NypLqTX9IhPmr?w@q*8kXradgu?-K&|R&tq8? zvBS^M^b_bTjxBDLpZj`#UeolXLM7={s6t_4x^b^d=W%=M!c^PJ!tj8{=C8FT`tmJS z#2rr43l~G+SKkbMzYQ9HYSVm zlhCW26{g!n(xMP3IkaLk5?@1TF5Z^j9-jlJek>Bi7coWCB%X9Y6>OKpi1RUviPRtW zZWd2i<-Yq)!$fsyDmPe?6kd`-nP#3_uBKO>7^C$j(?Z8-cLULOVsds}aoRyrNuA19 ztLACfYRoL)%CXqi49Ok4Mwr~3At&sDS&V4%mU1VbXNQvZTc3Dl@e4i6S3507j#K>| z!Cipx(JTVTm&<N6&uHPECd=InukI8W+l@-z zZyifW*q3;n(|BWz@T@xMs&>w4+q*0k|YTG178A$3nkKC7ZIns`J zjv{NoHH@CV%=&eHY+gpzzFO@1(&j;-)N!YH-@zGs5xF<*L}IbF>3}rUZ?daXBVeOv zJvl#nyY$K}mV=oQ-dN|4=P=tB4;4Z(g!|1F8ay}~84>^|%FuP*2g@~>W6CG_BR)tl z@7Ljx5Xn#47khOpChrAOX6~XPvcf5B@;p(g1Bwu#9Yx_gyn|}UciA;3MeDw>P+S2x zMl4y$_zZEhv8%XJP{UcFt6^cFxn`K~op~8mk=ln~)8#3AokueK27!_v=z62^5_A~Eq zDib)8SMGXm{4bqGhL~9lZ&y(^EldS+#g|}RWj23_rd3S~eU$aIUZ=9c{ zK$?&XKXjQM1#_LBOM2HaC}rrVdQl2mgC6sG>~FCBNq&%sB1SuvVV@M)%VQ>)JX9oD z7i!?b2h(?SGUl}M>vFo4)gdzQ5%f3_SbV4Xty&_h=H5iZ+R|lhrp5rXfJ0Tr@hnxe zdGYdOlbflRJ(@n}T@4lw#}cV4cS{#gLqorIS2~#om3(7MtVZJ>b`O(DL!;h;8^!L} zLrK@JnFCu6%qS((01_enA?NMgs0dYxT50Lpzg9DERw~X052YK3 z_3f+nb5)pT=QGk;&|)6!2Y*`O8el-N_~EbP*dT?KJrZ*U2I7`NwrCR$q`}z z6e+n}HJEEsXI^(%$3Lo}eW*LmcVw!m~)cLny|Fu9Yvs!fr{b+ z0Y1UvIzTZ!{=fHf#&cHTRFlB_3o0s^w42H4qbFPkVl+t@V009PqU)Oy0HgHbH5~|Y z_?o%oK&R$^dCcN5VxyHyrt8>bM5yP+w(jHGrxJI+lVoK<%%Q!S9~a!XXE5WtgV`9a zfK~o(1CgYw_=*f{mo)CLhmwSrBR#!S3?JOlG?8#o3|W(%HKC}H%3UXOZvL^n1cB^7(;bz|!PL(x%1|Hq7zw$k?M zH~;1Z=TC`lQ#=pgx0`VX_>;;_Su_}c>iXFxt&! z&QkSwL(5?ux;Nae*Zr-Z7)BGh$Ub=_j**9 zL@x6B0{ad3!kWStjb(3qys_R6MjY<7+A(~OtP-R35y|$v0uC?ntQP-|r|$q~>wVwv zK&@14k`kNN-dd|-@2a*+?bubLReKZ>Gxnaf_0txit+a@}soA1tjEYtXQVl}?r{CXo z{gLG4gzIw7dEe(e?{nYJeZx7^eT3O6hfDz2iz$1(6ANwbB5bH%Yrs!PDomk& zw=MjzI13RiXORGk>NEvn$yo-G{;yoKEq25JzhFv^lKM{XIMx-V9eq#I{Bv~6ZKLp8 zXCQbK=3=!9oG4Ti!htiP5kr{;U7K#=D0Rpb;LH$&;{6TJ$;N;_oxp*PP~Gg*^_qzI z;bpyg3t))0N#D6{WY5+nEv);0Vl)ih*_Cok5s=DoLGuhLMG`%@923cKP0P>t5@VG1 zEl5w)%Q15Bu&dwaBue(3c|V7YH=XYiGr@gwBhuqn8k;ED7WPK}JZ%pwT-old`?<|$ z$SI8ZRcl-qGZMUcLyIcYP$*M@UnoO71>pZt;sf)fXutH&JFk`#I`3? zc4g8IZ+SnAGnsIoJJgL;P%54w@{R6i5R2F`LZTD`u4zE8fnYNhIC-sPE`2L4Y*4VYd3l(YUvxN#}FbXXod zaenJ-x3d*^pH=+<9ZXlaGabM*#C8nKOZ>+YwI=evj!U1!&UlWIESnytAktg( z-wUiAbp{SSA|fFn;19hbDzihLDhl*p7(wV;_s6Y*F{BtiE zrF5VZ?P$BTWn80hw|%V@f3tm~aCAcY37@6!hm+8n@5WGx#ye#71E+Day+pdN&x zoxplfzC&1D#fWUyu7@hcTKrFaN%M^Z-TwJ6!*s#($vM9{Tv_f4PImt0=_Nnj9(T6E zPXQdd->kjA*W;uZZA2Tpio%)7iy10u&vlSPS}}NbAd!vcq}*RMsY>1~$*IVWH;bfB z6JK*?nuCjz*#>q!aqYd6O_!SmD|`ntGs4BT%VIthscS_|XsAY41i*QE=0hckPkB#* z7<3JSu1yyD;Q+p)0`A)M8T%r=>U7ACx7EyG#R}=mzE*JA#g=`Yt*-JDI5Rk0NaNB9 zs2hH=8=)|&FiN&P*Gbb`t(IfFW6C&SG*@oXZ2>g4(Dm2_82P=){~g!umYV(367Pj8 zQi6?zX5s6BS)u_vf#HMS~mAF=qa^Q5qTEOITt(*Nn~?}xun ze523qPixTil52Z>$iKNi9R&Tpu25Ikt?EfL$JDCLv#KD zfR{F5);>;3PE6w+7CO?pqI<3Qd%?niR= z0)YXLE!vlr3opYoWYlJcr)yCqQWvxTVF_XT!=B~VFpd(d6#Uk;2V1tnj5o@M zK1VP5$H?Mu1z}`3$aDI>&5>nJ&tB={;$sqd$i~UHWN@Yt+M9}rX9Q)k5BZ*l518N1{0L@tY~lC*r?}A%l<^O z5Fx4_yW*nvQumF(8v`6@khyXiq`G)-m9G{AiATjlZrroJ^ zPEz1x@tZpy{Pe;XuWODJI$@lXrTB!m<2Zjq1Yx2BRNjUm5cLGyyVbT)*|{+e3%Czk z1xNb)nZ8j+mHM=^FF*ZU;CD(ml=+ZW#E3b)L{UMCY)};%{o^5=IEap#3pzvVYJ90=&iQZf?7Y%cFT; zXZmSPzc*Q=Nt)L`e+q>u=eiOb)iN@&65xJ?)Hnl&=MmKXpHoQN0Uk!ORMpigNx zxgl|;kWEzS@ORmK)H7egHw*uL;hBuGjjp_A?b*9?UqCA0W8Lcs-kc^P%+y?CdwM|t zy^q9wJADUP>9s$Biz)Ihcr!;d@Rfc!HnBhbO)uJS4*v6Q7~lTTRc0LxVZQx-+W1s{ zwG?f>-UJ2Vo}W8XO36NH5m4U6N{6c5{`qg$UkL5q*PXpEi>wo3;9!vxl0mW-Lh;;8 zMtJ{w{~`!*81#1&fg{oxgH42+ldn#b6?*_}5#YL9I2SHEXP3qq$qjlI$hiz<2~=^V z-!+sMp7W&q?7Gt3%a`Sz{$l42*%KPJn&FiAzI@)56s9>1pm(*vur!}O&jQ?jsl2-V zh`t|~I$P)YL&#f3$t3F$rAP=%>$bT5p4q@LaIL;#z4yA*@}bamjDELjij=gNY(fXL zv8BKJw^TqRn$nV&ibk6FwPZ3r4fVe&+rU0-<@eCS(=8Ot&vgQ>=P~@=}Z*c5k-7PS-?Yn*j=x zH1!Y09Y^Ey4mX6^caD@o;hoL7mITMRulZ|F=V=v3`4SLuBXii|K)fu5sR4*)LFA&7 zz2xrsgMkZLV-_8{N`uHIWpG%R)mwZ>^PgD>~9O%bRlZ}zbtiwCUL^!RTYL|s~e z(%j7}w4mt>dT7K1qClUZNriQU;ly??)$cu#A7z_xp3$>^MN_{w8ND2692&G5G#9 z;|YH$*PCUzK)iA(V5%QlaJlIo}a?MiF*5QJ@bJJ~pc2X_S#F3VIdHhI&96F#Kk91Pu* zr7(p1@Q!k=9V8l1@@XS)3U&zv|BOB0&phHYz~9e^i(NwwZu*Hk-_yOPjcA-p{we6c zzgQ-yggAG{)&#fa|LM=HP6=` z;$MZh#adVN9Yz0XX?apeuPxfR@M{3U-F-+dcrx7y_S zWPbb;punwK&Dlr{6BpikPq}bvn#>ZNsGAFPG=B`beB+OPQ+S|6VFfR|$Z~gYJ1RX~ z4omZx^GR=wTS~A9bSIyyhz9!V;{__LBmlpX?w8Zm8W*4PKOaue#;)A@4t|`ikuwtB z4WfvnW8$YBKQWHt9<@Q@CZzOVm`tI5fl{pJREw2q%l(jj{b`dCuB4h##5vD+MdO_V zk>MM!R%rA%7`JVhjt}y_|N5ObBumt+T=*Vd?K|~Qo8fC5#*lAV!l3LThC)_Ps99R1 zKwSMQTID%?jVq^Vz4c4ax##Y^v+p|w7O+npG93Kp7GQrbpaFs?A=Zpw%ahkv+hp4; z5t#6GY=;=WV=(d(jJp)f$%K3fuzh1xiG6+eXE)o-V;ix{n$d~a(eEodq6}(izyCft zc2y+`)=0~)GmG5bM1Ma}woXATj8hMsQvc4IEW3Q}dSi781eKy1@HYhy#0m*$y(Mq= zYGy2K%mQ3EuTwSlz;yP(GL8dmZx;o1hPR|31p+gzhDF86NFrrtF_!gT0u4^700ZSCKz{yz z@BaVOvn#VIUu6Z)@8tUQSH0gbsI*ARNHf`ogwrX%*>At>`tIB~y7jj*AoVKTFtE=g zY97QY+JnnszXS`;bNq;Q04324+*$Ay2v7>ccN97>Mdm~U|2WNBLib#AuJV*E5OImc zKQvTXW9EaMMHwG$CYMuq;&6(Hm2T~Q@R1anE!-v6cD1;SPPuYe81#sXOjFB%K*Tkz zTe_Zj{?21v`8>)BDv{S6{A?S&lsc#zKNQ;CFtk9iOP#!0?s6z4?+Zk{Ow;3%pF2f ztqjCW!DDb=-}Oj#oa*N@%M=BVU8y9;w!7%{a*0G3-5nctelf>tb_H1oR?)w_aZ)Ph z*okgJ+B-@}sWQwXf>^ASsG1lg#-FBbi6W$FZLgC2Ldk&DLFIIxJv}j$>7O>*%dB#6 zixc@uNZYb!=$?*AD(}_J+y_=0Bg~V@)&3yIFQX9 z)e6=_E)IYKC3(mqN9va!md*|qG#B-H>7OTAC6d4jHnFMR=k*DlVQzaIOcUB5H`)BXY z3TaruH)WTJTF9Xk5T_ z$j{kHm#E~MSoleJbg-|X(+`BWXeoO#@tT!QtdnV%CZf8_*i&9Z8)%n^E_T*!$WLtd`FbG0OIC^dgEQaUsc-rPhV#G{)gD8g*aa*V5U-Znzpe ztfWez3vwHxlMH5gnmwZa4w2#?d(Ck`MfS5wS1b((6w4rDUevNMu%nr(+J%Dh<*(dT zfP`y%p5yoMUo z-wXLyaE4c!gz4PqU1OQTRM?2b0m+mD!29rhic9sbvNc&aX|$uO73s`CI8W{)Ip~rs zPc$n})=z%m;<(D(9Pf1(=IX6n@!x~#o)t_k{6G-2XCC0FJBz7`1IxzW1DNKAsPd3- z-bS4~=lj;)($NRFU=3Oa7MOJ5u*U0QQ4lesf>OlfyBf-0(l@E{s~1o5b{rN-9YqjK zE8=v3*k`S6@aTlMKeX6f8T3oN&3EufiplYOZn{Rl<7(Z57pvR4pX_^zHpLgk|(A+=4#QXm(Q>GAQ$%?GR@A@2Ojz* zd^qJD4_BO~4T7o_vc_0S93n0rVGS4g3wP2WWvi( zl=!Z~SHg5gEz07j*y0U{N$|5IkBTskcLM{_)m1D*xl?+2x&6O#B`Z68aw_d}6LZU7 zO}x)T#>a)RE&cAh7T066quy}!$FZl7suUP|mH;5Kw2rf@ZPm7HE|AuoyAC>D>M87Z z2__!h3(&-eX*61DHtmD=6_fV@PaycOnltg=+Qz2o6u@zV+^?^s~_GkGE>E05+r^-)1zC`{20(Pae0Nd!@Sd$+s}hyq<^pCoH=o zYLAi4U)~u9OxHHa>rPhw2wYbR;SU$np0(owjIM073CMxocRnsSbliua&0Xn4lDCg2 z50u}j0vuCuDszvEyx(RZ%jErPaq{=8kMqQ7k#>e^LG38K^j&5Bb3Hf+WpOyX!oZV2 zi94%(CSX2)rAGAm_QZ6Jid!G10vUcODpK}3#WldFniDZ43z6W51i2`ow)RrLGYvUR zFqNk~43&nM9!r0uJ7Clmjv0O=nqO4a^=*^-W5K$!bOwK3{PW{!ETZBBDzF6USXDec zI`w?#kO>&)3A0&P17L<#)?!%lf#zyPxs-JafrJ$fd{hrBzdm-dk@XRz+(XhnP{bc^R#qY#NYM?0vE>lJK7|cE*+D!|cs$~BAJBB;U42UXJE4uivzp;Mi_8Ms z>`nxOUM0b;Al&a~f!0<083l06hEH|*Q0brcx}5q4>-cA~^qP_c+3`*3I@Q#R#zuiG z0BH4ANmN5O`jh2*Bv)xBxIlI8!v|fO1uKtz*qj*#@SfDaVLdk`z|8pg7`M{Re^z%L zBjvy#lOkZ7(zxab6g^!*O+fMBy7A5%pqOCQCoWd-@)3bQ-2A`1>Z0v-Ht!CI>Odp9 z;<@n+{m_-0gi8L_Q6vskR}^596D>F^4Lr0E^MjTOdopb+b zp?%+G(bqJCV0Y*HU!QQGNZL`pS}U2HeJgKiHBcY52_;~__*q_Q^Oil(#NF9UBEa#) zmI?U6mx+%TKb+Xv`tWzSDl0jZ(e%t-hB3sf#`Sd&nW{ml%6OAfl3_d91W**3DGxx6d1? z|0VyCN5pk+fjkt>n+m|Nh~Ml#|7v#2)+-$Yjuf>PbZU2O5=sSJrh1a2Z}v#{wykD7 zHg(;d$eUEo9ih-x4+5;;-#JaBlOJCzEZ$b2g?Dx(a{k;6j0_hsGNX~v;ilrwAh4SJy$ov$rP@N7rtNLS*I%YB4VCNjr1qIiX<@DSU@V9KCd`I~pvi z$w`7iG;a=izbR>@KPsA!F2;ee!eA>Q?l$(7%P99AwkHJ{VHuJQclZInC=cxgq|m*5 z@y)KPdXdp8$EoarNK64F&P#%&I23@mb6;f?uS&KsWc;i5*JI8=lFkK*6 zeATo4z#)}wKX9{nck0S!+gMvp7Lf3ea>fEv7K%K1>lM}Wf685+l44^u}+ zil(9cN{*!GIp}P~yWsws_q0Svuk5+)xnU@cXCd|NbS;up$Ul;%w667=v_B3UEAaVh zJIs^yB#9Y8K|PbC44^DE&iJo3m7yu@dnI&N@n$qb^jX5{2P-2#%SEAc%a)aCp^r?0 z5A{C`JsrigbI#rI(m+yjF;Dr!eet#yXFhB4h|X{i>@=%3Z+!Ci$_!Tk#RZf&A5Z5W zD*8$Fn+<$<$ghb})_3om1rj{wZZ&2=(u5YrK-8=@&8j+6%_PE~0n#JuRBE)f;4!{8 zOcnw9FMMV6`Gb}9C*D2&niUGICWfMFr39cf9~9`qx>Zz|k}%rBWo=JeID%Qb?B#{~ukyk}yN= zLt$x#ohJhe1yg;bEwEQam4X>eI{E|eNYBUXy|(*Q5B>-gbhKg+-ks~uZYO=xvsO4K zWh{Jsbt`cVLgt-kFXSq+8@!W+L>+Y7Y3r#N5f-_{b*PeVXsq=kZY3bUIeXp!rnFVLDH)%N#VJm#tb(NXsz*6Q=9&6!cK+C6BJy zYnO>=SL@>#41r&cY z>|<}h2|%&vYYbCMXCEm~`_zpbyQSlEq!xb7IrV#R;3WTt{nu&p!Dh0Nd?RwHv}d<; z>(y~UBz>8o2|T}udrp9_{D^W^E_~YWsx?VU7L$lx7)$0J)Y&Cp^F6q%@IS>t>1gEvG#5CUZ9pSg(QE@T^)mPS8QJA&WDs33l|b5F!>9#s0A9s_kH#)x$^1o@)kaS!vtz1f3PKddkt4=Dfd}+%M+dR1m)}qqUv1p?(Iv750sK@R` zrj;(MSGK96&CWPngV5U#-xk@SB$K*5L?Inla~hJWYuY#R*rMrrJY{a;{%HT##@1jG zNXHw|e0I66Si?A9da!74$sJh$dXOw3tT*N|C?Gwt(XbBjcrd27a#@D&h|R%*WaglKY(?7+m0}-} zjz&K)$&A(B#iQFqMN7NCY1kg&=wWI-Cgwt~VitPxu4K_1^tG`*f`X2(dD5Y)5?=9N za{N3hDWKElM|t|Pl)?~uI7I#-pufv|?T9ANCm3JOUnUZs+S05MJf8JlvsuHWY`#=} zV<<~K&g58r6A&meDOeW?9?!hlA3XHG4@CF4fd~ye0I9~U;sW`f#s7jeP<<=FThw$k ztNjmEkPim-xZeb}OXbAJ+(NIvO$>4)DP>AYF9a7jcb>5Ja;yg76CC;lJ3v?msJ4RS zYt z;U~?d-RmvW$nAGbP6a8u-r>D6t#@J>^Vyg3Xg?`75d|8iIcQCL^a&@NJ}H|Z2=SPo zLW7)K?W2aC(hZshYvYIeden+NC%#J1EQ689zq=qK^nnKkCAY`5Sw8N^U5uL-P@(~U8N?ZD}e>{bdhm+ZzshPjAZ z{sCf$GmF=t?cc^({X4#1QO*BtmaVWtHF1eMrQ>_ZChsVh@BU1CX*=h~=i@uO=Hc?EWKEoA zlfPPSqjH7xa=U3NCA}lMOf)jxOp@?8+f0ma4t1a%H_bbWuNziNN^tBqaohr%G|+*o z3s~X63Tz~ig^DUoZ~P_JPDydF*h>k}h5fbPQK|ADTX>7Fj{zz8X%GQZDFyV5n-K$+ zpFlPBCqc}wNf8VccrrJZon|mxRv4dTc|~tW(c_3qnY|*C=DWnAmqhOe=eMZ-YnE5$ z=FG)3iX|JyIY0-64gxdl&gJj$KC6<GExL_cy;wD`%`Q zBKOTL)!pk%VLJ02P2@*}_UEKpqI=rjnvsRJbJ7j5ii#xQ*_7?xJr5^oYF%BpM;%TN zxD>J`AuB;v0mwac*1Z^ zU@jx=BcquDG(34bkX+V#lE>I?9~-o-M;yqqN5%0%MZMf_Pn^b>W;0O} zv9^vG53GaX-^-kPKT}khtdCXwvaU<)!z4v)!L{_^wE6N+k_+nod+s;SiBJfqIzif9khE!F6dS*vBV22VVJ znrNDk(gA=Y;LlN&KYfyqt7@Gh^!B7*w@ilDYJ^3_@Xp@uNHvpetI^0-?C%?iRFUP| zK3DOUf2Mr>4{w!lxB$;U<)^PGnYlSAUI-zE2zPcyb{612xo9N2iFRk~1eK%pRs>G$ zu)eSSHDb8Pb|SH7#cJp^Kii|eqJFK^6n6eOudmEl&(%)vV1mAnr>3t&KW=9itrdTw z6r$a^o#--=J{xbor%%0OYaV5qDIoO5dQVYv?3@)3jLLGt$dLybJ5Mh#tbz8X!Vyje2M2f9`odDQL$m(QKn z10ci3KAPQQ^h_}{yP=mq4hQu>;?cO+f~ zMGDbY-LDbEM~Z5GnIm&f<=|7W6Uh1Z!p&DV0wQQ-!fa(7+k?nM+8OUk zl@V6tKHrP{_i0i^nSw{YKfgut*>J!uzGrft$CA_BFFP%IK9D@g#kF`*QE}dEzJWt}{HN*-kY7gKtU=B1SG`pQh#XFY?WYcP1z~bq@05iIUEpW2*Eniq>mu-=Metgvx|4 zTyJd9C27OmmDxYhFKct(!J?pRdMaus`Z@M232)!K;bM z$xlDYr^J&}O0wXwm8o)NBd!uQ(fA~k=>yq-VFuCPQP`p7Z6=)?A1z0U!~c(Cd*^!O z1E%`dT`=Prq>>{AaL%+K<9!m5P-D zEM>^%G~`){>CActiHZ?UNA0~$xd)Mql8ooD^kim zA|}sRza5`O@SXuEDRLnedm;?^(E&eW;}2&fsh+bnp)mZ0_e58}l&0htc#&%W2Jf1U zHM)5JlKiC?OC0_DOuF*aU14bpF(VZoj|Ems9095uo=SQYbI_3ZT(d4oqfU5M$^6ol zYLh(z@{GnSaNW&k`$-quG4A{(<~T+GSTZKvy-TAXR$i z5tJ-#O7PtJZH9kohgkR~1=j|sAmqFv^`$v~1 zZ#md6PDT)rpW84^uFk84>rI4FhW|7>ee4H6b>-_jP7lLJjWP4j?KwX0lrx)k|4QAY z57>z+@b1hOoer*o63D_K{f8Mo~Y9@TA_Y(RxpMz`KA5w=H-w)sB42 z#HuA+F0YoZdqc%dhn3;)g}AxS_jBIzDkcAP`Trd*z;zY{EbJ4s(z}>x0S=N3A~$vL znCqe2In@>&aUppk{|QdKgqva7opS)_OvyqHBUUW!9w+W?R55=oqu&HPNVcLb!Spb% z)e2XD#+(^5KqCimsCV>(``@yHK1;m@!+E;?L+b7k35?1jU}`Ya)VrB5@9aDR&7+s&u;408L0rAoUWoVo`7Zo1 zaBH=0{4?|CEBf=^Mu2|CsD6fr5rn@AS6ZOCB^By@E|PiJ7@7w^U`@K z{*{KwBbMBK64(2`PQ2 zSD!qV=`7}HQ7;eCZ&U~>-J+=X0KXmA+JQI6*u>Rl4->6opZNb9)U0vf*wqQl7xP9< z_PPQYF}Q1wxc_!U`a9GogQsOKa%tK1JZYCkqli$BJkS+d%Axfl7n*cK=~{w1&qchh zSl{Cu@imVdp?JgfWa){XxNj9XCU=^dbssVtw%O+2kOe>0PlbT*`Sriwa9&~5_kCMi zO_HOX&|5OP_Z$NBrzAeFm)hHKxStROr`TvK{2BHyqJGs)7fa(IrP}XluNQ{NwB94h z;RuC&VaWZ`1c=mD+n@A#)07HG{za0fIlT_#;naM-0D8mb(I=ZIEs8Kv#JbG;*O?L} zG2caz|B;h)3*RGc^B)%e&)n$l94}sz5P;o?=W3MIJ*QfvwT+7HN+ufEj3-D0vZxxx zB&n$Hv!_(>MAG_Z$`%5?TFx~(9Dz{_q^dWV)hbmT)hbSF9$Pr{@?g3JJA!Ii&^b^@ z>F))gq%ztU1%LD^s;d@8hNya!wnp`M*Ufr30n6Vmq#mog>+E7EbYRXy)|JkR;SO9| zxvZQ;xAL@wO}(G9Mdy-92U#qHiYTJ|+1p2kyepgV(uX7(E2umC46UrZei5}Z+5Ifu z#jF2eUnlB&DK~!yqsfGGG|otr+Tr4xME!xAU$~;H-DoNX;~yTSS1HmyEI8r{74uz< zFBn!zERoPaIWpR8>JV}x86?dLA7>(ES zCo~I$T~-?1mJ|=`2vGMb#15HgwF2*#UG;)(;59ajcKOidrk1Sld+vRz^OCbPQr3>= z`p^!t$^TJk>nOw}C1`|dzV&K-ZaxyzAJXR1CP!NS@9zFnjmI&*f|2w}M|azDasr1R zig_Q6zD+skZ#jyYf*I{bqz<26&$HNx3%2CAW25s}0(Tm?F5Gc07HhlXv*kpg=VXYV z@;L@P047L^;To#F%DinJ+pXXd;|3Rf8WVJX@nyp)lm7HEkKNud(CYad3Vc|~f{|dc zr)8mwh0_WQ=N{2;|Lo?9w^U5+rE9W1zQwsd-j}YTS+1ByP1IJ3uoO0&)AscOcwXjmem# z)9+SKJ~t_OkdR_im3VdX{BwMFWChD?mLS1_ieTFw(58_$@*^*;3-nX#arRo#@&#L1jAjg#g5VXU_ zxd|+Zo%RY!jM!Iw#3EtD%4|N7THxm4Cx6oiV$)xZB;P#ZfaoD;&oXlaWO{ok!VTw$Sy(6M%BMU1z1-HF6n z?)@deOrZ!Di!j%o_>xuvllFyZR28#yp(`1Enk_r-W$7Ud6&|q1DA5?;CQ~vaLlyda zEd?QT4B;m4B^NO}dg6r6C+B1dSmy-pjRpJ$whdc3A1?hLB_(U{3yJ?QRHs&-N)dt& z-eWySjsS7lpGNHJBVLndG=o(=S{+DaYx%}gbKSwk8t99Z(zw?#&4)9bk;%rBaDVhN zYpk0r|LM9`!w(PW;6U$Id|fS9RfFjztw}toTeF)?oPJZt)Lw+~b~>p~#Zc5$CAYBRCYwQ}y%9)5QMDND*qJ zGH-EDx@`*KZ; z6}s`2y-;vJx2?IaZPbiXqET>GMh0i`n5%Q>JDiv;VlhOAtj6EdE2|}5szVEFAAiqR z$M_Bwgcru=#Vj-AtB3pE)^7s4cRkj35sl-lI&@y>Jl+KSbXboA>1=l6-W;kI2~vvE za#EB66$qOcq7VO3{4@XUfio4^MR_L|yU#uTKgKVAQ$A-nZtsE0o(G;fMycqkwRhr~2;qgs^RkX3>QmvBq#@vV6D(qJw2}fQ! zC@o)tNmdqLn+E#Qt`tiGhb$i@{E*{BjX6XZ>NoHAZNIl9Bg3L8+q(0Uc7XG|H=R=u zyJ5Y$_0m4L&MEm)b^YH9yaP*}XBlVyi+cd;d6p^XKpOosXINfiq~sb?J^IYlq4I0) zTz9VHghtQY>sJ1y?8cU|8gTnco>W0u25 zN>TG$5V^U7HJ@+B2YOj3iNWCKRR~zi{kQs$+%~GgLmSwF20CW5F8Tnpnq>1LQ6VEg zbR3O&T}rcYSPAVZx{Saj4(o>-9Fg0Vh;i?`|6Z_w%{(#_0WEsGjX!eeS}4}k+P~7p zCYfO60!KK_qkk%FR)lTdAS1dKnmcak(qtru3%z3MRXE~30e8*&gY?gB5y)2<3zaBS zh)&ogMW*xJI1H9+;4=>Wc>A*)Ae#dS=a{*he1Bfcozhz5SBwvny>)t-sf6g85CZxt zI=2tv6ts5+xI?o_xw{bS}hI}|dRC~_?nR&Gx(gKW}G z;>u|KH!!xeH~osOpb@SI{7lJH==@1PWhIJ~=CRG= z#;i6QhzSKG+?#^_)Lsu-N<*oyS67=|7801V0S{~K@bCY<@P?^h@X`8=Yi8&4pb-&k zm@+*)QCi@h5X>@}_#w|Jgu!a!OO{Y8F3b<9wO(SU!9eZOhIO!DN_3WZhN&xvJPhWF zusJa=Jf55AezRw})c0%csC4~6{dFn8Cnl~Rkq|rTZ5qok_bVG=DiH~Pcy~4Z>OS4$ z(i))axv18!Sp4)v^^#w%Da{@o3(c|CO>JV1UoRfVai#kt3}=#UO!ZWW_`yPscy|u} z1E;FSNyGV!yVI~c#gGo}$<8K40~QRLQsv0%kP(46cBifUp|_WQLceZ#wiQ*( z>9oJLW5g}MnJ}t~PVr7%6?aNv60=*Wh+8TvW9^1*Z;xz+*V8CIyMu{g&4}8{PF)WS z542Fw2@#vpB#ld z)e&2+pOH>F!#g7TQJ91o$w6h81wwcrp6M2k11+u|BY69(dPlIhi*l}`FEwvUd`M5t zD+(LUVN6ce_ul;Pt6|=xv!X3$!<6tgNc;fssT8Q+ zj5Hb+Kk$SZ`4`f`I5?SBw?v2bG z!&S$~ykl5zVB$`xQ%n}`Erjxu7MR>4g|DB^-#Hi@zeqf| zeLX9Y_1$)KsUTU#g3+MZhS!TxTX0#1l*A&ArV!2--xwMbIX4jbL|u9P%Yn{W>QJU1 z9A}{ZUegH1d%F+RUm6rkL0)$F4yAaQzrapvq5p7B1$dNIg>G3akR4{e3O-OI@~e;3 zcNxel+{1>d77P#;PH!r%jUSh%t9T2Z*xV| z%0mVu)7pvUfwRj1184fCYVe=cvA(hMl~}*Mo(@wbCAK-Qk%@QJ+@Fq_i@kc!;}jfD z=~$0pK=tN}x9^p}6QdQ`6qkpqX?l7YkE;N}05|o^E}mVu!=>?d8(1u@I#Zm|+ypv5 zw7jTOrw5+D;-aR%W2ZsW3z>8@Q*{pSdc&(Ea~^-L9kp6;Az~Emx#+_s9_#JUf?XCH zM`@dV-x8R`Kx$*sYFIbV1*1UZ!v})F3I&?lQ^T~vJ+s_{Cd-^6#or*Ys?TeAwntM=03(Z_p22TrbZ!Lo3J6l$t=>>u}+IgyrU*dh^Qy+U+ zXYtw$K2(8qrx(9h(uZlxU~%ywX_WEJUf;)AqP`HE$CpEi4R+$|cjEfX$|HgtKNVnv zvih#EjsmUcx3dTEDRv z!usbPw(2B+&^mNJnQb|2y{TdxMdMEY>Vs9+G3fDS%*01dzFoQvi+8lu8~45rp6Z)y zjPZ_y2pQz`(8Rl5?WGn_XN2c@O{^qEB3|FkZXZ1LzXZjkDX$n``CK2A_6{vtKdq}! zc622pgDE#bc&L^}pdP1Cw>kuJ7%g5`ln@Kc#{Y&xq5x>J83BaeWAE*NW*#W0)M35^n z2*%bnmABrmP)**GvCCAw_z@9o-AMl82-xMYO3{DI7IZD`Ib>1pabif8F9sYD?y!I5 zSEIu*-JT=SHTgyf@rzbBs}`!hEl6XW_82{qZv1s6GAVGb+K%;gm(Ec8jpA?`;x1yK zQo2hwSY4Ma-DNj2v>12`99CA__4h*FVz{I^jv;u<`Pntu{S)Oe>2WRz*(ZhifBO25 z&EEBoeXyEOQ>(S{wzp#NAn(OKotxim{&-6$eW1UTE#?u6-2S0v4#D$;McwZMEP^Q< zmjMVfnzeNxcO!&70oxIooy(uY6-l0 zYn?beXmQgpEW{H5Bi%n0i=c?OsY#d#-enEGGS9rQs%laoE-v<_Ucn;L_`uqg*0Y~( zs}yWV#Y(*0>DlE1bRm8nnGl;F08v?(v%T*$>v?(%sZW4g{1+%t$=DT>lxAS7cC~x1 zsTBfY(e0ek)%;VkwgBlrZ@Ao~T1wote4R#CN$L>p5NxQQtq|7%JRab!s zHTi;+1IdWPy}eDbn|0g{@SiwgvN^zVbM~e@8d>)on3m&l@@fwaJs4QNIq9E zAbuwE4@cX`*lJ@^SsqgjkY(F6vx}AB;RJDgk2li7sAK2%ur$nGYn5`zyOVCGk|TMR zleW>(JU>1TXhd>`7soL|%=l83Mf?B`t%;RP`>RzwnAIf9Ux{w68mv~h>V01wluyBQvbMV@NI>y!wVfmCc~jI3nSt1 zgl}FLY}PZir&(RVjTk4+J-Ht{dVmcdJR52r-mfL4#_rd%c-pMiPRsYsiKdN`CY}R+ zowQsVEkK6L+^yY8$9>#y)uWm!iw)Kj7o`wEBg~pU5-lKNPa6IqyN6Rp&G0<`x~Hxks?kOvn8Iji zVNYwd!k|04XXF@}J6W!4h{B~#J622&`J!p?4qOc1Lr&RtEqDmgmDxft9j9XAj(T>x~5@y?~1{~02pFu&tw zw%D;vftoLMgTWt~xm+do2X-I^tSOmp>|znwtAs`L5gsXMO&~;3T{F$y%}ha^6SULyGj%-QmEGH=#Pw z837KMz{|Aqqq?$F+{s)1Z(;J1bUeptwjx!_EX$O=$Y327=(hzcZfbdl$9t2<&+yZq z(*DeGa^5vTZXvhu@X(4@W|qdhxyF;>$C5)u%=+@mJM^AAaw}H}=|0)SCE6Xf)#-(` zo$!)4ixtKG?pU_5-+AVbUvM$X++Is><&SQ(wY#z`00W16@xF!Yk$^p+u%x`Wds9=h z-)E!RqJK89C#hS@NPA(l{s4ZE+TZ94W(oQ(llSbwe40%h#jjC`N0zZF6d@##l*17w zSY@x-IKB^N_d!@D$g)a3=*a(1)keSGRrgCx2stKf^T^?udnuYn^|6?+t(Ft+hQ}LD z@gPALpM((jK za1w+)?jG^8pCadHc9$zFKjpLXF%9s4vo$o0x-8EbB%t z5}Ze7yDv{?Laz?WPIwL^>CZl}Q^i6z9si!oIfNpCI3locr1D2u2h5()xfKR z{d^x(T4f-uEZnfG9#G7QPQaTVZ0%Fyy_9nUgdwFQHDHa?Rm$jQTW(& zlb<92y(@C`gn2cIB#!*cT{%qx{8Ltz)fJq+F1x1hRZ}1dR3uz3YR+6av<>Yac6S0l zoYiUx_m_kpX8ceMTCYfewl3Rn=+S9o8oEy_>aN9`vE?!=utc7Xh<5-40pHzLiHb=} zQv4G2ar0wH&5I<5D{2OV5#$Hq3TC3mboVV~!M@2}@dri$Hg;t8%KDfWpfiPTpZyvi z#t*hUCv=1{MaTCg6NZ6CoNbZ#9&N_9;WGouMO%u*Xjt3WP;dXO3Y%68)zU<}lDJDw zt_*I8C>_{Q{tA&=;_$bBu~a9T{aqM{@~BpGakU4Szo^0<7o96;*eF2l-4d+2#CSI- zExzi)=_~iTwe%ST8n_U_sY;_rW;W_seskgXwzyI>Kauj{waR7GziT#avdhpGngK%? z?`dyJKv}%b&p-Vu^uT%#n9luZF=EC|n}{OUA1oubkKG!m3rtf?ov3W;B)6O`&~Wl) zajHQY?Jv@iPtHAL~i`i5om$BZHZG(TWbGx&nrKZ z5GP%fWqu=hUGhBNcL&Pw(yR>ep;#rI{;kgtOeTj0=Px0GZioNRJIT(>Dty|vok0u- z@_+l76=0ICKp=Y{zZ{Y58^mY(WqACCAZA*2I=KJYqz~&iMa@^U`Iknaj~kGtDbAF* z$3Bc~`6@f3(1i-7m+z$2Pzo-njkufG8=nVun99i|zDbYtt6Q~$?jI+bw3@i!v&E>a zf3cumG3~K4X)K`NnKeDvo&FYO?^w?R#a-OzK0_HP6X0w2e2o0SFFmF9l%gs9<3bGY zZzq(s4mQm{iIn{1yTY6>hogcon!efg5suy%!RQcEH)pq|VYE`8e3Zq0U2!-;ue2YK z>Z}9jp`=VFd(AMSFbz`j8I`f%@ScD`rlHopqj2oe%G>1joR;Y{&0lIaYS1wI&^Qpd zZYjFGy@Q1n4-52spNjOsICKe*>5a)h_KejN1Uz1v zVvVQ8G@A4j+6PRXd#rQg57H~_@3?R`P4x)^DK)hYw4u`@Qva^~(q?FP9L@dh`*hJd zK=Vk}u@92$3*fYLl8T+u)4kXpWsHK`C5q*ovzvs$bbE85;|Em;IT8)ST)GZFXdrZ3 z>T0N%=g#-yK@@C`%9En!x{Sjkb1pQK*tF}^7fx%dyi>f6Q^F;1ESMuWEn?%Sl~$@f zZJRVCT2;7;mWJ}*0xtqdMM?XdkWZ`aSjt(YrbeAO<_dOjpsmJ^O1IC2t=Ca2_wY(%ZKqx3KKs#z>EyHZ#4S<+L|u)i4h@(l(>qnpA+c4;cM zn;3tok$2L2tzOW<3inh6wsZiZ#pylwLDC4`%g6Eou7CqQKAlBBo6u(i1G2YXC|ll= zAWsjGhA-<3K^Cf|J^dCH3~H$*9&z_=>MyJL2tJf4J0spMPY_4+g8 zmazWp_40dSFl!Rh9>HAA*e#<8U2`!;ar5r= zU-IY3R{&G~uX3DKVq<3*T17H}CR}67OiGtxtYW{*9?&;*`NE`FB@I$){_k4g?zS4` zd#qP+QR%Q*1ykG2A_c5#aa#N*;`2#0$k3=FzXjnQx{Id({lr%DrTc(1xdae3g$#(L z`gOxQDcxvIze)A%)#bx?I@?Fp#Z)IxCiF^ zYnw$ z`%Rm{mS=1P*+sE;i6#i!hYQx5o$j;P?@1Zp!##TQTyZwC;WA*w74`+-EZ{EhLy}c zd;5`a&3;oZ-lH{OL4!DN$f{jON7u=ZA8*RlCkc5gN5=kpbh9;Rp!a`jv(QK7Z{B3eo zi51b9hLz=Ls5+^XZr)qvu4#1?9ILlKq|;lZT>U+tZgCfuxu~-W#KCy;4S54UG z)mKC+mQ+$YL)zcKf7d#Cke=)?7Uz_1t7-lNjR_y-t0G546PCX!U?y#do#z~+p5WZz zF}`?!!HJ9)PIvcfTJ@vvBK{zv0#5Fx=W1t`lB^=eUp20u67W4_3ZC=$gS%dwmNA`T z7p1AuqdKbN=~aJ4gG93jV380l%nMn%pw7dUXY)RhzQt>2NZl-HGpCTz4F+ z8F|&WbeCE)dp9{FafxMkg--VkRdgX<$N;?4vz*b%2AeHTX}|bGC`mY-(~3O! zAm^0hmEIffcj&O6%wa0hXH1XtRSM7}Zy{7L*2XIq-StSiJ{%9Z!TARwTWHRy?FngHZKE-~4@Yo@{=2408rn*k9Mt#ZFQ3p6+I=jT0NAZPW)26s zm8az`KR*}`52`d!XWh@P5SCtqbjLWR+z12Lw+QzN$lPKv1!CrQultnFXw`(GXFjHJ z=FygBqzfd7H2_FQo$u#E{r+Hn&F6@l>#7=A@@w*?JJQ|jX4A_LG1LfO2VjZHfB?(C zYvmQ^vmS#F)LcyI{$2B#r`a{X!lTX4)12s*rsyjhYc3>fdo>^U4vJb@jD`+#T+zHk zbFd5*xjkEAQCu0a8Qjdey%8{`o+R8ic6TUN1QtS*d`Us-UB~=6?ZZX+^t(0Ul#rkZ zb@X02fI-WSE_~5;{Kj)47iMw7jJeW!dHu7e^Fj;3i}6#SZz@L9J6Q5a=a~XYvuYAr z5P42@$5Z!ZNGXV0=lssW!`r;EfRz!)g!9tHtHA@0W5{9yzpyH;;VCR>hs$KR>2MF3 zj4h;`ItLmL)qG+e-C#jjbz;|G2H$Ed69_Nx7Z+lYiyj~01{20l8&|u4b!*kEl z_hQ6LgZfGh70-DI{x-E8Rk?grbEgy3bbp)4)mBkSa`nEZNWX{YpaTyst^DG~&lUz$ zCAW3Ygjx@UXSZdHbVfbMMY2N7m(X)_ukyf}w4Jq#n|6r%>=1jwPB0?alg$iqLZc~~ z^0FrirT<`wf4`Qm;u4m2!5^x2mq*;AWVf@Jri!fm{ z%!&D^`EZIsU+PM5$Z*jBS&^1}5Op8>tD}cpkLngf3H`NT?Yjmy6)ZZ-#mQ@F4|WUSVR3lfHlRr;>6@ z`OV|LF=n^CIjYzO|E$1f%P9(2+()Al`NoGF+H#ej2b1QroLA7=_QM3bn3sn%4>YbL zFgL7k13;{G1Had%MH=OJj%de*n-UvQ%ThvGA86yR${Q0-%wGLY4m?}G>*VuuL6uW_ zD$VI*?UQCUZEb+*>(t>X`f6uA;A~@?34%8sH%okXPucBD$|HC6Ckcle1H>u?CBF-X zlwuZe#0Q-=!m%gMXeCyul;gT8Z>+8`mKz|9C1)nYDpg|DDGGG)i!rm|nExmop?rL7 z+~{3@kq74~I=e~gDn*|jez>dcbi-oLDl5*>I+mi*zwaW~oHEJPC@0g7m{9@O8mDMj zi<7}0;H0;03qyr;P2QOWAts?6QOga><6`~vFH)1y1k0Jh3NY_pY6w{Epv*C*+>UNO z?QI~pvpv3hIPA9z4eAyhqWj@%Ha<+;G3_Ite&%DI-ggDgAa#)YSPGPp)hK7JxueNs z&Pm)qllKY$KH>~!>@N|}m*o*VsEmhPVQ-58QQ-9;Icar@hFOb~tkilh2yQ!~&J&e3 z8+HI@G@|~ZhE3h2#NnTsmb&!IsUU;7;pG}B{8v0<{f>s>-_Sb4E$_MI=f%P-fOhG4q2dyiGn3HvX9v|XX^su|}Qb8ZK?MdgsY^b$WJC$Qy6gZOSk&yfRL z!ax*=+q%395zvh@tm9MQe%OqGfd%h2CLAS><){ zEi&%`Ee;Vs!4W2e??+&=Z%YgM_97o7$D`u38NRGV8_T(|Q`NY2vx(D&uXkk!e-Id{ zdN<|dw-Ru|jcu8SF}p)ruN-q5Zg3+(<40B;!*f5p2BTl%BDX~BmPu&@GRP&dnr;gG z#Hq^Wl{oI{nk)c0(kff|2*A8tJo(`PuGNMVi>J22aOyCm25uH*sT_GD9Ey;jfj#2C zrv9<6n}r386J*GaEmv77)pA|Iabz*E_6gRZ87+lq1F%ZGMF1wg0HF+X;r&pW-Z$N# zC?HKh7nq|UF7B)P(^ILnN6w5aD|5N})87?k*ac)DA0+0v+F21PoFi6G>0~(=rSFmw zF~`i?vZm)BfBcc`wHfBp*o1rnTW+smrq$y)4eY8}0hxSbG5L_gYG%V#qB6Bhq)!9dAQaW)5 zE3nQj@_spbtqG%dVv#^!_!u<=Hr!}T>j-2qQwit{CGa>Q`oekWN*;}2at$n zM-szRBBAji6 zQkQ8?+2T#wg>A)Fox^U2GYA=6e)Q4S8X{JM;94H-uVT_USYw{D zaJGLL`-SkwcJ>Z6dTkW;w#V891#gPc54^*FHl{KbV957odRlo=4Pdb60)$#prk|=- zHp?p^g!(M^sxKb>N8iszmnxuOD7MY1nVkqoy1e*SCNM@ zk6D)!c?Bv9C6+U+ygxYBov~qw#0bXREWRXWsWgyOg@kPXFS!AIckOy@qSjSkbsEED z3bVlA9USAkZkN+wwx;l5XP$sBr6sM}+QCXr^E5vl`cw%nGPK?&fx69|xfj=4HbVD= zZ9!+~T+|er%9iFgzyE&tBy^p@HkhPPle1F7&%pbCI<>kX&HT@pp=Ua%h`-s86gRr5 z3pSW6Hm1SR1-7wrqlAlq_vF@Y+8zeRw+;~zO~3>ICLp7$^XC}Csi|;F_ZVhzlrR+~ zjCYs>NBs#CL15bd$Klov6RE_T+QY+c<4b76NN5fO`hj@%kgCvoFZqhz8VXxbH#_P^ z#dIh|yswqg=QMjQ$=;_~Lh4wRw8ve01&@y1x*rx9$L}GKtn_ZX)DE#=eaWidP#fnR z3jM0%rf9zH6bOc}!%@`=WpKv6-$(F_{HIbHaj*{1h>s?FH!Yb!+O=uVv$Ir&dbkqG z{D1SSBrngsdd5!8(AR?SvcB^bK9oL~LS*?R15#K!dq`9dX8Qpb)e?7G&v_A_D+fWR z*_)Fb8Uuts8zLlL>ycSQd1Tbm1%vNlj8C$~Otq((xOVde{fHb1`hfeLlL4j<7J0c@ z8#f?GM5V-f5&XoAj??q252^$1{l2q`e`IL=r0Mot23feyoMPjl67BC(zWa-e)0b5T zo5pn*K;bWg;y5kh`v?g@{GMc8+x~ANB}o9R2q(#O?_|5cEY@_l9!uzz3^(7Hz2IE8 z?ZWYPWq~G|nNRJ>S6LRguf*f)5&}as+tUT{BX&}r(12%f3tZDGk7G?jQ`cr$DT4L$FhcXFp|Jclg$3jFvGDG;;0n$S!|Fj$Lqp9h{D>zgs=-x;8#QQ(1l2vdu6?s3BSwdxGd0mG0Mj(j{Io?T1s@-gw}bPiAN z)!R3OaAu89l&L~#S%GaLJusm@fBxkyV_NaQ=YoCB%{e*Q{(>&j#b7eOoTU2Is6myI zzKc|RbIZW8tw_BtiFb!2p~pyXDJjSm5*9XaF~Zn`R7fM?Dp(nKuxNFki@a^GuOD2U z%4<-yq3P-JoL9+gS}{4VJe^x_3&etj89-g&43h;!1^y7aQU1)p0B;Sz&9tZKmO9g3 zZj9dqdNDYco$QNa3^}xh7WZ3vL4Q8GnEV)al9{49>0va8P2h+DC4K}jQTVZvJIu&+hH1~j7crKA+`ypFUMSlukD-An&{@)etPks<0zS+k~*J>>06pj^Dhl* z;PW5(X8PLh8}mD621N)7T)cqvYiU9kH!(ICA_|gx0`BB*f2dH!rCq~!VkUMe%LZqi z^JYZ~Sr=kI*AI(#WgAU4fw^_RHjLoO0>d)CH$O@o(hR-Ml+rT8MQwmJoNJtEqx9qa z(Q?cEW;>aEgsFfdnuAp#oPOtF^1o{Xk@Zvxducwysi2HQ>Eb%Qzk+UIh1HY( zWIgVE>Of5Pw}ybvo~=sU=mTv*%I4H|)u=mtVxJi#jg4oR%t=Pz?L+9RkHp@6cIZoV zz=8(%?)YO3+kx_qr3)$gZzGDjQ-I@s%UmDdcxUa+?G~!^K{rT3rM&@rq6r(IH ztx9ztnd)qTg1VCBnR^f|NmplZ^e3f7w1%tAqTUYcmw2rDV3SDnB(vP2Vrgm#vC^Tp ziK)UgG(eY)ZF2CNqoH5U7f?{ayZAa~=udnet+O}l2Glui+295CHPMZecnzwzt#|N4 z^4~QMs;m4^t869R`xCwRM+xo6B|j9twA_dyO+^Oei#$IBD5f#ecTtH5cPLF4pn+JKpdTY2Mu49n=qI9;GaBjm%TrZRjgcxeOz1r$lQT@B*e*q5rO`emyC?= zY2d~0BSi|$mn&Lh(b6Z!O1Of(8PR=hAE%GmsN;#w51 zAcTaQZb#E>>JXLSqD^*aq%W%*&sg)9B6POKY&G+`6^y(KvN;(ZjLf5_|=> zI5y??y%U>#-sEcb5p$Iki)=)CQZY7m?Rm^_guc(n5U`z zTO=vQRMHMR6O%Au_mUY!hPBqeN7{pGYF@_v4BnF#g50p;Xmw&`YFx9>^vyQgoi2JB zaKAl!6JN>xNPMQ*^fAd_ndZ#LVwXs9UyTL@OBAg!vQYe!yb`Q}J*c_X_Cnja4>VZu zb}?Pl>P?KDTM+BRSPQfyonWS-%QH5ptMZ%QFebWh;MXiT580`+JNluH`-TBTL!YvR zgaIBfW%JX%zy>N?!M`uah?aXaaXNi9wyangEsd*`=zIL_R+V2Shn-@qGg!o1fD2Ev zT3aV}T@y9$Fd~A#v-F;vi5$J=8u}beHL%#qXA}j0GY$r+`mOxC=3!`jGS3#Fs}YnB ziq$Y=C>nc~A+1xl!`LwWy}w39RK5alE%XPYp>n8{Fb|6BJ#T z&kyzp?D_jSMYxIg{pKrmD~4==QgViSGwFKd0ID_qDgbYX`e*Myfl;e_w)9oET{C-L zkSdIukJ;DUC(3v1NriUu+)x`;K4?k5q<^UN+>E{O?L%Me_xb8IJBXre5G^E@0Xxa%^6kGBm^B3VICXndH ziHa*EZ+7*kNu>sUsq|78Z!molRZG8Cs541Z8f*tpgBGN`!BOOTc1l+=L#ly!B`Q?u zJzy;nRSI4E%DM5pEHS+{1w9lGv%`_~$V&_dS-X_Q;4zQ3$P#MA;0CC?SyYQjdF%nw zfng(-0V+qLFnbL2#C!pDvy$)&rW)p#WWyXXSHlA<%;=dkKaJ5og%z1bITiGG^m*Qw zs3DO(Sk$ZSgwXpi>d)OH&kki2p$E%#zo}|h`OmcP_?<~ew!~>YvdEY{y7!UJJsB$Z zHl9svO+mAj=ePEO<|91~+;2MTS37`8xfJ%40{z*z$o?;>pAqlZB(K~ebhkA)ZoOR$ z7YgG9FNhs!XPKT@Ny}+vHUAN}$XMkXzDk&x#lHwF?Ok%BwMt#z*d14=zB*v(z0eLN zRJ>CZu~j+JyuAX-IaG=u-Av&gR>2Kq#i}L}goFqy`7-WOBR5GCM1XXb4>_GEBux9<0-D4YLSM z;!QrY+x;2X@UK<7fNS#Q9kX!KPXWxY=XrrN1?(wW733+M{Fi$u@@b{-${DuY0{$?U zH@dH_2GoyV7-fmXiP^8{KsV0&#B+A2wkLW76vWDxJ`W2+NDR!+t@8xPwH+ZnZFgOp zukrr0yQ)ELz_-WC(vSP&Mqc^}yD^Gm%sKK5QHQD5^&(vnSCZOi-bAk2=(wJv2kC8V zr11D-ZO*f0KtF>E<6W}#!^Z;ycquiH*ryXh!5Uj>!y`C{iVU9Cp!S0ar%-OGOR^Q; zB?^jnkeUTqj=>$sl*xcydWZ4R>+j$AM%^7fLj-hfL!29r2Rh#BDhs6-N9V9oJ`av6 zYh#+BI$01KdDs8Dt2bVK{D#27)0FzsB6LNcDgEZX+@%FJ^JpUP!Y7KR*DQKJQwyFs z9sbZ#uodE|TCQ!>ot^(vx`#TakIbGoKq4xV#hS0!FMxz`gVI&zvPnNiaR_F>W0FO-qYn+@$BU81IO=7WD9;Sa>2MP0kQf*Q3N+RH+E zM{!PoO7VJflVqI$k&qZX-F#APLZ~H+Yo7$Ebd$|Uc$&qL{<_4f=Hu8_ym*ZxIauHa z`I}?ckt2GMPxHx_N%=^b)^QP(ZviBGk8i|Bu8%z<_sG7Vd)t~G+Waxw6b>KhmNV66 z6Nh?;N46V7avjZ0kPr^Mo~c4cl3n2jIkc6rZJFw`T0Ry28#uh1O{gP^Y5@8aw_VDT*c;{v+3!BigKy>nCYhD4tNXf#P$Eom;6HhDH7 z)zGjY(Qogyw8@Be-xH*t2pk0(zr#b(vGkiiTJdc(*#~(V!Y(0V3g#-8i!wT|nzW)A;fc`Lh%lpsQauL?>Esy9jsaZR5%NB6p=slLJz zZTS{tpRXj6IL&&-t8>#i!dP{!;fdA~8-+|UPe>x)g z=RDrq@|}U<7cMPk?IGMH&1FUk-LDg{a5?%J809;35xu9-$t2KCB_u!$ae2J0k()Hi z>CEw`dqp$rx2~LAF3x383-F*-$S(jh1VK4Q$!OHj55xIxi^@~pP=hr4rd80?+yYmK z>?nzsdDBcXTkl5es1EzN2|qbTiBrjkXN`O?B~P3_eFRtQ z;%oDVcbSw9$ys%NkTtcqL2uX zs0TGX*z(`K!DxE+bB#`Zv6v@>c;#OhK}GE83|cg<%F(*;cj|8}9Z zQ56Y`VWoMM>}K+ejVMI7s>#u4v|UB`G(}Tm)Rk@xA8+#IU((0p1_zSawae3g@ZH&b zGU27h0qao#ZnX{EDwd7k4*O-qu%9%TNAuRZT#UxwI6~4+1*|*rI_Qp5A&u7bc1R?$ zE-7yTls3}C;Bo>-89tnl4Ic+PWSw!P43bnERnS(c?Bw2D^_xO$ zaIx~U%7>;0m|DN&&&zj~>W}JeM)G?GJ}l7)$aAf0#3Vd0=u|k;xFy{zBa=8Lq%V?^ zVl?7fuRFE|<(TWOoi+$(HS+>|1=VhdH&t9@<0HwJw^fnjNkf?|Url8vW6Ez0g}Qzp zT2(>sn4w~)e`sn_+)cwIWMpt;ahpXw6K060nfz#yYfv&(s5tLn+AggnMqj~zTvhXj2SWPfBW1!8z9ZbM~;doysN!<@uqOZfchf?a=!YP5OHXKZ}U zJBTl7`uirnuS)VSsMYF$j*GzHnwAz6VvY(3p~`;KY8Ct2v#vMq+s?E26`nSIH%Ll0 zG{|O#GYb};(VS|5hoeHs0%Kag6I4rX=CI}DgW;NR=Qv9T$s6k_CRz}g8>x>YNjd}> z>Fd?HiPDX )FgLw*Xp&PV7znNd(*(4F{WCi!TlD~j8fY}lvLK4;PM#iV34Zcjg- z3)#zLd_=V~Okmm3zuHet>5>TUJnc*&@vZy#>KQzfjV6In8J9b5uIF3KMc=xoLSTt z>!8AD07CINc!25SgkxUo21Tey7(yNA`}Ku*_~6^9U$?QSNHUf?+qy={fA|z53^teY zX}h3qM$@O11W0LOJVGd_CDa`d{qxh%V7gKIa)~zw!9SVnBOY}9 zRvi6z&GFy09M04f4n?AxRycze|LCoB9kC#)Wge;|NeSCi<_>ZCpN+m~M{5X~?zaP=T-AcppzehWl#^oM_WJD)ER-iS9I~$L zX7wj5xQLAD8!KKxzZr9Q$E!W-iQ@OR92|cKL&N~df*~1{<&6RLJ`RMAn31&PZ3^D@oW=pRd7v zLIg%v!8)b^I-iE73EZOJtYDT2a)vZDHm2i#&_@UwuMe@Qn2PQ0s;0f}2z6!2*Rn0tUIFf{kU-y2A2 za#+p_=6Gy~sX57b#SAWPmFLv=VstA4%c}s?7{2=_IU{35DgvX(eIhm$MN^HA5&Yc; zLPDmEFF?bxd8?)=-E0S{JqezvCm_rHJHeNJ-YGgG@CZ`W-_$A45BV}hVX$T>ltrl4 zfEm|L{hz3+B3W&?y+@Gb$@#v42zbvmmeLj&^oz?;EWmcwj|6oQc;~%&@(KIF^V=pq zb&|CZVc6NbLAFq_6G`dfV&GzBh-GD}Ac`97S!T2ntHa!wMdsm)mKh(+E-nDrPL{fk zyRsL2^(hQy7|}#+Y#!=&KqQ9fif~S>WZ~uvGb-o(zF7Z|W1_>0RIUDZ4INE(wG4dr z7raaPwOfljVNWt1tn!fy!!b+IZ#N}mpsUqqN+V|W2^invj|xHvitlfamK3#kpNdrM z)dN!Z8{$@Up=s|)XU3_UuM^w)p_Z?yy!~A@_xhyW2jtw1=VDSnHr}@J_(f5FP^rn* zo{~S0Bx-Dj2BCOZLYWIynHiVugMg1vZ}zQOVR)qIHc9`P@RM1R4rtvP0l$~FP!=CE-Kmfg>g*(McPk|mHej0u#>X4pWD4Vh& zO83Mk0`i8faM>DGHvEur)ik!+u~tAaL@`7)|BBM@iB9FC)u@NS${p!L8&d9=NT;L#@Q*iC#qnB<>0y>$@3DsENy*=Ol<-<1$ z%MmrvwhkcMh7VJJX`t++24v40M6@Qt)Am#D=m`%)v;f-&_>rs4-u!=X;7u$;RwiIK z6L!uvm`1!Ss(;{q04FW(B9)?Vn*j}KfIhF}I@9`OVHv8H(Zcd;V(CjcO;nY3X;#vK zi0Tc}{8*d6ZZ!PlV0+ancsYc}Y}Mrq7(tphC7#ENo@}__ECsK7K0-XY1CVW-(aN5P zMBNkt28Eovz9p@10;*2M5Je{D=NC+s@!DDsWU5qv_XcA;HvU|&Hh8nOK^vrEHr@2m zam~Ct#ADmMc&#Gu;ig?C(4!}-~+?7fQ8b2KV%B0Qi@da01t?QJI;St19w$A$1{z|$jCrD!e4N1^xSGk z3NQXSw5kw}OlaO)4Nh4V3um`qjZdFz>3bxLNdj$;idg6vMJnS4CMatn@y8H&BB|m^ z=RW>G`7)9!`kX%P*QZ5^>bet3!TA2@sWS2MtOS*SG1T{bz-tIKp za-Z}4&a~{62s08`Lyi3gE&}sIDkk9jM*G3Q8$vGp4Y;25S>Pl^nAZ8})#&n(SHW!& zY$}KJC<&qMf>Kr>L1UHqc(JOa)JT>X-@?_6(wie`H|?pB)1RG^{>*$UMNZRZzP-pdyM5G-uP+EPv>xaH$w2dUD*70P3b%9J9W)fsNRtwy+`2pzk+$3 zLeOt^fB^B!xADpzLL_OOIJapkVu3JMF3PwGQivBYI28gm3CE(A^J8YE%ln1nV4ZCJ zGn)|JJ=$7;H2n8!ULc)S!gg~HAEEJmKWESXSUdmu(0G$X)YQ4W{$1m{(trL^q+(ob zZq<&EQ74N8CX$qm?5qNJ-Tlf=3eH5{M;eLodBi>>p(M40#hsYR2Zdz?Kyp>Wk5pW% zH^^V@VZ&6e5|6lrpWGrKg#$f~tXyi-s;^zEcx05mr~L>vg-!sMM*3mjDng~Pm$aU8RNtUlKZc7=8ODUq+=j&yN;IZJS!_?? z85f00h`Aix!@z(6--Gh!Lxy=96}+qN%yCoqFJe*G-O7P`Js9o&kw+gm#lbBt*=aGe zx;D;>f2QF&aVXE>RB%i((#;ULK>>Qzwp+OW?0>r$zOo~LOi|eyPN+eLc zCOMOCLDz1~w`Xk9IXLNlfreNrkFE%#pAVMO%J}s?&rI7i!U=CuFN`0Ml+J9Jj>yyj zRlrxZGyuQT_WM0!&OjUX$g zn!B?9ixZ~1ra82Kf?yz@)Y+l<+f?`pY5 zi9TB)=l8Q6D#&3AEcrn)RKYrqt%Du&;3IW&_eF_>!A(fO+yn#Gm-H|?lf00dc-&FY zFH*=tMfOLzP#JjKM_OBe@-(TC^^qp=D(*AxsIt8i^{U{KD-}=pSbPTR7g7_^6-!;A zaSvw0*d}yKx_{8Om*pi^t_FIYX^{o(9BU$?e+h%~8GYw2FAo=}FJ(u!nH} zM*I9x)89ED7sEom@%w%q9Bwb#8U?;B9W3&gokGvRf68__pf%!tG2&MRp<2|SgapGb zTBg_vFgFBXaMC}q%AxJ?qM>#yb=8}Tk9dA-G)B9J&9TZwrP2DDlh$x4$6+B#)jYn# zesTqgRpQ1sZN@Hs;hX)=Ah#j^FUdZxEasZ{YR2b?B*Dcb!AH&(PcoSqYJz3`egO^MpDP?-Y;Tadr(*soB}-7-By=N`gct$obt-OQaEcm zsaegv7rEE466^r}iQ(K1jmiGZPZ!sDCKj4>?QhB*_Vm!eg8@}KXVpy~6;>utpKe&O zIfa1t50iPjgaA^SE~%19{hws-f6~4G|Ao)*4-Fdy!|btIVjee=1UB$+n`s8{@Z*b* z!4e65qIo}ZDyt=0NI&C!++5t*j9aMZD3b(O&$V7W!uQ`b#@*z@;DUeG_~(upqidbj zgP-y1EH{NlD@g%Et2s-Nd}C}{7UfTlEjeS&`o%_nFr2z-2e_D~QtSX`6m^=&f%Hg+LrT_Tpk`CE}+Ci@Y)8-1GEW93+jbC+NW-6*@u+tJhLX1QcmouTpi=bwndTJy zQ-ogkAb){M8if(3B-~)!O;lgOEN5R|`u`P|55C`7?tjIq-6g;vAaq+HDqm;$-QBze zQcRfP-l%nEi;y9y&Z9N29psDd%zpUL@d^1=kRE98;E^k0`qtT|b%VvK~|6>DyvcYD!C`Z%`iMzXqO3rpl$XR&2NLgGlHp@oNxhr2IK zfiUl~Y%yw~y(sj5sCo~uCY~>9I6x=?0wHve5;{unN|VrgQvpGG?}#G3giu27ReBK{ zU5X&RgGx~e1SK>D1&N4s;#CfS|I+}YWgJLjI$P3Ds`Lr&^!!nP)l z&xq3@3eBuNdD{?=REox|b(#rJs_Cn1@ifJ%GEOw^*YCDYGcwPTH7J~MoM<&znnPxX zt{{A*9czo5LzLlJhVv}thM6l;&W8-$%dE67lNa3K@)~ptQd6n0cC!v$N5LL$*WC~9 zOtPx%)>(>4u1=64acv=Gr&&%@DDSttSvChmScvhHumg?|PpAh^i}`HKC^uIVImkd|AJvOSL9kqe~dHxAoD<5W3!O4v~W%4VR z9h2lq;q8McQD&7WJ$_8OiW>5anuf7(OYQ0y5HO$rEuDF2`dR{D5EgScfSK;U+naWQ z1GB}Hh>K>Fwf(Aqrvzsj>VxNqG&cp+Bib}uIjOsq4AH7(DDZ)-i_5RcOm4#lv}~^%mz^MEU_Sd@k(L)GW?%c*PT)I_0ic>NW3pt~ zb3C9cjSS{b)YiPe-IK9l!WlRlfoOgibl3SnIQuc1dvUf4r_*Oq$Oi>wXt|K@h`U%) z58ET0n~Yvqfm04HxoiGTXaG|F61%BP%e232534Y{sDZM!aa(UzGhbI&GpnMN&v2kp z?@_v^KlIOsacvTSRoXpiK4PE}$P@^J!}J9R1{L|79JRfin8#Up+?`8YugyjkrWAx+ ziJ`fVs)DfwnbZ*4d4;zS^S&Vm6&>}|r4uivpK3`}@$^Gf+P`u2W0I(^EvhQCO%4m7 zS(w52+lKLrsVxLGO>?o<#A$j#joaQg)Vh)Hb9BQVI#obp2f=pk+r+fnxcwsNX%yTi zc~Q)b2AiGAWKV8`*P9@c*4aN&=3D2f=@_cO{f?zsCV*kLQY3A}ioI&TUT|(x z_<=6~kF0KO6Ec{Z$tT<@q&4W0GbKZSIm)~oYO(R>AN~!f{yhPS;%J@s0pBRo7q!V2 z3Mpvja8DN`v(kp=J1jPlcm4@(1B=u)KyWue2+>b|BIaAb5HZXPjvR9Mo%*0t|vw7 zf4;AK6GbIz#fPNgZD>Ft*seTdzgXDNBznDuPJf-S5B&hF-b-0gZ$SH)n^xmL@Q(w9 zCLogV;YoP*T|pkqwyF@LMAxN9Lo~xln5ij+I8AozA*f4)zfzPpUg$Ni_IK9Q_z&S- zZ=9_^V+oXg+*SS@DR8LhT5%E-7Wm>upqjxS`q)2+6O2H)2yYIAA9WqFZU^Q@m9PP! z!S55cS%CqQJ<}V~1A8c;LiNNzL99{yj7Q&Jf)$&*`i+PFRPNC>@^+vktg6Z$h7A*S z-2H^!xh0#&IacLWLN%I3!!i4VK?^g@J<6)(vJ_uukq?s_8=|tQDspy}C^U!Uxk8Lo z%}u~B+s-s<9nhq7y(#H%NwPCdUavwUTX_ofJsYKygpOceeY}%;{ESm8A3p`vzitVg z%>+2%)wQf#wHO#S(Lj_qyE;IB$Pqlqd2z4*gvHZ5GCoAjRTX_b5V63lzfR0Elu?tM zg#0$?9uXd22_1sJ2p~OB@D#MZppvdLF6y(HyIyvyZU6_G#|)KoGhh4Jkqkk31?>tXC#@YXl+e`58`x9AQXr!f-nde)1#97sZ_7r zy5ONYsc#JB;ra3XqgS0Wg2+rgdP^*LEJ#<=U%Ea~yK1p4a0+36CE1EbtcjeZa|nIm z3EY9(&E4{;#agG<*ss8UssQ>A|2;%)Z0^2iEw{eS$B3CzQEZe<;}elSX)Cr)-`kt7 z@b*rE9Wjj%o3)i77n^N|1Gs1ONc+V`jNLu6R)GB;U}GMG1&(^t6FwGNk@gZzcv3E_YYt>EPN-K-A8x6zEw8jP!%FAW3KH6 zX_2*R;(dsLRYVG=zQ2j#B zr}{x%I0KdZ`^jE~Ycp%I&>=dtyG>$i7t|$l459>=WU8qi49_opVAe^I!y2o1^%~_+ z=4wr+t<$?!DK7NYXya~YgK>w060>I~)=W!B*to}GyqOw|x|8?ySQtFI{?v#vReif0 zOPN`iY;MnE*F!`zFv_WDn)Adb?(fQ2%ZxXj7#;$E(B>wy*OEdquDd`iqCR`aOPy#> zKr{f6CN=`d`RY7H6fAcQ2Fej@PA`WnmCHTc0pQ91G3B|+uU-of3ofKL7!sG(Ka4_UE1*3 zHBp6xAQk~9iLKe-f#{o6bF?i*o1VzOpbYCT6b56wvx{Qh#(B+f{S;4^D`>7~BKPk| ztkB_<>DTWL!3l#xX9_rFZL>RK9qWgq=2xno5)gzncI)A9k);!AccDum$c#wW0Kpi4 zE<`UOE$8r>Fg7J@?OIRnN5;*Ak<4tX@*$ylw~73pVUXUyrGnvm_(<|5QnJ=UdfVBl z%zLLCBIq}0EA)oT;B}4Xm#KgnF|u-{`Z2B0Y8yxLa7?_>Db;3jA3+8=*RthBO|?PPCJf}LvRe-f+((Wi^*&N&t;QzP4uxAfAJV*A z7kG)iBc4f0NRM%%tuDVygOKz%bh*&f4wbnp;(5Wopgz_JKo*&EXS|5ilvqPekt-$rm2yL>R4anAJq_KLyb%3EweR`kSz_e zH$DlavLD50$4ib%Dcm)&*$dMsvCRQqnsc~|g$ z0i{BOMDoPk78~27FSdtjInT^G9@?-}HhO!SmA~fD&W8KlFmvvKgm5nJWP7opji2d* zbZW0w#pVe|#Rl^}^2T)6yM15vEDw!1xwkEmKjpLUM_f^Gy-b&tIzT*qEo9)b6gTDu zI8Dc-bgYl6TnM_=vS*&ZP&d>l&v=ccd5$4=Y}4|AwsM$=kfye}RX|ri4(t23Is1`#d7QLBxZ6Yc0%1d^pNkhGU zLHcy=N}H>$Z%dJMp4L%lzxtH6$=gT#tTGg5T>(UL?3CGu^%gB}U=gtzwo8F%KNO0l z_@Jxh^|a>jgBm16lM!Xwj57>+31RAg!kr<4l1Qu}GP6~RGOyA6>W|~BQK_5d_3Dlx zV~63;q1=jN211|G&RzTfZIjl1A?^E;(-OKpP_V-1lV)8bzc++3`k*x98j2~q)S0PC zLNWJzvVpaW;t+@UUfONXBj%Q5k)ov`KhMDyLT|js@SVghr6x?r2*9#|TT_alI5E(y zX1BASilDR;()8%N@9US>t`I4S#0O9%8uoDi^1V3WyA!>=HCxLuIc-nDMks7yb>7xH zwUuMf$ua(I+0QlRE5faFjrZdK7CZSXF<1LY0$5{-XCp#B_LsZtYy6o5aNhq$mH&GI z67`r7;kztJAJrbZiu9}0@7gu(&CF59px6y1-%A9+Y&_fM9=2>s>phu_CRvGKIU1FE zH9hKEsLYg4x{r+;N`ETt;+nBmr9wSg7k4$li3#+4^P4=w17)f4O)a-AY?#Xs{iCed ztF8&(ozAGV7>9Wxr8*4sQ)j1gI2~VHIYQQD5|T$kHhl0e_c06CgMD9zu9cK-NA{T< ziq$H1Fd4ZpL$)<1P6e}xfrbgnO2kC?p~~ctM3!CBgwJe&5;9!45h@9Oe-kN5h8;mD zsl|rE^etd$zIB*qq7qtI;LudZPI$;Zh1RW~T&%^lvNMPuzpVDWXH*uY`32)^FG1cs zaN^8|C=X%rd?&`%nPL8$Q*xjnG_4q^9ambVR7&9E6cS|pWJe$HwP^e}BJ#4&t&kkA zN-i-PDmfTUe-j754W6*pR0^@l&ul1ylL3z={>&_0J)tf-bWWSTI^|64YyOm5y#ug} z+b_6VcR#S$E4@N=DC;M{8*J*h^n1EvMicv(Q*@W(c*w10#TPtn*CG?j2>@Ct(3bR& z{2@4xrQM$FBe6qO6Wem{H|f$E8R@1$N{$Z<5E|fYt#*AoqlU4UkmPsS3iv5V;3G*U zD%&Ew4LrrAYWt_eF}b}ipxv&Z@ra^P;qfgE^n`dB?*!k#GUtRjH#t77`SzxJ7`GeB04nW6;rY7(aS=#mNMkO`-daj4#>QP%JKJ z|GU<;iDQ%<@}3eJAX^*KwDXlNQiC^5!ybDD-g9vGYF!WM;$0K;X#1d0wZfgv&w&?! zdOb)R_;~(j8ngcu0KAnT>&2~cy> z$AR8TMsh7s=GlmgdNHXPuet@krSw_^oafHEe5AA5pC^G?x<5tgut=Mt z^8B<6V%h#gy4&dX%lYX8iyuEV?AcvxS;?6X0a^B<#`wlQ~_Vc%}p9nc*cg8&* ztd=#9oR}W7%1H}mUn@q2j7;~FrX0w|v|I(4piP#g3r53KVNiPJm5s(K2n(G1K$=kmW~`2>a!l&wp^3PR(bC{`tM(+Te(;;O zeJvu2UQnM2issBa7xDQ&;?nvWqxFXYZ#XEBa}yssxow#psKDRlx&EBdx3X7HM9?V& zMxU3|u47EA8RHUvHGH7ZNfDB;Sw3(~J*cZ& zt6{BZIWJ$;3TL~^5lm5(H?%!lGas%aV+jZ=rWZoE<66JwoNye5)8AZzlxIg%{7AN5 ziZnxi7g$pJ0GboNa3Y_?o^W^l1(BqL%idD9uNUUoUiVUB8R2ifFf$t#a&T8`>Zy6) zuk~k?J@%UK!PwY3wmR7{?IE=5RaPRc`YsfbC&3NK=;2kPa6SlrEd zC#YX?Hsp@TX$#ftu&V@7`W0R(d87uJknS7YjCkxPh0&mRkTu8p&{ z>>=!q^>QQGs#pQv5Uh%S49Rw?CFI7`6Eq$ns2R-{tFdPyPDL9e;%F7`F{h;UTdFzE zPoLU9S|^jlk7meS(S<#Ohe{-=!+xWiWtkz8CkO3=Es@ben(XLU>4~92b(}V$ynUrq zsqUbBqA7PaYW4Z!xM^O$u#Zq5m@%BlJ^%m$<6MK-%Mv@kOro8?|M$nLP!pEl^rsTS z7H-l~Er7?#X>~NvG@h-$`3IkPD>L5GIxyRyFMuqF`cTH_Kx+b-)ZJ3~K`ZNva%PG$ zm217E2%uL6=hI&=d*KB$=Kr!vW-jws8#zBa&skAFLPxxVQ+6Jfh`DS0E{g2^Rht))wq_I`-= zlWJ)lC$>L633~5F^zC^D8}T}Y)PzucnvcWbeC{5Ye35@sBq#7w^sa?FyU9>1QgQXV z-cc<q-cLOI1{>cMZlMYt24o08oS_G_m7 z&EvGgt!te8`!nwu=$N<}j__H#R7w(8n0pSv2#gj7qdmLxq(&(3MCh;kQh{q#MJrV= zzRGiUDvt)c66Au*j(_?^v^%VPPFyHVky%#hp^s0=DEnN&9C>EH1 zR0Zl_Om$d9(4E#e;|;UQur)U7NP>B&Td}{%Kw(96kb_J6Oi4wD?=_FdEU+}LeeH&> z>kQ2VU^Zf|t2Ba`eal5f%H3vNP)o%rn``^tIm@dS&H)W282tqW1mgQhF*>zBc9@m- zxK07_lfOGdRxS_-(rGEqplKr4PwE~{Vy-n*C5zq(>->(`yxO^?}xm%{lhFLl(rjo+V>i*z1IUrzZ7pRX75<{pZ+ zs5dehq+Ms=URUil8y7n4E!?cmT*pJ@YE873cT5L`t@9@$$bVuS+V7c=B5Ql zd4?~$ghZ@W8J=*DwuUnuj3r|STZZAs+(}xa;XeELm$<;TR73`YziJM+VA;#%xEIQvKAE-$5QfExol@26Bd>FO(|(sHVB zjc1o!4{9?A`VzvhyG*VX@byNwi7Yz?k>!+p_Ne=q}fdZj)evu(^Z%$rcI`>E)lFfLShH1_5f zTE}+WPu1s~c?T+%>96U31r|6JOB4=Zgc4uPW=8gz8>dSlZK-kx6hq^-_%+2GkL(b^ zKMw2F;4+lkbgU)ziD|#7G<%aq&XT>hXnpgl%lX{aWTT-QJEP)tZ&5RmKQPi}Kb_0n zpZL*w7msJWTyy9g7+U6j8RVWg%H8KP`1;4XA;Ix%J9rB}W`46?Vdj|1w=nmKglZKx zqHoA(*`MFA<%OV3NDb$rtZbYTdH4NVS^X(2hBAWG>{UmE3&urL*ykzKDD5TTnJFLQ z*G+DGF?d2=%KdCzI`lY*dgzMfN}}FJhOBS_>5=kq6rVvClH1cdclHyX?vSH^&w;D1 z;kBy7?DgQJID&p@93;N&DWt6Wu)M7f92B1|~j{Bx`)we~(?ZbTqgkx^Dq zBLv?xtU0mo2_lryTY0F8Ci3i$X^zYKZVTu2J?kCJL&WdayEIqM=&ORZ=DCotM##`~ z>Hv}IcrH~PkWof)@XK)CUlsl3YoO4okblH(_K5OpvP8XFkx)2k_*PP`Uv2GS~r z4h6rXl}9D-Nix-mh5_t9_5cCU|3ML~|F-p+vB}SHwm?ePsh@($f z{e^jPv*3L9%DxuOS-TI~(AfpPf_$d1*g80JvP$?in_$qwnMmK{)FyNyh&1~|II~im z#pts@MG$E-(s8HX3;mk!XreF0^yxd}YDzALLe~V>m&8OIvng46a@!3}f?4Xh(i+ z@ues^qUHj76WMjs_I04eG>-#<)`k?vS@BMdKl6bg*b1q>@LA?5RoaY+>c!RhZdiNBr@TOuJ za=Xg1f1oJ2SKy=XT^-gwupiP4wLgS8c)!A_ZlGQxn*#;w7CvtIlGs!}uU%m|@v{AWLkma89+zQFV~F@pb8a z0Fjtz?vOw!+x@#YO0<+aaUBl*be3o4tro_|`aM{VZ6u_mEr9lz)nYb1ll|G*{)eV$ zNw*lcM>!>dnvR7532JEB;drK~YbA*s2fZo-eMB9_e~P@XeD%vAyE69{nkQv)x2Tmd zKHb2A054H=h$SLLGww3WTXZ#EOvo!nJ1gLUpa&J6F}jZNrTXqIld-5Y9<96dAMTLC zAMTinEu70hSLn`_^0Z*Px-`vJdyeu z=z@S<#<1D3XX@}QPHke^1c-Wz)LfC4GhO`3x(`D{P@D85Z4V%gNVpp2l#Z z2-Y2vAQx1jv)s&Q?aUv%u4v3tC#9x2*c)m&k<2cn%xaSqmAzt7ab!a(Qa0z7_!j%T zt52Lp?-4g|OEg>g#So_sYc9$f)+`g%5V9S-iwuiX{Hf+Z8DLDQig6M~@1fUZq%h!@ z>5dC=X#q*^#xDBDXR>_$RBqA0>L$CQ40~7f2I-%+Gx{5=iZ?A}<~sE!!=)dFuqt+9 zj;x<2Alm5FNxjGm8NOc|v>ET$nmSD&5tFstW74268j8jIGsl69DN>1D_QS@ zv@uyU)n)egY zm>(xJ)QIDu^&$CX=*11TkMr{Ud_J<}8(!E5PvtZ33S}v)uHNl~w#+Be+#pD|4Ov9c zzH*$cbQMxWmlXc<0x&f37$^|)RjwX~N`x>@+g#&m)-9v_hZtJIdFLW=aQT9oOp8SgBr znfbCfx!1xHA31APUr5$%$hb0`3S3V;xUN#n7q6)}h0*S~-Wkux|2SMhdcP{rN&;!$ zB5Ud|&rVSuQ4+Vk|3dquU-gfq)SD?2g zXnL?kBgT>T!&uYYr9p1}-=qJrPK^p=X>jZDx`F@6E`7W$sc4KuhI_w3AKnIMe=9i# z071E;3t=u@ zHw-F2svs`~o8NlPiK5m$4j4-xuk`h`O9{Q!vbU+xLF?%`_HIR=3%y9e-?L_X%T=Y2 z?1_|K<-<#I%i{$yVeY4KL$E}#+uDVygT78x?Dg?GN8m?ZP86o+k&UsdOy;;l6>Nd0 zdmS_3sIEO>N=JT-?ZbFWngM~MC@^WRWz2{TyDTFEd%UDmeXnZ_8p@Q-SN*%~hOGI$ zshe*`Mq0TA31(vgcNr(;%Z0+T>HtdV$1vh|KkgurxP}Xg_iKJ8>_3yb!S1lauF9+c zZ%;-Z;)2X94%<)5E@$*Lt*h2LYAo*tCJbnIzaGkOkL#qkhIDuk zmz9Sd#2=V@!pn8aQiIvhwF7@Xf<+Oys~u?v@jJ167e|el>KJlk^FuW&vB}^?n&`$KL$j81BtuqJHqh zphfwHCBGAI_Eo_l0H)>aEuFf_NZ>;BpL`IEtrv(B%EKsE9cCTz zEhGzQ5HJ~$!`kMx$XZ70VxDl%b?rF?_v!RntVkclu$oD(VO-0BrAo3h!9gWZo+AQS zbpN0v$UmoMH1JzwlNBz;gX9j(_+=L##|p;4-u7{e)XPq+>OPr`Oqw>4ko88d6 z%IcI~MOsrCGZDs*rM6M?u2z@sV&bWKAEr|_XOtzEEM|+x1TP4OWi0@1PTbdMvJuIy zG5a*zlI$+z{fg_nlS}h&ULgRUodDme8g{3ub=*^+V+r*U+Y8i8Da`XV`#2tvNbxYI zk;#OyKss^T8%k?fHFjb=C>ofZX4#u!iOzdlB1tpoYp{y?B@uU%M$;8Z&7Yy5Z?9*2 zFG;3srr%}jS+Jak+t@EhSD!+o@(<>x?q(t`T3I<4U{yDU+kk+a%gx1%40ftS(W;+P zSMAe!$!CT=Wmq*YxjYMaz%>O)araBt(hgEJV%yLG;~JL?wQ9-C*hNRQe(s3;K&~>| zA>*5%*5I@A9yUt6CyXeksL||y$5PMQs0Xs@6q-r^uzoi$C~I;L16h79R5B}>->ePT zH$8+HIP^*<`>sGu>`vyu8n-mM87M6q_bYIUk@(sTL+G$bYmU?J{u!`t z8RO_sBPheLO$1RUFx@Qx;Gzmjg+O&mxZhudDKXaIGarz^0~uqcvo*AUz*I(wZ|RBW z3T`|>-!oocWX03j4>ve*cR7F+-Zv+*=W)$pJ4ipsIO?QsE`{pw%-+H$2cXVRa_zhT zO0}7(v9{#SMdMfDg5F`6MZ23mF4rsK{1S)dvV7G@JhJ7azs}$$wW{ltO5<@d+K=oc z6ZAD1WfLW^Q>GeMOYA8SY~$)bAXt^P%aKWT^_^RN?#{;9Q09;jmG zG#{9>OD)+w7BWO9**l0{<#)+pc%pT~*%TXfBe9bKiizXA#%p>`>%0{>S1`=sgP=sJ zs0m)d=5wk*HXW#Zky{HpMp2>}NH$cKneoKT9-=AcAw64NYi4D>h&MBfezrMQ8b4VM zeN{MW(_P`LKnPFVHo41_T)}ZiUN^J0`)(&vteqG{4Q-v@2d8z}GE1iUym#-M_%89) zS!oBX>^A14)LSuYHBRGrYv_-d@uCBcO@M_|1zavAlM*Zq;SRFfs? z5^{&cU1@;p)cp^(w8bTvLUmm(c4 zM%Krf!Pm*Vpc#p{zjMfg_+x=74E{W_bSxS!ysUM1$zyI_A)RJsE{!03pWDmv!%r6) zk*D@7c{A12X-c~umq}yRf}$-@!)Fh6xaR(Z?0o5i47qo*LwPp|$kmXTCz(goD~$;d zBgW?9s!iIr{a+Xp2Yg^hkZwc6<`M{hI{$B#ADbl%DRL#?HNTE))GnZ$v)%Z<7@I*6Gk6kpsDg^Dte ziI@$io++lZtv3Ez#h|AzUeqpW2J^A#hBJ$LZTf1xCfuM;-*)AIe|xn>3pc-(9em8) ztJhjvL&dOJP_^J}1(*6pTG;$OlC5AW-yoNhv+21_kWTWg22U9?{duGoY0-+UfS$~5 zN(qOMYKsMY;_k`y=;CEacH6@eONZInMJf&7pBFqU!Z*TihMu>CsB3>g8f`vv%O4cw zoVVl7 z6>4zAYhV{wIwrpv*_h-|`Bq5|z;6(c_gBZSqt}CP2y1;uZ$Ey=`-(D-VnpYsV4t|a zU_y15?I8Wd$jrw{>Bm*-{8z&ewmQ=bfc_jUy8<#23N5UN_w`ZYvz6lqlxE}v>U89z zCr7kCVA**NCB8K4yBab^KQ_6t5hR}89HypId5S2Hsoy}T^NyL4%kWrJ2<1wpYOM#( zJ-tp}sg#{_4pMRe)Erq1R|G?7ms3#?h@8$rO)kU z;l%UFO#b+LGko&{WzxC3WwOyXTwr|{FnQh0hjxaoB6>gNAEp#5vfOgD#us?v+9_CC z-=xR~VCIb^30*fPg8c_y7;iCezNjARj$%@rE!rQnHxQ{(QiwXK?v4zoPW8;QA_fmp z?=$v3<~z{Rpy0m{8G}_}&AdE)mu&7^{1P}ZG^)BYc6PUm6_zpn;Cs;;FPaGMgX)fo z_}WUB&iu^9oG1UPKbLjN`h zVDlKE^uKpO|4T7Jfa!}C`R{aTI?YuMw>~;`B}fHj4ahkaK5)KQEnZ`_EaQO08Ttbb zk$=YlhM_Vko?W!3Mhs{)6dTkmBN-&#YEH(=*tVi{#<%9g+4k)~`7Qx9bdqeJY93tg zpni0hfrr(?Xe^uq;jLWY*Z37Tr&refxK~sD%SeH%x)%DtEFSCj*cj8ODCm0PF<)`# z;jysGe7ISD&C&Y>^#Ec;y){5oz#4U}mryHX_9sRsuvymW+H6PS+w{Cm`!}3_=Gt9? zhYD^$3+to84&LQGjo>Wa#v9Dmbi3tmHVN-umh}GehZil~uq-(dp7o8tEyjIsO-$NZ zHW5lU#arxYqNuwG3}M@Ncg;tiLdF^ibN~M8I;>0zjR0f*T#c8}5cs2g>7Wy^6!{^V z`l>yko)}o&OABC>0;{{`qHm}c;x7u!Pef{U%-Qdal+5KQw(`XP8W!-q{eaQeq*+)y zGT8)Hb~Eax(l!%TrAv%0B|^b~N_I^)0#6vq3uBR!CRfbyf;k3IdrzoHr8qq&yEFcZ zA@>Cq$iH&DloY#92T86f{H2#DW0~rEBR*``fMj%iHj5HD?{4e2Of&bZt5xu5_ zWCV~!|LmoQUZX-X79oK~{(r2^2>b^4bHY@}z{d!RZUp2L7&u7yf0l&|1p)_{R06Gp zcTs@VjIn_Y_<|a#;6Sl@6OB+CxCvt((di#F@sP#*BT^jsFH0mW8I52?1){YWCjSMQ zhHj!D0yz+Xdoo8~MK~=-ADE$mgHZY#0@*`U{OHJ7(i`S(NAZ}ypyP$k`Qx#ZRhkW8 zs^CVbP6gt76PN%*b|WyeC@|L!vO=Y(B)6tq8z`zF{5R#uAL^xpA58!M5HWLmY5=|+ z3b=acHS7Up57Y&VxppDG{|b{L!5n~+`Baq>{uBCWy|e$pr#~P$9h?TSO@?#MFRvvgaU)l|8fCx0L}!w{_XAQb;(0j$(iv@`Z7T4Z7Hb1Sq=B3sX#+=0{R_JQ z!Y}e{>?~QVY|8;8^6~vMv>0G&)O{^v_%=+}8m7UD(&GgP)=(-i6TnPC zz}rl~UI29dUm_JjmueG5C4d6LsDO|EeklMX>Vr>sz3@3yyukB+U+Dp$E(=kxg$hjL z3Id=Pokc-_uyV8(J&F&OinjZ&dHp|||8+EAV1^==rKeXUL}g06%eZZV`KY!+6pFO0 zk9r#!xHqS!|B-S|?fQaJ1?`z7K2p8z2G6Zi2fOVU8ezlp-`hhly&^cAx6sxBfMh!e zoHODpkg$q7QA?kQBj=LqF;hSlNFcz;{Qd8U4u6+Hv}7b8QV*d-O=6deXf3(;6KHz10>`Q6esLpG~#F&7~H!m0W{c@e6&)-5= z{KU`b_sVS~&Zm!YejZ=;3vfHKxP2lp#5y|v3p!ujKmL8L@WYR|>bJTO(6@gI5a!nR z=qun1Zc>tJ$CTw>c0{jMM4RCJ+Rpbn!!Gle-uAZ&H5>rWp^>2dc^g%h= zu^=N}w4oQ721r8#1WI;9k;KkYG2#3=^j%Beiw!wR_DuL4oBtgC<){XAIxy&-KaVa1 zB3RJxk6y2C=G?>d>>aI6KV0^!CE7@?hCd?O3av&dENt~I`yBS~@BIZ`9-r?k0!3Co z_Ph8C+V4Nu2a2!W;ih;R_7{Y6&*z1i_RW`y1LgO7wBND1*MA%d;NJE+ahHdmiD#?v znpYnFQQz?b-GWf8JBsAE$>r#wk+kA$$2S?1=bG?j- zJ~viBj|@Nk3;G6Fq4T^qXHYyJrPsWa3<7OZF6(4IiERbpS0O1Za?Z z{ccYx&c6UH^WT-e3Y6+EsBbS4*gko|r_q60IzLqg?m+#-b7SC=Fg?QfZ-D5U9YbsE;XWdd6{Wl4mdc2U?zf6maRi$L?R^w^>A7a(y@e5;5Ru zjTF8Qa;vUnaC|$#oA1{UPkt6*F2Lxjlp7$lAy^SCxM)Pt_wGR(i3!md-Ddlubbqh^ z<>tKP(I>wSc)^?7qE)+ zKf?4s5HQAdf*(Oljmh&@RBhVtY`Eq%0lN?BDiU^V$H?cru}n< z+*oi43um-vVWNamL84#n{AF}!)XtEB=~-F-`5y6nziD+3u=Vl#fPQac%;}V0=jDl> zTX+Oet3cZTN*mLI3rfUcgq2paCTs-ZoB-kUqsmg>BEkSWzxHg1;T`+&^TZWJGP|9i zTL)S_W@hE@@RIxDKh__=F9J~tkj6^HQUSB?4!AxTtT6z;i6GTJuobV(qAloA$svns zeP<+`oClldYZbMbz1yrKGYMxQd*_ZG$2$`#B!oKo@E}n|Q#(L&GhKl9W|pM}xImwT z48j5|iE?Uz7J#q-71AuhEGpk>{U<*mf|Pd!bMvHQL;)p@Iqvc6Ki387l2{nB%1dVIy1W|j8>raxD4>FY2K{5%CgVfN!`DBD zLbdxFmW@3VCtbUjjou8%zGxc?h&c0bJ+PhF@goKZ>0+ix!YLW93DB1@NBE-IYm>Mw z2eTXnLB2x3eZ3ZhGIs{2`19V>P%x0;$M{wvJb7(gXYE{D^_W;DT{{ena=cW~hOR8B z89Ap>XI|uocVo&;-40JZj{Fo?{a*b3SFN3U9=Ii-V0$HK90~W6S9ks;mO3mF{mx^8 zkN5xRiMF>cW>S!K34Qvcb=0#J?-qzd(tLJ$wE!w`NbfjT$PpSO=Q?2KV9UQ#@uC4c z^uhNVIEMsOMAckvN0F^h#ik)Z0s(>~V<*Y?&-E%nS1Gc9+lNs*S${35dnLPItG+A0 zJ|f3PJlA_G$Cw6VYn&tMg4eE_-H>M_f+WI=df-6XfdjD)$KJ2XKf*| z;~eO9zX1Ii(EYw60@vNJilshuFPmm3uzUxW4sxiMtTwbVBV{}%o>J5Pw))(;bR-et zq|m*5od0%Is+e{N^2&lK-}S{)0l6P&9WQK&n{*BW1k%@HH?9PW!5H*OAfnY-POR1> z1$XsjY-RaQO-N%!^Dto4g2eKaVBw^1tF3DejGSe96P#*#v}3G}l~{EEE+D_7W!p!% zfMeW61@4ldutIew%F9sg_~T{FIdNKSfB(66L~0*z*9VO!ZzP?yHxo+Mis&MnNq|m- z#@ltbc_$!}^KFli2Dt(A){80b&&bmlvR-ixHrgx*qsvvZ`H9+CO+UqCa0wMUmX{YN zSeqRX3!`zi)!@s~;!W3TC*wt#=`rTIBL#giMVwsc`J;|`!Hz7W^(E7z z8K2gBe?hPDiL1bf05CpqbK39hCvLvk7yi9(o81I7q`NdaJTGBgxXTcOmNj0pxIns!ej)2Opo4*sE zld3kClfwB?h=2!>pgcq?@+NupULJ$vGehRqS>M=PV;I|8w7F|6r+6!FBxlG_ZdM@2 zcC&RUpsvEB)xq!3))mhA4JhCmRzI?I54gIBxO@Gp!9dgf3nKPy_v3yZ^~ZGD7l@MY z6F~YWEhX+fyb$-&yoLMso)}`_J0F>1)Exz%ipwkl?Iq1_Sd{q*-`n*1upe868nr3U zIc}bcNzIa*_FNf^Hm~gn6q{QxrXq{XY7mPZ;Jqd$_erpp{bmr%nACQ8nO&JS>{_wIwV}- z9~`}PqQ+}M#8l$8~-6HF>iSf)6G`b82^+w+NrAF47rmI+ZuHXe=$&?jR+Se*1+g#`wXO* z*z{?*pO`ZmGXi`ylyT)P@6dd4$TXNDn=2$rTi$qsz+ zOt@r}8&Q^P7EwqG#2Z_xju}Wr7;;6v&a?CcxH0mi336F-@IC}30_Y23qnQ_UxU`wI z8NnkgrP$3X@*~_#Slu~+yoow6{1k)^o%{ba0mRb_N+4p_1y9RmC3dIA1?=QZC$I4$ zIUDs$it0WK=tQ%8uApW6OaJCFskffjC^6O(G{&Tu=y->b>1(3!S7bh1nsOlQBUH!WRRCnMJ)%aRrg(B4JO<(ENAkgF)GRy|IU);BP=#bRMI9L- z4%U=m@rNZf1;4=DNHIp(-6(YQ;B)G0B^)6!+uj&5^|(C_!I7PB=mT_s_0e1*-NWV? zmxKwI+x7Xv2Jp?Ee6}=K0KJK^s83&$q5+p$UkieoocTHo@I)i*q;|LvOq#n;?3cB& zv>+gPYc#ACzuh{P88#huxKBCCyS*3^d5b2{iljVuj%9)xx2Q*TUzLrD8S05xnQZ#0m=)Xh=Sj$TZj z!z@wHBdX5#Me4hDYW;fV)o_a3%=vDXLBTZHZ#Ga2H85ZIhK;v`Mt_wf3-ib&?C58*d56K?FmSx@`XW8}RTL)#S6cGLOc z`sP`ihWyMwfZJU4;;3yX**luNUh;zbPJE{VCMlb<?eh53di>91zeJ9?`h5ciA`>81yx4Gn z$4KIck>?L1BCQa_1NcO0TK&@P4TQ_kO%^4>YHB#^M};Fe!ex?2VOS7s=`i9fi=!e1 zjCPQVfa)k+9syn-6d`Zo2ed8Y=@{u5d%_u#$uM(3LqCwZB1l}udTs)&8C#g+cJvWh z?qdCrLJVOp8$7`wh>1Gf5pf%gtt`cq$Pu~y^W(XOYbI8;Zy*Fm!RYLHpDrv+EQUyp z8sXfmAaiXHmIep_(gI*0>IVx;qh*Sfpk5e(B4vPcMzozwiQFLlI3PA3K+vTxtYH-E zlo`;KrBCi>JF;QBB3E9s3iUIuEq-lr!z1$_;KuAvs^SXco+;14Y2bUE?N_92HL^q) zuW|+iz_zc>)j*XR`3LA>%x#K}Jm7W_TL|L&oEx0bssoLdY4;|~oj;zFmxb}4wKsvs zcR2V)kgAzF^_OG`>4OEmOhQHyM3{;4OpRFm1~`JXL`_U!9sO0XB1K!K#2ZvkBNwhG zR?sg^a9Acx(YIN-VS z@`ALHt(q$0@sklcnb6vbyf*$^>RFcrdrP#4#10%}7&Uz221t`R{)Oq8QR_F%-TeOs z96Wt;klJK+@@ceDjLTtEr^Hegl9P$9@BardcPhlgQN^T?U9k_ z&lIlu-zi#p)UKNzJ|^n&ks5j%JWU>WqTa(Va?pn=fe#`?gET0QOg~IRzBWi&J%D0W!(|np6pkG_p$zFy zg2QWe>u(OekwdOL80p-y^K!@85yp-d=L{;g^lbUIn}%D-rl-O98ME&)AX?<1>g3CJ zahM$MM@JMGejk~qNe90VepSdkKCNeW- zUJ-edyHW`%Kz4K>MfDTLwC+oSSpQ=fLM_f2u}a&~kb5-3yW;_dif_I?t1~@NskUF|nMw#J0dNj&?dY?PDQ5;5 zd^Yf!9#q?3e7Pri_^ND6&6pR?SV}+v>Q_81@jxGDzg2)UWX|a!-N@ zE-qLX5EibGV}<1SEh}lVG^b4eWxFA4JNVqjIy>0x&uH?+`OIC9<07ybMpD<=a#Bq##8{TWuJB(kQgmD?Z)lK zg+4qXkmSzxUd0469=#e`PW4}riF}(S@)uQ4?&09XVLPO7M7OB>sNg7Utk^i0p%7s8 zt8Wj2%)zLt2QIMi!`V7wVW@&Y_q<3Nt=)BB73uRv#I?PMEemBMFXMEP%UV%WIGc7>yZnK~2i62Fqi<7dstrL|l= ziFdughE{q1%>Tb5&%sb%n^@NUX5{wihAIXiv1WWfl!)s{3sfg{r;`jRbnLd&-eU8f? z2bayEv-0|SX)Nq?JmaJHhdY2Z&DJqtwhbhAXbGw?9cJICOX_p$N{GS4p zk8e+I_r%Ig2oAOjbr|2G>ME(~GR$qydFFf#LN85ylBKA!-RrP;5r!M#4px8R#!W7& zu?-{ixWDX{UmRrsisq@Dc0MN$+m{)2d-Pq305$t~hOa~LMvKbSdX4OIwT3+t;^Z(% zkt#45(_C&BPvd_xbmivvla%g-E^1oA0GJ~s#VM_nbPB2zFsS> z)F@~yNukHV9${4I>mHXJOWh<`$%7vm5F{C#wwomAKxFWFZ{(EW-f`G=Rz3lzJ>{}< zk%`V2Jc@KpoN_NFK?p2zn7;X(dyN3QV!=(LvXs%k!MOTQA_+`x_4a?x>^EhG5UHy& z1oCS#2ki@OZK=)F^_(jW7pL7>N6a%i@4~yjqVDw{9InC>g8Vs*`ADa-cb-4gvJq26 z3gnC{8Me(~b$(6UR-1TjY)Xt_MY@xQ`TW;SUS|J`UmE&f70WM^ z!K2ro7n3SRg)4F7LM(&9N}{OMogTB_A9S^)8beGJy~OLn9-gVAjNkTHjb@Q~F$Mna zlWQ=gk;32Rlpn>8OBCBuJ4q2xsaR1*e)(B7eSx@w|*> zmKad8qbR0@g;L!wL`erCM~_j>U6 zJ z9D@=U@dc{S$}}H-T$txckDsH;c&wJqHIkUtp)j764s zn*lQ+mZ|Q-u`HZvqu*LvDTW6s7rSklySQ&ayeS+@5{O|$1MN9zXCTT2RB?bI`5vBM z;zEl_5(6!P?-h{7X0vjsGsdm+&0-KAi}{IFitEq3y)R#c_uXF*iJGMNd193n2H?tyi=ge{9t z*e>;Dd&$PvDzaY{Aui{#yZnaF2(I-vE_BJnqaJ##5#kZGpdiMF#UQR6kT$n=y?;fE z$QA+)H?!KCRnjl*f({3qk3{SL|Vj3F%E53W4 z#V&R=Q_KU|&&(`cYFpIYW0xx>-+9;?xY@Yz1mnxTT9hg`r2M^Kr=|F`p!Z<(b~--N z#iw@dihsbhtBR7yT9|wI>Oa7qgIwgdlv9~sCn9T3cb3~?o@GvMKH2MWg9^I)>dM4e zI2YTauvSG}j+S@(@>h=0Sd9yZbju)XW{{*Pb_~&#TDm*pk_C6uX?EKQE!M)^PAx!J z>|N>_|Las44+q*o#>#kxR7a1-3mrofmrAJ`r+0g2S#zSrP+@ej~aAC+KGN43(+3 zhT%T~2{Rb+bow@1_7vf`i~~n|KRYg_je##1k*bC-_o9AeAi0d)o-ooxk^mq~Z1G2@ zCbA4AQs`nFYT*>eSls#PEdXPJ*_4zCV_^L2#$Y7S^qZr3KcR11JSBS-ZtO9j~ zXVHCbioR-H|IH3Zm|6$@<+uCsl%Y&hYT6d_W~ik>az#pfv~3oZuNWKu6=k`vTN{0{ zq)>$)!eBMl*nSLe`!Ufbgd`@TaQ8Q{{m2560=L}noSC%xqFD;e4R?Ed$YU3?cOORJv> zpMKnk7dey(DjyPDrE9(Pc2Cxo>K*WEU-O;u;(CViBE9LIJqwfRZ1XZ!*fUE@2XOUC~sUsZh z#)pkt2H>_I1z-^KV=P-ZmIe%JHKMg*g^qiCFRHh(Rt+V4s4A}2kZm6>+S{GO2E>ee zA!@~rxl{ulUpigj_W;_w`5lx_;=ladBcQejEcs^F zXUGptTyAqb))>O!-c>1j0fn(CbAl4#Zm&2&wCS7&!frYLpbs^L?mQ%#rG zpKp%?`}}5w6cIFp&4zSNtw~rfBPw}-b_B%6UDT3RmN*>u=QZTpMuNLUNo$oPenzEw zwRCV-*_PXy<&~-iC@;n^f|CNRYPz_Uo&?i0-!(lkYFw~H!Ms&*+^9}oEPNVQ!Ek+rA=I! z^W@$%f~HDLcmMSlTl?}*pSA8N2y-!4+%||h%OX3f`Jy-_?5{F1#lON{?xM2p7(ojQ zj!WY;HBjh%DetW=Lm`=r+VVyrT7l?2W;0x16 zpd&K!xUG1~h$Y^>xP}BN81umQYhXV_C&5q+qf#Im)D`#b*5ty*W}^b^!jc*2bGO_8 zz!?Vv2^r~JNqkSAvXrr^jIVu2wg-P*7d_o`R(k=KyD&Bw?nT_PbB`VY>RK@*YP0I6 zQBBmfae*KJ6EK>ANzOc)>Cj$4Pb$Awd3um5pcI-cCWXZJmG^KI7&B zoYxC*jBfpvP>7ufXskXuc$wr7J0sukQ5>;|&D6)UNRC>73Sz7Z?C)OkaQJc0B+=x} z_;_Z)sT)WqnC~3@@fxVd(vSzcm~-3hl~{y+h7vv3Wshbk|4?BAQ5{xamiYTMm!;b1GGeTGx^<#)8Qpw0977#;+N7JD@cUzR?q+tnPx8(bwliEKkVpIDx zx_p^?1<$~0ZRZTD;CCKjuG@@Gnx{MRBcKL?9&JHWxxWf+{Y@}mrgK+6aCU;p|2oXl z{+oULg7`k6l&ZH-Yej1hgGR{~*n&qpjG*^rRjbd%>|{rMCEun8s>7b08lN5LB|drD z5r#Y}dFtu?V+<`v3of0wzVPX4ddZh_LgbRbk2w5v5{-^heQEABOA*;mqw}vK9-8Yi5dV% zmNcbnUVTirll=aZkRi;RwFz-a^(duR9oD~n-W9?C@if)`94hK5bm6xq=?4YUm2~e% zp(@A{Ig41Md^MA|+g^%5oeGQln+(TAI9EatylL>)IV<1#a{vUi$L3i$Dl3BPN2vV+ z5X(kixVN>p{hkGWD`*|>0@rxKwaIc)a6i0}wkRB_&ZUEEjbSnAsmI}Z-tk9v-N14T zGW5IQPk>l^251~a73`PS_XNYf`|-ge;4vuqAxmQPJR~qb3UhUGT{F`9`FoqC3-o@H z*b9w$ZTsWg)!2Q}xkl{f+`N5v_pxY4+EpEdkK}qdR2|qYEV|Cc8sCbeN}TCmnK;}MXw~mCY;lx?R!v^SH9>N0 zEqV3i(_hV84%FldG{yKxND+%tbgFQ<&NohaujE`#A%#k<2p**v{r&R3`R$UjB+JtL zZymoIaC2bJ5%y#<8tM9sV0h(k&&f5SewoZGD_X~oPf zs?VPO{&9%f&HU?h$vc3jrus2tzKU<#+*T}(gYm49G3-*~xSxHNqTj56F%)=S zV8z+20+v{D1pkymdVG0GB7QI@P&uMsIv#sFx@4a1hM0|~y+?V?1{J%^Mc(LYj;-W7 zEJwwnw*cDd`lQ(HF2vAtEJC`@)~%&~WL$nulY}NLo5<83iPf?gX1`T2X1{QD|4JEZ zm3LF~XE#ACbJ8YB;3>|7NTq07}x5Dl{W zFS;~T6*r`kHN4rOO6|e{L67t~Ijo4OAj{~>J$VeQgm=6nJ}7^xtY_uM>#x+=*%x85 zIwc`}epv5|wUC^UncE|H{?V=&Z{UhTKw5JdF0wPeQ;f>92RGZV5O z5mC~V2NyD;)qP?c`6GAmmfh2HB-rqMGF+sl)9-7yY(7<6=$cDrIq9}@G~5Fl=?q9< z;}I4EF`{YW3MbqE9RFo+I&b$6`ARz|Cc>N(0pcJ!kOV(W%*v%0dtR{n$`htM)3qsW z36rq2H3{Z}$)yFJ{UJ-V9i!^aNVtHYM^dvq&hn4h;IrvgnSa$@TU`E5beaRCE3f4G zOt7!7LW3Z@!&wIPD_nj)2{HZPJu5!rJoi4&RN|+uU6)QKK!gC~MzMW09=x(#GR+v( zaO{v#uYv#mnkVqhpN%QDQ+dvie*nK2_j;FsNCTH@U7xwdK4+02lTr6~MiIAo$BZ`c z$V?6h(dWgs*7;wJ=d$akG-&uQAD2X(uXm~JH>u(Twu!s+I5->OdR|>!l)3n}@Z z3M=wnVzg?SM9^vxo&lwFQW;<96~v<-ta883Rs?OV_J@458dCmqQXS zKIC@J%ntb;A-lWH(h#@?r7jD1&_24J%q=}i1w`c{A3#@ia(5C0Bj=tivRpQ7co>1k zvpkVds*kvD?#rC|4>0=osCRj6{#iXak6*Xb2kLw0-^#m(=^1 z2s50_tzJB09VsBLZ=&N2v~HC zT%n+nf=-bf5HzBKUixUHIyD7i_O*8j3tD5+=!9mP#ALt->tPyh+O#?*otHK5PN9y6 zr@2OCWs0!8HCXA&9A3^udd6T{7`+%@^|c;~(@8;DOTEPTqm9C0om(Owd8Ebqm?O$m zr;plg$Ys`c=>=bIA{%%ufH~ZGUpNLTKYxI9L(vuKrhjI?cDdAO->ZK&c_q~2&lr_& zYk(#+Hb5!&B{*x~+Eu-xMZ4GK#3o7yZhAWE#QaW{R4=ETGH)ij9H+o0u`v9(%7gFs zg#bl;i8NOy)@`ZnN&RYNAZJVZQol1HXjI5>Z2j_(z$vYNVMjQ z+XqTqdWiq~UN&PjyU~9X-Ja&Fpib3<%@BH0_+s00ls=J>me~<;f{)Ehp;*Vv^qb6fTFHn}#3q7Lp_? z9~Dl9kCgO5ERqUN+){1j@b+lKt2JtD^SE~hN96_<+wu$U1`XwHO_Xa`Tdl$7)O($( zLM&i>QqR42;qrP*)e3E74V;;nF^#L6LhbSKDr6mvE+NcqrdwJ(s;EOqNc~&{2wL+K z`=p|^r)BqjFfoTh(>LhDw0e6#dCW}DZBmBzZhYy`W>d1^4I$VcmUAN-ii~WLDCPCL zKGwe>zh~rUZN?O~x=hU@+;RH=?oF+c8<9qk{CM>?~b zAYAyTrg%q<-5G3^>wR2;IE55mycE&r;KuKMNtrhac|^{=11Z5XPm|p@eqTyn);Q!6 zxP7I4)tfO0GACQfq;<|2J8QLjB-$)xPZWmvU;EE|<>Ho%rXKi=#G>*U-4J%8ZuTGG z^Dflsek=7s(7Wf!vsAT#4_m(~KbVaHsHPHVAYK3~$S~=`wEo8f6Vz<)@vDf-h>0}$ z=wiom)E-R;LaQi74q~Qg_h&LeIBifkT;Ae^r2CRdAdX7OKdPRbs%ib~8*X>mo;1jk z4we2Rq?l;=v_CLtvu1Bo_JXFjhP=J+^4fyhFE!hq=Ae&!H44kG%0z$fY|#f((Fjy( zme!)Mj`v_-Jq0s9JJZxsoD3@(^S%%gL}A&aaQ4VL7$}vnSv~-+r;ucs6~dIevVGFsY#^(y2)>nKwBBI|1nY8@_(2v5w!d~3kl0rzDs2%Lj+Lo=i_OEUW zYZQ-ajN3{i7rZTbr#az6QtU5FwbR+}_kl;hu52?yA1BRvzEys=*t>U@m<~~eOJFZr z(-i`f9e$|V*@{eNeV35Tx*hjN!q99LS`9henSKikSEF)q%v4zFDZ3Bw4<6l{I}(`L z!y(SXB>ek9{i_7>c40C#ta5y`fVBM$#bf@U!ZcZsw%CR9+IWw>L8()(7Aim;5UcW` zmqtNW8Y>Sn&mRy1DXkuj>D180Dqju^+dLmcbu5-42=O$18dbv~!YJqpIs)6>!Angb z0F|*T`17$CU0a>1uZ23LlVE2Ba^WT$khX2ocM8!m z#$NnB3sSjKEhBw5G>us)$vP$3hd`pg-9jD!c4n2k*Vr-Ne7=&7OZ{kv#+kE~>baFK znW(-gJbF#gzwl#wCVeOK4Utx;>Q>+b^2~};MF9Xvap|E>BbX3Nj zodJQ)$UZa|Hut7dQ-NMw34WkDR|%oJ-Q~ycCFF(JZEG9()BJdDEn+SGvswkNUFR_~ zqFe2qvHIBvdWiLIRFt{(>gSNMt5xd*8CXO^r%RHDMgogmpS>*3HFQ$E zwd{t&ti6v@!#wrB-ed@Dzd2Js&J)(Eh(xyQed%vba5fuXXYeow)ith1nI3X+P^rnA z+p8?N;@jx|46?rqZ3I@?y8C}4dV;inBTfhTUazYQ#q!_Eq^&i-^m|#SwBS&b4=7<= zGn2{2HxO3p*_0Ps;9r`oxXA3PUD{|=zq^I&U;c#i)EU}nBbUBAx7Wu`7N(Njn&>vt z59_jcpK(zcw7btf_AD9GtYwCsT_pEYhK{{mRYwto>^2f5qk5^R%s&EoSKH6b>4nU> zNydFv1`Y;`r_D1>VU$+VFg#G1r{LK`UD-YIleSR77g&a6Paf4uue9xeBS(5Gi@Y7m zTQ!e^2Sbm!vhf#)8XA!t_2|1L6XpY9?-L&Wr=1DZh2?s;&OX(en{iJ*uhvl_jhk(7 zNke{hbK(U+dy{A0zU}4RPsD`T2tOL&YM1lg@w{^hqZPSE2?i&-2eqH8evTmpw3|KF zZBKkQ%puTCv9rVp`n;Ni;4Fcuw%MmY{sH=x9QH`y)~8lBdHB&7s}NwFg!3!HoH0Of zNh#i_(|x3-{FCJKzLsa@ciMUX4prdD8U(f=v76EzJP3R>JB8SLMHdx$(}TR!&{` zkLE8+o#$XReET_NgZ$$1ScUY0-K`?(5q>3ck1xz^)E1L+mD@W^JZFE|GFyJvckRTi zLHmJNox*m5Me@)hwdA}1eQNI2ihzDIcnlLf=!xd`_EigfNq?MBNw9PO#l%XZQ7~sc zYH-CrG8-WBVA8z#IS-+)=R7aLLWQbTRB8DX{z5l@C z`$wP0RJ3>9O5d$-y8UX?1p?BLM84Rc!qi=eODvOgQ$M-vH&Z;)MdO$mx#iZSHr~Xz zk?zuFz~=tUZ^T^;nyc^)crmW0;SnWufRY$w3XE*4yg1)-`rtCqdaCP6nqx>oc3*Kb zd=tdF$9pT!-XvqR!60ENe(Zoi1anySj{AFBpz8y*+E$zIQqWtGVPUTsuPjApCbiqp z-Z=G0iE!4@Xj^qwA(aVnZxTp~IghPrP0x|$O6`8k8=L<@-$HqnPe^OX1yq= zPHuu~*J=T}I*D*_x3majZqEDsTS?1?$p0|n5mj25Q=;!G}O8z3{oo`z1(M;pSM7x%f=+2) zzOR1h^e4%Dga0D1R_LP3`gz*I(`9MD!@mG2Y*m@y;q{h4TOre|b8{~Kzg#Hm3?o(^ zUmE2qW{%x(IIO7roUYa_Sya>Ixu4vq3XN(c{YXq^-x5Z7RetnudFkC%DDX^m zMr`kTJ;{qesV+|5zdxRr=`Z#Ig~(J)0ZEnghHW{u@owN;#h(YDOTvCLMG{?t-xPk7 zrb)8C;Vz})gH^YF`{TjGtKPwZwFjI(BjKLixYhtoI@IS5v`6p!kGE830?e1uWY1sh z!v&8ocl-T%zHm<0v0dJAufFP69{M{@ekk>J*Ds@Y}@AK{BFRxHKNQD{1g7P zevDdZk^EHYCn|URI!Ru>*|S%qwZo1$jbw~1Vd=RWMY*Q2WM75|hp5~ALarm1T()}JGAcnKc`tjXAS>2-BLUYIsZ$cCUQ)W`{kc- zv_OScjSe&#QI+Ic4&^*A{D?2z}LIz+IGoC>ivovM#@B z9ys-g{+&!4Qc9Bu!A-8QNQ6juB37<$3W6ia5ID_TL>HYV)cO(7f#ZiSXG@gWP%WbzyT-^FbHQFz=b?%6GQ(U9J z+(Fcd`yuD8g-5GzHggi;%c99xwX}IW&w8oyFTwbqxX!qDm zIyHhuV(skn(`z#_#!d3G3*+1!W@?^6ypDV5m{N0x_ga6S(Q=hrTm07(RPl8E?2U|j z73Qv}JfllA3x{XPT_$F~X#dl#uWznl>fmmJm2C&^eycB#R}kPDP`uX;D8_Tl>|?Of z4V&w3Tw-anChVS7y_DVYaax1it9FV6;dJR^^0D;zM7CzN*GOJBm#H%LSgxUefShV5-YM>3H_iwr z{u%d?<4g)o1h+-2vqAaVMEG+1{DNE=wf*d}UysSv9(L4&=v3dYvs<^X7Q9y}j7PL( zx3{^LU2XFin5BTu8>I}p{o4pH&)}wWE+JF`CUrFEZ+@I@k@+R}2Fx+T)r#B6g>dAl z@i*gZmnKeLsW!Tkz51Jfj`Jnux@UC7naw~)Fnb4^%TMkcU9Rf!c{{HAUwiWdJEJ06 zWB9NSBLBC;cn_tA(<7o_So-<$Gw;D`yXR!L;GEn>=$MOyrmcR#xx{u* zpC_BU>&n3mH4ZtIrR){H;bbi_?@zWk8|RUO37&emdG4rfYaWyLDi0OMTUb9~yyxpl zN_l%r1%sD6r8k9ZCH9Hf)$dJ&9temDeB%EHs1K|a{Ja`PvetI{#Vv!K)FRbqSqjk% zC9_%D5WYw1<&6^}X{5U5im@3?;Bt1Ju06zco! zi!<4ppK62sqXrdU`Rnh5^!vEm;l;l_#EwGyZDK~=gmzVE2GtZ$#f4ys#LsQep}Ma^rP($U*Lm4q$kGP0?X6U`JJ zi=C|iakP7I2IanA&{G%iR6r-bq{MAB`&q8gDJUBT**=YM$w27H&G?Ct)}syO#}23L ztKR2+x02^tJV4~t%o&Ksw85d>A#EJ$v%m_f_mLp%b6kP++QsK+U2b{{83~{>k zc5wW4m7tl&Y~eY#+b_#NTQeQ2)9TEZ>Y&p^D%ITe+V~J^c=b}l#}cSc_>aX&z9R#g==u#pNm zg&7t4B=XQ|LxLOZjlRC78!Gl+tjAinglwc9+uHeD_kAeEZyIE3p8F4g2a;{I!^}YT zI|Td2hDT|$^O-wv>uSgrP)lEDmZ)`-?6MJ)IzMXLGGsF$e6;@$u#ggtP`FE!sr~lN zookiuPQ-fduZ-Iv-7e4TiwIt^M1e`r0+!o{MmCAb9m~XAM!((lf#s!vS9|mD9}>T~ zW4HU*{XK_RM(H{Q%jiY@^T^V|CDR47&s7JK?o8;a?_4(5W2#wvKHxf?*u9z=}Ii(() z&rO}j;5$w2`!W9jhEdP!jVx68WC9qtjDNwAay6Lci}}e&T8q86o(ykYlbQZ1>#C@| zaK#Mx*F0&|y!&;3INdbhuTk3Ne*i{Tk*HCv{iwMT;b7tlZD%iLGpE@`?Do;G&<6@Z zs61nTlrku@S!l7n4S+4hw2wxF(xPWSKh5!h zebb^ECQp6HHf`%3Vbl`m>v?4;*Hqhk@kfc?!)}A4wn||jw?!L`ztoN?ck@NfeH874 zGwo`RZfT7m5`?vvJqj!|`|dBJ!QPiY{rKZ=LsV*2G;9a%W5)KNM&tE^4C7*$B+V18 z1&^<%A(W2`ypmo2rCG6M+?B%=CNd;t47syt(@Q_!r(Icn^&gYm@GfxAO7vZGYoAV7 z=K%{tTcv?Y>gyigSBqNaFBUkcHb5jNuhZ69L+Swcag=w1tcH_A^H;fSw!-8SaObU? z{HYj^E-X4%@V??IFs6|#7035$S?#xp+Rk_Oo}uObi-5wCkyD4~58NmpEO`)EBTd^?6riS`P#QbC*F1s?9iRgFMa!ax*PyOW`@GD|)I_dtY z0NP`kPqQWCb^pqH>d=G&4I*XW210A->0_zuWzZTgFTMHDordRHFv@w4xY^me&8Mfq z!L+)S`46P<{Qh^xOejZ0W1q2L z;ZQWj|6DZtyiBmQ@r;gC6V+;k|}?O>xovvj{%LD zsh-2~Fk*{~ z{=l@_Sxh6$5aBwx3}M5+Tlx9+{ei1 z*yL8MVYM(xWA5JWv8>O<*Al@IGPr!(BXBPI%6VJ&Ol4sWojHfpT=neC8K#Uq1C=K_ zl6!3bSUzV)Ey355Q;in27ml-uGysG?H`I_%|9)vLHGYfT+-f7L=cgI_FuS#49-q<7 zF!;pthT&e;XQ_~b?FW46FM^ZVZ-P;k_UVxe#_4k3!Kft@(*fnIC>LxZ9WmuKbZRyH zH9=2yBi(39T;7&8CiUbY|9qHTq3N4=8>|F_w!%jHdnfMDcbL=SZRE0-x9f1hHK~)5 zc(mqj+K-GatUp_;?Ofw;Iwq^yqo2lHZhjxVt3$5Sf7Q4$|1M|eJMhufKVqeh>!IF& zM}Ds3KUJP9RTVMe4_+x}5Vuq8kT^zjTJ2+W*zq7XEce;CUE87&bykVR_p$8u}I$_W`^?U~J_V%MhL{1EVbSZEvN@8$&`ym}Es9JN$V3(iyd2e?yB?Fb&i z+P0!l`1`&`{os-}6pjJ88b5kH^>-#c20Xe)K}SC!%lGa*`5m%UQ-fhUop4L}%tErd z)8}|aS#NXBv2xa8yPYt@hfwZsgvmt4pQ}4Po%xylR&^@rm22aDTKM(inVrsCq_Zkm zyn?0Yy>(VTlGWvL<~;&No(n4dE72iuAxGqHz+0-S%)}^Jes@$ck&Wx!MPxIN-aJr; z6QE7j=5z`XM^b*5Zp0I*s>tqL??8&(Hi|-lCbqgK{Pt(ak0WM|C3kPK(9# z*{^T4r@Nf{$D{)l(1()9-gvpr4|PzN786zIn<378Kha9!0jgz7@w&q|^j3U|mtGKV zcvSxeVWy!ozO}vDMNZYl@zx6FaI#NKvP;2Fn>tDIKqs;{PKWSAIcixgNAx=4ny4*& zE3WK!$0pucJF=Nz0J}ZXt9~J!$Dvuh=VnX&zco$;8O$BH3-_ z>}lglzjfEgpsTMH^TveHatEqB?{%rnx0e^pY@$x>X@;zSwH+r{_{VU3&AK_N149?* z5AHB_+aXI>y5KR=#2@n4$gb}Mw+P-w!Y+9xNXe5&KQo0@3?xdpyKfRsRkOC?OmdT# zc<;((biOnR*NWGzcyQ-Fr|$c`4>QMhzIcYx^8uGegsb$?ZI02MCi-N=VqUW7M{M7P zyL^h!%Z0kwR{3?rqneX4B_*%pRq1I^KhV^;?WY00c;?b+lq9X%UK2h&FZDlPUjl(V zx7lj_gV8d9`QG`#5NQRWA35fPDGq*FD_`}~zrkMqH&yiIb+i$WMUFaKgv(`AaJI8( zu%Pv?$q*=<8;$F}2~~BDTU1ML|55)5@>x?X6GGo`S*3qGUo4e$?EYMVYSz#<$Hy1P>;g+3yrCLD9FO}U~DJA{-tVAZfE_2q*(KM z`1T|_rO4htiC65|2eUlw^i8ah5v(e6CMf8N*mJAVkyI;Ypbq_dYpFv{XvK$ROS4N; zmuF9Y9O_Ey7T+%o?X%$Os|m3FH1gr?(VF7#G(rtiArm7>A%839A3$+8G@Pk~uDb0$ zIav!j3xnF@f8Q2~vApQ3J>o}8&q0-!{j#A#TGKjC(Jrx_v96dkX7K7loJZeoB68%l zJYW7dO$HZQPh<*1w$`kJto)`x9zB0=A}uM% zVdglb$2h1xF^?dU|ni;`qz7N)Gh&<@>9&qsh{3&RDdRc+|2vZ6}Rnr(+L*e+AnM7y4slG09o-5;n$bwD8IW zl75qZS$qttCvxZ7FuE2~}Yxm@g*!!_v5 z^{sAN#ZUnbS~}W$=DPfKv4HLu%9FZJ<7Lx-Y!TLr3On?F*gn|32>tnO25kSJ@Ct0> zXdZ9f@d?;0b*H_caG~t&hf0<3-^mn`V=_+%q9UrSE4;pEe|!$Q4BL#FANhO;uXcy{ z6_eh%-TBg%4-)W`^Ob!du`1FiSM>Dw^?`t$v&!hBw2f&UeOmO3{^s+du+aFBFZY%@ zRi0^--tL9_MGD#8I4b8^`FOUn;9j_$PsMeHCSj4X_U~AXKjuvHh2)nB&!Ky@jja`%MRu6>X|sC>$UUM}GUzAE1lp8b@L0T~6xoz9_m57J-XzFrY&)e7ql0oVgJ4qrM?FQA_I;sj1&JEeX z&}TS0GQ*2+a|vtfMwyv(nKv>s%k)D30C6h$U?GetwWvtgn|*Un2F+adwAn>%7THU3 za_G0U)D~$>OwM;~T(MDi4PVydRR{nK2;xIRVf{K#8`(~~nlGq9bA5@)eUD^ACk>?X zdSt!!X*#ycO+29q9Fqt7;6)};BGMR>-jT^)?SW^2!%fC5lFjB;%#pmH=!hoTWh5At zu3JpAiGAK$G6i5HI0#MlIu_2$Z3ju22q)#9CK|kx4qI)TkL@k&`#J^P!&9@Ob?Qay z8wlQ>3UpBJQk&}+Yx^ZdSoUr+OqIQ7XQw&-_F{^8)DQZcji8q!2G6=(C6xwMn3Yu7~lG90RV8xdEB>)3Wqe(+jCiS#c z`&mDapt4MA;Xup_j=s+C!KE|jUDF%yl|LJi(P5UcEfk|++9O7+Z-T(^fW64|rr6jhJvp?_{=Ds5NAJ?0fq#O|O!4e7Cye zd!OUVaM%9Q^elNKBJ^+jLG8D~bR!a&eu%dcSvdi}4grrHxYm;@>B}8z${!87@(>NS ze4wz&gk=8x&HhV;T#|60f+{Csg&^_;@mB!0(|4G=GzAbyU5Yd+kpqlL@N=2*-4YuZb_c4xa;XWKmglGg-bvG0BJ2S3EB=!)O=w^J|8Jv@ZAUA`zML= zrHhv<%D%k$^m27+l7)nud1PRp(;o)U3TU5?3fTY{>|{U$B9>Upx4Eu*XsBp937K&> zu#0eDshGY|OL*U$3DlNJotBh-B-XT%g`ieuZ@@N zqIpI@2^|;4(^E2P{TijWih)jgpHO=s^a*VL07D*S%O61N%OoL+LnUZv3tKQ8w{H5W zNBi3$gIxarPM4RjNHyG=J80(<{d1AEU;QhUyR1hYB zv{)!LOTt26+falWK#a1e0?-9XauDu{g69374L_W_A^5&)4%IoiTi^hWY_=(mpfa1Z zx*O$fciaToANEKgmPtvrn44lrEHdpk2U(CjAt1w^Cm;fS_9$YC`ZFPJMBuUlj2O+h z4G}Pv@$E5b$`TlBN96ATFU4eFZCPQ^neOEnNeZ3G%*xxz-QU& z9yxv%CcjzH1TJXiy@l&)_?q6w5HgHI3CNLqS*-LhVYpq{4A|HoHk)h8=GoGc4YYYj z%&q~b+&zk7Sy)l@vq)V-as8&QdP_GVKnrF0o1XQx-{(?z;!TdjfPvBgEEf=PD)ym6 zk=o-e6CmMihr<$mCV3P5VNJHo^b9`k_(hY85?^(?uf&n`WTdy+>~ds@7Q||M#J~=j z8NbQwvQhD3i*yg_aETC*ptt}-jjJbiCPq_u{KCrQ(GW`i04YdRm0S^xnQ)NaS|pR} zkbQ_K3nFUo_ROEZz8a4ybMLy&dpgT{WToMjLX~&wnGe~Z4M@=1r{8x#{@$R0B$7b` zN`Wcz+@ak$nVU^b02Vz4Y|3#jn6iQpTQ$G1GFxu$(W_^BQWs@5liO0yQW(ZZ{{a60 zCpJz*x8GzVfPj9lze-7A=@@1mD@hsKju&3Cj!%uqfd{w98i;KF0PQ=Z9sHO@PSb-f zQ5pe}2HBb;DL1Nsv+wz`v{XM%sgGTeccAnR;|B$8xRHFm%MRu$5Wr~dc=a?zPZgiVkX|A?2xe2KqF6Ka zbSJPM`kO&sU8qr6XgQP`?IG_UVU+jt3t6RzEBI&(4PtG&YA2teF^~xGHisEvlQhv| z0M`_D(qSGy!95NH4rIEfkPUiwD}J5suONPCLYKO`z?c4~z(TCQ(P-=qV zb9ibHg7y!uRD-7HnIOZiTWY1h@ChBGXgWD&Ewf?Vi7;3R=U*lQ)puM%<; zm>~VZb=HD<)$fK&k|LbpqL%Y8nzq6o@oLcurEeCn&ZxE>va$aF(#p9K<48b)8LKHr zbkZ@LQU*&UDf_XSiln!f)vmgKddLD_j%K-=j65RCMNy7u&?M zgx(&-oZEQ`FY+wHC7Lb5Q&BJIirw^<-U>v9GwOg1OUZm7h?U**Hxf*E8q=+;uimN+ zB8rGfu|mxtj>lJo(g`9^L^R7Q{kb8t(S-gL(rrP7gdCoMUG`7%O?-vqZFtIU9yE+M zYzI(*<#PqR)+KS{o(d6vh50| zo&NwuDdC;55WL{fNhA=+QCk(!`zH`Q2BXfFn;|NQYHE3L^-wI`E5KrDyb^4J(&?t! zZjf6{vr37vr@QPV($y`!ULY7K1pc=B;9yj|llJrvWDxrWHqdpxNnzTSmlEOia+!Gq zpGcAk;MQABCwgR6;r7NquO#30J-yo=oA-!vW!^SE7z# zVRr&kxbj4l3-9t83$;*fG*Ax72mSlE{{WMI-0}<{SQXjBVstpFj<|r5@gbf|EZQ+* z(q4+P@0ewt;Eu~lCfXz-3GlYtBeK~whsjZEjl%_1d*x=_dpZ02{cu2lR&aHuJ5jQZ zru-XcFi}B4Me2UvQf%4Z&UMP`dP@wnm$GKX>9T^o#s2{5z0R;!OHu0;Dfk&Q5cuXr z6K8L~rLL1mRxTvxi`q#bY-#op5S-a5?wU;>yJR)LA=Fl_f1tM8&Wuz0MsWeY{ooX> zR-L;em~u^$NhZZuZQ$IrFfcq9{-=D=iO+()r8}c9mIn4k;PjcJkHy-b|$Z$4mBwGEW zi}sS1W%fx;`M$gssz~rK*pg`|wKZ0dXEz$#Jd}VJa(!MCxMI>uFTR7qGfyo-TU54EbE2vA1nU= z^V~g_OSx3dr6clbALaa=VqglE#4SW0w%Y@}o+MnnhU~fOAoZ{#{{RIS!e3f)i;rcX zEM%G`uxmZO*-13bv1cTkZKu-_UpAV1^uzR__EgOujWx6NZlQBLYIU^Ud}s_nLo=|M zK_CKA;ImS>GD#)2Ug?n82C`4(2khyX^BRhb?NAcNgwu0W=szQ4Z+R&2xNyWC1GB9!vl(#>Jzt8;Z{@IbfB-d$Pap>2nH^dx_ zYM_n6Ue1i_x5qPaEP**luc;yfQVoKE2?V2W68G;mz73syZXgf!Pi@@=9Dve%i)^f^ zk>?{&o(5yKHw!HYdQOm9N;C#qy(&Bqz#)KuOt6p?(#j4-+f0^epdU0%n~UA+P~M8! ze)vKDAkdSUB9cv}(`g>n5UtZqAsZCzHR}5Yl1U)&n{|STxG?>uln&fDnP|L~BHK+e zFlUtBTXhBbXw)@^B&~={4w&6be zX>a*Y>UU;hB;H#|MI*{200&yXE(xE&(u@W_^a(tV5uyJ4-N!Hpa2y^{v?M{k7@ z0~U%>Ws=CG!M8}$^eJJ^RoINOo9a4m;hueOkFk(IF!EuR$j^aq@O=yi9fxfoke(|S z5Ur3%b&|@%_s~GrODz8QSEQT`S%2EeCC7PWJEig@Cea9za%rTA!&-LsOb9D;oNQnX zpk91{wd%*Ni|<&)5Qf|J*`h^uxg-AiEFmByZMd0ajuf&4ggFw3L17y-l6g%8wcLV^ zAD&h=4TSY4QY37cmP!_do2bNfETf;WqX58+9E*S*l+!vd|yW;~6J3Y?Z=QXl*5 zu67R>;uN9&^{+KLdP;X=4G_IdH3Lbq>3BYw>!4c*rowjAR&BORAPCDQwAo{ZTV=N+ zOC*vRhDa7oo0$7%k^u-Noq$PN!37b^g;RpNlSOaecxFi}^v@h%OwJz2Wvr6yv>R>$ zNNBZnfs&nMq+o2AiNiyt(b>M<4ha;0Z8>s*-a>0=k=O#*1Dk6x%06q@y|r}$4Q00f z0Btr!C;tGaAfIgi0CdG9z{+l{rTHV}Oi9Ts0m86Pw%T0q+GY0KX1c-2rn=40Oh}sD z)+bYB6@Z~KTjGMlOx8L;tk58XFKLH$b$Gv%5+vTn*)O17nIMt&L2MG^f!4lQjx|v< z53?<#mhJ*2EE)+O+U>s~p*Fb;=0R`~E~NXua9kw9#aHsAQY-r#@yDrv5y@t5+N*V-Yefh=oJcs$*vjVn zC(vbhm>>d!ABs_GcYVKmwl>>tn;m=(86mKXAhcLTs!0L98zTAZe(R>_?l_25^B~OF z_KV15u-PSqNq+%0Vm@329y68UNf46Eqzn}l-bEyu!88QPe&MMhTG+bqg_?Ho$uP3& zVLs9=_TOzcQ%$y;FDA=nH&eKmVn_ajcdq5=2up0Vn*}t}K?I&jBvLE~>SdcW{*S06 ziCIBEw;}W*f!k!l?CcJLdUkN!qU1v++$M;8@TXJZBH3Y<$z_5Aze1VlvP>cMjRiZp zSTc28*Y0Wt$by4&^!7<4kV%0ii{vS_oz2OOM(iPP{GYOD~@MwJu>~ zxC_6zHoV5XJ1mobNFcwL&np8U?x8 z1QU5_vUzlCKJWl%l`^M$>ti=F#t_j5g|`f_;S((U zH#5lb0}Jj7baU_cP`pIr8>*lhf+hyi&Poop728abd#iox-2Qaa(|P(>Yiuy~3 z9rlN(D*F>kw=XwRF-+|VK(bjZwAnnOoh(;( z>5t~}yE$u}Br7w6c}Q>V?SWu8+e-5yF_UbS_WOOZPj$W}S!XW?Y-4EN+%y&dy*Ljl zqA|_Yuv`W*3KMuL!Z|g|tY|Hi52v)+A_9+3v`m20&+F_GStPK`Aj7uDBRU%$v_B8g zZ!TBkCf0w1j3K)`V#QEBD?uS|CzEr-Dkva`C78*L5?k#cd@M#uY?g$Y#w@Y8lp}2% z+S;ShO^V9%J;-5{#;#I&zRO$9e`K0FZ4|N)0=Pn3n}{+Mf)lL2}1VK1;KPWM`m(8@GA0z-- z^1Z&y7o^c=-Lwu;`by+G#lK349Jav;2x^RY2`2V@vfuYCQ$Q5T0R&5I^4^G-fih}I zXr$!EA8%Amu3kb)S-fpL1f9OAibD+*S{X&HwN8+xd4Askv@cJp@Sip1ZArX?kZwQ* z5PB{AZUNsLlYzL}_mP_#eGV@gHDLy}tdl7}dv|Qk0oDu56PMu5D_=`m9w)b$NhcD$ z*Sv34h;0Z}EH2wKT>JAWq zO(X$il1VMH`(T8_ES1RIK3}(LP=8kW)J` zXgc_9^7Cz$h(L{l5GKz6ev8d+7R$o1?j-iMc|Hl5*w}yQP<$Dx&=r7EtpEl}F2jci zf|~GDieR`ZG|piH5*(1pWRy*0m&f3;T>v{FC7LH$eZJ7H$8+J`d;6Dy6iQ2$!p)a> z8EEY0LkC!?_d7#V1gedFE!QqzIA!gpKM3t0Bs{{6 zoCHM@OW}IQ>2YiXcDVo?S0S4CqIoeQ*=>Od43Qf|{(k@v>1pIkv`^9#{ik6HV7jay zogUoXv6*eQabUK?%Z_{kI+1UCR#L)ql9c#gi}&Cw9fR6?ApvYnxX@cktYXJsnqyp2 zLbH`-VASSVg>U}=DYv3$hx~{PifuuC%v;&qAk4YghVdZ3LeYXsZIxqp{d=Q9A4JT)s&5dALV%N%_WxBAsCM3gM&J?p|&hvoD$*Pbnu%b`_cArBVLK;@gZO=b~N#e{$ z8P;Isic|m^L@nAUspYoWMd>J#NuY6I0ILEdL(~CkATNSsZS!pJ3D8{R6gg?6OEw@| zXSz!Sme?S$Qzlwj65@-tF{FW+1^SNn)M3ia;bcUU%4xO0AUYu0mm+Al&n~Vw;B|{K z1KRj*cLLQ;?aT`R#F7(XRT(>f_M70Dzp&pGJt`p-DBLLw$f7 zn1Fx3{yuQ=0uL)(n%VUB3{PyFmCi&nw9G|jk~#!}NkA%}%dPu>U!l z_reuqa^JZ%x&Y`0wJ)jnK4@VA!%efuC6Wnr>bWe3NiODveI+uwp)}h0KKGtdwRO&K zG<_hp+YB&`DUiNg&;BZL}gKol{zL7~;I*Tg>96X69Y>ufr9ydLxIB2!8NkfatC#`~7Pzy6xq zuuLS7ND!9EG6Q5_iAf}i2g*=DD$xPDw?GrI5>ZV93og`IDFhpAI>{`uDFu=}`Y%_9 z2V|2Q{BKwk2KG(yK)GqM+i|R03`Ke9LKJL`bO#^GD4*^>tx`?uxV%Tob{J3HgqlMB zubg=-yVb%wPyYZ`PG)jkcO8hoi;eyGdJEP?enJ+I88!UoTD8h;yvgDE>V-mSggMAP zsx}Qbi$t;V(>-LM)zUBw%UCReNdyu>Bhe^I?k(_}1=4FH^qorlyzO!4aTLT z*#t@%>v0WnHo^C53$34c-}6V5>I5pKltrWJm&sf>9t48GJ|fjGkct)SIo{zd7D0)G z4UjE!byOrvsUUkW?#pSr+vk+2MUv!Y77~~t!Cbc9ZR8srz&=%Ed=1>oeS=#9<@|%K z=Ca!m0!uj)N)NJwVXWO`vH&cXyM(qrQWi;Mwov`77(i9W>y~RIvXKF#TR1J}$-(&p zKGMVNih%{&;UcfrgEZg%0&K})qZU7uvaes1?&;OCotq}Ay0ZOwPb=Rd3ni7qEQU>_ z@5fGtOx9->?7GWsnV)5swFvhDW@%KtTW%uT`i2tQO(m-?$d?uR-tXfLt#ECr&a{(( zq-8P-Y`94dYOJ4qBnALTK$1WrN=(-KZI)9kl1&UX3AH-WS27F53)G}tB|$=b99GLD z0$42UTgpysjtKD=wCPB2*&wq68t4|a9B{au9!Gwj2*mVGJZ}&4Hg4hVgSE2e3M^?9 zvs~0b?;vz)l%-S%B)D})z4>^0+GM6u^?Bw&Dd2Pk`0q02#*`?4R4rWp0A0Trlo^;u z;FNlA*+fJd0T1lj$y-f^nn)y_1JC8LV=N$w3SWjO5=lU!yKS;rDYDE0fUa`XOglEwaJ1hu zC%=3oh(M3BmSc>1=`_bLiu-_+9yV3aDi9JeDw6n`rcO<9!s;__XzP`|sZ6H@vg{*S^nu%~K8dlt*vuTyRIJw&eSfHk(< z1h4{Ww4OqFSK`?sb6Q9&!z2_*W+mHA5(y>L+W9AULouoK8sDRZuH;>v>yS@ux7yFj z?>~4YcgoO=W=|G=@l!K}6cEwcs(c6RZ<5jx~6q=yO zn0ZpsWMAtlUgK)`K$vTO5)bpUA&ePi!7y~6WbOC18U%sTS0TZoMW}NxU6S%>89;Pd zX253~cIOFm2}y=Q4dPo0U;96wmBs7Qz-`!Dp{uE!xh2m+S&DBeJqPxRQ59S+ccrB)F^k|`)50RUCoY_zW@bKoTwR~wR+D5fTi zzz61*`ltds+C;wqyd~y0-oWrmAre7B zaz||?(ruR+Ad*R@Bz=q`pRggCrtPqbwj0L4)YXRBKqGO#w4}0;akuM_%4~$K3=o+5 z!%ct{#dzUQ9jWGZc(5dZn}*-@hwPFJ^bzQ%;1v8y1NmN$Mx%Qya$>{3^AQ+V`VJqG z3@+bX_L~mSgP|CJ%s=kibuy83s+I+SAw~r6e}=L|uoUmS(`nX%Mx4zJ>f2~Ug2^IH z*A>kh`uw7~s3d>@kV7R5pLw>)Ad?+vfFKdQOMc`{r{y!-_~v(fP^CUwtuf8w`WVQY zyq=`TdhGHbRz)0ykQev|9kY`q1LnES*NO3IgS)IvNtTxfGZ!y;zkTRog`XZd%IvNS z5+4`h{iqu=9fh>sDfVA!4DRGAbPym`$?O4^1{kfOr;5Y~AgDZ><5*E^A{Il%h-i<` zO}2|AqsnPD!)_2K+T7*aSnVJQ0Fn_b7Fz9W+C`ENWdRFLS4^oJTOgdY^h-F3$71O=jr9DEx~ zDFaDiOK7FG@MsahYReOMD5QO**(mCoe#2dKo1xUBAtn%7)DU9V<4!tuBoj#ljuJtz zNFqrA1=37LOCt1PcEeU%pmkTkpIU9UztT;B0P&MH z0ls9LO9-gGi3lvgGnyX$Y1YZ%yHWrsf93uCnQ0fkrHf#&-6xIj`&&zBi^ypbRE5V| ze>Vj9EF^-8@m_4drhuzav)ZpbUy3_8ng5$7TKRJeO1?Mg zmvO3rY-q&WNoyFrQ=TU--i;WrS*T6MOUC_DscksbP{=E zWk@`DAe2 zJ^K6U{_~yQ1Oc4lQ`WG}O@$5R$%T9QVMLn=RtPsG00<%>(OGuwC93VyP0X0t9=Xz8 zLKV&0xb{abdC-KF9xY4*?mJl?xik@jO zbz?Dn0B1MMICkCiiHYbw+k5WNVu_a9EU;S=1ewHFPz|vuvskkktg~tOzr#qjN$4_c zvmTh=^AG0RErqo6t+HLuCJSr&f5dv-=p(X3pl3PJmyr-~TPX+PjVKTyuoZ3`D=qE)EDW%@K-x!c)jFJLvA__7SA?5aBfh7@~ znH5<%s6m;CTZf$f<4VCxQn29GL<#KYhJfhym|p~10!nG=e&e7eHs;$tfl3-}ChKW; z+W{npjF5_b@8(X|80mB^NB;mww=Ye?)0Kx?VU5*^_`KsAKR;NnWm%V5lOT@!7gkD(6xxbf}Q z>dE|Aq%I2DK6l7ZP~<6T9>k5WG=p1GX-WX^%QwvK-B8!ze!L#Uv7qI9?5EfVgHrr^)kpBSMNpu2% zR1A3l9<$T1;WXd?7jU_LtQeq-;eoX0~rmWTx8=KZ=%>(g_!eO9`ylc zwkEnvjX-|gG2i$b%-L7o9XQ>u`n;_q-CV}BU#WzM1f`riD zX`i6#;6)-AEF$tHlZEZDT7#`noW8V{@WFRBke~skifIeL7#UWRO#KYZzHkE>`)Tm| z1*f}B`cRo4=`N>r1igoEEEk*HzmcOwzzZ`1_;qvIqLlA~MbU->R`S~%n3F{W$Nq64 zT)Y5LVgCTT8&Xhfy8U?IuP!dQ!=d~_<7(S8!TX^pm5)eo<{Vv8>1^y}3D|s21jCGB z+O433z->A2&T%59%Ti5KZvbTp2qzD7(oy|Bvh>c)Z`0f<*PCFGo%1p}6dOtckS0`j z@N+uQYk10W{{ZYy1lVXxdtaXPR8jppK9H6`uB;CIGnOqTRwNt(XqCcaJdVo<7S(V> zyx}9Kas!0FFS}0{GCHV`*wID+};5o3eBFiESJmkt&!ad^VX;C z(t@n*7m|q$JRKKroVYMO<&uD>PNsp7w)+G8z3iiZFiH{rb;(k$X;=^6zwhpdSPR^C zyKyq9nI@8ZwetlS~8} z2+MNG{{Zw6dcT9X*wo4m7wsq)kTtd|?H0PLDmS~KG*kP6n#m$9zr@otZ-yiiLp5pK zs6E020Q!DJZtlZ2N|5Xm8VngG?xu(&5;=Fgr{Kwd`E9O%l_!*%?egCN5S(kuvZxVy zEv_4QDm-pGx}&;HBJsOg!Ke>Ly{N;MUu>^xin6Br5@efVery+td$)m~Sswy78t5WZG4*rMRCkZKbrg#PA37tToNpg!mt9Im!lz(Fxp6kRkJ1K|LuV zZJd}7@J2jAPL6w1RBf?u>Aro`T2Nf=c|4BB(&`j1{HPvDm7i$Lwnl|CX$`tQO){9p ziEeH2nQj}!>sd_!iH<6KUH!6a3Il1D6;n>mZ|19)zUY7Zu9)&(bi^kz!QfW7l~Jk^ zWekvC7g#N~)Y~xLT786;{aK#tArm#zdL%aLqy&`FVaCPD8B*?Zro&8uUAOIk3+X;n z!dmy1x5|`KM3HREXV9d=^nq!0^ODEwEn0Y6ZO!Cq(@FwvB->R#S%a+>EVSBe*MywS zuy2sfJs0?H#Zs~%Eye!;#`}GC>RkY97b@1&n-_MN1rnKTw0@jEkY9fa69YE|)A}>o zwIl$3uy?4_tWs5vQ4gRV`GglBAloD^xQ;{Rm*GEcW&_!%d+msWe^m{r%E^`l7FfKL zBSws~mMnV21LlvCC7|RGjG6?})7I4n1AnA5u2P|CbP-xLjHROBPoNLKRa{^Oq^8mp z=LR{pOSXoaWlwESuf|}vJN7hg#O`;$I+;5(x1;wX-@c+pG`*Gr1Us+;XxPRDCt-1= zw$Ch{VI#8bFDGC5EJ~DC+Vu^Dk)mq)Sp!I~u}Mg_!pqnUZ3=hosi>Efn>_o1ofXhQ zexfGQ?hX0`Q9}x?=h)4Rvw&ypUL#-t^%JG>;^ZOt&%Y5-2ycplfX;SEXhh8fjtu6>)-U(}SzudVTG zbKQbQZMKVGHkv(v{eQ$WBCq@V&Oj+yJt=<1Tl`}u=G;ITY1`^jKl-sw9!CV5CCPFv zkLjjGyTk#Ki)g)*Q-D6YQ^&@Ss3k={h|HSe?QzvD|sqmC}Q z=l&*FHhw8#4(%O_l!C`Y*)0H>O8{~0wAv-Y!=$AIFuQG!9(hl+_NiwDKmq95EC%IA zU*g%bH|$zY7Figu#j_Bsj)GBu5+Q7F9I!!k)+)VG&|X_qVqQV_0ga{!RmB%aNs6k6 z1f4-=>o9HFKlKiIR)^ES3uVVHmS9Olbv}qBL~#|ck!7TkgGO8K^f_Z5gH7OvyemAn zTU$0wKq~gx@}K+N?tL0F(SLqMhEXiUZH4I(n`kEsVYY}#Lz32{f`7#Pd5n4a+bpKQ zOxGw|&VKe>yK7st#P5a6RUxO&NY=^gP2SbFx9su-86<(?ZL>)NCm#%$qrl|O1una6 zzr;3SjzWI_05D}@E+PV4Gz_C=>*V<9ELKXZ%uyBzMP&k z1IaPDT5m4?*(Ppzq(vi_!YPE*#o#&D6JQ1!@Y}KD z$Z@ceM1uCYgN^G|+C8;mp~(^oHhup9_2&No{R2k@{lB5|&JAR>xg~3{yfJ|#rzU^& z$aA|iHKq^=$Wi2yCZvv7wwBs36g=g_O?iL)r2+2KiX=A<>nICWPy%E{W*4JEb+Do% zQ;w<4=vqGi08d7ehbBl?pd7h#??2yL?xRl*o>VIdU>B6xnV6ZQq^P;!)0~atX_#Fc z%)P(nw_nadh`P|&M;Z6U2ZfPArk2eWU+tvPb@26`8E-R-Z^|cp&rZj??Dfh`_twF5 z)6mBF8bNIc!a6%`{{Ug7*59+_v`tyBVQ!m56kTPGlIHxK!)%}0zueW%>ASERsbOg#wR<=Jrg8Q&Qc2!KK z%%ir|NdV!`rj#Z;AXg01Sdd!>A=Tf_Bs$zbzt9hQBMEB z&-ZaIu$WD@n%{fpMjr`M+}@s@M6a;T{%fw?@1EFKzjU@2{{ZW|G6+g(B+^JENx>z- z`&2GJ>3|X&T=(FXzA5>$Y5xG|lh*$LjkUI8Zs~x_NjUK#&86~hHrpXCh6c)38K^0W zMG{#yvRenDm*!}^7RZuW_L4}DPva9tZ-snFCFHyQGfRc5vYYd8-uWQ1iyvSia`Isf z;YIPR*daQa?tRw2dgOk;>azBr*{$52yF3iEefdJq@|+8XI%`%W0jPyMXi4L|s-YKoC0?b~V*iB|EFPWi)izyb-p7-F$p$>N0 zuGQXGGuug*Nuc*r>OtU|TgbB8b%!QxwTgOzTaTFpmA+LnPkEMXZ*%?qFiD;69U-4D zxhW*R!!*Dgh!u1KMI;PK#hY1b((LNIgaQaInu%-{i%Wlnw~N*Lx7~{OOl<;<6(VhA z-6x2oaOBy+-nyewhYKaP$X3sqw!+7Jn)&L)_1#DFUw&2hA z_5fs74W~C9{{UcezRm5nK}lSn4f=!ZdDP*eZlm0yMY^LbV*|CqYnob74`|ZcWV*?3 z{{TDhzQ5(VDSGzV-Twe|NHM13c{(W#!(s?D90aCs;EJp@pSWUgjXK8~x?N-Z%-^_5 zMd!Jlp8UcRU?fTOL37bzC17m~DhYjUBh#T()Sm+9d`FAzUhGmmFb@#I*I9 zf}xKwoTii8kJJoHDP;QI`0}hHrpsC~DJPZfdnXSJFPUDGkT#n8ffuEB46#GcC5n)= z+W>J^{SO}U>mZ0vdMUg!Wo3AS6Lu-i3UqyeB|fNdw=1XMQPcH92|{{Y@stp5O^ zGv>hIG7qP;5lIpg;{MM;D3Xk9ATT!H^yW)#b4z87}voTXy|0%7gLy9vUE3u)$xz;5-{%DH$(@sfuO;<#9uLM?dxg#EOe zUGXfH8uAohK#)hOA-IQmI}{MFqC0$RI5C3g*~;|9E(Xd^w(D%b1q;OhoHWR$&A#>D zgvWl@zRhOaC79Fua(u>w%|vUKTk5dW2f)|`sjYKkeG5m+{4AEn*lXB})|k=GOup!b zaDc2^S?wW~)NPiFN#54LCm(Ac7{LZijoJ3bJ_=LB!E-LuTJF}DG*g$ZU!T2kld@9^ zbD;Q^r3AwAqxmO)Z=oYGV1Qv82B3lcQ+^bIz94jN*!J1UXS!PC7Ds^oDdUM@X4-5P z>@)$Uti?CKtoM{1!VCWZy}#_bONmmvMs)HWD1~4`GFxSIxR-*$PFFswavK#{qJIO( zi4ekbbnK$zYQJ^#7u^7az7C@;BGn)tP_j2{f0oRWSqd*gU04dXasyVU794&mRl>7K z2-NaRgqb8zOg$9!iZv4yL>psMqMvW%G}yPw(~awIg%1a*$0YM|fg3iP`pla&L0(cC z#ZunchXp__o^~BBb}1#k&CJYlx@#n$S!g-3eWG9>$Tzx;5&a0~*~Xuo|K@d;sv;`{!~ zPhf7j9wuN}YbawWC|fQ8bb4)mihXd?LDPzj`a53lG38Pa9sQHE*JHt`44vGb;|kk< zoK$^vSR2pxb#Q_Px3(k@g1eUD)&vOF7B8-a6lse)fuco=dw~jWp+IrB0)bN8ij)?2 z@0-u}_t(46KC=&DvO7DwnR{pMx#zqsPFu6|vnZMRD0Q_&9j8j^Bvt5E?}D0}Q8SJG zWTjHMto@=-_!qdMa$EPg<4Fv`6{3iA^X{#ee66&?rS%V#{WgvZN2a?yXwKH-$%C}% z5K*pI%Nv!`MKYqIE|t^n(5mE*wUA*7nok~C2q^EWT1%&DzkQ})+C^X5UG2U8B6Loh z_{&z_Ck{D%3mFUchgQD0^t#aOvBiN&Kh2(aVrc7Fv*`enR9L=0!rZm~^7Hkxq9ZsS zZ_DNGI$l}0i`A0({%g6??x-QDxrr3vdJJ3&NJdK8W&%wcn}FR(t<6%L2FrQZSt4oe z8i&UV0*s^HWcVAo#1|9|WqAX} z$qT9iZ3hM*UQ=!(l1CfcK`sgd_yg^4=IpATpMI6qpe;$ktj1VjULBBkwlDv-f-EFH zbQpJczEINd==ldwN(y=Un}0XV_V~96M5_NNRH>fiSqg$MOT$Txvh9lS45t$hw-@a` zY}u~A4hZ%$z#0ub8YZY*&)QJX#CtEMx^*Xp2cmljOVurR5B(T@^3LQ5AlXd*aQPpA zJ~QkRwm@YKp$3>qQb7zAxr1@|$8-I=zQs4_cd2<6g9<{s2!D{j_C?&Ia0k`!9@6dM zig$7|gmP6Ath8oS%BH2`G@Y=4BSclqdX)3U5z@AOp(>5MHHE&VTbb!eiV_|n?ep3k z*JLxINMC4PreHx`z7cf-)-mX*-x0^x%F;}5QP-#1!CohY*plUg!ZVa3~WH8Hp>9*|7@y+AxN*pNjBKq&7UA&2O7o%8IH6_&Vh?w za1~=Rf)|;Z6|Hxy^F1#pKLR<>z`{+1{NaFqBWnOUM`;Hy@hcdtGMC zq27Xkei(&*QB_G0lyCmc66&mI*0X8CWfbBH9+0}%!I)Ukka2-`|0I>raUCi5k^!ss z!8fzNmf+}y|IO?)eZ5?PzRlNmgX>8K;$3wm`WEIBm`%F*eo@96f(y18IP8J)FQ-~v?>iB+}~>#OWzUlzFV!sDI+BEqKYCYt2G$^ zI@43|seX4>rLJ4~GJ$At#~JVMx_cEn3HNalW4X|O0Exo&dA)Qme9BcP&^toBLM#%B z%TLU(n^p$b&ME3c41;&_?Rxzy7+(oIp!@A1LYWLKP0jKp`$4KOzZ?i_Xv{Klq~V#Z zRQrfs<&}TildW3r69eHJ`cKVeK8>FKfKvCs`7wBZZxb`ZUe6}zm)@0^du{|&H|w~I zhGlqMspE70Rw8)KVFHvIBCJIU)^?CBe5TO%DDwS6RXf|?3z-pcA zGwt&K6iDxO?U2j==UE+>R!^RM%?-*@jyU0kJLrWMK3%c$52K2>Buy8*(p(&w|Ly2> z&YxIToTeSZh;YbWbeO$u-#k6i{yYDWlF|8R+Iml!b17@_!XVCZBEuK1R}D_~ddC~f zE;(;{ZKk*l6Kq<0;m6Qm6#RhF%asW5#b#Ds4m4lf83h%m3^Q)p1ac)J>}z10;N*Ol z8QK;+51A)3+Voi8MpL_-d*MxcDHnd{Y6Zj~0Qi~?v}7w8{?M$KwYac7)9}lm_M5c=4?4irqi`G>s4R?r z=tZ^S0RDaj7rhs=uiVc01Ew(Nwff!U(Si)jOXv8b=esHxF&uk{2s8=7P(X+acrXG{ zshUI`W>lXvTihqwt-O*`dtYI?vz|k{28-rV&E<8aCKAGL&78MJOW(h#+WW+fM;|*` zT7o{;FM4;L3jcHMdk_$y5B!B(d6wktM0nRB1Xxj^ zS-D5-njY9d$@cS?&070gqd7w7>zszAa6_bEH~AmOkYTUjxHFPz-q99VUZak>!^tz6 zF)hhm%!us4svAo5_kGPutE7{X5zX9bqV-)ZMlHHygjWhXKusA&@DJt9`S7`2i+ zhJ4`d8X&$sq3D-CuJM1{WY*Q;r0^`=!Lzb1aT#HO3L=dA4 zM0F6sF94s|0mgly(h2HPNxo3VIEhBfkHiBM01Wj8gby;)Y?0Hh7L8}_=i=|1k*53m;vm?~#67vN+f2iI;b zD_DxPu-c#Wf>!9F9DlGqE4b!MmafHvm0lTgMAm%-VK$OenJVNrgg zCfvY%VU`hQ9_sSNtiHI6KPV2*hip}Svw^_Ka`UJ23A+(BkkusHC?G$izCAM-W3Yuw zD_~N*tG&`{+=qlbfy*9obazpN6ooaZr_C@&Dsdm9%w+<8KtO0Iy-X}Q+qm|AI@K)~ zKk-7+*>?qgV(eR540E9Cy=Q@MpgZk0j^HdHcbGcW zAI!I@U*oM!$Mf@h`TL6XL!a(-s@Ygdq)-ySy%j~e0ky2Q}+wo@Fh~j*L)34+0Chvq}d5O@W zIh1+GB2h5c7fSX}APsk3U8x$j?n`K$1NryCg63h1Q-QFqP8R8(!MdVe!4B;EvIP>{ zQMeASW;0az{=$t4KoJKmkuWhH8N3P%>*=WgAjFVG(f%6nH1bl#f!DFyqp6V@-s5M_ zOK9XT2=UZ8=&c@gln$1Z)%?s9h^$YnE21E-_8Y;Aq2BKS1PL)#w$^cl!^pVu1`-Emnlyh?%-%mhgt2p|FF)tzJQ9;E zDAnf2`cjDc4)uH*$u%{U-!`usvc?bkyxgD|xBK}QX={QQ-?`S_9(gNd1eA3fOR zc*U=rZ~$-&%R)%aAsZ$e!ngQ#?6CW-!~HG0*R_J_3HhRPs>4D+<3;UMBU0=ROR^_m z99|GIz!3rUgc@FNfnPhXJr{9%k45r8+q_1S9p*bWJ|r%q@S!S8Qet@FQki z7)daPRaT*G3mff|V9|MUsRd4MO16me+Xag<;@^eF2M#Nb zgFWr$>hyk@*wK-OWMdK5`aV&f|Irn;zgXXAxqnczoODI7bw0YkhiJ2($2u8u{OUVG za&~iorn8*1wRI}Wu=AWTdea&^P-TXV@OF!zPaEQGu*l7~FIPZfu47LQnS3Wxu5|Kl zn&*Q){)s2l^??n!3XPf0$j~dfFX`c@CeN(j~X4LNKR22;Z$;=ulFn z7`HBpx{LHXyVL&%NbR~yw5>P%*(6_1tD;t;TS!Ff0(_J-4+mncTq zKY#^*fv1gQlHZ3tqwwa)T$0t!ujnc4XEljb+xLd=b4EFOO6rg1I=9#UKB7lmT<&}} z1%#y!X%c#J4t`6Bh<0n0S(`KTv{shsK!mDPV4kACg#E$DXb?fU&bAugAc97-~bu=^@b$P$AY zRr~&xm}~jRbQ(nu^9sM?6Cxj~B|?XQ_GtNv_(g9iVB-`0Kx2G?;c_KoJ69En-umE* zLU#G&(SfAqZ^-XY8W}-qTQjII;qCG;goiq!_H(3gT&`$6cJ%AKb3Lbi(u~n#zf>hv z%WEV64m;r$jAV)JAtl0RE_oWMagrubzYyLP^oWPy6uPR~;PB*5O6vQvg6O@tKYC0< zeZ%jk4S9X;=$@j4zCs&jORUsUr66?m5Bs8ARS&!!9i6l8hv^zNWv*-99v_&j!c{rMl@D$6D6 z?qYz=vU9n9Cx4b~yK>$b&K&9hd|#KXN9%_G%;3es6ZY14!ZyjOJ069_KUkJ^Ydmik zvt0^|cy96x-&p5F+~2aXtEpg1fGA}!;-p!lf@HYyFs$%b1Q0@n2h0a5kc58=sF&IE z_Oeg=kzefO4_Vo@(`Jj7G&S$i3TB(2;9|{M$o~fj!@Y&~86OfIn7!B=N0!pzc@5nQ z*KSv$o>x3s4*30diz4u)_SJnIVc(5@t_hmcDd&Qa3a$#vuyNsBdBxu!?nqXzzRO1e zxn3)765JAlo?((4n6hP{#sir_*Z%wYPfNzUoGylm{kB$9t5Dg+J*00rh%tCu5=bNo zmJkGl#xkvvSzAw2>a0B@!AP^VwVIu`FNx*=gmOnr?PFdSzGW>gVPQ3F&Tw+RLh47) zq=mRYf5259wt14yxWmV)LIW1h8XP?^lJsXWqU?J`ZW5Uc!dJ74vC|nWfz7+pYM~9ss6E)Buf9B z01L%K19;svqcNH&=@3LrKjhY4>e0$W1Ys+&Y)opH-ltsy$`2*s{hZL*79MdresL#~ z!~A-l!Y^~G?DPAKSxWf8DwNqXiIhH&+c{BzstY-eFlt%LxqZF=be=}zll6+3KN)}# z0ZV}-x;Tr>3Z`A68pr16Qw|3?d*UkGyf%g|t_#*$r*gbgLSf6)Z)^Eco&lys&Mwb( zMZ$9K8?5b;yIczKVJN`G{fOd>LC$WUkPP4lbyDy1#!DB45jwuF=I^2*M6NY7CEpLx zDlb1x^kWu@A7DPn=SJMV<-PZ8sdnC+Ivav-uXYM&2qE6ca-Sm$Q+n2w_c!Iru6_6p zn-9$RD=gz*t?bN&x10h2Xcgjyzq4#Xj6x%mkr};kutv1dvSM!2U*gl{ z^%xOE9C54oTrfvfHYZiZkdo7(l4ke-D2LS|j)E5<1dEh(%LcjXWJ%nH zYHacYJbF(^dtF+t3d;r#k zFj>_NGe(inD?|IBLqhh)kF@0}lAGGgm}~uo*NJ3{u!5ZeiE9d8L74>VuNF=_}9Cr^b-^KgOI9s&&i#u^F7z0V^|`nIiT=0B4tv3+0I zdDlWOQD#c>7p?3D8jHBO^5rKZR-uPp^?Ei}JV3Q;FldZ*XGtsWQByDTW)u6n6Gq%Q z(}&<^5o#9@0PeI1Q|8grz79#A^`pVsn`v8TjMpLgr)|IvPn1H81bb!}+z3*Xt20Fl zqn%6W9-vj$DX+g1z+n#s5rV?hn28Q~0CxCVZr%Osq0I7B4@(*A{{A}c_z_?&Nl>D^ z`TnrDoauT0`FtZT0{V%JJMC4|8h)RBvzzDC-(i7JWuk&0001C}CUpYTK|Xy?F9yX? zR;piZInQE)Brp9O|yD{p8hiQPvYYbkl=vLNzSC zM^bL5YzS*))R)(^F>k~xAAIU(s?CM&~#zT}Wnp<**W4#%q+t3Ym%-JvQ z%xgIgZ9jDWLf6Sn26&LIQDUF{xb69;pgJJWmz-g*RfB|yH;hKBV!|i$sGgb3K`7$@ z0Ih`fq-57hsMyq2%bR?t$JkxW!J{la{j7fUoHC*`lNtC@gSJN?M9iZ_-9hAjN!=^; z3Q_kW^`_=1-pht1EBo864r(tKJ}?{)Gw(c-xk&p?t*kojk2+oxqCQ9tyuWxaxqF=i zAdvIX3>V-&2A6#SRGBUU6^-Wy>gRsT_Hr>#|GqGSd;@sC`TAYpbFYeMV`*-?77hfV z_~?VEOMJrA@o&Kn#wT-?n_=6{Ho0-bQ8U{j-O;+vtAy8I&`ZHwttI29_yx`nV!o`G zX0KG%Q2{9ps0Lsd+6bLb!UgLiGq&&pCrNrH?|_)m2Ej*Gl8xProBB6{RXPSrc~XsZ zjUCaiF>{FVXdIcDa+&lze?``l2E=B=D^=$8kvPq=l{BvM4Cf@A;LjT|E<{kn-0q*V z5#i`Rc0cbV#*dj07_9d!Ljfn@N^s9h7w&D6o4Z6KKHa!9iYTjBfGQXW?oJzgQ7^@Y z`QuVguwD9U`UZ?na#Nx)Q-1q*QEyZdi(;gR@D+P)g#|FjP!@oK_X@J{PaOnut919; z#%A&Slm<7hGHv;n^5>A%ZIf5@S|#IbuHM&(PHn#?X^#FKKLw{XQ6He}O?Wj|{HcwA zL@X(|!!qz{R=Q;YhMBLjs&N)9({X9LvyMt2m>y3xGdatC4;wV2A7ZND)|Xy=DKYsN z6TQF{wN65nw6yGfh8%?RWh&4&fN7)YpvvNN?;YMlkv>T#Nkv0AGd<1j#O#n%~t!{`L%{>I2Ok%|(OJ_=sXVz8oI0Wtt7qx}}kigfgTz+UnnxV%YK zOf^&7X>`Wqecv#_h*20vT<&en!_xFl?XXzh8M9k5l)|gk$6)@}zTEcdwcR}v925d; z`z3I=sj@I*s~crF1=!H!;w&ddxSn5uo{oOdjsb#cz#(9@b&8f9muaOU!gR?GRd}YZ z-1Dxby^WL{KQn+>_eK+E69@?$5KSZra&=gLY3OIO^20h8pM`kig>Ogal9uJ!!jGoi zNt?}ZhFS|i+NVuVFO_Z;B^qezru_vo8TrbGmdfDs??rcp3{no#X~E^NVZoJI93wy8 zd%2=baM&Zl`t6g-hpCLP&v9kdqoHH~MJa!pHfHwCDjMg~Ga52vm@23PUT-Q$+z$da zy1kZ&;=-8y9y|I!X8Z(>K16<^x*87SJyZGPeWV;pRb$a) zF62NHYwA>*Es-+-RbeTe-N0m<$#tBW1aFOtve*@-hZQWJRv3R8|voc|uNX)sYAM|8P zq;27e90EgKYg;~}uU!=^DLaUi&6gRz9u?W+){anuLDT#ajq@&nC_Fq;(^&hkuHpo= z=F#!TLoi`%sq1G`x4hKJM`myOIW;52OFaE`Mrlu*ngaI{cn=b1)B&>G;uI??$l_2X zAO#>1P;Fnl@VEl=nXm1GFhpYAC5zv7Yyw12CM;M?ei{H zX}TnK$ExQI=1>;&c@NZIjjR>8eK{Phw{dy0sp@K%oxtWh{g`uj6Sl|2fZ*R z<^0I9mwo<4JN%Fv2)29_0!~@nzZ(pf+ z4oTX7_?1!roX&I_!$MsYZY@12C&iu@RqSQi(eriSS=M=J9Q}k-pzs7beqOUYiWBcl zsV!{F2Mjk0@sy!BEh4AM!Whf-u`YM zRusl&n#Hvj(FCr~N%YwpzK%}Z6QPhAue+q_q(oCd3?6s08x?y}(aZssP_c#674b?_vyhx$|v zzXPvX^H*|K2cZH_Qj72jVR^tUD^+J`%o4^1kx<^D#v_57^)Y70KUCa8fIw9(hm1E7 z-~W~)&NsXl?2`{1KbXDA6cxle>kYZ>23>OV8dccmJr;Kr1wxoVH@+4?M9w`$yn2qt zvWRpc8%VpE{2P}-cFo;IxdMn1eVqilvgel_b-wi0g!Ruo)OSodKDS<$#|ed}3!}M^ zxmId|R8R~#d(Y#lnigW)BR0b%?c#CeU|$&L<3N1S=h+T&Gw^!^ zqVWYr{KBPLz#eVk`z9~hy`nzI`^#nE6hfCTX#TL5K1r*4Kl`D=Kp^s}w3%;-5t(Kt z5CXP?=^~Pil{r|fl?A^D8iPCsY)XlpwuFB8K0jQ*S87O2y`I-+*m<;Ymh^m)&=RQf*v%hSaKG_khG^Dlo({{eXV(-*rYRntBQ>$&u}INa-i z?rGg2u-o71v!2Y;SCj9O84nZ1Wmj7~67o`lZ{`H%bALT7z4mHS*-$QOi9I0|mZ8Uq zDErGn_yMsdOd&K*v{YQRY2i^=jjyaqIH6+J)6dSKS_<2l$#=$IY{sHv8TCZ^M5y@C z9)xdn3Vp4mkBsN23F*(u!6Y+)e4hIcupH^B?hS%{oMGG=IS+SG?A}r5yF3QhD;vAW zC{Wx8fuc0;TVI2vItNA|K4!BUoj1=LTRUPk&jCh4+}suOd~ zXg#I5?KxUh8ZiNuP?;u@>rN)!k*0^|En0|twRv-`-)CV6mWrL?tdJ${cAF>V#l)uUyMljaxTkw8Fo@-m zGWE;|c^6NvN|9cCt-n6=V(d(RPFXGao+5+Lj+mc$zh2e2FxKC>t$oz33Sh|c-UZt{ zk#E7$R2L!zwvsGEojxWCGX8akly&Iio-!Qp{+D*Fv~%x!*|Krlg`>Pf-~xVYuNf=Q=wpsX#+1#X~Qpt z9~vYsk)YZ&8{wjVv6ey<$6~=ZgGhRV8IXaHLM}uF`#a>Ip(|2G=#{g_Z{2<9qC*#? z$vFzOL}Dwq#k5iJuwEZ;I(9r_59n>jH%Nu51>{P6M-{rAPb%%jbIB%t?m8?Jv zr^1JDv;5>bn^+Ubc97;Rl`KjZPCRk#Bi55@YFn~WloSFSG8DmHSEmFqzfdG!5?t!^ zy+dwB*X>#P_5V3!qJkB(VL= zh;%Az*`N*eL)gvZr8&_^>5CZmC%Y;|H(Is4mvHFcR8J1VpsEQRh9v9~vDlX)!`7Lr z6v1x`W^GdA1u&j98Mz@v>~~BQ^&DnRc$gt)nWj-ruN}egN70BMagn5#(Wc^(1=Bfd zXNf$HNGQk_PYEA}0H^B8R_ggucSA(xd9N(b(*})F;3%;d+6|)yl+s?+Gc4O(pWyiW zvYBzB^HsQoC6ip*T>tE@xax|7-e8hu!-zTZw_ixPXyHV~`Gl!Mk4LJrgWEpw!~&S{ zOtN@ydJL)4LzlQ4(E@{xuO--mR^J3`hz`N8`H)6DY&=@&MG_uqACYt!h z2Buz6qlql^^lpTX=#=)gi>K<(&bgNubiKBdxmvch~fs$+q~b5?SWyi!BmbXKYw@!>7bD|QGFsg zj^>s1hwM)tw%R@=koAxYO2Kcce$JUo#2gj=Gs1W1SqTr>PR(#Y>vHS33qd1zRqxd4 zE)*86$fX%(-hd4|joj8gxJh>WeZl$1KBUT`OYhB@w#H0kD{1Mu(T^H^_WZ#QU)V=i zLu8#&HDCVPbOg^TH;06RqBXS+?-I@0^s}jSIf=GKhs5rl@VX}o4ZI%BF~spzIZP6K zQqlLJ(ga^_rpxO0&4=3C*V;QPqArA z4->=FPCrH8EZhYZR&s6&5G8mRE&B)Qb_~N7=h7J8cCt%fP>l6KC(INpvZbnm1< zoFCCVzh}y!mMsX&LC(C7OIAvL`79l7vjRwOiN~ou&R(ogMEsE}wqPt-xH5Z9J(Ge*B2+$CEUj4ol_Z9WLN9msZoGNH1OK z=f+B=hEl~`8TZO5BITPFW?rQV3m0#ke^cf#Ot~-(A6vJz6G_#dL7W5G8=6u&3H_KzQZ5o(OzmsST{1lZ6|ph z%d_CyrvvyOH67|viR4fYuj}k!tlv0D8f(K^Wa{w|1xyywil;C#_?F9EH2*!!ti}z@ zfM5&-2n#7jDHiKt!%(N zcnymKhuoh%4effZMhn%^bJ8{)aN=jXR#O3v17jnv;*=+plwzOWvfC5qwT2|@a?iq4 zr9oN;x)M&wGk79QZBL1s7SFiuFWwmwu%#CwZcLnTv>dbz{TG}AM%JC!uEnWQ1+}Hw z+T6xcE!WVO=>vpa_EJ-}#w)>)yG5#@yWQ>kw1b)Q&z{(>WGr;ff#MU48LO|JGI;+3 zAcB%^SU!6AfBdxKL|(ae{>Kv8W-un7$x5rg(?^Ui?c-!G))zhqG$kpklu;<(O6>Td zY}Hl#!69=e%c`DKZfjvVkU%f;X2}eNzd)ETk^W-bK>8g3`ojcEYKOYli7ypIxnw89 z|7D)TrsAIX#}_&g8q+!pgW<&_j=ElQ_0YV6s6R#1`K#XO(352>B-W#pxpO)b|bNvUXI zb{5`_hd2AkspP$+cpBHkThFitumSrkB_=jT@Ms`JTH~mr0 z=2P+kkezSk+edI!z80Zios78eY4@muj4H49jqK_Vgb5+1=-k}|m?Q0F)!9RWaChTk zt$GkGhbHe=LBOCaL8!xv)3M%*b!gVnlq-qgAraC6+qW z_7s^Q3dRO%eZ$PZ^PK<~q|MXRyZbBAvW-_kFWCr_hiRS=0CO@HlXODLxh3&?CH#tU zteE`>a&7%kxSHeTWduO|nCVJ>B^KdZ?rGD(>1`}fvkMb$L(q!#{o!q~?> zJ2J7T$TYBoEKs7-GJU;BS>p5WuV9g0VcIH;+g}sf)zr9oPW7aDzL0eCa`VgO3QQ(W zN5$5tO_52Wkx4M3RJnWkS#E&k*4^@Z8VV23^M0j1mW|u}yT+PSiT(zQxcaGbV!HmW z#@kZEi*Dfh*_}$m@)bt*rMY+u<~g}(0ir~?u$2mw^JS^ zqTqM`;%pX0qIY$6P=!SkH`F&oVa{?}-7y>Bzt!y$+}l@ov4U@=cbR zF?+C`+^%)|Lbd7j$a?JZc|+r6`yHrx_=op#VE%C}pHgih*H&OeWk{J|2 zPnl=3!bC4y@M}k2a5@6^BYi+;J2=+Walq`nMU&^PIBI=zp&=!Z@DN#}Gh!Id9~we~ zZx`E5Qj0hYL-HjH{=RZ9)kD}MzdS-X?}SU89?Ik|7o-^2*K|S+^vY?kv_2~K(?Kh2 zK8DArgWwV@kF`iiGeoZ>evr0F3 zY4r)#Z_JCNTd{yvKhSTAl0RyBcW?PDgCkl#YY{<0>xUHJkPG-V3uC%;Im+Z>+^(p# zmdE4=q%CqFLID&O>ANMhvw_3pDJf-8X43Vxw*oPri8+*^%D|3ju89vf-u{N`t1STqNRNL&=Oa_Z!L3VVs#kAt@*{%II_miZBy(EMaCA0Q_^rfQxIty@Vq0!d&P7^#GtW00O-KZv)`b zfWRUUQ6)WPeJgiskC1kx=)zRQDgir2URp@n`#}?>F3p<`?g+ z^mWx$<26oSpm_OYKLrmjj>2UutANlD2{i5l5c<4*bL`Nu^(cQ#>mOj_q=eVx zTxg+5Yjn6#Az!!23zx=AI-sor%2lyZ11FIp(U6Ckq$+j;|D8d~?>mJPJSxxa<`TyS zvHUxDRPjoyE-|k<#~@vpzDaA#^N>`3ps7GsjJOyR4+{5G>I7|;I6 z?HBC2H!XK1cYiE#wcA{TnOHn8gtlCG1NT!7+@$|x`UeQh3NvzdAGN%>)+Mgc%_+=;=cjZs9==`X>M28$MjV zISvl|{QSA-MX}IFrrAm%-N$R+Y7TA^(J+ONnX=~CzbnIy%wO%#)i-v2-l_isXsqE3 zbnr9WtAkx8>g}JL;_%lc@BOv6#QtT-VjPE*4A9oE@%gyMoR8;u$zHs1Dg5~E%|8Gc z-EGsI>tXv%k;-hU?P?_>cOv>Y?}?*Z=?$paCH>aAHhOKlh!&(39S_RTDpdi63DXB@ zrH}UC{=EHphqE%<+&SC_g{Wx@c56ZUa@~$4O;>s=@5qmK!i=LfdJ(N+|t@v=H;(Dk+%@j_1*dmk5@hX6w z90E-L_z%#ldxt~V9#7WiSy&(gnd0WV2Y)>b9=D%SBonBiP(5KrAKCxx85}t&5k_4U zz5x#7y5k=pRB@se_mD_UWORjv(pb|PtZR6fiMmAiX)AU^n7(94*r*2_1r;pene_<* zg(=G!q&A8Ddg@0Tt`Hlg^YLVfTO`9c+cz^1_ZuS!?rp!L49+@!XpmYW?7DTBkjAGYLHKNftYFMW?^swG} z8ZvC7^d{=``u1ri>Wn7pY1}-nxKiJ@HkRj`iG8ZxOzpA%g#G5QKkvlv+6@dwiOC_IG7=!v3)-SYZwY&_AVjJP9UbVuF%mfRzY&rq zDbWZbMn@^i0g9kWME79W;RCwD#R?l-e{j+TSAnc^Lt`JY`I?3r5cJ-x zP#u!a(`=AUJ>svtWBqCS!c3}@ld@b_upKx0w0)}QO7b{D4o~&>kxr5;6BRbDs-eZB0a`A^=hjE5Rt@p_^Ru4aBV?45E_=K!Px_zkVtf)1C?uQ zsL&PS3;+n-BTP+raVeamGi;mO8>hfd7W0;U)m1l(ZWkCG{rNvD#>xoFiRHSbM@Omr zcl$677%T;Zp6UT7=5Oe3wD%K>#zUZyQ9`&FM1t+D;wo{?Uq)>-gt(T@T9gzCl~AGl zuNx&)XcOP|X-NE^)xq^J+y-<7Aw>q+^~|Wy{m)E7fW`kEGqm6;bWb1>@L-~j44feZ zWrTy^8Bv*HASY$fVhOI3m*l@^3g&3&n3aj6pxiVB%7J7YbU;uj&e~E};EXSL5(Gzs zr&;GVaCpHk6*Y($2xl8XvDRYlZ%5FybW0b@2cJFs#$d|jXzt7ClpFqG_TRGzoEgy0 z$Wfu@ewBc`mJ2$%hnvz4*C4wYl{btkZ^Z0iqMTcFkcZi_xyprh5TZmUc)5=Pz3i(oSp<)^($jOAT*>Wsk>wF|G`y8WK3{!a>B^eLO`L6{%F!S5l z>Z}P;?F$|7^g=jzg1DCQzs>_v+O5&ikg6#c*+GDba2E#^Iu6(^=uFI&Z?G|nV+Y2; zxheL-bfo|b&PZkhtwbLDV9r98a=%U@tg%^@>FFwE5aF0O+Q5%bO8p2!t+}c%Js~8&pbT5SW;GObeRHrwt zDU60xrwZh9v%O~5H=OcRyM#Gg41{L>+LoB}-P!LtF;~UIbNx?xmp4&aWRF5sydt94 zg1WPfoBi#+cx;M-hx!O}`(1S5Kfns|k55k|x<^eNXUx&8^sFEIwY3x|H)b?yE_yZI zx(@~Q;u__ltqpfAdYYr+9vRUXw9Z7Kt`MdF4M9a;#M&eIr#&)Qol*1;+mxm_gjg;Y zJjZ4t`b|YU@9zIIb(Sq(d%L5qn|@x=>TFg|K#?l8V-B1dC6Q z!@qvUJvqIZ2Gk$B$(t$Fm6aLvJsRTnBKiC&bfk1tI;A}*O{Bkv8x{#l9G`|zV=1XG zKfapw{n)OH>nf)(bMT&!vsP5LPm3J$*W1jsSm+tRb>xr;N7cc@I)9mn04xyON5hxMfdR zGnX!+(SRuf9rrqmL@8`$bk&zX-Gcj5i+DBO-u%3NLZ|R6Y)Cp$#c%xT1CyBo1HEgJ z%7ws{6LQ28q%MVlAQHILFC6uPk8Y5fWgi|y#cfe?%%$^#2YZB=MlnWrHx?D2ns<@Qjko<)7i z5Y!^ z;#-KNWEo$WJC=Wbqd!>X`iBCC&4PGUR9#n#WK;eo3-(Zlr_Z%5(>8MQQdH@xbMBnE)MpQ=CI0t zZ!vw}YMcnKfLir+hw>i>H57J*o&%q`X%5zF;-v10{D7ZU*1(5m)?)@?&(&M z?PlT7)49a^uEF3a^tQ_ar|!X8vv(KABS%*=aOIrAOsz?UC9sa(+5g`SO-kznCu$`VvXDy+H&+jxm9j$gPnf z5eI?hLH(7a0Na@#osUi z8*RTNR(h}8fPU)H+pkB^2hMcPCJsb~+LlxXs99QsZ-QPtO9et~)V zzU0=9WNd+mm$gCT%FNCxzQ#*p7jDKB#u;l8{wgT*tneg*{m9!u#8|N(K)z`=o0>1F ziKlixZ+bBNTxpVG9^t}m#wmiBrUEMaq1{u#izArOxSyIhsE}w_>2*Xa6P;`h=4D(g zudl~T3V>&qD7C21MXzCQ;9$o@%rVFKQ{xqcW3%Q2iwc70o13p}88krIP43gD1RzaD!3x!gIO@v)7+` z5BiZH%YZuf|K&UWZXhE)t(JJ=Yz7+OV)>v6tR0j5};drP0`el>hb8e#;nx=m@ z9y)jA7GwGV6cZToUfZFugd%d7$~fpF&rW&N76yvz|)``xY@biQ-i=mCkkrC#1;W{1wV|(Nltm>98wM zQFzhdV(T98wYW22V0mVT!;iE(bi>UF`>gXMFrz%Y@w$m^ICK`Mz**C{?D~!O4 z5`MY?MfUTER{_jPWbKCh0ct+7kiT@e^?BxOz=xO3l8obd>I~S0;D$fHDPKwQVkJZKt5Gr6B*ma36#_ZvX#b`Y1@&(N4X30I+kBj#urbLn;iXcnjM-`RHQw4Q!okOdROr7aYZ|Lof!FM zCooisHF~eai@#n7G*EdDGo#evd|D+3?H3#ur50sel&%GCTjUIXJa4VYRfZdgx%7*H zgurdrVT~+jehdni!kwl4OBDWM;srJQK;hCze`8Zc)e;7Sy~6L3Z3o@J-!uFO72sVY^SxwL&Ct$IGABtnq7if<&k;=J3uq zdy(Vm+3c~O5{A}3=j>P!-t9ci6p2cC&DB8{$@wN=S@T3{}zf7c$O*G zN@4_R-G2`TE(G!UKc2d_ZkoLVA2QIg&>UFdnk%X}ItSnizQs5@l57Gg?{*blY)7ey z%lp20Zat4{<9XoSMoan!1rlrS7&TXf+}*rvlBoD+H^iSWHnkPr*oDavoxk7f%DjgE z^Bexv=w9(3Rx3>QOBn)hV7_1J!1esaD*d~{^{@E|{t9Pu-){E~L;x^Js0XX3WU5)f zm7CmZ;(|9w`YO!h3lW^@^}rdHe3%4;{zqzj83|?#&r+@5Atcfi5!A+W-v2qh7PeLQ zY}c~C-}JlpZyq~Bdx5dbm)kFnC9jG*zxT*Ud_8OchE%@TsoEOaAS@{tr*@PDonzN{i9@!FLVPp0TRF0|C?t;KWLpaI!mUI) z!VK>6Sj_oDAM%lnUrZxcDK?0?i|vKfW}H#H5*Q55k;kcAE8ABScOh5HfeFnNVKC5( z1*S*hc~dMJEyY#L3HSdG2~LEHc4%^cx5_PN<6*Y1>;Yqx+doeZ_w15X1|PLhrD$Tq+YPj zmW?P74YSP5ZFgiaj~Tu5y@RYru+A^=H)C2zu>-i=6_4QEd}4t=A~$oGUl2HN@#`0{ z;lWiA6Do7;m>=fFSxm!2Nt{jBNPfgc6voil_D~Ku_ z+kdZeuB}0={b?Sn=Nt?o#v=D=>}+4ZEB9jh#u_81P+Xuz{%tc-mNV2YiZX>kHZlap zcZ(IX<~=esd*=WqEY}J~5fq$G4{d2V`cx^7O>M9d1RQ zp{C!iqTDB9aNC3Gw&%|}I0=Qj6z;)~-v&Q9NzDA`CZhiJaWGNh}q}S#s3d*eMi&*f$K=3L9_kQsb9w^87c;p~>KvVi-`BW_w zNtIedl$hoAg^N-Ze+l~Log#4NR>%8z`t)L}1a#Q$p;>#sUA;Xti1H;QXJ-omRwyn2ak+O#b?yr>tbuhu~C4-jFlcR7zHYa>}w2)EhAR~Tt!CC_d0$=Np+fI3hL@BmI+O@(n& zK!OMb=R_P@8&0`eN-3TIHo3ltJ~SNPFP1O$V*V$avp0wVvrOs=$x$_?I0P@MraIL9ME>P}ReZmGo9>LYJ9zqku92E!Eux zrcEq-lZXAc4jr51xNQ|9id*>VBCon<`?H-XrK+v`7=M_TO``W+V{vM?K2dI{s)6qy zwDb#Rkw{O2SEoVt#8|M93y@TQaa|Nt&Z>01A_b9N>!wX8?~@@3ShtY)Qw?AEcQ?!i ztJ&Kbn_BG8=_rwgySTB*Rb80(n5_KcQ7P{7g!7^Jwlg6^@e_rne|{4TMJG{a0mXy2 z(h>JK!2lJaeHgB8!LOy>@-G9CK9^5?rWA*}HR0I9bK?jB!WA6VGhuR$V+GS1@I_4; z8P-kriI{j=4LxPGfA`-T)IYx&_b0&pNa4REmfREH*A;E4P00O%WG8> zQ8Vy|9f%v8hNZREhj+Mg*@gPcdqR( z*|sk?AYbBOE8#O-wK&ThldwK;we09_*8Q)I33?rAyT^lWpi(pS@0l;R*BTNnuJQkH z5QXoQ=_3rK<}^qRPNTC4-|yoCOi40z=HG$Cdjl>-r%IxLp&3nf%@4-g#hco4my0vD zX={Gr)}xr|qk;styTXb?*q__6nXLed0rarHSS(1kTFY3zfK_oAW7M`p%f#Yl$Yg-O<hJ40HBJRR2J z)~n6@GvzP*Az5cKz?vdVde~+{ws}S&0OZwcfNYoK7pUT{Ww@28+(}4$9L&gou;Jqg z?*HhEIKS&o+W>w5c=%zI#=3iz6m{ukcwjTYHLKS+4?SzAYGH(Hi=(1;*xk>YKXp{F zUz|b?Yz;)Rc{`Z5)g!-wGTan~8J@;joF{vkr=`uezgy_nkdFh8@vMKLR2}RGacBf!Z9smUVx5)b!(pU z7;_{o#%r2-CMS5DhcByZ*eeD zbLrmab6RREO|uP9dba{>+rfKDLG92dTIrXL>Ga#-@6#D4-DIe>nu9_y#4)owJv!W{ zvfv{^5SaHV*T3~G8R>-(l->z2mhp$*BYtceI?Qe=cWghvTAZban*lGS0>Ot7nA?nm zgU2e9lNiGr$>K`pMrLWz7Rn*Q@SLZ4)2+aTwm{2bZQa^#rid3WiE@~-hC_$u*3MAB z3b}nxu^=Xsm9ZK0y0fSgQo`*G_#32jOG!HIErvTttmYGjjO$7%PmvGLp1G;JFnB;* z)WmZ>Lmz^0Y!gH$JhCQ$SIp>ZAovcoF^pFMeLCmf@rinC8n*NJuHgBkTV~cA+%WV8 zE(yOhFFJ!W;&I_O8HQ8cdoYN zsVE~TH1o+_=zi{-U(mi4x&KL?`sTXv5&@pAemFP!X(E^f7xc-~|Z_}Zs)u&UNqvM|m zx>ib)meju#w>-ugEk>a^HjFCpz2hoi#U!18+oVq~84w;->f=J$Om-!d$OukZDZSn4%ZBN4`%lXHE~p>K*A zQ-n=WINOH8cccznd&yG5t5*jT-$iW9BQ*qkMgrlv>D6#mwfa2l&zohm71w~&E}A>nwAAx%Vn zE$Zm>EEQ-^0(uNV9D=&93Gd!oEG75wnUS`AGS6e~x9D37p1=EY$wxbj?$zaEJL^5N zPw~8FC^uA;5fVo<@=r$y6z|ouM1+RZMU|4! zft~3{N3tp&b#D>M1>6f+C%9P?yryfQ6UU1AA_=e~zdePW`a?x!m1ZY;9l)ar^NcBC z(v^9HzHno48A6s>r5Se#y0*d>ywU4^MbYIDe(?cS-0)gCKxra8EE<3+4r@_)gtF_4 zA#c+Wo2K-~YnUfKf#!CV&)P*qaB*(KA78ty%`+B17Gs<$oHq$@{Wlx_G#R*p$ zIfU<`Y{HSU)m(=v3$ERz^qn~^F-i_#rE^i=qy3&hm657BSq}H59NK-A?ojbPHnDV@ zm^#350sI0`Tj7Ze*eMhY3v;NjTSTQqm!;va?q2MF+VgqOJ?-bVMRA!bQF~R(cvU** z-tJ_a5wE0k`x~oGdocu1K+L0;Irn4e(A_W{ub`j`Q8Xm5Mp#T3HnYtbr>_E%k^=+w{=N{6(ms z*g;84^-pzY&I6s$MGT=2YJS><@n@40n{g5r0<1eUwz+w4bUe_kp0o4>)0dt1oYYAG zXF9D`_y&?!wsGL#-`mZ+@_0?-fU|(`+0FBCuo;KW@h6)e9YYG4kT?k6xQ4|zq{SYr zK{}>W1B&uGaZX7 zCjR-&%V1t4`0c!zDFWn;2MG56d3EYFcTtSIZ{>S+d_w|>;X-?Kx&^IuZjG_C$^ZCoY2{0#hOyFvp{^|<|S?lkpa zCmGu*VS=mL&rXGCel5v6>J6tHD@N`{e>8p`hF7cJPdvRhIuE(|tf^jY(Xgb79>`v= ze6f`Q+<~&3-s*Ant16WU{ZAo{al@KoMUTB4tY6z3a*$X+IMJNU?`(@2k;zWb<4mox zfYHycvW`q;c)FLPIuHF3Pg#KYmZjz`GnU`Ac$j4VoGM`*hkP+U39M@RpO)&41Xl#V zQ&*67xE!J9>WXxMTnBHSUOGTPP;bgmNB8tAv9OyBVzXwl5+#xjH?-209HzuDzOHyI zxv2K7Z+gIZ(GXAwaZ`Yms&*&^&+HRp@LTW7@!Fwt33rIhu_HTu0!V^^Rj?p0pC+p7 z1AZ53xn~WTIbp6e?&s4PFOT9czht<&G#4^$TNMLW=#r*K%1K)T?06B@R6 zQLxrmY>kBphM~`G9INUHTNtDjDc0~50eCxDY54cZGh0O{h;GuO!oa$aAbx$V0GKUu%mQrd3C4TpiK&dUFYcz5+d)d$ zH!LLZAKHyin<7X-?0SAp5HXdlTV$E`tDA2s_~HxntkxHC7)LLkzoaGO5T`{`D@cBD zA#*otEce;D0tcL7sa{cb5EB4?2I}{Yea}b5=HVw?Mvy|TgKnXE7xt+Uu$W-b$r^!t zVI9>COooxRLayttyFuwjaU(r&7=M)GHhJP-08^YD%FFyfkJIPknCoqfU-KrRGrcHx zm||7ttWO_$@qr;17^Vpzcbb!O?X~=WL9X(WC6tn zqTzW}scpi4e1~;p(y8Yvt#NCIOWK+3u~*v{8m4Q9z;}fuyvVhVp2H=1y8F)*fsq{j z+|VpA$V+Gaxi}q(opE5yR_t6KY)ys0w%s5z@b_n}F|BonP+80NK%ve z`xvco7;Fxnit9|ldA=w1&#b~Cz(ui1K6Z1~y&O`U?TtI`cmd(M*c_W}CaM2Ag@uOc zH3!xW339!v5T8`=XU|&J>yTKtZmkn{L=`tgI%bJ?>oC8UgzK_mG~h`^WZe4r@wEx9txWl(eE&0&Yl*#5u-! z-7_WA_soMKq*8Jn$iIb>567nkm|CW+mj?UMX|qulN}4EC#=T|{LxfQ2J_tTIUgkmJ zx+3=T^>csgpfo0&#f&{@*5fGnPfPDpGtAn$#KQ%r_40<(jIW)VLLze+;ak*r#yXK? zL{x(j|G`~F+@YBM;!LV)YmdDKY-YJ8bRij48cJ30oak2{H}^Rd)N$|pnjj+Fek!8= zI%3f+*PY-lXlgV&#+n%4n*e9=Zf|2E_*q5;N_aJ|YpY26xtt);4jG%AdNX42Sko5xqkJvHkrjn~21zhG zI+Ul)P&jdwjE8r=qk3uAHh1oeV*`0Fu*Pv8rnLBq+aC!;u8~+Vf=(=2O+AY^$THiS|g&QQ6QWNlnf zUg6O-BQZ?R{}!Odv1DQS$b+}wUHrUAtcQV;Ta z|K~SWtbfV?uy|D0g%397o)+wcbf!LkY|8iTO3cDnUXnmi(7 zR-m=;RzQ^=mkV*uO*exA_8k%R*;oAfmnDb1yO}>$YkY9(Kxh;^@jO6l`K*8{4p}Ja zOa$KWMC>&_-ZN~Vm$u?sxN(^U`E9*70OlBSyCI2EtVr7}w!QI=YXNX)+gE&tcnbcHb}-%wfD?+pZIsDlqTTY1$*FxZCzb69|`7ay64(ex{8WW$~y_@Mfbm zaJB+$Jd;yrkmb^ZCAjf$uN7-noMxkX;+)M_8b?fCb|V0d>b? zDR;6NPK3JS;gHg8)7RaDe?Y#ZxL%?>05f6P>Xqaak7&1OO|8C!dDRuiGPuyS>Bqp{ z>KplzVMsSe+$UNnFvlPn{w&iGd(JpS4c zUVF}8kI|X7ak%wNJfNqZtO-o*T76b}wRN~4%vCH9=VO1I{jpM}D-mumsWIF3y}e&d z3XcT(wsp1v&l*rxK}6#+ft06p4v6(b-1nQgW)$>?6|yr?yQA?XtHq_owjFYB48eTR z0S7O@hP{D*c$PP~pXc?U_QMK~(`i&x)}_#rAX7-U_kGW;KeR;8>==QVyRQ4mja%V! zP4egcv+mV>a*U_toM%=_TG}o7{ur|G3sCxk{EQJ=M-se2UWC5Hk8hG* zSKZCcMHrrXBF@V%zR(5LMyDtX==Q`Iz)P4{Kquw{j!p*&?tW%a1>drVLkn0X69x_HMGi3aM z=W-3F$L+<;XwxY7f|FBRVM>T^`MZ)lB^i~#J@kRnuh>`e^IgLXXhJ+|mtU+D-$Z(# zlgzXsQ*kI@O{QX-_(!K{gZ&QduVQK|GwsPcQeM-v0 zgV*;um)?knS3uzh#HbZFzTr)7uDy)*kO&Dkm`yHn#O#~d5$$x5ZH2kHA;W4lro$co z9`h?#X*)q)TeULXx7OySO276aodM~!OU%&|HF>!zhy3WwVdjNBa`eTh-*2X04b=1e zP@h71&m$U2O+YR@e|uMf+TUosWC&nFQ^EWNUoUvu@v|P*dZr@og?LQUcb}b;%}n+2@v?8DalPfDxcWZo4YT0{rINS)oXxl5)b8C$tb)~Iv0Iu z1qWu5ZM^INdqQHq3(t33XYCZjT6Wx;L7DmW8oKUhe=YiHHH`>l6rf7loQW&a@~g_F0s6^DQ7Wt z+5@qv&+2il9ly&m^gZG7i!!?;ipqT?_Z1`xs8xB7Zw9aIkt;TQlvHo)ZhC+vrLgkK zB@J*mt;|F73L}*aEcz$zaV)5X@-&vM>%SwNq^*Hy@2v@N<5C*h@3<;B7?^6mN1vog zB%(Wa?raUKjHfQ8odz_GzW%Gc?+1{GqE52)h~gv$(}~y@Dol0vE1KDuQ@MLeX1IBD zFWfWYdN(~{M(73cE?G%BTHsrrzFt1Ol8(B$?hQD+p6$n{k``duO!EOI;xJjJ+Nf62 zNlc5%>zLs$QVdO0o!+@HPg|=-)@Oj%C8}UeOr7?$W7S|5Br)GGs&^&nNA5h-Gpz#3 z^KT769Y=$UQIJx!M+6?K+!_{1`gr?)kB}4=E<&G$c9Lik%7u&dS;^Cp+rf8VkCBw${LfHlpaA}a?>pa{9+59{qU^a8v4h-u>gkV zHX;e+Pdt|dTuVU7b+&_)BP8*F%whyaJn)S}=<$lOPgA-#-s#{~Km?AY{%6#q53Mv& z@b4d@0P~HKrXALH&5ihT=hrSr<}IIz%YaB$vanZPe42Q!W+BLS&AebPu^nTg^6;+CVNId?H1G^|_}18iht$nOgdta^d$_`_cbL=%*Pq z3YnQQYwcn`yf969{aj+z_gsDtGFC%vNt(rKp?AFW+XbPyeDPT%!2~xae*lJL_tZe- zJlbNm!<4;O^}K{-6A*6pwHOj(&#s32tI2JhUEwOwu;T$85$KdsGouRpc~2bn=ykSh z@Z5YsAdh&-2Q3uo&<5Q^5}K_WapOhFW$JC05367U^XJtTJ$ItapSS|hbDe12Ywv{y zOuzLYm%AoVqjRtY0oE}30~_h=jEem8o1>-{7c>Q@{eY0sux4|netkTj&O`>H`2z!ri-4VqJ0~YF9kF*{KH65&CDci6k3I{)mju+!@HW@iMk1lp^+uAyZT`9F&NC z_AFAZJ^&WpGIM3$kB|PSCo?}^Bzn_r{v^P*RqQL(G_zZ~Qj%%k8aG%^(zV3RJ-QKw zFjeonef#z~G=Nt!6K*R5>K4YF}f zXzz90Jh$Hnno<3Mex|%uHfO{PU5P{|y+4~7V0boO;hRU{aTf* zrUzQe`aeiCE%^5;17BCi?C_bX+w{yK1BY@8;7rhMwapYc2A$*=0tk!~N4@*`;@>du ztmosciuUhdg@IbG7X33OCywQMC zc5HNh@b`{OAUY>vZ>2ZEBDX#s>5)YzSo~J}bz$vHSkgvjXSt~bkC^bTpv=7{`fWKe ziLuio76?w6SUfA!YqcS=QeFNaJ9Oyft#gkKY;^zwkcNu@Eb2f^L4Yt1eviN>45a#< zq0`>0KPK}0%YYkk99r&*oOs-bOZu_dox7ZT!ycEx^I{R{0TwxlY}rbo5I{ICjzA1- zu+YTo8S*gJF3rbr=j>u?DpQf6w@n0t(>?zVN!2HUGW5A;LS-IOlf7b^MNyNs^lRmj zTDKROR_fGnReNGnQ#~}4+h9ENXoBsVjqqR^A(l*=G7avBuBcV5;gnG;nb#-ek&<>k z3%xE?i?+H<*Rp+MS>`CS?esTF8Gwh0Q6JBn!UrUPwmh~jkYfNN1_6gSd^zTEEjt4zS;#>ecJ*e;!{?kl@=ZmYW7jHQ3 zfHe|S%30hcAB5J*bg9tT$k3M;<7;ozizqnS_b5Irxt=v=1ZH{uEgi9^sL;^E2Nn6P zd%t{69Y)c)<%|HX;KpztG0YVH0tNEF6Byc~JGAxdI{y(@{uo7dbsLH^i#X@7;@Aop zxdMRZk+FsIpdPEYu)6PzLpa5pi|l(G0m3Hh=GNeVDbA@2o;Nh)o^Hk3P0X4^JiI`R zS0ITjb70uGD11#BHj}K8x;;rnIZBVPOS=8SY|_zQz}*GZQw7(pmPdjcYnVL)@yzT& z5p87+y(TEw0jsS$(`{dlhwayQ_MSc-fs5?L^O{~el94)-%b8{-IKhQZddUrBRT@QZ znW>PTVz`CJ8kXBT2bem9C_kyhCYRS-SN3Wy@Xra$Wj-h)BhpOki;%im=oT;G-qZZx zBMftAuOf#WQIpISCGWqULg7i^@0x#T$`7Bd2no_8fp;c}q>)_2>JU7_FabiDd3odQ zO$DMd$D_&ARI$QincF;-+S~tcdJB~16yzi@^X&ZLdRu7G7O(ASH(&!(5yUCVqEc~* zF2ONF-)l8~hae8uK1Mh{$^09u?@l~(WN@hN6QzclMGQb+j>10PHlQhSohi0sJv?l|WwD=(pD*a4=X%&IzRj^a3 zNywU0(y3_?`L=Q!MAfdqP%`kB-jD1lE>R8{6$IZ55uFE-Dra^D=)i24BT)^>LP`sn z>d3`4SCRB%Vl!n%ChMQT#oL6(?ZCFAx;5=jd2dGE6t~p%GEmFp{JekTry_K~8-P`D(v znRKoli98b{0f^-90Cp8og^&QKMz85s0AWd;{EW#&5-Oas=1U&!tCua1)O(oG|WlMQ^h{Gt0dy-cy4yPB}% zD9A=)#XuRj5m-(*)K0)B*GmA(dMY&k1m}AU2T)M%G*f;9G&q98Z-j?F)KirQwPenm zot+(o$76FNasiF|76TdRS<}OdQy5rY)rK3)Y?TMhKTpHiMc9F4fH_Qi9Mh>S22zyS zc(D@N=)`V*Cey}=w-LrC0kCGaF&b&O{Ia&;qM{BE&F z>0i1150K?aPV_G6I2L*iV7r>rJf42wx(bIP_L(Xi!?uY~LM6MIB5D$Rd(wp$ocSGQ zSX5)tiX>q68x#q|nCDT;;t&uAA>clm8qTToL(*n9G6%t;mNSFzG8GTNy>l z;uhV1d5GUXq>_6h$Bbu9>2xNEtxQL6A2~zX&ip{!7yShOmFK0X@#i9k5W*}HQE3M$ z1pXZsC|^jy1aDr7Sni*}OZ2=!C1!ou!kw|(r;Iwt>lcimnL!4i}ESTS^Twx%qio^8yk8_JU@hrH3 zbh2B`J2Ukw7l#wIAwA+GQJ%-T`@69YaZXkUGEm4`18NTqL$Q*73n1M)CIHverE|nb zsf#}BnkAUlSdyEFERb(vYT~G=2c`55;*x$n{{BtH{1Vp5fM0C;p*e=`9Kfg&mfGCE zg_&YtH!$$csb7J7v(fHp)sOAa=mY;f{RT)|6mhpt<=u@~y^|~3mZaiZn{ni=2T_XP z2Bw5K_pqe-@!j{epp0VdgGHmHudmh_$lxujZN>%Mj>^>$!L+S+@jLY4=~wyFQ@a4HbUI5?Ge+VO>@_`-Vy5{D_FqTZVyukkHqXA@Q~LzpXM zm6oA$=W5c{ci`7H5Gkv;s4VJZj5#!94bFj`ln+M=y!7&Rd*sj-8`QE&YN!=2`)*F(m#`fR>(6w2cuP z1j#=FaKw7Lskf=Agv*2*0hH{!?;+b3Ukq#o!@XZVt!i^O?9^dt?Sf}CamPDqc=agX z7m^jaA2&~LJ?RD_KEQ-lhv@$nt#u}4CLQ6v=CwI{oB{4S_T8e{GrkyRm=%^3Jyf5$ zfAp>)X(`2>G&6~&R9}H_k+CyTa{xE-HVT6YUkTiESBv}UmVCfsV%;leV?9Uo>I9|1ILD@d+D*(`g)HEwG%%pYtXuIaYJUb>*B9&jVs)019jS zh(sd!0vfu$`uqN;Hwfl{C8^gasoLU#oygxDN&86YibDH1^ax^;wAhf+*TZYcOaP)h zMjhDhaM60HyC;u-NNSX91GcRnziK4l1-g1l~_P;t2Zt#Z1Vv?B9 zIfLI40dmRUPCz)^hwJQx*eeWGH0>k;r7XDK2xj|aZOj@~cotQ-Y| z3-8`_2q!;@a_ISw;DU5SzR5)3VlD_wfaWzx2O^Kv^3Aj9{}*1U61NmTbM&|=qZOf` zF%6p(u^iBN(_PoB59Xlh5(&pNLDf!jwH19fCc$#eZkiPfO%5Rm58i<9a{SP1=T361_fdk4x$s`>~cS16fuG0bC z`yWx>-eHH!?UILWi}Jb`Ae6Hlz=O`?Kgxl^4{S}*#EnXNX3y8|T|bA0qF^75_+l%) z*^ltBRxBtKZ@t2VyDIVDA$A}Mrcjk@IzTemzB7f- z??TA(;t<1UxlO5Xc=?&Ml(k@&$kFLRgQ6xcyTnqn&~dk8#lVb4Wru~z9}(4yiPT_L z*j4s;7gOm~bQ)UI^Ts#4oXXUV@rcP4!Z6p!z5z8<6z}!-U{FF==Ds!ZIM6zg|G$s2 zWM@40q$7R5UT}k|$M;-nU?32-N;dR!(QPXD1?LI_^n!P6xxs`kQG8}nq^&I2XoK<` z%?`xsDJG6){UbN`x0Iy&Ex~JqD<^g8?=G!jrh;uS3)eMSB=TQ2O|BKvvm92uDNqu3 zEpW4DGr*S*{`_e&*vMcF1<88z7)eY4j10ZRD@S6{7}(6qri$aETEJZkq_%IhpACQj zyXf4#N7a;(QQOi#hke%oPsC>6W4 zYUt>CiI+Q_dLC|Y{X3&SQb8n5{GZ>Hd?lj{xoT+i7ccLg_n9@G2D5>~5GLs~yOhF5 zNWc&Tm`7x;dC$+GlePzf%6vm}EvmpICPV?@BUTnOW%Wj4u3U>FdbQOWE5r$)@2O2= z78OHAEUZI}FU8Vlod~SRK$}Uu<&8&opo$}`9m_Qck9Gz8Sg~Hegsf`V;M^wE6_{*$ zZ5`SzEZ|NQBZKYm5c<0cW9;#k`PJ1M^=X42xhjw2{lz_UY(8m~gu>>KzxyugduwwB z_Tpa?JxQ}QnStN%`3%I6$#pk2j9-YtIKq+r*S`(Vu3JI6y7BpiCh?GJ1PWdB z;Tp)cXA;%QexfSJxLrLK<4z#RTySQESzEl(AyXb-PO66G;fa^xY>E zoc!lEGTp~DPhc|zlkH5ZRHX~$^DAa)esVd6TH}c~Q0?*dCZ&01CBF8b1GNSNSGL)9 zFtDq@)v@}``%34gGKUUzL#4!a{00${<1pbzZ*^j^rl%meGFgr=l6-WgxwyNNo0F@c zACGXqHEj;uV{dMLVR(guy1YO+TAIxEXq!GTidwBV)~dk3GOWb<;YPnle;dW29*lsh#CPWLo^25LPoT>!#|B)#P z)+2*iGSeRUY8&y&Rq0~%P?Bdp05I0t-O8T#%zFMTzu}7CuCdV2X@Bpa>ua5l0MkwGO1s0<(A-kO%u2hkq(oCn$^8h)?dOp# zDaEHPHV_bUPw{`xR0Op?-~t05G~cN#DX{@2;st`d_$9l>!IT@O*1TG9SC^IjHaBt} zoOXP(rEm^I%~59_!AdlzGjEIKo1k6*T-;||DIr3Yi7Rs;%7qMIo6r_95_Oz5@tG5Q zNpSBSI$13qeqU;HCcJhgo7|nosER@-HPV)>O}rdlu13jkWBDsdT6($DEt;r}n#ykL zIbQA0TK6j2vt2yIzjQcC0Yo972!kiZHSK(z zbzkX#?2D+H^DZVgqbazOd~kg1K1oxNnIkX0q4PZUC_Uf}HmD-zNL&4Gq0ypzJHsa( z@jqHt;OSE z;16$>KYcWlV%?Nb-d{;WgdV~Kr$>kcx(qSG&IFRz6^Ss>2foDvhFp{pgx7+<;*u7q z|7C+ydmO=T*KZZ}Y&(0|C3F*nFALI~N%nbPi!I{+vf+_*6SJ4+Ft-V&2=MMm&m8zU z<^^O`ow`@9a>_U!T?TjSD?k`6Zqxy!uNa7&{8L7ZM+U|gYZ2f%0K1Q+b|sypX^H1j ze<%-#Zj|M=wE5S(5o+Q5WDyHP6Y3`J71$QDYX%>!Agb`2xcU^2k5_%myP(_-Nh;>^ zgOyv;Gh35msGZ{pZkWgG!6}qE&s*B(m7RF)6dG6vW{-JS<_?@Y%oFT^g~L)b2ODxT z+`3pB-MG{s=9-Y@g?n1BLPE2wAF6wak+wr14=yR}FtFt)A?IC=lBVAMK76T-wmz}W zaN7>QzI&{=a}IDl$dk8PF?Aw!5-7kAQnD(p9a;WLsLfeAk3A00S3_%`z>tG`=3HQn zO`(;+pD@!7`)g1^z-ED-Q8IrS6{?Ir)D2An5q4G@s1#Bq76%JQ1<#~KykCcUcU@mT@)H64AaP}7V z==wH3MVp~kV1F!*z`q9qs0IcJ8(reto&$&!%A-=iF;KW13Gg>;1P^D%0yy&w@sMHL z5c@@Ja#Hfz7Qd*3+L}IC7MSy9W>p-fr}PVe_7C*ZeVMFfElsRkzmst=8xDo43-kX| zc_~t6m6%TxTjV_e0!N-nDVGG>3+v(f^6oy~)~8=s4Cqa}#IR$!x))JB+Kim1(QAww z49p8tOOCk%nryLc%4VqqKuF-zE^K5T3KVmC&LZ=S6P;RiObEFA1tYNXWnle@+#wIx zuJOE3jHU=r2$>R=qQWus*Mxiq!|e|?cm5n}d;ds(|9Xdtcqz#Up0iF$^B6kWZt?>c zb#HkCr#j!Wkj!R047fdfCAm1kOgiaynKiEs{8a8~J?CMC9Asx)?SQwqw>!5R@uZ6$ z< zAGO83ZF-iXTmuit#_e&0Qg8XVM++xt?SqnG3qHt4J|^a3*@2gU z&2@U)w&IY#z!kea<$0XS_%_}k_R=Bf4Oepd+ct)9rw*2XruJj%|D)+|;F;e4KYslD zJ|D@#F`G<$K4#Kp#n$AaI?Ts5k`0Z%m12$|Dupgb!~ZaB#-U?1SMhPNE)FHSK!#&& zD?_3ZDcM{_(dHs^d48`x|J%>)Ty{D&@4fbVJzvk~<8gnK&>iLqI`SCEeYxlScLu=a zmwWe$7O7|wt8e;DAnsoA!Rl(65{}4c4mRKzWzi|0-2YhUyqk+R5ltB!&j)TV=&NX_ zU&tH6H`8CMczW9+(?RGTXD$uVo4*rp53Do7M|Ec`%f|quge&SN=r2TbDzc-Gs+sRY zn)bHfYLD`T>7)NU+RtlzaJS(n19+q3m-ek#SBwwURLX;yY{n~@Y%pH}hn_a-wT`=i zBc30qUvd(Q)GZR`R0=S2J)H)-O#7khzsH^B3C_UY_>YP3HG@JdemyX7A{m&`P8*Zrjv7p&_W4?%&@wj1>YK$I>0rneea&1JNyEz%vCggj;1+0O5=kU>5$^lA%;X z1k5_!?1uj`cA?g+j`x`V46(};A6-yzvtzRB>YmRTfWostAwvC~LrON{hCej1jpvU9 zM1lo;|6N>L`|{U!*)XehAZp^0wv=75AZ)TQi;0Q(O<2?UNTiyXhym~VnpH2l;uq|en`WQ>Fnhk-ZB`GcbHLx~=bq4X6+G*Tp$CjTvXo%Vg<);& zC`qYGBpjH1ddB^L?yJF7L<@K`2K-L#y?L*2&x8|Kb}$R;3HK(Cyn2JQ7p5ubsPA(^ z6Y>VoN>FEQ+XxFxRbDE^`WXdgZKegSK)Hu)vU+jmh2Jd+oNmfMz~#%K_?NL+$VafZ z9c??&t}arvZz^ajaXsft=$e&)eCu}&d|`Fx(0EGLQc4jJCL$~>5O}yUfjIzNg^tof zq~U|kusa;oFOg=VNMf0f0vmLZ7VKvlaEW~!(Z$|5Q|9^4E5C9{dJkx^s7>k=io@A$ zdN$+*!j>eQ2Vui#flPv1yya(bI9!j-f@AfgX2LzcW{{8$@F;ll7pAGown;QQ(ai`DW z1u8L@-lSuX_j>z442>7&&e!W7dPfd&h%v` z9|}B#}caOJKHVFWrXwFqNK-l>OES{wP%P5zZNc@^6(k7HS4hljHmo}eiu16lM5 z5qf=c;yxzuNa$(MI4`?5mu4%=W4;J!ChTay1ae9GT8y}-ZGh`rijaA;QJcZ{^Z)xO z%Y`B5hf95#Qo4Fke-+vRYV4fs<4t7S(q19jml=WvcZkMog0qIkq3!h=2#`+!Tk%1P zipSGGweO}KmMX-a7gXZ9Sb0DZ0GGq@u-uU{N6+bSM&L`hgypAna!?IP=UNdAlA&I3 zEp?))q~}`+JVHfwK9db~K9sEb{n})gWOs~9 z*f1fF^PkegPrC090AoSGk=g4_S6w?Z&m?d2uc%@lDD(clXKLx+$}Nn$@nangPw0{T zQfU_b2f(ZZy96qLq7cAr#TQSHO{gC)4AVHX{L{({iNS4OL>+7X__Rf%qX_75=)s4k z4Qqj?;&U53y#ns*I0%2OXJQT&rerM)+lRw#HRX1xZ$R}6$x&-AUG^=pDNt0-|O( zMe1-`%^8OmO3kGjo}oS-ApxKq3iMscsJe0%v|J#pc0oz-&6lQr_+9dpKi?tTuc)04 ze*J_s)Qg&RBLg&0=uy-EeY$|#+2aB=|K#v(qe7_?j{N+tTQtN6TS?_ttyv=zgE&WU zw-2)n=}psyX(j1 z^P0=TA_lzQT6nIPU&Q!!9S2%?#ndlb7_&WJl8-Lm`e^kjM-d=oV7M_Nfb+0GAY1br zlsZpK-}M?b3D0OM-KR`66sdi9m1Q5eLdRVr5Of;PLG-r&CT^qjyeexK`#93T=vk4? zB?0BQQ5CW5!YnO2*K)_B#AODotR$q?V(3wmgP@uH&Be5Dp)j!`5`Preht#DLz(UWa z0rn_gXvPEEhT+ge3w{}Y$0MRA^W`VM3$91%Qhu3Qa+>>oWpC@3!Tis_T2XslvVBCc z`=&YG;840YrXNqqG z>p>aK_~rZ#^-Z{<}gp&5n34Gp=lpCV(f-hV{oN3q{Z*o zJ24TUSOMz~G!YF!S6;(J>KB1RsZ%k7rUj|BBqYEBaPnlGSXSXYjxF`&40Ypo7Zj?S z&YNi2vy(6H`%f^SWzXF+K(l*eC$N))?Ky-q&gV_9CecK;WgDZT-~14n-4oEX@1+Kz z;F;}bzMzRNJm+b&Oykc!on$10ZWzXkpOW;+39x7n- z*z{EWf1OkgAR)q0x<4q0z-SExWXi(LeG`CvrwtK1Xc@If<>BmFL~c|TaZFhw!qovr zK}Fk}xh2ShgLM^P1OT{{*Ony|K>5-!M`~Oquda7=4UMv60~P8QcK>@@C%$WeH4)nR zEE>Gf%CIX|Jrdp*6FP}iAhpNbn|^cP5odZDl2H%71mX&nF!a!wqF~RC2Q4JlFazLs zK(P$^zTbhttqNsSg#kx1W&4j;|KoM>@PAk zwL9k}TO`5Jz%5I!@w}iLv$n%Fbv6Oxt+hAC|%btKkwXIipwRa!NQ)r*t{Qr_ZU-~mR zSR^~}*XO4Lb6kp*^j23(J8De2;N!r0;rg${fbSY*9l=3})jg;Oe)0LetyH}G`Ku%r z4pW1na{X?RuGa4BdOk({Fc&$MVz==nHyPf2KC@dHPsCE_4x=0B<|Nup2A1MJQM4Xq z$XmCV7%ta)0ypGjNaw;~FqkZhqnbgcY^gJhuz;ig{^=!^;{i(7AOdQwzi{ag71l5J zsu#rguiU-btWK^z8B(PNNBFnCgubsBJ*KA6C)6i&JhU_APmRME5h0J9SoOOW59oe~ z62y9_dg@d+PoAY#~+vHQ7!ur`Y*q3 z(-Fg1FZ`W^W&@3tI~7Sxvp-=0ToKkROponGQpFR-256<9i)8t7p;c!WYJZbMSrcK; z8eHdDe7$+}OwKw-A zc&STbg43}&)&>km5jG`jrEjti+};yKLk%ZexCf+;s4}yR1M)FNz-a)Yn7tapQCH1G z&hS@i)orR4T!6VuhNbXc@zH4W@vpP`w?dMuYujY|TV1;AlBa!JK6Fr%puD(JDdtgYT;cwpt3gglNDf-;o%lppMYsUm z?s8|12i@=-dA_wlvADlzrPw(U{~eP>QL@T+I_9Ryz5fvAJxVwLt~G#(9Uufgl4)_& zcbd58AM3`sBA(%;gc3XNipaU>5Lz19RMv%pjMN(%Ub-}{65<%izw@}%p`}a(=IFEe z!iG?==3`U;FVBMfA>mNzVRFxh(lcoPO%HG3Cq#>(&)ka=x)YmjuD=t~_mX~lcQD&K zMW;Ug(hRarNL`I??}j5Oh2t6gd0^~FlrgEhSVE$carZU&bG4cdDwx=4dK z=jgvBV0?1w%B%~|E||TIU50el+K^&q7pcN#X-6kqLBQUyp*T`#B9fdA`noAuh@-wM zAJPyD!Qzjt4SfJc*9yjHEp_KJh1WAI;Lz1%qjs$wM;ZCT|BGnDVcq?oAy3dhd_8!) zDV^{|gWufV3O4452t@mqfE=Om{xc0qCvbN;FoC*Qx8xR;zVx)Y zW~YqTrM$;M?HBu{uu;xJ44~rpe8oHj4_T3DUwWls&8X=SXX5ParSmCF<;pWqeGwzj zauQNkIya6OCi0u|qHo?0gSKt*HQI8Y!7XVtU(Pi6$CY+4A1RU9;T*q+0FS?&-KVfDtWDLd7h zLo@($NpRSLBiDw@%i~x{i8;<5c3w#^1fJn{0#CjBED5?X(I3F0a8u2>{AY*rntkZ4 zu}>`qg*acJ=RB(^VZ2Ac-^NqBr}L4!kVd?GGx5ouZP^|*gYk&#A?H&dD}t-yVVT*#;auJ0Ii@gHBIioJbdiEcVt+V>E3~m z7_r~Tt2x66MBr2FNVug$h=MBU2&@NfiQbEVzAaqF(#oNX+=F*Tuf-8k!NQX8lRXv1ryn22mPmX7G_QV z7Dkzp8uuy7j2q3ibRhd$A`Ejgh-D8qc64EmDukGPbG-!J@9MO0zEY;b?5rZHCvCkcAI!91>`BPkrEQ$Ls4HNA8>2L3KP*fqF^T0=2= zTJ*SuX`#|Oz_rBX(8C}WAhcvLDYz1*psSyAsc#onTHj?jjcCPtHh2*liurbn)BruJ zcc@>Q^#!e44K5z|yh08Xa5(=R&PQ#2P?$(wL$@Qqj6^5%U0<2=% zJ-^pmyH7$2GIfn=U;P3;PX?vP)+O1BWYn}G_Xu4QjxZ5PeG4sz5l1l#<%^e@th&E{ zx7eeayo4vlSRecGCP1W4ynNo1*0qAah) zH*wHGP^j}L?Z8KoN zrtqpbqyp*kCujt9Tech@5MQI_6%tg5%gt2jhG`SK28e;eNffmEWRfrQy>m$7(-Zqk zDm3icYkBGTT~AW_SZ*9t7)|SmBP8op`d5)s^alxE+;9`DlzeKdRlog;$C#R2ViUY# z7jrCOo3n8)kZ(uez&C^P&yJ>^7_3&r0OTS{bybJ!ckKDykMHRMPfd|D18lxQpgQMr zC}1uRTAf-)8&KXtfF`=oCphSrCa~Zi1$77=$y*+>C-7R}YHUl4sJ;38SdLWI`I=b;CgMH?lx)>Ng*~p#3R?UHLoji5G2`EEX z)8ZaQdwY@(1F~kD+Pm5^zsNfVv@1Tc__dg$_+1|f>T3>X`U$G<^@4S|2fSE@>jgeG z=a^s`=RG|xi=PY9Sx;N2MvLkq6ao2-w5Ir4$Rmc!xsYT0nh;;dXRACiX`wk+k=SOs zV`NdkUqhX)9~|u;!6N{m@oD*rl>@#8ofz%+&5&4qG3Y7Ts8((_m-k%3ufi`6r1{LEZQ zaH<)PBH{7$zf2Mr|e3O&AF;J;PHQ>l9`?a8>8#(Ff*i3kl&?(*1aBwgNd9A@E zCe?N1*G8bjv# zLUc*$g5G_p&+jz5-QI)xrPU;umU~?aLw0kZ4=Q0O!u-B6;C&1qJA7iVXzP^F)baL*fkCTJoc6)vJT*O`i>UWoXv}*_(4<8otq!Xu={HLx}?pvOeRVn-| zKOPuq^k$Q%&QDGnLQm*&?V9|DZ{M7^KHF2-@9N6f%~XqKT(fhFFl*l|wg`M9J9<7e z8+FlGO+O~M7pn$vw-`_H%*9IOCy<_`(+NT8~(3d(zZNP1$ zYtiN~sFpl`^O1!(^rY>`Q;;MCciV;me=B!&qhMU#N4!C4+1u19{Rb4bHs#je8~Z<002Iun^ATX2 z^)^2pJT2Wm+u{G5#`t#y)8j#?QoQDx@m6Hxv*ftayQ;V5%#%Cpun!p@#BNbjn};TZ z&z3MXolZKa@K+T7 z@F`SB^VfosF!mA2{vLD|b+c(YrbVdTBkV#~f&^S>v&vYk2^tgU_=>ft zq!L$46|N~G!`W@-^hoBNlzV z4;qG{R{Wy)h~9fEJFJ4FbN=AAR<)Sfn{rs{u6O7)!szb$o67eSE1_S+47|~=g(<0D z`|Ui3gw=VOFYzYLV3Npc-BlIbg3?ewH@1M;Zg_>&JH)wizT6=6CE3zrx26%Pu@k-h z7>Nz3U=7Eb#L*4xhD3OH@zj3Nh8{6$*Atx0%xPAhHkC}wu5e3vxt=#y2W{(h|NYAx zp!(ol@HrESq5HZb(0H+z)rS5cyjpT15fqE(N2Qu+IW0Q%1&JGr-B&{6EQwK6nPuB1 zXH+t?laEPPgp@EaIxt}BTP|qL^*o8YFM$_JHmZeD#m1X+C8vF_gDIx5E6LgjS)wT# zyqY8~ch|2@R%foy_Zp?JZZdAG`@kp9t}%;Y_L%pzAM>EtdG-FJ80{MigCYIlI8^@Q zhjePEn$m9p(zDPT2l(?=EuZ2_?%AeAO5Ih(y7)9Ea{I-bT}?hXvrqGwe00dwBt%iL zqwF;F)kS;agF!ECiNDt!Z~E$!-F5C`ZG%){N4�mJMC@e;@IKK7ulPC8Vuky<|1V zfU1oS=D}HvBQ^vF4fRf|L2Bo?7l%u*D>VdTP+UmboA>njW#ntM2tEzh))7NI0;n%wJqwy$F}7C|&gu(6l-F1| znKW2K4LbHX-1sU-HHLoN{oaPw_|7yxOv~7|5afir)u$owpsTVVh$2*)O0jfAZRY#) z@^7WT!IVG?-ES-?_S-1T(`ApyvNzi*7WleqjM4{r{CWHNkJh6 zSZ=SYvle;AhI1Ko>#ydRbXl!@4KB;c0?pZG<*%L4Yuf(|I_dItaQ@0wfoL3}XW_Yx zeTr?C!7u{`32%UL@6oR{c37e|;H!p4Q?40MrkOZbHfr7#JSgpgxFHL_@54A++H?8& zUuy+T9JB;p04z8)X9Xd_XYhMseIqqN^YkNtMc{YW2W=M(5+9t8*fY(tJZeM}(;aV7 z%Y69rT2nV_`sn6dxz^vaqb@J?*r1+`ufrca!|}HdI33c?At;x$L|x0S+(eNUZ>eAM zv17ly&B1)P_hw}ZP4AcMIm+JvyVo^6IlmgU1)tjVg-OWC^**CDmwa!L7)BhtXZEVh zu~m->;ZpSH-GEfjfQhRxMTq>*Zf>JN|*ji}&S(y|z zZnFNV7g&mfXjFbwVBf`}IY{^zWdfk{cZU+xscF+OgF`TkU8w)7Kj4fLzn3KzFEk~# zB&oDr)WWi>-UW9wF;Xp=@kpVE{D&~)dgQ+)h)~!j;6?Nt6&A~iRODv_< zmiqFvM6wFT_IJ)2&`Mnd+NK0CJw_?4BAq{RXvtDqEtTVNFTU2R{#YnFY4=BLXR3<(DHE|7BK+_6DC7eouQHPvIbzttvcVJTP(N0eTl>Xb%_nl(Plrx^ra;Z zJse~^S7mznm@877+my@6_;0@Z=5>|uk()rPw1z-)(Q-$y=AwPLBv$B&t_@u-4v*ON zjnk9HCc%-8RI`KDsPgoQ8~(cc1v^bct37T=(3!G#;P@oiF9;C+;}7Z}$zi*Pq9!B;Gy(SxS4;7%qP(E&-9BnfG&Y6@N=+(LdKL;^WxODfQm1S@ zM7#~14HK@%j?G_KhrB`dWIzso+fpDH?33OHXxQ5)Sbit_X8uK*Hq=WCZqwaSZW3(B zjTjUhFVmgAaLI(ZddJ*EL{2AP(c*@q5M{txl`aS#j@1mG3n{^?NucM!jbdWx9TP9gyOxTH#k2T^CLHo)+C5i(o^wLP%K(+n$&*ZH+<1Fz#u{HNctmu0e zFyf$&LX@sn!WvB3M-GOAa*c{B@jtbe7Y;*yewE(sa$tmAaY0uSzq{4zzfT;ZKJCjL z6vWs_M^n$*a(WNFw~Ae+yG7Mo9KLf+TuQyGIBIM_ijd5gy4+P#zwPJD-Sj(IXK?I= z2OM*6o1VwCOa>pIW%kW`mYoNT^P~U`t0lz$6nJTW9u8)zcmi?2JeWcWwFr>M6;(A2 z`bk6CG5(Mmnn>#SzCMeAv7-UIDDr7t;J>UbLmlVlv>?JRHTCq*7zH%Vn|p!3VK~=# z-He1brt)9HxgKnb2qu~aK0(`3V48Gw!Q@v~=GROa;Om4lEvxWbf-By;i375Os4_6< za%cUIa*CsmCU`A!TOq;|>9(c5g^&lOfmmv80VySaZ_A@((;Sn6OfJnsl+SN4hnabz zz;;Gla+|0(QvMU09<;Y03()wI^Vg#MEBqnh2+8+4m1R3Yhs!0Q+S|e9bRT(Y{(`zS zU(U*~PV7}{BesvArNDko&`a8mCcv)c6>nSOzUUFLdMThcgCcF8JjCgzd$EZzlmlJS z-eEf)0R2}Ur#B0RsL}-O9PbNQ1g?X5XNM$&fT^@?$YlTV+N^FXQQE(6iyD6|hoNfR_5Hoch( zxsT8WKFtx3zc&z-_4=v5fAV(p*t~-8iV5S!LI*NJ3kII)t1F*Da{9{3 z^E?qF1JNOGTpJ4pw*aWBNhLGsWtj7YUn)C>Fg0_4Yya?il_y{jQ*$8nI zX;wy|k=VDHFs=s*a+GM-m>j_!KSRNzHXQ|KFAj9Hbm&G{iX8sGKk3Oi zJdh8@3Sn zV`@Z}bq1u1(LrF$2u%w@>D+*k0X>&QhH?O|mIE7undL&$C}=Zp;&7db7N0&k`#}tC zN(DJGKV%xw_2cc3`wvlAt80`rSc3NpQjAqbfJQfSP5(Hu-GE`>T@NL{k)F~`oA~;RHPlNUXw>r6SzWUuKkX9;rVaE=p zSfYf1h7nV3qGiw-{?`ONN{>B!G8vNpbDlRd!#w&R&*+?MsD1;HC#bam7&*X zf?Ky+3FLLwTa1k|`!L$qQM9yh_*IDH1&w0?4+6tw)pbM%A6b~reBY&`&Hqa(MLJfF zpXVrZ#xQ%@cRKdl3Xe%2670Nhzmm#wVzwgnoSere?7UAwbkfq^R&8HQa77rbI7(H9 zBwX*7Ex|5tI`=3yb;2oTt=Y$9fS*|ThLG|Pt#hzTB6(1GwXre5IXnN58}YXP^h#3DYl@X#ymGKop1t(LJ*x?WtNn=QK9SdgNV5k;8% zSfgW^&^&JkrTuS!5C8M!fg6}CcmMV}LlA}30no9L9r?Z1C>u8N8zMFpS=5q)s)dh(7DU1OD50$jS{vVHF6{qefxd4bl(@gyMa4MpIy1MM^6EWIrx(1{ z+mIYNr|zn2WVlZ80?kRr;z+H&kISudgiovyP^nfl)57e0_xaTg^Wp51c_Mw4HgSl){P{de`%Uzqn`&omLwf`GZO{>MgcjsD^ubBdk+Qe`w_krN`L?uuyi(PX?Gs5hnc@N^a;Waq!og`nkGMu) z@U%hY2UK}Svm>ngd6OwJ%0}@Mvygys6?_G=unzB+%l%9BVK2bG`odAM9kmU7EfKF> z%ZC=)zV2x!#Go0*IvUV&Y82tuLx$ri>0aI*e&Qt7~f;iK+g(^cwDBGWrmSt1Rw%sO2Kr>`xoAmix zWyD70Sl#zIj&Bot!eu=sjmhpgnW+vZQ6KZ5WX=gaw$+U;5;U5&10b0E;OgV0298c; z6t{}#JCUE#p|A45w8v(jRQ7|kg(ln@NMkfWALl>eSSxGA?S}F3F~}PS@*w)SjnkHpP%kb?{hE1*VpTtCNkn|^-6tkwC9kw} z9e+L|TOF|;@9&i15lu3C88lX=Njg?y*${$4{WJQv`MqV&lJG@o(Y|5owp&8|yK6>e zsT=&aGj&Go0vzuC?WzgDp&d{-y9SeI*LPw4Kh)G(+RRr4>D z`n+=tIc#}5+oz6Aem9$*4Zt{Ni;G?d(gr^O5wRJ^d@9}*!`tclkdtmzugA^cX1f1& z{xKNA8S7j#wtN_rRJPFitz@ATDaIqx*Y@z=U}9R|X$M{bGV-Q79CY6(lbN8_Qaljy zAJ;vgv6_3bkU2>LfA>`(O=v4gIA{A~MYJ|0D5u&{XH@4obsL83m#w!9NUrXj0WnldMcRgcK8*+iA}rKC&_SUUZdS&pI8EP2 zxt0bJujtuVxbF?|KOtLaZ2;q58;Y0+qT;FG)&p`@!DOVK-+dK(X;G${nbJqbB)Ijr z*P5i7y(_lsZu#U1t^sQZe9WVBnoyff!a%rfMV)%3^@29`rUjM(UH=2|*Y{JKnTKLP z;fJ^NcYgi`T|3hH@Pw^@Q?6d2>+M)mMpTuCqdGk}0+^KVgE?S}!J-Jayu6ZBNH#I6 zEZ#2r$CA*7ZUfT5CP;SUe?K|4_}Mm<5LWyS@p25~op#c~!;>D@M4AQ&qlzMJ!mK`} zs#XdAc@N7wROs}hkpJgu*GBQU>tS%(+&OKnQhT2}{Y<%8T}?!s7#9u;TKxW-x`iv- ziQBo;>dvF8ttNNwRAcG$w}D&|Y-}+e)?Zbu;oCysCoL7U=9{BmngL%yB$ffUfaBoX zs4`VfT`3L)kg#Ji-%Crp5lt80ve%b!_PyRRC+P4{1sS8tbQgucHA1M@?lq?XJ;%7iN0&5FE z7(>0;zR4~UC6}_{oP9botz-8M7t!=o#NgXb?#1;^>2wMglq-gK2rppIEXm==m)pvL z-2a=qLt|XPUstu>w}8uP3QExU>W=c7h(%J=EX>%e^PbAk5c<2RhY`BBZfwbu6n#M| zE{2sEd%LIiqpECe9T46kPcr`AhQ*pm1%MaoohDsfQ%9Og!r!}(u)D?LH1icyBwj=F z82Y5!A=MO?X2rf9TR09%~6%fk|zMZ&y z%{ff#uZ#qLG%^@7GZf&a~-Sw z5U-9vzI;^a6EKPpp!OZ#ou-$>`YGDuzkof^zVMHcN*{FoZ+bS4ZpV8UR)J367=S-w zP3)>t!eA3i^1&T^}+vTZPoX@vvV!>94;Bu zFGHQv+F>O1R}gXU3J{&>;e1mQ;GW>(pka=|!2#oDX%In$$B%p}Ejo*B8Zd6rsVkQf z;9;Bdj^>J!h?-c#T>ZQ2>dX%fm@{&qTV{Z5H(&|)g<$HnXJ+D0$g1}YkY@<8GfFg` z9c94N6MM9JoPG3)gwF}x)fZQ=PRnUB-~-!x-@p z8@dMC%{F@(V3Pl_Z8B8xNiQMsX6U{LrSRfvj=u&SptjIgu-d=R#TAM1^8qu@*Wf~) zAqw?XYvZ^h-^`oyVuu$8K;JF3j;eeSU?9FKus@tA1s=0@(EBaD9}LW_GUwu z^CMgU2oWw8ujVe}fL6*Gj@n;p6nj{V{9L99^`VcwXJ7(x_N*+BmO&zi`fE|#vhzu1 znOxQiv{xqsRmLSV&DxAs=wSwDMp})2&dN(kTUX`Y2>EeX(!4oRw8ZddZpe>d&yd2z z(w=7F^_i4Zf#@|DJTKH#Trhch0w{suMC6v-avMiZZl zj1)NwzR%ne>0vez0cd-~7!&jwQBTyvQ9(J+A86U13Zd^Vm;&j23`VqJ@x`^QQU-0i zq5U-3q~jo1ftz`#AvrwYy}b^x&E&!i1$WLu%Ih4eoC^vDigtp4*jIx-&K!B~p(2Yz z;J>tp-eu=vLE<66)LqQQZljj@i^8K`f4*y$56mTi)U{?SF8c$2^WCJ|x5s!50h(G~ zP*p2A3q;m|*vmlVEy5$J1tCPR6Pjf-7qVLIm*TA>@0H25rMvEacu)MMA;ubj=bPTJ zTEO+a{S8_QFKFb0Q4$!hUR*SWqy2{0p*;mk9m7at@Lma?J`!Q+3ApQ9nScyM-{Oo0 z8-jnIUK6X8Dql)T{rji0ql{xugjVT1qmgfGyHs&Ic$=0$pL=WG!7@%bY749q$P>y@ z*S=Ol6R=kK;m63NAi{^#AiaZk4YIvY8|QH%V3i2JL(iLbcjg!}W#E%iT|W96dZMQu#3Bl~u9UFHq-QX2m-qZofznNg%3 zSdKK~Rh^?V3g1Y$+tUjJs}u`1_|1m1p@QgA|}Ww#|?$S97j&!&yDtb$16II0K^d6d{coBYT(vHExM= zCjR>BTp8_P8Fa|li_WUhW37-l7M+h8;ObBnyKiP}E%t(Z3DPwU$Gextl>*+m6rUds zn-g%hkABmOK4@@4w+@X^DyxG5bd3qVFw5|<&rSX5*WBWT1Vf001o|+ z*u>_PR83-vz8BjcHu!qcqC}DIS52DX(#w(=YT`T zhrVZu%CBjnW(5clJ}w;a1CSiVAD$K#X7>QgbLbf?cfy!xuN+r~i$J`wg(@!;WQl~f zLq^Z%H%OFl{*(_r;zu(-ngb42O#1jJ6Z%P0J8~76ui=Fcl3vDU_5x}-Y}FbhPAll=5$w(3J1)X12@v)aDQ|3nrz{$9ooU-?Zc=!H_q&+iGR1l zLZ@uv0`(!k;iJJBs5gJ7R0`!lJ&e`dGv*Odz34izXjJWE)9;9LMu9PH+AiXFR3G1q z?f~da6%S;#1;bf2VH1HP!m^eYLXY0aj!TF`1&kw(p>Zl221a&7DlX@9S4 zjDK_;$kdiQe|jqY{slgCk2ljV%RVl9e1`eyChv*-ar_N+K zuLT;f49RekD|K- zk#hH<-K&rYXn&W7PTqeu5C{>QUu8DHJC?%%4CBo++W1yK=Sg zZ91#q+5wvV(3`$deel9{YBt&Zn@LEem9Uq~fQD8inWMW7YA60jpolCTLJ<<-;tI+Q#b9S*R0;nq1zX4Qa3qd`)nO^-?Bf+Gr{Qghbm}>zb;B? zgrn<2GY9vQs@(M91-GY&EC3Aw%9S>+kpZ>ybIpnQ8)i=nFHLrV+GR#1l#k=QFidv+ z$mvKm>G^EEDma+#%90Y?tg>902|6A)K&b6e`&*>LQQ&GYgLBT}INLi*=W>KrGO!(l zZDIdVJF7+SkLCe#u1q>`48u;j3}C_i3N&FOx8a=qDj0?Q zzdU^nJkxs{e$P3MvxUc)40{eHwkd5*8l8hZW`vDp^_-~TG@K~qt(Ot=KMb4kSXoBI zG4yiM%hW0IcFeZCCMt(su!*8*UaDc7|JQjw|G!W9%*=kj{a(KJeP8!=U)SBrUsx!E zm(g0_B7x~hFV(Y`X>G_hh1>JFC}&Y*q=lk;-A*-Wo5v`E%Z`WKhu`~rvwS#!_q(Ke z9|soT!t~zYLopk;kCrki7EJ`h)Oi*=i+o6!JsUN&b?P2DQpuzJl5UYjhGe027Nz+XXc^ua>mJ1U#@Wss@J?k!}{X3-(L#yJKfl0VvLgj{fWsL+yg3b*#TPCmaWy zIm>eobqHD*;gsU3`OUN)adZq+L-QfM&5{!&CuY-l83s$Cz8;~(3C)V!d7+b_pF5YO zl<#PvRig=K15jUfPxh}!jRSEZ$IP1Rg3KBO!Yt&Y+gA2zueurUhU-}|H}<3SZF5!b zWvbuO$t!_tCVS#&S*m?mo^@z~jMm3ls?yY@y zGOxHeo}Yo=xM`E6r;RV2%JaM|iMFV~4rhZhaywj!W~czW0n{~wpSsbY>tIH!hC3#d z`a0DPaBXXWa>_PG$~=#8kuPFJ`(TCDlf3d);gbOf*@VwR*LWU|^FGC$zGbXQmtuG3 z${Ct&0?=Pio!Y;2bi&*&8rNH*$PcPCxD@`O|BsF&DPc33)Se`cDNPBfAT&1y@s!EkIn^pujB`79je@t>_*m7Y}uF3QvRi*+9pg|J!#(y#DoLFE8S zw8k!Zj>M^ch$;7IB3;P%YD(0)L|;A-m-<7Nyq$RFeQ` zJ`kClJtUuFh~xJQ?p~L_9M5CcYRa4!(|~@{H`6v*nl(%we~Mj$LKPM}oiO4v5;~Y@ zH2ip@e0bL)pWj?cu}jvZPDZh59_l4%Y>$>!lu!Nr^t9hhaJyqVc9!Ht!yvJFYYQkGRNNpwSgh zbWNTywW;wG(){a_iA%hbDqy2^2qql5=WvCKy2+I{?&dq@d)xAIqzN*kpPtCMk_h7! zj$V#^pbl!R-Yw#84 z3(`H2s4v*p>*W5&C?fn>c z0NvIu&d}>$A+-9Xk@Pb<=0r!%oKLMLxp4`%GkeD4YSL;IpKHwrpstzTiAL%BirqO7 zs+GAJNUYGieI^jVhoJ)6>R@p|>nyNlh8jG`DXAb67{XXlsGIjQ#v63i?{~beF8d zu0$E+zmytQqb$_!upLFnrXd+;CXRInOO3l%tJ0A>OqJt95&90oOoY)HZuVk+C&h)! zYYcU_ zdSU;4clJZKeNLfv>$|1^TI2!e%!7rl@6)gTwF#au{5$%Q{r>SIjVxdze17$5QRbc2 z37N?x*6!>3KU8PvYgwc@}^+D9_W*NdZ(5@F_p8$@9n$qmI z)f~)%!s55^g~$CbS_~^u0`m*70e!OYl2DFz0_nC$^<~#oQ0!^FG?Z}`7&tbG1W@y= zJk8V4yAuU*FHcjPPkDT_VA3sP#@_a)Z0+thvv*Yt?2B#Nwn8s%L734I@6H>w-`-Bq zFb5}bgR|hT-^{m)1uE*>fUuT9QA=>WSmfj#89CG~FGU%zxOG-P2(<0N;+XOHfLyzMy4L!{+e#i<+3sC0a0*-+LeoBrZQlzwy}%@>u*u ztd{BCj3XJ)W%<>bh|^Esy(?YWS9aKMl;jK)(0)vZJAOJ}6*txxkls@ujW`rBQ;Rpe zzQY9%0m>UdV_HdHdvq-o(=HL!?}cVqfGooAlkNma^sYbtKTp2AjIcCZC9MaQ$< z*Q2_MjRuT#a?bc=m%T$Mp3Ck%ej&lDPCM6G5A7BNCNZOip0`}WlK1b^7SC6DM8qblC01=j$30ruj|V_&8-~{e`xUEHJ-Rlp7pXL+FF)>%1ZAYc<334@wi-3B$F-Ue*L|*RdB%*{_az_rPiKkPee%#Y2rSh zlEw?JGUneX8+;bw*f+oCv`9uw0p%cuICto>6=6Kn?~ny3VZ9x7$Q*|oOF=j!Kn}7yssBPxCTG_Wbvs(4kMz+vtpUB^yFqKw1h^HDHYVM%nA0ZXhDf znC!U|AG@~@3Adyu<$>D&Ts(mVD18c5;CTA&V@GO-31ge(sjL|KHqR4|vCDizhG5Rx zKJAFWag`6ZvpBDhcIJNqO!u?7;**TYnU(O6^1epsORVgXh-KO~uyvbl#yD||82r73 zB-$}xXGtG|ei(;*5!TX*-ynqm-j#pv015K%OE&AyGX;~5K2J^l+H~FN7YS(|Sv{5S zR}I|24#M(?u3v1vQGNmxz0>?7lT+gGLDeMA**UlStZ&%WH>Cg@2nMk;37`Pr6FDYQ zHy%H10_0`T=m1Y`OeCrYu7ovPJ42%ZeGZfeI2=%+2cS0iHVL=3=g~4r0f>$zZi+wO ztJ2qU30yiBB~S7glS(9ZG|g-c@lFxVN=Lg()IfDo3@Ec3XA$r6FfSc|;<1{{v0l+)1lwheIcKDKmnd6~BsfQIGCcFhsJ;2=m{(#t$5zX$w#d5D9#`y^a^$!p8 z@R<4@d~UQTI7Gtp4fZkfWmsXkD1ffyms&!;OhbPB@kfSDz(VC|*L$^Cd3X21ByI=J zaj8}@{=Y+m|4MAe4E8;avkvCY`{Hg1__EYN01$&|xo{X6Vr>Szd!iNQd5m`z0G>Ei zJ1Dx=kd`TG`D)|P62YD;HjeP$GH(Ef`kznR#=!vw*rH6a8I2`s*x38OPs86Y1t$ai zxFPTb{xs#=>~R21gLW=X^e~v)4T$GhnHm_ZophwuAcVC@vm<%n-Ro-Mz}M*A!|Ld) z$oS0p3cxXRzkq_?Dcw^F`eqxtonTD83Jfvwy!8IYUG(g1E69HKnSQqCuiIbn+V}_i zxA2(1p6PDe3+%fkwio>7T`&Bw_GP`tsim&I$EiMNvN$-p_(%U9Y>+E(X?2q1Az6*(xRk#N zIs{ugJNvJ`0<0k$fR=9b4*WKr7+^R%@0tcbZ{6xyZ&DB59&DgfSyGZO$=m8A5k7S+ z{t@ghKS!O^jirS~7w>x|b$cbr!vlhI8WH|;o>TpZpG1H^=2Qh;?W^f|FqkThQq8-Q zh;U3Y0HkP@Pn}PwCxH^*TcFYc2fA0h9YERti1P-U)7b%CAew4!2V98JK%fHRWdgAUuhye%5RG}fOgp<+6LVXhpRdqM&jjYB7s90gHcv^u zmmUQ`Lj*m$fjTb}3m(uTpa9>aOkf(pBZEqJ2MPidKzULK4ZIPUblIqVH-O2FZ$^NE zw($sBw9)23ImdZMDQR5W^Co-B_EaR76(s1eV+Z;2u0gdn2t8`^=@n}R-UuuW%KKy2 z#Ikd}-kR0PjNjrOi5q({Et;0Vlsy%9Wr=BsFZ56yeCW*B>aJ2*m#zqN8RyhOw|qVI z0T?oVOviA$UYQThSofB>H9yA3%c5sj=x>_#v=;G#-nx2bYymRdsgEbRT6O+IYBW(?3jLwy2$ie|zl<{^>}<|4IDo#U{uM zNU(K)diQ?ZC0-~at^ZN`*Cy?6;D5m?L)6xvvCgV|O}Yf`Fwho4Q3bUhHUpsd7my|5 zhyL263v&$ld(!If<7B;64(^E{a{9+5Vi^99>S(aYz*s8ok|Yn@mm7B~L)k%QB>vpr?kT`H7)JeLrH@7VhEPzh! z%qY&HcEFupObnJvooAoz2GI9w$AIv6*@KhsS!oP)hUV&Lz&ZD8JEOFqo6+d+hKE70 z`Fq3D0byYCYOxv6>w)S*4H+u^)jyU9bIu|O9B!(rFL=}Q9AOau*zl49C<`Bd)Q4lz zBL3R6Y3xm;MI4>0SYVF;j9c{ZHWDsk8`U>6? zowswezW^L$U`QxNhr_tbVbVs4!Mi1;9V4*Kvc;oGPx=Of-Ax4Ej&_ENHKU0B8F$#C*`pfPb_Hkz&#v~XQq#QB4qJn%ip$K)&4-4_ z2CxQZbL1&5GXj&#^DbrYeH;F3DjFjrTP(1if%a0*4La(3^5pRjX1K6zeM_X}+<^Q3 zqj*}I@t)?_+(&)De)Lw!kmDI1MUEF(#7Ru8`@-lp`Nv~V4Hf-}GBWeEf6`S1w?gR$ z!4cGOYsv9v_~oa6Z5la3$Z!60;JA#I9=0(|8FBHsfH9j-q~%VTBpRp{7Ekiro7bI> zj>pBFd}_MIEUq~i;_Cez~_#Un8{jJfMco@*}< zIlfUCdnWF=z#Mf(i3Xlk2!n})uGTNwcgJ4?`8OtTaRXY9&SE?QmMxo2Jj2DkRc2^? z{@V05Kjs{iN6qfAsGyZEzf>1|a-+xzkPz-FJ5nvUuoCW64z@NC@eg)*72(v%xznhH zg&BlFHHw%>ngKhK)xYlcXpn3|!EuS1y|XT) zvBhgbtwTF8QAv&LLzTMdb*OF^@Pmsz^I2)#5>k@gb+w4=qc}_ewI+cNz`P(~L&UfI zI`SbegSV_DmiLguP1R|suoS{b{FYb#*kqb`bSm&t_TZmga1j@UpKMz?3K)%T{iNmN zO82N|QYye*Si|HvG-#2K4aF#>RUV?cCknQRA0P`M&#< z-W`2B4KKGe@yOeE|IQs>H%uR##lKjyNuHQv%>Q&BuooVPl-LrW29)-3ZCQ7Gf|QxD zSd*uo#Gif3ftM9cf~E4|DsBLJQIw%inrI7saCBaler=Tw8yiZ0o4ouMzy7cF(r4@7 zApJ9Aw9PLtw_0`TuT2#iV9i4PuzuW}e5z7|?-;!fhQZR;qnx~1TDo@Ev~xyxTZ*u< z%lS;(^6JX#3`-4n3_`y=lR+k1dluUa{_ z85c6_*DY4))~JOxKD#uv^3k3QU#Bec6zmdrLA>su{*-Y)-A3mR7WVix5D_v%^0|O< zK(+>~VH5$pU1f(eT;+Uv4>=l+qj;g}IE$V|lS#VU^Wm5wQFE|!-Yyvk#31m3Lk-da zaF9zkW=`$w{yy9k!0!QHU_#=5Unnk*XZv>;4*{dTdKBLDkf12X(P$#Hus%)Xy~L z-%!XT-lZ0hDsnIvMU8BbZO`Ju&003vMB?pA#>7Tq&d^~?H{w(G-!Teg_Y@`b@02yJ z_R+ihfFrgMF~R@8Bbeyn2vv~BSPTxx%R6x30C=MS#@k$Q8FDG)46@AuI#Mlm2cF)w z9w0%kFZ`G>0cIwW*noum*CugFrB%dun;8mBy@3(|)MFcO8SK14;Qo7q;9K}cp|-KH zCZGZWc4>0^|F)bo3B(Qmw~t_-!E=*X;L^2G9Ecq$JsW?{jBBW1h6XT-K^vrjMzPK6 z+$;Kp;GSg(Ub`JD5XXX7he%0P#*Rt4U@BM}V-s~B7y92zMi!dGDh)sa0KYm`##x*o zrj6%5m`nopV>kL71I|5v!I%Vk*eT5i!ef&cPckOX1u(0-88@rpNKjBB{Ke`qFg*@f zQ+UIY5;*~tS5OQ&!jcms9oLN=6YD3nIUU%njXeZ*83)4QIKck$29*mg7+6k$Vs1W# zJN;fQ#*Z#$6s%o6TkIJTk6EDSo8z_nvx`v+AA)M>S(2ZJVg)bX5O%P9rImQfWO1 ztj{{~MFnPbE^6I)#m3)El=Y}08mR7q`bo%8d14Mukj6;{onCro4U&{^6smfWmwMul zY)7hKk`s7hPJp6*Y94IGr7|YvPRC2-u=Tszc+Y4@PCQtgVv}^G(`O2C_rvGtnKocF zv1dwmo+`$EZg0g_AhFFx?_+4}a;KC0_S`;sF1UP42CQ~inL7cv9~=nHaG}2f`>>QM zXdt@(eescDG%o!2g*HtIt&x4$n1aKO*<@iylK2zmV4ik@Q+PDFgp*<5@g1VndVuKR z7r^&YYr_#><#n^wx#kDKp$x20Ouj zRWnl19tAE1^pzCeUM=Zes+^m*aWP}$EBC#h2wTOVj(`d?fBE4~d4KbWD8q3n))|$j zb(e6FFn{rl0ytxv54J=K`c$6p__}_)v5J#tZy}#Yy-@^==(lB`_J8nZm1-SW(k1>~ zrq)@ZerRh?60y1?ZcJrtQS`t2)4wo>X-{fj&%-VNmp&Hka}L@r&eSG%4k(|RNm%(T zsM?(LE+x^a7A$wJj1qR)*d+1x6<2BfV-^IcJ`j zu7b*e<1+O53->0#1dt_qCY0o$X)IYd7y!oW?a7fsrXMtPXZ zNnm``+jibPT_(ujm^COAYUp{xBln5lqX3!L^HN4%O51MWVZ7b4;Jm=>M1gZ;$Di$2 zIFO|iLcddc3kR(u(-4Ek7s|(^p;2{(N^@ri58_gn-qmfV7_WTEauU&W1&SG zjYeS1|ZLsPsH%T0!x`SBsWd)UE9)3S-L7oLon(kX+2feH)I=?Eq_$34CW*XO=Oey%mmvKb zevMy_$BWDzC|8)Y2M9}_E<^7%%;vCTf_8U;;HN+5Jxw3UmI>xM9J8fV?>`qSq)K~6 zVPY`8b{!jp=+i-bzYm6ZHIw7V(+M+GS8UHuz)k}4a%KX(Nk?DaKV5&(`iM5?Wi&L> z`w;h$hHK53?RnO9_Y$gJuy4Mgo`-7o!M(2S*&N0MoE{ua*LBgb>5QnRJFpQbsUQSg zr4|4~NwYXcaS^OXFQ$T|y4-T9gj$p8;hWRw#j<(V5M*r+^zmR6o5ki@Y->!3K-1_f zFfCy9nOZ|Dij33$ULc4jK_y7nkOmh4B5%qi!{2b)@!pR%-f6Ntd@6=cu3rXn{>uD%Z8>D1K_C z(O9OtPQ9Lw@&#H~D7Edp;SwD{vEA|mL^SH|KqO1qjR!J|aS}%gm{m8FxO+zf?k&g( z;7X7iU;z;foAUzprz!)U#59KdRM*g{J3hI=Y$sGz~Dj1)P`?HZ~7mV?%)Pi-$)1GhJkW;tNc91pLz5|O(=c=5| zjRdelpzkK<^9vT~{tvW)yclm7&#s_w(8v(ew|=p3n)8jdrldr*-^pSPrN{Xpl0+0> z1(A_ofVGJxV%*#N&w)LE#QzLhW@SkyR&N+`AY>~9V!ZaaoX*vvgr(C43hS+}mr6O- zeD~W7XhNoM}N+(@=U#PDOyv?M! z-2Bb}+#Sk`KWGHUIc22u&FL4Z0#Iv%>LP$>9YLccMg}+9vXm=M&B4Ypu_cA=5!ayI zIOV}=%X1C#g=3b9(Wc!D1WL;=HF|?eew=1rC6WF&k3YV$_bn9C^|hf3EhNvi*K(7H|AsGR6 z;#pAf>re{HbGXtRaFA5e#xjuJ<1QMaf=|_EvL9|FWh=ortO5rNd2l;SK)C_NkN&O< z9-Q3YR~?Pp4q$Y<{P>O~+h(AwB9$6wf+uvOc2*j)xA|rde`RN*vHo?>gV)HXTK9u- zvv0ug!T|Oacg)#+?KxY6pD824r=&{*6~0&D#(e?2TGI;S?AzucB^ON+>o1zZ5xVCE zMTI+`q4e$)GGK*}-9_V{E8fTh$us#ZL;9tl!$@*c?qWl+ED}XXduk;Kk3{%#?;l4K zPW7KFk+*`fg#hm4B`NR7=Z-;^oXIdYy6@|YMqVsF6b%^&MVe!WOS+z|-p60Wn^l8_ zPDRCxMs;}albBM-4)^Wx2WOLXZ)4Uld!J*UTa|FFBvA?XKy~KCBQWH^1f{ne1HqAxcim7q!>1IBp+1FE5fZsJ;$R&d ziKL=D#Yaeuk<73-R%oJ-y5H2srj4}wm>iAiFnT`|XCX}oDvL{FZMDGMBH~RgG8i3% zhZbV*1o5HB{%teOjY3VXz(V02vV6*MW!+Too@ z{p6ttA9;Y*v^(E7u&uogqw^P($rZP+)}R@%ORaF|;6l^os|J1%+4!?LT;jgg&mm<5x&#@do0r9o5>aOGk2g zrko4Jh}WkHX}}A+eqIlUoIbT~piSaA@N2#1g03z;KDw($`Z>oP79z@bD9Cr_)xNwF zb9}(ublA(WC|9@Zx@^@cq~z@%T5J{ynNlQR@=+{Q;VXE>`NnVFAy~hUseYH zP{6M*^oB~E3p6xZ+$X-&(6&S!cYyFB_@6sVWGAQZ|M=s6=s?Awlw)Yy0bRk&kp>6^ zr6Cb;Rxy0T)TC0170?K}`KB`{M7CzpAf}IZeBv@|mDvhhMA>YH-LOZaTje*ewP9Y4 z0?Q+bg7k0HUG)?>Hh*1IZTn-w{gsl329!aO4R`!c`3lb#J3D?Vkf;a+zk1Y zrCGYtrDrN-!>!B(;+{l}f6m{D4U)%xwXDJgX8y3$qM*{Pa`u;l4tbkbGMW z@%}uZ^uZ%|$WrYR2|y@?Wh?KRzs&it-Vk_bm5B0I?)=kHbrvy^+D2Uhb^*>3>&?jl zG=N2kV@B95%myH6@M6ErtX9PrUA;VZ5l;*CZ-pK$^?9vj+a>?tP1?L&)Mj3l1hgOp zv%C6ya87NSn32=FzQ8K`IEV?(cH{*86)W}0lS;(9X#1BPNZ2W?Qx;|2V66y_eC8C{ zvPI@?ZrrYKUFBhp=c3n>6H)xZQwv|%jS%_d%}ii*-~ymzdyX(0u(Y80rC@TIWeK7z z6-~Ala?|W!g|M<|XDBSjOPHUZTZ8u9MuwZX)vDA}u*&w8z-mNHOmav=SxTexjPyD4lPD%H+G}2jViH-5K(Gko$g|xU<8EGOmCt zmAnUK4}ex%#KZ20Jh)1;w690PqtW)USj0S+;0wuYc2md`aj@89iKeC?Q+oVu8S2*4VC)cJ3@nfd`5b;&RaBc{3Z2Um5-lC6I4E; zyO$#NF#{9n05H()*Q&nleG%OHZi1Eg<}&D|Js4k%r8%9Aj3c7$kGSs?rA99IqJ89A zdOk)5O}mBjjN5Hyal>ezMAGhTZNIL^F<;zW|Dg5b)#_THVR2DxYBlqT_{m`GEn>Vx z@iNU0$ep1Bb?UR8yMSJ=&EBF1cMm_Bww$Zl*{l{@v>q%K+z_5~Yt&na?0O*3WH=UG zytW1ubAmI2ugS_tq^0HfoUyC?)}^8AiPz$2mz0Ez5pQs7Od=#_GOA58wL~EHae+lC`Qvwx`u`kE zJNB8D8+7=!s*>kBDxx?{H`pEJIgJXm20dhK|0`U)UyT9)~|4m3S=V&FgcPi1X z5h}J_PuBiAFm<0ChePqj*YEfp18$Eyj^%w*=<(dg_6G1Z@bLkaAAeBfqtF56ZDuoI zuN_qi!ya2nzuqBN|Lg$WC;l|{p>eOpu!CA7ys*W33j(;@|I;YsdEpj-_+kC=G5`UG z$GZydH3fu!JUC71DL}hK9?ahOr5}oLnw>#J-Tgw<;;j)sbI$7kOj=9^l~Q@|Z@Ufj zoSN^xYGku)woIgBi0{{_#gp3RCjIbu*}`zWuE+)!9~*!sQ5ci`vKd%Y+T$Tkk&4aj zc{VevwmvT+vp@@hwv^9}N|Sk%%i=o8QMO&ZU3WkaKWamH!w$_+1C-wr%nbu%$v$WU zc|sAv$QOW}f-t+#8+J$-lVqp@K*%>1Q-{!~f)!V#E+mK699Xtml>30kZ2R_Ic7Z|s zNE(Kov;PZ^V^F3;l-8BL?M~L`{yx!>*cfg7srqOG|3O3GBA7=`Q_ZDxqo%^+%{Fj& zJYv!<8jN@gfj{$XPix$|)@Fg<|M6g%xX|-~MK+JS%=o;JOmR<>A%H7L!kt%AwYe)8 zZ0_9HPpBIc^BOL1)B#kFY!FL*tv(&#pumk@Yd9RRbBT)v9TtiC^0ST4B$ueDnisGK@0!alDC(qTXv;ir*ja(lQ{tq>!(#pov5V&s!5mpXRu*TkpQn zrcetE1if9aVV^~ZzpXgYC7*6c9j@H=eKZ2ncIezw*y7`KbDW0>bj@=T=bowJf0Vra z5>k?*Jtib0s>$CP8xw>jc{zh`2ZDH9bgy58^1c`|IDh&cVC`OokIy?%f$_>~?W?vF z#d05;UjZa*K+AJHfarQ1w6ru=dY7mxM~(O8tW)R9oudGEjtnnMbmD%;i-4e<)uFzc z$`|wBAJ@)Bl+Q}e`gx&n!#Uir1m-ogK=aOJNYu#Bb)_#1<7abAEUcMZXic!XA zc-fR_^Fxj|a&}}T1Wjy3=6?KRUW*yDCIGR6W~z0I0Q2R+-C{cycDT}s)&vl542kj%neJ)2V5{&j+?hjV6045V-FhEb)ZjtH^5-MY_02`UAKHVe zk_3;}Jf5QM{?vRsYex5-3|~8IgpwZb5jH551^_8m^roc7Gpt@X$4eaxL{!Ul!Q#&} z7EW@jiV(fN<J^<@iHRWJj zfT+8@OGP|p+X`2?WwdW*dVSqal6YftAzQOxSrkq|VcuGX=w4$mpt7mOfSL~wMaK>9$;LW9i4&cK z4t&stU9DM1J}B;`mzXjm@~mus9pWc&H(#<~Yv~u)T?L3;r8+}893JUt?ow!y80-f> zy!+M>8-vF`oj<~EOyoylD|end1L3}$4TuNEzvdo5pbIw1{Ci?=S| zolLs!D>v6)(ETWjLNDJ{?Mb6wTpmd1IxqMaOl|S>*}N)p%k60uWsad!xpAjpnYKgD zaCZ|b{WJnm*)>Ow8xAb#46>C9?w1ny1%)F)vB8OTECj5aUND_DooJR}uWf5@!Ep?h zbV&-`t~U*7V;543$FjQT8$#*1ck`H~KKflZMUvF|$(cpY!SRMK4paJru<+u(5IK$D zmWnCR8OI-dHw0$wwgG@XN&HT5`|yw)UcJO_K}@e3#-$K=$BG^H)UFa4ljby9%DHT9 z|BPfg-13{J=XNb|Jug ziY*R1evNI(W17P5iB&uryBF1%eSU%Z^X^*zDDEn3z0hBjK6c{6GRtS&g7gGrd3Z{=`ty>o1 zXyuRHyZT;mH0w@W`J;te+_^sOFJDbB)F=8* z1`t#8A}uNQevcqPE#D2mGLxQ5nt7NDeC||Ep`LQU@Y)Tm@>)&iV$g+;5eqA^K__nr zq7nP`epTEu62fh=lLy|`ZvH_Alw>|0#Gf#7_P8)(aI&iTPz?R98Je^T2M!_2KssXtxhm-~@Yk24G}i|vSnT1Z68Y~53#^bPTDUNVCdvZl#!-{3 zXU<}DM8OJZtbRGy=+lu9eW#3~kVR&EJ-kewtjwKuo}6`FOu2SVb7cLEsYysjWaPji z;WYHW`oDbT%MicocJU7W>YyefHa~1|pt;t{Hv7IK%x;S*-r|>ga;%)OP#hk=AF+#+ zq>C9dx(i1xGNv$Zr*cQwh(z@?A}SP;|+j8~@>v!!977%J{I~hKj`9nzQo} z1;#9ifR+HPuKIu<*T>N>cQNQK;>PD=`Bi%4eWA{YZ0{N~E7}D=(ym?&dY1{u2wwZZ zV=D1nboc((ep!?>_LgMd`8FS8eXV;*i>;C|3N0jgN5W6VH9Unw6QSZ8y*;-@sbFfZ zowVpa?BbL%$7su5cfE5N342MaKM-SA16#nOT}Y<-ppM>8XiF>9tK{C=_L<$k5|3>5jfvDqmVV2<+> zG5t2SVc~d41)bYjv8?c+hf+Fepi(v|HilbC{38$e8~SC2I!_MMLK?n|ixrX2FuqmT zXSjO|{s$~yl6d*nsJ~5Ad9?Skl}z=cw%!O;FiT94P5M(z~YNXJgvHe-OFD!Qk2AQi^cl5 zBe`F(aD)td^A=ig)isyE%Z%uk3Ya!5th}$xGx^A7{Ebep^mv2uhpcHM!St@rj8DtF zi1JFami?bGMVHv_{aKdnEmjX5O|4CAsuITFm%>;;1vE^ej#BQ()v}%FH4)W_zDe7m z)hkoqZ4q8K^#a;T?ICx^F4$y3h%Q@Cnwqq=XM8AZYYKMD#v9|E(#Xja<$`(`sJaaB z^RVHFT+tU?-6c&fUnTSljIO%M4dgD5<*}9`RfPl3JiHac(lhX_xb=BX(OZt|$ydxl zp;vHlaKO&hvhRUS@(g4#_qxH2*U8alp+2fTWO5=WUUR0DtQqde4{J<1#`fENII+bb zq@i>!qClPS2y~k7zbzeKvG9Qp*?WO$AvnLI=U!SHeL&@+l=`R>sYUfBd?Vt%?m_Ph z+%3lOgHg78`OK+--~deRHrwkJQB&f)RCA@mzr#B;g^B~CvEMntP8RlNk_$5duW#;l zCUS~jFrZO9RYz+*=N`OP!)i&Vzfkj=gQdj|q|FEO(1eV<=x4at)Ww4f+xME7EVP#s3v_g^J$Vkxt#dQYGdCWHTBTRdiA{*_VGB_`&*zes zFEif9Ns#cgK45-FHX`iITDcP;8mga}I|HcYEA%Y+=I9g1l1Cj&mv!uGpE7u?X< z&xUD-N(Q4~|CTEWr2pz}1w|Z)zgmz;C^AL3UPAA_YfjgBC<;vS-mqx-LK=%*K?$-D zR{Ldk^{CW;0tFZRi{ngBQUJ3}k%TCO_F9eHiPRioABKb-6P>#v=v{YsroF6s!RIGiA)pz)c9>`8j&CvqThv-K^_Y@-PEejOj%uktT;h$`>|!4ATV&d;qlzSXxb0_zxtIEph(0~X3}u7)T$_Z_b1`i0 zdiHBvueT~KzJ1~kg&cMs>nF7nzo|ED;+#dDz8?%EyKO(2JzUfw_PdAVF`_1q?SGQ# z@Bp<}u1;pxDiu$sj-PWxg;MK6siIKhbHMLzFI<-K==;o`zTqIefi;@s`};I6Ro3Bc zvfKFeWgw(o#(piGx8nJyl2@^v|_wntOminc36ON|i+<7H9HEzNfGezuwV zS!Op7XnHoFX~|&OQ!Imzf*MDzNvksSUVgfoJt>X+Q-gGp)L%9qja?Om z4Ycg73oxqjy%!9ur$5T(Id@C@fL5AM@jjpR0)4o8p+uA!sl`WR13R)8V5T+PDS8lA z@_P-IDXGNh4TZ53qH3HYr3-js6(XAL;@f`Y;YY)(igG*PQ}FSI<7J|zRPV)0zvnZW z8y>RG0^?@tGgHjLpM!b1Q{g48XC0h~G+G{;zT|J0%s%XV9Iu>ri(BFxhZVawIzvdC z3yn7)V9)#9_+m9g`+`rX#og!Z9JHyz*L8-EM!buo8=dEQ;oUqu=At@-#^+~y?vy6! z;$5@lut)jFk@8%gpR3P)tfWfHe`95e4tmj0l0%amu`^=C)}W#@&o(`G{4tQBL&WVZ zM-$8d;zfGJI&{CtaVo6RZ5zQ;xFNwx{mzQT*`)>?6FX0$zU1+lP}`D9Xlv2KdNTl} z&PH#rNiP;KAY^}|3Sf>#(l-fctlielC}bRZBJ8?l4J%LaCU*DaZHGs9EAg+n>Y1+I zP8w5pq^hoYEPJ{3UfY4DBl^w)o2);UBW`L(@m)*BjM1hkkKFJE5_ z=xNvV6j@}kQ=o*Z9iq$a#yqcR59Fj1FmxU9xowg2y8tVf&kL+f#~!0wW0TSjMmZ&I zGf6VeGKw1PCgjJmgQG=YTFAv~8%t-SfP2XE{&V-p9yU2@m>ktvsL$o!DIZKeGl@6i zi_ta8-`&G{%bY8JdBM17uoW@)qo-e*40fm1Jj@##=~(P}wLN+!8aYzf_$iX*HNLkq zGi0|6czW~zC~V9Vj*-FP7Q)ZHU;PsFrw5Q?2#|LKm3irQoDooNUteMoi^fpN-kwt*@|IY zWL7smDdQ`}3ckO*-(Q%qU09-BsQd7;TDzx(p~ z;sXDQJ~9fJvN!SKbG)&eEWyRSzkhO0(GFreIJ?f+e}7 z&s*BgY4KgBa64$3nEOI=u9_gkE}fam{y zQ1KsjkRjf*1R+ilx1=T3Mw!%ljK})}0O0stU+rIuL;B>UnSvF11CT#QkkR`Jz z@NW*9W?>xs%Q^2wo9wFsk}gB6<306nk*BjlBWBVsNR_nM?ce%K6OT*M2pq;96ddDj z1V>Dmqk9tg#$vMhkfP{#w~Am0k89bOj@HgXyzdJK#JtvA0?RgY#az_U+FBXVaxMb{ zX-Oyd-HY75enfHZEIql|8}CcAvS-Mtwlb_AJQLHImGR4;81nlitpqgJvIr^Z2v%E* zFY1=Pgnc?zM@|el2s-2}zva6Hcp(U}bD#Sj{Ye#Ix?-{P+-%^F3<}xw;Aob%pu&qr!_rEu$pfgWXHZL z`mM2;w&7opWr2t|HZwkV#%SjbmAq>v^2|9#EF(R95lUpa1s4&Y}g@NB~T{FFn_%) z?RZ^N2uIvL`NxCG{kE6)+fZyg2APIRPDvgVa6LM{8|}d0mgntd=7$kkro6#z6aiI zn0=AL&2@ukLIhp(m~7L|eJFMe;`@(rOA4TZS`gIl)RL$>v3}a zm3~a>RoMb0RQ7~Z5JW5^h~Spps)!N*iJ3D0tvj(bpjlHKCtyfq@}1@J#lc5|a^_3} zfe8^dv$fl_`JW#cUeY3jC)f095UW?+d5#s55mCcro_WAD)L4eF*IhA=AnQf|h1KO} z_7?*NDX2wZDPa}+9LkI!o_BSruzNSJ8gNwsk=Gvo^TY03RzP`Vxop`L4pOYJYeFMc z=8s>m+4Wx_FVG>R4;>_ZmI9v*bX@5$ELu|AP#Yp)u#f2 z168$>Z)BuF!69F2eYTh9*DHnr|M%)4XXhtN7iiwx2i+1Y2eN77S>_`D>99>kIT{^N zFFsR`Y~$a0GHa!W7WPm7X=lyw2A$^5?@5DFUyKWJo`YKlMMO36lCF)y{n}tbyhXg2OYw9b*k@NY?R%1yO%>XU@VY&sUErMS zZ4+%Q?feKT7-f|7%;qJ@Y8icbZ(_s!L;-G`CPVQ6P&6jG4mCSVMm&q#KJz%Z!kK&8 zwSL~l*fiI!+kVW5a%Q4p5xc==jFi5GWhY088VhQz??n*^BK?1UfS^+6-?FUv=Q0!# zkLy3^TQ3t$fUhWize7^lEhUG0bKQR~uW9?L=S5R~Fl#O&bTU8WLvtB`dECw>A=)d^ z2Ujd)Hl8_jKS6YXOV26pW@Mc|`WQxLLxY6CqTwdFBD7?k7W!O`L;Z_;mGw|RvUZwl z*-v{i`7jnp3lfE>#(?_}Q<%guuf7Py9-VV94hvn5EwL)^QA4#x1VZ&J^wpssuo%5_i^dd}1;o+GxyD-hTUDAp_ue%}bOgSB@Exo!|w_R42NhQ|iCFP(^0;Iao7_AH(?}@1Tu0c4mi- z^+B49okKwF>IZeIm(CLS*2TAs*kl`C4x416y7rVcOiGb^q67&b=w85j)j)MeQwzMZ zUM*d_UGYI~7`(vG4+k@G1+?4P!Xu5=C5Unej&GJH(zeQ7`Y{GoQ_WR3r*HhyVQvjP zW8c`Gh87l<1pQ-B7AjAbZDG;9wDnmzH2JIXw{fu9?m}8kUUHL3ScKHQExRi>pv&hH zNMrrhxg4(R=gfa?m^g<}q6~vlret=7fQ|_e0Bj$2ppJ2?<2J8B8(2YU7TH>2-P(aO z71`+VT)pk2t0lj2#XTfC!u9~GJGkuI(>K(*H&h=mVW0i@mFuw&(AC!j(suDNkDfRYnI7p6qU<(gKR%~t8MM3ZShUIyllP3G3c z!`Jl&hM)!sUzv1~NNX|flE?%dSq}cWpuLkJQhK}qq9;@hD%}D)_e*BEZG4L!hqAOz z?9k|=9LcMKFuw>KyiUnA6)%By$E*wcR8<>Xn3@P@dFYM5!SE~Y`Qz;~P@+O)om=%8 z!gT;SGlxlB>&O@Um{TZ_fmNf&+rTCYohF{n)Iqv%#hPb#9cy8d$#*}lp&FV{Gn$Z1 z|2EAR%g@!8d<X3jk(aEXfdqPjb{3= z@I$);ZNw3^Px5t{cxq<)C$}wT5;$}amL0&7;F4q+{8TK%|8PTqC(=4=wCJdH z_R+ze(K1JL7htE$zvsl#O54cB=5P=yr0765iwJq_h=Sc?k5~kojQ@4|tg^m=l%TZ8 z&lMJY0_wp0abDwyb`8i7!#S!*P-pwDR;o^HIj8SsKVgitbA=jgzI;lKC$p36W%ihl z65=Q8N_clPqMX474j*7x8R&W_J@dNG`illN3j)qBwr;iGw~hH@fw0rCYqyOkstFEv zc6h>nH1<6pXK4eu@bMTC^r2rp%=)MX1L(sPVgV|3>7?YwO-q7jO;bN(s+B4qk|VerRq9)qtZ) z#uwlE9DAwC8^q8VmyC36Jvo`W6n&}@C))quE57FAW4Kb3`ENuCQlH5+``PLUW_uKL zT++4#=1+Ol#_#oTt(CUsCkg^B>jFYE0^|Ad1cSIb^xGil8omqfU7V0)LdJA$UBuFP zVVjvHC?-cc^9&uH5qRAhb&PhEnR8zz0bf!d9=UWwpF&U^C1Br!XducV3TfW}J7i1p zwzP@0p8&!C3x4i!`w3F)lbgxO0ggL?k;T`myT3UR81^m5u!ZNQp1*9}9QjuZsHNf7sn4D}_gW5E z&iJ6_U*u_zaFo{e1B^;+1L03cH*Xw?Ujc??h)-yl*W!(UgU<)Q!PueB-qy>h^G~=* z0j0fs#s$2jld3Xw~pp2A-&|9WoR)leW#KS!E}RDHI{@_C$LL^(SL2{~zD(pzNR z__=pT<2j{yeE5JWb9c}+Tz`Ca7_{Vsa~1nqR|6T4$Wzn3tjl}~!XOk!YDIdi#MZNh zU6#)3`Mb{ z=d7Ma&zw@8XTl%4TMCU>S%-h+b?O3U!ql?B9N%w~S0_sc_O6e|JRN}Vjh96Ny?)+V zGpF9Uf2uXwU&wW3u+&OR&uBzvj*{2j9?&s3=x#<(zvr{uF2~ zF=!OKf)0ts(yvnT^X|f)ulEd))r8!QTu zyZSHs+0~M)FKxbB2(S3ssVgBQSWR{kHVOn!`iyjQ_;_g|SqYlC8O`PL5N`KjJ1^kZ z16!1kb^NYr=0Su*wJI!Akf7vUNS3%;bBliK^j;k@m*g@CFs=zHKFM4X1LkR@%#Xa@ zaf#=T*`zl*n}zw?g4O#_BKpj;46vt5A{bgm@{|{mNm2XQEy+9)%**RXjb24JCPJCf zq|N#Gdd&`&?XwmT(2c3i!5yfLV_C#|=F8#s66cV7XE^jTUYzj(Y!91N2O}_lRB;jG z4(#gvFC+^F&@(-@lo}MAWo&E(n8CqqbWpDtG`Q$jcAL3GINmHDhg`2U=Vdc16Hu`qZkwtNg zn{sL^tTnSY^N)=6fuOXd6&&HyfU35S&-VPOy(5$)FS#jE$+8KAK56H zogJE`B^A6{S2VTrS?BMxjUQi}8f+h=;@m30Z(k)st4`AFIVp)qU~$J;8Jc4v*ktrp z)aG1?hMZm&EVo`-{C>)|1t;!??9gKFBTh+<6fet&&(m5a;vGQ=kXwzL)x=$$g7xa( zr*SAiXjYz*AN(5R16LLn290W7z~k!M(H2YVW?!uSu!LiidYR`R%EN`GS1<6qEzvH0 z$Y*qdGW_5(3v>gmkCz+YP$QzzSkjW!*V}Iu*;no8l*s}>4kH>tIQw&^#nWj4Bp%dP zzVxiQ1ApB_elc6fQRQOBP&)N4QBJ=}ezG1mc5{PeK@EqZLqGC&3A+{A!vS6!+rqzF z0SWZoCu^eNqs`;;H{mRVYby^n;IH$=9__jfbG!c*EuCqjYWt#tB2Iby_o}BmeD&(C z=flE6uKnmHvBcF25uWKhFqABjQ%=1kxV6qxOe>BJ5um5mA}>$lu2Tgb=iqHGkPgZB z1@*0^8d|S52z7xr{NNOfSr}{Ido#2XBDrIgbh=9unM`Y(DUEQr z*>CSO1b;fG@b9!p|2V_4m_edDttl(BCjh8Yo{#{f1`L?)sfR40|L7F&^e z7D$)4Q{17H9x(Yy`c+ouM=CD$r&+)FT|grNe9sZiP5w0W#q7W!O3&D`>M=|n+4Pxa z=@1>Zn`A%{q|TzY0OzAYs?QjSqzZ!sc-F+OlE6&In&p~>Z0*52ot%$-EnSQ7&#ch8zm33 zvZ5`~sMyuOFWcK5e?|kio_7&>*4>|+13Kv~cO>Z@=;FZzBLR`7Bm=#p64T~@v=0dD z@+=Ej&mQv;N6*_uWOTqO4&Oj?q|24|6Fg2B3(K+}$=~|d^KkMz_Ky6mT5fM5`$!a znYWpww}jsvItU^Cr`SuGFgGRj31ZN!ZyM4~>)z=uq%smLwo4_$4m6Eh7E&F7Jjj*L zu%qi}5!^*evFC&C*%}(u^G{nRa$Bg(Gl6VfZB{ZlTwiz)6i@T5hf%@p*fpl!gsCQD z5DGPjmV74&?Wi0VY=e8n$Ml%fqBM5w47!v z4z;@yjD9K!$(MAkM_hHFIw%5>okjAQjK(4EqY7xah{*j#07yo0ZS%{Iz{6UlO|GM_ zfn)cw*Ks-AfF74^j0?yZe9(kBpl7ZD`{Toa=1C2i1-b>8b3N6N^gtOixrY#TLdVv6 z>em8K7SOoA3mR16tKxXyiZv1>G3X#(JS`6|9}*MKLI&2oH(zz|9(L(&&Vq;#p~p%r z4ZR>Td^tK0ZtQ?%qrAMj>K+i`_=Ug^j-Akz%4bPCc4Lr-JwWXbVAE6!?ab(nd=&9- zvNCy~YY$4#YJH!@leL}Y+w5y~)Sa8S=#TMtVc*rL9t{sI}00$0qssrjAM-&}Uv$Gbl&9b;zYr4S`O7h6rvIU@d zewTHWMe!t6lxWX3yq7PLcbaeH{|d`Emcr}Y90Ev9w_eWjl|6wLaN~Ink9paE?lMTM zS{Tqtr?NEtw4*3;w&-@e7T#CYP6nl({)N>7GR6RoL00b-ZU__40C$w;0L++2x7)9%3o zlB(-g0Ze{)mr3V-V=XDOSrS0@27Y#!DgpUczZN&>3WED2{W^2g+{pzrfqenE=0SKM z%gd|Bvmn732;ua(C)$E=e71B5Ju&}oG8T>$R|{gzpu8YULF(isW@PpD{!u=5cGh2R z|H}CD+&@2DEvwl?_MTkFn6!sfvG9We?rAFeP#Qn9VSi)3eI+?JM`GM70dIK>N{1cP zZrvDd4rYx{(4wRNljeQvAR?fAP~Ge95U^MTVTs;Nat4NN=Xt`uK8)&ZmM=Sd(Lj_S z2p|m}wwL5io?anqP8qXlCpb1%ipgkeZMRI~@Yh5~5O-|!>BVO?9vp3)V~*xeSfdvH zgja|C_6paP5%)CX!;$N_n#_-TdJDOcb!B^n!%xVZAK7IP&j#P@Es8qZJOw$ReDrK| zkkO?}9O5VR0cv246LA_eIK<5optor-65M)FM=}5g$fux&N4KH@i3G*ubNTbd#Upu} z^X>sS<75t*$e9|@A)#st_UCg4ALgs4KP(sNc`PCGK4yhJq2t_EBUvvSGaWVKEzU@V zkDFdsjK(28LcieNqpbt`6gtpNLhZfkgeM9=8(tznabQ+DO>y{VUwdL4Xm3K6{foWK z;eQ?=&(`2@9Rdj{=H&(?d;r|v1T`blhr{27rT85J@yU~3mur$D-=ZIj6V~9LXTMwSF>soazB%6) z{{Y7z7M7UG4+l$jD98Hyq#GE?XViTz*n3|B41! zHnbcu=;~CQHeBDCu<;)fore^>qfY@EUIZ4p&_Z`O$vIP&*0CeAr=`<}T|Njcswla_ zYn3jh17na4%>Vd6z9w9N+7sr)N$ef^3?aeTz{3wBGUniF4%k8OB|MmhME5pvm+EJo zV~_{Ygp*{mRc=lpC0eX|>0c^O4&RJ{>LfI+!z41h1=d>E`3vALuuQCO{pW|=_q2;B zD2HOLeEsxkV;A)&_|ow*Z^^XCqY_Q6_9=;S&Kzp*4@-HEP5-rIn$B7F#Zq(-ymq`& zh-I_~o~A$z&inUeX}ZpPT>I0`J^1L?^;!Q%fHSDA(J;0VpD)nKKyn6R{}4}LY~kz# zKTP06zjVh8os%}*>>usN98~x(WFVzcYm~XyO8egZ)j8fqlew&-n~l80Ap0A+ppdpuFiF2SjY`&oa;x%#S!N}yc8MK3n5)GguUX1mTX0rV0BB2uXdf9Utz4j zbJ9N)mEJt-3&HfLftWk4v zK=v*M_Wh(o>&ik-s#7!~sPbZeHs+NeaaD9y$ECnC77s6z_daPSh-e$f#Bb90S0fN4 zZT&8!I0M~LM)vrSf&bF!+yb0@nyU=Qpd2i7MT71TZp=u4PDl?6o1GtQ{4hgpG1~33 zbnKbzmc6ZPq47Hyk28&pzuIL|0fN6f9F)c3)MHG(W__BO+N^6rJ-19%9j3lU$T;$p z*K$r<=FFeKu7LE08kW#dOiq}`jPK^D`Jda6ua6d;8+bfZWr}+a?$lG-}zJhGIk-xL_ zfikl@jWwgiMLtj@_BPG8Hm@U|B_XF7oqBgQNxm8D$R1EbL>s-wvhKkY1f{5I$!N}b z26))heZ(oV-tt#VzSY~p1vBdOYr6&$EgfjcfM!68aZbPz8qy$`#fET_nyy4l@WnCn zf4k!KZZ#=eVy#-z(8dPr%=SZsOnv#Wr*lAR((*sPOV!lNr#8@ii=BRUFxKN8iz#Y% z{bN6p_Urqjh$o|spEO-(R)fxY$>SYxk8`me%=5RJKJOK1x>9$$h|dfeZ9Zx19$jc!CIqDa+#m(F7(zk;3yw?1IHZ1sNDIo^|x0j+nj;ZtN_+T(hYuG(om!ZQ)Q90YLSu0LO5!ebJhOT>hd0y#@x(VF z?-yAx7N+YCVgNuNgij@F%{fiEIg8f<%KR|>b6QNVj~D_TlzI~Dsz8PN!7u&;U&LBt zt5-xVMjd!3k~eqOeb*JqH2Z&bWfz2|RZcGi7b)%j9_PMRzvZ4jpndX)b1P5XxqrRi zr-BiEo0AcG$*JZ%<;W=;+M7TWBh!vMlbgm45N<;`uQ*?!GvOQwI?#Hpa=iHgPN~lZ*WnzJRTphWi)MJNUE!gP ze81{_$FqTi5~t9pxRL~`HYY)eZVg^xW|jd7=hXjv5a$rp z=;wcaxRjC+uLhisqMu89OK{ZU)UDB`EN@o5!;%1QzhTm8CY}QghOAk`%{f2%N=obe z77BTFCIF87Y0%i;I5lNGY}1JJTOWK{VAgKZK|8p;A_^5W?VKq0>be6=8Neuc1*CET zTk`+oZo(rk^FK3>thOuv`QhIdANJ7Zms1k9DCq9NrHxnB^c@BMS97`pit1LYw@XL) zH?>WNYk1TT1(r5IhXDG4pNY@KjknwjK&6Rb}A%+^1gc&g#EL9%uW2o}gt&1pG5<>KkBqkAjrT zlJK+Pho37QD)!Pw0@&3*^SO6n>%D}Q3c0fyJc$Oz!NC9yE;I_Xqg#e3|LfX&FE1O^ zl7{f=1+j8@$n-0?MV;|Bto0!3CNH!x)!o4ndzxq4fK#!qHEM#@qd9R$PKZ;LcdgpqS~UGGzSF^Ox;3$FA`osAr( zO&jLD90sM&&LBtFS8{s1HWmZ_)8Y63O;&Bmu5>W}uyrHu|P{qf?Cp(Wc%`#uS-%~m9MnLXWWs@w1+0I+X%Vr4>&(ySQ;fd=wQUUeO zA#PuCK!xIn8f4(a^qEMWIf7)Eqjezt`p1qkjlw>?$zkw^r=lBZ_D;aKlN zUSiw1DZChd#8HU%H@2}M(Y$&BO)n|!6zQJA7pkD&UT5w5<=5`y<*dWD-gsm#fpTX{ zb&ZFiUs@k(Q*l;r6F9~|{OllXFrx^)G&}G4St8OV?fSB}v)j)mHBE?}4LaAzYlAjn z_80prey)ai=xmHFTr*_z!q}pVl{7{7GIRHq8coto?8`#(sUz!E^=|8$-ao^7G zCP6YQ!L-j+fyC`>hZ@SPuaMTwm*Rc@`N8LY#hwcKhx%sj_X8*&>;^0_cb7-0AZ$S1*ED})&6fSl+&19LKTg?%}HnSUR0Bv z$CB+kI(K?MzdV9(h}~!FB!RU=kM&{KNc1o83~51_e@O_ki~#Y`P?iSgDUig(M|736 z@6bO#9CG|v39B7lJ2-4RbEXHt8q#g8v(Y&Ce`8g@|2g2jf3rb4fx*rletI#m-qA}I z)G!@0x={V|(^q1kN5oNgTUSstWhxE%6FA(k1g*9)%AES zwsMQ`6WJ6qOWVYd zp{g2bF7(h9JTFZ^bdu{$Xqj6eE>+O2Dna42Sz3#~UkD%3`2g<_Xw~twQ}64w@XVjr z_3(YF4spWBuD6x09U(6U;hBb^ys@Vn_K_c|_ln0Bnnm0?i?TihF(>T=;ik^!-L+Ci z>Bjl@jIiCYrn&3y2xo?0OoaJ4Bt&g0vGknkZ3Wjvz=4 z`d7xpbz3hnPZ*X0M7Uq`L)hh#zPq=Uy{v&t(&LYe)2oZDzU7XPsSR`dMq{)QF7nTJ zXNiSfdnFc931+b$@GUff782JmKT5~9fP@knnt<~d%$2wTT!(&mO-6j#VRXJjr{4|( zEhr7B&;dBB$)Zgx@EMKT%QA)yw?{KFpAa0}jmXV>aso395S92${F?uNiX-1F!uPc@rG=WVQzQnnjgRr|03Gma$#pjs^;~SAto45w= zX>X|O!21^+Lizi;$nXP^4zWe=yg@-lT||KxN{=UM$}+e%36iB?>bs@^k?hd-(^R%G z(m*dsdZsNt=xUDkUgf(+)NT-wj!RuVvhb|5xms1P5{cmPAf^XmIE{%tJ7{_#R!B?` zviH<iFf>OnQWE@>)kuE_|pL%qNQ&xf4T;RaGB^X+hrpRaI3S0Hsvo=xsc-PIMOr2RnU%QveOt+0+wJx8&>|CN^9u@iDXZ4jF!(K>J zY%0Xpm^I9#mfGeU`X@0ny3QMeQYd`l6!Cw<_J_xszD!#;a=B#Uq4Y}Cm8$BNS-*6aT<+Y*Tl)TLXb{p81x-VM z9$m$2`2M;*J)QZJCS-Y|WTOJCwBWIKHrBOoOilz)Go75K6X~7a17$u>&32bLu>}gN z9I3>zqcWg=c6V56S5!y0=52G8Ro$yXXa?+g9x%b#?%4s=$&jsTn$!7$dWpg2_?u zNo4EB*f^kL_PcHEA;t-UPLr(4zRAfOSkOZ?Q?e1hcA$)ioZ2{&v?JP@xt)(H9tAy%m|uW*me`^2;92SUBmA`bSI7zIx*^RYTXc^`S^g5W%sSNem^&V(Qz z!7`JJ?taeeEA9yJ?-CHMljvAy7%K)fZv%@D5Dpc&0=(Ecn=hh^L0d6??`2E5I&QVVR#mCrzw>jPqvPzm7Rmi!aninTb0_7Gk$E!rrB@A?kx-sP0f zeQ!5@6@GSTc>1X?xXBcIYpp>)qiHJ@Pc9xBFx0zwiRd|3qFY?u+UW2>*_Ypo}kad zz^`*kEQxgJr_bM7YX>=2zLrv5B+ljPdECB;D^^VaYs7%1!gP&589{!>nauQp=t!FPj-&X^*pK=Nz8*gp)wdL_RR_!qP9g*?-li zNpFy}7(ox|TnF0WM*YV@XDHm#dVI@C0P!w=0f^|PhYn?#ilNna%UDaNIF0$vm>b%G zo=&;|7TRHfn`ItEzq_*b_=P60Vhex;d|9BPK3lAf< zuJ*GuHW?PiYh8vLHcF5@NJIvBuToh^7*kK-kqd0k0hQkw!*I5z8`yV&Mk$B`OXGBt z^Z%O?K#~t!5Z||dccM1{ivRE1N3G$L?DYURC;9N zOaqcEcU(I_GriUm2$%2GIxx!PvwXcQ-+Iw9-aRnwTW$k(SDzQO6^)g_-KAeHSzqGR zf9>4A#8Srgt~Yppj@j70R1M+~W_qC0UZJ!k>2Wy)U|l(}FO+l^T+a$P!GI}4VtYe) zND0=o$4gu*{)CRmBmx}4w5En#8F7;=o%0w>{CkNB<;S8?CDzZY4{)Yu4V4lWdXF9d z)}t`f+yg$9Ayo(yP(9o4ZB=Jw;=lUgNLl|}#+Wf`50#Xl_&=ZXMLUaMcs;M{=VlOqdhPMZX0KAsl z8zLVy(@?o}>4IW&cp0Jb(`q0J6z_De!=!Jdd?N}aE!o%GjBF){$Ox^L!9jP>_0?h; zn)yfVpk*vd2ly}j!ol8qD`sLP#b_N5pC947%;zXRT{vzFTD$*<%sLJmOkYtDr_5iy z(mb2F-Q3do-xG86G&f{buP93 zt{YsLx@U2EZVX@s&g9*~AF7+lz@L&(*kjfrSoWdny3!6e43fjkF;}Xg+JhfQzN&{%gGowNWMZ0*FKUNwe#2VT@{j?apfvUxu{k$M{_*es2D{nDTYC zBLYXj@-CeF=Lfsq-3jro@nr=3?=^|D86Wi5SL!)dZ6{N zICW3_Q3>U%$nd_%Sl%e8(S2UN&D93=Z(m>pb^!Q4qp^S@t_0>`|`FxR}B zJgsLLt{rVsZX}=O{qK@yKlFJOB-8Ba#$K%xoylue6R*SqczZsOW46hiF|YanF7JEG zAitavV_G_aCPo|S73#G~Ft9ZDplbgc)cZmC^K^f%1huQ{6Z%mR@Pt#^+ex6~k~)Dj zR7HpkxhgNE0X&rL^vFKHktfWG#XAIakchB~a~zSz{n$1cIP{Xg%R(?#Zyf>_N-}`| z4*CS)D5LKvx&lzI2RuKz;GdPxjv1re&vdv2>F(zY@$F@#bVlgg8Ft&Zw;J5(XYJp{ zS##Q>y(O%?mx=`YTvP+g7-Paq*s7q-#J-ZuuZKQPGPVrQ3h-sE-7T5n<05YQG3QKM zSi=v`$tlZ|&6!ydTx4Y4?5^%^F$&o1`IZie?KQl1>*v{|VA?c0t9Mz5oRk%b^9`8# z77*k*yfbJ1Y+AFNLM*1Rw%)^8K^_u7^$Y#R8@RVOi6q!8q6DvI+vh1V%eEO4c1zrZ zh|O2+sz>QzpUARez?JQGH|4@eX#xLKvPkN zMUPxI3`<-&ZC|zq%H>Y-0$Nf&Rsha6DBMv!X!r2QU-X&(6JqT9dxNbf^;$qDB(Un; zWTlI`x?49QvM@$NI3sQF`PPS^C~*V_ft4yG+9X3n82dj*OdzKFQNm_JpNKL)G7Zb_@*Dx@7IZDKl)Mhnz7XGA z4Z)2Drj0+=ja-{$SCXQqbriF_Aali+3OWafL&iN!z{+6Bi0@;2P_V^ZNoS5a1!#$X z+K(Amf0A5}EKp>87~V#z59t} zAFmi&8E`Jv55M1+IpZ9PF_mtx9@xa7+zY2>{`q0YnD*{XC$6>HrKAW{B)IESiTX#M za7`b-xXF&fMTn^j=a+t5t?PaBjkLrxOw@J}h@9y#joYhNk`0q>sE(}A%C1_~jr82c&wULm zSV~HAWvSMxwK2BD_t(!i^0M|G6vOjTi+ifgv~W$FzPF5G(k@EGt`Xd5 z!5}F4w~-(5l=ST!-M=5Di#r{}+*#UJzpnR)!(*hwf)GldNvS2Z?fgp1V@TcS$2f=b zI+)~%99&|fS~ou+!TNb=Mqv#6_0W7qXtu|XA|KLr=uSEcvn%px5gmzJ8xT)#?e(6V z5XByYUXDc(5wtLWuB9mP8~#sASFC?+cxfV9GE&v0v@r5f3HwUo&ID9%zObHj(Nd&$ zTX|F+rOyyeBT%1lYuhfouEwfaeFK$*a}$E)OirqwTeJj?MsZD_e?7Q}ls4obY951V zKHr`svvpg9Eu`cUQXzrHb-uj&))Qu;0t)Mk9Qa|V(I>Oc#>#gtCHgj=?Aa({vjceX zd6N=q8%5!lP7e8G1m#t1PESAJ`M0t4C8)Zyi})UT<+Fu_Q5adhsUIV~du_lYYkK3a zJP!OS8`DqppldWXTHG!%N9}IX=_FB1%Pv7sDI=rqjzS@gc%k+lkGQuu#k$vfg zi)pDGQ(QGQ_pcMPnw_3-GzrT6hPQLf|P&Oh<3ou!XnUr`Ja@l^#vMg(l+cq6uhg!%h)Q*j0qt^H`S8ee2lO* zLqep$r8@5;=xWrV^%Z5W-YpoD3_Hp`%;W9%p`w8Ce}Bo%TV)a)D5M$Fbb+}N*{x0Q zb_{&>vI);GI+hmZRO42>-d6}e&4d=U^!JbnsCH@q%e2MV%PX|fm$QE|=<+vexY*E) z4kIJ(yU%}<$f;%LXtB6&w{k>Ws{~c2E`i9;8Ip1S;CQwRDj(wFH%gGePDLjCFN4qqS0>kA<-;$;T|oay*);3-{=^C7Cc-d?=WRy01!+sY#?kIC3UKn*?KkoFxGZt<`MAW~ zx`fgFIJ29(ulN#hf-YtL`^CoIvCzC$$1>~Zd7E=>DXz9QRmj|h7!O^^hD)4DkWW_T zU)^3Zf_-?9s~Jse?xCX=Br5*&jQhyb+(%R6b8>nC2@d$B*y92_eji3NfyU~3b0p&H@T^qwq}p(%ge2w=2|tOU+>{5TgK zF`}cE$B21gXoppC? zkMDbwGg(^7BAt>xj_!Mk@8THH?nfl^#Zxc;8*N>~2pxIB@XI)g%+a(9Y1F_s@L@2q z8WmsIt9|uy1KQVvZ(O~DTD^N*3@r%C4exmN6CxnSegSfCnY~rdO9m7B=H%U}vf*P6 zfTP*3-LZ_gKP;gr;jNtg-Q(a+iic%>U`3v+2m^gYxuuhTW6PBlhVnm z{-XQdR3NmV%2+j$or$^SX~FuhktpmLlp9BXr<@N$1G?mE< zCqYh38*x%v^Cqi^G{ykag>yUO_<=U&jYKc}4!vV;G?I*E=<5dM-dwJZcVv@2bR@-> zbc%mbdVm|=`bzhtWni1x6`pCBi%B6#bmH=+m!Ac&gBEa){cJH=g4xA2<1{LvxzD?^ zhKkUEcilg2q)BHDO{J_C=IDA+0>X#$A6Ts&2(hv(ZH5RnAcOJ$Sb7t%B-8f&f8Kc= z2U14_6PFnjQ_xZfb7^sT1vFD!u%@(3CzRB(Tq?u;JBSFPqm3k(+lVsRn^R$%rs;^_ zZl;xKmb<35flH#H^SgWh|3mBWprc2Y=eeKjzOM89obuo3D5DJa8so$lLuo33kn9fj zTG@&Q$K`bUYT8w;*@O#kqjNplyB}3(Ii54Y;qRJN*!!DW7nC?G&tdG*B0reS=9FEf z4Z$lp-{TS*jh_$RcLL&|I|ywx%QCJUpQt%xK7huT&PDXpZq7)<3x0KvPho}-^R&bW z6lv_lP)*e@^WL1@d}CtNWAmAfaq-Afs_*hI?dZMKEd*K>0@A6i8SWy!NMG8O({*Ny zWrTSJ>)h{QvY~c+bnVI-PY^lywm1R7>;2*!)@BEq-vZ3_T*|qK{=FWZXg&?rb#D_r z)g4$-6~HnSt|_zCNEHB)*c`zk3P`F@fJUhCEv8Q7?`uRJ!}py%Sm9|Cb;lp|8UP!H z>}}7wyu2CE>4%X*wS9~YBsfd>oW6w%-0+xL3wL%h#sv_>do8Y5-gx-VJFHMN9bs?S z#lyaWG`L-xo<+E&UzlaEzPKz4ZWaMAq|l27mI0j&o_ccaRgF>O2RH>Gb0prJA6yBUK9jBK&0Ob={+Wr$cYIN62MUh$EZG zr6;gv6e>OmKhdBH#Rp`ff43;Y-5z-CPmRBjj_2KZ#KzBLoK*<9x6eOtX1Q2NaJ)8-2;ScieneBYsVTi+|R<47cTdfq*UnY+L@o}4xO~4b@js0 zumOXx+IFs%94QsdYHZN09;=>QAJ~K~A6r3#)?LPK*$u~dkd+1$lom^>EW*`*2ROK=GMg=-=H(aEI93EDslGe7#{;nyv#kih8>_Xw=h&80_6f(dGq zN7v>()(0eR0C4fuw+CZXz1foqQz*ZWK7Es`>2a(LTqGW)g*m1Y;`R-JG4kR#V+BvrmUADSBZ^qWGh*i^Dx2~1U;FXj7Ihz1!2GTRnw zAcSmhhfzeP(p0si{3AxpMcNt2(~qu@D7J@Cd(CNK&6T(Udeph>y>Kd)b_Ff zG~t3?7&uoYL06mRmte#gG3;u)xzg1w`;)?bzj=l&u9B-wgoPQ6&c-aRrt9dl&>pwv z3uJaPLl!ob=H@!uG^pOB28sM)xkdk$+4al8{)1<*$8IPA8h*ZoiKxMgXMwMO-L-!} z1l6?y@m4r6tDV$AoFXWJ@9?>*mQmzI3ERalBcQptEKJzF@e!XJv=H2Pnyg2U8Xx(#U1+Y)Z31y7gl>=l{6BC7=k=+W+ujV|Mo?BeENJk;=cblMy zaoH`I$@kB-Rh}_6ZU<5VCJcllX6d<0;(*@UVWdt z&ANF2;?CK((6tOlTr$qIyD;GMMgw7y-c5z&m#nbnGBnmhC&78o0uXZEWo7z)z9kDA-1LfQ4$g%jnLT&+Z3xu-sAEP0bZS6SB6WHf3 zYp2mmm8XCx1M`_H2)hV&u_*#TR?hb50awCdFpMJxgJ;Jy0$sNmfcQkXg_CHru%rZj zQy@op1zHp3U6IKscnK>-*Ks2oE}>_wRP_Q#SXI~S$!P<}^up8V_s_X@>ZhtkeNGje zE2B!Hak$ry@r^?qS$==@;%7FRk5#&wH4EltSvLi;Idyqc!@l}WI7znk?%4NAN)u31 zB7_ZKML++bfVFo!l-$&^>#OJlOlCyrgt(FPLRECa89jg$P5e(x$|Yb$AtI;*ovAD< zCCzLI6L{f!&RO8GC{Ym*=WCp^U|X(&^XZyhinGP5EWBN2yHamr;td>USkYQ5?)fZ6 z;P}6~H0}jB#LkeQf=QqJn|-r0D17w-FI$k|ad%!gU%tDnCx5^uGjg6+4mI*T^<|J} zASpPc0kbz_>hAT}VHP00d=o4nvv0?4x#9-AT=E`}o>LccXlYni9X^%hmS+u~UU)eD z<1+Ma@v=}tN9;nm{f?sUcP3+j3Z0t=y!baBqOb?|41!fn@e)c^YPzH`{EowWII+Q( zBsx>PMLD-^hnof=2iuC+=`pn#8^e-C$nTntoqI9g-QiDu*w3G>WjX65KN>Cuh8OU5 z8SN#}Jw|f^^8^7hM*_}-nTmLsrDp^o4N&!ilZLs49lPQ$?PS>>Ojv*vj zN`4^7nYcb7T%wuC?9L&tPYl?_@AXP`2P8XHUyQ$@%+*lBJ7E~(T@Yr?=NAUlG!i6S z$RnRf>1DzUn0e;w>h3Smtc&Q^b=?*C<4*jMh{e^9z}(ndY=;1iW_NQ~2~g&l7DIf6 zb<=v#Hd7Hm10V0+M*iL0?AO}ENLy4##}kX+<8t#7mi+!a_#A`n#g4%;=7Ro@6L4jR zS^LcmgC>Q?a3>+P= zD5`dYhTT;7Y>cUPkWBdwK6FPuQMMt@&-^tMU0Huv%H={*(fh>s!#75ExFB{RksmjR zn}*FgcL#7|{}riJNqzVwB4X|}!UQqwpNk`;VjfgpI6$7%ja`8F!FYqFFN%h*S~%KN zR4uvYy8Q&Kq)ykm=a;!sk1XWB?g3D6eSO2H!}aEz(&hpNE4y{-c<}~BJj#2fxW1sN zyyU|*ho3XdAJl^DEX5kQE5@bM^wA!8JFA{-Y*q2%tp4#GdY=7WD?}y{Zg^#1$(|>l zUbac~==zhZgu>WXZIUyd5(mcTA^1rUtJU&1AO$=vPQM&frw%1(ED2)!bYmM{m{^qq zg?=@%ZU;h4*3oV1rX%0mk%R&}t!m^x6Uz)m|51o5zBi=mZa$E zqzM^oH(RbG&&6!)8I%xMTYK``)^0pBE9hpo%SVZ=->LZ($Nlg6^4YAqaAY5EuAKmB zx)ljyqQ>{!bEI;?tjQ-Qg)iQP0}+T~y4!|+Aa^p4FUsj*^@EK!vRCCE0k?)0PD$=G zYZ(Me9&SUMR?ptTL-RzluL5h6;^VG(r_VM$RNUFb3@$pq(6>_RvRqB@h~rb?2CX^~ zW<%!TXpM#zkF!=PA~Ar-)uya1uD6&DT9C>Ax#D=ihXb05lXl@S%YF6z{vKp^x26%b zX~ofz`QbA3sl*jF&hWuBNbKt$G`9e|@;ERx82HlH^XvrUdefNjOy!L)uVCdSjeM`I zJ*;$SCDG=bZjG;pp@330u*Fjx&ss=)E9_BJv@yWzRFwFrL8cVh>GnEThDCfJ(BS$p zRUj`=i+KY<3I12@n~_oi>L+!b%SK&n$hI zN&^*Ft4>^zo)*AB0(d2C&`i*?!b709wYz6cGRmAXKa`<4_|~TIxPxo3%zwVQ#^_lA zR=R8%wry~;!iptdsc^)9O4=|o(%@dVt|n)jxnNoPzy@CTs?b%b~EKQ0jpV_Tp-LD{>RK{xf0-J3^oXotMdbu+VEM9$Aj1!?uU0Zcefkqr;a5%UGFttgV5 zRjzvHPu#-mquYl%hSQDiP`)ng4wAYf5@JFgY?874l`G4Kw3O z5pL1VGb9KYG!NZR+=|YRL_)lxfSg(gXn>U>=qZtQ6><;QUY^5W;1Dm$=j%Qo@uyFw zKnp5b_cl7Fg{??3QjnL6mU8`1?{t9$fiqnY^|auHVI=@&u~n53n86P{e`(E>n!uh( zPd0G^d=@g;?@gUzTRBkytafY>(!kHyAS}yp2%Vwvfi|t!?8;N0AG7|#Cw26?L2K+? z)LnnGWsX=r9oM>9Gb|Bl`R$$AM|k`rp}nPc`?%XDZH`y^HGlBO`r#`??MKjnGh zX7%T35`y5^V8-^zS@~c{@0~}*lNwIVGctQqSQd!W0b_ZtuF1k$@cfO0<#=Pcz?!uDr^5fs$fp60Lha^-ZAK6BtC0gbxfuC9){&)K zXy{!$ta$%5fCU1#dtFBueWx2yCB5u=jGL!^iGx;hTM2*^q5e>(@P{dwD@5zl;@sN<>$wY2$>ENU(c=yP=E0#mYo|rl)fryWGQU-+6P>y}4j9qMG zWJDxK)~w{Nx$LkybfrAc&W><+&~6X-el}bJSz~M$vONZS`1F%#@8pxEb&FO&S0%saZ(;4xUq9R&YsTu@)M88C zo%DcITgWLNFrONBr+g+Xg#{G6Rf`C%sfdUn`EMz`#%V#SBw(tbRg_*MZJhQ%8) zc1~a*;{T-itQ|16MQ8gX2AQbg`sp&*Wq@YA=tBI#d4Ma-p}!nVF?HhJh-a{j4F+1> zm%q!S8#Vy}K$1tcG8JU|1!@ln=}+T7s_Bq|Oed&+dpo^n3Up^!N8t0#)V}@Ks)3 zketF=Qe&5a#3igy_5db!###7goF@J8ri(}DrL(;%q{bCSl85$JlF{lP}w zPB+(aw=;u2=~fIzU_`LuNrsR^TF#tv z?N0ZhS5Vp!M`A*Wo*wM!q100ZPWVZ4<11OOrw~Fv=SdxCcIlK3Bw`2rqR%XJw0N%% z$k$#@*QTT!%vy5^ycMr{NnIX~jr~hH_zs?oXrs|R;D9i=U;e_Zoh!L2z#lu^TeE!g zow%Xb?mO=uU->2SG~EdOuEV6qTe6r*4etqaNj4#FX|wN}fFoiSg5ZCzKn1AquWu&kcieSW^+R_$ry?`@&Pu-yksu=LU001Dty0 zOixYN-?Am)3w^ij2}(>jaZXR0!#ObJ7?PwlglsRl=O%Rl76%o>ATVHa5SB*O<&D6D{EkF7bwu`j5C zqoD+WfnQMbZf0DIIHvlQt93#_SqmV5Td{e)u=@u&^@sNMcGzbCl(*zxasg01SHN&nE<{r$tZAbmP0@>KMUO9O$BzF5%eie=3 zD~Q#PP~9$_l;}hZnQPaIhbFFt(-GF*c8iA1&+C;-8PN}~zG$a5RZR~X=zO}?0N|4x z7tWFB<@2_tlUvhzlW&i-9N1i*8>$s9CG@wN!-T9s&_^J~z%h=2%43J8hDYjl=zz)q zgNGFV%UlH1yg1`6%sn13;MM$`k?mq zSmbqOSuMV`vQlsRb|_zQ4n&307S3Yj$T?Xf3$}#ujXU%M3Tm4ff-}62uE!?DtQJs` zAozvj@_fTBkObwlQoQ%(wRO@5Eggo1TV)a*>7>FgKewY1gE|yy7Z;b&myc7feD!l& z<*(HG{#Ll~y(Gfil)2(#whAG;=iMFTSH;w>tXvmcALOu%dajE;d%#XroOPKpcQ|k zOQDA4zyMx9K$m!)1NQU`@8P7j@C*aSJ{}NYcv!Imm;GN~uV23w1nBqF4gBPthKzEa z>XRl_zy?GVYoM}Zrbb=RpNeH8VzR4DeOlHf=b9gtO zuZQAX&j+e^A(HR3=z1LD>cU?Lj5H)SITnN*S$#Cu4koNv`HL1}Z(kqs`r7KPNJVYX z!S#n>hdF+4+apwd*kuc9Q%p$$N>^GQo3C$tf;zD#-65BmqahrMyB_rB%{G%2FRJaP z4ZtVCPAoC}&No$Q10_iau|uZnqY!a8y@?lYTHGGl=S%xpC-#f|v2iDfbbR|1i6oRY z&Pb9f`sPynmH}JG<3?^YsI^#uSq3R>hA~tk zq3mzO1^r zNbiuET|qU~*S!N0dU~WdNuz!ZU?8lOkLyI+v@}-Df8iUESM5|zZ0BvxLFG6Tj^{hG zf`TFpzV)$5f8%r91ltahQDH@C>7eTAnJ)`{%f?wU0=o84A&95lwqbW>J?t$F1#fpf z76UL1J{!jlqkM}Ggn_nXid_UYGo<$L)mkTq5NrV? z0a<(}Dwy1^yxEPU4v4}T!YljI^Xz5QZN0}|d&DrpJZeLTDs(>5shXgYtNn2%&=0Dx zM~3A&e!na%%-59mPp1+yc0hAi!Zcho3PZvH6@z$Vb9*MXBaM>_~*9QA*Lbt{+pK*k$nMOpfL))bKRYHnM?WLxQz8S*mwB zqEk;8W^H7YB#Ty}NJ@18hraIaXa_rsFr_>+)3f%_PsarkE$De}0&>lVrX$oElJsK#n z1OE|Jhvh{yeyu3O)b%|J5A&)xn_C>u)jBpd-9whRj&|DbSo>49s;dKGVc#gqfm7&q z4RMX!v7i}5y~{RxS|At<-xz<`vJDZBp|nJ~Wvv7GgZVUSz!mUu z6To}y9Vim<8>v+4TUQtuf2a$C#(s|grXg%z9>gp+Zs$wEF?&jwluZnm4HsJfn^7Q1 z`=h9`Qqxjxle&|k)Xi~UOzS<)o+vXh6ax=Fupa?JYQ29MNJ=!2wI`dK7B6^Om_xO% zb;*7zumDRV!%ipe3dB7bERkpk1z2XGeJGK_svLXMV94G)H3V)+TMM>2V*w}V#fo}9 zXBe%DNAeX4;jmJ({`N5RvwSAPPKi$aoO6c=b zsTy09mhTGy87wwN5hDV%+VlotcIH9i2Jyox@Vr7Ze(JV8n9aP_zI&KzRrEU5xkPLH z(W5foj1;*ljL8DI2e`pND8d+vOEqi+aos%iU*%jniu8A$VJ8JGij%|cWmpL=Xx$E%g@1bG23;*ktvLKPZBDJ1koq<>u9=5( zDJE2!bMKBB1cHW;iS@qv;xEsp64qOev%a8>H0ub#&PK61RJNnLxl27)t&hP$?Dw-` zb+pw8w+u*Eizx2Qad(<&HQcm5TQ(Ip;6$UGohb7qXX;%kuRI1lMHuH!dJJSlV8Jwl zHFC$(V$uVubIxkSqfJo{#`wI~;m0>ZTGhw8VOc158g49XNY;rNASE8(iG2ru?t04Z zv-~-7>H12=-w!GpR3RaOy&*TPeE_(z2~_6QI$U7#w_;@7(${w9~|XpcHOqVNaTwL!A%?J z#s4Q8=K~My|6Chi|HA8wabIeLJSl*A#{Ty>*;s2(w+@>2GWLd4#$Bz@w48XO4|J{? zob}8QS3rq)Gj(;lKDcZ`8Zwwmyd`0|Th=gn_Z7`65KcX)yu>>#V1{xFGMduJc)V*E5~m8`zPq-RIOB;m9IO*6-i+g5%&vN zRxG$)2D6(Kk{R~xram!f>2`6Q8sF6<#y$SpSODwgthG-;vF^5PehkRw*n?&?#;c9& z-X*ze)w`7V)RJ%%;*e$SwWrHaJG&g2TuKb<%(@x$;7kY@fjJ`3TCz^YSF1CV3}|qv zn;WmAQfP{kZr?(7$6n3aJuG6s-Kru%_d8~SQ`KKX_za$Vs@wO0zj1F`Ya#HS zTH7Ilaj#44raDH`Lb1vxxWEEHMP?F*DQWf<^Gq-UA?l%^x`tVw%h0Jv{h%);>B#w| z)Q?Z*oUfM>&GpQ|nl@hyMA8SHr1 z!|*=Q@V*1rf}BdkwFC-58MO3_;aYmg{M2B#OMwbHgEad~eeFgp zgKIQd1Vw9Rz2Jbc*2f4?uj#;8;iBp}fDWM&TC!9pOU)y?(`Q^dz0B7V(iVJDng*4Q z%dW_y{!`&@8Gpqy6w7>n-V62aFfHjzPK9;8;WZNkzRCb$oobxxZ&gu6Gtryef`XIn1#swp?W!vDg+KnN4(U%l0}QlY>B>i{uAMIlYu+2vBe zIEYfZLHrswpzD<$BL$V#FZ@NWAa>6w2QIi~09QGBYR}-;dks5X2 zyRR>q7@q`IgLIo1QLtNO>S>wfa!b-`OGI|UWldJ*HUg|5o%@S`#S-bjLfIC(3kICw z;ZPk|CLFzGy5J0Zn*a1^CcLWE$`a(;+1oaLHD8J7ASeC&pMe6s*ZQK7*MQ98Ru_T2 z%&kfJC6jUD;Wa-r#Gj9mrVja5e1E6dX>HOm4SN~-rgVHRM2xJ)fFj#>nh}=rLeAra z{#hH=@1xdbNm7k`fZ|kyaB%_8+c)0@?escoWhLLG(70GeaKuo-IGSMV1@cjOIcTmz=4WGC-R;h; zX!POJx-Hs|WYm|Mh`P~52Gb^ONA4VY;I2yNEf-O55z{)Cyfs@1=a?oJ6D44Qa z6j0`aAy6kV#){(&TJ;dFq_E-%rd5PSb3vraLZ$1zz8&2b_MSFn4SCRWvlY29!N6t= zSM}$9h*7of01g$uw+O6t8iuI8k&xkx#Ur15EzmH#v-wr}pt3wg_;RyIzy3Ur_ZxkV z?-j*@4H2hb%DU|kK?OstFrQfU#aomWu}s-)+WMY7$f+WmaS!RPLBP4rHivnMNXSeS zLBALZu7UB^Ca)Abs5Ti@IYk05Ba<9~)%G#1zaQ>0QX6*bZ8a9AhCOYdnJ~2;*rO%R z!d0yg>1R*7EA4pPCGiIwR-X1t^D}2mU&Y|l<~Wu)Mg=TUfoP3*bpdCnt4Vk)ea!cd#zyAC*OrR~7^WCpkH#(8etx zA7ND-zw}xEwn4SS{lbhy4?cDst(xi=`{Hn zWAM|DaTwPyVR@fmYGvmX3@jJLGZbM&2jsD&NTK zqTowz>$_S%vn9^8G}GAp)(taZ#coD+0n3=|{1L`tnNLL)1KJMU1>O%yhp~3R|9|#I z3L0y!BTKf)>N9ox;L!JmzXwIB)rH7=ZotelG@d1IOZ}o0 zgFV(tRI$&So@Evm6@%4#4{q^@d2VxIz*hd`CFSL{$;5M`eb$L-=f`ea)BpJdIvwu{ z)=Kd3th~MZ>*D~C@T`rNHu$zOsn76QH#XNh9JubLPz71A%#bRiA}rIOa^{^BYRVjW zHF7s{xekGIUVdb6MJ3$ZT|zr`XLQ%VFVdLWpRbg2YJ8!Z1JMx6!DA?>2$BW)(Nvdr z0|9%bZzgdsPyoIjzsZ79D!l{&@!jB#hF|Ny_vN(*$|AoPMEtF6`5V+`q0qN5=qa<+ zPbwFzE^MfErC`Xy0YuOjsFGK8VH+g56l1P?v>aU5T+@$cb%I8-hO+}WirD(XCVbfv zUJ3##!AO_|H`tv?JSlO7tNO$SEv4P{VJA2#b_vQ{vrzKs=>xb@Lyg%ni+&RBw@KbVb%tRZl#6G zLWD})!zlCL=%h@zoNe3m0KK(T@oyx>|AbYEXMMhjDf3n|#Cb&xvwSf2n{KLnd!T+jgV zC6U2kiaXuyZd)&zwc6|`{4e1w?MDNw^2B#NaKmQg+qZ{cQC=jR->3E|7!%p*8f(jo z_UT^a(@YF(V$&wUC7eWFrK@bj9}96dRVHp>KL;n~<=uwKTlK?NhztMSyNhTm0icD& z7o4=d<+P!G-F+?k?xv6Z%NE~fXc~@g--Xb{_s#xMLVK3Zg<4sX16XZDt=9d-pPcO$ zyxH9r6lP{rmBASkvuABxf?rg@8h^-aZss_F6dds+7sQ1wt*A)tOJ`+(I$LSwuA`@p z^nrC-9()EYD4s2oL)R49XZ;>$Vu1#;r3X*-7#T^>tnG{L2w_dF4v>Dk-R= z`+|y^>fTr@nr|jrv9AwIfPjN+4$<^twW%(3@8grK7XVm%?MoxR^(pt4iTDE87LLU` zt>@zbHZqeOREOk6Do ziJ|rK(U0LPUh3J(dsns~=UN7K+C}I5M$DR9;pRb|Qzdbq1w|M;XhMqzFrXBibcM+5-qLG3M28=Y=W(5PFtwquY+)VGI?p$`d zHcdCGo`xpR?J^A4Dem z^dKIYt;RowyiRR}a-r@^l1h=Sqn~y1W6}VO{`LtlNcH!574I1=%8!!h@E^MLzoyHm z_Kc86V;d>5t{$W<)w=wO`jq2xa^x1dib;jt_*P06T(A<#dhRV7k4SW5TXh8YtP=#* z9zD5LWZc01z#JXH;pa~L+Lhx&M~|9wb7SgrLTVf1WM2h^Q#jGp#n~(wL1n)^wcI?{ z&mgELmHNO^iIvl*zP!ezUrA&++*nwHfMOlUO~1G!R7f8(I>Kx~d%u$?O@cUT`s#RU zZ<;;YoD$_dr4tT6zWTQGbt$l8(5IA_QZZi_ZaI)Owd}9^Fm9J>t&vP8bA&J8Px%&m zJn(VXo)*q39n?bMCLH@jfE)aPdrkRD7oaqO%`1p)1wY0BwA)E>cW5;D8SGp^@oT^P zkCp>mrITXQ%~ifYs4QP2(Ngw45(<^DucsBJj~0CC^1jA^xv;yl1$AB)2up;vzlXwO zM)s-wUXNBq)#BQ2?!?opJBgKlF-Q3ko$2wWdie_}bh&al@(F$GOlWM3EsY#PHmgZT zF*h)QE0Q5E=d_bF6NEvZctkdGhrxbS>MI6MYpxn>wn9!MDt&I=Ycaq(8J2Aj?D&n| z=@r8Jyr2rZHr2T3Rj9F?6Gaix)~!7RSp{_YlIVEBfZLT^DpBuZRXMuz6)3n+;@BXwDHkfr*PYjSe=9Z>qYn@pYfRaMB2N3vL=t?B%CnOk?ey z`SGlaD=NbohdL`5t*3*fX?rU@-M5!M*}}BC^tGS@Jv(V#rv=r@+?o! z#dN-aW6{yKOU06@I?rzB`J zBR#j&^+p2Nc+?><_72UTCO6QU?i?B=$qr$PIDfcp4M$8p<+?m4HyudVhY?}1nzhG4 zmAve8KST8I?PO8VeXh=l?LQ#c%#}zLNTX_(o4|(4eiA9eVR6`l^WHlKIJ7pybS@HG z&q5e&Lsv#lsKFuy4R(g{4_UZx+@Zk>h)IBk;UcNo%@?ZOT9b`4QT;!7wEZnb+QRrw zHeaKWPQW^Wn9!mdga5Ujy}$@z8p

    MiB=10ZD3)bcCC8hK@gHdaa;eL0X8xE9zVC z@ZD20wl!E9J42NT;U=a0WF^9x&g}rbi6gf zq=PTU>RNA5%0gp@I9JMpioFl36U!{`3MnzcNy4+H)mE8${)R%CIYf->M*r8{MveYn z>MP{<86yE3+P9RbB|Up2RQL5EZSRPYT4oT3LL+rC3W_0qmEU_L9VZQsM$k_EiFrD3 z;4^J#*0t7np(ee7WIB=KG*U4yMb1BEq1B*jkJqSg{McO3P)3LtRWwX^7I^rO`=?R6 zYw9sB_#>AF^P`l@2Z*E&=*?4?&;?DSQZT189_7%2*hFN|BYz`kQNBTM6sccYKHF)J zRhIf^EmST{`Am6yCo6JS6f?3LJv;7l%_{@iEp@WDNWXX7>$&^M;h%5DDzw@_15^f^ z>-X6G>z#kT>5a>%=w7<7VQxr^WNy0`bNHWcPFYy-7YbXQ&pziEx-=xQrV_GOT6zlxAfb5QX3dp)5o~N=%d~2Rc>Rh7JKf9T_-naUIpLh1x0Br3 zQzq;1G<+byUuy4M7(w*~`E*RpfmTEi!5a#}s^gZds6d9+F0l|u-K zU+JyM4GZUzq>>~i$~3(BLWq5oAt~Lez(sjES0`d5$Fv%xuy43Khvq?|ys~hV zla|sAblv-ohYy#^L;_CAGqsCG43%SJ{4^(Urbox~;EGo_SO)IgR~_$nXP7;mkx6Hx zSnVdy1_;hS!AP$HB&uXIG!GI}rRTt5Ij`5o8a^1XVX!UlB)!KB*RdqqhxF<$fH1Q z<+0S%QZ}o*9>lS?l%q8ney1&5=ltO7)QGt=3`x1pB5X{Qn|z;Rr|)(CgH=PLW7`VJ z;B}alAKKODeO;R2ikw6L+Ay)T$I5IRG&#Uj>6|KvGCt7Bv@wggGMSA zW!K*#;nLWvxlxM$gd6&wuhd|MhtpOnG)}tn>o+kW6eeuzZdCcf)iuy8pY*1PRRcV> zWkJbaz!s!;d|FjG;%bTyd9B)UF1UGhrsu`6spv|e$Hs08A>k@NxOw^ig$rrvVlWqH zP_Ls7dTYJ6_>K!3XrP>-wFb2 z02X0ZL?L6oieLeWpW~j|&n|z01G-$hiiY&hY7^Dq+ed7_DES+ZBH#)1AO7R87e zqPnl~F>!bP*MboI5x5Y4v0f|$9TN^ta71h!Q0$#^o3amYTdXtWHd3kIJ12irh6Zy-CNpoF2Jv|O5ABfU`KzLgYkNxQaxA6YMhrOyd zO}F_k1h=I;UbkWMkzxl|tkd-6@%w1kA9#4hvo)T4^kLeTRx_}Hj|y%oTBH@PR?}}! z`@9)_*jPUM3W%I$w~mM2U{shUtT_q{mr-Efv_Q{(1Ec1pMp2++ZPL zKr78$Q=|U*MvWdvWPRehO|0PTT@Osx&H2SSC){G323YU+ix8ULd}^5m%Zyu>P7FQR zG9hd4B;==7$+ojnTnoPq9#_VCHuxHP{cNLrGygtn(RgycLuqqMFL~DHCrDpFL`|5d zMAFV!gn8?iw!Uvl|5sGmwMj7}iWCH6JE6&H6T`FSW{Mg`)bRQ34wWgUW2oG?McPne zG(S8Uv2satL&Jq?mp>3pVJ-Y>;f%8$?&(qOc|_n5&oa;9LxK{WGXFgAy=M>K>Gx+I zIGtJ@6eYsp*GlEDoU6GZiI{B2qaR_Tp4+&8{BE-JODn=I1zuLDp$LI;`N4)OmQ1@J zLXA5GzbC=n|670jfN}K3fi3tw*Q33#6T2#}dqh1;-s6#3`Ae_Whv^>!bs|Lc{Xbd% zG2(ry3U<~IT_Vys@XNFMY1r9+`yrgNB%iotdA#3LCultgn}Bo;Bs89J{VdxL<5m<+ z@t-J;RMZpH)vWBy^d?yhv?H~8NLLr^Ay+Yw1J%#DYs=G|BJ{cy)AcUuVe zz@N6+2Rp)vQWwry+p?>Ynb{3;%c{IDr%q;af0#H~Z?q>xI5DxZbUXDtxgrph*^Z~eq7?GjD1 zBz;a&o1O39Q-a1}A)C|o^B3Q7x)T%4rv;VIk760#BKO?)K{%}m@!u~l7Khj><6MkN z6N&K3T0aN5b-dh~LhyEw1;n7&QDiI2169($ixI>3-(RSVI1)iix>$u}w|^%1y0p4m z?2MfF+4C7J-<;^2C%RGRd}St2FRs1Zi-|G{w=hYx)BJ9nDP+$Tw~-T7XQ zg$}f)O)7p{Z+JSc^Y$P)@t3C)Y);{%gPlduJ(A?D>ev&bJ@PFRlEOBw?{i4}y~O<$ zm0GGjR-el9V2rt$fw;g4(%3dvG~BqgST=e4JMFNJTPc&Acd8Xa#`o$0Z|Zz$V(5OX zHgS?Cj4VTz^ewyn=pgU9?@tbE<%RW!B;u?yn1mijzwPf8m(=_5CLk~;_ri$8vO{>O z7e2j|3+{dTMsbImV}Fkf_r2UU>C+SUzPXoAiX_p}bo@HL`*ly0$2@4yr|Jw8<;4x4 zg-$hxN1o>Cc3s)iyc_kTo-Xd3)bPWLW<;rfA^tt^!;>c+W|f?CIsszUj;Gur2OoF~ z?Zj1`%X=+up4RdR8uQ*Qna#KFg^S;x%_p|`|CD6+-$X>NNwQwTe>`68`Z;g)?}Zn? z-jmyUVTwn0{>2|2wJPb7>^Xp~{KB2{I9aB{^;SN8%r!TE6h}L5Y`-7-o7qreNKC@1 z?*{)oeO2e?J-Vo{JK8Sd?7vB56vSfT&z>vuepRH-HXodfqV#Oi{>0x;R~+2E!_mF= zt|z(ul5AST?w2cYmu;;#A+qHk+G_@?spl2BMvr#K2+h5&*@w(@vTdMp!)puM6CTCy z{QGve{sH5^EBDAz4^B^qe$R|}U$$;s6V~<&Zm75oz4~;_4*To0hpW;5qv+iKneOBN z-=`$Qa+n;p(40oj%pu25J2?$GR7eh+F`1$yr}$vzIC4I7ZB89!NR%+=V=NU?Y^c`N zx*EAOhg{!%_Xq5U-QMrlp0DTQ@wh))@N}T%?LqUfjy`iQ#nYjA9=X6y{FnkofL_P2 zZW>q{&-(9>#s%CaL%zQkQl*;|$V>qY%C3R5UXOhhz=l9u0WuELCbtKFAFRTAJ^7UW z+|T?1lkPXX5DUIgz>I@+cyl`hWH&$ zx2uj`8~sz90fQju*co*tdXK1&!coUa@!{clu!?l9qki$xxLPoB5*Yv(!}A6!TQE=H zBdTE>MQOE%0@3dZF>3QJp*EbJg~IiA<*nJ&uQ-`KmHgh6hN(TXe#BGvB(Z1P8Y{z( zY&Yvv1T2@)0CTJA0L#aZCxWB+LL;4;Fpx|wY$s%f1JDOxSB%rMAs@I0gA$MwuS7h* zSgritv};1gwWnJ%QwrP+xy|?Fk;`L?l`4e2rUTh&s?u9&aN_0Rj&9_ zH*FI&tbyNRZ()TkgagXOSImB@mKBJU)Fpl zw(g+k3^C74YOZG4@Wk9`r^OfpqMUODce~^#5+C?~Kj$0t_+1%>d$OPBd$&APxNl0G z3`3HScUVvruOZfAB8BsBPvOZa2k+&6Cwumeq^@&U8h4W`qUI!!|Dw))S%F&~S{HApVpZ`=I1G|zuy8ACvTzpi%w;AQQxMkr`JYc89 zn%f7iQqG3pBSAAcllR;=u7|!p#tmKTEj16hT@=f({qG1vx653=j@d)#Pa`ZYEfpuJ zAU|GVbi}xB^sC((W=~oa+K*2n02xD0Jj$g!#Si#@=_T&!8r3oWDhAU04`(BP{GhVq z<;i=X_rdagv}{xD#~GWX{zX~wP9&B9eMVy@c#=p4u31>#a(D%;t$FDqPEug16?Z4Od# zRiN}n{is97aB%k`HLi%7vE3*@me&=I*iW^Pd+Fxhi{-Rh*$$ySdI-UKe}dSJy#fMx zovjmrQYUzw&RO%bz!hcG<9sd3C9Qv)1Ew>rprXV3Iu1htLV_SV)#M#5s&WZsBW_kW z$bMmMtrge(nUQe-OHvCvcEN)#rnw{rkGVZ#2nhK}Apqv}i`6XC zwREOi|DVNjV04;T$bUyRlepcWvm84(wNk-a72flESf`5Dyfu^M(*ocZkV`Jq18|8D zcLYSS`wp$M7tmbVbtdfsN)vX0ye8u^Iy_Ja5OY*hixs;2BAAvgY7Ji)TfJG#pJfj- zhDT?V@6AK(@gQ?^r&Dv=#$c~Jhh=RZytD847X&JD!@rcwq*K|!1#>}zv)VO_li60G zPkMn(dDi7g_j79WkXCu`egkuhpRsv2J9|&Iz6esu@?X)l%T|s)k#A&Uic`=5Ca!e` z4394FPRc%}s)Y!TJa&7#o(x>m%L&yBQMS!F`0og~l3=P4=4&NSR=c>XwE7(VIZ**A zeu6UQW5b>y@MmbjLZd{q-B<%!w*@tkqhlHUMB*4|LKbLLD{$cBW8 zZmG)+%eP1;%0odKDvEWO&4#Dw^`9qqVo}~1^n!1S)x43l*Ve1bd2enpwh{Uwa|c=6 z$%!t4X=u0bXfYLdks>sDu^~XrZ8r0{J~gV+0UuJ^X2iG?6FD{wXH40WQ9AF?7Le068GD>^l7)HZ@A z!Wim@Kqtd<7$3G>$0WQmTH$^(m5rpl{{N0B z3>rcdDVc-oSQ1LfK8ItRovYp_8so1V{UhqVL|#egm`Bp43EWK%d|51TBr203Wdo&2 z;4cee?O|vpMi8-Mv#F){-gpeZAXP?bPe$z~8OV=}IRp;j4!tN-2g1{x7M1m2SD`Nu zn48&}EWGs7@$%gbhFc^$r%G~hNh82=MD9RdAanm)5=`deM9x@PI>Y!96On?ZQ&}A| zHdzsB9D|^_cp}l??UERJ7Dr566L1WyO`=xQbNy{{ofH^l9ir97ZUQr%vwNrK!{4^yB!m(*Y|kzY+`?zdq7 zjelbGuh-qD8YrkteO@Xk9ohv?dd2wB_=l>EtCZF_Lrl=HrlhU4D|K?kHyQK1OfUR{d>E6IU?opwYk7Er*D2>D zQg zWk3N{+_*7Z&J|d$iG9Gb;cw;V=E*l;yPFB1@sTG=e4h?A#d_Li=%~BR9n1)q5XHTy zp#Hb+Ajo125i%<_e+XjX3rpNH(KIB3)?{~cC61TwYo#C$Xy}8-#Aweg#E@ZnF;BBo zNy)oCDuOtUfvky1O`5vN3)3kM*KcX=Pc1O+YXd`OMHT)?U?>8Unh1__{JBfZ2AUec zie9$HdR8SFavX|ocG`37b9M4%RV`}r#mH;Q{~g(j$RUvrZ)M#X?cv^1$+^Og$Rvh-qt-jlJCe^&7mip2m$1}zuk4EKJilD8|}(`^OeN0i+e3yoW{{GP|} z&qq&Pw*sq+Cg*nTEbc7y$!Nb1Q^2in=B`GN+HlvSI!S$b!OMQGo|zlX_l81uNH(># zS;+Bi6!h|?f&mCFs}8dLzn=!i5Aiv)6q_Wup|^LX zB+2-bGn9U?$G$8*E?>O)v8w~xaSfy}Ju1?h``pR%&HEB`)9I^hzFNo(GC9uCqkSD9 zhG#)mMY86FIML&s#@(jfriv~he7>!_z3Y`#y1;D)Pt9zBpY>S?Wc+?yRU- z%(?u~?6*QS%{tBM!usN~Z1e}{$VS%l z4Eiun%k&BLayx@K?7f=XLyP(J1bKmry1zevgh%mId90?OIq`8FH)OpQ^?c~PC_lzl zUS+y)?jxy3C%oAMFchzh2=0~h(+J%hUgZ-k2H_(vLthzL*d*hpl0BSUfAu~EQ^C{I z9yU>#L!7FwSmdhYkhS!dKG01@gaVtH<@e$R=WpZ~=oxO2gw%O4*~0A|LkjCjlgmwUSx!U*;|VzE^bTmM7d$#lV#@r~%M8c`m9DPMt_{Ps#^(R%#hX&NUG~QjXFgM9` z4!Oo!Y#ko&+zeuNXplagygC)-{Hj9(dHiaeN{d92e%WT5u9oROj1SKh&Fws;`OHUN z0ody)U7GXe)%$z7$1ZJ+4w?N+A9hF3eKukO<_XxtJ z$7cFLq;qPk=)NlC^7QZoWubSWxnDE$UPTRF9Qc(yy58h^aadVC7Cka%tzyY?N!znB zB3)T5dI^p}hz%)M$llL?lU)rFDy^fD<)MWPdPpUQ=YBjvcdpLiJ9*N>l<_kMR%rY( zmD{cTJ`0wLfC?v7655(W3$94i!n8+h*##GB+tyOU>L><8vN@CnvWW!Zk6NuGdOf7d zCsAqE+LisBxFr8y^sglr2N3NAA#DmheJEAYeK}*$TH%){x|4?S{Lnxp!>v;@{TN1HG%9Ha`d7^?TY!P_ou+@S0%LlpG_|1v zW6@y}&s}SY&8q)da{m7C7sNdtkF=2#o$e4=D&hONPk~!!i9|hoNGeF;7>b5^PZioc zg$Y*qSF>aMc}+S2v`u%EagTK455w_%)>?wXYXynLQq^T&qZlDReJk6Gmn0MJjH-?- z(5cV2`sJtF5SgGpM8uZOoA{{f#bhwlK-uZRZ+Mo&*G5MloPyV*Ufo!-LlMkyh0T5-JVSRcOF@pdQZd7(BI=+Ahloz25mys(u(qTtbGA-(!T_PsuB#VT}} zc-b#J?+TY=i;q}--b?}E;Vae~w|hhQqGo90#4I9Ca~wV1&L@P{7{x>&Cr5`j&;tvp zL?67?t=6R zB6m)QoWpDBg?43Oqq^r;3JgWINf9x($radcqDCj}%*Pl}EKwvhD;}|B+@ejT*4j*hr53M&ar1FJxA(Y=Dk)z(x7q(gB)n_c+<=0O{*M%Ds)W=%$YzE(=23E!PXa-L zm2zN#AI!-0i4sQ{!Z8WCVq_*qQo_r9d1LyDalC;+3J2!I+EU+N8ZUKms^!KJ3NxLZc#ZH|2?)^H;_Gk@PUvQnx6t zdX{F7!7j}oD~HG@zdrQ^Xd`uYOFPZopqs=k87+H?0B^!L*)T#;rqnggo|Fb4UXQvvVhd9~23F_^Vnh2LCOq_R|qZ>7rh zAa^oMim&$$P(H-%F<5%g+7?s;g&|r-`0L?cgIZz<6rJZME{QGxZY?fW z?5KI0zq#N1sx-SR<9Zyjz7Ws&s7xK)2unI|UirMl9>sGu7o;bhjeY%Bx1X%CG^%5v z{p>!{If!6wrHQx&waT#=Q5iM1iB4?{if+dk$W9kSlvd@ga873To}7+TOXC!048lE( zUwAxom?0=jk^2u4q!+f5)M~jubNtNi+eH~%mi!?9*8-?^)AiS7rbw?=mZM<#|2l{C4bn>MPeiky?}EUEG-)nj=sd-7vLZ~I37 zJ0iH+#q$C!PGug*xJH$O{nY~c3vj6QR_HlI!qoJ^B9gd}YOLZ)d_VS9MafloLWy)eQQJxp`BfNQc&binAp_XY zUIe`!9q3$fMLNwJRc%K;wG!SmojTtf4!P-?+fbNvLL?Fm0?pvZ->k7+o2)*cD&6H& z&1y%Gx6u&%K7d7x5K~SQM#@akR>H}Vfw_$C1Nkh_ebF6B2bW(tJyKNyeXGOU@1CrMZ*LCQV z&)*_l`sLPxEqtfMXgJDh&zgz3*Fz!Wh~JMU$K8!;@I}@OXR@i#@F;5|weo^v20`;_ z3L+zeIUek$b)9l`LL%&>cmRCnzGiBQh$eV|(WkoAE$_q&-;UL%N?%!H|5L3M?~5|= z!oCvP0f!J+VT(^{X>Q8QiWP|Ip44mFDia)^Sr;fl9vfEB4V}l{OEL(w#v?et0K;0x zMO~w>E?9nvst_OviY+MUVwaB)a4rx6!sTy|z`gL`$i*~*Ji2sfk=r5sek4`&G08&e znBq6zz+(@-Vp32aZ)qgjAIEX@q6;`nNt?%uXnm@3q_!Hh7-&ul^VX1;MQ@xrMkWp2 zb*)cg#>xr=5#jO5o@y_B{QT=s1$fr9;L(;9`l|W+OyjQGANJ*EC?$f-ii}?3^{gw# zH}Q9J>GuDt2_Gpt=ZfnP3BdGPh?~0Lt}D8<)PYWfzT|e@Rnnc|xz!u)C`jNjxwCuz zDPp|DF-s0r_{*(NIA2=YesEu3+R=Fg%1iizz4@Sti;@kHytt}duz!r~8(jd5w{=mE z!mz0iz02eb+!ORc1KlAFX->TPWbxi;+mdcW+Gkp1_Gfm2z52QI#uyTdC|Ff;7n_-s zeutYkJ%0c9AeiJdw@M6fEjZ3}_JU{C1cM}!x&D4(p?rH;VSU(spTCZ$_C;ZIU zJlu=;7D&WBM2$9dx|QG;BqmZf{Ok&}DXazHsTzg^UZ+I+-$A2^!u#WTQSY(M7tZDaHSVt$KclT{ad??Xbq`Yki59XF+_C*$)S7X3_h^g7PF?p%$B zg5Z0}uK&k!a>f{reD-y+11|WZ^qZ?1wi1Yex1s5bx1~=ku??Q)ZmL*;!ZdRYZ6AhhvlV+%wI?t_%gDX@emjiAadjQQs)bh6gw+sq`u$)Zm4OETu4lB zy5sor?yKBlyhmFGJ*z=Mo*4NYJJhP=QrK4o83jrmHxSp--|Q#X{2uhzth;0vkKvnM z>L_-v(60vl#x%RF=VCAJ#%W~Bzt9xGY7}T1ADWBI?~gZbsnrHOb`{=M;^DMLEnIHo zW|lLVgjF*E2BEA7qZq6E&EXd(;sQji*U9fIiek>&vI{v7S!Vv|7w7H{}H?kK)wWDSihYw$#N)aJB zy*u^grGna|%KXl?ehsad1V4lU=5%zMT9uA-P+LO^y(AJ)_N(u-?BlVtp9KvOtvZ?c z0)~QGC}#aZx>)bmn!_;U709t;!R*Au={P@Yv9O?q~Q-@rRbI(=?+a%6T{VBTR)J4HV zdP73&$iu2dqBQuoD2rMN^(8Saj?H$G$KZ}hJ*ZW}0sr8yZ{4dZCOK#K6At<;< z_C{L_Y;GwnHz!hhh{kn&(?2F@V=PRexaE&+AUL&Pom(S{ZCT-f+UH-%;ZlzN=Sc(^ zpj?xZXm>AGNL8F<|DEL!_F6$7lri)fNZs*Ifny6OTY;Q>sEDWVvDqMe*^KKsw8$6m zNWHAaiFDep)O_MR@{cG0U=k|7KpV>p=h)AU&6Up0*fPD(5dAb871}nw89n1gI^nrg zi4nP!BdbzkURutqB(@tjE?zr>ALK2JF628;F&W1tF|Wmqi!VK!4qAHwx>uk+InXvC zg}qiXZBo&6<>Iuus7HV}>yp-;fxTjoEATfAJ=)yKk8Jo>&P+jEH7WYn>NAn|ojilG zx_x>_(;lA>kGw+kYdyYpAkl(zMH0`<)3g_!lv9GZ#pkCaG%x+64MirY*=T=w=X4Ef zBj$skIL`zc9(SBi-;t*FotnDM>`zcY&s9fOb`!s+8Gd}kdy5Si1{%apozM+KUw9+C ziVHub7^_u}$2|J~(r*ZLt zV&IOsKkNjhNOMGPnVFBO2)-SA_Moiv=h5%am$K*p=T(ZuX>)>hre{;{*MXPg`IJkA zH$C4&bs~&xJ(ZBYN@t;UBg!7TDt@7gA93d7VQV8dfzO~a_8BqW4-$UX{BH{8L(=(R zDfF;4WFoG4x=j7FmX^;}a0&L|R+;ZDOSb|_P9Xk0YRv$Uqt~j3TQx+ZwQ~!pY(L0s zABX|A6UVW$i|3{l!DE(dn~mhL!Q>1^W%K=od}w_-V^bC5lGzq^JX4A_c0%Gaq6WUi~o1@T0t-FXv#EjQwXU|@&le%O6O(2kj;uS((V zzn;zVyeDDXS9SLl-mErN9gcJK_-9*1DllVJ)a(64^P+wCHtiYXutx1ki|yoNs$_es z)7z(&Y3VOnu>13G36yQ28lpY%;Qvd}5Ba!|jx4>~79)K7-dGF86*1eG!pDh=9ah!g z&T{sa9u4ogXXb~%Qzb;+^0hh}{z!S6$Nu1Xjb2s?AZG{z9)|1tlwqvrjzptmYH=Eiz@G^C}#>-NMOv|ah4ap&K_7Yf>U#~WPx zkK5QEO_?pZGI$Wu*!fg&aYwVP3+5omH2C(#s@T%_LtvJ@RE>Z zb(2}eyw++>4y_GlPt(Ml$Sh+24Yt-^eBNrMB9r=m2rzY$QYR(f%V?10K;X6fTvN&($s4ETPD}Ulb+6~Gh zjU-qq*Z<%Y1SjMmhA+9AY{a;?N^hSh%`MGQU`5p!=Mh?Y$qq#;4;Xe_-^f4PgLREl zg2j-3JFzMGqXXUDMq_>ONq^wG10AQakcpOQj!C&@uL=M6ls`0A@OxxkbmYW;N4~M# zhrevHSasSx!ZOsG&|h^rQLyi2=N>&NvleC-IRFB}GJq1$EB%+%H^Zg!7fOb0X#*VZq4N1% z+(qB7DWfMevKcAkn%~}-nEWaBk#Grhdi(p^-HttrJ9RogfS>+55=NchHq`v1TDY&( zHc`I%k3#+a(n+CC>m~V>5f8sQ#{2#(Nupy1kn+)Bb62rASbjB8#lys4jAy?mYD3Yp zI*rGxx6Bsa?jBv{Pv|eBAYaG7)n`EV`b@1RI_y^4s{>O@FZ~JWG>PoeMZS9nUidmc zFz)VVgu<~CnZ)l}j+q7*cWfDF-8CJr$||NX`mm1}rrQ}!kKI3)b+`vT0#XmkHv;aj zEbr>u0NzZESHvkSMsDfSsn2T#~#d$aNRdr7kNGHM3yGxl#!P9xNFHJ&qpiH z`#PKssC+XPQ%k(9GTMIynr&NIFkW4APC=1x3PX%X4whU$PsoNZgS1Y|Q+UlIsWd!= z?=LO`ELV#zCCPv513;b zdg(&jnZmc|W2m`#kh_9<2stVSi{($HN8sbEIDi`Nj3Gj~iFy5apY4v89s8 zJ?Cr^FMgYxFcS8zE4IDaIcs6@+P0O*i_%$ba4(aA*g=4UBo^pp$|30kLl`>rc82+emk2_ zdf2r97@Iih$fcI#oR>ED1s;qGb>jXiWMUgW0-)Iuo-Sp)CUg*FAa$s5d@FkZ*EC@+R-B4l?c zq_gP->*j&-#;wJbxCDrokkf}+1?GIox?b{qDFwOhVJr&K^KO-E@#So=1O|7~HZSFv zr5n;p#$WsY!>oNrC&*ahbof^&J~e00KaTn(Q~jMx-sCl_8ki@nZEL}kBqEMo?!=O8jhVYqK!{8Eo=E+nwoTRBt}?8JnJ@ z7ww>!)pVK;nTUe!*+sSI{T@RqNHK%C9-Aj~3yn7eAqcSa7+KpkD-QR$I4@c(a3g#4 zwa`=@yd<{L?N}35FuQqBka1uwKT#CDkQGq z=LbHcKO2|p84H&H*r)pvoq61p{ujt*xO;9+l3xEX3F$SA&RBbjP?T{ z|HFFwF5zd!O9RROj+~?vEN)*1gsHW>dB;nJyX*Bi>(75!W1YRfyE2k5a0ub?nvyK8 z>YwR^DKJZu0TNF1dn0~Id z3W3wwY(Rs&lc{PYNh4RbU?=|~-}n1W=1tHDA?IJ*TZ$sO!b3xDN+2RF6G=R(xhZ(y z$wVDFW<0mhWTQDhuap*HZM{}N$Rt44T%$5epnFgVJ|k!;E|R^3n)Gs`KA~n)$7cP5 zcmr>`Ige{4d*-@^w;~YXG$~26_Nsi6F>V#Pxwud14Ve z$#HleJsszZ8&_5>j3&<2ISTm@{OwB?g>r7x?Ar1gp@2!V?u#{siDBX@IMS%GU$cf| z{N1sQrU{3U&xqFG#mo`y*SQC$>Emx&*zpb_dL%D7lC{jXZ(mM;JjD>L(~)4d_=|rb z31)R5L7BLNiM>5IX)Y*)gnH>){dYvnkhS4AqBSkk*dV!+L8V?wK0f@~${gXaaU*Bn zR%HrJGY_%b-8M1lf@?bz;D#4$Lq~9pNp(^CKIoqwWmli(zxu5q98 zh1?m9+b3g$2^*iZY-VdvI;jV;#&#p!IBou5u3-Fc9~IxzMBkm@KG2r;u(c4aKRQ!2 z{(vC#`k3^oqOkLlzK8&HrqU;sE6J!*#ZKG&Z4aw4;&O%e-`U_yfBhgH%B8}pqF#>c zrcI2_&f`5o*D!97?;L>0z>Oa5zLK`4z@pdI7DH}iTXQYTuSF$XA(hd;&-|&hBQLE( z5TFz8mQS9s)0O@`wD>yn@hxWqF!<-Lo#KL+1O5vHq$=GutbsN}yY6@hwe15voeOnF zq2=e+TUAAd2LBY?6PT@9_G+1;W-|W1IsAZTT&||;IA~8_D?d63Qv_|@3AYc6iuCCY zNZIHC)r=EIgLXWymWp`^I)B-^7fkEB!qrYA!`rCRn85PjOPu3nV z!Lm=)^y(5pZTE&h#Nj?DGPV$|8{u{#1G9c-XKv6O%Y$;vpK2MQ>MX+_1v1u^J2KF^ z(vfB~y-jck-rUl8$LxJZ2cOVOMRF^thIo@OGug*r@AnC~9d}kK-}e^TGD4GgUGAFm z)Z*NS#uSE?QjcN7#|QQQ_uN|P1Or2l--0`q+2$3($do-3jYh|dl3wy2LPwO+Wqh{x z=nJsoil6oeWfxqW(1Id}$2pm~ZbQ310Gi)fY$TTR)MR@ZjXka=rT_QDC(v#5&T;7U zZwI|C*wVeU+dSt-3&^XC8(K*xzvV=@&!Lm+98NU0ZW#b$cP#!wuhW8vXYLJf==7mD z?OJE;)6%k0N?_5u0ugo7KkMb>OGdDa&8(&G?aBaTGhZ0G|hl;9>*X4wD>1Pu$ z73-P>>ZNAQv4ZPRE6RtRK&G?BhMSZwF4+Oh{GJnQ$XhU1GWjCUW|Yy0@d#Xe()_%D_1+$L1+PU-@bf_JbA2(!V64bC(Pqm)!(%xFrJ$)&t>r@S;cPS4J3 z*n>qyOTC|*U(qfbGwzOS#*}36u1y<7!q0dRQ$F4#Gk_}O zDC2V=BWoX9j67jcwki@>tLh1AiSlUPHn&ie$4wVL&3jwFqj|Der_jyocmcuHBV_nt z+}YV3m1q6FdfbwCSxE2p;pYw4-pPdmgRyE6hZ$BA#hSVR%?9m$#Sc z9`3#L(u*nAay4)XX>-kH&2Y9u z$Hyt#Tsr=jr1p}Z>OCjV5}x~CE{zMbsUm@kk?*>SP_7{p48xnf?aC?zy!Npju>8}( zI_OoXbzrk)Ok_S7BW`{>Ro$Ty$SCJMb-cP&vQtsHkr`M#kYhtx5#>575_&V6K7XPTSdTI{JWRhiE!X=hdltQGVq>`U@r`n4 ze1;10#?epWGx&G*N9UI4!o?TuuC>14kM8oCPPE7b(bb-3@hxZ0W0~*ujrycu+af+~ zD<$NG+cPH9%PWYVXP(aTgOPo6tTgKTW2UlcJ>e-bvbxq?9 zq%>uVj{4*&Tp}9E+o@doBhw0~iuJJ<@g_V@&RNSdG1hn&!q6<4{AL`dEYP&eOgw$+ z(cG(Hm%|e;#YVsr{!R7Gk-+QyZ)^lI@gWa;ww?O-wc7hNjf(a(eo*VnJK#O$ul6DT z9U0jA?+7W}bN2C2KdabqOZ)Q!-i=;>K*Q*Z9m9-ZuNOCpg^vmCdN>~O8eR9Uf;=gwcd9~vP2;=)se?s*HE zoAkd07npxF(2Cs`4<4l!YZFp;6?o-D*DDBj_r?y7V#(hC$dGN;bG$pO&7n}&2WLYw zEWsuNzBMOiopahkFR8fWRP*A%f9g2#8UOrm@%TJh+CK=}`+V>aatN_Wu{28!PeulY zW!W2L#&2a4Lacw75`UAD5dx18`-9YuUTQ9M$M{wX!?d+O1is34POOj1ZSQt_sVsHf zqTA{pU!IQ8)QTsCBuefb&5dL&Cuh>(At_^oL%D)1HRb5$^Tm{Iat&6s&U!$AlwL?O z#K&lc&%aqy(h#QOhh^2lFsYo$5e)j|#L((y63zVbT(dy0@>Ixq$3uu#P%qdG2wo~$ z;EmpKX?P|56mg%*FII2FV}-5?v=TrL5tRX=8ylBPAwTvN`tO!9;p~sINqh1JRwj_D zt*=6@^Ymp^+J(xEyaM#U6D{JpOtkcQG2ad8ib>y-S5?t5r{_<{8sdYgKNdG~GApmO zj`7a&h8X*R>Tu()#8+b<>UjJ1{}Y^fw;m(9{u9l^?cA+2b*%)RQ|PgCZ@7W+q5rm< zetD{ZnPrd1GMY`SWw*!OPgZ>hA{yS}IcA>tD0{U4SOH9`QpE>{rCnwLdg9m1M(fa} zjX2G?p{GHFkN@zv?2?M=NM*CnHEd=L7I&7D!jb$zhR##Qcq>rCujs{ePwptJg^LmEFdiVdL54S>_d3|)SMJ{Fo~Se5wOI*vDlk` zZzQbKWam%5HO_NNS(H^kA}&i!+tG4t3;ryhd2X*6I~xJ{8myic;Irp&7dg08t>@73 z;oJ!0?p4!&E|ZYYc}~Hwu0+1RmMu*@>&-uVXICVb&Jq3RZPcIV!z6!JSqvFF3LVMu zyg}Y0b_qsmErU8G^rwh{B9$9 z6}fcDFdw9$Aq0=048#z!QRE3RAzN|*@I76KaVUI>YTQC05C~7uQD4P3P&y)re5Mdk z1X5?}7$K5J#K^Kt+o-uM|hG}JUoK1du)dRaTe#D-FVjlNmtQ&lDSI{EAcg6|-FxG9Sh42`SSUuS-i6v1 z_B!7#vjDMrGpkTt@vW{zuv?{UiF*odOR>7kV`Ub99}c?!TS$@W zxamC=Ye>+OpM$FX^R$>hv#sDw*RRLInn^aOq3bhU=5O>iReL_4wxzZE1Ak0H%*u;Z zCb>H}zr-%sKL7rfKIXq8frS~LS+38MPo-#f1r|<@PQQduOJ7s^1rW8Qs-V5Y;qHG~ zInR&AcIo{=G>z}u&JDk?sMd%6EIh@FXW8cUFbIs|@h5(!J9lQ|bO_d5cXRTWj4@zB z#>yi|viosDLf91H9qU`(^{}HMkJJ$pcjhW-umsrzWn2ZSc9-^`EUhLd`|-2k-%kJL zPL_aEKDvs2WGU5@{CLJc+g{J@!2h=0wnhC)DGUhz>(v;OZGZFNYmTedmLV}d#Fw-O z!gk!vHIBE81vi*LQxGwMOsO)ML<(h3v72~sFWBP_Og;rUh4*^9&#vQ6ImS{uoJ6}C zlE~lT*R|r$1gyV1oc;(=;O^LrP)0w212TV%WNy7tnOG8%yf-q(q={rtTqQ17qrP>K0-Q6n8FN7m%djMx2__F&5Au3 z*7sOCPA?x-{JVt$nVIXoiaWz-&!Kfj{yzR_Hu4*e%aWKxwQ6WuoA> zr8;9kzfw;u2pe~Z0rYR)us+6RnEgK839`A4ryQP2bds-_JKm}!8 z_?<6laFlXqbDC2{nqXMALy$L;#)$@R;~=M&nN5@-?_e;=9sS>thp44$@QxlvZ~4ZS zE_;U`15r8A_UR52sTi;k57Hd{;-@bK^>(VD*)wxQI2u*r4Zhq^s|ULK@Ow2413#`7 z%E_0caYh!GUHgT2kqS=EpZ{^!kuE+acg6UeMvBvD4c}Ih76T{F7D`Cn}YhRSBIroxor?YphvUt2ojvUL4HrM4(<+)bt2zS7u9vz4kH*VW^6(6!aI?JQCsJ*BX zv{|2S)@#pg1$-rpFC{>5gv6;dI`u)>rOD0WflI$deixM*r_HL}Lflm@_#ca;l~rW* zjaG2kH{bTE(kJl1(%ZA%l3afc5=c+U?7ode;g)r^OBZDT7Ozt zvP)SY!Gz~}zI5BXiFud0;eI^F&!7^*ZR*)<(34|ipBXLU5+=9KJ9NOTcl3FrzS*;$ zFMH)0052>cJ9Wjak^4! zbf0*Y%5+C7wk2x5d~or1O6}TKy;PD>WBJelu<_r+f^}rbgI1m3d5-v%#mKW&a#3@! zh0P`CqG#M-g&(Tby4<+Wxs3ax+I@8%^o_pU^ns?!q#H~+`;$rX_yK51l$_1=Avdmz z39vxrsB-1{;`V=s)U~9V1vPgLjP#@|*R^*2O*=?$)>G^=R9m(G0NH_-m8$+hH}VTI ztkydtxdoZ2w*Up9qQ90}7AKio0e;{=BL=#m&i@WxQ=s<2&&Gj_yv_4s%srm@I^VB6 z;ct(4r{vu8Dmqn*l%Q`VV_7k4Td`J;TCGVrHMpMSrlE-+Wohhc)T@E2Ok={B^`d)v z+`dX1E$w`pTCP)e%$dsnTptVgRlryEWYK25a$KCzN^UBgm6BFwAkTIK zt}>(3U7*p$+E+=r`H%AC?z%<=Tq>t;+{aOAR%6N`ytW(C2h{cBNQGw1TO446XCE(p zL-Q;rr?f7e50XDw%q4NL*Rke$d+WsRFKlez@Z^>D!IB=3J|1`bRCbs43&*Ajml5Vo zi$ZbB0Gb1x?YHENDRlO*0ep#vjJ972)TVz@r7g6xT@9PToy~WKhF`FB3;SYF%{vzwMN07xOF_5z#wIz^^$m{Ga@H-Ww zGi$i3+3+C8qKK*&7ibM(jN@{b)69U3`)@`*7rf7?DKqXR?s(Xc zw&RYHyl|myXSPpPIQ%suwA=lS4EjtvdROYN>s^7VFMsZw3txgrEd8QJO-_?mPf5C* zCgkE_{2HiS)0%O%3PlGnxPzq{WN>oGGt@WQ7U-+lt9ZG4RU~(UE_xTz=)IV0oWtK9 zdJPk**Zy-Z1-SLdn2^y{@zwh?gWt98ST?k@7wwqO;wQJ!$alHQ1;E^i!i{S$ZKfl> z9`klDawqhvH8c;;joW5FU+fCuLl32T|8QDu>&P^Mzp+}>H_Mu#hK{6ti0u0E*A$0Q zktQ%0Zh~Z!@^yc*D>@UT)a16!CfnfQik%^J4`Zg`@mtcFEbggx8}s6DdjZU=L0Hy6 zR}qf+VPU4v_wp{2nzH^r+1%ZuZ|vnTxU2M}qEDpo&5{EL@RJ&=Wx;!BTX2kE{R;9A zw6mUe(!hLFy<1D4i2ftyo+~mu>9>_+t#kKOydmL7i7-Zs9|HNd;+au(lRmeHZ)qG) zRVWDk1kwJ)x-rOZLK6M}vR{hs3~wgr6PTFc3G{{4otU6o1sTPNYt)kD44r^_`Hc?l z6OCc&fmB1xkSSAS`Okbrj)ga&_9ru>OUpLj5WB={w6{4cxczpd%YOXd-`IH5O3RV6 zMKuBwygFtP4+jIA$oei-P{9;4GHOCr__j`1ohCM#-qdZ_5Yn)c)SaWcu}r$l!K7QC zZORos>=P@~t>J$&{ypjJ;}rkJjyYxJO0zD*r!<&cdw;^?l>#C?ZHRawDWWHee|E zh0!UYq{K!y3P^K+F>-Vx=te8yC=uyy7$^)-*Z_4Nrw*J52%g`5|H6B{*L%J9^W696 zo=g3B8=R8$3&Hq=|H?z(`Xru^UZSmE-~-RA_p_?+NoA`d|1ivqsexm4`!#e<=F)-E zlSepI_?QW+iSyE@3It(^xW)~&0b=o+!w&UHfMF*Nw8&DuX`&~7^|C6wAhF|4j~9?i z$1z1RC!)3B#fj(yvF1~52Mh!7cuqj7NLUf~o>OlSP0QH;!h>0#JWlN-ShaXe!tJeZ z6ypZ1Kck-*F9RUx!FsV)+f7*_GL!QyCz>u1w@pN}9CpkxlJ>kNo{ukZfhw zoO-z4^?c~)>EefS4mxi-Utmv|yDc{kNZ-~8c}k2v)|M;01bUnfu_i{xdf=&UqL_P7 zyFt(ee||18tedHEMwq#SJhzrB2(tb`+1`Ib5qr81rV<%tscC z?!id$JR4?kL@yTWo1b7*$kZB zb9zFWPgL8x-;hj)SR}?GFXXmTIp~#6l%ym<6>^|Ui#Xgsl!%YD>}tqEcZ+p`_!!pE z(l4l9(i=5~TW02i+?(N7M2bdC)JDJa-zE)fY43kRe#cfdtrYJFrwee5iHa8g^m#w6 zm-T2B<(v;jTDA0?nuU)B`FckgRK@aT=L;XdTyalQIU;XZK4ILHL+HebU?5g?|NWIA zALtlB_E-dT<8l)LS5Bl^Z$Frv1}Q1{zbG;f{4_dd!|@3=aOe35dBe&7MNpZ`W|6#H zn@LI9s)DUVXrunKx0);B0C=Ltv$mks_;e{_p;ug=V7{!O1fN_)zZL?-+bTn`lt7BT zS>3p06=CRKaQMPQb2)STW?z!FV7_{?k=NNIWiTq5J_#3T&LrkI!0e82N)9eY=GoVD zMLcrQ&sbYeg~ndOTL)HMM(^S=zQDj(m4%JbB>H z;mxTvaUwJMuhRsXrSwx}cXR!gz_p4MxcrtGK@jI!f}wF~7XmpO*2f+|C$ZWjSle}v zclA)w**BscZGRTVzvX4SEE>C2-RXgR6i&3ximWB($$;_y1Z6!d5tVVfxwX1{;12X! z;1^~=Z0atHk;M?FRsa+j&A770y>kk)$@(|N?{0mZ;PoKzTMGOh?6!>Q$LTr_rn(I& zx^y#Avro#O+#gWVYXv-5H7$(X-r|CE?vq<*#TStzG5}T zAR?^u<|u3AFz4sCkBEw; zRh-Dtk|(2`0^nz?K_9QRv72K(P!6Gkc`1gd2(LoZR$WGm_2<)5ht%uITcoxId349(@+$mN1u3-9K;p>S1hQ2dT*7vu57!0rQ2tO$jA zqAlmnr*Wav0ZFz{))HYyDt2vL-mrmsZ|#JfIL=t*UiGCyqk?(9atI5QPR(7GsY+J~ zZu*UvwAsA1I0p_TLB=y;6(;0##=M)8IccuJb2W#-%Pjb(Z|nmmc+hrEDR@LC^C7~q z@-;wL-rK?j0EyZ%j$N&4@WG3w<nF?XnArtOPgbXZ z#8EM7_r?^ZV487lV*f%q#w1)ozyvR7`9V^FK9W-m$tRnSYhz;-7-|KR*lv>-^w(~Q z9X%O+!yS%|k)%kI#K81Khx28m2%m|hNny=sQ3hf`JxRrv3gJiP&ww|%#t<2_Ng+)b z*S$%RUT(gIP2_zk9;>jze{nUj$8t)U6S`WmyyG@S53wL`fwrELj>WrsFofgtSb^<$ zZq37xvPvxx4FR7EZx*?f^t)wj$81)51x8DvTty*{J<`v9oP*$0Zs!ZW@rJ0}rS$iy zAAmzDh67PSOi?QRl6AFcex8fkn(x%xmlz!}vf&@$Yz*W#AN|IuP~$|??QPx^r+s9p zd?YUXp%?%3_t?u+ZzKdopoW;^M19BK%&~c%g7X*4R#&YBY z05~e(KGQZ|jm*9j;j>(-yT&O}otx`rJ6q_7oUuL&SFU1weh+A_clP{dSzh)bc*bKQ zm1v}Xtb0^?Ur;qlmorv|g*CK0T*m(-#v!=0a+9*FBY2F+UZfh*B^;i$B<*gx-FLxp zppujV>sm{fs$UTJW1~)`{wm3|vv09JH1H+X<#+~aXJHlPf;*rLt0l2l@4hf;M#T)X zrNPxk#6>L^r1o>+xA`WO8ukpgm+vtr%G5H~7o3P66)5`9!|+0D$*73*04``=7Iyi4 z_Iw$9{6tYF&(MXIc(5belYHy3iXWHFt5a~vl^K@&X=P~i%=(XU!L63MYsmWhWkym) zV3Wtks(ss_vvII6Sh2qn@9wXWb-FX5~^*t#w8!SC1XI zpI~fKy2Ad~H+6k=cm;CD+CnnkxhB$d-xf|WPnPqoAim&f35sW1xRd@ba|QFMDtUy5 z=JR^qs-Ee;za+7ie^|HG=7;&RQ1@8|MkL6b9~y!$P)?isYs_9-ovXjMpD@f@ev#hd zFPSN$);N!>mNcemS|`m`f4*_nPQADr#~sO}*rO6+ndms!UW z|NatNvk>7w2l7}aiLMY|hn~yhPhH5E#>dgqLDP>+;DOcj(Ukmi6oth!(^1ScyIllYA|a2QTqJTjS}S z6R9}^wER>MS)-D%chB!krPG9juampQ}G%z(yQV`VKI+0ZxJY0vMj>|Myq=C!r`6;-pWb8cgQE8T`F_MA6dY z`o~49@#PVj0yJ}sj-g@+1_p%+7Z`jhT&@qh8uc#(W34FCkqef*D2%T ztbXt0~EX~KJdytwr5Ho@qW$i%nWZ43?>Q78p?$NGD+9bEh-c34IwwbG2L3I5 zA{m%sP^@a#8^3!^`)!n#w_%*vB)-WWc5km+oeABkR2R+~uFSudeI~&_XRg>JNeCwU zaU_8cWA=TZZeK>r9LT?js&Upn{`dQ47Rsyb*{e06{zm=kAe}BdJ(;?j88gdTbHm!& z!qN;$D!NQFFg}vn#1@uA$bebc!XSVaMw3YuldoT!#Xxv`;oV!o=ehlS)bAyKOX+t? zc(lw0BXQR zXC%99&O*=Y6%(#lv{w$A-@3UV$by@DX^m(J3!pM z9f3*<2V8e|vB;@e=O{JghXN{p4QGYn48y;_R>T==a$pw?M(XWhc{nR(4_I zUAdn-kksYNvNl2zVe3@RC15Y@fKWrZ*AOSP5zX+8zOKZMNIc>=xp!yV^P1+Cr&R~x z3!!r4OM3W%WFIu{l|rBW`fQcbq~iRidOr)gM&#DP2x^u>=X6tG_Y27Hp{TFE=#P`1 znTBU=>ImmGeDYh#E|$0vf7^#+6d&zBQ*4#0gc@lA(9tR{A$hr74?Q&EyW^ex+xa3S zw(Jsn6HsJE;kN-hNLU(vLz7lfXDH~UPR3i|G& zeP7%YXRK*F@^sgl1Mx>VMeo(H?IuT}R}IyT7SPR}_ly!hyp%$rjA>#&>ulnj3@zKl zebPmureib>Ul#_|e*kzUvI(b9rMRO4UfBVAoY5FF}5dWVVS!6Wvf?#X%N&h#Pz6G4RWO8m!_n(37Bc7ybse*y}LLuLgHVL|%@5mRC`e=boQ(}O} zk}$Jxlpmz0f(_*519wqGNu<4Et7s2|SjT}RdfvR=4p z38FmB)7JdZ@t|3gJg9siRkgUIOLB$De!@f|09`@uKc57c%gfK4=YOQ`=EbR`-<}jZ zuJ3I?=1GDpQ+=E};bN-N7B^4H{WDB?9+O>Ghp{t)!fDRnO%;hTwJGhv;H#Q5Ca93$ zxjlt31>FaD4vyI)E0I)&A(nr>R>JNv3^4h51fUy!ngGHBkZ*oe^YRYdA4ASlk@0JJ zl?%PsARSOGFu6jk zxhQ;JifzUa+ec7w;ZP10{8kAyRJ0@0GnmHtK4Y76U+jiA*PY%sct_WwCo`sFocg z{W@M)C%|R(BD-~7#scHJFuO`{JLB1erh#VxAZR8jjH@?N-eD~0PT14)OX_uV>D0!E$ ztT-0lE@mi$*S;RyHz2UNJpu5G1N0`({ZK}AnX^UR;w)~f!ix#OP2&!?=eLavqf>J( zS-(`^^#t|!w}AO6ChvR!D^$I_WI=|q+BQ=^ZeHh{(^wGXaj+>$d3O2j`DC=jTNtSt z3`Sjyap@H4RGYIt;1&CJ2ccJIl((}}y`_%`JQc-ytx(XP1tD7v(OBhK)tQPmj;k~L zQmPavO{pm3^_`4%$F{QxWcl<7^cXP?s7EMsK7Ftq68Gu+k}l%A0+*Z2O?IwxN&*1*{8aC93@dYDcvxxb|6b#MkjG@ z!YIwfM}@siG`RvnvW-vH6@1qOzW`xAI3FW8ZE?Re2f0@SH#rysdG_PL)@1-SU1mEy zlB8Aa_smPsBix9N5iKyE7Vi9eI{(blwA-vwP{-9V(#zj6FlKt1`B^cm=$gW$9`S;? zI9riTQbScFuls>ioa#X=e>yH#NL}W_0d=g)4X$@jf?AEWt#^l*jYEKzSq2Yx*$OY1 zRUeI})3qLsVjwAHpfnefFLw6WqRAA3m3*J1PXECMiP-0-SsmL~mJlY}=F-Iz*}1e+ z(rnpGd|rr*uOi(AdL9|b>KbkoPJ8V@`m3Paj&Z&orhiMCX{M2ls)LAucl$F%78OD) zd41qnK_1tf>iGhseUxQ5!Lvr%MVK?xsWGDcY7Zf2n;^2z0vM;sUj^Q1QFP^ zV>suPq5^(}(f=XVNs95Lf6*jKn(hx=uCp9%e1_?4O19e8_(!=4nOq+b^PGl|p&8MO zVtninZ&mxteqQ5a&-ynJ>et@M`j8t;Tmn)8Ug6)$brYAlrvh(8bz&GOeKIEM_fR@L z#b3*DKY|geswICI$=iXvpd_DvtbJg)>$80%^EmE?gZl+OUvBs)6-7y|9i{VzWVH60 z7EAy47e4odY3do0MVv~p+;yc`m^d2oap^NO1mGpdm=8=mIG6O~3t^Z;%-vL8$LO9A z;RJYXkXDc$Sbg}TYkGT%#{r3TJq6U$+uyH>oz(t739n zYP-G`zV{*j&L#VXQpcQOUN$+tY!jQ{t(<=(QCbzL^9KNH}W)ZM_9%KU)(rjoNCe(gDX}M%fI6=fKRuH<^lS3%yxeCby!Q zw;CM7sd=g~OJ`CZTdK&Pj5n*QSRcKF2LBdR?$Vql{Odg14v{LN(#F2l-e<1)@2__p zs(n&zBjkrb=9rM65LwLU0z@o$B5uFWxli_m;TvII(L6N#P2C_L{&kV9AW%!J$rBNM znPvR~URc^CTd|!H_tI$gPu4~4H<}OdgwM2|XCT7gV#A_3Hs1iVJgZ05zp@dQwIQ*0 zxN%HX_U-GtD0!*m^8g_l+tDTSRdm$7fsPGeSY9Zu$e9O|Mi`S^1lJBrU&>{wTnMWf zr+$$rSckbOn?9cm#Tv798&YC+WIQu^H0$(0f=GoSel32g2f8r%PGubE%5K%|P2H|Q zh)R@VjyFcdx~pV*;klx0rQ->I@o;udsV`cMFb+GAi4(|OF#?{b_$+99`lQWsxV}ckhzSlJ! zRW1C&vzR7M^-J0+2_9H<&V02xoZUHEXFG?I7H5=`Un!25fx(;hVhFSq)#bg>_a%nU zHTG#*4JY#DeLBVI3w&ZRU5XB$Yk*801<&(GGP^k>o4oO0%T0g`HsTZs9b!$Rh39p# z`QWe9GilND_O>juTe~j|b1{u((u^Vnk`Ce)oZVZ2bm+ZxrsUBZZNt7RFJF@V9$06r zsVq0`;hr1Ci%dd}%zn`+tZ%C@80p&mBiVx4{*CEm1`UQ_PD=3TODeqBH@kA}9q&}4 zFL)YRe#piY_T6Aapmin2G?`tjPzR@I%sQ(|BgT(nfi${h$Hj8lF#{NH?9lu zdjre!wb5;X)6&ueRaZ+6l(8te(~vW(9+>qW4+Q{jmmsk1@!Zajj-fhPKdp1xpm@0+ z_1C_be@LLK*7sOzlo-tqL11g<7StVw-`AN4zn_pDqT9miJfQ0GcTte9Yj*#}N?_Q9 zuMYWoXQ#!hP?Cy*T+F>|o>~mEl>}b^Fk;erA>!D<>2=gYd_VGrZFbBRaB$CBNl_Vc1^#6^+WUE_LV2uzT`$Jeka^OuMQgvoc9$? zW81<8*=DCwoGc~~T#?-K&m)CUp{$zJNbjejAH@SP!_ zMwb; zf9Ro`W)}ZnlQb{nYkHkks=^`4MzZi-?pF?kbm7P25QNP))}Cb6_4_PN=OHWJu|P@( zljRCPqLe%~ajqp|PulRtN$NHj5Kk&cx(Dm8M)V8Ci$9~r=oVMQ@YimQe~5f%E&$)4 zg^aoLUAxHZ=F46!zkbDqkiBuIwCzw1IewxhRAT9MVae@*WZ3c;-F$ft;HBKZ*7KH* z1tVBDDeIYZkDH6d@}~w}LNwf?48kSixI=qF4;RzydsBaB3qLwWy(cu@F2eEu&DE(# zF2d-5SO7E1)S=q*PwxK6OzgqdR$}FQAeJ!Hwa8|glTGftVu|5xS3indQPUO!{ z?%wf^5^Gv-fc{z+nVYqfFA%r0VRaEycUHL1YR|%~X#^=*BC)!KOZ0W=pqU--JMvDMHVnPTuoosfUesnW1vi$x<#R!(F)ei0& z&h1##6u{j-&;>H@UX`vW_}?;s9IUGUC8bf8KE6#QQT)E*%=pZmh;g=8RinmDyfI#D zA@zCD%8F|z;g8wt7($cWv-g|-sX~FD&b18b3Jw-1LR@#u>1~_P{So|~n75)xEfuKG zB~P_WSOwXyG9EEL9jNX2lbOGUcg6^M>%g{AwukO+vKvu%TpPD%Va1`#-`Z=rFQiLf zZwux!?)AP!+A`@8a&={`Tj)oS2p>wOltUgQ@M>uO_m_eF0<`r0qv`9G&u$s>^pxMR zR^q$k(C$trkDd%w=E{)!9t}*m8GUWb>DZnO^-s!=v{d+2T)3to)-_j<*+rt6uegeC zW+hdJK!Y6=jsB$ldS{|-n%$+8o5v1T=5zj~5v%!g*7>z5-T*uNo(ol%Y4IJeAUA;c ze7wl^$|UeP!zQs|W5PSH&}ulBHGK9rpY}RB!zfTh(94>-p`gBCtyJS<@@4?xiFDV) zuIa>Bp;>IGI#0FDHY7M}+H-Ty%tf(RvXaEH$~^s1ob3$L;h)@nbSn^Vu*J_O+j-R< zO19$ZnvvcfPy~_IKQNs+Y?@9s(SoE9XRUh5KGtYodPQ62sM%nctm|W(RJD`Q5DvId zTUfrw5z!+0aC}X~XvMi+P#^I+7iYT^0^7k(H*Vss1P{fDmk5 z5&kJ4mXflyO4v)savH|L+gLoVec7G4x0Mco8*(*VGE_p_wL#m4pmxFHRg+)|lfBWm z9qlLg@8>0sF+CS)C~8tcH2gYLds!}_daj0Ha#j$nmS%mdp0z`U2ejaanDOw^1%Rst z%NT~4@?_p!hG@bHa}B|7G7+YR(5$3yjD*9a zupZctcy&xA)XXfN8<8k!3>j0H!aw;~!V|{Lz>;nmWla{BEPDEd8B%^bbl(KsvydPg8{g$Wf zd!Nrb?1LZExY%B}^v9b?lD~@uS4L6!HPV+n&AX;;9XTJLjdZ=S@qYV*@$`#9=WNo9 zG5*7PYJ`eGH_M6aloP&=3Zwdg*xKs72q7B-cV zKAy`TUrcArdvop{_-L|76m@&CZ)W4>ao%X#ok&u}?8-`EX2qgef?#4S_rI!U<1w|@ zZgiA-XE#|+wA>r6idrq+z9UgTQNI8hidfDrQZYwpgqDPSsDEP|j9z=4{@s%}V(3P3CKEu4v%wM*CaYz{#x|()+kUIr zxsPIpu~zpU@8NmYSHH5h6Z67ha*cP%fxz_q%u;c;qB9Whn)LmXTaM2U#noR}cRu|P z58K_#2Ue|7!WV-KWD{VqpNt?r?!y2?*kKruYm^YTbvXcT_n7&>=a<)kd~cf`!>_XC zFn)Vo(OA(DDqGT&0aiC2d?prV_wFl2w~c)9#O5?{&xGSlS7gQ_CM1Nzj>IB!3gHSU z85jDV+B$1TM#!bkL!|=QoG_hsn3AT}m+bxI(JY&OOI+(MOI-Kr&taJ&1ZPKWX*eJ; zfUx>wVk5tQ&(yTR>Pw!7ZnlpVCQ(o=ocJodCk)7)wpEqNC$6^~b6MM26p_J3=az!S(A`$0w%t)Mw^#_d*#%gnL1=6# z<(Ba!|CG1$?8+@wVu7D%vpjGrV!2x>#XZ~aipn6=FTHy`?oUN zft4n8c+;8itDk#uh-+jE>SK`vt;e?{JaWmde7~r7T>tlFvT%3T=zac#nP-(>^BY4Y z=|3h6rt0d}eJz*5>a%e(T^aOeY$*1Kut|M{tF^}WX)q--ypRn2oSfmms9Y=g!cUa= zC}H-^=vLn6K~_6+eJOiu5_?aqV9yQ$*LD(j%{je#$NE`~T6CARz;YvHnvYmkEjOYf z`>;&;jm5C>M}d2FSBxKY*@YM;8wR(mz(;ZPTzwyOqo?q2sc5zDc)D-(wYpj|A2MJa zqOGb4-K@NsZh>7y1k)r|3#U7!d;(3)ewYv*NfsuJsJ;+$K@Bs5}nB54rA&& zaF5kWTU@uzx^aaOT1+yYfFnCtuZ=GB?LJ8tWA&TO^x6NzctMz)_cWCZNDj%+rX03`7Y*I5u3IV1kX*1mQ=r6)dN%+?;Mam$#$_4Ik=s-4L zRFCV4Nic>xLBZOvU+S5fvzV0Ur0 z2oxPIz^Iu<-4QL^WlZ-&pNd>gFM=jq0U5P);1+p!?__&V4;Ky`Cw z(=o7NdNW7zt%N~+O7xk23)L}f`B;xFYefxUoqMI46WdM0`UzO%Ny?bFiJO&cYRT=a zHYVfZ*>d?fv&8BitAnmB){o;KJc~7ol_onV`D3y+6*4+<(3BU7cge0?O}8QzL{Ao?XtYkFp?DQ1A5ZvliS zU8W}?qWJ6o*FIj;aE)PnKZmc&x1CwJ+^E|N^@tJKROiyUcPVf#_@TrvKSM6R@`<|& zaTWCg6U@216fYpn>#6!I&d&$9ixM#YkmcuL!1In6r^qaO$?9fu!PKn9CF_*C`u+74 zD;+iCDbt9U5JauW^d)liN1dQd!la{Fq%G`Y$dXu4an?Rz)fqU7?UxK_`$#qRgM4$z!sE0?{%#Ek z&Nb<1xA5`rEuu>M_M@ z=U8f8fH3^|Fe&IycCv9k%H-}x?g_)EZveqo@3oLyD_w(Cfg5=Ol@BXOTa)t3V)h#hByWY?i5=BmIeeM8GQl6R$0nw9x5lCdXK3QrjCh*e*ir7Z}z z=yQcm;m!k7lcuN+4pfc9a5B=?wn^*lnW`!)SBsoY=s~yDpt8Iwgjnwj0)fcki~;X! z!qCifr-{1w-e+LtI5A!6%Ck*c`Ft%)x*z32CH4mp;bte3m zwYX=xI82@OMQ2>))UJ6Xh^v7yf){}Dio@7~H>sBqrgT5|8~ECPMs$= zO+&?ahPVUbkq1^`#5Rf>2ur^~qUZ9sNQfnTT;S)-?#(6U43s7BzQP6D-g>u>gG0QO z#KuMTn14Mi*$9omX&Sn@au0ATXWuEs_X0=Q?rlpC4<;LL*{lqTaqw$#IL#KmvXzup zKc6k)QfnokqRIAAzhQkVif`y;NfYEr8_*M4^f(=SX9x;}EMoL>S9>QZP4rTnP90B) z*iQ@}>*n{Es{l#|Apt4G9&enGu}y@o^}!$05$z&~gn4P_N;k18D|%eS{Ln`DMmdO3 z<>B0U^}oOFIBxbT(Zw5{t$V0iSHNn8M4c>vnVw2ia<29^ydK?e{y?$bdIywwZH;-P zAh9K~bS|F3S#RcG)3U|p(_*8@WO(9X+9Terc_&!BMWY6JyS$1_t)TZ)i7@K0M)L#> z{~Z#{$V}}x!#jeko)d${jao81APVMwk+i0}u0ZqowVW!eA53`DV$WkH_1jlIJLl4- z)wO+@tl$1K9WRAhk(N@hcIHpj#CF)7XWh<`LaNSAOEy<)VH!!y_wIa^^J|%T>TII{ zr zWLtS+;h}*OlC9gN{6;s%TeI?JyWYm;l!yT3aOwe5wq2y&YbGM`3TP`-2#yGB492*b zPWAuym$9;Igx@*;-@!jwZwX3ofLae*!e_tuDW8a^>NLXw*_gL%jeeaAng`;tpHu2t zl3h`q+j$GDlD&tBQ-`jcHo{kHh;#j7xD2)=3Qn^N3ui#nDe zmeO3IL(($bz!B*@m*nl{z7-~8-x0&_Gvv0Gh5*+U773p&6_P~`6zYh_9zJ8OPmdEw zES5nCdQ3wO9Tc5cYhH|~#QGF9o{27PMg>Gcnmmm|4CEun>=ECfBc9&k7+ZGAJ=`9`l*hLq>+5qK8jjry^b~X7fmgrc+^Tv>fKor+Z^C@ zz#Q6%m5h^14Ns!;LHsx7lzP=de?3T++u`y~^&+sHFWrI^6b(<%hIeGY*mpMvVi$M+?p@3@= z(E&ku3{4NzoXz8T)oaBRp2;mE&tvsaMPdn6{B~*1j>VIMEX8CAaWnftrK(IPVt%ce z&xJ5QqYIaKdL4rZ#02zkbv+0w|1wx7CtPX<=4O>e2iXi*3r7vFuD2Q~ePtKh^CJzJ zlWWB$mJuFIw$YeZYOD%}3-#i%Nrrb?_j~rVK5yP_ex3aOnQTYN-I#zvoy*TjD_1Sp+ zn|gmtn3d13fhS#}p|>rrw7B_AknY2HqP=fmZQbR@#b1^%_x4G-e|5f{_LXQgT_p^$7BrDWJQ<>9x&OQd#s`E#(ZXZJ>?0#+fOYpLGlN#y>AB|PL;adI)V`X z&=bn}Bg_|F08@a7c{{jtyw0;_?_({LV97 zkKXcdPr9Cok*uCy*nkMhwu;FKR=M(c!^q*5zbBFKB?Xl@op-rylOb&iIVqN}( zc_+&vrbyFDt^CNs5`TAzj0iPj><5L_#aa&mv;bi4u|wC-Zd@Hir;?I&VyID9keF;; z$xETQlE{X&PcR2|k(4$_$hz#1=$*7_Yky;Bv)~zobL|cF{`GyLhiTC*3rZP}mPY-2 zGCQH)(MXsQ=(yve&U$xSULD-kfSjsj2_rvs`sbyxVGU$qSN8OR6M2*hR-Vl4zV0H{ zO?7>W!=C9<^LDlIBr)Ph_mvGa0^5gLDx~=3?B)$IKUl^TixNgBO&*P0soIE|=N?PD z5GYYyW&^ZlZ{)h^uw|q92tS^PJD>!C4R2xYCK;Gp#e}A3lLZSDOI_n6bb^|#p{mBD zVGV+>05O|M@!%X5W$Nr0F)Lp-A}sLLaQ9w|a-SXRa-C;`V_viFJIJy9VKd~8#*|bzv z+u*h+QYj|gU!y_-19sVZo(qb}`rT)C!q-_-qVtMS*ZrUu&;TDOSh;Ws1eBpz_ddE2 z678G4Ho?_rK?yNBWYZtk8f0&GYWZ-@Rk_iz>TsUjp>@sp99@MJt|F%Stv%UV+wfO?S_^quxgSm{N z?nAKpybEAaTs4D*g&5Aj34BCS0>j(vtN3=H$I?F4+%@JrE7vD@MLPwYzv*NJ;P zuQ(^4m^@F4D7rN|Nd+HSTCt%IQgPc*!SIZMfKv~VB&KNo>xPN?S4Ai4rH%J^;B_|l z9C`LVNu(;x=S5uhId%z87`K_?eLT=ASILuC{&)Em7zV$#_B8@vSjmdtRp?KjpV=GrIlsqy^$0v@R`V|PXW_}T6 z;upYhi}H~A(M+5uWATJ(A+t&|tep}FcWw&dd?Ll-EZiscYTihRZwJ=fJ*E)xaHS7V z4(!Z2){e<9{y@(iqDzAdexc5$rweq-Jc>h(R9}mr_rZ#oukqwNGB)L#v#$Y)Sto;R z-`#lX`AtLaKhStCqE;VtQ`)A+uzc}7=%2189A`OTAY|d0)^9_*f9T`6@0^*Nd@BRF zkcptY5%+6&8e%r}fw-%eS&eVn`##+}Z%*!4X&vj_?Yin@Jb(_%0k642UfgPBDN@$v zZYXUpW^cJ&5;2AgGLo>&NgxT6nR9z!$3SrNH!2(U#zSg=?~nbnMA&Z7{B@Pd~LI zMSSJ>?vBub`xj);c}f=H>CA+p>^w9_lagXGE#RH8ZNmTRARPplOX4WAMbg*6ZiBkQ z)neprmAY^=&n7>L4mP4KjbRJI33x34iz@&m>&zT|UnKLMR`6A!Jhq^GwwES*MK2bQ z^{;wW!0?-_kHv5g)$-WCWAr5sBqq2l55usL{*r_2?B&%6Qs?z$X?f5bF88k8GH!V6 zN2vskX%immmy0`*3;8i#^Enm$yx7UC$!~ygMnO(bx}SNLgTH>7-8t3(bxPx!>_RT` z@-#15gX*u+X=y}XHqwoN{dNPH2{MZv9ub(JTrOg=u7@2>Eq2! zG1qmT_?R%sI##sbH#SV_b@&=n>`d_ta`El{wVE5rsP`2@I=Nc9ky~ClYlO{2MNCYa zh$vG4MOQE@Y_U3tZ(%~R0%P4dA*GYdxXdPyX8gcv^;F`+*mo6);BZ_`72}ItfG^*m zq$UqJtbiT@WI0pUu*KzS-4qr^<+HD(c8Z%rzA3Ze{v$YQL^&UN6 zSJP(Z1~wslY`1=lyN>CWdg+N9p%<7KxG%jbo$b71du|1Po4eYEA`R%uSez)k=ok2M zSu;EVTqRNa0{m&lb(|;j8Ve#OFEklJ=^O;Ft-I7m9wsZPg9X~6s4Qg1<0!#{r|2fnkve>S+w0YffB87u- zIXjgz7$euMOk5Wqxsr4$uFr^d=7)8~fr&7<&bR4FTSDHOFMj~yw2!sD<%MZAHP#SH zTcEU)RWE1dX#m37Zj<(ffQ@pF%?gZK{+T|M_vLDdZ~sl)RLe)yda^7PmDwvY#{w~! zm8^e%h;20$AFFWles<5Ogq+dEQ8hTo@lYWlBuUV1_3-yDgJKZ*A#~TnH1%7=NfKn` zic&P=sfW>73B@Rz_3jXRm4%^Mnqg&?(VU2n#=VTeo*F-->PA%lDn41TmC#Ex9OH1AtD9p@ot2cqg~__Ycdvb` zge;%j{)!L(!_a;>IVbCKk;n0| z;?AmmNo@wKi|+~wE|^RHEwG4@uLw{MHJimAT&0^>Zxo*Ag`@6-l#yLCN=`p+x@Z}9 z0VG_+Oy)J|&u1dz%vHpkT+7+l+L?(s!Gbx{!-7-ya$@rJ^bXwuc=rm&T;dZpQ6pHl z0!r++=JfPR(+xJY`itxE**Ftfd&gI=;{>3ZL~rbY!4nfWK*%8=!>D=usI5Zc<9im_ zGR~AeYTY#KI9}w&xw0@x1cM1x17DDRDVn2|qrb+fQkC?Q`dc>ssuH=xE&vrRVyPgk z*=(0QE0gBq^k_|5RYmx?PF1TgF(z=?J6zEWof}l&di1?}^rym|@?BJlk3NDFDR&L$ zEzIG{J=YbPLAzft zMI@GJ3)(s(<&epinji z@Xpqr_)s<>cP9-&%Pa+e3ES=(;6Y|=%Wb(A)vsW*Ta_)8P${cCLo!_2NFC5Nfh6I( zM~#59?*I)^QjQJQ@uXg>Dl$CL0H?zgf{uuRWX%*G+E90mX4<+xrvvIU%vz?W7p6wH z4Qp8xa}-R5#F#8f)8-{kWJbk3tTe3aST>HZAAXCk_UTJR5i&9ZH8z>8-u#)*(?xT^ za?);S5|gE;m$k`;cih!}P7>BXd%rARgs_tbt4%(K1AzlB@V4?P>F-Jfh$|{oL7<>j zkCJUWlfqpRX5$RLbeMVTWO_z?ZT7KzhEAot)VB0QQmN@1{f`j{$3h$L!5i@9D$`gW z{%b&oZn-1V33{jN^lxGiR0~`M5ve7d;Gz0LIyuEh#pt6FGpnI8&O$$SYB1-QOv*6B z(h&qKGCf4?@(Hm;*gY|nEMUz!^r_Mo_3w)=0{uc!5~DNWFVec{K^o^}nLH0Y}qSJTi@dKeK6umc-(! zj3L14=%T)gcUv_bGj3!kc`(5*cx)#9t=|Rc_%ah+Sj5+>Q>gL=sKjUgbz1AL$AlA{ z_ss%~uvh;bikhy`@1nO<-bU7C)y-@eJ>Fv(+nr10UCw6VtBZk2W*?R_b)%|U0xx3 zUV>Q-*Za~|HS4lfagRgdz=W(P;Dytz7kTY>FJT`vg8!t!!Q2q3S6cU{v0E zfcZ!Q=LXtU+p^jo+Pnsx0eAkO>?jshuyip9@%wqaPx^|7z~xa8{UNd|81f^}V6bMkO+7{-Q17ns5XwlH1fMMUeAez(%WlV*5*rh99LUX7ME zEhJ6uGIEm+WhQ0E$OxQ8xtZO*OLWt11ZD8-%H>>MXRoGeRJ-a1j`Oef1QY~$botdrMW-z-@W2P3|sdIRuVa`O^;dwJy7WUwOmhiP;%wQf; zvfOoqY$HDw^M%vzR=tNTUc`v%Q&8W10T8(>!3c|W<`LHB{5==p6^-ce$D};|&XAYf z-||3|efiYdJs=dU&!`p~SWC2>%^t95u8%wuhR%P&`3MW{56B>l23%BcB%4ZWK18DQ zF8FDhCH&Qd5Axy}o`2XssZe!FJWWMmP*7i@h`qfhCH$_>xdt(Y+Kc%_qO99yltccH zp>vOCdjI42IVHJY<~||J{g%62kBzwvx#XVP+)XYacR$Q!80LNnXC_oiLz3KYb4d(k zqui^>s?pMtoZtT2-`~f+kMHO6dcR-KCs(~wBqJ0xPHKir>iSM5?`?bU(PjUk%OMW2 zbPw2+Hz-_Y$D4$J)Q^6yACXr%h7qYz70QHbh;=Zx?}r-9zSX zuKHnH_+H%`?NFACgcTlPY6&;5thH?@9 zwoXPddAg=5=W2_+YOzeOtM!z*Kj87BMd);_diS(0#C}p~p(y*{1!<+gwTyaJ{!$Wd zihOuUGp(>!T#30AI=(k*<{=Pj_Bd5W3K)2+{&CJ5%8Qkb% zJwMqUN+fPu3stF==t)g#_r~pgpXd$^Oj8%=mwFFT!A#D;odchymPkh(U0 zhv)Uoibj{^7N?cPSPcQ91-T+u%;!PLf!4m?EqJvC6kKFVlK{rl|NcrEN>pFW_md4! z&xidYim~<_j2)M~yyCS2Sp*gTRIocUQXN7)ku+Ec)$M9C5(1nIn#b8)s%W|xAzuEA z=Dy(vMw29eOh*$?Rbb-SnXVY}mCwn3I7mtOHD}pZ53wDrrRVD=x`wj|X&-t+s;WV9 zK4DByYqUqiu|c zDfxF&M>SX8AZBGQ3g|IoQadSA$^h&~{w>GcHXQiFUE#Gi?6ueZ5sY>e4T@pvJk;#n z^mbN3Bn{sQyW8A=SGwlhanJTK9m7_V`IP7@vcIoGWRk>;xMAKBb>eL0gW92K*ht3< zRkD}TThKHf>Ge?3mzy(Pe6DMpY!6lKcG!GOV(pK%co|(E@Tjd%zpgQ}l|oaGY5(a( zcu7T@sjFt*(d*ZrMbD0M1jxiyt&(FmHR6ANWdlsvRzyd#;c2G)H%TW>sxeQK%ORj5 zPtxZc+STxXhgA;Rb-rhDLdFGCp z*FA#;UMCGEf~0lheZ9Se`q*p;fukP+ayr-)Dl~RWx^4 zO)0uE={89JcTpMQgz zwDd>;4sFWZ6uy=se0_Z^MjraVtF6FFbEQb%qA$Z!ag+Kpgxcx1JMyn-A+M$1ItB`9 z{<$Lxep4!a_%TYfiE$ON3wPlFrSQ?iTbnVRd0ak~knq3}>!s)*C71r7{yEPkJ3aTP z!i#P-``HX33xB{Tk8m@=prRSlXl^lkZ}D+>ott9a21-^2X=CvSXkR~ERwVp{MeTW0 zzD#aq(dgB9oaER{l@bH_FkLj|>lAt1wJYfPhkrdDxlnEzt6-{sXi%xcg|+E*yl*;9 zIM(LWZS?$F8l${J(#yTY6?@4{P-1) zurbS_p>n_mUI!Ar4a*af(Pi%<3$?tbi=KYN*n@8;N{8;E z{Re>giY58wd*XEvmLa0R2gt*kt&roY#3aB_>k!A$*9vd!_%+m(3@1moi#g?{q|&Q7 z1Xo_L>7-`*wWt$IB-D>xDJVGnt%agy10{h4?%BIDn5us^EcQ@^YO9K`)8MY=e){gJ zoYkst8rQ@`tKGkck2~@j=PE7^^_`atmX@-?GD$MF>GJa3Q%3IsQ{zGo!HWcPLn2!T|upxOJj_M?pP^;^)r2!Lz+Q|tK=D=kv1o_kDZRuv%%g$0 z+2yn6E9AsQm+wO_Jh_(l#7iI@-)rwB3+spewPX|4odUN3E=@j#ShU?Z@CPZf2XL%l z=j{-85*q@;We+bN@yuI$SQ7XU@hKcjagJL=6_UxORiNJlL z$amX8{BS*gRcXVdmU+9MMksDX;i$?$m(=pz_-m!s`c8H>-a-1um84ngiWaH=0d8J< z``BW^9mu~O+A`~oRSUp)KOUF~A4|}Vi9YyZT&;yEhZBMXy%ZnxYDXlTXg-W_3{L_c zIZ|NIt#SVA#)2DBA5ajk384JKqHM5!vHAO%4>zT{TfbD==!aTRe!QcGr$@tI*Xq?m zs>55S=byS7;~!LNay6z3`)`-E6$drcso`@Sgc1ooj;uyOc$aYQ$)$S~c*Eo2d4B4v zx}JJ3v9|hT$V@b4eKsJQkH*4EfX<1gx>PQ@s8cyf>+=#X>$z?ZGM5>VQPR?qE|P5& zC+!y(Lk@#IJ@v#60)<>R^L1>u@wrPKGxmJ z5%idkttcs-ArTKs1AUxpF2sY>C!RmaySB->p?F*>P%=%bfy)D7cl)bRJsuiCMu-gq zo@W|h*)Msz)@S0%l$)QhcLUfsxKiMQb%iuZSJHP?S>a$7lk~JAA3Y|L-IQ@J%wGS} z-z-*R<_C(_BM`D?OB{(r!2I5+S7c;n(ZpY;sR{O(G-#iGpx&;+A804-4U1t1a_8GO zl}JAgeppA-w8uz)8U;PKB#_&ag5FnN-tA#sY)CnV50TSjSKuHg) z^R@Xik(fgC-oTCEZ;tqpY1$wtMzsd@ix(G<-?{0e?6go?0d8?-Inxg{K4Y5&_KRIAx_OueT55ixw zGcxuO7B?+-tdJDJkX{=f)HI7!sAC^= zX5!5h%!7g((Jf+Jew2 zv{OX$pG1`?E)yk=4Z=|W{dI9jIYeMpHeE|^3JJb3pCaqdr1ta`Y_ES1yq zg}Yd9nC%S-$O_zev5*@D#;}uZj zP>9qv;-1WMN$k=)2=>SV{e-{jVFmsm zxTM&+BMCntr)OX%V@`X^^9S{jGmzn{eCnc2nfs9jP#*75fSW=a|eK4@2R*laWjtj34Ikp7W`Wla>a2sIwTQ$mkylxpq=jyi@x;&bF0~|JhoM# zs+d#5gI}RUx2dFNxReXVNHyGx_VM8@Ijd0UE`FZ(N3d`s_*R*)w`O(F{4Es1n2VAu zed!KMvBcgOM;G;`Z@**yblFIbp^`x`htZX${{?*uOonm;C6QZP&Z6QoBt|4dlNu>2 zhV^te7%qn9!)m_>fm9nLxk#{jvkU2sG2gQUpM648n+%eYmVRH$;D}E@=jIdYD=T|T zRVkS&7wGV_O2*{Y{8sY01Ufc)NGXe7#8*5=wvg`c!uqb;HW-&IsA8V^KRx~j(@jmk znl~%oY!H?Vvt!XHCu%P%qo1*mKb*dEOjTyIJ3-XT?clt9b-vL_>HTa(|!DJ^3)|Q4afgfx(H>duhrcxb1$a ztgn#a%>Fv5T~66;PH)DBf^`}Z&q=NeYg!mCc1dv36}RcD?F3PJB$ap;UVtC=#@9hs zY18A56kiByg|W&~sr>MqaR9qP6B5l3lb;9N|L!eylVc+~lQT-K;dZf#t|j+PqYKJ; zjqq&1Y{A(_(U1VIvohWms2WE_f)fg0r*idO;&JHGuS?x;qPXPc%}!N(9OXlw7;5=B zW#Ys;Uo)r`@~2`YrqbSajyUOfJpo6!t8tL$Th`LVuI)*EBgtJQtg%1U!%M0s4JHB4 zGb|4zR%3mO3Y@q5wZGSgE$`4afHA&OVoE(;D$ny^zgj*f@BR{4h(HsC3T7iz0_7jX znV?o+6X0R?b%73=&I;Y^@`?#+*X35VmSLSA(a;?n9Xupfuif_ViAE3U-i<$(RB|Iw zBZev}(Z!vp_cc;>eDAzEIv30FTCeAvUr=D3k81|*c6SfSboc0gw52r1KcS9X7f+2s zSK{WQyVvGk%J!&!?+cYLMZn*P9}QoD&dB8mvxj+<<=k_Wzud?!IE!ii&GNFb!8y#Z znDbxVR{0NlSM_?0Cw)6|1Qf6mz*Ot4!`z9z%rOaC|AJ^9=MTF6HOi=si*T{~n1vq5 zKXa_+N}|ccvGU!C@P-U_+hVh9xDL)p-eDo;rACEF2~E>KQOdSA^Ij6&!N{U9Zhrbi zt}dIr?QX8y0>7dZn|n!&!d-ew`f;a!_Dty)6$u(ZCMo1&V1U^JRU%4PO`hnL_j_pmv(cyj3Kbu%P_fJP zD50|3ocdD$Idc$o38lB)D+cR}`YlkOfDW>5NDTBPoxSRcKDpWJYX6b9qu7UCO!O=_ zH8AKzU&&)5IBXHI07RdJ^hP^x+dv%!L)u;!8sdXi?k};rhCbsal@>=lSnQpg@rc(&uWi>a{+yZo`OnTyq7(TPo^F3gek}N>? zKWaHcZCLm-PsTEF6~9f)$jVIm#TQTE)w_qtyA?sl=3Iv*)4Ia!CGNCCWQP4w!(s+g zfR2DU?4S*>w@ueF3 zI*1F%L`)ClQ}Wz8UXEEli`ON-O=9#nwKwVKbOSvsX0KVxS+3vWE%<@oema5}b*&Jr>jL)=A!UXLu$n93fpQ4FJ+Q}Ls_3B}DP$#XU zB*dU9+Y5nnHXqHs;4!-<4YjN}`RS+4$#C!b^p|ia&KW$$tMW}Y>MQ?xyRBR#crB1G zyw6Z;`&g7e{$7$hwD=)+a0S`+RK@KsKK9KX)_m_f0Rk@WMns7^3e^!#)VSNdu9Qc? z0Mz_cYQ&V&uN;?~ShDH#!n)6fnCt-CHIp8}Fbx!(hpNlnWDyw8zbZviQ?XM{f zRA@xAMVvsuW~_!Hn^G&-TcM~^9rc2!2;DQq_a-F$I8Va88Z^su%4ksC2qo1_p-K1t z6T>k|{AF|9{cNp&tDS*w{39+a#c~VZC$(6RMgDm^T|#pF%9l2!x}>{5aEY)lC;_m~%3X8fI2$^ptZxRbSeJ$_6qi;oCrWzHL zAL!~kS&0LKA}`)Ms6`}8=FQBqS2!YZ8ybchg4Fv;CGO%9MjufPKxJ_)dA-;Di@Z>q z*`1h@Nz2(0yz-V{uN~ork7kR%vx^8NIeD=n_2(aMHzURlyJ~z;(W8l_ro2hMIzS3Q z?kb@r`&gS|>Wp16j=boh;~=)C(-Ii0A=p6>J}S}PV)I2h=!h*nKU3#HV#P#>8ARL3 ze^;_S#S&CGGhKVg)gK2rKp>lP9`PG!#Ef+{RD(m{u+hh)UU5}ig#OfMDQ+=% zsYx1Ln!@*UuCJYSVL-2_FrAg4h4J6yJ0$JsU-LP_P`(vv(S}MS8l^bYMev{1?_&Cv z4>B)h>sb^tuiBQ@6td%C6(KD{niA;Qx}jCDwJZj>is7Bkn`VhWmE=cwkd`C#6!v2) zqgh>MmLu9c%1;7QuRx81Z1|luE|dnjy10fXDTmM%uD~bsD=3}C9zdGCm^@op@`pol z6C5vA-DvkNq2-R@Vcrn8UXF)Y*JUP@+W%C^sxhY6+{Sy>0DMz{w2Z1gfoXB4tZ^4J zOnfK%HV*tl6@q{pG0V)0;b?utrSKEYu&`S{`3sDNg`CthF7-C~<@~ku;M4ZB&1PuU zWq{_R7zC>OCEjrALdR8XU{$)4?A!Z?A`YE{7i;_z1J zjCUr1|AK#gik>;U)a8kCq$>e4I)7b@pQi_kb%KFgaIE|}tX%x^{^OdH5U|B9fnvTj zUXZT^Sb&uS6X#F8VcVqWgr&Lga6mseke=z5TTF)LW))}WH+~x>y=UFxSNejdCLWQ8 zwmpW$mwskNlRkP`gyT18GEz-a6-zUaA|8H7j9r{=@QrE4wNDin!yc8)<;h~fH4J!B zd3Ehhk(>ZcwNIKaILT2q%^8JiX@y*McHddm<+d>#>V8WqnQqNvy~y57*RZneET{#T zFcPAR98>2oRnnqhl@o0^y#cZZ$8T zo^#h5D(oRm8iJ&9z(${#2gOeQTZ!_&!zcCU0S`)I_A1hA4S^KO9MMShRFZ-@EU;3E zq{-=+lxGI$yG{vjN0s3Etncru1fx@;8277d^#eQ`!cnhw%tEaBKxEy(Ijwt@wQW*M z5&Am04O#N)pUp17HZl135OtR$(Q`4{d2^0RSOl{-@b+>J_bP(Ez-?)32KULZPJuIR zmrmaTXPyn4#A@9o{2G^L)>hXy3ABmI;hN?5NACO7x0mQ!>_&Gfi*Vwa(B2&arf1g~ z&{x}5PS+)DItkOuZlB`q<3_7aLfrwgJ`<(4q-fGE&jdxMzc(S%fF8=X?k6JO0AB>@ znE^F1wagVfoMzk3yD2YG#RslGs_0{1z4&$uWhOLlvkU74mmeI;MDV#1Lsonh%fH&A z+D@E}G5e2Z-OF2kD?wjr$zB8tD2e9(Z&cpjhTFU=ZCHwymZ&)>6s+%AYCCd4jA;LC z{uExCy@dWbo?)w}#%cUU^R=NcEE$rPXdF|BsL8_m-*MH{8DV~$09sY?9{O4NALmb; zL`8Iv!W?tEWC<-T=ENyiyZgw~OGTvxaX&c2Zc1E5d~B)Ex%;R|MMXfSNJ0czkfQhw zZ`fU+99|_Ic3)gz^*S*)<09~5V-ft0?=ObBruS?J*BLgYOV+hMvq?i#oMz-UB>nQA z>GiabBDhL+&7wpJEq~7FzrT(bb?ZW)G6P}d;0hc?o z&k?EYZSaSbcj8Gj6W$0B;+`zSs4HwUCV6!EIlNbVUhqo+-A7u`lS27Sd)+aTv%=3i zMt2Mn!UO`U>qzhBYFp+tMk(EDn#Lz`<@U!5GM{ok6r_sw+nofwkxD@d(W-5L`UUnQ z2U^_f!`M`GkOhS_6H&WLlw`FuogC^E?c^5Yw=cINjv(!a_>i*z4dna0PBUKy`V8-gU^d<^-@oS41rBqFe*?>S* znBnB3w4X@$zIr@hy&@SOofMdyz~4!?I4N0a(V2HY)`eg zShRS|&vciR^v4#Q9naQ$YpiLBd8#!clPF!vUb#BsVu5;451SxwJyBq=4~Q9l8x5`2 z{K3qx7dS~Zka}cc*X&+1yP@J4yXG+eBSeDD2SQf4x8QMv*tWF8hct0CY99OY4kF~; ziN575QTl-!LArhE&B)S^wy6rmlYvyveWt61;0O5VkVAra{TjQ)UX=kIuuF1jmjM}&H*iD`Cb z!f&&_9n*cwvZB-$zHl1o_a_&)F{F8^8xg%d3g%u~&A9Lql8PP4{%vU@Q%Fk@+lFPZ zx@R{^<}CSTH^X2Qy4EvZCyEJI#^2i5ksQ`Kidwv14r}hV35>42tnv3}6IO2RRlQr1 zc?vZDIVDoY-$}XKX&ySaW4C{3CW%qGUuhkJU2+aM4u)4c7Fp!#gJ4{sI?~>_xTMQ4 z=?4sT>%lkY?9m0Oq2^@EtMic;QyTz=tG?Q**z@{*dfhw!*0w9*D-2 zDw%6z)2&)-EPHbwis=LppAm9a1BiQ&Ls)tkopaRxajZadwn!=JtEf&2auJO8&07f{ zMrm$uofx7x#8rGnaW#LcPflcG?3QQaXGh?V@5n6MCIh8huqvtiKVH&;?>r8gp5F%l zdc8zijsyW_mg_Y4YZX_lzZC8MCKtNAA=@_&BIA|rx#PXr&C^5yUcyDES8z*@jYuc) z4u+;^dYUAo2>mo;su-&a!nK)^D7EmLu}12gS__z!o*~Jyw>A?_qT#x@ASnq4?QQ6( zC+YRQKgGEu)a47tvZ@X;MVP~)RQ+TI_>&x6rt&4*;)Ldbod={nMK9n4Mg-&X zjGNgoE(^-twb3C)3V5BRu8`v7#lY}C8kCi>L97b>trQir2eJ9USN0%mFrbz3PXE>D zk1UDiEK{Hx@lGSd?24-&G`CtCd|s3l_DXRuQ!*E+Ac}f3ZYe2nYUF5L;%e+qCYRUg z=`H8ZT2=ar&pHg10)Y&jz})+j(W&t7-0uoXFsOfbSQ|Dc@1|Id>Bk|uQxQ(cT@Mh` zs3?_%Wy$LX^Ydi~Xdjk*IB1CFdpc8KDpexcpL5P#?7r^8dVHKnGuclI&=&MocOcNl zP}pU@#wOH0gq*{7PulR6gcJr#{q9iunww3A$5~nTM^@6(+GAd2nHP|YUdEhbrjR(g zzRzXV)^q8eaM}WvV3h(sGGo~avNqsBHai+xwYDS8BrTt{B04}^WDmym9bS(wIKU=< zV@Cq`u5iezRW4Ve^yYas`O;Roc*;4H3^>)q9`f;1OmjY1dn#sdo)!an&{G)c9SvfG zV$hr@sHsx@&~mdZhFD}hRx21&N&}V1&NO&Px(a$!TQFQs)s&R#1Um;Npe&zzM_6sc zDff+qQdC+qovH-J($H14m9E)g?O88W75&h{iPA1?_p9*VJpGpO)h8gse>W-7I(cKv z&O@>?X#^_Tpr&?nyYH2j%$bPxsRm241(^V97%SxQ5@76W`_6q~HxTy=&fwr3b;9Tv z21;wyLIUd10bJerN?E4sO2l;85UrD&YZo|arC`!y|2iL;c~j^)Cmob9N?vM`tPvD>nIdv5s<-%*#-Gv*tD;K|d;$OAMc1y$8E~;uisQ}%Dd(TfI zz0T_{`&#ycc^SV;cEpV?ZA8lV9}xDVe65Br*DBe$ts?!-(2nAoR+Q*#zow%FUl{5k z;~fNr`*mxodkZJ#UWgC%%WYfvME_ERXO5w3e#vY+Hk0iw$Hg1R>opOcIcsAj5R|8s z5UCb|>k)M}blPHotHBA1u05-HomUSJ_R0>nEgTd|LJ;g^3ipD4@ZSjlE%&z6gQJ%YK1MvkY+yEP?<)c>^o* zrP1dWhM=mzh71Ghih5FH5$3BFV5s}Na$u`?^wm_2JHXf0R|7} zuA)gMT(i=-s|394+gDyD;Kb?sRgAp!{JoGXGGaM;I;iGLii>$=&G7IJxmW~3f%LF} zkTn=aSKHn1?0g}9V!}4_@n(9K*38@CsxDYSAJ;SNC#KOPV5lPe z>PWOY$xgob2=knL1SHiqh<_iKF+A56TY9QMn$Eab3Hr%qY5?1V+7zYc>23Ln{Vx@Q z=%*$0aL(?@bMpp4Y$=f!t--t~OpCx+*AAPG`91~t(PW%w3FZY{zS36Bn(J}GKDtyP zN^Ie?ri08YSfttHvVt+@JTq!d{-}mY)0!tLtpiL2fa?U2LiKl$sD9|yr#ghi9<{uq zAYe+rR{MsJ><5)a=JQKJ55$7H=lwA>%v6_lhkMVY`*h_8C^OTV}nSNbo-}7xc2h ze#_5_?aEHjO8P{+mN2m;E~~&vVq}vW?yKqpWFg1TYn7R8NXzgIiw<)0kib;-;1#1=VOtNpsS(j9nvD{b?zue!a-~ECy5fq*8&m^35c1x97!eE%jvwzkh6@1 zVQvjN2oNd?UIhOTFlaX6j?LM>{hVV8+_vmK$os|#ybOO28j+caln3@zhZm0Dh}d+e zn=VQ3`#omEKN*lL+R%7nxZ8tQvBdsst0u}WeC?)jie9Ks&{@m^?Z?Dkh~W;q4vaf) zCea)O7WnV4MIxCrxj-8!nm4;*Aj9Q|jzJb+wm1)1jKwr^zK^G+CTk_kc?!GLk(t(4 zQpCQS_PVgpH~Anr9eL8`Qu$y51xMFN(_I%7r-csl*Y5~0f{IUM_{&PfWOw%OIr&8u zScX5DvRjw8Iu*S_le5atgjZ?~Z3nw6hu6D7!CLJ6WyRSR#<^H^a;r?ToR0B9C^8yV zx=;I4M$JGy;otv+jEXsnO_N;MCtRl_OI`flv8bPue&^h>Ynjk4p&eVw8S)EhB7<-@0wqNe-_lpNxF*MVRB(HzY4BS06(s3~1ST1fkpDJOU4-B$Dzhrme>KotO zJKloJH)|edt1FLO?h;er+~Hc=9+I!x3;uLbr9#$2hcNnlySDo4Q#lViNh?4iZwvQ~ z0kgl}fnYLw zMRzFDYt#q_pvAGA4SRVZaLflFO$nX|(^2`vVO$!hF5$3xuT}slI*PPVANK%&Eq3

    _oU zcxC+Z8ILJHx6-!)9b`d4L0MnC;%v@cj~#o?Ep~RpOdK>tIDfnUr2nw!dNhXue=%i< ztEB|re7QPN^AOFF0Y8N@nB^Wvk!tm3vC|B`eB7{baf1{mg9>}EwJ`ihvRAvaRKfaN z{-@GB=hhR5xQ%N0%d6qmHMsEDSlaqTu64`&EyQlU2ELUTq zFZ&q(_t$dMat>ZX6kF^a$p%4$+e*8)_XG{^*cWdItvh<^QHK6pSSTa|}i* zwJC3|*Yd#%z2N>e_sv**SqdV`$}lY8Ac#n*?B}DoU{`|A-7_}=1#YY6Z)Xcy#_KMVBaFE|d|1aRJxOxigWv9= z)VK$l{e^uqudxyQT2PST9-IzqW-m!}P-jvmCw#D~_0n77+BTW>yX>Zf-5BDs)&xMb zt3%_88m+ARoXcDNQm6m7Q0P?2lw%IGAOP)^q&sL*;`vLW)cL8G53jK!SGvK*Ec=4Y zF>F5!c@k1FCWdFJCC%=aQZU5*_?@e7blN|Rv2Et}SyfV8!~!S7PEB%5i5Bn14DPvp z#5jR0sU`8>a+F_YO%OD&2Gv?o&AV*6SsDtxa+k)N%YovwVkhqbN7bn!(C#+P|1{Br z(<*py`=!V-(3Sd%<}>!~+_43*`l?Q-?PuJ%{g?U)8;0S9oyA#ln?iYmSgY5IK>k)G z5WV@_%9*bBhIz;}zB_T&FyWqymsrcw5VUUaqU!{ApI$4D9<9YZebDYU{7T#pZ=`Zz z`VYtZf0mW|JO2AC&FL+SIokgkwnlBTxiKvdNQS3HcB@<)*6CQWco3))DMNOLnkXq? z9`)L4HCA?hjrmpgjI_K^=+L*|=Cm-<@)(72IaLzjU|)VDQx(^aNiGCg5?5RPlp@@- zWSyutd$2&X_#CMr!la(;HKz>YFxGU}(Uo0_3^2;?m0t-TjJL^oz>t~eK_^4?amOa- z26S~sB#C}gM(bm|aU|5uz7fqyq*EY(wG&7uV(ze{zscZje+mhsjRBtXZ^;U!TT;S% zr_Z>*Zg48Eo_a3lr?sSr63h|ZSn9F>ZX65a1rr6CI>6u$h6u`c3%Vo*%QLOFcf0=bn@tvq;GC~=e zv?S6dr~SKP>ukdv?s7d-XhuVO(-*Y>czLP!nbaxqPV0vo%G>lXT4 zg1=7oY%-D_!W{}q&JD&Yu%(wGd(xN#Cw}g@YOBfDxtKP)adv;}vYd7cn5{m>4m+MA zeW|BAw4kGgYj$l|25<~3Oa351+Zl>|V)O}z!%=XdK7NFB5?w5A1auQQAV^QK6v93hOPq#obXM8~BQ@^`=KW}!?P&6J z{qL{x#0APf*k6@))y|U7thO}~@=WQ9_tO1`244JQ$JIQqk?|TAeblGh3SdWMdd`Ng zLEx%SP+DYBb^c_7;jW?f<+CR1_0zDLGR+l@=nJ6d&XNL=;Q3GZRDQgeu>{+qgydur zhx4FCuJt!8PVRMo#tSQY(UZwXMcd@U6Fwo8JKzV^n9^yZg9eM$NUSdFRkJg$$Gyjd zN1bVaLg(kdf*)_Unbrw66vQ6*P$wQ2;l*;^vE$$84uq!7lG?ncEANH~uAlKVtVb0o zZEr3d9HksE-NGe#W7T=r9nP!&-O;yD`m9(H3Nh9SYNxts>j0$b2-FZ}N@5aMPO<|u zK%AbD+nTdJU#rr~v3I*hKUVDzzTOLM1au-iqs&GdHXQLg>$-7G_RKdX@X3m6Qo9RS zTonz|;v}m9G?#v4OO3$Ojm$xZ{`q@0Gd{ftjzo0H0%RCg2y8O%xKKngTX+ZmcJ{#xD zHz}XI8*?tQCjLoSN(v~&Rx+uh?lxO-?6^HBQ9xbeKgi^nZdTbO7`$De68nN<*+7g6 z{n8vV&w;_9x=}oJ=?C||`zxtoBVUxgR>0onO(p%s5N=5{ytMWjoS_q33fy&&IYS#C z88orUeL`hL4Aq8*%4w6IfVe#^A5{#`9$PI-%Lp*J`^?$PjLnVWNa;lNO}lL{t|tbA zc@nqGZ<#FkD!k{h1iQ+;7Ip-^bo6*iu^qB|n#~mCCi2EooKCeOi4ltuVmeN>5@`uj z`gYm07c{+a@j=l)EOy%tr^Xh+cCdf0EvxPVJM!K0$A1#6CR&(V;dvkJ;342BM`Dia zS%u(9KU4~C%EhT6QTN|pp)+5UNni3l(Y4d9bb|rFjAl6 zei8|n`bY(l9N=axJo-4vON0%zw>2h|qHCkqHCR=&lz&t$<@j57HQ7iTY9BP8Y;`l^ zj7R1e{Qx`lmD}Vopoi~GlE`OWA+U@4EmR+l(%aDE3FW8^^Utr?sd^*n)I8hZz-Wq@ z`JRLQ1a*JRdAS zW%jqzNc>olf_mPhC1rdU2j6KdgAF2*h)mR5NJlC7T!eA=wLu_o@o2-xpGuHuP9g^y zws-Ap(bfSAR{)=k?%^`WjxJd>3IDo@z+jkE{b9%)t2WeGD2`2n-F5}gc$Bm56HS$ZC|489u6IyMbpFKn0{E6Sl zuKg!vQHvzj)=WQRvqAZp;D7-McF{`-^|0u?hEk z_`#hR+1D>5G>7SGq7{U(%C=);Z&^R$-Tm1Zq%o(ruVu~39LB$vF5*zTXK6a1UxhdP z_d)OaXYLdI50Yi7T_LaVAIvT~NbT!%Ry^vuxOAMEYaAMy&fO?*k)gzmvkHYvNj0gw zFw~L%#FmkwXApAqM{=zp)S0T6|8240t@Kk(Y^o7|NPSCgq#8y*~Z=4B-7iNt6TU#R)f?9#27&b6pm;yKw zPclq}NE3-xbDmNZGHsDJ@0k`|c^&eT5Ew?yLl^ymK>bAZY+pqdCX1IA~^2C6l))wyb%GTLyxFp8_&O!9$o^>i&ZZdT{i_;k0U$4d%ZTqm}} zv4gl@*is_J{b^}avxS#PY!boKH7b+&+n6ehcl5hBwT+TEKcDDfBaIyOdI8{xt4Qzw z+GPy&Nn$_9=D|C#6qd?JKNl&rXsgtpBTcqI4TNc0VqVOxDA@4SMZJEC^_D(mBPP+V zIHJK?Kc!apF{MH0y}%n3Lkb#!zS+^DEZ9b>>4qe@I3$U7$zdF)m!EInF65k=Mmzo5 zGolgnOWnYPKLD>m;1#;R}=mL9bz~9Gs<3LELHO@4>n~aF1n4r&wVHa`J#qCp(o$K;G0dwy`x%SZBTNi9$lVE-B1LaA_;!(r7|kkP-h@N#1X?=_b+RLruXx&TR%3ghR#%4# zQps^n1#vY^NX7}`_xR49NMY?$KLtpmvwV0n4_0M#sXy(J)GA(QHhK&HbT*cWatxb0 zt8N#FEAJQ?gXhDZwcB!#k~F$c%ssXnW{RHbc2P|#QDqPBec;r=p220q`}OUgEUhn6 z9kB!UjJ>{DFVhj(leQO8W)|V>#~5SmlLuEa0`Nr!$#<>oB1<{ZgB(ZLR8a6Epr%!m z)PC(uuQVcpUHn>;qnJx+tMuEXIs#Yf&Nrkn^pu$?p47F7wae}B8V+J@TVEC|w$o37 zYy~IFOPxI))HMBw&aqC(ak#UzyZg^{cqf*+R(@2t1^i&o`%d%H z{?|I<>ci8#e_M1V;N$GJ{S)FXb}wuxlo?Et62BVmWf`PwXG|*G6KS{b^^A zV@EPmXvlb_FPwG+U4tV!V{BK#PMFTy)^0?pT-dsPX8W=eLqYiZ#5;bhgrqwrva~&T zmS<3ZX$41K6I(Vk={yR170$bEazy=>TbY;`MvW|4q{FDef5g{8?LQ~zvV@Z;CvotX z)~k$@J`=?6taxrlYoN>RV(G4V$b=D~BvA)eLB^`O*`r`q=I73|2PJ&Olv}aM4-nr=q>~Y{qiyNO};#BUk#eZ{Hj60^^1?&% zt@pL|+|fkg3?)X}&<%r{FM|fsL7~aWpP}fw>Dmgms#D+$BkQ%#B-g^jb>f$H4Ia8A zVmkAJgW?DR6z5N5o?d6)&I%~E@QV_j z9II=_WbxDqEPafEZX^#CR^s3DtjS@zTkv{D&*!F8-b_Z{{N{nzoA7fJJZiFK2-LE8 z=xq-0ll4sSa%+~NeD>19TEPbW2ktl_&p8tC@~6VL|$zuqn*1Sn`YkMWLsJM zBh_vDq1C?*>oB4{WgI9Iy2%EXdl0CW{q>HV*-6U8a3PaK0=*XHKa+mmkK22t%(y|` z7c5@I{_IO*cmC5DF7{ofBQ5?W#xBp(-7&B2?^dzdUPDp`#Lf87(vfgv>1I(id@g7q z8T)wZ@|GtJ0U^*Lu_cP7yRnaxiH+{|ZMhx$sT4Q8X>_q|k`;nW?xda3{k^BNT%}|x zQspqDr?%`oF5=P9iEOFX;72fJoiClSesLe zxIT8^Yh<`*y>KAuA6T^|QfZX+S8A%=um(m-UcFez2?pKHB(psg&=N$R_;Y4i`;eRA zvYAn;kb^Sja}lAeDhJB3jnSRs^aqDWO2Mj|XLfC3;sUSnMZSazVYkV>nXC$oW&Mih zaq?o}XF)@IqfQv?`}`ocaaHI1GI?eFUKRIKLu)4I{w{W-echa`Eb+ZJBMN$Y`hJ#- z>yP0%&$x3G^qjs$+C7tp`BCevLC=Clef1GdYeUH|q=b20H1QPuMg`Sqt9H zjtLcYpq~mg4YE{|vX_4+AtATtu@B=Qh}8Wj>`tlT~{&AUnfSst(^Yde) zY;ECvHW@pdn|wWMaMq-i`;-dJ=&_fk1InDu)O+$_Keh1)0=!l}rtt#z;lAj02LFve zLo2T*l?D}-#>Ij~yk!ae*tSnYYaHmfsWA3c+WV^qOVMO>3u&X+i&tQqb}oa>5xES(fu1MW`E( zFWH^cN8X3hM5}=+cG;gd&<)}K4(+#U$SN-N$0Sn2S!T9es=6H4HKZ7N zacTOEp#)qcBHd@4+34p_rddrSAnrNL+AsK1tEhjs8h)Y;T_kC?vZKBWZk9-b=Yv(h z-*^DMVK^X(HsVqkVA!VqfVY{gN`49YU)jUtuK|rhIv+r)Z;&Bg0q{}}h47bC$7#l4 zxHr2{oh^<>bE~H@#~xO|lOxpdkqTy?!MM>-G7jBHjJC=Tt+-puC-{K%^~@!T_=P6E zmMnHDr28d%v?H@UQ0BzX8&3E2x3^zCQdi_Y*+slc6!==n@Ug1j9K19IvF_aY*P(GdJVE z|9oltB#VV1Zzvs*)I%|f_FJ>GOFd;BgM~&;7j3R~ysVhimV#cFA$sRXexN%wcg&UP zTmSRLd6X&XWM3jy3$Ue6o60@%92F8O7Ut~n`(81U7mg66DQy$V6Rvkg-_W&hgS|&O z5<;>2PamFW%Aw}XrxteZ8!WfCEAhlw`S|$^qouGx<`4P?GLUXY1S!h87CZJ9H6h8~ ziHm5$ax(Q|>v>gm@@ib@;I%f%N z3~HN%&iYFm{$vl?jPW`kH;W9!$m7%F84x8(VJ;ew*A^qEBM}R<$0MmiYICjQ7Cnzf z8a7!Xi%{RBq>O)l^p;zhrUrMelt!qozijK1sOaU$+%DXh<|@4IoY3y7o-)$bCu8&u z9+Z!Hq9K#7V!RHU{zR=(SZw{ZWxblRn%5E=f?Gb)*7#P6AjuRBzVP$7C9=Bk&;$>8 zX+Olb;F;g~G5fzS#7f=n{@G9cZ4Cgtb9ag&OcmjQPM>3O_4W$Jkh5yXMEW+wMOF zX3PqFms^qz{4)D4*#EZ#m&juEKX!HRV`_NRBWPA$;SEG8m;U>Y*Pu6tI8~9KgUfQC zl4UP@kt45T7^YmG1oXq)lNVyGS3AJfI+;n)Je5bwhGJuO)p@-x$I9H!81rl8B($KQRdpDg7CGu*q)rNzSL={HIV@V9=a`d_$Wyo4e8BH-pmzRwYU&*Fk2HN4M(uJD@2!X&Xv|j*w-RAzwmC*De`xuqkAH zRd+~uG}TIRb+wk{wk$P8h^G7g!g60(CU(26QOBkG#^;gr^oeLkzTjj?A@vvzgUe&4eLSuO>FaOL@p?*^eT2Zfc1*52ObwWgy_nkW9OuaxS8O`yTb z4nH{!o+$_Y2Q2eCKjN?Vw|ErvOGVdXuFz_eEJ6u(D(dqWeN8C?sor0M-llbB6Ddx0S!=upO#>3$M^Y~vAyyX8rU8HrWe*PE z*McOkJFv(Q!^}gY#N{;ytpKYb(=eZRS#L@OO5!-brB?=eeyCFLy5Sa}QuPwS{*&`w zUX^+2B}8Va4%^488yT)Jp)Kz{_Oz*_U1k-GV0~O;EyT0RCWDDV>%j?7DAiw9*?g`b z($iCO?EG+jafj_mhwP_^3Ln@dl4Lsl47lCqKKz2`x0qIeyc@0@b}Md*ux<&v5j;&T61L2hhPe@^1 z?ZOc{DDGtq(d~n|9)2J$yOGs%vqGb9^u4fV>p691gLT3hSA~5%q$JHXX1MZN?mdV; z>L>4r?>-N4I@1Rj8am#I92^tJ3%A~s^bmRNV$U85{X-wMbtMwZ&vrLu3^#M=h~{nb zGROb>AHT{3J+@fBPV);|?1A%dFdZacYIaqx9weo@x$+@Nkm4MY7i1Q}PijDaSX zx-urB$yEgKoZ(jojI_|cO8_lhblV_Wf~6ovFXcl`aHe<1+`J?%$M4~*nJx>E%nlfM z{lSG_=d(&Y7Jbg4R;{HT2b_@O7m1u#dLXf9h=kvcq{jRib+LMn(Ja<=oC_Q-U({8` zhzQEH3SFla#j5QY=OYkif&B$xk4|ebuunIPTuai`fMe4??ja2)?3FcHud?9d`OtL!L$w^qK>^GN)mPMzXqhYlOSm;E2U1kPfg1z zFiyM=R7L`v#!+97BAUoV!c(54wnD1OYU--#HR(5sC#sJP4fTGk$2%s@9T{y(o@cVQ zNQB$>ZL=85#oy0XYzJ2SBB`%RaDrD`_(h8{%9gUftB#lByrb#$>rXjs$KuEQZ}6;L z4lmw7bak0owzS1Y>W#DVn~m{~xvdM5W__-8Y5@eqKEb1Ju8JMX=J=$wJIbF~#;2F6 zJ49W|H4@Zltkf z6$SP3OeXW^ooo_u$4dJ$`&tJOxsjP1T&m7$O6d&|N+eAE1vY zy81zZbSuH#ZUc+BQfc_3$q3HP0}5LC(a?Yox5Mal_S8#;D5LbJ$fAt&Xz{wtFW4;~ zb*dhj<;@quwP&86epKEFx0vgb^tr9u>7TQbFPnOKk8(l7WV%~&c%~z?RVM0R*WG&A zK;XUkHiXkB6GQ)?US_9GOfD+DY=czB$1#6{Q{RrmfCv+1AHQT(ZOu*3-7?VdaA z;f$&hJ-mx8J z9Ll5dn*$-4vxGtH0{^OA*S_djPV$jY=9Ley)BV*Z%0A;RPYk)nTf9Q2Q| zlo2j+%z^VNo9~`EuaPQx!xkM2c{dsGgeT=oPx0J3qi4*&|J1#{KE=<<_E(x?CsIDF zb5<+!n&RLXiqdGb>af`W+b4qjH zp1~C7N9we&Gd{pGwW@xjDUZK0-`pyqnTJo9@v9ta zLw4Bg{luEC-m}sUxQbygqC<7Hg=Se{Pc@g^jsgV-)3_sIH@jc{b@*=S~#QYFfV5@;X=UjQ&Ef zS3naQxufBR5_NA6B)$q$#HCuc|8K2IH>E8oW+nTYiBxtnRq==V{uJkbH;F35f+v@= znt-L#2d+;T{Vn<{IcO=6gI-_y#LJ=z`IUS&WRunE*7bV?nJ_vw4#K*ZF8Lpyl~6YYMfG0{k#1uAvK9l-$k0e zd#J=dLvC=M||xq&UnedSnV%QLCXqFy7Y;Y zK&z-pxSddFCgZ0{w$*$Br>Z}Xl@j!8+sZSitgijWkJf7U~MU>m3@NH+Gk z|3IruQy{I`vZvfLC7WI`t(gB;V_9jSIyQVW*-VQY4(zlH@<)U#E46#2xCTXa+;AgV z`U3*COQIxG#Kb!n1Fzb^zI*Dep#kjAX&AiEXOh&X{{KBM>zI6L<0Dxmc{n?_DtnvY zD=??U-fpXs_2j-9v9l$yh0VtO<@`GU99FWRXOnZk)u8( zymNFqUw3Rcl>NVBd=di!CH-frQ>?56_@OdY9N6B&6HRHJp&Zg$-G}p6MHA+Vw$7pM zMje;eKdQXSdo?juWKeR;z+x})k62ci?l}>h{_j756M!%r4-Ls6?wJ;f&M=D>^s)3Q z4Pmv@ViR0@j}AYAt9@j3Vgu)_EO0G{PYzT3XDL88vfG%8sbS|B3pJQMM4h_nb8Q)J>9dFbKz7e*wwgreWf2#(UbmVE2;bY4i5l zdZ(1z)S1~q`E0hJE=>uUfsJW+!3n84lqD{R1TDP%;cNim7a4Q2Q$L1l%IiU}cFD=E z#mTuQkBuGReNa?{o1>AtfwBXv8p`Y=wBWESBjxhYUYWObn(WoP}0 zGN>h0;>!U3ekM{K{wDfj3QD@c8tEo9E{|i*#!CEwTN-}`P@$L)poq;6zRn|?WoD7= z&zyLsP{A5-NK)142;CUU8QrCZqrNS{+t$4Bi&`B{T<<#;k*@igd@w`#tM)x{sy&%c zJz}|L1r1yDM%#lfgbd9^xE0?=9*JrZ9Y=LFc3EO6zP%cs3Vb+Se6t=80~CkSo$lIj zWobC-{Tb4>1r8`B|1D{m0`M-~Be*rqq{C#D@?lNU+{;>AGxd7bwGKIMrUqf2HPv3* zp{$Cln9(k=s;{hgec|N0rGs(d60SgS%>p!#&dH!2ZPhu_rhA9xgFW?smS$tjrSM_K^AbBdi zr%)?eI2o#~=ALt>p42he?;ew1kX-z*a%w8Im)W&aSw%zdF)QjG>Nh53JTDT=+L-#% zs90L(Xe3SJ`lnX}GYNyOu8J%7l|eyuNL$-JCSbKWS(TXdQA2MH7JiCShy-PG`9vBC zYhi_!aQV&J>=pM|iurj~rj9MQ>MVjtdJYb8`RkAiy`;5Gs)i&oT)jjD9jrW;C>pGM zeg}q+6wE)qS*rX|SSa=9H)QhLF-&c1Pfq_F+S>2OudPSKXb@M*iYfA5a?mB|JHHs3 zmO6yo_RXFg6x`$hZI^T6$QK@dv2hZdX&9?4q+qh58iGvDOv!D{-das$d1QINZk0fe zqE_$TpZ_(NbrO0-Ca=3O@KKiPNA?3DYlMHfFnLobhC7C0g{lD36vJjp1e~6{({1Jit#N_)P_~!jpSQ>}{SD(Q>Y8 z)QfA3Y?u1#K87YHV9)Fpjwviy_};Be-mtXi9-*0AnapixVBl^ux5273|wSK2a4u`?pDz0zc!gh@2vUik|QPircPG~^p<7ArF%8I z#=EPpGaNPd_ueQk$PW%E%v3F>k|t++H3jz!)o9DTJZp!dZI2Mwx8>IKeeUqAIUsYV z8=nLq$UoN=Wh;;oPV!fTn@095gI^vizfH0-VI7!@Giwx`P^n8cFuIpzA^ukIxDkzw>MgHG^p51%} zE%>_owTFjNEKqUt)mETWCRRc+W!2r>bLDxSeE(w2L&aVK<$05SM^7+Fnj( z?K%TyUfxlNjIXx6VpO&+<$Ws?We`AvooQpYPsjLd9@)%gbOuEB4wxC;Z{8-aB$Q4?ETwSVQ-+C z&65F{o;I;KzwUuVc4sn`eJ*=6;f7L^U=74-QwXRQMf#~&r|5>@W)nQtgWpYsU z&h1|Q{3twrLgHzO0n#?Qg~2rNBx1trL|fxH;dwY6F6G2TQk*olFW{p|T4g7Qu+^hg zrWYE)TzGgM0I5p30KC{{<316Dyy2rkEY5hC1-U!1Y*i?2&Br5vEd5*Dwbr;yG0Pk#WnsR4N)a)uyC8+yhf8%#+kFFzLT0523d12T1jUN(Q^XpC@WU6dskHWFSEPJkvL zHZqtrR#bllidS#228e6wpoIOvYI@bY7V7VCYt^-AucWH z`Hk$!i)S60aX>!6nV*S=4mz5YaD|zx$N;lsmbWe=Zi@RDckO~HI^|f$#rPypb0-oe zfwyKW&g(zi$CLq-e3QOhSu&CG)1n@m?w?v{2#T|1a?~a>eM=7PbPmo|BerL!9Nwvz zipHq*7xl94A`e4T6E!Zc5dse7g~O~6Cxdy+o%#_HHF20hfYe3ef%)JI4?oB-x7Tr2o3sa&cC0RzoiS#5}vBAC= zc09`HYo|&GKU7RKIX*%J+1$tFz72Blc_L9$RZ=@nykcHuy|`c4c+X%9!|#PbRpv|W zRUZJ|hCeO~;jmjR?fb$f$#qAbZCEFoIdu&g+z`)Lbt!(UN#2qkYVh$x&BmXA{+9k4 zua)R!q!xebzWK4g8hc4rYoJsT%%Ae(=?kvxph&4E!uFuBFx;GEJ`$_Kl9I>AT;Q(( zOWE1HI%t8k>#p8;Y~zfBnHrqUi_8sRq+3v(kh=vHHgo6FI=DotD|d_oZ42)f9aivy?4w28!&E# zqCkQaTd&5q#Lk0uXymvJ5)$mN?IOgs(mp}MJVc0-u_-az$Xk^7n5y5DTtI_$?beZP zSq?-0<{2$`Y09qh-loXhpL<|nq=U?L)(g0t0OBvu+;G9r>=ZzK3?~GK-gkfM{pr!x zihoHAT_SfKC%}Fx?D#8-Q~O=MZ>$BguBY%DN{<$sOJGK~CO=TYzb;Ncer!1Y_6hW` z_-0B80H%`_-}XTSuk| zCqXzkB>=j$#{22O5v54`_n$UigrL&l%4!?0Zcg^h6kCR>BCu$W0M{>!zf$b;d>GN8 zJHO$)`Y1KUK(8vC=JU<^3(J0*IZi+sf;bk7w0WqSKbP;P=F0XK!PT@akcRI#p0^3) zcr~_K#o?P)5iJvYP4*{~UITF`RtIg5Tzi$8Z*`fP-uiOSl4hrYx>vQu7Nagu|$Lm?0HqNg}89(0h z4Naz(f^Ls=ZW?+G?~nB#4vRHilKgy|Vc=@597*R{PKTWb3%cY)puiX8pvvGcqm|8A zabeOUCj9?Cr+M3Mp`9HK?*|XaopI~^g{8r!sU}jgB`yDnyxcxb$)i}ka+Uiid+SGz zxAxdbBp?o34ismzfWk}pt|`w~(tg}Z?a?Z^WN{}mc* zIfNBNx*D?8Eze|pCUPvasYfx2gcI3Mfa2zP6>X8ayRv4-W_t5+nc7U7U)8r_$PSs_ zkwOE<% zDFJ_RE;1(Sst;y5%*a{Hj#~;TqQWI3Cd=lzWIoGSE#6wRr_%Il7rV(R#NDZa7css~ zteg?5E(qzP(ZxGSR>3b&#o?xK>l8OYRsP?wQV>^3{Pn_LTQ>PU_csZ_(W+{evPI8q zphlaCw|_bbdT*D6ueF1^g3R2HGbLZ`;hM`pZ|G;ZzR|}ejFM$ubu;KpI%ux=7sBTP9Vt3OO}5I1a{%{QF4egr67Cub*1cy0=h42|uSfg)J(QoC2O$O?`o1lCU+ zD?-p`?dg??{ZaKfRYvU{x^4mGEKj_Wyqp06QM=H}3LWV9GOf;N(xo?+`-9iN|NMFi zFB*%xM4jk-n&ci3;L2vj_KEKh5xE-)Op>E9dDwFC1{Tx#H6M|6dEuzgK}~@+%Z6|# zCz;ewOtO%+=!7b!qAhdRawE3c$>>PoYEN3w34-9(hPdC%gMpIlb)RgEHdv}YQj7^i zD&ys=?y)V5{n+jy`sa*0YF@IOz)I^&Jh!hGpV?EpcX%EQE#kk=z3%WelgQ_(-aOAN zC|h~Dl>d}tZkyZFr;A!Krg#E_j}+Wyddgn1r3;KgziYZanIgVJ1p{urECfq`ttjsF zD_(P%-yG&6HEp2z zKmgxMicM=(UTT9(UoqY`9<8(E!U1|AQMh+(Gc{lHjt^CXSl{08+2gJq)qgobCwi@X z-H#>Uq0samzj7J|eP625g}Sywk7TcfHfP8iP^v+fHEoc$_(GGI^uzQ}Z}qYCC6VoIq-e57&aYfH*+#qYgQUq3Bq%(B9i~w$RE( zmfy%u{pC;?4YrIf@)sSI~=oCku1f>L2slo(*vO=xp*E=m&%wKk>%J^m3mLx;CR(pCS4+w_tC>tU% ze-wI==>#`zE`AfujkqdUpNLlOUX}k|wQg}Qv2)hqt3_Cl+4b!v*8JMIl1}<{|Huse z=gS!GdS+&r<7{XeN4b~xLk-2e^3v~5@llXHoA)`%%ZXyQ9S2t*X>vav$XR^$M<;XG zYcWmM*R$qls<#uxmHG@=BPF1ZX{ zApicue6BX3O1JxQ%@FD*KOMvfrw&Q)bU1sua+tj*3~nuRxEoegNxcS81LXwuz0q5( zV~XV9v60}QOduo#Cqbl`aBStn2_RN2i=W`wXq8jR^Y0lFdoB`M0+=X(XIP|E8*pj( zc_4|c+0Ejr>ePDh(x#`>L<X-%1yA9he5F25nO|1yb3wHhAXd>(J;O8ztpX>c*&7 z;H4)#eb|x5CF!Q)5UD!248!FaS7wNbefxcxo+l2UTvt`p z5q(4}J7-t*q`VjN{D^{@F)HW$<3(b4638^~8}=P)dk)eJH={>iFX_F@dT~2KK(NMY z)m~(ni_s`bf2Aj%Ke3N_sOpakERXu;#&^ehm zyqjzJu1ItOS==XqncQ@%(|vJsD^sirPR8=i6L6A@8oW%S`1%J&%mAwZ1FU?V++o z;u;#8j_c?FR?3>`8C-)dOh{iH{hW*U%0`_bd&U* zLaCQJ<95pjc;0~UyDz@^S;UfwkFYvwDx!Xyu<`1>8?ricz$I-ps zn^f@(`$V_YRbjong@$o&@4$FAO>!Kz9X~Fi*d1tgN5V8ESim~V8afumz>yKGr+lc4buc*T*&L5{Nw zkhi`&P8e}X3TEm~Eh5xL7;t#Aq3=^(K24~~qg@aF*wps#Kequ!k$}l0HwLVoT#pXZ zIz1#!SGs!{zLCfnncgl{e!TJ;72jnY3vm*E%9`=ci^TI@A-yp|wv4+9l@By*Gg>X7 ztbDh58U-?U_mmO~L|T{V4YYVkP^rFy?#sL-b&)~UN5hXf>pkIN&zwyI_+14}^wi+D z>7$K8KB#w=u+(zon?f7{6yc$KTrakq{c-a;P*c5>g(L4Qj#Z6#cCXphyQRO4Ieb^1 zWaQ+j&MG^IUeRw>m+_^~3UW7>u8%ZxTTKqUnpB-~9CA>KDkv@U8T#JHKD|p%mm-mu ztQ-(*WI(^jN)cy(vD)7)8_zmM$cheOA%`GTKj(x)$5K2-uZjX)x8SD3e3-_u+82yim$QbdR(^Ko z8C)t~Ss`46tu3p~) zh_*ye9MHn4k2x6+;|(A(ol==&F~M7U>8TZ&*WBA~&lMP^(nOp2nC{fiC7pAmShInI zHWACK>%t6Q5o|-N&U5crHorHsD!SXZ&rQ*O~_iVH92!o6nV>cQ6=nlf5psT%_}$5Ew8 zFT_H>%6#aGIOq7G;;-0K{*YWJ;g85+(BCC9>ph|$uF1=sh+S+R%HYf0=+&-6Ute;> zPmEzK(6%s$s|{DV7dFWk<)^GX+X!w_qut%4IWA4-?WJaM{s;f^c6+;?TE0*Eu^jK> z*oDlL@X6f!(nHa-2BSRo;C-~QLKIMX<+CN{0_dr0x>?#W615yZwj31S<{jju^`KRC zV=9wfcikWykQS0|E^&9Lc za8ykdDG$DVt%^oNi9uI!`OScP0>aOfM01)(!kI;4-EnYHJ!Z@Fve}syx$aFM? zt8eQ&Cu|4zNO$04^9k&))GpZAHgyl>SJvJ!w>b)Qt*j<^k!h6ZOW~Q^_EER#2WylX ziR7;)q@#PxTaz;dFJxLo-xt8KC9W!!13e{Ic_g5!Cv^!ooH>+WdYeh`ZedjHwf+EK znX!CyM|hP93N;eutZ1a#DwSSU6{#hx?QY-vVYX_+p4x?4J9dtketr_K=O##~E5Z1^ z&TwAl5SDkj^>BnQGGILMoF&&WT6439!o^zp1E~kd-6T5ja z8YRM4z4F1c9698}NWV=;Q|@%Mz^s2HQey_=_@kxv$A?B1ig@JvOee&doW}UI$+?xo zC~#BT;6mFSp|G@tSYAtQ<|@fx9j`@>CGn_9NU-jA?DZ^ftNvfkdN+S2fl9r(pVn4= zt>%;=FeY`1)&+~Nd`=oz8Vf}kqJ}$$UlpnW{i~G}UVBSW9QL91m&i)=y!&LB#G&=-SN~^9QvgW@;cBk4-)#sW2^d<2trJlz>p#KlN zThs#M2ZxZuQ@>55>%l9v+^zk>n-7RaM2PHFhX>& z!kOzYiRs^e+D$rJ&xM&|qiJqk_fg>4mY~#!S;2HWYh?_iuXXP<+%bn^5>ly*@a#@ahsNn64$x#8c#JVO&mY< zymq5p_(>np%9ZN06cJEyi@T=G;gIepkV?Uj#%QLvt;L!oGY;l%r9IQo8%|?*rmXT{ zX~$?o;2Qgts6O}=_O?g1vBA@|s*>F`e7 zaTd2y+paYDbu?wJPFlDS-G?Gsgrbj!TE-IFs^zkc9gQZ$-s7Dpd_4{+AOv%plB=$_=<=!gZ80bUuC!>Rrx-){p%%oU0z7Y&xCpz!# zJ-jT<9dqo;=#jl-J|TfRm_5-oO|PwSzDHjpn#y!&8h6D=Y*sVlK;ucD3m=$Ymhrmq(|6bk5RGPF*2XAECxlPeRaXcj?ZoG@nHCQ+bJgzIzBmRzDP(lOp`+} zqnzgG0`AsZOxmcH9hTTOi}kRU-4;_^=oCiHa%)lf@CZlR=s z8i)*=pmN4?9L{H4Oi}qM*bPE62%}kD2h_-`=c7OS*10uMf+xv;(j&Au4bI}(ggN%p zPE}~=35;noIO$9oF=$SbXVzUQjCAl(@2VYT?O33>2A5-TzuUXBKWkMqtLOE zk%k=UiQ<>UXXw{v>NTgfq0T>s{n13+pDQi<#dN3T#_jog*)piC&>Tv|b;?_1ZGo5k z#A*isNg-D0FVrbc{32|Oc(j%FV&$yD;j5>_9y0T)WGgfnCeu^RHn#3c7nsb#EXg_9 z8R0SOVBlbq)yG)X<_qlWjs?J1UhfY^r-I@-iLcugVX{8x@iR@~^*UfVPAmNw91oP@ z9cb1nn(Ly*0w&_!XK@UMJakpLdGXr+P zCZd35-8gW@wd3JC_OXpApo|xhZc(de7oqGpc8JK4w5e%KazpixSu5@Np^WouLB^4WkUpx9-zNX+V!6*m#t&Gd#6aA}@{~%J zNXetj(W5v&zu}v6SRLJ$a5r1ObtqRQzCZ8QIutar%{ut+KR7x8rIqRFs3Do5HYvEt znPxXi;=nBp54q`u5dXKF2P8_H=JyxfO>#3-Hekr1iVbTr@%VBQK8Y#HKCj5aYO{4D zR6my`$WQena@|fl2M^wA>`ES4TtPLo=r(;enFNesl|pck>_MyW*d356MD%MAG@$DS zum0=M0geU)%SUJT50RAyM$t|Au%J!mBh{Q_0|%)*Mc*`9!QUZ7PN7?@;!8RA$yan*!LElJ%#!43qFaJyUL+Ft>cEPiU>(-6e+%RqqIb=Q;(sBXD0 zW%v-GLQVx*?O!sraM?9TzY_?RaXYF1l5*#ZZv2buX?gA^k+9hTOv2O0J)GQZ+tC`D z%7H0X0vZ~EdvlwD{f9_qFAdLI2Mmq9BT#Peds@*`mWKbB)PY!C?G(fFX7A?FDz`QX z73mvROQT{U6)HoZI`iSaeUbVLyekCvHgMmK;h#0hqc9Jsn zgL9(2RjhSw+hTNjB1IT~0G8kD=G~1(W6LJJn{i$K&Zu@j$X19K3w$DQFIZ(-9&h$3S z_pC5r-ivNfq}J<__3^ETDG|QAZk9_4o(}y7WYa)~^vA(`f+35I^mbzuiM5g~pC)HP z%Z!%z-m8r640>Bli=eG;jraQIH;V{daykI0ru#)i>BX_{h_AeM{8){ttl|-veminQ z-9*_xYi*1?SCp(pbo0(I`S$nb4Cy;f$28;kvC64wOQeK}RPGQsR70vZ{(7LO0;M|X zNo*K?rN~s_`;e4cdtH=d3Au8P@Prl*(qX$0YW#1>9JYmE^AcoabunB~NnfoBqo|d0 zqWxW2l)KKBpP=V|l!3!Pv89?ET8{?ZwJ_CvO|G(WY`Gdt^|Tp7n(Pe|GyIQj)M|WV zVVI|KCta=u=YnJDMpy4K^kTL3#3l)y<=KB*y?2^TreBAhbMga^`~_NJHeTgKH@Qqv<-Wvkyn8X*n^`R!xvR=RRXti#oJAH*nskr$qsq8&dr!Z&WfEK(j~ z3pSC@TsRC%#JyUvm=7k;(iUDo6=ERizJIS9bz$QlD6gTB^?wDX2|eC>-*MiGr*XOT z%#OSB>hHp@wCa<`g!XeFVwBO(^3zjnc$KE|OeE4^pj0mMji5TsT!f&sef0k&=V(vw zZ)gsBn=W73x-0l`vd^Qu8;x<<(?RjEVKhMBA>Vs&9Q*?{p5*~?tIax+VpvNXmj9`H zE1dMxOw23_bm3^W+#zN@99(4`vcdc^!o%x{zJ~7JX|?EULGX)$OLmj4VN`UXc2=W% zYt8_z!l2qkLS!(T7$v1@F*D+99qM&t2+z5T1H27ZvX3r>kX3egM{^l?*%&W?2`8be|;8s>(i5I~|g- zrONu^(j&xt-*jm3R3@m9^w;_I^Okj_it?YD8*rh&e`{*QZ_KQdwx+9qWJ^6p<^ z9~`6ooFqr7Mm+jP;-_AgM=Bimke-l}WFvfdxaREs z1qAiL!rg@JLzz*3+S_F-l%n>E zRV(%;TJuMUP1UG9lGw3Y)GD_XGl;#FSXD)=s!@B-P$LwvDk^PLRYh?>dH#i5uY9ia z`kcq{en%(89h4LMGOa6ecCbeoAe%Pgd-@yKo%NGks`J#X(v3OQ{? zC9^D(0R%zX-mltz&+~7cd6bJ~KH4>V;7R(f9BcZ!AGR&-iT%pW+pY}J^z1A&yJj>u zy{)V+Pj@CfUA5lmTa>CzL$^*(Pk;%zRoVyeQLAbRLAi0*;+VKU&?jMr)gy@KKrjEG z&5Yls51wnTJ)V-w?IJLcL|b5 zC#NiB&^R5pXKcZ9`=Mp-FB!@hanxWuX1vPit$P1V3+~W3Jj22@>CY7(w4i^NjmsoV zlVur~$qAS^y+qu;V~Kl>rH(`GlMg3SZ*%Q<`g=od?&V?2P&ad3BvRnBd=p9zc#f>< z+-15`nN7d%&&d{tjQecl9<1KVzpzC^iejHw*J#$6=CGto*#?(BxG*s+_3u_6ZU3Fk zCSiHhnOd??gxnf25W7&PYW(U7-g^aLH!yE3n(-N_xFt5SDKo+raDSxvime4*ciU!7+jgO-6Bn@? z8?yHE;J%ZaTBM%m#35+DHl>QzPP(2Dkgd& z9awVHnWuhZSDx|x zVZu9hV<>PkrrM3KRk;=!GNWd!1350@)w-{4#zzcnB!-1Aib89gew?^4!VNShv$&QuKY zvh&(PAthw>&TohhTuHH$L%TV*ZS^B|bcrV|(j4`EwC)e5GN#8KZc`E)6^|^m2&dj| z{OLh@IzKhDRk?AwY6u~971=2&-EEAbY&1|d~QbC zc$nJ5PI%^^)jQ2MFjcafYo1p*q>M-Q)M&2J%aSHHRF&&ZE^vbQ^{KAPL@%ckwbbe3 zEx|2QcbwX6MxJ5g1$ecT-ePBv#an}Z8?FA};9GM3?TJS)DBETT5N@OE#c~WtXR`RBHi{2@W0^jC`oPQ4vD=U#5AUg)4LI|XDzUcD1 zFT>cUpG0YvWdCZW%2iHE|B7H$zgh z$7rk@fSq#W;+H}`PIJy?q@63aBW&5n+&n#ZW$9sAZIk1p*e9M#lk6VbzEIJC9@V7~fOB04&2h z-k|%IzlQ9Hp0?6!r8~CL)EFzsgSg0gdjwsB?FAVarWy#%{F_@Os!r=-Q7!F9>n9#7 zt-XI$#?7E`ocOr$);j4IbiS^%ktEG}lp52;xd#0;uh8888_Qufe=&J9R z<1G$o)#TUOqp6j&7%;XT2mK$a=5=;X3*Js$@B{CtpdVCAlAk>{S>i>Q^fKsJiPR^U z5H@Wmvf71f?Y3j3(nEH-be2MIDP?_R@_tlN8P+l$yXb%#zI)|S^hK(~dEEX?avw_a zUF$2`yks6#c`?L=`OMG2Bc(PTB{5n0bDOKwF|aH6bM>5^BGH&J4MjT)Ta{h+glh1+ zYYpWL1KJJCC$g^p`$tUn9y-+w!v z60sY+x~)J(ttHQmor_(t<%vi$RJ!OrItAMxVYsgP4O?Yf%td7`X=@+1xX4LpT}kT5 zxA@mU57a5&)v0WoROQ!Yoy|qDhZ~5P3q77own-780+|?3_!U5to)MQZ3m-eqPL<0T zh+Uu=t3Q#dE>xoWxSY03_s8d&*=43+ynnFpi?ueAg~MspdIU(VddHV62=f@k{4bIp zKMaOw32VIQv9Zwg)()z?WLFuk!;;_{B_8*fwa%zZq5SA@9_tIfNC4nrU(O(nIIg8S zE=(rzCH~Yi2ysod9asooJ`E_ik_k6QRbCI@c%dKJ1E~*~9)nNiG0lXrvH5#(UE7ct zvh^g!P6uAZi(A#8VYsczh;C*1P2*@J;sDWEk&_6tS$lIS692zO$$Sb~Q{W}?>YPpE zY#w}T$~wD*`$XjsHt{)1;J3e2M#HCvBV?`rncjK90sHM%Rd^yHA;M~D)W(6FeI;R9 zga6Aq&)AwZv}$zCJ%M$&=5WrbvI|cST|sH^&Fdna5DVFSRsoOs&KIQz(#zjXu5!!M z%eX@a4kkJ^=otxBtE7mSJ1p{6%D=^|VkS#fKZTK9yIDx2DN=6O_AO)6wyOM?L=}C% zp2`EVEeF!8aiUy7LA#T%hyq3zLN;s##edrw(v>_mD~j8L{k|`tnth##TjzlO7^hpI z4(BmALrmQO_{PVhLKQ0bHL4Dg!I}=^ZEVW%*fQ?mMG+3~Q}=B`X-F(>n?_lhFmq4B zpV-PUjUWhFNKbMJ$~PU$pt?O+EZ(WWGSH$B_$RUL=20KbIH?L2S=##oivjT)DS(9@ zk~JCDP~x7fFxEF^v;u4bROFFfnt(X4M+AFlNN?u9V6CrUH)mZN`u8-yq@u?bJ+?j0 zPI@_yj>EW@^ASet;iyV!T#k1;67T|OfA-MW$rNQOwjKM_9%iLEm(sTKVAq1+3DyY0 znHGB>&3Ed}KFgiSYk|iZPLy;wXKB8-3k$CZ8&@u@n+SOuwfORQTR4@cp=I1zt+0!X zxj*Td>TLZoZ!hf~GE!VYj=O_Ysl6zOkUh6J*IyC(HwjVM`2~4*`n2I842Y2>t-Glw z8rejzL>?UrVCrMiN!X6iNHVBk2%K#z2lAT6Fttvc6+6=%I$ZKsTZLr*VeH#mxz~g7 zdgWC0X=8=+fO+aP+Ur~-&gWH8n=bbrUK(V5Au<0^>pOSAVN#J*G0e_6>AHXq^4*RC z^3X!5v7@{!s$V|3j8J1wr$fddqmql_b)IZEw+q&R?Eowkw^{T@KzCEiT|nR zlhb+m?TvXr6n*9>g>md~qI9C4{SH@GG0A6eqRr6SEF8|lblVUPjwgM9mEZYljoulP z=eUilcG<-TDuScKU=+z3mG9;5!qjN&QdB6oUi}57*I$*rma9^E)C;S5{77IRx#+}v ztRMTPqh0B!7T|IMthK(>yYw_TyrT8KV32z8p7G?|UL2quI(q^5T(Z-U^&@UC*QOBc zM5X9=>i7FSGV8PI?o^tD1AE!$i3GekSv-3fE8b%}kRf@u3fe=b{xPL=KkB-i`z%L(AGu<$jfen^c+q!)9Z{6&^(-POhf>XMBseC1dYN~8P z8x35dGF24XXI06r#q}!P8V-wy&LkG%_b<(NkP_WWbMr|g-B)En-#Gcw<-gH7Pv_{0 z=ImIokLQcTis0`sjz)mer5i-e5=)PdNZYa=jIHXldR;tQZ5g6aK9ym28NCc<-Pt_@ z$6#gW^Ta{iLS}reKGNdHs-_AoICJ35?E6ZEeHY_P=opd#_%J8OQDomQcv7k?VEuyGem6A56>jhq%4cwjW>vp znw#4ibU-z3TJ};3LBmKI+qDn2T{jklduzks5iOmQ-EO!SX5+HU^Mgu@Ltslf3PvI= zoCF;*Z4S;WAUywVNP34U^o9A$PK@_>-?LMGai0d8eugzaSL(D4>pxd5LCz0;wpEGZ zd}EgzJPDH}e$-tq>1BuuoovRvO&1Gw&{M0ay@WNPWC)AR^Sr2Y>9x5Glq-ouYS{oD z(yh|H7ln19HR60ZJM-^^G|IS1 zSX)kx1N53l38WYCpCATN>-!>KLr+$`$-a`(FI7U8!od+bjY_WO zX2sX-Y8!RBl-Qr{U}FV+XxO2>Cb|738a@N+>H-P0G^O?xT=L|7+c!|parQLWjRBj|NT`%M<_{& z1VLe5-zz#+vp*OQEwQHq>ux3Ie4dpwtWVBvi~TYXG;Lfv*PgD-Xgp&Uu1e3878C3= zJLeVmIWb~WUS>{8>%~e|e`c9%l64Elg{zCQ&oV-^nJN#wH^Hh8ZQ8(&knA z=4(gb$dDc9rSyJ&vSrM+Zy{jdkieW^e)P3knpg__$fD zZJxfbxKof9FKV}NijcJ8_e6j788H`D!^X2hweEm%J55Dqe>D?VGVf0`?VN-B<3QeD z9%*uG{`!E!^$`sB6Qb{i^9nM1(}fRY1wBG79uB1aVOJ8794%s&bH3fEf;~8$rLv%B zP6LyJMX0vA*=IP;lm`^~9H0)vc*!oSN`zl6rCi^KEgSdlcNZ*ysr4&=w2!6^8P~^= zKfVC)mKx6Q7w-7pi{!#te<@>34Z^!_MulouiWN`?x0Xk~&_R;i&a(wx` z{<%omCq=zPjgo_K8Td|KO?HXums5FpB9RnM88T(Fb%LHp&jjh^D|LhMVKI8xMhWjbE3axX$1yM!dQ;Et)Xq&v_Qon*1dDzkhV4qG-H-JTa-*VQ@+*9@|>3 z{u6hC4ixCWou=pAyzo}jfTUlq+>o69IaKK7T0>L9V#l_ikwmu0*yz;z%1WS4#8R%k z$z0Qz3U`pIuMg*X2{Ml(e9N?mQn|Y~K9^3b8D~}DVTQEu%Dg>72M#R95?>4^RAZuK zMpp_l2eley@A$A!9$B_ce2^1`!IuhzKK;d2eZi@p160@jG6vTQ>kJ_H?$+P2d>&#M zEy_Z#6nS!sey8hhBx`;GpurPeLGAW_XxNv6G4NBWWmKo zfProiOh9|lIB=7Nl3muXe<#!F8hoKp$qe4HnY?x{#RFM=6JBb-+`{)i@y;Gq_^BA&8LlLaN{d~a!3@y@!xd{hS zoSRC54X@xWYsL%t#$sV_o(0=y`8x)~vS;JxXu`&<)zt5AIYO zA6=?8C=sr5YvKB)emYcRRy8VE;LXpRiEMqHVWA+5=i;*j6>5C$xQD{3vu)kLh!Z{0 z{s*?u+elaxG;&hLqAZhY_ALQf@&Zw2vHvo&H^Klhm8Z#FJ#NA2cYBs=%v~hdol`jFE%HgoHuz6cJxDH`=(x=+(tMtfY^t!BbWU zzEn@gX%w2YAQou0y;f#Zp}VL;St-L#Lz}7P&B?U}&5VEsBfWMfi}Z}&`aVu6FS)bo zP5a&6RR-~W<{p;l*kBTsTZo%z7w52Z1~Hq`!Hm0Q>j-8;*2%JvFb1Nrog1AakHP)P(yPCztfArp- z`nCwm!kvGxzV^q)^g5ds3sSJR%If`Y{VQFnGQC@`x5(EvQg1M>??{nIIshD#9U#>P+orPM-PEfG8=#Ys zF&XhP+5!exBi=T*j23A~FfJ>4Xd?D-Pi!_bfwQ)?>WB`JGv4`7@JR?ee0=s$kWYT< z03ZjSCQ{m&2b4nxzyB%V(l>hYZno#09r-4JqCN?;^{8SPdxpHeyN?$ZJNF4Sp`nR3 zkQ!pS%NoWwYE2^>7Ou;!IJ!u_nWuDdSoo-hE$GA$ZBdj{QP?q)S6k}K6BAyya7eGmm$ER6xoVoFD6h?jgX& zIbFb&+;tf1-juxG4gYPn>TQDQTm@Q7htC(`uzZE?-c8wZ!SP;BNvb-?#ePs+$h%uy zd;fF-S>_f7Xlxa>M((qBgx{OdC4-y``R4H)XZ+lIZTvar3CwwlP>|cot2)+sGjmBwXH&h(g(_Y1(Mbh_w#s){>UYk_OM3;s%u?gYg?8uD zbnXX{dm1^if`%TC$BJg?;bDXCco|RKrE-cxTAev);q#1zoF(S4;AeN|?xX|9C|hA% z1-O8kG#T%Baxq$U%*c776+kGl7c=-Wh4I6lF>od-wN3m{_{_L4=qJydU;jRO%s^Y9 zDv0`(+GOjc7wFQXbL(z7zuNO}J11*M`E(fJRQZ{H*5^(a9qS?mb%dX{*``9GdN_spMPC3zZc=cB~=zmgSnQ_na!c zRqBq3ZQ3}ukAOo1!)@+nzxyjU8umapaY!9^p3+M<|1GvhVEb0UKv@IRS4Nv}eB0Xqx7Xk21TGfc%rn_W={DUhZ{GDX!6!N8n!u*Q)&Q9~gdfw^^@9 zjYUPNW50y6%U9nbu`)d=UV6p)k)@{IVhslmfFrRr_2_Inj^@S2iBV&nOcK3C`oK;H zt^LAO1>}E<*qBf1u^8eeaj`Ytx?X8HZ08-(Oq?cw!+0|4bdfBQI$_4F0xsSN5>`G; zm*zVs+VSyo*)gVtaMfDmS0D-6~s&@%F;P^_76sER|R=#1W|p=Ca;xH491wy!$E0ZEpr9E zeu91dwvrm<&YNH!Qa$Cv5%u^pce}L3gZxS*;7xfphh@{k8L-S`NTV#9lWeg~NXby! zsTcF(HPf0?Fljss)#5ou$=)TqpURR2-CZLT6koqlo#pyC)*~-0bP^0a5%hiCb^;8Q z9RTY82{p+3-fU{+4l)Ou^ zU$s-#=MG?*v-@>V1?GQ`(}91apD5!ExC?A&Mb=4AcQa+@;Di3)L%sS+k() z^!XzZiaYLK>3#k$*HA1gsg_K8pXKSdtc^ps1VczF2M%wyR(uHF(pB{`(hj^fr%EE3 zACLd0E^MEXVQUar_c2nA`b=)Hkpns#j`~8(=H`2QD>76@C%@;B+e1w*K@%}`E3U@l zbj0&ug_K}T+bWs}PAE4`1OO1j#aV!B=+}X*a$?obRQ@OkBu7(SBfj=l2(*>TgfLf5P*Fy_mIIcE;V-#G*rN?y&dgvSVnJntGwEzP?`9D(kwRwjehSSIM7!oN1I+a^fq3 z=RZ$d8P!8~u2tD5n~kkABOE~P^Yh@7ifUQ$R~!)&3$3qb89T?yIB5OIee$;2)#!RH zY%AeBAANud6V>5={BZft%Tu*2dQCo*R8br0@CmF8Pc^cL2E&I|8+`Hd*i z12xsXt3#!^C@%8LF@s>=)Jwx~EgliGF3RK9&k=MtZ%k4BnSC#eY9&Lkhr1Wkf+O+y zR*EZp(m9DzW97sI(@p9;v;RIUABg_LqtO#hwedOWMNB+(T#i~HbAyzCqKBO74LRxD zT4iKXFJf&7F&eAz*_L!o^(eId{Vzc9Pj5nXldzyu4d&sd3eIB7!%mRH3=VmV(rNLB}wQv2zpIQ`c+ITWFt@7-G-*+Ah z{Trd`C`#*;x8H+RC7D_Le>;S;ky9S%SvF-{IMTad2?z8r;z%u#j2}L5PvEy1Qk@0f zFH9<`m#`#sTUjcsqNOD)cD7CnmshAf>lQZlp8);a=+bb5ej_b77Jj#=wrEn=o$(1xDqZBt;zz^VE+xhMnF8_*=knYlqQsUt*L!i?3y zF}UVYB@J7FBaQop`O0n3od;Z;I*=i+?wEpwlj{bN;B&w!df{fqH;fnHL3PL~&}_$X zHFi65pj!`plTc>QH;PTOij0S$?f>uZn2B3!v@Vf6*9!55|0rFe|LZa}YPZ&^?RDwF zH=C1@%}7qbl5_^P%LYs8OsxAJEJbIKrCsg9`7oW*Zog5Rq`JLxO|RDn1YtN%5ee` z*SV5ufFXlq_{BetUC}u@=H$;;eW`4o6j#g;_r6fl-^|1`rjd?dIvap+ISqB{?By3a zPgcPN9(qx!XpoB#PvcL-D+MP3f}u3nC0|B1$yCd5o}&jBhJsCV=TU)lBNG)!YH`ch z80eyV5>7Ez*Gv@KYH%siZ1f;l1cIhG;5H*Aa=aOfgzYCbc#@yt@5h_ARDXRR4Uxju z=5U@X<;e4Rx_dY4=mapQ(C=bT%CO?fhn?y+0m5fGp#teY9-=!I>^iq>(VWzWHWCz~HZ(>|G0HACMOSkpYve{x?m)>dlYP9Wt<^~NysJmiXnR|}HSe=B&P zj)4<)aER1&PQr-l9DFWEMKOL*(&VLSvH>Ns7Q2Vb*$AD=X4b*zI=w@xZ6%a9n1N3m z*~c4150huqSmd7SOX_4E>Z*u`o~j9eJUGszR-GvlM5)<$lhuXntkw*(ku~*{&b8%l zG`DOMWhb+BZH>V;LYVOG`k#+{LP7okb;*KcPNQT~P=fUH*C%+JMapBdP!q%w?Ia?e z!QS2_fD0Z09^2egddv8fuNcb0$!COZJJa2Kwp#f-;L#uh{Y0MJGZ%uTMF)A}-0jan zr~H0hIY-=E=4;f8q~RXOWH0eO!tB3)h*=5&q>c*WG*8@IPPeuk3xAv?RT7W%uN3=gGq)43Y2w(EM?{ z_eGUEMLxoe1icL42PswMeuHI3=|sRWx`WihN~}92xneCvLdX;-`w-ToIU>F!woS#NH(vVOWhWfANB5i z3lIsF{6vAcY-}-;k;e#I{9a?$D5JZmnIxLok)^s{>W3PCrL3?hE!&dnB1QD~G(l~z zkA%U9jnAG#oYgvj>+<6r&a_wHwFT=#79VI(i7awLeRUWsl08K|YQ^NAmThS?*tQ zy$!_KIv*d&A2$^$J0iPxB>DxdnVbbaKitwQEgPQr`FPEyCkeBF=w18n^=42U&db5< zp!5#noeAbkCBj5s?EBim%AMLQUksg?Ri_-mO$@YCW^ zW!{S!O#g83BMHNP4q6=HK@8)gxL*H}c!r?;lq$>3$F3M#))9RVq(X?7*maNZ+eR}Z z=Pgo&qeFPsaBLA=ZZYS~S(_BWN`xLu7_UmP?`>f|7RH@s!M9Il&om6JR2-Cp{&MJS zCFVSi4-Umjr)VhXXCvH-mFl% z#iSaIPGOHxwD6Xas&frw<(usUCSPr0gCqG(e1w5zK`y_Ae7>kHw^_aXC0=Hm}DInaf@w|2!!qC9GzHHg%Iz#=(GN1}WR4-{VU#k5txT_6HfI zZgk(U1l#6>%rn9GXSiZqFd$e4L74xpZ>nBBIkY~p|Mpe@ zLQ$638R#tjzyJO@W9;?#nd8GLOxk&fJEk}vPed2a_V#{o$_LokJ5Q|j6ASn5Hi~|g>)bU_m!7-%4omZlhFF%;7aaEC zsOTzFV|uihw^Ogukk1O%4Tg5Ez40uWA54D|QD3lN;uCm;VKxkPRtkl(%6jQc*3b5A zFdKsb3h$iP3Mm;Z22Y*Qz7$t4W85|dqOLpw7|`+CDH(KqU6Ad2EW_pT{3wTo3yf~D z2$WOHg7c~BoB&_R;~(yP6KaHjOe7>+V&#rN(tHW?$-y7E+MAu}RyZTHXSc@tSg$OI z-5%_{X?Z6a42}oE>6^5FOr}N1gP+KWd6|8bT>HZJSH*j7rO&qS$NRJ|Tmw|bVZ#H* zfyRP1LFdeK3~A|UJI5)pM6(Breh*hNPJ5W&EbFNrT4ukZ&2=hoSAb0cR|BuS|tMSS)K<_K+>M3Z5O6P8+IX|=PlxBk}!oJP|`jS6z)uVLu+ z?VCyTPiobNqiacqBKI=27Vyg3dTR;$Q2P^&0dtmkJZZcn~wK@a7WdU zn@k<6*%1-7zLW=u^Jtn_iDY4&U}+M#5!p1F&^u>yP9jAyo*jX{ohmnmtQ7@=WN&*@ z$`XHcg8F0C#X~Bf2#R6vh351T+beDkf_eHY9e%eD4K^7dVp}lS zsjej=kR7okIVyKu`4w}|Uak6wIM+F?rxhZLWw7J1DW@j^;3H$~dF%TIS~lr-+~pj{SO z>du-S8V%{Wp^;HaB=tU~joWv5keQ4l1Rmd&3kD{&tzG@R;lxxoudPY-rJTFI9y6SA zzf>?hy&g&4r2h8InSoZxi4WC|3bcaHabE5 z7(z;fw#v&9WB!*n{+|4&Q?I&_!fD<*B3q=U~QKldnoUw=Hr(Aob40}df!Z+UrL{Yl-4B#F{gH{S4CO634h7b22nn0c6hTQ5 zpRW^pC{*(I*7`OZ{p4rnm32upO#ESTBG;z^wLZm~#VY&Ba(aa54|88pH}@>Vq> zBVNz0plP25KV6401Yz4gR3gamg%aqswxof5gY`m%kbe%^jbxUg-EVyRr-h?ot=-sL z_gW`#s_~c1TiS2$jR2(@5u&->YznRFTe`?&-69|c(iQ;BX->e@4Y2q@r<2n2d>@{~ zeWcLcFn25Si3s;a*5xQJroBV(aJ9DAhV#VR#M9>e9wzZt^?1(JYlh?c?_}f*Ww7_3 z^lNuZGBLsy(oSJh3nFV?GU$V0bN2|OdOPOUA(nwWa0OdG)r^bDUUhAtP?yWXQ$xGZ zg~F*Q-&;_36?D3ROQq6AgF`GGA3UxHSF$jdOO}z^WMM0^+tnn;Oo|=CdK6~VnfFVj zAGdm@rsWW^DTK-2`yT`)@fOF)M!zowe(GM)-`t%ho*RosDHoe5SP;XnnEwjMxe0RK z@ctxh_P5gTCPT-xFcy-K(s+(osB+$4)lvWs^I1^zAUXUMH6VJyOLM8p;Zu)#tQT|d zi+#hBa!8u3vYN{K0_QPw%cRM>{)LpTp%aOtd6>dpz@=hs{qtqDctuv+gRM%F;{Rou zeVn90!_E=#f=Dflp;eb>lWewL93v@8^0E7~jFu3RL*2fy`b@f`x;SocoW{wmY)k;v zZI&{%wpyqvG+OL23sTO!r93kBi%J7UFm2h$jTFx=s|JJyKW6Z|;B)ZMJ%BMo5DG7m z)ZT}ukrG+Nzr#|FLP_$cTl=#4scsnT=^DPNibZ_*mWi2#{FN+tp6q2` zArnpY#zC}D`5SJwnO*=Rz_MP+(v1&wg?Z*WuovYC<{a7R&;{mkHi#N5Tr+!s)EGZ~ zEF9Q$srp!)Y*Z$5ZrV?FlXc$Ju~O&-yr3JvM^sPb-Fy0OVBIj|FTl4t<2*vjHJr@1 zC0}`n`)JM8T+d6h~fGsiF_0Bt$tbpQ@>%P=y zA!o^5KXOBZr7BU+FCf#SwaujhS><-5=q~sTFACM>Esl-gTG%-8IE6&WE@ZJ^GTH-} zIG4rgBNW9)y2;8*i304Mz;DbM$v#V0Pj@Mhzf}Vx$`@5>`(uZS?9x&0ruvFOpW1ZK z%zccU^|4Q4N~= zNSt&^@9W~QuiQJJ@zI z@?mDxs~+WaI5DV3)0Ii(FWa;Er7HmMfkFqBB%Zfet?RsbW*83rH%m`ONwC8u`_)k2 z2r@x@ox6ScbVgfhd!SW#v9AqyKYvHROQaFIKb%SV1*{7yv+`d5N-yPZGA8+(%F8Pu zc`aDBjeMM{W#sKe`($hF4SG1e>&}CvW5-OJx9MqxhBX6IDDJj`gy6hc^@y9vW8q|< zIgY=xV~T4fiS^JGx%}D~*l*dj$iVDDEhX1C)*PtWAFO zT5k#3+L^j#bCu4n+c3-4889N;`@!=`*S$`VBj79Bg&JFWa?gODV<1^Lrc^rpSm#Vr zYw*8+zU`J}B7b0ZHU5mbt+Mt7)s%xYJ$01kRhf1^Rl!BPj3%^55M&DQ@mGPOz;UgG zlHjD28~wV$+`qA6nY9vFf!tzZ5YWes$&MxbB#twOt{diIY|YeOdMfzoT;|a{WYm6m zqSwX5T8f@GMQp_URNOP>&JX#_?n~}E&Z1A(uD%k%rU1WAcT)nqGuhrL+O=AW(Red! zB&-AX=ZLz6Vtf<1E_{q*8*CG-k+)}R7gZOi{(wr2Wr)*Rh zZ_PfM(sK79$A!#^kh~8A?(n=f{qT06LF=@=@fC09{5M>RSpgUu!STO_DXV4P9{)&| zvB)rhw8Eu=w~0(QAl7Y%mg_v8PTp}vTlmM8V*IqIq;l&RYu58%+ki`+XbNy{QZr}! z3oUG#e@@Mqo`qRa2CI+US-C4Z;C0R9xi8$Y$BOTHCe5ZLl_Gi?;`=_9G))TCDI2c_ zI!!hOfB0S;r4TC3=#kXKI9eG*jxwPIn-rxlOsuto`%q=U%0)xmbRuzK+}f?&%5mtx z(XMED2{*rW5KV0IT2~xyo7J9U%MF7?CvS4AROKT2ZxFC(Gg@=e(>ql~mBI?GACnZ= znT;i|?}S9dUG09UbG9ZOi{&G@yCx6sHA9)+Ae>(D?KF!l<2z*~Xd@`FF^+6WZkDRG zGtdeRknf|O1^t$I8CIB5hoRd;zDjX4YUvelS5kcN`b9y?=#&7PZ`NUB^1HWpgz8uW zBL$eqXAi&pPc)YH;7njL6wXrX)9v2wJd*bFDyLAv@Pb)g78B*dLwrx|xQeUQF~(ok z_9Z`uRDQJunSQyCYQ)JCeAowrq7qgCYIxsr>UHb0>33kiQ0)dnq2F&K{Hwe^jssG2 zFtO3}f;*tKy+$$mFG9C!&tb%F6b!#tc1g)*YD^m=;Z_Ps%cz$SVeFv3I_=r0PB~n*#gm=ew*luq5MX zHStC!CwPl}8gv4ENS~ECP?X!5Jf^q7R_8JzPnngIYSx)P*oo6}1uBIOdY2sl>>iA4 z*nFAjj}plr`}gRA#xJW~U08ZwFWI&`P|#}xBC&rWG)h+V9=}dqK2=X7U|>4dTpSpK z1nt~>EkVmlM$9H4&9fTW@(A)Hw#G##>{P+IxJo(-4d46t0ufWRpnXeVf9r$3cJt(Rx6efzKxIj??R1i9J?o9}dX#SA%^mjsSYGh7;6Jthyy`ELU=tIz2@jE$4dGc07qJwKM z8<((o08GN;J+^*5danIW*nj_+=v$eI7;qhK$=)%3Ns0?xV&C(_GAHI`^qTWQ{G0xsOvzN^E@!iZ|GY@UpX zk%WxS4XDTV(m&oHkVmpH;z5!`yRyT??l*6RPH)B?4dDnTk5kwCnF{>q$Ar{Vnb%dP z(oY$Pi9^GaUF0=W#%%8kez8Uqd?mjgNYLK$(b7aQDoWAxfF5N~CiY}`MZ=r(62aAN zr?b+5ZXIb6Nd=xIO9?fyZ0>|}l%Y_l)U1fA^t?yqcgT^f_~%N{r`!^PNBJvv#ibgq zvl@)<_fd=&{Fykv#0F6;V95I~_Z2snTcCG@C~R)zgq-Z2k|rEHO){AT+No503U}a3 z{O_MsjvG#2=9Mv@{NP@`1F`^eGJQrTT4@X;nygIt0j7=nh5nHs110(Z7ux|1P^?CjaL@h{o}_OAc}4@SBWo@tDSrO;FbTQ}@c z4<$)N?R1FE6FVxCdsA3TtD?qS(s@hnZ`xf#)n7@^MDdTePIHDrA4i%>?-tL86z>>T zAPnD1qu#mEK8185#kZ~=c;UNj3SaA?)ALNPSc~i`X-Jv^qE7|deheVrKNfi+!@V8n zu_UU-Cp}{ePZZ`&@)I6s7HtI3QDdpnIz^ckyek_}r@(|vK^u|52IcJExrJ+6326b9 zr@xtQF=W|^B`HE{RWDik1pJLsILBLqq_Tz0m149R?g~s^84nzG2vSQc<*wB)F%!LB zVLv!b#T!=weFeAg4__AX$f=$pcbBl%wjelPh^^eq&##Kj5%WR6({X!qTDO;F@fiMm zHEzBMgRT0)?Ymmc!LHkj))B1RI;CMhD(b;wUE8{RbDg2CKJZJCY){@aiW(|DpR<#B zh}96`r5sy^A?B1)E|t(SQ)yf;heh(rXvE&7JbTJGV}jC?1A6Pp8xC%GmMgFG6~FHI z%>3gN?6r`r|2nJVib89#XZpy`8+4r^abXnGY&fAt$!*+m7a^h;`Y|nKAeIl6C4KZxALmHQ0`OAkH_o+juKPcu3`MB1rNt zgBGL!5S`Sf%6lL?%_|MO&M_59a9^AxR@P7y9^5*JN}g&$B*b}4C$3imQh=t~_Plb%XRPoqh*@?%$^O`xy-%mIm_kqkx_d!R_ix4Bb56B3*B zrlhaD6=;cF>+;X%ZqtK}9l{Smol>nMJJuJKZ*dEKL@4Fvd#^PGR?tO$I#j&J)jJ1B z+g`Ff_YUgJJocI_^m0LItD*>2hVJyvFKd;NSKKe?yVMci<%!)CCV*!8hf)!v_uxIJ zyT3t6p1g3|=`#h?nS#x)SSA|EykeSImgl+e(X@dv<^}b)DGM3rg>j^U-R?BH)8sD! zWrx)I7k&b!xXPsM?g<4%(>eqvre=Rd-S1aslFsq_GWK>79RiUC3IR4~wErJP=N-@1 z|NifOTQ!Q>D=BJIdqr!0>`ke?CAJt9HoIKZB*W#bMt$ z&ni&Lgsu6J5PH9=3zcsM-I$qOW%jtY$q_x+4}6RtO8jB0G4F8aIJkZYV6l4Wqib1B z*G`;{cZ||D6)V{jk^g55$E5qoEBP!=u`V*wtB9XEMx$K(-LOXs&&DRodD%&{^nvzPr{JM67N7hJN0H<0m%DZ>B0m(#P}oTKH|CQ|2F9ozuat% z0-$*Yr^pV|-6uF`j#eBK48P1%mMm74>dVQvcyhv4${KE>)kh`KWc4%qHD{V>f}lF1 zL0}+1?DWaM8-8PRqfPYCx2;FxV}pzCAJze&O?+dNa*a)^A&SZ<$Do|sot|^&$31L& zv<8EtsH!0tyt$_XXm(F)_ur-@-%6M={KRW<7(|sD*RXB2bfM)7@lY+`>cINm(tFu$ zH0O6Bq|2c!LowNUXH68M;L3AvY;9I}mUVGkP3t07NDn1u$#{5k*DVj+94gJbvQ9!xlIAzm6c68tQRY~t$ zH*VAZ)g3iy?onEdMsf%7=^5)Eps)d3W6f06q0Y6@?2Zl(jJAWkD(^t<+%+)P zm(RJv5DG0H0$K~hrbjr_p5)=;c0cf-r~cg9<{CO94$}lPVK92-B41)J_TncJWvMmk zCn<`z3Jxx^`(KZ2U=pkXiW{R? zBEO?5T$VX7HNOlc+MS%${=`e6}D!aAzIeKj*IBihIYjnNh}0Qk_F z3fY|Cu(%jSjSlROsgt<8tRo%g`{3>W)2NZ^!M_iIbV95;xErzI(wEaz5-R*OCFRa2 zUzCK0ehM5uCD3lUv($3M6xy@%r+|-DZMS_aGE$m)ZQ5qTXMjluaw5A?C2I>*8OgBs zc`$K3(cKyC`(M=MiRQaIV#p9A-#Q(W0gwFcY*1u0n{nkazFL=!bM8<6@za9-oV3rR zG(YAKjpb&biTeL7wGR7;WLRzWXXUwezSHRz;KL^e0l@*!Zpn^br|wX&98)H=%SLr6 zyt!>@crpK-&AY)J_!$H0Shkz^vo~C9=WfiW(#VsxjKRclxihD0Edx!ZiFe$m=6;KN zZWB25GELIfi*N=0#TGVYea8LgAAHvS0>u&KzSL}bI>2GJZARalX5kf}Hqj;z%W(Jh z!89xs5_F7^(v#k*y{a0ut4^w3`*|kpQ(B`i;~T2ooRcPXN9QRrG^jg!EQO6$rauO_ z%CCE*JEgG$A;}&gIuISL#=nokxckd68dmhd`7V}|PS)161;gG(F#vAu{HJsvH~O!8 zHAcdVeyi%a>q3B7o_JRo{AA%*@~rYZrLmS1+-h1<{lv%0tau!yv?n@_(!Iy#9FRSy zk#R@sDBfRqjMc7R)+es+pqkqC!MkiotXO`@i92M5kWCo)wVoKqvql?xgm!-WxbvC2 z+pOGkUgDsq;th63Y>R(~V5otm3}SLdY`^5InH7y)3B;i5>C4}Pm^y8xtaGh4k4kZdSnt?Wq?yXIN>n7ONwT-mb#wT4+@bU28A$(cS-X4&EGp9^ z!CluP{MF6e`{kvl!i9T{YELZP*PNSQj7A{kABgyEx>YC?7u~D*cfx08!ECeUn*sax zIxxxaXXd5ML-fMkdAU`pOvjH>QD*BDc9+k~fJcMLjpckjqpHj&9^a(*bva$1+{{JL zHTnM(xzSO(V-)CO9!Gb^X7qhOC<-n*0pR4D1%{&w&0P`Ezb$W4pHz~=X?D|PRz+GV z2gKgayfPknr68I$oz}xh3`!M&ve3FpF})U*w0xR*%?x*ypTTgS_>RTkOhoQ&@+zGy zO6^aA247Aig+*)^&|M@nH}Ct@!DOPmt2xsa02^dt`g|v5xC$u8tKAo$0!EF9<3tpR zzppgXzyfDn-*nxR+}5jwCNeS(<+~-m660JE?hZ@f;M~eQ`6i7!Q94f#8TrxIaMLhR z2_Bs-2Q?nAu`anJDJ9|Zk1WKe51u?S2{aup9?LX*_-0XM!beMJ_GnX8NsD@BL;ia; zVMB|0h3!q8+|wO`$Jzy-T?A%5p3zN}JA;yyeolao6}?ZEq$v=oQXYXiBp;9mF;TIR zni3!RIgi6+m)JjDr)SYGB(u+PxX~hqlhqBa+P*!Kq!TY|@yO!DP3**^n8wjNf>RZi z1r;Ulmh7t~!Ug(Hylh6rlH;;kY6Kh}FM$jv7x|X}yiAm9ZjP?|{I6{e)4Yw{Z!b=8 zmt62mvH~)Pwr(pU+)$$Dj$DLZ!-5X%o?H<7qBLJpKuQK-eV&RNN;FgRT@&hpwh##3 z);k2Bta$q)2x!Z|Z;AiXa0G0jsCjohl7R1q59*J7D$j{xyV179&Yd&a^+5D~(RQNN zZHUyN?ad5nCO2H~rXag|x+=}(J;yT<=~otF`&DKxSo1sGVhbMQd6S{2=d;_4@hM}@wPIBf zYe_XRz-TTu@qEVAr2M+~b|482y32nzcAO{rc{08k6?m5lM*{-Ad<{D83Yt#9CEBpboZZC?OuD~Yxb>$v>O{ct+Ab>?vq+_2t`+l_1H#Ro)NckB zc(XNUya#A&V;)3<^UgU}M1g=A$P{ViM?u7XU%vB8B;(WA>hdV~ z$*GiAtmrZWbjIT89W#&V`i>xvSL9Wy!*U7g*=c^K*rR|yd46woQaAW&HA~Le=mzhF zbEOkH#S}GDW;38I6=aRMnm^QLB7t$pRSw2UKkaAq-KwKfPzzw30BV;7S<&y7q3Tj( zVl`@>?O^7H8DR2|SWYsxt=56&>{Oe1gpLzLI#GOjuC)LzP!D8f0c#zfGBj><+qQ-$5+j8%eYjs~voP1E7U~|;>vZsVf z2T@+?e7;E)jf_0zAUn|{(0rZ9WgZkfPa$dR+n=?@$~2Gq@5ew;qRs(gv~(Iwi@}Tx z-ukBA^KBZQtH$ME=m3z#CeWb$Ox=ags(Nz6O6kPTQiStIQxxaByJduG{ACVbzxMYy z-u}8ikc-+mBv`xc0$TC?sp$@QW}ErzaICLEWcAJ(({8aV4=aBM2EB|ydu;M7mL=o6 zP`$|FLf=JD=|@h&@xL3lp6^Ui*h#v)nhZ9{4UI|VdMAq6+(B8eunR7>ic2x3ZGLk` zQa7|Z8MEWpQ|$g}{tPli4(U5M^9q-y+mGR+^=g=n%$|4(pv(TMb;HcUpCco^e!t$u z`q+KFZ?b%HWk0z68?0{D^{=5G@gkZ?x-XdkTJfxAqkClSQ*Xa zQ9YFpv55)|V?8qbUxqmcn~gcALm|RlaOjCr&@9h8s`SMqm@^n!nPAX8NFgE!L?Im5 zsJ(L68q+Xlj=W4l1c&XqPFy~ZzE#&=JCS&{#~Q`$DAjxhpk&s<53z5x63ZKgaky5q zi%r?(AU3aMP>8NKQW)%jPd4vD)irIW z`&vz*dKF4v@QUXHUWkvJ&Lj(d8(ISmFmyhYi{j3nLpvvfBWB;g{u(a(qUJb4hGsaT zBpU5-qtpU#E`mG)RLsay6GRQ=>Fs$T;&FNSkkkNKuyVMe^k@*U42(ANJheK%r=0E@tiBW3=`Bin*}nwl#HZl+=`7vxJ%fHu z*6zA|rRXPc?8J`2>Xs^hGQRNbsJa_WL$6QHADP@S3YQuAp#ymvqJuwekESl~(lJc* z9~CW=bFnn`MvRy@v2P|@=4ct50ftjSpID+lmKvVv!1x`-k{306F~i5zhxlT-cxzQk zxlWPUSC>l~;(Ps0Mz3zXfGXP#WJ4*+GwZ$V0TO6-lP^rQA2&8kT%5W26pR{^5KnuQR z^6gd>6(a>BE^-)5soMlKaVVgU)xP)3hA)e8ynlDrvlgAbiJ#=<|8jA&wGB*UbZddm z+!sal>Yvlhd1KRBR3FFvt!%Edv2GfHXgOROGd zr4%LiEqg**X9o>dqeVdL$h2uUkWg~ZRoRTJb!#Z)9exIWte%|@wGys&=H(34QUt2S zGGfo}WGIx$zYT+F)ykDIcdTk66mpKSR?Q2E-~TU)UxZR4X_i}z(HJp=4#R&gDGm17 z%2dtLNr@b_BMz!Q)oCr)ok|LSjGsDueXW0Hk`rvoRWsuJ#}aw1tmA`*oJTO+v0P+2A&-3VD;CIA<* zTpGL|y)t%pF7P|j-$ouGiD}=?XHt=~<%sf@YYbC<2sW8%@SPLx3k@q^(oc&(SV(Oq zpkd~s9xKt6+$Xlaq!=HEDGsidEP2V1UW5 zOlfVAYb&*;H|EbcPqaLl7`;Sc1DaKYmJv>sV)m|fAE7h7aTzh7SdGYVuMww9x>q9R zt29rM6%l8c;CyWKISG%c^MZ~`w`vfI&S{zV=HsgolFnGd|os6y= z!jI39Eeu|rh(xmjI`EeO-{-COl(c1}Yp;s06tdx;3HxrB2$|mVIaaeHqh%OR%+AT5 zA9;N6@?9Rf8s!5~q3}F-FOH|q^HFrDcX&r4kDLS;4sAk z7{F_vA_3dv=|BxqcBF5Gom!c>ZL0FU+*s$v_??Q)$3*p~4=-t_(2Pv_GL|~~ z>)iZ2C8+vKj#JROsj9df4*F*y0r^ml^yh{(&H#3_qa zhjwU<;_^`DaVz;7)1NgUD_KAm9J||fWP2pnHcVtra3b?^ryyI8ca%;(UKL$a7L zfE}*+3z~rI-;k$Z)|fpk zPz|5I-)*-W$M}xj?eIKSH`vQ1jSda2z{ zyUC{1A!oH07b>ffwZ^+!@@NqTrSLi2f^UzM;=99vsaX8?bTdbtr>W10K@m8;hE4cN zaT+#SLhjm_s^~;>fU)+Rwf0_#7S;nK_2V$>G^Ky#NvH3O-dkOR5hG9n)eC{0(xJf; zFh4z9{?~wnJbS-cNU&P~B<8~-1k}qH-`H=Z_p4>xN95e@9yv3LMRDOfT}@ z4-R_;b!J&{(K6~qKNHOREfC~1*&V}=aW1E0`|J*>)c%Pr4knTEDloyZ>fa52tOna!hFH*@ z;d|%e*rKRp{ct8@5mKPT#Fi;hurp*_*5sYy|EE{>*nOrQB5kE~_3iu+ia0K{o&TWv zg(fdCFer(oRLYg7)tw|y>gdrQ;XH{Hx^)z|AX$Y-ZYM=~?Mh*|tCOGCQ-Ba)_8{_N z5r(tMXlLPXS+MA=f~n89jrB#fuXo++!nY7(XUjYXE6mk~prDI~cD+X?Pp~rl$s_Qb zA;f5vMcTg`ZGR?oyWpxkY3Rg2XQ2v_nfSB;B`pVov6thfNY&~BkjPNymK`o%+}3)~ zFkxtv8uyOM=5fZs+XttckRUATNUQs*7+-h5JAx6knWK~~!sf&UWUOf5Zn7k=$;sf< zp5f##Jc?^4wORd|bXn{6)L~=zSuWZT60}q-kAhS1L2OBSKLnA+wfILMDFQC`qY#ir z*fe9rPRt&KNNsy}$*OTQ4zL)7zaqm?c@`q0@8P4|YKI+sR=iW&!Ju z_+K^{*i%ekn{_(=N3Vjj5!=JbU}yS!QMQWf%9Guoi}4UzpG$^3h9#|=T?zOd7g0JD z3R+DX21a3!&HN1_r>8GbW8NC$w|sgiw|+*VGyMN{Ja7#%1;?l25f$Pcm_q?!i&X%c&1m#&^^a z`P1f>)VJM_7DNlTG_n!66Z5w8+!CK+s?^h8Bnva!hzogxYU&SN4sY|h14K0MRy=&K zNm4M6(pl5B^g{=*LLHk}Noh&KaHTvNQ%XO>hq*y75ADQr|C8A&)$>^TZKmDem}`0j zwd`%cGkD0GiG3tvp^Gb^_BUTC>1_CI_7k_7MJ4Ng)5Cu^Rx+Xmw7edA9L25Ww-t=o z6khNx-0YWJJ)6;@@w?4 zPg~^oPS*B-@afO(VM%9}#qz(tpQ=B?lX4QLRfA=LY98TfnRe6fq}LiR$A znml}|45N;0Eb?bTs5*mjc&~whS2JbVXA`6S$}!RQg*iE1iJ1ULYI{}?{pMz|4>p7M zeI`+BoMr0#Wc^%H+&vR`AEEjU3IB`>wfWT1^hK%A{jB*Yoeh?x$L5)0z7UqzLgi|B zxT>$HW-;$i#_X2PAV!5}DrsH&esLW8R?!^*e8wvQ$96i}&1ZrR8(!Phy0;o{*2W9Z zH&zQ}9bC#u!HhK*hOXq-2cf>DcM&zI{&{J-wlFrn{GjKF?T(PwiXk<2!I$vwb$uA7 z%p(i^zc8*ZI=w3X4xj3z{L~~K>Y5#HqCtQ+rjB-**PR910nF=?*ApDVD@T#U_2sgA z6XjPT>_%<+alSX1OFbOzp0M9>1=(zyJx|uu@5Sx4od)Mc;->9c(Y7;AJi%FcD0Y|s zt7bXQXep=_t_I&S#i_OZc0)k3YY0W%Wgk3WnNFePg^LA~whXYd$9&fDy|HQ$NnYPZ z_jD&DJhqe7!((=#(d+GAmH8N9r3IIWeA1ZxnZTFS?%85aTE$?GMsHAbEZ?kKR+KDD z0{Wu}Zzggc*DjI6_~s+~x{5lpB$s%Yo~qJW;83fsJ08bUv-}C5(Twn1WVTsVE+8q; zdDfhW=lT0}7f-6LffbB97|mmOgIaHSOc@8KY3wVhrp{AT=W=0Do66}X1%gVdIgnjb z?qQnH-1mmnByWz%yaTey(RQAydcmF5Q+){4U#La?si@gy1{NVM)-5t9Jhi#o7qCD0lYfnVY2hy*oI%#u^w2y#nGC~~9$<>p+52mDcf7@{*o9Y0IFM@Xk>2DL zEoI`p^-y)P;VEoNqAVoaUg1*Ebof^LBDaXu_zdXEwF8%batlz?xuG*9EG-7=8dfKkYb?COQZ@9~w#XQe5RsE}{SdmTJ3=_YXAA+NX3N8D!r{3sY=6yoll|E8? zC;Xl7f3CEJS5v9j77Lg@uG1N*5MN0fi2*d&in%8l$T39=q&j${~gz z;1i_Jy$^`fv1bR^kLtr6cl~78G)bv21@k`=88JfoyJqKKHhjWng?77edb0R)qMKp0 zQ9L|c_)~Uz;T38d{&zQcIS%S=s_Qh%hNVU8?Tqny5V5cKOWfL}mp)GBE;k+>DX-_f z*7A9~Gc5dm%fK9-@kAE72#T#TN^BZ~Qh&x0etMF&`q%{WC39h}hk%37fTb=+qE}J< z4l+FornEVkV}Ph%=7ZH=ctlN*)=8060+~?E%%_qTt86)0-t-1-WCc8bXJJWY1%TwPSbm4JQ{Q|AiWix+}@Kk8mnJ-5G4cZ&j)4Ewl zgxVXk4fd+X-)|l=H5hYxy#!XYvC4tky*4Wf;{NKU*U%l5`aM^w*=f8OVf$vixcsqq znz#qLlWcr1s>$J3)pJvjU7`4^0^gx`OUA{Qg~`@t%WkRW9@g|aU4v)b{(eOf3hI8+ z8~#L{%o=#3n}Uw#2Y~kbLdOkzZ6vVC>-zy;bz*#&8IUd>zhpq@_DGL;o1H!{JOhB1 z`!_9yKrzk=Fn#u#9-7Ab+C*%rsKsU7xa!Qcu5e`+cl#dm2aEfkTiSH|HR@8bbAlj$ z`LIuLo9qYs=&8pBQIKEo-`7-Y(h9#;NDorgsLH3{^g(YD3&;6)q9;vxPW5S<( z$I$7B^0OcTlLT!Zt$7Mz0=&jXzs*Z8bUs!S;$G0Af;##=CUbW%Lti+vaRlGxHntMM zs_gFg;0t*F)roRd3Ja?-;EG2@YdBjuwOpFMr$fV;1T*zL6Y}Epb~8>tdT!=y?*&M5 z@GNFNJ)h9Yox{HzA&dAe+7Y&%HgWtf|J5CR8sX0d-wM%gG;_;b?_+rFb=i{bsS#En~E zmt~LK|9egh7+T!h**kh!uGp@1j}uoT*C+=j6$;utQPA8FAqzIZPsOOk%hvJvuihMu zW-}rfQtqd|^3ha$=+-F@b!HtH+ZTr`s|JU|8LJ%5yQ2i?1V>2(Moffq41IqR1We%>@O zR^jCeOi<&fN*|pVQ^x$r{k>$Yzw^?)mb<2!EXXgV`%L0W7tIduXGseZE5g>f6fLsN z=uJSE<3nY*+r-J*uZgPW(-%iydj}``5Sp|h*x)=PrvtD!`Z+27;nbSBfFwNCyp#{~ z@{76#-*7!VFtrG)76Y<{gU>sD8-B0Uhp`DuFGFHQVLd^LQ!zOP+4FFTj#K|(8EP@Ij~Ze@T$1{UAX|)Jt-2D z?M<7T5JAkhXmoUn%EDPN50?5YMUaKmpL0mJzR0%c1v;e5qSXnq3L7uZtniy&3%mP= z`!W+u-hrVz(>fRG|C5|u5XM9+ooSZU=bkSJL8iI(4HL!nVjdG$Rww(PzEkB)ZBc$F z6-i0qe1{#Z2wK>e$-!AflQ}s1Hr+#Gd7we%sdf{aps{PXVn9Sc!$&`pMG+(Y`cLVN zMME_5Nmh!)WaDe9@Z8lU!IN-mNykvU&V`a}Y^;J+KYPOP zuPX8E5#v`P9o<%>{-S?3_;4zt>w^YO=1H7Js;Rtw0T!FAM1O$>l2!byHRmg+$aEY( z;eIYs0LDVh9j`u@o9r`sIk81O3JHrL6rY)qdjHA?_E68r(25tk-&RVQ8QPSY6s9fr zykyI`+hK!oWHwdj0>gl;QhHJ*B}MfYe$N)a1MmA^-i*3mM^X$N{wqTTt=6s20F+et z9X}&;Qg?Ma`NjW#k03oYaec*gu1+HF9_mwpQY3X#PHf3F>Xfiv81sCIbK#IM;|dV> zr25M~snep!zf;%qE9(|G)FG{Hk6M=Zn;__36&MINdGg6 zGp}QTQZ=DW_0`dMosaF_OGCvi2_|=@gDF%JJ6Z61w5hA_=bw*rt5zv319D6R8mviS_0N-(YnWXBa zv*J$2!->COoy?sy#WE&PR2s{hocN`>haX8#K+^XY7@QqkuGkBI7J9R&?c!`^#nzwQ zatdDa=V1AOUMr5>7Ft(0HCi=ibsv&=d_^xV%=Nip1>-%>R0CcyQpMvMF0*z{{TjY% ztHH$VTR4B$CpLNx`n*3fwn25%Y|>%AsV#jucFI>^2G>s$SZxGH&*1v1%4 z@C)xwSfJ<>PX%kui*My~$G2flp9&XvQq^`t-$nYZTSO@%H5p?*IaM#4zHvo9^I3ca zZt|Lr#i&rW;XGTicLVY3>X~QEed{GNQy3gXwSxEKM8Q<-LlAGI@o5W1T zG!&A{6**(V_!(O+z&888I9`_b$@fZ&+Kq$K2#a37cyx^>V)FT!&h!%MK0$SgS{N>b7XdFU5En z`C-m7h66c>na>7F@^q~i=*ja-{k4Unmb}6Sc#-Mi#NKU zy3cgUn*-^YN5O&pbehxZ*^VkG>zM!~k}Jz5IBWFz$f;=U(a7XR7ej?Z?y$Q3qTV7c zF)G$iowM?!RA^h5tO@jzi{W|s)9AySq5XkWmlM&_6s?Pi28VEMm20X49>58_)vY%m z7os|Oedq3mVA1R1CxAX9=jE_VxwW84o&7JCM+RjI^04vtn@*XjRMKAhzejRi_B%5d z&lu^d`qmM>F2UT6AyQgUg*0gKpDX*Hz^(_8+UpMu%HdnV$9rh+PSz&83%MMYIU8aWjRtbv=y zAP#GCFO;X6(wEw&;mLw+{Vb-g*zMPKtZA)6!iBHE2HW2CJJ;DZX1i^FSg!zCMst7K zj%*F$DN}CKd$?jk!@CDAIl_}UI!Z#=rUaT%RaT`5A{e;qxm@RvMxPYN9+2V6!0W*3 z#vnT0ti927#>SU>I>$5^uY2TM#TCbSNc{enr3PM4C;Gce`uT7ywC~6|Cx!3>=O+Hv z9C6P61RoYGaItUFK7(<05I#v2*MoB=*>Gv0eUhUXjwpS3@A*sonyGwiSNz=$%LcO| z6VqXdI3A%>VfRzfD2=+98Is2!-hc0qNj2&^RA_FMQP%9M$Rb1Eby^}XoOY+UZ*q+{ zmLJtn!;iXTu7|8DxW+EfItgKLjCXP!DcWnsW73iHyE%1_^ZZxSluw!=SkL+m$pv^_ zFv=&%z3zT*tMcL2B_n=Aq zq)n2N0<56T%f-CUSUQi8w9$KlJMzv?&`%QJH^Jtp-PXwhpvnI0 zDfscCIU|y>=48&WpXAJpfw2ds)hI0MP7%kV6ds@fS$-3ZDsa)pr7uab_uoTOPUNfP z{_I-=L<_emJelc-Ad|smG5%csAIN>X$r6~%w4OM?D32T&Z|WCL3`6xz?&>4xNaG{B z>U2>yfel_pW|qgS8sk}I&$kxtuyF}rB9?2`fv#tKHd|8nR;thv&dmsV)_~d+NQBP4 zCZ914^XFOYtBz1l;R$`iylR0x9wk0%Hh~HTBwQS8gQ0NsS?t{x(;?R|2LG^@{)~QW zjxLpe<}F;DBCkw|i;|Y!UN7haY?m>w{X}aq0X)-^=&bTNZ?Jqf3U$FO3@cj)aomf|^vN^%j|0#B$>fZbxgi zt+Qz6S>(>Qb4_)o*riH_IZm1&P)Z0J$$mU#7wSaz`~D3sA+6^{AnKww3>Y0bcLhah zO-NaeyI0vg$;0HI_+RsSD@#E_*liy1vzGVhF<@Q5uDGx-spzhmvhiXhrz z|7OKj@Aix&v@4#A7N*IbJn+meyQ7@P5Tyz>O^#zzX_;BA;5L*KUZ_iNsu5ck`*rh= z_TbA2RyB9@_s^LRZ0`9={1-fclJGxcQ{}5Y^J8^S441N}dKvRZ#-W^!LpHxfuR2MK z>O@2^AehBQ*N23n>bYQo`Aa5>Qnx9s3dmjE>B&xcZQ3njGNVdZFK3y5#TK&8_~Zv} z#KF7z1Mkio?w{;N^GVA=5V3U!bHGG)mX$_+fjimf487qC1Zuu4vKfRpnEUTE^HiA^ zaEJ~RPIs%?GMZi=%M_d2?&R?Qr7AlZ0ptkpX6bQ3qB=23;bQWH*J34!Hg@O2qyH!G z!JQNw$ElnntD9mrLm2ln_OgXs-j&D5M#-11PBhEm;aM5mJ^|ZWG#vH%>>8C8IYT`% z!RvsA01+CsBon+BRzU&EqUNe7Mqg8B-!%=Lq5*Xc?RGD z(6vY_h~^-8Ml7*LT_~>c{uBgg(sjNO5??y<<}bobT?urx>1cpN#W>R&4fh8h&-B~@ zm?P2+M#2ZM2JqDq_PUfuYy4G`R4LF;lrfpbu0Z`e=>jK62&R3Q>TZ9Pu~P_yn=sd# zp%IurgFmZzj^g!>a6WC0p$iXMDr8be z2=6jp_ED-=RIjR9pn*FzoaOfXdC#PstXLZ; z`@hz%0vuL*vV=xUaQtyIM5#@*J!=I9XeX5%ChqwBd6fqK0%0q*JmB%!`?fBT$P$S5 zO}Szjl$Pg9X=gQ9jL`f1xb$l|ANd<4jjd%iv-&RI1B3>z!6l4aljyX~hLoFdpq!mI zH6t+4r1Atd$7nj1#uo;I_!4!?v{iB-tr{70T|3X#4^BnW*0EZS*S!4A{D<-sc~!G= z0c3vesRn6L!2|yGiVcxGPeUw|m8Cv&?-Y4>rpY-Wq?w&jKODS-^fo!twMyaka;HMx zF%5k%ZM*o42DKmNz}xQbb7~3Jy5-)&=C2&;QGLZ;*BhVFtK*sXYYqW&uD%YSP+ zdJS(CrfNX-`-ZvzclSK8x&NkZkx>p)5$peMn27eV03A}TTNb#1o3jQIHsa1ynpJl44^Dq{XmXk)(CHu+2XOkxS+pZa3OEOQS?BmS$m*#&WW~_#vn-0~$?O9e z>(7Dy$gvOMvgk}Hi^sDdqhQP;>6w$>rHcMV6tKBxY?J7yG{#prCewYCjg%-w`T2OU1&MXFx>Jhvw)Ws`zxK+d1HVTMb`iy1Om}r^(c8v{5X3M zRq<3?Su!CC1E}{L&>n&7ZUQZhMS4>uj!p%VO*_ElHM1;|%>y|pVBIJ2H|r)woKh97 z(WsVJQt@|8IQsh$*8Q!7#&90Pdc7RPQDhYbsJ*3U;!le{zl#Ld#Us6M?}MFfI9bY= zDtXvJ@$^f(@*-Ob7QY6mMy>v;(`C;voTv~_?-(`lc2X{L$a+*%HHLy5(=k`-A08M< zKwp?ygmLz!x88n{L)Ktv9je@jmHrD5HAEHWTU=*i0#<@9A50Y{eC6u3Ef6`o&e*U3 z++6~=WK;&ZuT<+nBwtMj z5x+$Fff#xsU?~Vnkb0Zbntx=J?!R(PJSnIIX}A-ew4B7mhkxr|vito&8Z7)wUQiPdDO4Pn!j6CU7vHSC$F|qgQ289VVLkIiSo2@g#K;+hY!AIK|o8K1p8sHU4QJZ zDzH`dHo~_brTN+@M4BxsD;Tjf{5VF29@cch9_e0k?)^0bhEA0vR9I}PmjrpwA!CrcmO6&sYsI1!QNVW-E2j#QfX$iIW_hh* zP*Xu|P%bjuum%}H%WsC%9EWQo$MlMEKW6C|R<-gSIf62}j}tqSuII8kItFqK-Oj%2 zpXb$R>6!V(iX4z$sLqGU*oUrf3m>zeDCOLFK-QVx=6_r9YxLiZa8qKe@w+D|ga9zny7}ozGk# zC5X=lJ~k&0er)ksA=Lbw|3R^${#x5_*TSmMD0PNsW?W~rUFrIlC{WURDUDPDSoq%! zeRfzJ_u8pqCtupp#&8rH@2Vl3+lf<&IQiw>*wop*ZWYM_sh$fqx0K&C^18z^R8i*Y zr?Y2puQh6!+fD@45dfI7$6}@POg%K%E#s(;rXPYHHqyXOn|JeUyvB_qYb4e^&B*Tf zS~y80S!cSsFvb!w=)Xd=>r*OCS3QhWX_XbU7TpUWd{y{1l|CbNK}uE12d7_nA@BA5 z*xZ!385VS!+v;(^v*GAu%0P>|)oaxm?{WNe^(lr&H}!ckE)73fXg}k8ZQBL@XnMi)QK_n@VhDk6!=5U;5WfthQcej$}nLsxUksp##;p9*7+y$^LX_vIP8c zz@r7`68cJM!*(QA<0bk@39l)EbWZts`zyW_`K9ULVbr$?0GQpc& z%$t3TwNM-WlF1~)YkB)g$}DH)@!2ja>D1RaE`88?J&$>OF&S#|o$eDhii(rlnD24Q zdVkK~zWn6(#YOS-!SJitwSjWBSdWE?j^Wf(oA#2c5g zw(7iBpFb+-y!JDY#m_4Z9gm4RC?pD5FduY!C$>hVZPBeOZX4jYA;c4HswX!M(5A+k z6r{P2LH2ddO;O5@Sm;{2r;tU;Yv~+KiEwjaKcoD$cB-r}=2S`PryVG)M%Q0XOKyxK zOAm`3BeKUmuR|;8OIbDTw{1F>?9s_(m=iF)TjRnYclJU+K8v)ncjB?-jrM44&?y6x zxE&xgFSXU_8gfo#SaM^^_+OTu1_z8$0F=xr0<_9VI-fI>pDba%m3*(U>w5{$;ie8O@UY|D+-l}?q_dr(N+ zT#C6Y$-pVU`w`(N%47NxF-#d|ss2BT&cmI}{(b-bwzL#AVkSk2)!HkR;HkZpmf9nU zRl7xF_G5)0R%}HHx=XB5Ge%;T&?2crZ{POz`?&G-c<9)xc^E%JhDHy+l z{GMB*ktyxmX}5j3nz)kG8d6fQg;mi~+K(3EpLrh8SxX)k^`4$E2znIuT4h@(!!Se2 zEL|)56q93|F#CN76vAxvQTU>T*-jDp62ffd-zRzlK^K zXnAS2O{9|SO{rp}M|0uLg^2PaqvK#?yc|ol?JG3im7PC9+_}i1J1+E~k2Ig9l6UDnN(zcIsi) zBv2IB^695@@hZfhZ}MY!j)ik_OsA}Bh!7e!qu3{~1i)V`r`U>8u(Jjt{5cD~t!<2}xdx6zDQhqB5v+MGU)K(c$7Zr0IxjiD{ zN51qswUk%!15p7!v3b>BiZ7X6TOu)E=w|Kgv5}Gol7$~pCsWCRm+uNDUpV8Qp%eQA zrtgLz{apACfa;9`gF%A|-Es1G_Kd$5yEM8Ui9E+>@^cm=uldG{L!lyxJ^_7+sp zZ_^T>4W9ENNnq^zT`$%1pGt17-?v8r2t}B_6Hx!;I2}YPIxR)8JeDoQx9i@fXX=fw zO98S6qrzqin`X~Y?y;Deh^4hLN(teu%G7<^qTq_Bv5UrRRa(9H+?(}jmVOnbPR~m3 z+HSEeOfnHKejQH9x^+!T8wyZdY{nK0r(SWG%e^TP9#gNAol}VCd#^JDVye5#xF%Qa z%iXXVFlE`lm|Dr)#p5?b3&SY8B6uS+gbh$>lm&2 z5C-JqYUT&qigj{T2${nCZMreO)bwt26TU-+kF5!d$ZpP-FBL}K)8kvBwRn}~T)s~2 zUKIIs-R4-K%phyG)FYo=pCk@PIbUI@29h_gyQs(v5L+l=l&(M-|JCYhlE zvE$u_W2iPl^poqi?%~AdFTLyKeS{iW#4~Da4A(El6fm3?b;U!Av;c~Y#IE}f{yR56 zzu88`lT413@Dn<(qZrM2-F8jOUqj>ZDwmy+iA{*{#=1%l*nLj#8MrXRdPh2h9=?bB zBySckBFQ7gUB!ZWH8Uga6R*U6MSluQ9tjAVCEuXeIOyu{PmwSTkxd_!$uYi%+>(UDtk(~Gia`^=vNPRKsy z&C0$WRN$$AXd&cCWF}lIHg=v=IGB{=4kp)E@&u=ya^1*+)M2_(t^dY4d*s9Ba$K9k zJmQqi*5Ml!bR7O&Yr><>O!EJlI}OAYf(RXSJMENv9F8v9TX5Q=9dsJ2=6y&b+TvSA zvvF8ZeKXZMw*2bMdE=-i^`??l)EDzg?@Rs3qT3-4S>Lo3VfAEMdmU z69zJ=E?8Pwp@wO%sj>I}{Y;9ENzrh2k}_M!-S!0 zI5Lz=5{UfJ2O7h#NgO55Fca2&ZI>2o5s3*pMN)-K_xQwUSNEeY`n+s9BMMdHX)5C+ zJ7&&SJVdrf)4?L;w)Z17|Kfx0ZW>DNU+b`{KnqW0No*4D6)_wmfGg)xJm`rkc&!dE zy_tW!&h1YUFFzIC+!kT6oDmYunpfXv<^nox3u97bhdO1o>4Rab*Udkq@4q){LeV9Q znk=kQ3vufE zTVv4HAAnrkl*0U3ZmspZdab492>1&*7=^Xj3-5{4wBcnzUhdPg7i^TIo*$S;Y@?}Z zZ?gN*R~EL-%bfY3#{-`>A6{a_r9^oRM%m_q1}MNmRoxoV+jgJNIl$y zn)zGSWww`s^oQ~cjy8}ji?DRNFpXD1tJyMT>2dr8kMGsz5N86-jK;*K9D1I+N9 zOKFg74O@Uxy7X>MmIe=y9zs}Z=Ip4yvMt)`T+1{_J-fooFydo%G@C5>3#c|meLdy# z_i3ytBhV43_CMUz9#CU9g~)-7i*BP2?*p?^gM?DJgS6)Kj9P{%FQ# zz*OqSPMcJEc6!kbNZXwFzbd_G>-N6?OMmfhYp&jS*W=FyGgV9^H)yg>KMMDi7xFP4 z&^oqk8P{4SCSq3|NGHWVlUskW7pBY_k=r*ca;-T9Y~n@Z2-zCwdku#jFzH#-~w7{PY!2LqQrHJ ztzh&t?^0**WnS()@2v7YBs_Wm57HC9gpvXGMr7(z^8UzsI>4(Bm`~bOs3o9GfNLc6eb-h|{o*>ql{5Wm4OoweZ_ZuNG z3K?y&D#;~l2#_{LG+(asuiyP6a5v$su){CeDkLahUxH{+2uJ|h(6XO5^%wCgPo}zq zLW%OQe8gE4<40Ri{5X2FQc0vnC2sH3#mtHgs*)h5x@Y0Y*Cb&z6-fvD^bO0219U4b zFiJo(i7_sjxWxH7aOul9K#9K9I7`Q2`XX+qGG@Q+6t0pGkQ9miKdLG0iqY78lV=CGi~5hPPSk*^|>FXpDz?O-Zw*!Gn)=C)Xx1QtJW^HmuB#||1{eJli! z!bCp#o>Pc@Q}-UkZEVQH@edl!#S4~r!|CG2WBE$jq{#O7f9I@9A|4gs7Et0rauH_m zr6=|gY&4&9HBRL3Iw+;6?7N3jRza-$D}GLDd^clqLYAf`CURFx8>n zs*?88IXNj0$@y2C3@(eR#fI#=>uhG`kE5t_?h552c#B?cLdG!js5{d`MHlzRcXY6G z5wuCGkGbN5VBX?N{1N-HqC9LCHBtZR@&AhBE-Mt(;)Qc{I<@5(`?8`Q%FbQy=;aD) zbH()TrIHcUH!DtUw}o3w)I~N|&{y~v2CR?DqQDu!AM{B=4;i$~0Ka1?X2%Cr0m+-+ zIf5m16HWYSgKg5`NBnXRs-pvZeW<8%S!&vSX~rTp>PV9XJ#<=H(yCCuWN!AtM+#}y zXz@WfE4`O@u=+3WqF$myKwSMg+C@_oxIu;A7rB%e%#{u=hP*-tUVxVmt|EcK`6Lq< ztTSY=@W!&9p+r7}TS}D{lH;$DPE<(O1iSBy?UJF@1+b{K*-WM05Rb-7{$SBiTSkbe zMkk9(Y99@#Qghz&31%NM)-R0wGx4?PcDWQchw*xqMWL^K`2gYI-EhF{gnF+DZDyXZ zx;uE5UpG1IRYBWLHz@wO3RvG`Z^Iw3S0nalHCOh7od`El9p^<%9Rg(3skE$bus!al zv-Qik;6#a{a#Ns*hl9xkGpnf(&)3otRw%GpN3-s-z5{)t>1iksS;(naAL{yT%XOjY z6pVXMw1zF$uV=KYAee=9uFpLMBP;&d32a9D>Ul4R`GHX*);TwOQn~vpq3@2 zAoIMSG(H$W)b3G zwnWdt8?)Cgy-tYI{?=BvnMeCI)B0%my)08XAJrnu)8^?gTeNy5bG`ttki?K1nNPqhyxWG~@lxEhkaENGi`U14A>&p|>3*tF6;Z@Xy zA5@nLsV(QJt-uPN@(3{l!%%@~g%Sg4kzu942F+mjw*1qoyWr*5AuSJ|-d;r8*BXkw z8+N_@Gn>Bhmn-xrIvxap<&pqU4d8~|7D8(uR*gkzWY5*X)z)94{(xF^pB+ z0n%F?Za3RXAs~T`5o^yI=r6xin}To$FtO>1sdpP)SQ&YKzfZ32XSuf?kk!i z6?PDuc=oD+6}9hGuimNoT1x3zV{sDe<3y_sO6JFJ+tDK0CvwJCeH$Q24`I+Y%UY5& zQo`&pyKvoMe3-7{`vI_9qO^H$G2O1oJ`|N&;1MNo ztc=S%n&Ho$^crM+-1H(S!s%(TXSrw&lXvpQw5{YBgJWP*mER%5j^vs6387QNXRanrD1!$(kQ`HEoUHq2k$wRa@595>NC zqN=Cd&5{$toIrcdj=GIwjYIX9kMt#Va`#XHQEj_il=}IOCp=k+Iu!g7EzyGUI#yK& zPOc@11mH(eun(6L_%o18qg>SzBi-7Je~N>^4;n8(=*h6N96Wk4PRI|RMD0-9EY_2D z->Q*teVYU9ll~zTBAa>Qc<8jwqQXB3a2Wi2`(8OSGhl)8v~WpT8>R(Yb;e&}4p+Tp-id1O0YDgI6HtrNun5r%xUSlWbgFHm%y<`wnj-&Ltp zXQD>8=+yrBsKCDZ_b7&WUdsr6SfpLwMsK~C4hQ8Js}79>oA1`}k#VP>Z4kjM9`elm z+S8f(dt9MiBJfA>Bki|cge@8^HGrth_=yl&i4%x;owahknUGk^#Wg4wE9%3z{`t4U zrqaOqKvO-D)^Z3a2h1ZN`W5hj*Xc||s|$JrQJgYjljlN8@LVcMmA=S0fiDZl%1a_~ z*GRO}NJ^7waK)c#R2NCZw))JlkZX4OjjEh?9#c-IP;!^^C$6^|Q)c2+>LXzX$e~Bb z!=n;K`zNA}dUe=#kei-Cy<~h)e{krlucwj*Lea_$%q`*cASJXO|Ev3v76DGu^vowb zba@G5*HuZpn-Vvk=iY_V+r1YpXNM<%hnYehX)`>3kQz5eI&X2L+6Oe73R?z$tIp?< zmO2sW>Jj^-m>n-6eS(w-d!B*~_qEoBI@96~wf&&n{Z=V464S5HD&4(t%$yubWubQ6nk=sK(S%#@VhIL-LHAzcRi)dS2P$?1p_?f9Z zgDk}n6yNk122_RyO62}`?n;A?8zYo*ELMN48RM9G1QJdxnKxh%%~*GffH#?lS6NL* z#UT`FU;=fX`?7U}l8LyGiF-id8LQ?ZO%DW7H$o|0$+@n>(JRhlqEqQ@;W`*v7K*7221beD&Vn%>8^X#7^@Io6^`ASOqp(BFOTnM=0ASr+-93RBTNLM~IHn;+#$a0$h1T+E`M}L)#rpPI|Ls zUc!39dUeo*u!Y&_Jv{9T*Vz0dM{jO$yocc>3{I3a4Xy{hwL7gG^2Lml`8(fc^~gVz)(8_OVwMG?QSC=xk9}alK|jkp*oz zb>{lB;%oR6OhZ9oVm=yGr*@0aa-4&u=wB;%z9#3p29CjLY0n@G86Pr-#c-N2i=Mat z3`^2ym$nC<;T7_7Mn=`wc2SS`^EBJmI6H>nB(B7u{otU_5r(;*U>t0acw*^l@PI|; zVmA~oiK1X&wDp!O5AD++8jmy(6B5Dinvhhl+6ynJm_@`MFlKGB*bC*$ z6JnR0o5^S-(mCIsBr@Jn_zgh-B+u>`97{t!3A^~$>s)MO@h0Xz0!QQwFcP!RLO7Cb zs+&g8-&vUUV9OHumFd|f78G7gU}F1lBu9ROwtW{t*Zp<0 zdoU}=ZrjK-*yGf#;oJ&odn?R&%rs(}=Gx|1p}SLlod^&gQEC97zU+LJO3ZAi#~i?d zy+q}OWh$(XBiTvpNaFI;C%UWKx^Eosg{Iv=Q!y9oDK zmqu)NES|O;GF=gKo!mhS{g+V7j-+Vo`+A7VXV+;VHx8s&iU?d;neT(F>~p6$kwYyF z1U+8rC=hP!ZHI^(rwDCCmINA`M%YN3x{f5*gF;#7g<2au-LCjkd6k!7XIA?}7pSkP zEa3b=Z9Skf%a*j8*OWH4&;<*tj_ea(MtEw)IM&V>L@vD>`F9=1@~!L3@hI)dbGz!x zr?qY+Fm=+RKqHeUGTRdHrTz-646Iqq%=SmwO<}gTYJO_1H*Hw!bT6>wgN8jT&YSG9 zowb(*;6I5Jg5;fj8F5^#ky?WFjhc&J8n%O%Tb$dl7jc+Lep}}g*%o;&P7lsObg$4O zCbEqEj(-miB2+ADx~xzwE65s6`J2nRmF8`6-LO`^%$SqYj-T%N*E}O=x6)gZ5mI&r zJcFwc!1p(Q3pR z|FQ#I3;%&}YpbFUFbtqsYFmdT6US>frmNs8Bngj@V%b!p>e3=-BTPl4h9 zPxLVm(jGc4)J_1pJz%Pif%Mi@wB!PhgsCCg7jGWay;oBG9P#iS*0y5V zKl6dpu|DaabXjiA@ToCxt9IQR34WD;A2}j=!X5;vx?VYR%FRKnfR<9@0uRNtaJr~O zIHFDU+~DCuj=VR@m<(^e2{>>8qOKSCw-6DMi_zL#-dtL>jvdsc>?WyPSM!cBJo$95KC0b|cy0 zLc>pf?D=-=5$o+5+*ML%{g6Hoahnv5aV)hwA6;aqH;y&`kUz*!VXyP}1$aYvhoDXd zXhulZF$baj`nQLIW35VW#JHA(-H^I@KYRKf&!6?Od(2Q5XE((u9ITYt!~aL6(YI5_ z%+nvy(LYOZ)pU;+)_&kexfhzbdKZ!gw8{;WQQ|D3Jk(b+E5`yB7QbS0jOsdU3^kU< zN)rONCVP(ME1*Y#0kw0nK_&R7_g$iGTOFk15LHety4S`lHM#1lbckJJM~Oy_qHA~} z^2oogA3Y@m)YLKcO{HiuC>sKmoADAKNJIAZ;|lmm5&kJ9ZciB{!NY|7sv3PsaX<8t z0i!)w4A_%T`?WhuW@bMvJrS@}8L>sP3QdE}7?vAKSdT3G$#EwujxgQm2RK<|@|7-3 zWH=b01?a!eDF-dfUs*WGe^?=r-k)J4bTVXfuh8a{~DRG~bx^%B(eg{Cw z-KGQkN@krqihak>yEMj)#{t}j;bRPwCF0O%+-@DDRV&EBXl_mSNwI_5)%L}-mjy8T zevAZh!Y8Fp*EdS@{}yX$5ASyfh=+(GumT5#2xDm@Y41xZ9Pf2xWFSAUc3s8&MPFf> zBZ4ek<#~Fx!yYO!CrG8s!#Z<2X#QtH34UpGGmirzD+tqQmr z@9R-sOSkM?K#(NO$FyAVyr}}YQ?9=+;^GWBmPQmgMtyBE0>L^B7B{s1q^aGkrDVK> z=A4%zA783>x3MjC?z2awwsCDY3i^}M0;*r%2^Vaq2j#y7s=ptz;9+%^tSO;`Zf|pP zd%J;>O-*%C}33riIT0WGhc|JmZCcS@)Yf1oSe>>b0zE>LHJe(-DYV_tY{O zo25UYWV+Er*NRC zw+u3=I=19vv9v6#!t}TGiy!Q26yJmS`;Hq zW@~NpP7M00R;{Ni*J(I?3GOe&NWuTkjX$uBq!pY>a^twnK+U~qqRv-z<+nDq^26wm zf!UTipPd{hhyck8w(_J(#3WGTuZh3936dkjB{%*ZKK**9U-x|34`$>n(gwqPuDOyE_a&U+mp2zPUMqqTC5YcIrb;)#JfV2wQxNn;p`7-^8KW zPshd!;WuP>F<+Z!Wt^&%lWg{?v#2$1?uBZ$k*1xxURD{4>KF`;+dVR(lRJjhGVlq> z9O$8YoQ?u7Ijf~X$i|co0cVk*oa?1{yJ>}Q8L7>tuS3qyDkcJTdUFG?x(u1$krmzD z#}DO>y7)Pr3Z1xy!G4j7H$3jJKO?B5&!xN>0``Ms?LagZw*Q6F6|BkaIF#1Xq{($| zfd~RGcN&2{c@%3XnJK-+bbiEsTD!|p6$01F+qWJm@>4>?P%%ZA;!sdVpR%koy;!@(Y2J-cr0 zXmkM&8#wadM_+yE@45CYm4_vzxrA_2}dIVb(l~dN! zzm8(QXsds2G9XV@1p_OuQPLVlU%!>oBya6~7(h+$*1_|pOhURsOoVlZHxpH%CY+Lz z;v(n=>h#yiV=ny<99|u5|8U(lvGE(1(T+uJS0sq^i=(o}wPu;4EDZJX?yMgGYyc}Ky8@u;40&tBJV0Y#xPNALvMy1xjq27zlM;-GYi*^i0LTqUZwAfb?dXETKrR1)WD{$e! zoV^sd(nGZ%_}>gH8Cqp{BLLf~YelJ!eA#~paijRe0aVOaSBcNNdn8*%hiPpLH~pCh zpQXH*;YM=dvC6(a!{7FHGf$V$KdKU|F^;+jxNujmBZHLE;$vcf)NESCMAfMBLVtLB zHZmUNxfL;bw1=1m9GUYL7M|PHc?u4RI;X0;*?Hr6u`E!{*iNuA{>HTIEy!hw!iJy$ z9`i|ChqN_ze7og6`YiekS_vHQ46Mbu&Ynssaqczp z6<)VEk%~9b#u@68-%ab202lNKC78k^VTdxu*8&fI7{x?U@z@WsrqSlH@&9_G%Pm>% zPPyDF(NW~C`9t2<^w3jYpV3$C@}zG$E>pEwA%G65U0Q2O3NAM?TNA1CaA>yFTl@GL_8nL84@yj&W8(OrD3{GFP537O0?Sr=uN@(6FTi&v|(Ay={ z7p}5S|D6++C?ne3UpjpqVLsWY=w`RpAYT7i=}s$8t4M0i^HO}qld?Q%S;prBs^+9b z`O2L(e9lclD5uz66WIZn!+GH%wTvdBC~GUy^Zd0F=AnI-yp8nGON%4ivmkUPFd>VPCh)T@ zQ_*_i4@BiFwg2qnNVEy;f`t|N)%)vx6|#0>y%^DUk0L8Uaw9)LU(#B`Q!!wK-JV;c zQbZ_gjU#pFG^Yj#*-z#sKmYPxVzJqVb+%?0HT=UIIjZJP zSP$uQR9rL}9jh5SD!CWBw3w$?uO!FwP!&G$mG(MOE@Wx-piUX!W37R{Nj3JTTb_s* z+jsSI7GC}JS;K2BHEi^uaQd@nEGT6CPqFmUj)X`MT?nPUk9CpHER7*l2e&C`)Tgp14nt-z~4ihhXF)YzX7@&MKKZu2x zH#BO)itr(tQqSm+(|inab-}wnfOqc5di11ph-~5(}A3Ui$Bx zZqbCvO?Oat987%sNe)6T!R|6r9^R?+Kt*<`6;iFEY{nKx*4xn*P79&MTpZje;N#n08fWv;Oh z%zN7`Z03BjwZCUJMzih@xYjJFT{w{TSub2I^^2-1E&UGI##|dD1H&t(8ki{5T5C>c36n3L#f&_Yb-6-W+v=U*`m1&_{y<*D6hV=w+=kZQWIWsONmljae)M_TV z%=zs0^ITJB9N$u5!XVkd4SIRa*o$yplb^Slz_u!?=`W^MTkH_Itel{kB+wsJ7HL>? z1oV8i<&dUwEi%sJRZw`YtoN|gb<(p+a?pGTx zw3nQxJj4>1X2GTZons7O*F}Vs=L5}qR8#yM6B1*C2KPw*%cp6>Dc>f7SuB8zd z(ud?J)&CP~JCrrxXr$sQ7}C>%6P?Oo{QodZYEa*g@0WY%wIfGMQm*@^?_##x?Zp|L zA&Ibjjzb^lDIbfU$Og19T?fwTiOs%*82dv=wW*XdKH7}f*%dBh!#&E8=$rsUdpCpe zu$tFHj!jztrnkYjs0W{Y29o}^X#6E!N=#lLI26Ic`f_|{m;`RYB;54BN=034qmevs zB}loFTm7RlQt(l4C9(`99C~3KyO{sZ2?|tnOR}1U?|S~|By$*mW+b|5*vGf@>6clq zco{@h8qlb5L_meFQ%`PuigW9um)9*t`8nM8&MjKJs01L1r=~kS(nXp`!wQrG?uH3{ z$C=4&FkuPGO6ptm!#L9}zyHp?CAvlyV<2;B(QBGKnEUZoe`kKS^*O$p&79p_T1xei z9yx(uv1{qgPLq4Ro=>9wO4(!2wl_q>rI}!)v^h`u^EK&h4r{&93lT~V-}Bn{*5^-=FAfQ`B8Z{&AuZL!>h3D`Q5H-QOFdgoo7P6c!Y!+>A-! zfZov1&d}$THbCQKOA~9ra)RvSYzh3ONdxiK8_fEphKrfB;lW~6bFn}?BCHit{8L4Y zD~}C-$tny#c?U+EJL5|pkk&9VcJi-y2h}n@Z;mRyaTB=@QEK)VI`k~=*X`p#c$?M` z)GmI7Or<3Pgj3}^j3pPUxQc>|!+cUOBQ5g!AdrZ$D_S%Zspb9G{Don*BYE#iexh<< zW*dB6=hPA35kIyWz9vn=SCKq5%aE(c&DYuB#8^$smt5l%+(q^-L_S|e2WbLGj|F{|jMXH#X}T8e9^&FuZv&r|yV9g{WL(V^I4?*YbX3 zZAsy7=93)r>7ZnzVe@Je!)omL=-xZKf(}siP+Q!>?NatGp9^OgGEhX)!Jq#~Z@qmG zMJ?$E5K$|%KEY?!zeWkPhfHVOw%Kkz{P&Q=!Rb{IUL)N`hJF&4F`RGuOyaNPWm1Xl zuTwpJJ&3{H>XoiUS9M348=OS7KN0AD3@#o#VWs*_*5=C6)o*CNKxv5Qj;+r8!3bPes}bbx2b)dU)%62<%YSsP3>Tn-TfuyIDKjN zVgD{{>3cC#8HZ5H^&RTAZ=u|JsS3iX^T0f8+rPb*o{+;WyMMD(I}X~5zE8J-PdEG> zc7NmWkAr(nN{_I7uRJ}`NxNc22UK64JZ#i+?d{(nT0ZW*tmD$&Gg9M_T^9=b;9t=f zJxXH8!dOTtnJv z|Fs*pE2er{yMAZiguUxU`-81aHwEk8alylsdb224xMwt(|4vX`+G&uBTN zx>ZxO&v}_N$(kD~$ap=7I{Wy#;P{B7(HOFKQsGB!Kt5|U07bF$xk)nk2)u2oyFF(KHoSGPK-5sFTa&}XsoPL zk`P?=(g=L-a+CHnuxTI@uDZXQ^I=nU_C7?pfW&}*RG*eOnjIo`k8{Dzz=4m4O*Hx+ zlqw5r$o=9qb&2)t&(CK&%a2W>)_duu4+lNT@6nydk7sw5Fs5`}_a6FTgtCeE~HMGxi=? z5fhPG|14E$+-&--TJ`T+^fwB6dbjjGot;iYJ|ZJ_a2Y3^c+*th&fIp$~z zw0Z2%TT;+{LQk?zDhuv0j*fo64_zLBKYT^Pc6uY08U^X}Q}L!z;*m*!9S6gmeL0A#iOB*At}eoSF#q9^qs6O^6Zmfb<6p6Nv^9%$aTltYbj97ABgxrPsbYz8>@WU zMqgWYL|H`W%2^uM@}8wW$$n2*v&*@VHz%mss5`Livc)@Mfhw4h-m_HxC0=ZL)rf5L6jrfV-=?SuH)F>L!JEE!c4s^ghaIYwVT2s;AaW#b*8AZiFP&csyXU zQtX+hk|D5`>q{f|OQIJ#;Boy(r2rr5Q=iD|;AcQ}TTEbpj8pz|XfVbzyNP4{+VY8n z?RD?;S`&Y~f6j$!4KnL#Om)UvgAqPggn5{%=126n*<#wXq$O;RV(}`3BfOv>9ndZh zd}z#eRjI&3Ky1&&Fpq`$UcOpLOV9_oTyMT*I@VA8?Td1_s$szk3)a)-$s-$EKi5oZ zW0OrA=@KZa@QU1bxa7$)*RLbL0~KoZdB2vT_80qAkWHhLM!#h@Fh46bW0Na*!*Q(j ziUp0_SGynA;Ow1Gr;Tg)2IsgDr1F^XAXm_@Vbg25Xc%LGQ%y=dAJ(6VI(pJkBZgdH z*D@5oghuWlH-LV4gjJS*BHvm94txG`beN)5obatM$`hOMen>{jLUFKBLBgSddGz%e z+Cg|ecoQF5W1rq)E}a0yo`Q^jR{PS%a{g!qZ;h4MF}3D8%CP^PyQg#($+CiESde^% zfR6bex;*ra?;qv9`QY>O*f?N`C!X#oGzX=jPD%Y1hjMW3b8o}ud z#l!<;!Icfu0>3z-H6lN;f@Q6U)qDvX`6i|TMNya5m@)R$p}B*)%3(?G&ck z#vjsYkf4}TP|Z{oA^RO8Z46bVW=&l6XYuQxos^jorobFj z$<mPxYo00dxZK(uf3gH2D*2 zHrk@iHwLT2`~x$XD`c-AD-8pL2gBG69(<^xGyFu8%|qgMIYW;47DB9p4mdZ+@Ghr(ezh0*%MRCM4dYE~Da4n}%l1 z?{$*2h)B>+p0}Cg;t9(*p@*%R6lz;xfjc~jbZlm)<@v_svnnAvS^7_VV`+;s(Y8k8 z&c1yynTX-B zj~O3$Dm4`{QDZ3dP@INr?y&3Q^MTI_pWTdC-%jRScejigAv76Kzvs)9@f1g^|K-h~ zrppC}4Cbf0N*!&UcSV>n??jLTVj(A@dcwMhOjANy-QJbHg4-sum_CpX8=8n8f$z-l zu~?0Q;VPh=lA&<72rvXZmO}X07G89NuGoI2h#K{TSxNG`O z(0V2EzPphw7_9si?bsORYf->z6YN!%T1sGL_5oxylZ3B4SGchh%K!4hVs3ZyVt}Sf zwJ8xQ0518$dCxey72+y=+F0g4_^;$>9i&8~k;#L+Wd0-; zep}kvPO5uf$L3VgztOJDI}%PsZ*5BQ!{c!FC*aOVrAK21%a|%9VZf4hxvN6p)N(U@}M~?^zmEP z(__S)D?{joK$1)13@A^MPl=T>lp&@M9LZHi0S4zyrJ5E4 z#)2$ajlBo7ZM0-6+*LsCf$=Bs$(0(t(_$W~VVpT60w{}@Y7!qsSb5V8D?m z_vlolPT}6$Og&Xxuhq1Y<+AX9=Lq3o;{X}#b)!0|W5K>?sGkkPvV;ByAUE@ON;cJ- z?GWm$W=i^+mw2I?D?^&lk;-(ozzf>-%IJ)X?%`{(ZURgP@rK-TayR~&qNfzP$YG3S zRmI)y!jZJD(NuThva6+hffho~N1vTf3OQlv2c`4oiSD>vh!W(W5KF_0<<#k8hs|e%n6Y5G&KKG`4 zGNo;Ltndp+5f5jEqMOqkBcuU@zBs0g#d?*n6v|qj=&rO>DO!2sMvwXydYPn&t?ewrA-~MR6kk7@=0SbTT$3ulTkKw*rtB?H`P`hxD zPvH&y+)x{3u( z_S%}tag&l(&^RHv*Tj#A2*C2#R1d7|Pt8BJLXINNmTqOhq%A)z)Zmt9Ep>tI z315YkQo9YMWop3Z5-D|CTCS~VG^JFL=~PkpLcU$Q)jl_(WMv!*&v-&udYT;^*(>NC z(V&YB39@y)<2J_HJKh>Bc4>7x6CA7V#aI^^=qU_3%n_}Ul&{c&8XFaA+uViYZbhbl z6HexwbSiEmcf+~rVsGh;lLI};Z3~n;2E4yiPzRu+dqq`*+b?{m&ps=>a#efB9bVQH zJ^|1meQh21v$V#Dv#5ZC_8{>aTl1QfV=UVL9!=+&0P zVDWIGCR9^lH-ny_@I;jdRx>r+R5KW$AJxmg|~||b$@TUi+*0= zzurX&a;a^^>Ne7%KI{-4Lsj`D6+cr`(;P*%J7!tXulSiEy)T9p6qo#`MCH<6$a1fQS( zF1t6!d%ly?5+oF8VB#eR++iA~7YYBHCkl2T@9+-h->{u5_NfP+SWvILzSNt1Ibgt| z3DbH&R%xk%oq`X2>j#5>9}981Xkr|(JZRrfnr5U`iFW@8lpM66LQjyD5O&VA=seB) z3|W7C>jspGy5Rw+DR$c~(+hAEh&M6OO46qOCA>jy%7x`>m&Pz}YtQjRnhRIu3I_0P zc2qY3QBEf@(~bC07ruS-CGxe8res~KHY!6IBe3Tvq-s1@`N~-Ety|^8B(8C40z6Au zAlM8)DpQ-nQrrIdUr2bJ?*Xw)@^QLeR>AyGPJR7&sFV0+on|O}rPeI5Xrs!+vs1EA z=jy|y6}|m{SdBlLWYe|6&eGHuml6qAlf~ooDHXk9^8sFu%!vQL2q-{DG#ts2l4G@1 z=DUHt#Faw>mz#6?S8GOzCQra-puzfm_^Pf6{~4EMx1KuVF+Mu<(G?strcO63VrGlQ z2*jKkeYn=;J&m!&@{NoaI(9H!g?-xCW;pM?Tr<9_;~|k-v4;dj2)Fm~%v?*?80)cK zwta0lT)%DMATX+D1%^iEyX)!t6A!lFby?{E@+(7aXEH2ZH z57(c4XO^th)nbjmyvnxhn8lcj#$9^@#*Sg(_fjCF;^3|O8{%d`;dR%_D^DO#vO+Bl z4vIF!FN2&T<+o<+Q3uHrx~%kVeW!BnK6lb|Eg(N_;ue5i`dQ)gw&A7fO~f=#dSX0> zYj#*MgWwlYAHR~W{fcbdlGO{8ydVsNGp-8;7k-sJ1`F~zDzH{;OZA7w_{XNb4KJC{ z-^_bu#{eC>0kft(z5%dwkj*aaF^n!FyI)X#sJt0=Wg~lHL-dlRBYA+eoESXayEH0l z`$t*=Q6#(BBXX5fSN2-j|D)(U+}V8l|NZ&4ij-Kfk`#>*dlPEZ(-_mYv``k!O@*gW~piaqWJTrBI zP(6II#qUOwSzFCFbnhGdjwEm^*! z`WnkQ+F{+deg1O)zWP*JEez=fAvy(L52h_ZcE+rYF!`7_%aKRJMn?rf<~a$+ibEUl z*KQ2@S##66b0pj~&L_-`djc(7d41R^mTN@c$GarQ$eSKiZZY{${Q^k9_sW%QC^Gf2 znoERK6Q(f^$>NA_xf}_Kj-T?_B`=D-huwX2@rYgUPS*vjR?&An|A5T1FGt#y4aSK= z%(h4FbtyCz|EdWf1_bO4i!pe|uL6_r$w@sq*)z>2 zft^7M=fP~ts@>{&)s}5y1AT0nnG*@sAn`sgQG;kxJp5B7c!@IB>qp}JGo{R!S+G}C z-t2+xj-sp+nN8k2*Gs;d$%hZaM%q z*9JoTAAK8urhn6vQ1Wl}9xBtjsxNF-i0<@m7J!&G+a~_y=#YruQhy8u3g!?3r~a!? zMe(?Ha{U?EiuNpJGY&x{eZu@`*Fn*>20-xa^#A>n{GW_iyXlQQfpBvLXL1wq7L(8 zZfYmy9x_B!w`~9RwRoINtVxEO&mXD@t48_#VvvJZ9yYY$$io5pzkmEg!o7`@Nau-- zMh)J_^1%CmJ|?=SM!099gnf?tA8?pt7=8(3RyjHcdV@YiI&?obqa$lfZS-oCXNMqxL#y7PZAd44gL%8PYJ+NRDP4V#=~QGwER*md#?}OQwHAx zku9WT{d-U^P{UiPPeI-dwyssW`b>|UCilWrpf=&*2|d6AP^Kmp5s(W-le^!D?pwK} zzAS_tsYs|Ah3Gx_7xz;B!F_CX!`ylLn3Cm=EEy_lo(Xtb9k{`2t}?dWXzo3mtYLDn zq*ESCS}7PRSj$K>tXIw=2V!j+*O5bK928^3ZrvBbhZdS1j!jUvAs=L^e)SHhl%?yJ3!XYQ!x0*{4~rU{ts)+rDfev=GSnTdh)|( zbIn>&G666D3e1)6T^X-dqZd9KX?=$~lX)~L(*RRQjR`ig5M2&bBu6r;hY|H5?qzR7EWLZAdgVR%zoswqw?L&I>mD2kNaj2G0fL|($x$g@n)C5k)BOdjy(gfiSC&Y#roW=CAsIM;So z4Y0cO$ucH(8h;?IG89q_x$?uOYfY||*?FXi) zzii|DB)HSGFfVW9W(FZbTjj{T3&pjnRFwN@$37_u-Rc313r}^_U%gxNR#1(L>H>Pj ztT)E(l2V?9P7-Wc95*rB9mn9ms{Z@39lI|UZIiQ;A#^|wnQF*h#Am5#+3dVDb=%{$ z%^JFg;TeIZkY7lo#Pg&js&#(9oE#N$MLR=$)OGstgD2jDon;`q)$77@t?6`_7%oKI zhUyjtYJm*^)8^Zbw0s?6aL=_)9jeI7X0@*ccOmFT75=xc&v+B+W8=Q^De%lKMP@{q zFp#NchYte!`_guiR4cptQ?x+z_`+Ov$$Q+xc{}v;MGa~?Q3%OpbUD@(l_XK4*5Sc_ z&H@e25N!RCH(6I@k234j|H*fS&B!B7Zl|5H;3Ic?>J?3;Zb|fStQV#68A{ zj>}9rsbmR}Fm5#Vh0QbgX*3xR8Fh-;%k)Eat047dvoOn*IJa`no!5C{XX=$vkcu11 zZy}Q@jEAiL?L^t!t;=(kfSIyney+{u<#=Y!GZp`U%IKOHm5}4!nrSV-U@aAl5Sgs> z2gw+Llp-~W5i2QNb4V!1yP~4caI1~f`q|-tAD66;W}}1@9mM{ypl9$<_q+t;zu6_% zyrVYkKJy?N={haep1&7?C1N#`=BbGemg4u@r{n_|-U~-juAf1vhx%vmyk#Nv!z1Nl zN>3h9BEn@Z^Wf9i)Nfo=+GGu z5-fbIXK1YFCSIxE3Tp_iW@fyXD2rtFhX@N%(A_$eUpf6%v1h6Tj{wl`>RFA9NBE5Z zkt>bWC!oBm@(WxmW%(!WmP=pN8{et$U%Q%LJU;TUYPj;^x>0|Ytb9Q*Q3uZzk3`Ka zk1VCV1J)r5Lz2SzMf8tsoLJo7Fx`{ayZQo=Xg1b8eQ1|QabNmk{42MxB-TXPSR>4u zQtbaj;ATm+?7+33s4|lX0gb7Wa}i)#X%_W)Z7ZayN-Y+4QY~N*H*QmasmT32MVt|mUMp1K_#F&8W>Dw2whFP2hg$SEY9)7G8`LPH(^39w`dxjTL76$ONYiJ6 zrURc3Z5$+oslVvYOvv|E%^t;ouDg^&M^H!n zo>6+oIipyfw4<>-T15?}^dwe*BRlOE0*+J}X$Hsm*s(0J2-OKP)e&l&t|2kV-1p2) zh>uqPeto8&_sh=`jS=@!S-SbVQjbWzbvIPc`o5d#nfz8tqZM)qd#F-TXe`g`pdNo) zEXi6Z#$VMPa z&9c}vhH_08SL!O9F`$2vH63DENJ;k&5l8TnJ0F{i)pKg+Yt&SpHhOem>$`4i7u9Gy zRU^GHcu!wSuXN+0L@9yb@%U0G=^Aa{4JDkMJhBmoJrR?3ucyqKdXJH;l$!~`A2mv? z+*XYf@3@6ec9Qyl%IY_D=t&dNA=2UC`mB14=U=x8ZLRn}#J)RuGihHcwS>vs>VK-g zg>$bYjeQ5O8yfoYI%X3-xK&}Ok6HzjWc`a z!750vbQ1a6{s|J4D*t$O0N&feVPIRkNWo|k#Tlh)HKoi-j;E4y~3EHeMN(P$F)73T2Y zfYcSOZ~)*z;+h)nMe|TJckDI#NfT?O%m8!uXKFv+wkxGTD!}#MA_+6yZl`7A(gQV% z#+Fkh*g|_PEJ@ugs%B}V=)|00@PkDMU;W&;I~de;r%63g+hUNily#w&)pyPV0~Nj; zgP#l}Z6zg_3j7OC=UQM?(X|yaz-z~MBb5jEXZqM2hL$&`a>KKhq8VEQRob-pLbPc_{tO0~CG%Qqgb$o+Umoc4E;dSql9B{+ck;t+kT+L9G@98q;FqqRnS zX)L{ydTgapKHRw}FNC@s9<`xegYBzL?j5X@_T@iR#HO_IJCAQ>*zCI{Vt#ug7*!1; zr&(g#O2B7)h2o^|;s&QtHR3N8^D(EnpXcb~fKevF+eo8wto7{x?x^=1-~C0K-)zsF zVVAGGYQMna*RlJ2F+|N+|LEZhf7=}+ft{Rjmv_X#bKq^jGcl;*IE`1UtvTis!N zIBcaH?v=QHtjLPmzzgyE%(JQZ`ysuU@M^v3MBWHt((!{7C$6k+?4cV+Qfn}yqUu8i z>XyA$dv?`kVF?m(g9m4ts#fyiq3faGIkUtUAX zEKIFHIe>$`((-9e4DYcfSR|J(EG8HXOPTW|pYhk#FL*Ojx6XM$04MH$|7?*RBFb-b zE47hEH=#QNT3d)FOowQ6Cd-tP;TTt_Hny?5`K4>buyd(E_a4uk9suT36*9^Blwxf~AylO^@aNEo|(5 zc_CyTd*(tYxHC}q2a&+ntJw>DUz)59lOfP} zuLoI-8+v#4N60{O?p!6wXcJv_q=6dbGl^$O*?AO2GL7Y@-ws_`{@%LMrJNHw-i1dFww$1jPbKQ)92WMdGRz^qj-I_fBFqw&ps+ zWc-NWpa9?N9533jq5M2bI+=s4E+ zaOtVXcYM8`{{6qQ-H4Y;Kehwn7t>=38FvG+=vPZbj+Or(a_lZ`pu*cc zM_HozLB-=!DY<+Kcpc@OBSkmgZx~Hf1k-myFzytZma#IqlI1MElrN8yTBau-%Ml4q zf|L?DV3Q^7*$A(TJ>$o~Q_EFe^U=n^E9g&?!NeA@gh$eCeg0OItudSDiIK9x{Ii_D z5`Kx4j^CKq(H>MY8(5<%aS%=-)YKuw&AP15-G}e-?hNDAz+bj8`Zg=gbh))L`u4$-tF(fwh)uQGxo?lVu*^ z-pz3@_{Y8GR-PgyL+mt$QsyyqB}A>3lKE7wyYZ3ww8c;#J`#pY=nqyg{;c|-OY#+D zR`C$^AyCRgk*q5U9MuKRP+Pt6Et;!6w05En`y*ZgDP;qYNyFU3=k)eI0$M7mv(6vt zu6MYmiBs@88E!WjdX=O@bePdPottLaRLqm&Ce3E8h1%Thfb zp`w@K?9ON?6B`WA0iHD5;-iflSuQ93D%mc#ys1$z)tbpd-1@@fKO<&+oWpcOna@KX zK@4QKigXOGaUW|qHUnpQv!bX?5yVpCHW!cd;J3xhw8N9ECfs-|-je&opJ7SUW*_qv z;!52U zXa9OQKsu?M^khTA99?=n)AW^Omx8PsrPsKY5b(^*4JFfO;ygU6{}3&kiBMeZh&#<_ zu)14cq6MD^AY#R7zs7E)F^V%^UzOwa`BV%Gr18D*+x7%=#QM)PxKBe`vVU}7B#c_2 zW~|tNmCOxJlk+zJ(It`i8LHdu-VnnZJ3D!Jj2xMI7{1z@ja0g~Dbe(*kW^v2%Ul0% z(HFqw*s?tZ|BUmkaBdxN_DKC=K?>p4*N*z}oW46aD)_$C8|Skd%cO2{drG>HiS@7l z%#@@5v{z@?Sg3e&B>(5@t0#T(hZ&jmksjCY@#*K>uceOkPqux5+n3haTH1+x1g+I; zJ#Puo@g4U5wQ4b9KjC73zDUl^928C@*FC!uS`n_mh+I4v%1Ae*^i;q0;P6*|em{8{ zd@P=zHU(}7@yKkpRUdWfz^F1giGKyN?oXa6c=n=eMK914VpqwMDv^Z9ZOMK49;bzH zA4V5wL+o^vAM%D4Wdx_H_>wx!BVz=fC;KJ3mux67Lceb{m&i2gNvc~Ezt08efB)1T zMk!qTlNhzb7tHbg@LAueJL4>v$##-a_^L1BMOM6OC8|wXHr$`6c`{d&t9Zq37Zvb)7^LB zURorL?iR7kZZ4AW?g5r^x9&~;E!9bi&1y$S#amG-Y>QeU_;zdzPTKx_WDkLuNLZGW zr7S)nrYB|I&dYL^K$~#bo=_u(j`fN`bV*xgjX2_}l5={b+ON)1*u!rq+r=>*&-OP0 zoG7s~e?PXw^E-$|eoE}a!K4X8rzm%8Cy<{8wZVqpQE54$EP#-dyQiP>`78S3Ge5a| zA?xbTtX<}FfWuvXSw@bC`N&+)jk^jS1-{I1))8xdLB2SMYe@%gH$NX!qZdFLKJq)n zlI~+{0&0#Db$+N(8&?QLY2qJWiOE>vWuC>o95{mJm$djd48zNDiRfNuY<5UIUjyQKkH1aJ3x`@T`uhX z#jLb1?}KRR;;(rTkENOqV%-x9!aH$qe&%+~wbF$#=vev1f_td8NcHs9V^fZ- z`RJ!(?@6W*q(&P^xSutU#oaC$1_bZ1Y&)oTX8Wiofv+ubs~Ar)y?BDj8Y%>ApLkRE zi~Z4w?o%AZ&Im<=8t?fj2v;x7Gi6)<=BEUr0D;SwYcDa;B#2_v^WWBadu#9VZ`D#Q zP1wNBUT;{GPL_PP9?J+1y(rh6wxn6 z?JYYIJOO5MB~XaF6KIMuJu<=7ysA5r}#06 zTam0XVj7^5oRaLy+&~#GoQx4*O*MxORf(X-iCOG{SFqOhV2pUtx4dYzq8@gP$bXkc z`yv(4h#BC3;fU=Z*KU(xh46~WEv4}>S=7@jo}nXGgs?F-NB5^C{t$0znU-i9A5VI8 zi)$Vp-;ru-bjgVjDpf)%NhVk-%Y^S|O##R-&i$nv_)({rPryy`>fQhS6FXLh9o8d8 z=>l%u3yiljL@$T+4g2JEXc)^-7k_b57+P^Gcj}&14gW-D<=w0ch9*hS*Wm)GEuyb- zMAxDV#T2xh@B}PyN#dTEOAc078I;kCN z-W+w4fF1tVOjLoX#527Hbfo^l;sehZ9wtU!(c->4CGw#qM~us9Qy?iy0UasA-sb(m z0p-#)R(nACU|+>?s8Ti=4w}My4vw~aVZE%!*M#!2kelna-f?wPfPnA~y%9M9e;9oKsphAy?k%nl(w<~Ea6-D(2*@Qk`eCw<8z zQu$|=y_k@s5NFK`)S7@;2h}g}y!!Af=KI`1&Ib2T-cKK>n%tbrTmMg(lUOEQz`B>p zZO8{@lF{~=8dR0?W6=5cgqOn*S$jjEO?lfO+I#wD(vswrhmi^OTVe>3oCijA+n@ja zuNukdvZ^2k3Fi3LEf8JYZ9S9Ctt~a)V=@UzUY1V!Q{Xf*CCx@M5qr&N8l7BFeGB4m zy7dlG9-EdtH_l5b8@bmk^@l&7tM{1Ea>VEA$3m4O4Akzd77kP^O>59%sX3JUEN;OKGxV_&aD{db2sSL|HpZPOv$HnK z+q+x*73FnYE)pFv;){E{{%ZocQGFvy=YYxh&ZbeU0N$eh&4o}lHMX-~*vLQ@g&1DF zww+`(6F5n{GM|ZVE{XRYq`KL4FC9z3p3l42b}URb<&vQ&4^#1ncT&M-m+9&?0gG=R z#B@AAml{99d27M2ax>k>t_Hz-L;{+}m?Pvf?xCp2COFPxa!8_JMR0#wDy&4_-8A0A z48P|o^}l~ucO&@Jz2S?PS(!oquy+e{BWvq@!j&fJ$P3;xZ~re4^@IdnTRwZS@*U2-g$@?6@SdKFfn+qaEs(eJq|IFsf|Ikyhk;;UMgA3K=R%XeowWBg0yNA!m>&9!P7dx5+GMU=m}> zt<#!~S|YX;8m*^g8z#5`!(np;botd(Rd)$=y4cg(02?0CRNNz=Tl*(~YH!k)>2+g9 z+IUn^jkn`{aqbI7n!{5|<;xXY;b{D6$kI|IOi3r0^X)}Y%0O@P6vsGesw+l;WiK(E zEzLjj2IWWWdIgZa7BBpp9hiNro9af+HP3IJ>he1Y&5@e7e?-I_O3Txt<)3i$Oc-D{ z+U7cSD}qx-CcAIOt9>|DXL%frhd&23FW*p!P}0eFGu}=H!3?!VgshH0F zUmq}+Q3Jt5%0fwnUJz`i6zXe;$tT%m(|#r>{^_@9D% z2Cp0{fS)Cb=>G2?=nVgfYCEQQJ{s|>CTgRSln4{u5M166>*VG{;)*6j!X0#3tLLz! z5F4^J!jLiZfYEWIH$T-7MV8_+Ofr*Nre56X-JE=xS}*te?~U3*=xnlT{V|1_#@Me( z94h!~#~wc1!AZs2U9h}vNJ4AKyoJ5+`8HSRGfGPtwCsmZ9;-$^y`sL*1i*Wh`OZrK zAGnjoiVxxhCO_4*Wm8`Rrit}EwR2yo-?jsU(akqt-otX1$2|k*thxCQUfp;Lje(6B z{%Pf^Z8v_%mS4zK`zb!V)z9UvNp`6T!GC4i=a|9k(_C z411z?*?u_ME#kXmKD_Y?HH??BUM>OttklJy4%WywV17vFOJYg;Gtk`&h>~==#TjUy zCEX?_23%+INy=h90v!@4@?CbzCxY85knR&0=dl=qA{KFThOQfni7>wJQBsS2rO>vq z-V*Igq3tL|c5>FzX`Ro)%bee7;rFxjqHz`0M(-*73;S~ipMdEO;Fm}TgBW1Kk}@8s z;|5fZ!Ab2^vL3ACbKr8{o}nAIV-v+{%p-XX{e*G5d7WVvweC_Ghe`(PcwCuu_48Vo z*pi56Qbl(0qT#b@xmlJXFRXROyN=4lv|^q|4-#xxY2Q0JPQ*U*)R&IX@{3_isf$K; z^WM^Yy-hkfdp?1wiN0atq=EvRd^mq@x8p1sJs&VfYCnYuAO>z} zWo4qe1=r0N(Emo|%MDNUx!iCq1nH&s=7V1NPe5yRNUYDH-_qX zYBeKHE#dji^0~dI9z|Fs*yvE;6l*WIpPmyiKHRHN0eS}_jGqKdg}VhmR;_7gP_$@n z2sK#Kse0D6SzcG=iS+aLbGxxK07#Rr+=0^&MM&Awzj?HDujLPnqhAXJxYQE#dpN=U=o)Yqd?{ED-=&qSwJ4y`(Z z*XH4$Mz7d$Ruet;=1PzDiT&=rdT6?uI2vI`^RCSg`prTJm!z4ngu|I0#)xeSQBQsX za=xX^mx@wN#OzfL#Xk*K)$@ORnzR0nT}#%2@Gxz=4G;ls>Kxa->Pro9u|${4(BW@i z3VnpO0#f+7v4eA!chnEh@$d1<4@DR6UGP^b*%?W+5?|K8xPD@E5h1h7-1jMp$2ycT z>6rd|Ee3jvs7z``K0YW~ijN$)-Xo|rHkn(eBL>XkOZrhzlq1omzaH@T$iYbF)_gSo zeYLLf%0P0BIYZR+y{4XiP;ES$r%1v*+ZEc<7>-+;>wiLx!2voKihHifg$aGzg?z-x zJ4GRk_1LaXf#7SAyzu1N6Xi(B$o^WjSAns2b6szTnSNY?hvHJ!Q$7PWnueXeJja|$#2`GJP} zctI+SYGB~LQNf-JNPe;% ze=T_OOfx!$M+^j1(`8Rkgx)=RN~J{y7VY7x*8hx`_H0~Vqhy50?2q1v)T`Dp%Z?0Q z_rBMS*qY4lA-ggrUS9^$t4^{WvBu{GORF2MokT}kdwn>#Poug>H&bSrkK|t}NJ_R* zW@ynpMefi${Ci?>*0CIGmYj4HV;OdEe(g5O?X9Aq2;Xq< zpQLv?<|Oej%W9$YYvsi?zMLpQlsxysMyhuV{q!kv17GAU_J3Zx|F)6d^(rvG^0zLy z^@#cDOUqJE_L?_PADqw%wd8TWN~_eZ-^3Zr8TS$%@M?wsID)g{T&nBawmIn0U!J$Y zrx0EB6J6rv*zTf{QTuTGeR9KzdTm%BwLg7*X>+vBKGvW)!hnjSap~eDRwnB7Z*8RJ zynQY4zkj;WVO}v};QpHb{bL~+SLJ4c?#*QYmvIbAm;?5D>}VCd$5?dSNp7d;zA_+w zIl;ILmtp_ATen591^se;CGZ#?2LEJ~r9U!)bsgZ9(i$1jQ82K*+tuun`TrDyvyccD4P;gyzdiHP;cuTU#B*xEET~^TI!q zaJLAv;k1Zxj9q{{UZ$0Zg$6U8eyk%MeVD_ulgWQ0B#6Q3aMwF- z=H`L-iTlH?;Q#%zUESY}Fl!(yNiZ^3^lzi7`sS+^23Ug{wI<-^2hgI%{n(@v4pO;* zrP1w)>y6{VY+JEoo7AQj{??aVOChmd*KzMk6)R^h&d|akh@U{&a=_|bE5X{o>~6qW zEST<@wnus+YoZ@z*e+6Rr*`{Y?RYF(dE%G372r$d&Nuni?E#D&QjVV3Y3{&i;P{Dc z{FHh_Zu2~`2U%-`ucs(neWb1@;TsK|HsL5!byuCIHa&BMpEG@_=z4b|kes|sH9vC2 zEX2QDCwg1_pN9TO;~PMs_Jcsdwhmps)#=E|&(ycfXW3@z5^}9TZ;M5yuO+c+8tYPP zDRDc5jrEBThJm-QwJ3M`YOhVHTMit-6Hl}NI@ zmV8mtn061a(=MvIgVO+?&;r(r33u_9uU8<1yTPY~yXQrk{F=WxQS>ow^4uDYK?Paz z@_gUng$iurS5aRj9O?uUv}Of{8j$x-xC)+zIAtccd!ZhI`IBDsCaW&>SK^Z`#lxPC zeiq-PlgUk~GXr{^C}Q?pGVEYKgPMnbc1^kpvzAN{LSkwnAa>dt&ZeE79QE+hRrL3G z{fwfiCyasE2s;Wr3%=x2M2+7k3b zWQtOWdFAiO^%gYT1=9fl)~6mmI*~h|ms5Q%NyJfS#A6RpP&*vFA{zz9Jf~-u42BCY zz7DTXf5z-fY4ST#VIy|Z;L85XPP{T+vRA+=?$_ZvkVZ-YH_Vq)(rn$Q@khu!L&#KL zH7vm9D54w&*JGb1+-I-s$Vq)*<>f{x;S8t{sGgBihjP8UlDAI@qte4jUv7OGsUN&q zUwO`M8EPEj;`+?Kuz>E+4A3N=1=)*zFMP_x>qc_zpcJ=A3-iuElVI4 zo>9X9?)P*yIfYVh|1<9-90ycbijlm^dg!x#z~*RKSh<#WpF33GOhVd0G5*YbC0In0 z=RbX)JTUY99wKir(p%ID^@OcJY>cTYOZCPe%xFG?Rtc7LJr^|VoQ)&wv!_)IztDm( zy2e$+d+@nSKxW`q#aI)`XwhVw-z$r3}R#3YOCS6+j4FW)Z9`=`|T77$G+ znac!*cqTS^sL}z|ibOOeTb-vb-McsYK{mYR(DysHwpmW?7kg^P2PoeoW5co+dyqq$ zaIrM42xZOX3vl4%2A1wwb|XyDUF{o9?I3@}+P4P?R6O&^Q+iwwj-6g3_QY7wCWb-2 z(DP#t!V7<|DR6$cRF#8BH&c}ev5Yn|CW_@9VCkYPgwh=z&;k~$Nh(!ofzeG$Q%M6qYfb}Ft z=TaV&!j(yp*3+35$>G+s>}E3p)EWATu`s&*{+o?YymxgKC4EHkC0TnZNa`AwLCrpU zf0j;&Zp8>I&6t>ddE(`)@$@~~ZN2?16Vs0%u<7^e3JO{9$w`pH8 zfL-4~X859pK{Oy4`5H|%A?UY(fV`vP8A$=ug5h++z4@E}Az8cVV;r{O#*aqAIub<> z{6&6duH2|`m+<6yr#DyX%VB{O-+lS4?RM~hN{GbRn(B$Sg4N_3)hnH9NAR9_epEPa zSx=|u6HsuY!TS?<(39^?g1LaAjzrda_M}7}A(%ZD)1g#OsX_%I*eGA(N7nz2pa|el zbMli>NiMRJy}C@$k@EL&S^kwS8>X%aBjsiRrkOXGO++4~b*272-BH2|tu`e(Sjml3 z2s#H^%QF9ceBu3~_CVHcueQrK9jJ$VVWXChM%N9eH6WWe;HIS`W3$za8QR+t!uX%)|Rq^$8^nbc1aeQ2~Q$xl(GgfMO%CZMi7YghY5Q_-C!&=>XKO=3h*W znQk?Gu(}Zck;t0AdBHyaVSMT!r%Wr5lTyTT2fpIxYM4@o3%{NA{3#XZuA?G-FHF%k z$Y{RF{xC+EGA*@RLVPz*=97ERq94%lMSf4oe&uoghAvFLOz_j4b!QxoEHE_TQ_ue{ zrpv71tln=#2ucz`&A+sTXl?1S3QcCs&Wn!n&|Yk^30y1OuMLyr7V}K|wO)0>-8Gm0 zwumhL^N#_r_!8664i_q*U%>dfD|=YV9Dw&<(JJ&r6|V1N?H+}wQwd^Gmlg?^OnH}M zHg?3V*O#~os`U^2y0NX_dxNr!97usH98tUsQUrdeF{sFa`aMyNJmIn1GzKc;GGzy=6}=2%x%Xi8Zx}Tb;te8Gn-kv;yslzxibw1#xMgt| z{~xZbx9*YQDjHAXT5wUSvN1VFYR4s2QdG6yMw|GuX3E|;k4Elq%v(oqy8_CJ_zgJ? zF9Xch7O$J<$%z#x+$%P#UJG$jl3yX83EB8Px>o%v-zo}3>h-a@LP;#n6T!H=)BMZ( zD#iI8`2m&Ma|2_+@`Y0;keZVdslAa^5+5}EEfIoM)a5(iNObNih}*iA-*Gz-HGPcO z!&o)qyVZ!LQg1+}lOC$;pE!>-6DR8t{kfCB|1G*(1d+}mKA!OSy!h1Nq0aTUyr@X9 zMDG-nR$B`5u3r3`eyTyt-pr; z=`)7Y6dRr59J_q)^K|*KLj<=+#`oYpWdgA1-leg50|g&0iWAWI99VNizGV5d^bJWl zr#h~|R8G#*fow_lMQaDK4*Ws?cAo*c{g0yTsD2;jrS28F$$o*ET@O8$OA=jQMx+IN zSn}!Va1SRy2ltZEf+kn>$8=y&E9y+v8DgM}`!(qx-ceja?$)})^!M~5Gl zrc*}4Ou98o-_^wN9>1 zd&#pyavrMt?bw!)w(GVHOg^TTg|~i?t7P5_UJs05WJM-|OXWT12Sr1T-|d1^I@Tif zQ;)u|OOo!^ zsIcYj$#bCmc2l>`i%F_K@fGo-pV{_sfX|Yw@r2oKDKK`tQ24wsKD76I z{%fGr0QRVi*3TPP+fx&Zm|Njq%Ss9zD+4wsc=kvJ|XGDv)Swq39 z*bZ%O`Z!60qtgeU`m|)lM7IzY&i;<{oi=xU*szqcC^e^5Q&!dX>vYV2CKUZR|%S4ud-(FJ0@EKls;C zW2>L`;}(-YyFv*w=vcr7)LA~OV83!O9W(3gA0_$(A(qMN4e3_q{0T*OA_Y#wu5Mw! z(IYp5lAGAB_d53ZaDUin8iTQp;r>deyI-7$x%4vni4WXd#7)#N)DLv$4v#}$qYS6LO(@b+4t^x*}8RP(_1 zq&VnP%BOmkJggO{;`);qK&Da{n-748d#e`#DIFr@c?K@{2ugnMq zA*-amPY;YcPMvatdOxZ{8^kClxR#0ya+a+Y5GR{d{gR`H2<+TP26VtD@rP=WHBU3& z2o0h}vn#W|G!ipXq>~IVz;4_2YF7V72OrsMIU)W3CYPG`&T@B2 zJ|g%H<^Q@f4VF068W-OzgRa+_xUty{!Au3zfqWC8&xlNq+hiL)X{%J>HPscaR%7kgcN!7UeFg+xN{&1VNzXB$=@wNPf zMe*2ai3Aa2pua}!*4Mg$XjQR?N~oAJqz7o&T7Jh%HmQy4lok#)qi1L6sIGU3(eH_9 z?u*P)JJs{hd@h#Pg)M3fP@ub0x+R7_Km4OH?&6`k*TrI}HW1$Sbf#C`9V&+B$|DL1 zy#0+fu1?VTip)I_dUO)6nRKUF|25&JnNW*&A4q?Y{I4Ma?1QlRGK_nPUS>$+;Yx|E z&fI1aFO>3lpGQOql24bqZj$g>u8b;g(#Eq>Z@XGPpZ^sOaH)36M4pkM{Va`v_>YVub&q9?l#$8n4|Qyrfi zZ)$cKZ(L#H=y%Q&HMGn7}zH2l%>lG1uJnn0{a_5J$l1oU-9wZ0{Y@Pb4x6bzRwAKNt$!7GO1W@agJg(%v>4A5gBtEW zOG4ZEP*1M14&L2s1SGbz0j?1sDuq@~;cE1labr_e@G&|}iQ$QTUfhdOS6Q0NMR+9> zV5R5*ybs=c8p3_^LsaB2po)pix;h2m%BgvB2ah!ji{NMOP3)}=iib>gOK>Db!o&S= z(X#C}?sm^sj(XHNSM1KT-EZ)z+*@J#S)Dc=8>qxV^in`A&If7s)gpohflQH{3 zg9+a_y61Kn=n@jX8Wt@g>xJE6tQYh!786`gGFG*>YWmp9D;^J*1hlfRt|nzx&TZ9j zqFl0n!zVg5YN+oRZd25CyLM#wgBSOK!>;IVvQOr*VfKQ?#h zxF6Sj#a(SSXz5oKAx}xhsO4@0=A-|;PI2++xj6C9$ex@B_4+wZ*oQ#-(}XRt*wTyC z!C}RX7WyknF}V9!&EF_2tUkGoT6V{1YQd+&jrs!jKJ%h-7 z6%BJcg$NKz5{-aCJ)<@NbE)Z4D`reIgnv?WFR?ExQS$C*Pm8M?-i=O2Dt$-lt%c9J zmDQcd%!aO5ZO49qmAHnZz=?$rHtxrM@qpAL1@uid(vQK}fBzea>ftNVF}Ec^A~ z*<{6C^@}Fw6KN*0)EpL-GUZ!Yji$>yPk6;uNlw_oq2_D-0ErXcO`L~#bo?|grK?NE zdZQog>xP)tpgEh0EiKN&fsIxt0C~imz{QcpOGa3eW?Q;QxLfxy%T$6!^vb@vecF73)Y{O#Z8kCIM+q z;cMF1SWXY*+?pp->KpFQ4~Y@;4C{;JqSRCB+~JH+P+ISaoLos@B2J%nrlUMu#B5&u z|IbR)&CiM)SK#u{RZLb9#Rv| z-?VDpWOA=~>qTQgMX_Pv6}lHe&^miKBf_x5z0^M>fP}d7S^`ZQ<0lgR?S(tcPp0Jp zUU8f_srVG{r_6r1CTuj}ELxSR4Vl?kd8@s_jzap0J=ErP-4oMDn+EZE&JM@7d{95M z0Sq=g$~L9UT3{TB6N>bj+qf+6z zWDO#K!5W~rZij5tf7U_5e;nPPaNEw%bW#A>uW-LISFTm-4!*?wDCnUX;v{L)n&IuV z`&t@qg{6m@wLUOi{uGNhz?#t#uH;m&%*HjrSdC+i9GzE%w_A8*x}-)fCe|pETQm^|u{k2+cS4z1Kr(1G@ z!lE$|7TUw2<+J{%g*bSHq!v4g2S4f*-wjpXwpt!}trZ^52vL|a-|1Qul;F#-^|IQH z5BYv{hdXe0i|P6^pTE-Z|3}ezhb6iGfBc*>M~VwKskv}#E;Q#?QCz60Y3>2eoRyho z>T#i{sJKVw!CkI!SLWU$#Y#a-A+0c}(8%29$M1ix>w$~sf&2d4@AvD~7Di~z-X&t& z5cMC%xb>QAEBMcwpPsYo#?_gA`tPrM$m}AD)p)$)|DFJkc`i*}DmI1Gn1#e8Dn%&b zU7u4!wbukboxnz2#?`uivp{l;IJ?8nM9L$X6e)@LbZWsa_4VG;s+Yq#FYLg~zWup| zDt|sZi7`SrNPpw@KKQ@C#w|(dud$MPzN{Kj4RcV6($tG7RP67mTba~xgW(de`M~9X zk3NAhONZIwMS4QqlrPmsa+^G|Gu#XOS=vt1E#?MdX$Spj6u(FITKJF(a5OwW%bznr z+zDYH#Bm~e__S4h3qpw7C)+_>13r2Y8wDCiO; z&O@ZrBzTMzcWCCL|EYLYRjq#~XG$V}cnu`;#6D*=Wky0|p{@A#Iqpgxfz5CGqqphH zx*`GF^x@LF07#&rUq>q@dw+bB)?RUoWMP~=U-4x8qb3z7WFvApF}79Lezs z)qJJt)5SFw?*LYT_+sf#26H;7!>(tLDX*i{j*=@iOJN+!F3 zy*jQe@KRmeE~$M>0; zctrG^R*hL}DJ!N=o;w>;N^1i%R}^DZ+d!P;A9mW?J2+om;1k8 z^umYG^J$et)yOL5$o$To3F?#p0q-}^s@1trdQlsO4Brg z&Pp`M9@C9cvKhZek<(?+ja=7cyw+>-TRZIgi|fh~=HN(G{6gd_DFX?2H?iU{bE7n9 z>nY`iCt#p?+k$Sl`~>xgR|o2ttO zfUU)dGeF=w^XB>rQ1!FLVv}fx)bFC4sA3PH8s@S zzB_j7&R=1=toxM=J9tXvVeTKr_Tm;4KJu2qz6>CVJKy;OHXM7A)!HA#n2NwML=cz* z8wGazdO_GjLsVLZR=oBt`{Z}N zAu*wD{b%fz_NSl^G2r-t*c;E990xJRf6g!uDYd_Gt!rj3Ed3tFIqW{;oy#G$D#D|_ z7I{-L@FSs{)wPcxDmRZuUOkY2y|osHhU3@_0SGf7^St9gWH)cJ+K?kpt~+p`cmN1h zL+*es?`UBkCEz6KLJ^K>0&r? zVfzY>-#ES;IBQ*!tb7=hVc8LE0m(a7yo2knqThV42E+Q7OAXNM*CycC9&aJPX_OIS zUIl?+b8()Uj(9C6{--K`ER@W)Yk9|OFHDMXYU+$7Vv{q6gC5bb@>m?6JzM9=BEE_J zmCPbbI)5Usscio6JJSSiRJ5P8*?fXj+seNTM`I}E3cgym>yS#>ZmxcZ67=p{m$%Fc;~|BbW~q5u6ah)yq>1=1xt|9 zg)7J&W&FEl#hfIb06YF?z+)W0=WO!u>ulDbX<*CBq zqr~Zj^haLufCqh=VlDfFs9N5_^wT1V&@r;5OW$CmiIa#Ekat522 zGljettF^-b%j8vFOF7tDnMI7=oNH}C1Jl#kUJJ}zS062b-}*ON8aph#eJ;MS`x9VoV|HLw^tk9 zl=8(*PP#YKj$k}5;E!2#d-{4b)#Zt&<`It^wZEacywqsJ!Ig zOs#801GHzEO1&z3zhJx~ZOly)*O*DQ3KKroN~&^I*#ilw@YAek_s9zp@2QL#aq(ON zTZVa-97mzTDZQY0Ow%Dc#FKv!dq{K-cWM}aDGwZ!dz(zGO5D!FD>jCW#5Wbc0(nc; zT=#KIogIJl{Ek|1L8g~~<4 zD=QtW9zynWkn3=}A`(l2e9AM`+kk*6J|7|Oe5kXRF^C=`t0`t8Uw??g-b-|odXY27 zZYZj(33hd~ne|16J6sxp%|d2fU0uPTn0`S`Re_E=IZY>W0|-&aoO<4zV0mtW!nKC( z@F-s-6=NRxtt9fUOq>Y0|3Z0iYEN@0bQ^A`d)VD0WM|J^P{U=biwj;1)sS;jH2O0X zV3;m$>TT<8L@iHpXLe~W{12*H>=@ds;!$<1pQ}Qhcy70Oq0--g)|lqq#oA0rgCadO zES_Z3)tMdj#uux&eKplX6*qnr)2mOv5x1#-a*UVUb`$Qamsxy(M4ZRfm>0TZVgur(oe)wt@h}idEb1??koN|}i)-0+ zoiEgrQo_`!3+4I~`*NyZrQP`gZixxXs>Z~qvfEga*O=og%Y4h_JfQ?lL!fl;CXdg$wX~79fP-S25W`dfT=3K`m#cQ8D0q2ZweE+00knP6J<1LIec*wRe}!oQ3pSgCjLErc%Vs42R9Opvz-!hZV$ezbcc zMQ(LM6Ax=2MQk>(WiGjVfyRu2Fs5})&eQKgF_7YrRLP&luzkntsdW}!V5brVFG16g zs3pP`2Lfz?lSH_IS`Ad>90A=?$*QwOId)AbtncRB^~xb`Tp}{$$?$pODq_5Vtr!4< zKA*z01Jmxw0rv;**dIDr%skjFVa-1HU@kF#CXwwS;^x9 zR#W_puJ*sWUsW#Djd17+$;8!d0p*+19TgU;cFQi3AFVisPHo#*>z}ic&8|D~{OxB; zU^QZg|K<2JV{s_&IfdoS>tYu-_txE3fF=jZXW zS>lZ*GpDF3kMRrlg}sSYTc}bAauWlzPS zn6=@-96$V?rTw=FNfK%r4!GmSk(V7{#!j~oGh>p>j9K`3rx9d0=FZZApXu?rQU1wi zoF+k!x*m@tmI1_6Jw)y2$o8}`F*-!VXX+1!DM*I$6P4L{pd0IV<00QO3EuJ^Hhi&D zR(R_n=xU0tUXjLNvDcN7Aog{o=hHVmv>@CcMBb{2c&t|1r%yanu}qCIRmo%dw^!P4 zR4X{5NS_h`q{|2omjq3|I2tSnnyrutzVRZ)nngu>X?gt=&{x@19&0n9#>PVD;x=roljY$6D^BD{<3oU!|zkyWMavFSIsjyBaXyii1Yr4cJUlJjum;a;Wi!B1c z89}TuvO|WZa(9Qm=0fDKQ9m!8Ewf33v?vHv4G%?EUnLkv+o)NYiWgL{aKX^~|62;d z@#VpaeJ`@~64bE`wbHVt7o?>01!&gW2b|MZ!)5+{fiAORW{!m$Bs)m7r|-oPmb;VT z)fiQgy+H{f+e!CeWX#>F4x|&^D^Jd?DgzApG7FI+Jx7kw-n|mqU!0A6qzp#{e(HXuI;>9b7m9d9Mmg%=TV*$oCPAkE%IJ=&#`SYkBBQO3j6K zV~Z!5pH_S=RHe(`?xj}BKJo!76Rw3iQUK}~hI{loT9&r-73@ndzcVLxI%Q;V=~lBx zwyDSjTJt4VXWk5z4mwFOEL1V7ma>6XRY#Xk$*ST5(Vb!Yn_gUrVo9Nl_Q+) zy*EN{FB98BPGvsB54QS3zbPSggaMpQFm+Sg6+fkF=5P0Q2> zU8}A4INSGvt7`E$p?E(Q+!^dbY39@R?Z0iy?1TY_V(wL{mK>d!uO)HF`cvZx!%}LK%gmtf{(d%x?rz zhEu6V3pgoE+c9mfyRBh)xv>s}A~!0HNXV1mBM=m2e$6dqo>!|IRi0MU-&o)kC2?yW zbU6cKo@sE+lS>LSw{hLt_y;m?Q@uDe*csy+nLo8YYs9j?PYZeOU9WR;hk{3U;M+n1 zKWj&f=?5LmPOP3W{0u92ueF>h9sBX zLhP|tkusKlB1zE8902J|1A_3R| zz@&`{+8rWBA4Drk?ouXOA#+Xg$}l6KM2VwS}mZ} zpf%7T@Yz5~iMGqJFeF`XJvy|(lBOd|`Xu}WMuP^OrfbkEv2p*+g>`=@?KPO)a>ksllUWv$ zhVcUT!!Cr@FVevSbp>UV%Fdxna4p5Lz(bIH?c3?X`_va0QEJ?GN0T5|?KSEzMFAHX zuGmMpr8jk`nelW@%XLtA*ab5)AIxN}k9|76T|i(9tcE%*-@^Zhqvco#{Tica;1>_k}mJWfxz%kJAoeBi(C$WJG(q9-E?J}uv3PNO+tn6LdQKbPZOGGcg(;&+v2lJVyI3O#(mm!Y7)hIA9x%`LM+Ta5C# zE)Oq1W)FEu*WTI4m0g+J$-r{Fl<&qP=Si_9F(VFbC$pDgL=H&D&@5Eggv0E_kvox*5q*z*aqtR8H$Cfh*_C6i;$)fmI_TdB!^fU5}+s4F-9}r+BY$0 zzVhFib?fu=3ip*OL`MjK$G~c}r zYQnb(P8TO#9zyuPRHb#lkK0VmxIYT3p6Guj=EQnDQsyujJDe2t6@1AA`l3Z|X;q>f zdogrw8OmeV5v1}nHS*~mGwN#BWgRDRFJPaA>adUM2qCW=mYc>2EBkgHxdYL!Pb8Qh z=nYy{mCMDc)@99Zh-%IL5i$w>ePb|^vfVs*<8c_vd?`uv;i~y_sb{jxbal-GuY@xhSQ393igVdF$$-j}trbVtI%sx!A$Sc7@cS!}^3MTRZ<+|3S!5hSn#(@%eDj5F z%njES$-tK-Yi~+Fl48MQMH_9Yi=1+aU36!z1I0+^=Aa+OUuOQ+yb}-#39*CyuSdKH zFLbYwr8sKXzCt*IQyKK$@m=zTR6tXaUnqAfBK_ZWx<{&!&mcv0A)T z6YhJ@uHu{^os}+wNtKl~%UP3i`TpnWHyQ2->Y-52R4j8#fw$Ra#2tR*CMO(7*$n zGMkL2E*aH)&YMp1@BP@y43+qfki!7+UJj3-_*eN?%*+BZN4Vf|Ger8-kw^oV0`tCZIv zB$*X5E~SdO(`b})DLvlD1RuCcc$#|rj#$!Gx60Z@j=Hd)JfoE;rRq_vWF0|uNMSu7 z&h?&vc&pXY&x+;4A88+elg20f3vtq9Z58l2-OKL>6U8(NnP)W%`!2v3@YTF@NqPb>gB%TI`dKnPN8;hfNnG)~ z-IjJS@&;G#5d=gB`MUkuxT4CY7?hB770gQDpV5`L(1uaI?`J$=tm{aMl3edZC=*}V z;453+y|sHml`S=Z`2%G1S_2Ulq(~-MuoBD7EDN$06WXM7T`P`?QQb=v{s2v4mhaMx zSh9sqohS9SO{NkZ0mkEO9Xi*gVsqTdL-^d)S(7v#gp$V#8^YxXoHA%1)4nzjn)OU| z!9+Z6mJi67O?{Zl+op2!y1B^YNhlYBcDEN9J~LOgG`G=oXvFnUu@JZ{5T@u$uA6|R z-yXmiXQ|}L6xehRpgp{f_={F7oSirWV8@1!{Vy9)Q|Sig)^Fyb^rx2pya<_`Ev9MA zq(6NYPe*ZZN^o6>Vj;ioy&gIw{lFb=22-5zpe7VKNsP3MJa@;mabXMp7uv12G{CtD z$i01iX(FPGZmT7-HSV-M7Gz3@Rn$$(^@LujT1?qp25BcZPRY3_ajql(Un|PB=B|8x zs5<#P3T(KX6EI`?Zp>+Us69fcpyT{jtsGAwMzA$&-eZ!GnnJH-K ze}5rD_A<`OTJTzPD&fBGWt?bjA3pfGaTrk8U;VSteV{vPH!dYk-$Z0Qeszzy_BOl; z9|OrA9ie$oQZkGyT0=A5|?jX;#co1lhLql1*n{lgF}Oz1nmC%tI-W>7G<8o zCWKYNcf{Nyw*j!8TZ+BjZzL{n%vHZ115tK^q52@?)2(3qfQTq-C8kxh0eJ@?|Is0(k!afoqw;7@_S!uU9iD$xfbr*C9i2#N&;S2hc7)9!te+Z2! zO-z4-g5B3QP}#1)y3U3H~+em%|W*kG0CA&?GMeT!t8;9t<9a^7rM^IdZ!Y5Ijk#)ijOB7EWy z4q+#Jcyc`BD(0H(Q38eb=pok^zkZQj3VT#zWI}tk{P#4zqPBC+I0Pf7@y8>8&Ct>_ z7qXL8OA;?5oDqL@2lxQL+V#C;S#If@f{H>1f%tu@x52hJtsk3aT zHu-eC=AZZF_$~bFZVy-!^my~e^h^|eGT+s?*}X7YaD0B_nP79E><}= z;dRa58ElNUiZYUD5;JKvK_xnIe!QQjW9FlBUxQD$iU}W%=W5$kq zNcx;yY77YYc<)Ec$C;oiaOO z*yKh?-6A>L&Ist&yPx%aDEe(mVOB&Rt~EdNA1Ku-qrAl-Hu)F6Yf8Cy;X9>rpO;jK@{i^Pi$a zYuqR)WVwoFx!Kakp>WGmT-`~9ZX$(Rkcs36&8&*@r^O3y4T$dvMRlUz`ITNQ zYCkO;2~0*^ua6CQ!GEBQX~li}RyMt$Yzwv47B~O#I6NHp;#g2r2;~{wUP)|W@Xs`c z1c3cV)F@p3N179=0ZXLYO`mg8rX=ReuMU;7oAELmEK=-pMb>?9)hugIKjSf|Pwqe; z+f;+VeJ?V-2mMUf05rwzgnRVqEtHUmuH#A-eT&nhZrEwy!%)Y3N9r8B2yHp??U>aK zt#R$i5F2Co?$V~0vRUl-tiQCBHi<((uy2?U%wm+8yO?&hQU6MIJOa3TU%prSPnf`e ze^JBa4zG<~@GVlXQ`OfX3I19d#W0MdTVG5dsDIr39gUyHy@4&_`y%oykmCDoeb_#> zlS2bo#j@1ubU;FwnYasSD(GTNa_ucltCo(}EI-AylE3}m6*eBE*UEM5nn~)qrqO{^ zOPF&Uba|H6L03_s_E~FdXW3;@Y2e?5iAU}Q(momGD%cBxcIwm{ zqR1*e$~jl~RvZFWU(q1HoaWw{S1REITbg4vTH6IHML6dy3sv@D26LKR@Dya{-V$?- zpzd%v3OD_J#lTU6CGxz5uSp2?*bSQUX|Y_@f}q7l&7Uq7h-c2Yj5`g>-Dx`;3(~$) z_*k%9^`tH->}u_nnfnQ$@oS+`Uue%1att!>9O?@zu^{%irY}`oc_pTEe#r&$o}$sI zJQ5oaBG502ra>8t^9jafhTUuG4BZp`EgWDaj|4ls|6qQ)oGzJBhUMQm6wcLDZfxyr z^%%|@x)ikRfQ%Bb({^+SX{a~6%!F>q5og>rZR;FPMLlYvp(PM?q?!0`R>-ZL+ezr{-P91oGDj-JT|_3TYNB{}tiEbmt}cX9d-C4(%(_e0K9m2Z{gv zW%(&lAfYo`r9=AQ5ob#cUZpd``fPd?5h%~BtaTypO))$c6e3cy?JI_8Hs2jPkk``E zHA09dG`mG?zz{xx_ubX!j@t7gO`NFrPOs7*QzZ*)>>0-Hze%Q z_4`gb1~W7pIRe+O zheyCl+7A4eDnHbPjTAOJd1N+*&I`3|!@sqPK|uwG=ur0kc!Y})TaVr;o)}(f&19{8KT1gyirbb0dbcM%_+S6F!AlX=l2%LU|?z)G_Lu>yLWi!aq zD%l^hgR=<;ie^Yj#Tioc4GV|c*NmxilG2hk`Hj=H@d>>C8KtizL353h?^H(li`yJ7 zX5H@qkFIw|T5F#JWT<~edn#IorhCj=MsQyTm)cL1U#Pkh_zg6|6iU!ByT@Yb@pS)p z^J^2Hq33Ms%vlXR@GJ-ai>n19Yi%NFBDE~U~&1B;m)dP5n>cVZQ0jhfr3Vh#Q1DtP|yG8B$ z${iA$JIlVZ=%2r-UwrV%%x3C~!gffZ)z3`aRHk>Z^jsyH@#Z(1wn7g}`$OOY2j5o5 z#T7LC&G&pU1LnS{XmR5Tb>M!NMzxhkxcfvri| z;({jVlzKqGHVMkJ)YUyIDeh!Uf0Q2;Y80RvJ5XHegdDqcJ1};eu9h9ttN#e-fVgSH zaoiur*MsX7yArbYF6dXo| zuNoe?C%R!cz>&=MfplZzHh(jX>a{q$iip#eeJRonNl=4rBGSHSI8!6KF7ZC?dD;AP z+aWsebFVdR`p@X3Grxgkfqm!JSMez+T;qdbAG6owKN4>)#S0g-lc4*8+XsT5OSXM% zM|`H1X7_KEjX=NM3W76K13WayO@v(L;sJhr*$TcjJ(#3 zVqgBma^xQ?sqjGI%{n}WYCwe0gkMxnB;WlF9@8AP{AJ=S*h3$kB^?_7V)5cyL8_UV zTchaJ^ASKrK>0~St7x0tk?1Y=%yZV=8MheKloAJ7)Xs|POP1>`eT9G~pX>xtinQ$A zq{|U>Shs?&zC>K0m@`stkD6Fwmpk*KKVLE`zrNeHJ2X21gXX_(HxhQwT*(1w%!Q5T zQ*_Hpvn=-4M041=s*-C#6;Plva2|!47VpfYRj*Y277OhmafC0VJe#%-c_?(*0rjC;lvwr` zJ|7ugv9Aqx^>B6zvzE88juKO~VW?f4z5fcoZr8>~QD0i|f2biOf8YjriLW6)uiSVj zH~jo8Pum97`AXyI(X~sr$5e7 zg%&k>Ev)tprX|vv_qft9Zbaiy`$RZzJnIziVUcCV!%0w-ga&qNOSSVa1k`Jdc|SRH z7c(%B_&rXMlDd@fmZ87LA{x=%#9$UAlN_>U<2sPxp~rHojE9?b|NZq(diFXk+99(lt0h64y;? zNC}VAUCK)Q@(H6swe8in0{~eh=Hn%JyUo1SjCeC~v#Qzg5~o$sMVAa_)B&8q6MDDB ztA~DNRa{etdapq{V@+F&L=41T-W#Z71OfRx;Kve5Yd~3di$-&>&v$^0nyetEW6kbNPW&Djo)32o*HaUVf(Njeidj zqP8g&UNTOb3hVqcWvoVA>7OHbQvN;Cg>I(?8c;ZLvYpBdor>WNiZ(&32f_PW?Z){< zFnY$q)t#HE!lEt~W}iE6KI(=nDLj(`XQO%I&zgVE!-{PFjg2pfG(3$J=RSz6xI|H%wG(gW~j?$ z7^A!Q@^YDxK^E2xW-?EZ{rvg^ZN9-RV?KF@T)PTefe_xnfM`Vo7f=W zlOPpAzI~rv6|>6N90X0;<}r;GLV6yc@m~|eAKVPK-l-*)NgVD( zMPjvmWBw_K8njTlm~bQb-Dt6PJR<7_rXbIeDOy6u&}>>o8Q zSs`>r6)g+nx1d3XsSyeqYO_9)YhW{oy^!A1kXjI|^OVEMV>B&)CM8``RZ3ktr)t?2 zd48o*`n14dqz@Ms{jq8!QPirZxop?BIfy3{C~XLK~>oBY!$uBYa*pTv6mmI5}*!Iu~a zps28~OEedc05)J92|8qSNT^cy=ndxbixwt*i@?V)1PDj0S4$~(;97qoEjD~iF7EOv zdeAHe$3MP)C_Q5FG+krV_AQdTshZ!yw`;YO$x(D<5&&^bkdqMr`AyvVCgfI3Xm3}@ z^L?rR{;F%UbTfC{K!d!?FAa`2ExTl}DF#LQhofoF=!(Rac$ohafm<6rn!2Id2UdX< z@_JWx4FwK8v(df0kAF8hCxF+BU6O|KuH6vVMjkXy@^RR8 zh1`Za1x{)Wp{92Bh~y1DDvBMAH4o3*-3$WR?ND>6bK60wx|dSu{;DHN2)~g3{<`4b zu_d(m45DqwEgmPu>gNWID@OBbKR*#|M?IS^)|e!$OsVNj1$d1DReExR|eW)`#L*6wVtWZ#bFtz9$0u*nO zlg1a`q~mE`cb)Yk*f;VCEOHrkq0=h*sM-NS2Fbd8O4HNqK1`mEO0qKX)NG$}Xk@*A zNu9GhBKPN&hv3M=oZpHBm0;nGvfBVGwfiVtW!su1|qdqGXJ%8;pB)#j`r~dc4g^WyCw3!hs;kG zUHrUy9{BaGo-G3!u+Bj1go02G!hgpbK4F`DJLNoGQ0K`J9hjo77^)+2jw zUxPRrHbx`_^82tZ5q}gaCdP|fqyP{DiCUZFAKlrY+M6CLEqrS?@KW=S;|NcN(!q1l?~p2$#*(czi?YNt(Zoa_cpc^%0=uL-f}_j|fQ# zV4k^|J4fVcZOq*+oia3(r&%zMwGgDEAb}~Y0oH+~C#59^#*yTNRB<4c-1dv?mkZs7 zLg{R8r#tEZqssl6%D8~vMPTl>gkE+oS6)Zad1rkAq22fle!Pq zP3a020M^t6lY@kDKXYJ{rdb1zKKn&o0&2#18DAM1%_mtF8t~I0cXe{Z>I{)xc;Jl} zk4l{Gr-0{$B7|NBOw9cIQuIc}@o+-o)}}c980EMOBXtq%3W@ut=vl9#!3+;>cn7)3 z_7?Q(V@C&l5rSHY?pyoHZIg$Ev^(>y6$Odja!M2SRj7U1 zM=q}KdQK6>3e!UG8ulEO)2?h zD+`yVwloLRpzP1?#Wh+j*E>H909|^kVYBDXb}I-)aDGd97jnZoLOi$0b2_u|{A6?+`DEUhWfbX0(u7hKl&1e+MeYIiXiXBE9}yIRKVrrp z)zuns-9hJ;-qb(MFF^+;X;J%-hNQ)~5tJqcwX_0EkbuN{Mp64#nF_iO5FwP#&rEkf z`!f_?G9`R-L_Sc=Ric~eA-zafJ(4Ou@X&NQF&P#}O zzr=##zR<$uy04p9%^Ma{yRW%3_P4R5Lh=l6SOzoS!ku5^5%4mWpRju++#|p&GO$W3u0CLKS;?)q;SlAViZf|bnKqX{6jvcn!g>X{-qaCzT--w(oPpvweXH~H6!O|y7;o<0{O_-e5_wQX@CkBtJubC_ zlC)6pfr$q{w$LPuSTcE~vkF*oAMzBYtN*Hh`-BVrqdc8cX`DETKS z_k$0rCaE)O*4t0GJLSl`7tEQ^hbQc&Qmsvmwy6OdSvb%ZZ%>g7RQ{1w`D-mRjvpfO zZx51h#PdksCXt=L+!&=}62uE?S5z4FFIzwlCF<5ge5N*s7v6$+awi}x_=9m-Xn~JD z$Z@0isfC-|{gpa%?)F zx%!q2tjYX9%Rw%Pkxp=t^9$|NXka|wxdl_pD3Xy8kdyPqa^Tv2YLH8} zNE4mOj*JsL;K=w&%J9CNGb>Nel41U@KqVG(V`s-gePuk1YEm$_EUc>w(s)tbA%VUr zt>i>*!rndEUbyUmL6nMlC!c3T`{cH6mMTGy5QDMCGnQ8-Fje5ZQrY_0gh&)J=cCu-BgCT#b-P=Diw7q<7|GK7w}3vQ1M~E z-CN-cAC+lN68$!(EGK`v&h64BZ?RzT?wc2xB}ftk6^4Jd1|Ad9@YIYGW?b&BR51i9 z{IOu_--bT**G%|VJd*sKZ?=US6rbn*#&l)87h_CuLFOy&V?3IpBJy4dhN;+c6g(R} zztJL>A05!W=zsSo-KFzmPG@Otbk3%Tfke(IwcG7FeeS)T zZX%~LZgh*BosZw&G1qB!7g`Xyra^t*c1f({-4M<$$y_H&N-pOOFG_oo^icx~(;M>t4VI+fGHu+eV%d?MKivP>z*%>fwyX^ju=ztEQb>j>aFQ zxIGN{5FQ{t_uX)Z=9^Ui@z<~Us%#grn_e%cT0C)s+9ofC4mm>OUJJa|VUcxd`tPsS z8^7>C*x|LgHb5|97OnV_H*c-Ig-Zi0j92{VL;S!&wGF2);;P{9yf3S`IT^X>RvJz@ zIh<^14U-I>6`tW*2d`V`H?B75*o6B`b^O6_w9le+N8>=k1f9#+Xg!IicZz22VT(I3 zS^;L83^2lMpmJ_M8E&>vIzC5S0p;WB`7Cc5D7qNPlVG87^?QCr-4iYG;aOMD0iQFs z6CUqkr1k;20r$Pj!yUZ~tR~kiw(3;(s59mJ0s992t(S{;iOqx%{~yo<0=FdbYw#P# zs|ga8>9sB9M$M#LxCh1L5-8?1AW!%b>8@jkimCZ1B57$2SFc?*@2q@TJ^WsuV2uSf zyx5ujE;?jdf40+Itx|0+bVv^TaoAXNl^veog4He(a;RIk5N%2qFsty+d*gmqeywln z{4Ivv=LC~=Pn^92x|ZFjlM?Bu7rGokZDds{6x(+oevmH?)Xx#P&8M?{4`2$3fVpSZ zx|lB@$J$L~JpcPEj(PHyD3SLQs3We0WB9!mRu81&X^~~uB>_jmLYhZG76bW??}nGA z4`xq`4s@`%-dTc=m$Ij)5RdV$?PPsnMO1 zhi!C;Al;)G0*XlUrAEi-5Ofj zcN6J|h0<~}6XR2Gh1>$Xjdc)HJepE}RBMb+lmHJH1mD`b68JP)vG_s1hCsv&5Hh}M zoQuk57HXNV>})}MUm|0- z8CJSA-*@r0qwPkz90PN^x;NAEt8^u>!8Qg1lP}$}ZW>|1*Ba|_Tvt~V+dJcmDK#$) zKT}maNJST5^J?p{N2*Q!{9KC^gC&XGS+Va-X$BJVmbNpa*U}%EAj|+@1b!t(t4EaL zN7NV`%NI5f+-4hk$d+BI5Qofvs8Ktb4R5|G{N$?m+nZ&7*K&ZP$y-DK2*6^hlk3sG z$kn#OXuM3{w;MX~AC9PP>|vQWthoJpXq6EZxF>0mBZc>QBDAedVy7xfJ~#Qb^l>cj ziRaAS{P~Vdg4mMywK;|!BREx+=S&-JIvnD&P&Mkz$E3sKxt=d?RA4I+RC@f(CjLo` zG~t$tbJ{4jyRAlAOS^>gIcSlsyC)~O{{eV|ufxxP(h z*?``S1WM#RRJr&IeBulj*EG!m(&un*>)KKn3J%`uecCaldE;^0{t-DMTc%W@jcLbh zlmoZITPcOtsx?a|MuRWQl==jzN5LntDht~zKXP*p(L0Oh<$MA_1|9zIKDROl_!$tT zZ|;oY7~urLA6WOt(Buf-Mc@sj0Q0kRo+H0+sgv6`F1*Kke4=-$9u5)FOt@N@lOQ!n zX%RNKAzl_ZB7u^gr~da>{+HWzBXAh?xxPO410Qx1HB5#F#m=ODyn^%hbwH`%HoeN+ zfw-$^E6aYnN)-aZ-?{FbHS!j(4J&*S>slOKZ=`oK_i<89&r69a>8_)bmPXz!G3n1t z^uj_akpTxFefEc()n}x`H^@J{ z+0d7M@PB`cHqKxC+&>cgx9a*C-@^*?^o45ZLEVrF`KV2#DBv!|chGNAIUMs!;Efu; zfhfYD@=QTy_Z0Ny+1)sz_O}#_cez9}w|ZTKc$GG159COgaQ-RWU0X0s%l;R$@ebNT z?6RWM4`sJVLyKy07o~)56JFZEBl6`cAa>Wj;Ds&8AJ&UpS1zZ!#B1CLn-4XQwCEcj zMP$@zCt~O87W!YOIO3soBz1HaqVl~2w{qS8E?_YV>FLyDx+K$%c`vFT%`VYiD)Qk` zGHu+bc@0g~RnA$uyX3XX_~`3IhU*xqbT*?^#=Qp^R80qUK^J+=K~bb%1Z~#w3ivZ0 zysu3dyvcKf|3#Iddlduyf-K{U{t^S#B1q>J5nQ$rZYJM&Kbc=;S3|qM4;R#;PAe_* z+<4mhb8Gt`KV7H7;llQH<#8F@8A}g+`3e2--+#QHRv(VI@atvr=$0o z%0;D*IXam?IvgkeLX{Hm%mnGuRzbaioZ@AJB-p$8=;$#iv@U6n?aKL(OKEW zolaT5`Tu^|Nl@w)lSv;h z$+=dA$TbVF%!f`KNe;)2F>G>#I80mRZ4C1yze4^Dz8X!gd2XFkrYQd5=4huz2z1}_ z@g9fc@aYX$Zfp4BEkHOr{!Besw3Ffct2!)&gsLAsfhm@E>)u@Jz=vWr%z5y*8L616 zy{(K2vnkNC=l=WE6G7>(UJL)2VJmkvCKqybz*9~Qt^|5723R{A|H2}Cs@O~(H9p4v zlvP;o*<9BwitQ2Iogo}T**^M`zAH*5NiVV+C};Jmxh0H#<0&@wG)B>q0G8yjkmGW_*v5RDW!3-t%Q#UYKdy(gDk+8!S=KAmcxlYK6aVqc-ER`a-sR6MkvP1G zlaHusPH~1=EXUy%#L?MlZkhwlb*zsI-pm^*{%h*j$nUYC{F7{^h7HAsUqg$HK8jKh zE(5~3TDM_#>(&bi_@~w0J~}&}XTQ;e7}}e88@=DGUs6v{#f}Lx;Wn+My zgJ{(wI2)l{)~I|!Ue|LYtW|FORapE^6^F4*U%}s9IPR$>c5NoJ>^1W&`}i^GDvKFv z>8~42!pPbf2%SFw;Ec@F(QiX#f{tYF8*AiK#*(#cSJ9*@FYxZ09r)qR*_8c&yau~c zZT00{MPT+|rLMxfJveN|^?K!oE+zZR6?cCDMlbrMye-T&;NyT(?QG5+QoGqvm9`Y5 ze7x{6@W`+{l^Wox#X8=fy~dGw3yg#nF)yRsNb?;k;ZM%_D5Rp9ed9VA#^=G;T}Mhs z@}HYkRi+09FG5Cuv|t41a3cxxg>w-0go~>9nDJhf>Hgu1s34_ww(= zjARN%^L&AgM9Nn38Hk`7t*ZaYzc;Q)?!CW-{%!ckUh4{emGS9lGSn`=%UW0{y>J8; zdmPr$7R2W$`?}U$e>rY_o|SIH7--1K-MUnOqf8B!T!}B6rn(PSM5m;pI+H0+ORl{^ zIjD>g6eGR+ryD6YnN9%*{K-d>s42aiV#~`crJD7WZ=^3ERU*1wz+X`LXR%7H7>Iql z^Nh1H`_6GJlSEyy|M*l8CA8;d(wq;HA6sk9Z`co>s8Y>P2rzM;1-t^R z6qyT?{(#tzGXU}_q9$q2v4lFnXw#}z4g<|HZAxx@W>?*A-~3Z{gX?5n_qE^B)vZ$> zWSKI-+&4S3D{v#ckh|Q>3tVrRO2rcLM^CxGVS&B*Yp^TE2+;bxtRV=B39EIRHUPAx^0C0TaQ*S$NqFq*B*K1;hE9o4APb=jDt-R( zlppl{B1V2iJaU|-=D+KKG1eiSU>Y7(ZBkh^%x!Oz>iZ8X8W(ydH`qX*2UiDv@M z5blG=>NGKOq+XT z8dDzql$XgxgwquK3V)3_+!<)&?4EdAgE#FP3MTlk>Ih4?W2^-HNEU~9rWgtCV4bw1 zPoOwnK?cIm4s2V%1a7%dOC^(*-$+yk(3#@HAzl}Ed3$W%8~x+LqMAgiieUWrf$seO zXeRFW|96CPs{0@~d&xcQp!?I)QdC?o{F1YA-Mx~K7wyDGI9E6qT;l`p9+FPs4)`~pT9)k_x6$deUy4;=+wvzK3 zBWu`MO6#9JC{x(ah(3r?&E>sBx08!nkS88q4|~M_JU3_;NNCOv)TR?ueeEG&4YVE?nfo_pQ&dAS~ z0Epq9e9k$ChFD*3$LA6LLQRnhrbhjCp77Ch@fj`N1q^-K8KbHR+HyItx@68t$FqI;^9 zmGvRatdz9s#Mgwul(7Y3MNAWf^i1>FRbj4To)a8CWgMEo>_s*hykKBKONINN@!p#E zms#Hb{nfMW+`01{=YB8AEkVxnnN>T13>&r?{UF#KNU2Fed46R2GGwqBabEU8DcqN^ z?172Dm6tc)kw@)tl)E~H92}i^I)>CKPh3-R^U<>I9`pH?$On9Tcxx{CzrQBfo4Jz- zWBQuf!e+H&xPf6g(2>`s?DbL8{T`sSfG0T&wq7e4IXL0|QYsGAjgeXq9;fvE;T_Mu zTPph4X9J{6e9u)KeLK>h@^Jap+|3~-R-IJz-}G(8^`OqKK9Gj#IKG7+c)L1~svy{R zIVQ2?!}e@fRd;?OE&mU9@c3qKoa^XP!@79B{cz*q^JJN#3xL_!0u4Xr#NM$r=ixw=clIC0Vq zu7>AVBw>bnB*&~}ug$I3k!u7n8k+bcCCATyK8_p|MzeL_>@G`Ks_Bz_;Tj{e4Ey_Lw-9ZSo=n^wrLF7-=uk*z7b2JmHx}Aw-v->vm(6%g^rUF4wwwV1oQCWN%{&A202BtY3 zLp?1_N~0f0?AadG;)L4AR?g2D$Tr8ekdtNx4W$PyvE{*nZ z{%Rsz4o4p=3~lp3Z?&4FejD;Rc6ZKy2QWy0LA63)F3kT+XQIFBVwV8b$pfaCYl6z& z{LB%Ls(LJS#+sOgsfH)~G~-ilnp#Fs?$wD^gxaS38sKx*5Q88^>EGSa^agPDTHwvPU+p~x0wZ0;EEDtO8$Iz{hx3cLb6gxkYY>ODpNFt(HEi8C+GqS1 z_&Xo#$bz6&Ic!pJ%XojC9)4+jt`Iqhwg8<-53O$}IL<|>roJdN9NO^$4~{0kD8(Xn%G@-NE6QiTq?~a@Ju7$K*oIp47*Q`qo4LzQ!%VC z)axi>QK7{%tdn(8L{r|j<}Fk#GTQ8Rv6*~|CH9Q>e~PPjwk#*iexz$tyR_bn zwVR=>xs{>eaq71 zF*VxT2GHy{z;cNeO2k7eJ{mmr zm=!N~O)N>;ETzfMRGn|{5d>$R^MsrJZEWI>Vs674C;|Ij+pA; z`sm26+IONPo}icotMWiM_TSWk+}2u3U7D^41qf*A;G&AeXUCJEdZ_0>qfbm;K`Fjl+Ta8<^z-P@&MBr4&u&;x|A}m6>4; z+S41U#HPU8aeioz&GmJCw5s+(RLV{^Rw>@F~zHs`fuBg--j-LrieYw%nFy!hqCEheyBNm1WvC8l2PJ9nKC{%Th z1j_M20O=n=+^v%n`lulJSWZ+;Floy?cfOBY`5f`txP(5&m~WWJ)JE=(OLIP+)BYm> z+0~V%nK8b>O*~T4F?o`sV9}-8gF<{DV-6$6vm)xeFS91Y!nNMgQ$82gAwUq4pnFC2 zaoZNhV1?yXxvNWAekGat&GqH4Rkk4z%4>x+PdhBOp-O{)j2kF0EXbiu%y%FaM5(lm z6-PsUjUfXE7($Yb1dB#^d!`6Q!C89khr{5SpBPhXMq`e|d$*U@kSj6-@gWKO)Z_*4 zC-bk4&4}iXRfah~cOu6>r=zy&of*l!l!LqoH7vS^fHG%Ns~+v6Yy&xug%tL#hezzD z7xqcs&#LjYZWl|ic4D%^CwnZ0GVR%wpo}qHqBVho%RD)o#zPhBhWF_$%q^o$lQ{i$ zvAWGm0J?98%gj_!RIq#{)pV3~ot*+kOZbwv22M7hBG#$w&lHuSpA?lz0cZi%Uj(kjDsdFQ&&OKSRr=Ij^)ywUXBR*F0r4NOB3w}M<=GAH| zrOB0fd6oWL@UbAufMtS*R@KJ~1=k34d@uFS%`HU(VeyiMOnpi)_>j6uc16{OMTC1h z4r%93gpR?6!LQk<9?hZwsNYvQESYR4$GcU9+&p4osu!TY*^mb;wqO@#uMK;)pI%ZvlALGUVb!UI7PY@NX~i{|buIxI$$yZz+6^E_Nn zc5*6{^n6GbL^_R8a~zzBnOu!sA7~L)kln(^u}#Si`faP-`59V3CL$+a(L=-!IYA9OOHJb zz~g;y4#9BeD~GKm;U=H>+z$9BUdYs3jEiH#A@Oz*dNVIltC;jI3jSbKc$^@C`~lIv zv!|h|%>+Ws3BRw^5E1xtwa{A#>JLPREd@_hfcETl4K#(GiJ*H7h;6;&bPeQ{E%Xh` zE2d=_byJojD9R^YPZo~E*gp84l~ax&y@FWFo!>Ux`O5NMbSIY;-eUAaY%xMW#_M!g zH9keu#z0p%YjrN^SY_nZqO}xKtjZ+VP=`yD=_ba|_C79hH{LTXSZE-@VkR_;FQ-&a z|MzgwpL@YqI$XO%`-V7&(%xxxVw)&c*UquaLX5pElHP9w5EFLe$9e0GC(2J6*uzsA z@7?!J9G?kk$I8@NUK!yhVz%XOu??8R?ajBz8R-|?OQYAULcdl3cA61d%f`*T<&*{L zq@+0QX~MTF5KZOO(8QDVm5`@1>Di($j&UDav@35OsRnFsXtRo8-Gi_2DfW<8%`n1$ z_rMC4nH*;yp zPT#>uOS-=_IptJLnljFYVgM&90#YHwG+8U9DTGF!;j|zl3{W@73X3`abXetpEhyZ` ziZ(3hoZs?_(8&c+jSElKG?W9sYUm0NU6UR_P#ot378HJ>BkoKOc^qylR%Yctv0KBV zQt{63Lpzm4nYI~ytqEn%+{YjgQA-gs$2ilH3!p*?zUipVwk#$%>#){!ZVGwigP%)+ z1&-)EXIwLwRN&?;3+{f$RTsGnRHMQaIJAKaf{aW2T)E!kA5)YSv^dWBZsIsodPl-f z=VBMru~7zp7i#WU48T{L!Y2Z5iWqfSYO_hnWTscUrwgsm2Rfm8 zz!<-Yh({Su9Qfzypu|Tim}$Ej(3PncA^VCca%1D_)rNhi$C44?-I+L(Su#<1c*=*P zfSIw}_8#@Kev6Cuz!m6o%;&$VxpxNSc3Bs^n-w)j*bAD<6y=1ve{xJ8h&G+@BM~@s z!aL(Gk0e2L97k}vq=JdZtz=bg1h|ybF_Z4dnsusBl7(AEhC(6)>T^j&z*KuiP(jZZQn|8giy&yzeZ0 zcj)WFK;E008pgzzDkqSqQo;)b2h{UQRAp7hLBBRL`njxFS5#`ShhZ;7&FET));TVlGvAwPw)rsCSd-^TC;FH9sbr>T?QiI{Ql4$ zNIu@s)iM#DV(f;3`;VUE-=X_$VYYT`peQlK5i7>-o7B z)MTP~wC_14E*GEcY{rq^2PiQMkj_H&h4&a_CB|BdCto<$MUhX;$Q-i?K)67bU?lj;Bd>SIusDYbphqN)XfBnkFG;E(Zx zRI0wC<_GS_BY`(Cv)R&xb^F2Sb;BPle&6>{jn>EMl0wIP&&Ke47P4y)$QV#lOqt@- z<#tuK1yL&U-(PHwcOE;e$iFgp&KyK4BMC!aM|i}TOe9$NZK>Im;Slq4CtkX&1O z;%3kmtI2&NJA+U!_j5JA$c>S-nAtfHNH#c97QG*%&5m-Z^hKwSYL!y82zi4TrIC*; zlN?~5ab=Sz@EY5Od}}b)!_W9IkqIEgxb0I$Ci}k{xl8X4_poPQ`a-BSYnRs*)IE=P z)yqH_?#-Dpp1X?ye~O93i|#|2%eUzH4vJ>{RB zHXD9InavO)2j}4(X~`N!=xv0C6nR5Y6!XoRS-K)k1db%7Y7V{sW+l${!Y`T7B??}% zbVgM%ConVBC0v8ilJ9TX6RWz2t@6dp(h*S#acw%H6ld46%PW_|Y%76@tD+o9>yc|a z;fuUC&wSD&nU<0piU$u|ggtlJqfcaT0QLn6#S_6>!o>E5UB$#NSTp!)W3UYz5q)#U z?_Cu5fUQ6Z+n4BF1?*)+K3cwDmJFx%lC^Fp8X>6o6q}d6gZ}9Pey0dU{I8lAWDbHD+L$P@L@NdzwmrV!uFMs;zJU}u zpxMnEkFFe!eux!?o#K$^T0wkU^y=Tk@@i|+_D;2S5R{G_UHruz5NGYnj;jHyUhkKx>?)B1_1Jrkk z#mDulV<(D*l%QWzvCMN|P4+J3|Nauv-GAd87A1f;M6z41Kqz>Z4}fr=1z_r#j;x-zH~}>{tTjP;|#HQ_~P-0g%`hWH2cRVo~ez#wV`fpbo4umr$8wPBc!+c1PHh^1GnzW0hxI9SWd&Y+5@t_v8=(BrL))({c**{R!( zkcc~fIAJOs06*WM=;E@L-K3U^c@Xy257j?{8UnVNWpE~hSb4<9b zWRx6><^1z|V~N&={)bq_%}cfx{aZGmi8e{@U2ZnQqytxGVN$m@#E~l0&r0_BEKCav z6Ln}AQj6w$G9(HDem&Q!C|>B{<_K-g44%a?3e5n_Aj? z^TGBh_vqQ}pHg*X{eCBM#H)1W)*l?kywXn)!V~D$pe10=hcn%51YLPIJ*kcBsfY1p zvt|WUl|vW|VXD$A!c-|olC92MZIpxoane=x+E09sx6jz*AxA}a)<0c>sj#YVPZr@1 zkm6ohllQaRwZkYDF_UsA=k4qZUW1~OJ9+6kgiCC1z_PHI=~bF);0+{A7|AF2BSRIc z#O&)C0qKjDppnLk?-Fxwqx5jXn?d|Te_(6jrV29L!Pt%zq59PZ|`gRsz|6dd7(v@$@(ML7p1JULBkO|gCV!3 z3Pzc0Gt4J+XF~n;!s@zhm6@Q(`4C-St`Zy*wf?y`hz~rUGn+FW#jhS9^Zc6PaJU>9 zxtc{nPn2hQ9jztc>fo^|44qnw8dlZOJ8yx)m+#Dy&Gw^UAwHshvCM;?XM6kwI&bv% zvr0#Qq7Q4vLkA4XxeYWvF|@+G!um^(#z~^>$Yp!(5{6l$J5yGf0zE$?69rg{bAD%E z>>Nt9-@_&~Ysdl1&RuxZN8jeC^rq&G6*u_0_T9=+q5WmAs~*j4*2aK z@$<)VMtVry1EFxiZMP(LFSvrVykf_MPUe;OdfdtjoHF&rh_+XL!JbnAIiHHiPgB>? zDyRx>X97jv$q$kP=WS7_x;dqMYfj)E|U21p2!jcXEjC$H-u(ZKdsA705PcskPXN?i@d_I3|r|gwr-P2K4UBX`MpW7x2mRfG2 zp8_+&m74k4N$;hPor`{6upbJl9JhJ6z}ApXzvj!Vhuq)0O(^WxLufMYad*G%hLjo# zHeA~`LOt^WSpit>Qu;EG`qBKi_oNivpjLxpl0M^#UWE@*2$~PbRkrI8=O#~aYu6i- z+*Dz@fgd4@=MM@K_UU=@Tu`_3 z+*KhUxGvY*uAqO$nx_YA+D@F-^!&-PqH3_^s$CL*I#!}Tb5F8|bs()YsBb6yt>auX zL^x|vw(&vwkhT`FtGoi}hmV9vs>T+big!{?&BKSOZWs;MF;jIxF`6n+?G%K^HnpY- zqgpUZX6y8!B?P5UV)3q#V4SwUd#BYsiEZFlWyK|nCER|O4!PXL7UQYXirmh%3L+gG zrgJi4*sh0_2e~bW?B6rnB?opiYYsv6qiKb$G#n^T=7nmkE@M zbc?+sWe*ug$K(-(V-7~ek*O1zE9o90B5pJxRna}d-LJX;uYdeo=80%wjt{Wy|8-C$ zaI2W)P9{Zk?Z(iQd4CT5=wIhRja9wnSX7qt0>0z!oE+>pvJJW=slO9K)=-ND5E}KJ z*n8b*Qg(}HcctG_D@yNZ>T|FWY1%E%nXQ{@!2Z~Zf2K`Y)G#Mph5v*mcXdxx*;Xw>_fA?DqywkI z)QAv#P&I{&!gfr-z|Se3s1d)3IFN?KSfsAl7j&Dl8Q1lz_Nv;Mux|dg;P2P~SpC8i zvi=K)YokV4yuri$QkGFbI(+1;WF_}&Rtqek798z7#-}% zUDpDYGhVii8dhbmzLf1_a)xq|5&DTWq(o&U4zfuEl9TJ@k_+{{-^>Mndo6k3g}F9A7w=rJC0&aN*A z>#Jsa3yCx*i<5qf*-2X{{g-5_154b3hnC%hrl0Jf1{cfVi}S18+5r6|ZMs}6`}x9& zprZfry5(}THnvtujKD04HuU;@jf*`p;PlAr`LI^*)mkg)d+CaAdXAT3Ox}wDzz8vmK6~!QjoaT z!uUj)f3hQZ{)Lt7G-z$D3<5-+FbO8wS=v9~? z{_;+!V9GF!R6-U<p}1Q)9UJUwmA9C_KTJ&Ngorxsaz2L5XC;a$3Y)mk@t#F#7k# z5SMwC(4w@|4JA@Dv22$~x5xMIx4hS?QVE_xJ>C^%9D2e)8{0djruVcIq|S7fUj_;} zm&-Sn6ncHv9m1L5da2s1sV%$x>|6i^n(WDxs;p?HqJdUs@QOl5tI^Bx@{kh*ExI-L zAeU#!Hl>8P0$HM}TAKxDvH)=J-QPh{k*a1)cYPN#zp&=aRNUUX^t!*{ZUauT_D9^S z(c*Oh&YJ!G%6-dqTWXF+bYT*z4L;U|7Ks(8r6hHa#NA5XnEzm6z1xnz9&{{r-=fO6 zt=!k3oEWglXUxTE>Ww{xa7M`U8{j#VM=wF4f#=|@cwx2wb#QUvKa}2G>E0Zcot?gD`##?rp32>NzsX@dwv`8pA_V3RS!Vb+MW~a zWO#A%tE#@tVd<0G)D~=u51)5N?;UP;R7jP+t<5>Rw|8;!OJQ|thiM&!MjnqEn@=h6 zitlG-+%MCz`MQLF5)u6f0MQv$i4r{pMt8D6$f%mc)-xWHyuKnK47fJjA5$#Et)d3;68m zq|GA%QhVhs=vrW=Me>oApQoa#puhC7nj*9W_KZ;Ru!v&VnkqQHpsKR2lt`1R8=gFV z$~yQe^4=x&N6)dr3NTGR-$VqCk`}hOUML|cLohLtW+xG(!2~ZkEN9CFthEi{ODRStA~2~{cV2ts;4vs*R|u&rKLR{ zGMVo#2z7)eGOid5^^o3XZzL5P&rhOYkCv54zY2uGLAwC`+bsE>fn>yjGTeIja_o^5 z#R!_#LIo9dAA6|T%bJi^A<^VHB=-$f*I^GmL#G+A3*VQFcVC3m--?^*$&Xzx46M^v zK9kS_v?i1L%E0&>dX3c6@jI)h=_m}wPn^k2=m;VJM7Hd^x@7V*$5XUHuaO7g7E~U; zWkI&}-Pcf+sd@`Q233JCS$N^v52V9HSg9jO?s#zX90+x+WSSmo+_>q$81)9^m)wt& z8lh}84N3c z2wEJCnctP?7c&ZUsuc>@y0Z4Df8o>nWTEbTNlW~_^1kKZu)Hy??JK|(G=FxnE^b|g zIDX0 zn8ceuc!em@kH?E*pek7G;|+hl0Wu{F{d4c?Y|qS|F6Kwp22k7p#{=r(aN_(Ryn@v> zBx5Q7NVNMarAXW-*YSTwzUsomX>b|aN%MgXkX=~7v(oJ=zi-VS%27o&8s{q|E0HPQ zo$MSUKPeVRenh6`q`ET6z^lR6SvlnVbw$k$$sL!J@D5WvOLb;ahujE+SVyxd1uvQl zh@q@4sp3!cG@XnGgc)$GHxDuXw$~OvwqLU!gT2LJYYN)SJZX|lZydR5%e59G(T-U^ z{iEfQu0j@b-+`&3eOC}<^V@ORw6D1LfJSnl#+1eQ)D>qsiGysSS#R_z?+c+9I6hkI zK2jcfD@X&USM9+-c=M^VJINUB)XQ@eaIXXEc_u6gI%31u4nfUjL8LyOBhOQlb1 z<_jnVk!}*1dbN{r#9Ct!DBWABx@dUH{sT~({?^N}?D;}4xpA=V9nfV~`zGNJi;LF2 zZPAzT2-T{M?S;*@;<|*nIaZ+$-$=)1pDJTT>oNk9tchDJ3~bH78Wk-%W=A^e%wc}2 zqFgeuTJ}&4yY_c&-Vro-Az>tKsN-oiOWH7`y%F#Pa_^pbTXnM;A`jpAa#;7us2koV zJ^}4=rb3}9W{SW#=~4*qvc&oW5V!j_KekrBDk}?dHQt=GU&Dz-jWu4PYlAGRmG)8lvI%WJ z=x$?&v6Yb5^ojW3TD$(y_bJ0JTy5?4T+_Qu`z_sVJ;P~c$Ljs{TdMK{+{L3?*J{{~ zA|XMm;$Vmh(lZPvharb_QXVVz^Jwlq_?HEe60VjYslp7x04ev^tsBHi{jxKH8YvkO zYWUCR?dp-B-Mwod1|i{XV9_vr2WAoOjJ?;bpU}s|7C&4yYBPo=&S!J;FMaf=w~dJe zb|wgG7oig8_zG2UC_luVLYM3a_WrzDKXTJAzQCR5D}E)(9f1rprMns3V00hZ6!{MB z-}{!? zAlET-w@$w~2^OK3SqsVeZuvE=51#bEty#Row+XUpVAoM)h47T(=pQ(chD9$hRN+*B zPzzJTHV{HOSp-?YhT8e1Md|k2=Q9A|dfVSmQ_3 z6L*&;I0Rc!zpi};j%R7Gtsv%~*t{>R_-G`E;KeK`SKj9{LN;;;Q0Rn7vveP8>4r0v$c{C&3?wkA~DF za4bgF-zm706}ZmupuVvrPXIY`G$`P`3OuGpN2Y<+zo_%0GHIkxp%Uma-76(F5u4mX zTBsNxgvaTz+E?fPY_TX>M3y-@Al1bWkq&z|nMf?lllWLX=*K1w0 zjo#{6*x;R|lohPxSG?A-=;?^oULg?7Mq>RTjg!^i^plE&*FAG?%eVa=_EpOB6@KDd zq^LalxX~uG8*rqlTM$zCNt@%3xzUItRYyeJ948lsKtWW<_|~A*Hdkes&*EK;A}qF_ zV5#jlycXd_qnonRdXI8xEBT@uyousdk;s=XUlPRj{tY`)D*|64Hmcd#YsNf*y5u3;O(s-BpkA9|ip@e&Q!&ep_ z21H=BUGSx_ChQ@n>^6Rg&lOVCkaq^Pq$`qD*}jBCx+Y>~N=lBm-f$VE?asJJyzMQ9 zgRR?04)wN_WufytRpYJO}@EL6iV&A^;d+W7}E zsH%BejH?dPLq%25e|I`(&5z=gB13vK@+Hh-C1q^L9KkU*W7}*T<3#=R2e+oi)CPYV zTd@@59B39hxb3X@Yo(WoaLb~&TQOFt8luYx?~?|TNjq7XbAh?IarBPo$Zwkcsu5@p z6O$R_HIBMW*bt?MQ{%nc$O$SQqliYgCES z`UOhb5XCxKooLjgc~2nKFcB{S3FmHT_}8pQ~Zt^S^9JN)3m>}N_Bp_bWE>-vKgEPgKMfce`Qi=s>NhA|oPFCwJeHEB;8 zXsjmdSAZ-z#y?Ul>xO(qU^Vx<>V$C!;YK)WS=59&`9zZj^UwpRkNgCPFi5eXnjcVp zCvA1heZMg)k$*IkeJ9gtb8MdM9V=ePF&Fp6=su?!fqBCwg!H(6ovw4tsq{s{NZZJm zRVwhci{D1*b;Xv7IuK`N{dSoF#ggP@Ys~au6XkiVJvz$A_Zl;*SHWj0}9Z?N~j0PZed+z!M84G&6(w6^^na$%RpRVv)wYWe;z zaRk&6;)zmVz4-5%Bzun{<6pys@jt9xO*~z9P8{y5JG73E7L{3eFb@gRi9Jl&O!;=* z^v>t}80J_bHj&y$gP6Jh{b9F7DG8E9)1x>KX^-CZ+@JtwQ_(-7^Pr}KThc9EbEU=BM4_#SF9JzgT>iBd$KzCp}!a;D3SM^&Bg7;r&biL~mluqd4BS zBY58;=3|WBWzYSiOS>Juu=bsCBO4nU1Xy;cZ~cf|>3F|;aYLgF)K$u+_CZHo?qrr> zY?M2En|Y)1l1&)XhV>?uauN$K)kr?+e@#0P#B@qVz|*IOeUl>-%d9cqTyG;0s4{|N zZ=v9l4=T<4kRxXRBkQ0F57|CW#ewj+7~*~}8aMhx;g+h{(OxZ07`w32#zf1tq$F#V zxGnM*V0xG#fa|t?l4@^ug2ksqHaINOfRMUdU8H*Avlu7n6mrQef=3h;C-J*oVhf98 zh+p)>D~(TqeS$Q)2(Cs$8f>brQuKh<6tb99Kka6{Fh%HMX8x+pRrB#&&b zp!tt^<4sQGqEqQ~$W$wriY~W>eSS3Ci{im60Zs%oOOO=kvMLli8R?0mJ3tmvT-IM}dw|LUa za(EFq$f2*Z|TRi6hj|E{R@Hih8~f@5!&b4`&0&KAij(ACz0NDLQ85=%wBV;I1m#Tr zMemX~I62^g(I1d@bHYsHJT6Ksy4D1O@yO^8o^HDT#*Jd*m=9T>&0YRFBs1{j30U1DfIpVia`& z(AF8eM-U#20ht(d4H{gsWngijQCwc&hx*)*map-w9}bvlFjg@SfWUo02^|_X;1Ss$ z^yt=Do5?KV5-75>Ti4#r;^wM}d9VN!c;rk41!hG4BlPrt%}zkOkKE5E^LnC9{N73w z0WG-?A!Fy<5-JPIvyAQf;LMg2$v~6!4Oi|}gOK@^@b?|PtZ9&U*9SW^FVLM7XGQNX z(o^rc1^>HtSi6z8gI~sW9H+JK&g&B-lR8`9^PL$+j=Y)J$p5e+ywaP^UIXU2={M3U z88?_iuEF%8!<;LaouuN;&jss8zDx@SJ)%JK*k~bXOX4oPI`G-9FK6}I%KU+bF|Pes zi)0Z=`{LzcPbbaB#ty2#tr+|Y__|_d-`cuE&mAq2EucIk;IcL+D9iiD=#=zA?FeFi zJx);y63T-8UdE5>w0!IPHY-W+x7jy#mg~Xx?4}E1O2nea8S$ka@(jRCqo?%Qqw4Ei zH6{OD%hnMsn!y+^1W&fXtpz6CMT%BcpJl4&+~_RqWxuz@008?PhP2ty@l6C6-JX3V zs5tzH)?2xrzGy!Bl=OLhq*CCm15w*bN@&}^;`o6N`nl9kiYRfvw$CCAID6jwH~ghX;o{!NuOSqh@3GH z5?)8||H4b^Rl_#c zfAYHSZhr(FA4vIfn_E44r+M_JZa;+Pwc@M##tZ(WdEaYYM@I&qoi@8CYTYAV?GVqG zYCAhzhCb&8MMlhWi16}H(nygUtG9TGQUH(ahGCA};bY~J%4j$6Ll5jvzS3i;#_n1z zcd{khEbcr9ZwL!+#b1=oQBAq7kWG?|OruZFM0*rzy{^(FVRa6|w_^zw>3|db_(D;9 zMu4@d5PX%QOUG@RJyLJGc8S5nD2m(_2kTt1{^%2+E&8CR!e2;MDOr-l}+kw#qe~zS*FnZ|SIEIzbKgpH0g910yKXXB51~^9q zD$-kv4_V`vC*Izc9#Kef?FMj00sF~=HP9G7FLs8J=lw8$R0g3<3Atss!8(aM=QBRw z&jC-8!U5aG%?TOlZKo^$tl_u(m=bM@aX6OS0Rbr>)tC4M&3A(@&3}Ya28CG5f7>0f zp>x0+HJd-Q`TGY($|c}6F1k0xB?M~3Pt-LwgW4KKA)>6sFxZ;V^Ee=VVJ9ifH!aC` z-md~9j!YFntL7XmGe?{&);_cVvgIqs`ED!KEIpq>4D#;`EO{CAVQ+2Wu4hyq(w$T!`Wc$gB%vLS7Lt<=Zjb54-)6{;ahFGKw(bu&df-KgZJ z_AS^UghiV(%G5Tf_1IKvbM3aA%Df})Erx8M8c_mXH36=wghk$YrI5|X{-guD2UiBR zd0DZbZW^8{@~`-w%J)kaozs4zxud1~B?;Ov^_g(tUzIxBD9iL7sgUOuV}mFV^#ixhA-JYU7zc&_@rn8dt6-FoV~bae|>Dn76rLt{qqN8o4n zOIBk$WCp(0`3Bx{W;^ad%@p@!WeI^sS7e{7dRn{@xQ8=c&wqw>$sHK!YfPsBOCCvl z;SWqAh&8UJ$=E#s+JvfE`ZBy&XA+m)=l6#hkV`elCkRY5fdR*y9ERpz7Cmse)uK^H zP`#uS7;w`m>mItt?^H*|EBO6>wV7s6W8-Cd!EzH#Xa-Q=m0|kH2HMCuu%iEoR?^1> zuFLG#I$LEr^}3aQjQj=^C3v!sgotI_mdYfa6c($k-sLAHTp=9(&|r*;q^4JmanJ(2 z;!)bOpuL1VA)}=~C>fF2p*ynJco+aWNSQ5^{*14$%<(3y-f=298cXxQj(O2P%E8!b zQB_6fFN+aTfTOO5Hl>>-P1efh_43gVP_=6H7VmBZHPH2p=YW6V&_R;nApFr1)z)?| zv&4lGX-XMrInq?fCSI`>zB&_XAH3_}n=CX7;WDSk8s9QT zLn@bRW_DN4S910?maB@jjQt*RJXc%gz!$?5^jqOy=A9*X|LOip@p)0^+aX^{CDjTg zVJYib7$InJ{)$*cZ2?S1L$#%oG&3ZcUvPNC-@=to;On6Tmp$XzvoUI|Gjx5-f=>|; z5zDV1g;1V-b|KONT<16uZv_TNBf~Dl1{wi3G&I!xS&ylXbQ$VY*^md1xGvG4N_+7d z>zrD7m4YXEaN@CK(##?IO699Z!V#e28;?}RI2xW3Rv$c<{$mT7IFYjpbFnFr8V3B| zTVF}l(I-lK8$A7k1+RvRiv{)w#144qDew^=UtR<(9UPwYGo#`cHrHH#m?fK<%9$^@ zB{!Gy#F#lBZY{oGC}QAYQz*{oR-9WpiCyENFwo}GW_=kC$<1`lxy5p%{^IMtCkYYSAC>wYYnmIn`r3xOY(Q7rgxSj z5UEcDV|yS?aV*65_XREA%iVVUQS-8z%hKMtZm|HG7p@>HX+JHb>p&~Y?KXK3cA$a3 zSoyElr7VqXiw-(R2BN=Zp(-lEEyEfoo62kt3HADr6+$JtMm;##vW}6|XDtaGn?4Dr zEKTR>5>?;^xyxlhQ8J{hy0)u>r_pH1bJ zG4IP#ZA}oVN=-=r`sbZyCH}!>L1M>!9Zk4-Aqpq6g(8ad6ntdn(p18)pO_PV>g@J@ zh!t|19uu_s?^>WoaORr7VL;0TMx~Q%gM0W8rarvDTe1X8=~Otd$l$h^oeBBM>cWdG zl~6H!Vqh0m`a;m8nz)2)nh`MO-?Ua>#sN={JWL`SzSiz?4)}Zz)H$R!f(s-0I3|$>?{5D4HL8_X{V**pEH=IACJ-wBK~IuxnIPx#}V0$(%l;{tu&8 zJ<8E24u{MUw7_+kTA?L%_?q2F5AFs}?P#1h2SclBJ`Qu~^w;K~nm_a*zPUT8Zk7O7 zNM3{C$Hx%Fw$TxMnQ_Kn_CrieNcccO8)yj)+doMi4K0J>2UHNl?|um1 zC7Y0^^OOj0Jts}jPEly65t1aE3r~j`vfUl=XtBD_Kq!w+d5)W>D*LSMR@=NW3=+b> zUx4T&l~^X${g+87jnY6pBSh}r79FQk~5nv&)Yem(;v z4en78MO3P!BvumnyC~VchiSfvXhzAN^2KL2Y;pn=acuo_dTr@xGMfhgS z0Jcc6GN+uGDrZ-EtdIeeXg-R3KK5%g?)li0DFyUy${vmWGmI~z;wIn(ovt(22lGPd*xnQrn-GQg06OFqXxMGyyx^aLhr1{akPiu(tj z_hI;TQdyU}LSEmuR0nDAkQBmwd@K2aEeEilg3Jr~O@30WZ$>W|TMWL#O&Al2tR}HGzth|?9 z@Dt5`E>kJf6cH@qOn73~H*NdhHHJAxzg3MK*`i*0)4$lp`^Y}YVI6MM3+{A-ceLYe z?d2K7%xH~&yg7H490mA!JurLRFg^=d(%5x7|EBB6NN7nu7Iu}htsRXOVV`)r zBHcPMi8)o4ORDJHi)$E-kX@_e_x)LJ?A)G5e@j<=Grhs`S5Q-9Tw64x z*)`?f!elX0C(_p&%*T$+@C{CbW!S1Qs8Gh*wO`0l?2QdY=nD{O@RSu0O>eVkpgWl8 z4g#{pu>Tm^Y3BIv8fJNJxA(tmEPS5h#a0vIE`V=1x+zb=r_&&HN%Xq@y)B_(qN2Sfu7&pEy#WN%M&ouP4o=Se)C+UHw_}BE2U&%4{LP|{LweYT> z>txdQ%1umt`>1AKha15C+ky3@vbv0NYh?ROicchOn1lka_GVK-D=4hN+-)IBFkh$r zp(q{`3bl4qtw<$Xi&$TLn|4?jc$}lFNu@g4PvH1(w(1)MiG?)r&Ucok64$VIrHu9s zeM!Nk4<$9O(jIfHsVw!U+oU&7<`wTYC25W2#U#uw{7|`j7>~C2S*x-Z=l-jeh4`rp z-|>L?3Ng*r23DPbS_$!GP{q&39rCt2P%}SM^SV+cWrHmqZ`;?zh;T05Ed^O}Ofu+$ zwRc?jjASn>?^y^3hW|xzvv;^NQ@3&9RKQaPHyO&p_R!gtd|l=cmORK;>t0ZOA;kSE zb|+53*&bK?s`b}O)T@Op_nmmkhT)tLdS}qc%aH3>ntJAsWka2+)q1?bc9sw()G6np z*c^r%f4(CmpYw`%&S1G`y9HWTh>aubLoUZ^w-9a&*X&c@Ck4&!&Q{&!=JO&1-9Z7l z=TjOytm6g$v9s&``{0l%SXs;QNNpv@t@ma{iI({>7@hY`17UKcM46bY-4@P**sSn= zsyBY+^7DExlr3oD@@7`h*GSvSm>6ToYVht5rxMF#ph9m!^R~UX+&Kl4g)*lxD(Q9iZT=JzY3zzLx zS!L>`lg$Lbwbk^HO!6>`7R6C2pFO;vTK0y83$zeFGgdJO6Mq6WUnD0DR^QZ?DGoj3 zJ`rY<>yorp9j%KLnuohV;ICk=Vtgl3%4uo?7|A?gZYkTHjvY0ywgB$GYumFFY7kY? zvfnhXIvkrjFx&Zwg(d2bVRiZ{kUb77=3k(VzPK%10{BG#WB0=jlsn#wG;(HcH03sA zbk`ITRC_3>)@cB;mwuMT$%T)6#cojf3 zUlWxXjbCh_@+)(hm!O;V3 zeF%>Q=if^m}$4^|(TUP0>b99EJR~-T0u#!)SV+B=y#|oyYbrFpxq_`q8&2dET zn4G)FMNUjKw+sehmg${kG3ibIm3R8uT3kuEEubD5CrDB?Gp{zrHQJ}IsZFPna4JU?) zcNKc%dABkuc)F`8JTbC?g2MO7 z`L^C>i88Z=>sCc)e9GLX1oW*$78zB0NUf_rQ2#n;XN7gLjk-NDvse;Xk$T4^wkFBZ zbgs^C^4)R5YL?B2`G+`ZEHkqqsb(30xO5S+569(mqS%!!g+iGEDg!MPTSxCnB>R3n zxDa^yNHb39Mz*PsnhFPu6G!?xQrLgy%@vrtnufC{rU6hvh_0-`AG_awr7PQ*`oJXB zC8WgyOeUOlbb1V}j_y*ulxOfm3Kv@cqZANvn^!hgPUGFC9W*__osmf}@${yKQB!?~ z|Mh0UlEov?6Qvu)jIb@)H=g?7r^Kt&D4~Gw>Bi9KrAZ$^BXiYgChKBBHTLV`Ws=+x zX{~JC`t%wI?c5PFm2g74#Zwuq@1~c(=55{zk@gjfj*_(Qr;~iY>w#|`$qsS5G-ksI zwj=392GuO0$InJa$?h!%hMLfif}pwxRlD@66O9OigWYg(I)$Pie7hZGx>&@qV$Ee1 zg*6B!qu;|peIec_MJd^F`*vF??s3i!g2tS&*C^a`>;m?axjop0l=^4LOh`R`H*fVQ z2dPAHBrgC!aw7i5c|0wiBh6_Fe}Lvm;fHN;7SW^v-JgN23PDTg^apL4sEU%JLSrAy zZLtkw*mm5uKVp&=+eSwn=^o3v#dA--=L%0-vXoy(*grqkEe0LR{m95p82@K|4bW0) z4A2)Zz<)rT$qv&DQY}@u`ls*wqPsxDmu=c>=a}k^2?F#Rsp(?1fjM89R+iN@FrHkXq#avH|HaqFDK=Ej=7CoZkdQ*}T~ zMj1xxL9YmVfW%w7hbQ*N%n2D^%qdY~alnX+Na(_`k^93{uB9L`3s4b@E>bAHSC7r~ zSV%+cd2*q3F48XsARNf#{faK8c#o}@el_<(>fxwvdbwRf>O-E&)O)+3g)#WHwHVn$ zaC4eR!c1YUTaa+hIKwOK_`v#my<*o(mb!S&@1;U0uLs9KbEbIhQ@WKvDMNL0dGg<8 zz;;y>WGTvR>k9cRDR_{$ZjANic%=7>uyR>-j~o{tNAP7QmabBs+qT{IcPHbI6$R2p zd9K)+Bh;#gYH$@9-Y?79qNMVwy%ACvw{3rMPr80=74BhHFgQxA`76feUN=*Nz?k&H zs`efWBdo!;>Kk1mFDAm=-xk<}COt(Fg8*K2HU~%II zTU3Kl*7M%nzdT^4$v*XG#%=!2O6=UDg4qoN?(IeIg0oEJKE)_kn`51sS0Z(cXvC@= ztdGrwh{>D=4)XBjP#~>*Yhf;-$Br*15B}fXNbsS|E$ynLt#F`*4y%I)ld)kl-{sBB zVenG~RI2GVP~lNIDc2;PVM=`~rBR(KHf5tHbj&wps-voZHI?xfUwuIOo`SFW(2p;4 z5zH`Ir1e4A16G_g%>!&h3gv*b0fFM$2Zz$2W0SQ8MLyttxq*Bl%xxgf`IGbs*7~8*q3`?msm!A@Y9s}RG9n`8qqR>A_cEa)Hm^vEjQsN z5ro}9&@xg{5T8pEK_?D{Z%`mwUsV!oU`iY@929Txk$zqE7Q0+!e~KzF7;1^pwW|=m z*}uh_f#LO>;U(3Nyd<`FtsO^#4xu3mnm8U!{i+q->S(@aMt%y`WRM%Dk04{?pRqf= z=sosjj<_LaZSkOpZh)|5LRpl(IxnI8o*@4kd~aBqu}epj4X&y_<>T+?sEaSRP~=12 zVS9&fR(oJ5{$PLVUQ#yrA^B0{%8uCY>(i|^S89HnnvO7m2$s^Cu41JnJ(!q+mjSgpP9O7n~B( zimYMWoW-@m!*+f&_4C-IBL z3|IRVpzBe%cV#SY!M82e^a;PZz#Yu9Fz{`N%lAQ8Pp*=fy_A9O5G~+$DUqrinT5&n ze1P2_k(OP_WTngwbmnyjtvH{AG93D4M*4I(T4|ZhZ5RopNAWH!!v#Z!tE1dGqCt#SAtIpl(ylNb49Vkz-GEh3(Z{lLMJ|o2@0dA5i(EJ1#3W zu9w~JQn$VVZa)lxdzvd*d@8>I%8XXAxMGO^i5Mg(=1lAhB_QZQ`JdFmtDc2|4^&8D z<}%6BulUdazJHHK_8pn$o*(JUpUv34+phWK(6hfS7b=0soy7*G*DmpBhC;BD3H0%} z%&}oGOH#BBuGOJVpdY&as6=zCT|+|3F2s+Yog%Sp7dXhvYi)U8I~K>R@Iz~NT&6_e z@PC)gkD#@Z?{3`Y>DpZIv8+H9S_m{46%*)#INV%9Yai5rpSZ|LOi04`{<|iA>`<+p z`+mqTgm2yX>$W~~Tiqmp>qu*`mFkO4iLW&Bk4ql}1#3_~aBHiJ_i1L08|;_gS=Qcx z1%DP9Zx-eH|CD#84Hk&Dj8&Jx9coLR%uNtYLu$!JRZb;OK7R9+a^EYzc%odi&-JaV z|EJvN3xSwu3UnH_;hX_{lz|Z);?^~3(9EMB;j0o3P4*n$jlHMMEq9O4iT`>H=NlKo zOhD!`WNPg_OETsN&_2QMveJGgb)30IvH6i3>^oz07Q=ys*5<7>1aw2(m!^NT?xFIF zksFsc?VIsaA_woHdG=4%{^t2>z>cj-rf3I!`$m3bQ)st%0;%&y@Obib7Q5R<1v{;$ zJw+q^H7c2PO3;!(lWN5+``{?}KW|nc5(RDQdbQiH$QEDr2n1Ke72})zM-n-A-}ex{ zmjwx$KRk9vqzSJuSmv3iX(ITm1z$(m zDjq3`IfVEl&J;e&k>0-iQk4>MMwLu*Bz}Uaxn$-n z8;6c6R~c0@R&sHP3ZuiOlxQtrA~YrlHZZGiOo&py$aK)aye1gm`tWyjiDgvvNUSR9 zG1H&nu%7#TT`sq``dq@XOdYC^)yCb;Qvxbyb$>MhjA$^No?j_mllB{oA0l4QvJN>F zm91+1?--E*SoO)O{c4)+8i0Ji3m=9J?q8<9eVu`g_AG=I?Iaj9$Z8a{ZO)WW3qqyb z0KKgpBYjag%&5HbJFynV3eT-u=QJ%8+#k4DII z63n(Snw!()qh$LA1exsZdwp!$qtv&bPT10qP_)YQ9L&9#_y94wi3 zJSJqOCx$BzuK=4mI%MImN8V2hNd7PALEoS_|R0-_J zm|2C#N3^)k3(JPYY29m+fSK1w` z?-wCKAN|3~|KbxZ@(WsBjEiK)oITiKq4|8pKn)o${$A8t}9~3UrxdQBmWmWB-?pI8F(#Zi!RyeKQPInIM5K}J8gJ{bI%#%t3x4j0YwA`$mYy;jVFidsyF?8Pg z$6cH5Ws%F~BjkMIQ)H*2b5j!v{WqeTq!{xt2qo-ru)13uLL zmWv~h%LDj%c{U@9sb~IQ=4TlB$MEv;P+0jrdnrBcBU6!UyH3iU(#!DBkpAkdZJl-F z`9g_M;!8%a%teKTBXR9fhu93A&tfW?$$czUl@}!+i2fbg>JH8k55s~!oU>USD9Whh ziMiw8W+R2wiI`1?#B@JplLY=*Av;Ru`319{3-`jMD)5R6c3NrH$Nf1qZuiHLRa3Om zL8NBZTgiZs)^_8~cl36ei{R(2l^YEI1dVe`!3Qw?jPCaNz}_$H{iFHY z^g6VLcjCF}fOjsiQHaZ2G<u$m+LZB+8jrDn$zzV(!Y)fVXMe$OU3x*AQ|*FXGA71xKKgNFEnjdK9CGM`ncz-NK@*t z)|3CP5#}vRx48|3zm$f|AKI9Cj!G8{EYz=GAs!O?=ijt@PoP^`q-2D(q6U_)^S(O= z)FG;jklUArcOg72m8?ZZM^c)o+ZJlODN*Tu)+;{W22k_XVhfSPbFNneIddJTuedBr zYBfc#nZ~89>-#9WOHN>nq}N=#v%;jg2H|e;oPzhV=qlBeDwY=%f(~+n*IGYw$7Z<}#klY-NZ)UK4l6Ax0 zH<5-%r0+{}bnB39h{vof8}~{G(xv4FzX_NWv@>^6CB(Vdsgl9)AiWU&Oy5YDUBK@racKC4m_JsE zcZahlON1V~35NqTlG+KtHd2=&I&BFZWvzu+*pd%t3ric}rz8&J(9NDMOe&O$QddR2 zGMep`4`HPkg-nlERsp_aK;d5RTLOL2VIw`+Wh`lrYIqg%c+YpFLt1}fNj)m;v&woO z`KsorPmX=RL-kaD@5T;g4Qy;qd5;i_m{+Kipa=`AuJSl?vTRw{L6qv5N@unEk=-$u ztCFFc)PA<(fUaKEKavw zKRhw_bg>ZZID5w!A-_Dtmij+~aM-J0qgL@ZYsYtA=LZH7m@U85_hyuOSAieYt{|U1 zoj#IpZ=K`qYMCGNm}?U^$l8 z5xod0rrTh7Cq@~0Be~)lkK&=)`3j^~i96MD_XY%}xTx#pPE=)@gd9>_0-AM{Cj4 z(nv#rcI^~^d+lS?MGVB%orK|jMqN#u(+esC)^kkIHjvLMN z8L@c(Wq2Qg`;luIeY<{4LIYFNrtHdRp=)UZ3W#_CS1Els=-~U_!n{*8(K)`9zZZSR zO$xS~U*3(traO9rCEC*;&x^eJ^WU{s*i-3Mi&nl?@mBLECR$;#(jPBw)f1#HnLjOs zc`}s6XgeTd)oFce~OX@Sn+w@{Y7Drb(a^tqxsRw_y4E>6yo%x;4_jNFNp!8ZY1Fa;3kU&}WIQ34jPWdyf;$-obKF z9TGfij%QpLrUA`k74id8?1+R$9+Q(>s;N<$Z>(mw0ZVNK|9{s!N*kFl2yctf)4vy2 z02CXcxUwrQKuxAxhx0DYJ|;D0x5Q+i4}Apor<>hr&3rbj&9xn=sj=r}lu#Q|DV3My zD?lmce5=;FC{#^ht{7IlpZaJOU*ik!P+9EYT+&Df-h6DNbUo~a#+ncqZqiCny6(wn z{`G(DJ(&PN@^g!_c#!P#y3!LpN5pPKG2Lj$*FkA5&P1CpwYW14CAQI<+*v#$Y>r;I zPp%8cz^VSfY`!3U22CF9!-?G|!`?`_Kr+o^oo<+D#HB&2_Gw^(uFPdES>3B29@&{X z(aF5j0hJA6mkn0V?kP0(03R;CTIIz3y&P8H3%C~^X-zMuu#v(UgnzG|K4rekA>Zu< zVwP?aycMuP_C4LbAiKV=t`~F?gip{diKEQtr#HcM%8G!Kdnb9~3UA8iNr6WYQHYL{ zs*Pz^^)_Zr1pT_KPW2o_*M^nfw99|-gD|w|%=Lq+Vd~L`s3P)#eE!gTaMV#Gqwoce zO}XX_{Sp)N-IID;Vs_po&I4Ox(9IE~x;MpeUt}gfoBK>;d&{beG%7@n=lCgg@T*Vn z(|v))6@9qDWKFZkF_1yn_mKX5;)BudM2iwgP-C~vt8{xrNkbunz@wpjj8Mhp94KNFmor#?v# zxMmrl!AaHX)8;xTQOqcZrGFJX1vPqG{5h4ISl14^-pJQe22c5>gbw$-+Hh7k#c_uh&4vSrZ2CZ8{e$3`V@Gz-@IZH42D z!DW%OrEkJ(E#P2u^(vF2W+!c}ro;PjHq(ovycbm3eqewW14Iy&>UrFm)b^p}AEg-EBs>xEc5=^i2M5i9~m+YX8xLuJ|QVh7#RAeNSuf7XC6FnY7Ol6^@!$ z8+mbsESz*%Ij3Pt2+T7L(XGzPmdkBybI%7wzJ>ans}25FmU11sr%%oPh%1b6XV z8|pVPJ^C%!?auGxWF0P%|E?j#xvtdaxEXhlItE?tb5da>$7S;Uch|%IjO;ZeN@l+i zQ%efAWSG8h6mojW^lK)bbLGwvETT060Ha%4Am(ZI;=~EggJB6?dE$4<-?OcLG8ij3 zkw8?PsOU9m+dGBGQY9}$ST?V6GZeTRWJ&d1`w+e;gQ`4}aA3^s>_h!ij*24&t!Qu- zw&%qgOM!0=zLsWp^;*^@-LqfMnG_kggYIQlt>hhmQSoK`UdF?WnMAVXBh*4`uSY1e zN;fHF9=fuZeu;DT>Ars8eT5vsChR&*8z*#p6nu$3E{Z$Sa!f@xAECF>!wJ z#xZi`e{_YSc_uy`y+BcP&5=DUP(mk^ssb?;*feV|TKStIUXiW3D=A=%Od^CFN#u1U zFil6(#i2ttLHIB>)YTctWca;LQg+7bjSy(=iF#XKcPmgd7 z0u{K_-K!=Y^%U4ed7y_~-FHKRu+c&X4qrNVj@9?L#VAPW5D+c7nrH1+Yy7rJkX<%F z5Ufx$s&*oJSkr`-)wiJ^%)|oLIaj>IqMFNzh%g-=9*<@g+f7sdQ-G=VsxxfL)6wz zpU9L9W8=d;?)RKNY$e0c>EV~fm}ex{+MiQVLU^Zqr5h$o`bp&Ka`4l{&F&q~(L5P* zfQDg6x6!K&u+vj_vUzaz^Zy$YN*G^w*(%SEtan z+Zqurs-%IBBS+KCX!tFacFea9RL57orWJ!Z_Q3EkIa@Yq(#SE0f8wnYLtxJJBpEAE zlZXp@&jZOl;5)|M#9OnpPJjxXX{1_+NzwD&G&?hY;)!PCL~R2bbGgZfK-IiM$yg35g1#PN>Rp7BK90S%yRLkGc?!(4*%qrY;(O2{oBZidUj)`IoKR{T z%sX^WmD^mE;|RxxkWRn(VIb;_^n1FuWI1-o z14w3%a3l=WdD+jmLv?tPZRua*W`r-vu;DU&W=*EsV?J6rRe1VLw{mfSmazFF?2j?r*s_?xf_kv;PpHSB01M&#KvKY zHwyMa_2NV)P$?zGpGzHUo^;?UvK4Xq4#e0mejIzp^?*Q*j_gv+$&B5GAwq&T))P04 zFoG14k-cTG#hFZmwN$ArvmESA8&8)!d0^c;jQ~0nd~RW7E16g0^;?7!jQZI zmdvyyYgdEhNXLH7^p#J4WSwfx^jG6YguXgi9m{E*YCa69)35$H>09w~_cEWC@8t$P z80^=V9Q8bz>-{&TrC1?gked82kWn@@POlRq$)f+44IZOE$oeyNmZNRlc-L^-bn*Tz zG^VFi!4)C_{|L=gAKN5aGe%GR&R5xtL>cB>qykLI8#p(=^kV@HFI(K#Hha={-i|fE zt)(;7QDT|!uvozKW_68{LGbH&)x*#Ti{(@9fgVDalRW&X%}8&44)$S^_6kQ)s!Ys~ zY%8D^LHOOYs1?1~**eH22lxQ6LVUbHyEfKpN=PLd%$8>m4rbnQ#Q8%(VfyukN!n1i zPezlkm}a9OPXnHVy@*Tr&v|XeZR$;DLIarKw~~1)q%-)Ga*eXctdjWa?{2D~zq$^RwS*@uWL{Ma=!2?b7_m*SS*jH@(n9s$w^qa=Zb6u#6mMGK(A?;A6ZxPtl{MKC(9uGo)DiyT_Qw# z{AS1hW9U5G*HJm3%($^S;l0zi^8TTT{P;s19$jE(S+vi}8)V?0}QgIp=vr zCX!-^#v8AD<+Z&|wO5=y`#8u@ipZ-(5dz33g6?q5ba)A$-x_mH~xUEz8Bz}5Uy{CL8$9+?t)BlPiODe z&gTW{r5cY|n27H0qfo4QeJ8ljsrVFVjZ^--SGJ~bK9+1bAif?Z)YA5}PoZSEHPON4 za9q6^gf3a;oVKY~fQC^=0T1syCG4|^p$hiUm9x380pR)=ReTm|-qa7;;xJdqO1R-B z!GSAJ4-)t(irmdZPvXqXAdZ-lx@Uw3XYdPYq)~!i0UW`n@0kQ%(>|5&(LuklLJ?xVIWn-v8X}L$vjct^rChxt<&ybxo<*In7zwcG zExa&_8_|uz5rPS-50Px=>J5CKg78R%$ELDgv7$dSu9;b1h~(l=gA!q>)s-wBrqkVL z5Ay{5$|zAG28b4kTKRb4GoO@*%-wi@0I~W^Cc!D@t!ZYRt>N6tHxwE9PsF!!hMpbcyKk!9VsDl1(P2kJFz5Bpwa;Zj$j&?>YKgI)>NtiA2I?Ir1?{%K~m!xdS5Uxftjdn9r!Cmts(pDTuMe3z+< zz??>5@0G2sToiB9ctnKl-+kh`jKTgE@0~OuT8pR%qrXPXa$h4gb&DKN#dtMzm_nBN zrgZBgF^C~}r!MuWuP^GW1=Kt1butI>V7uhirxin}w@gf)4kzzY#?JQwR~A?PyTz-4 z;}JaGWO}!&!zfG**_Y_ml;mF+T!`(;(>KmY6c`*=W+v1Hd5Cc5J=ec| zPK9>_UGKp{zwrfpuQx5AvSJygB`uOc_-YBHu!L(Jhm z?#XjBotiHMbLF2aAO=J4IR1AlBfHpgq-$XXJE`zh@}CA+xTCTSEJE0J%n@|ONBR-3 z^_q*Xf8ZI#ZB8iJCABBI)45r^A1>q}MEmXTf&FusRg41jyC8i{w~wM9rw=~g0PwER z@OM<4s^hkske^VFZSfFa$Et)Yv6M}XXpI9U?eEg9?dw4#;`pmX;3pz|z9eG8{#e!~ z{VLPj44X3>-m3dA|BNT7pD2Ac{_hsF_{rm5u_&49d#84=O9lTy`Wa;he(MWEQ3Z`a zh-1uWpf@^f@LtE*73Zyu^HP0_gQLuA-1tqkfnekXRYUter#B4>jmJ#20s<=Wk=-W4hVc@ocl zza;Xl zzxjyBB-(xv(2G^mXz_GkIIAVuKiMNvsk%^GQF&lJ zI~8Xt_%>^-p30lBKY5vkI0&GJGVj^X%EUf43p-ymWu8(C@8%SLk;aQs2|`cfp14Z~ z;=j!Wc#yokT-PJ8!@Pr`^LhXmqj445HC}euoGqb2M5o{>w1s)c>4zvn)?HRB+k4@g z3bP{oL|#Rw!D=O(bJ%tzj2o~}w~y~{{YfoDC9v>8hFGNIp(1Gmz-PEHIKf3UdEfG? zz|z%g?k9&$yj;K0FvFGMkg*G{=soHGpk2-fR`Uuz5unQ$#)U6knki_;0Mc)aXv|Je zDlS3W`v&>>C1p6M8YbAnd?)3u!zMr3iW%Cop~WGo@$Rmn&6QAkLu`uq8e zA}dwwL7%ll#X@=cVuJPf?ol52*xs2yw9g!^m!*c-%0#;aUC7}7z3a{IO*`}_+WZkM zTQe1^Xka8z1@lv{(Lvs0x2;EK;`{&iBb_DH?!%Gl$`;?QPsKIwOveM0f;+FA3(_5G zs7EwsGG`G2&r-v}Y(0bO0;L;9-#+U zUutnI-D#`#vBmVO!G1Eueng*26DpZ^?83b{g}fv)8$tD&=}>QBjYdmITO`ykaqEU2w;jyf}| z&+?abWc`)OnfTloJ>*9%c;l>x`-!K*+Y~VnMc+Jl6+x`U0Z$Cx7=37YbBBMKhb*S? zwISFa=Eg^UTe$|oxSq&Syn-AU2(x#EjU18H(dHEbwpeB^l_Y@k+5h;eqldCjLZjZ2 z&Tq0d9v%!sbl7XHRu05wT7QVS@%!r(YybVT6)wh~6q#qPYVtwsl5C;HVB3<~Iwm;I z9sQPfZ3Pl+K#_;HuT}<)5Kv5uDMt&^6(#wB`g8U+T}^)C zbXLL6%bk6(Xq?d)$d=^IJ>6&v-rTt<=Ww8ZT5rguu3-(5@uwE)UCL5t7VJLUZlC%i z!vBQ6&2h%H_>7{^FBufzVq({{>o&EA*|2OJJ;Xq@1xct7zA6s40dbb?o2& z3?l$@ZhrGCC>*=8h2C?{V-Wkui|#*D3HJ?!+sF0f zW85cgnBs-MRj4Upbz?TM@xChS$)0G34?C9Hup})?_%-4;K~0qJZLjZlMUy&JRsXk! zR^n^&VYko&D#%aS>T|jPb*8xHDp{3RG*vtoifq@|AkX&u?-C9J$Dk==!@`n!uD%6K z^~rQs+zW_Qz_Io>M%!`~X`iOl#NtNtmTr)@WR83um>P(FR5lKf7Ciet7ZFfcG4qui!D6CtZ>U_pY~}BWyHl3BRXiq8_xPl$ z!1@p^ACq`N|K?Q=Km1m_pJ||J_)LuP3;BiFPSNxsc49fzN^Wi>De)kaLahrAr1@Fa zTrsOC-9FW!4hGw{{HjP$b#g5mdlXIU?86Hcd|bfU`Mr^q&5w1j$v$p8 z4p%krh)>56zZeib+q7G0a{7kP4rlr|ce-Z7CJXf91XPMleh)p4_T+)4Ne_fk8 z$4qFykmq^7x6`L&2n%Vg8#lCtu2h&|)bs|pWFls<`iAD;{aw(9?b*7INRh}&o%D;$ z%}(zHf;Fo3?*W8uCRY}d#!FI2B3BK-NFVC$H!F+h`U0xTgkCAc64hzG!Z}9fjw0GW2HtMk4(B$+F0sbjc zkd(21tHm9=V7Yw62uS2uGP8?xCqE2MzS|bU8(MI;@}nu+yo3y``29|o0{k`2B3{is zEu;ggNQ6DagjlGT6|ujvqH+lb?ow-jr*U*`Ac9_jtb03B=#F1E4BBgx(KE6Wio*>w zD?}^pqu%wTwsdQzWB8?0ulOI&O!d?L5Qz@wOCnD_36((?i zkd%1=^qLWS^XiGpTV=hU$Z89~8_Z%@HNB$QH$cP(-b>b<@qaK8V@66Y85E;-^w>S0 zG~{r(PPQC`rKvB$%;Tv}Obtj(`80Vd7)%jSK=Uzzvn^NQ!=@qcIOoi~r#%iXSo5AL z`?CP(X4jFxeAUTrgt}@z#jkXWo#1(I+$O(+9c?%KYhb|>8BwB z{V5-4d=!o=EwEIfsWjby0PmN%gUv>WovJi1{y_~Mc(w~G zXO854t!>vF`*d8x-|+8b-tfEM>0`qjY=o7ef?cI!uT=<*dJ_j7WH0iIf0JL>u)9V( z-){;?;T^Yey*58Z9L(@htIk*SI)kZd#_T8w($h;-ih01R!g8xP+`Tgj{MxIz?FxH2 z?o2UNJCY+Zm1vn@cg9`EN|8I0a^=#yg4(3aI6KgGg4XU*->>LcF!hheV#ghd8|;jJ zA+=*ld5}DtnSAdJYf)xS|4hw2_~Sd&^N2GJkwGOCOOa|$wW?)al}q~B-*XZYiCFYB zG<*bVRVOP`f>oM1E|>eDd?dNq>ENWKF8s8ME=^~lCbOpjM;Douy6RAqSnl5^1tUKd z$F{~_F@Hv999(mR1R}X51?yePCBXURWJ1xs$51!VqJG`#O|1B6J?w*dUXY@jZULPc z#PnU#JzS7iLF;?h@~R|hO*#J$%J^Z|@5j$csL15SW_|O&9z8d3Ymwc{dB=$RXm7iM zC!@9MUTTe&t|W_UR@$zV$O?d$J4YmvEjJ-yZDTigSR|e_y7UvgpUln4-G-iutinw9 zQ*hKNue0Mqm(hAn!kf;50|%Irj$>&1w*fjgePG)noGPEQHQ;#XCu<&#=|;ci^_FUK zR?lOT+O!vL|J^dux}!AOc~q(clKintdmkA(#^L|$qncf9a+bDsiN191G)?XZDYn`x z0pfnltXk-Deh>IFbtG)Jz_NI`=Tht=6}w)?!Jlp=Q~05=zy}ke%4MLH6Ho6oA-UUg zDFezK{fg0Ty1JFKb}IQJ^!Atcl6kROn&R*^VT`8<&3c5iyRbpnWukl$R%^0{fR(}r ztD6E`Q4^JW#pqQBSI#Y4(`Tu|2Ni$bZ{5L1jDF4<=BYO|u5*sO0v@y|hF6MzyWn$C zP>yIS&C+BGMB9kI32MQtz>CcPuY&z%+CeILqQaXY2f>oRoZ;;V=vdc0Q+}fi4!@bg zuSr&i%FW*XT#GuDNWJDhQSC1l_f#nP!)IAo4%3JIwJEx#(kQYt_CWO6?e^{!eCJ7QqIwvbp+@?Xk|qYhWx&t|H4@Dp zd)Llw`Z>UtRAs2&If(!~92fVgEMSC;6Q6f`h`G8MS@ZRtv+oDj8o9}-I?hwYqx_`p zZ2TwIHPdhn;-`>47#^WF+>`#58) zw539o$~kbfETbCvf0HiWvjeArO)Z`2o?FUc-uQBSd6_(*PRKL`vfcMwQcA&kOhSjB zoB5u)Ylq}jb*)L`R67DL7UvcDmKa7Z4tfL!`#bQ3QP;f%4F2n%cj^Cj9xBcYb*5NC z^XFBt@-C&KHt0!p!#AR4J4IDf`AaC&Fnj={dMpNz`lZTblkF1bScKoA3c4!&4K#OP zJ*LYm?QUnlV2Ms*6AJ&bDkvu6As0xp$(uv;U+fs4RMB>T%?;xoFsevBrBi!r^q(7) zs#D=_T+4i5xH*D3S?uL=HKtXH>ex_?6cbRl)%i0|A?A?2I&^j;YkaYIOXYoJGsI6T z$p>a-!F(xl|8%RpT6rNa*_lVzF{NJQA#?v<`BGSk;FtD_dAKMH4CZ#-8kZr%{Z5xw z#`7^dW^NgG^vo@XKzq2KWa@l~#+zofEkXT|pWh z#Dr>8NOIv?)NE>?4lkz1-|8Hs@VHYth<2QX24#`N9qJKFnQu#d0} zgnvua_@_OVSdVu=Pw{^zIJmcpr@n(mc7i|hrR_V#D@O$HBDv=B3kjHC=&eYMqis<3 zYgw|?os?d$p8PF==XKM#LnnK+G?lv08`FFO$zMC3U3-{Lp>qfuADv*F(bd_s#(k%< zjdYsz)i4kFtqR=AA@j1^p^}%ZFG4;scU5OQ zP0fLH#}^siqUwhb&!Orw+xIJ;F+HbU+)#Hur7a^Sa!n1T0F-&Z}-wc_?8?*y&4kXrKR`4R(KjC z$TM?({QibVAl!c{cZz%W-V$7@#;(t3wC~iD=?B&3Lx{5kCN}5D5zrh0mWLFHm{X0o zv{-y$=74&RF5r^ZTa@qXhz@)G=bwV!%a4SO2Y8J-tfYD-@XG22{X8!QlC;P=FZ-|$coDWJrR9;=h+vyqXQxP-HBi8lhzK|@;VcaC{4SZ zqOQB{pc<3369tf8V~fA%$ZhC*TwOMb|MguTDjiAJGCS5uYPV^ zBpW9c)mxr}PRzO_ecg0i#${IP@E<4Oo116==d^iW2Z(Ghn}HtP*nYbcG)kC4K*3if z-fRt-mR)H59dpBY)CS2kU^PF{N=D?DL)az>=1CssU;4YFO_KO!wv7VeL`Uf`FEzAPN>>V}0^|UgyXMaH z__*Xr#zz6N%^Nrg*IgrOcDh0R&|*}&KKzx*qbzsgdg#v%%3^-?>SyR1a zm{tGC=INce`hXfUN2{w;iJ1L3vzbl7F#5?Q2X#z9KpUG42o^)A;i+cY&W0uXYTQ`< z@mu9&eq~)BCsSKdLCDifvL<{%;h>?nhDJsTg=@8UE_&mQcoJ3!idZhh^q);$MQ#U}?&R9l#6|roT$U5ItsMRv2ZXtKY*65_t3r zN^LguzgyzQAMIKzL>~n<%k*fe%e|6vXAA~uuAth5=!zs%ULY383lgbQ_QUG2_=W1j zvZ;yaP@2Jrg4)Sr%nLUM64c$i!j}0Tl2-`z2m`}ECLmC1FRVg!gdV5meObWDbc&_durswENFuI%+ocl zcxXiEZIYulPfn&@z$_D_cfj@jylw)v{>Se}dv9pr$EnApZPQ(ZJ#q1QFpj&l^t1H8 zm%>3CfJlA%^wDmS2MT~gNZj-|1ahpJq@XSa5|R5sEy0X<%ELl8Xqwl*FvhDNcfma1 zUcf7)vD9@XZ$AgM&uHJ%R^BUBsTQ#)q=9>4W$5?G9JX_aVS=5-3G99?D56+~&PxD; zqE{nk&=I&2ccS5zHw(q9XtX4mZ}PKxyvN3jtcl*d-C{iN2fMFFmsL7RQv{GdR>>% zkeV(FzUWLtTZ^ZfQBsLx^fZ`{Xcmxbfy{e+uO=2FG;qynU;iaFb9|%u+gEK>ndtuX zHdu`-GUX=Z5agv+9zS#Y66Kv%s5f&rTdFmtdkluZGPzxtz4krnpC$o zQX<$IJhp|se0Nmx^%7XMu{4b&%ZHs?yI|_B9vOrJm@;-@i81flXf7q?lj)kgWRb*f z->reZlp~TBH~X>a#$$-zBYP31ed2f(;z*|`(Bf}$B2`8ov+s{>;u-tz7UV>H&H8)k z8qa9ad&Lu&kL&edZn9V+kez?3_xZZI20B&(4e(PHha(6-&SK;FP?MOhusXY{Jd4Kd zVtZ>PV-0-PLDT#1WB4B=PtnM?spmg(g;>OQpKYm{UFxzNVSr?*DmUq5ODVtFO0lPx zd|k|MI|-}G9n7Z`kmmkaW2cBcMhyHWPI6uYG^ye@t_of(d^0nhFSr{~F(HKQ`Yp(Q z@bfco)0$uX{^hPyQ0zN+4Olmn_XcC*Gp`xd%+l%BW7g75n zSm5{N&)~1{c%F3d6`2w~#!*-hlRJufcA<}eVRjsEcC#&TkVswdsg(5Z@3-xqT2xvO=f|6Gv&B*F@wA&q|5 zy{@8^gsk-g=~j~B&&MIK_cPas_`L@*1fXBgl%#|Z%{RI&(c<7CZk65-eSYq$%4%El z@c}msnW1;}urA~{fBzQ}<-K6E9KDMl^g>%*3SGzkCdfZU%|v;DH@H5S<|J(X+!Y%dJp=RP?o8|!(8o`6tF~uOmb##e#`LD2rLJnQQ>GTN2>=$R=pO=S zqJFLqsW0xaF{!@m6I~z`2EMreZjJ72!Gg3*2?AqL)T*(#T@6PjJ0V310TTIIa7O>} zWwu?uQa+nCJIQgr(T~GdNr=Pf5rJqK5tJUZFU0zV zWo+A;PyN|+U?OySjl&7rpKrPvi2uw7f$vlOQ?h#r68wu^xrVUcn+$t+7+?3Z@B%;X z!0>zuMCl61$Gj}Yr#`2}*X$f5W~p&hlGx!4ovS4K``sZZ59|-?MHUsG;(|U|A<`{@ z;`94mrq~(}ku+O6J9IzT(>UUxc#?ua*uQX}A1t&cq~@YT1?Dq-omhj$KI32Hc@~=4 zZL->Gpu08gBPa1L-o<9~$!k(Rend#Tp z?tao@p5Vd6=zKm8dP7m;>ai~n|6BIdTly>_e`)$F{R;zz_(R>OhrcuJ_hlq)>*EBo znrH?DW)MFJ&SR?TUEi32BX_)Pko8l|Wr2;8y<)bf`hnF#bOD*~ohP(_3XhwK9cPWs$8Bl=7O&9m#G>PUEignY%n|< z=eRC{OXc$@iKc737iNmMJ31k94w73;`vOVQFA+kd*O!`Yqfw-rygeBoWcs zN1Q}g{HdaB%0KV5&LRi^!e9ZFI)PDWgn)A)gkDp_dnG3EBlZx9d7 zl}}C@kBLSeW2$}*)d*0TpK)%3e01!383gVD&U2UW=^F19*%NPu9|ceseuxccgm^`= z+55c|NTU}}n=UX+&ov|v0Z_Im} zHYqOXmaAa^z)5bwG1pIAZ-dpd+V`BUEiZuJ>@81i{nR+meHrUQ?cbqg!@svv{8P_8Z4`(!)E zha!V&ZN-iD2p?CNJ*$dq+qY8Y_63^m!q0J>jVIgesK?pbxwO%PxdAN_=2IP(s-G>n z4B4yrjaqIz^*NyVMY>daf|X9n3w7~l&&6v11iIf@!@{)lrxOLD4PI!Yyw11Qp)&*8 zbwQoCHjRxe(`*2~Ktg|a#>ULj+X=}t2@JvK3t zQ-$xu=$K!hD~n59vRX+UB%RJp9SL3MrLLC{(%%y{9>89*kvGY+8#HHU`UKtVvfI;% z36eJN-W?hOwfC|~fpOaV?ZWkLLMGTN1I5#4Vgesha4+eEX4}j6{$=T{8pp4?JQ7ts zowU^HG5(x1wmN{zCH;ImrYbY^v(WIwXHt$ljY;qWb8BWPZ8A(%!194_bvB1g4s3$9Ax%TNim1?Bfcu1wkVSQ+& z5s?y8UB-d&MHKkg6Ud8kdYta3UyP-zCfL{frXxmAes_nOZ~SDmuY6hxa*Cm`dOuVG zI2&{)>?pLZj;ox!xsx2|=Y=)H%g5l$7{)54CSj>=AsF>HUEZ0$A^^RoR3EJhR;~@1 zg{pKlT{o&?YlLZpiF|~*NnVTjg2;jOT4{#G!3r-1fQW#)Y7f{O`s8Mlg8H-PqQzN$ zhOcp`wTRopfa<8bw#HlRlTlm_;(^?xpfF90Ar>qAF_q07>%FL&_kyG~k)sBx z!e~$sQF&zA;&7=d#`JV=Ui1`{#K;7L+4e$3Zw7YbRoDB5hVfGpRv)ORHf(E_H*~E* z5mIr$$a2!FzYpao55vU?03~8@C>v`(e<`22MtP4=y&gNvtIBxvlWtMJ9HDlv1nDz! zs=V=sVgVE~ryL(>XgEvfST)h*{Yk$&Ym*OuHa^#=&42LgpYcT%LLy6ToT}eV&i5l_ z_g`#DJOS%veWJczfbeQLL8UURv^O#f+k-z*MI2%_O@+#HW^+7TryDZ~FJ!*3sf?d- zoPhiil>C%nV`&HH?>}%OLKBm;q^F6q4dVJlQgYu_g_P0g(&DgAb<{&wu{`m}Kf6YK z$lV=#wP5+f+w-zHMp1Z56mke3ajILyI;UP(TZ&ZhFRm=0gS;-f|u@ z6ZTtNsIvZoC(Xxdrq?Hw`1`ig8}XOFLJi*y)_8b>`IpO5TXmKVT?xQ-z}{@0CqN@p z`;|Dk#Z$5EpMmrfbm~@#b10WjvxwzR#P9@Ox&pC3;T&{ys6+7Kxqdx(oA2P8bp6FpOj*aUh{JqJg8dJI7DsS(12)=)OfrB6M~`OhWNvq}KzF+SD`o#6(p| zP|FbS&zi?ILTur#XDibZ{_gxSutY~C?1T1u*i_IuKehPx3g&m%voo`VV9uovG#Uqv zj;wiVrr!=UMcz59=!v=~_wBc(mBYWLJEX=&PE)?pF4^t}v-RJ(e9d`CE)jBT7>3KbRm&Bz{e*WK?x;wo+Mf%4$P z=3~FzPI-1>p82F0$8KF|L1^~co9s48J7OL(kSs)cF8C=0+sqNOkDtv;T+LE0&D_5b-%{+ztX`VUv~~L7 zF8N@#jrd7IH*)D@Lg9i()r}lx@WV8Kaol;n*EI9G$jWZa1(SX1DMG9pIpVqNva%Yb zEjnK_Hw!ok&WA+M@>TjF22W)pvn$T%?kSW>QM8|@J6ZODQAor7y2+meB@2Ur0RP{( zpelVsQyxR-nk?%@Nkexhe2?1QD1Q^-&4K&{R*d*E>g#9GcYcoU;c_Z^WBMB6#J9S$|D_WVq15%n&zo^^Ako%oeqP;NC1 zJRra((VAf@Q}R61;1W@lcIjIZ0p;g81^knSQw8~8886i=r;JRc|Ee+cHXl^ec}xE; z?kq63?#%HI?N586zm4sC({OUx{+Yh;d*Wt3Yp?$f4&afOZT=&aqN!^;(^x`IEXByD z`({=^IB!xf(iO3c9m}Y5j;~)EB)Y){AUl%p#N?;dt(}viuVE^d=?pGn#DytS7LiUj zP%rGqPX5!zH)G0*U#@`G6@njCORWzPY1EvuNIeU}FbWu$&-bU%Si5yC#fT>zYY(0Os+222*jvS-JPj$)V zZJlM16EJuyu)u8R-j-IVk&(@Dz2o_glT=!)*okl4H}O6_7LKU9XtvZktB-Y$Z)X^_ ziQt@UcmBm7Y;VDfjm&W2Iro6>t`JsS&}L(ZF^)W~<1lh&1aX0$?NLc#s5^Yv%R&kR(;$=uoe|tl>FNZ%hajYd$U!Xjg=1sWzNw?P%M^ZF|k@%QDz<8_OE$PeB8!XK&pZ{AyfmLCZ;bJ zHC}f6ilv6^BsM6xvD6z1e|ROSZeJVckQX&?a`x-gUT~D`!sZ=p^H%{x^?l@QtYPn^ zL-G{H;|(9Np_r3n1m_(TK;E>X9~LZ%-;|lulTwL z7#fLxcD7N80KQk-tdwT~Wt@G$v6apbbCygr+Yb%(y_umuzz# zg^TmJH$R+JA>|AU3=K%Lt7>%mGu1g=6aommV^^M>t;10J;9suQsx-2$kj&$#esoIx z>!!L|XSiRS+*jgJ!LqyCPN#nY&y#1jpDJ-SoT?o7Iqz5uk%G#FF$jvsxKOrKkX^m%}hoXPBCMpE}M?Y8kJ zp#Q(95m;N0p^>1(?>k5Mo_oJLU$B1*rM`E9HWK*gzB(UZa+DK*_5Ji$o z{`n(S#Uev}Ny1U3@bNRd7IAr5-x{${oK<}%JHGU@;wSwo3>34aQv&4?; z4_~hJ=r_Kqt3cXOty+In?|840QUJF^S3aY80%$678y?xkX~AUKv%1B7j8@k z7s0}7@ux#UHra$OX&ugOA(6+~>ik_@5~H(;Mo01!rnTX-TrqdSXI*KwBP%wcES7+8 z;zn+4V~w8$fsnMVITB$)(hKvlLO4xf;BZzW@R>i3=di#ol#hM64ggTUVyJ$Lvu)3# zi6zhHC>0h5uQ8&XAwfZfkY{9ZP@~(*Y#QXY7XSTSsQ?f23K3N(IT#5|#CMy}1dCsZ z{!emDroY?Xh*K7ZHJd&-&d-i%II`s|w4ytxOeI)k#;Y8bMv^cbjjf>+95A9=gjqFj zJL+z?RO@Ofh*BcMMfT(DtEgF;+9XdOgs>n2wFK}lTtIHbD)}~K%ll>J-)9ELUABD^ zxctnAlx-S#WA4oB3PG^Bm6${tGeyIxzNQiuWY5xB(^P!TRRq;hVP*kKNu#d>&0c4S zDU29H4~Cb>&1+O|oT#FB`omHy^f%G|8?37E6^&+kOrOF|i6t-(c8o}C-rgHphZBP+ zDW<+mB#&zwFHEw3wp2&MVoT(KIDk8TmoeL~&Q*jaTl{|OV454{Q=fGFBw^Q~dI#p6e`25asEVJyw^xq=e_!YAPQv^Id#;${pa>N< z*wMW#2(_E_0{9rzPC{?UJ(xO^ltA1!!JRK!@?2%$v5iTR4eZ$?x+{GB2ueObG*_P% zU~Q3XS(vik2%m{4#S@zIcp&aL<=_ds=@%m_lbtzIb{R}lMdHrCpy9M!&v}oquiT?6 z2Y}v8&;|Ca%34$xhemY5SQEvT@Q(>|X^#IpPj$JFevQ{C*;n~8LaxX&qn;k23=;f! z2-Va%*hSm^Xu-k0saQ%N?eEMd;9y_!8(e&!j!CuPZclomPPt~D3T#=U{(VQ8llpb^ zgS9Jw8o@w*BG~HjAze|OP_~XJf4OJ_IYeAlqH+?PMCBAjTB1GHSR?D zh%-bQCH^{M1?g89bC6(rQt`Xim$YBbh&O%RIt71 zs#@Ci;u!13xhIu1CfzT#CC!>7c_{kl%xGN79X>A`O~bc@dCrjGl)E1M)>lT(&Oop= zgOE3Hl^-=+*7;tx3cvX>MNBooD8%Xkuuqu9!CRQ~IY;0q<=GfLhx5k7@PtV*$6b&!U3GA$?%RrN`^;iWBq z13wh$(bYvF2SwTf?|?Ob-h;crL z)d34r2ak!B{6?Hy1rNy74XW4Zc?{}?Ayl!zW2U7BNgwDLK{K;5={P31@ruv=gAi9l zqWtDg&3w@gby=)gbmB&WMckfSHc~Ru2F?s(&v~LL1}ZNVX79f(($R*95@F@Ds)jyh z3H4(=_F7V%k$tmLaY8CZ5rP!}i#!(^>+bBvnOay5I@;d9mRcz**7`$<>(}{74U}5S z8j|WS3*04R-VjJ3x=&F$@0Es<+20sNjbDt?81Z$#J<6^wqc{!~1<~i3v3v1TLeJ4Xz9pqKkko z2SJ7nLN#gT%Jof-3lxoBhCd{JISVWmB}WZ)=_|O-RQhkP)qqP@{oL2aA(9^29M?u} z5yL9)t0U3+5_Vol=6xxMQtIOuk%n}*$Ee|)jm%aTn)kQ0`IqI!blH7uVdfjNCno$H z(&Hj_*QLjaJTIbc^`=fFZJPKj7m0>~_fcOtP9$Pg|1Tj}(s4cvv9@h>G%UfQk3Nc% z1P}+d>A@~5UmvY2WKc|3ez?^`TM1<^4+bv;kf-v`3Zzc;GLU~r@r+zIEKIwV(n(ap zQR*St33-J)Sv=J^I#@`?IFP;BL-N7)+V3 zaP+@hDW+1N>VwO=NQhF2r{Z(vMbTq0^IkXckDU7FUK|Q;>|dX4v588f$%+kwsLZq80T@K39OJmYn zxN}82)#p0zCX~$9D?4|;6mS67pguBwHwB*wK=k-zjISf9D?bF8&)T;2V=j>z-=TN z#<*f#S+JssZs2yqnV?kir#j5;sAT-TmY=rr+TSF52-$d(1OC<>_EZe9odN+O6WBIU z9Y(KraP-@GQhs5e*ItO;HfHBo4aLc{N@fnxCF2je2VvW0BsU7Q>~2a@Nqk(A=)g_$ zr#QJCcg`!U;w8@&gzi#JqW7W51vNgs>(R={Y5EnUhpw?(E^fkVb*|!3nwY4vY3Z;k zZDCCPrE1bHcKcBQh4`#pYZq3}ek9#bkW&Dy;Lq_{#xt7^4J*`gcBOu%FH6Y9w}YPI zWb2Z8s;BA>e14Ggl=D2prMPur>gxy?>iq;H^?0oGQKiw=*TxVg2O?axV00r=#4{Wv zzAEdT{qFQqbaIIAQBy5uz7#^knF)6Qn z7XSE~v9nR}o9z1GnEO|ipL#~mglumeAOM*LhC*S8L6#Xw8EOTti5b4-P3`VGcG)H1EdUSI}Hw+LELArC~0E1FNh7LrWgy-4wVlVGkyYF9I z*Z2B-N@>xZhy~g}Q|#OM*PpV<`AZ9PeB>M04*$Im91)z&Wx;+-hq+c;eXfQAr3YTwgK`v(_|k@;D+XX z8P2ST^8W{>ZPXrX|7l?v}}nc7E0xRVd^p( zQ#?=#bVR&Rme`WzGyf^j*}hju0&ZD;ORg0>x;^*+v{PoWRm zi&WXR3wVV`eSa?5d)VzeUdul3$d0tVlpR&D)TWas9TR)vJZ{gFRH{mCiUvy5!8`Xa zfrkrnMz?T#kAl{QlUeU=cA`v>8}(c6c)o492S-N(2qS)8Up*s0O7)sUWtYTqz0+gz zx8F)1UA0z9;bzSC3pU%wx4yilMPe^^G`GcMO|KriY!zIJ;qq4nkw2DKd6HjU1L4ka zrgvun-xz40;>XIgvz=5&c}5EQj=&L|*EbKA{h9+<4vEzL#j=uHfh&rqw9asq`p#(b zuvNv(VD*Ooh<#pR?oO`QCShcV@QYuqjQ8?|m~eV}(S|Pe#DZzQ;fk|{Y>`#e4y2u1 z!iq|)OD3+ey%8$*+w5B7`x^-616Gx=q*Slse=*RCGy@Tfa|RzXHD?C&-d}jJJ{fKS zJQtnG(MiAbDVZ2oibxIsllTU0D%+%m&!|t*M~7JFxU_dKe5!>9i^HFzz?mqJ2(;Ea zQkpPJaMof#YZXeh(LthoWAcNr+a|5mrf-QRg~n}@k1CCd0Ui086zxzRraNoeMC~Ni zm!F-n)nJSvJJbJc?Y##vVWZ`YMF}OQVQxKA5pq;{`%^Cot3uH}B>ks28WFt*lODwc z38nhOD6WWTtz42|0)x{gsJt~J;R#eoR2_@mo`YnNDWcT5)m25EYB3p35F70^vsLB5 zQfd6aRL57Sd~p6?(WR&izy0)a5#!q|YO23gC&!ZmldI#<(K98Q@#fkTVrCID+k8P$ zf)~F2!elH@OnLCR=bFVCCk5ZPZBNGdaZ>Vj+HzHte9V^7Bt?5VkOm0t7;z;^SY}Ok8*>Sj6Qd8 zXJ~`|cOKV5iuBe5Q7jBY0fXk^t+rne4@h=5R_uHwkplE&O0GVCv( zPgN%;K}ViSDy_$(w&L^i?y@)&f!?i=mgo!y^)01H_Hm;ywj7Jg33XpL3Y@}2O;gPT zORo$i^1N(_97~U!yw&v|b)HY{JSxB8O!~v5N8ev^y@6oCn%bXw#5$&2s6W$2LKEwI zvSyRJFSBCyo&L9jWpQ+q!zoRRXzoJttfWS9J2_KKt+xE^T7S}m9SbWW`vYc*Tm8r0t z7_2N(UB?OJXy$mo72|%*jxPuUGvAyMZw}2)55qZdw>rQG@ve)IbpeCRSj$#%I@WmO zL+ip{W5v36$+t|30{XoaFQCc$X#Uywri6>T+%%=_l$(TafDG7u@u{PzyWjw?6b-Pb zrtrC9u7*JD?v6mJdu%$5NuoH7-hY4n6%9<>EoSeUq3SRSQ;8Jd%Z^sxJ?m$Y)d~)D zo5K9?Ahpb$oXgr=#z^|RA?MTZ3(=YqJwoI)hA8&%EppZkz&=%R7qzW#WHHL;?YKHhm3n~XcH1klMq4C(*9g{Pe*-qBUY) zf~WwJ&Jl<;#h;qYOI@7h(mb`Ri4OrcG`Nz_6_np&u9&Adt($|(1@kXVYT~VW3C-cT z&rM>D9lKX0HlM#^5C>v=>W z@RQ1j*bE)jE{xUyXV6`5!KzYuhJ0tL8)5~0_zkMUV}pUJnn%u( znd|Yv2X4hpJ}0KGWPOp(#V0A7S}1>GEkiz`zRg}0C<1?=R7lQwn>L8b@)r;I*rl^_ zB^9J=V+S!5xSmu_r8IZ2$emynvFPwX=Ofi`~%fS8v$e z;ySI$_?p~4$GPO=ojCr+c-Q26QV97azIpa50Za-?mSbG(1mP#o6=`Y+M-luaWb$n` z0V2>QuF1YsWdydgVW~>VxkP@Z#8g+!v1Ei@l1EmUrl*|UvCS!{i~*AL&(Na)8RrDa z?$CY(A0u4~vMXFkIyt;Z2>t2@DjvvB*|k2htHlpygyPue5%_dY=oU*C)th}pqAQSj z5{3TmqLPA&Qmp0&N3h;xPX2L=(yO4DXwGUr9kM|;ik&2Y z$raUC;Uf$`!CY~rd(W?#jj4&obBX3bbR_T{JhCRl)ytU^ASA@ya4}V8ZOHISdXwUh z*$=BO!u7#^49XRSx)$L0$oJo03{hV&*dOtv{T=yE!fR%Hw_8DYz-m>=CdbsnRnCuG zSD90ULa;Nk0~YIm9!!&P8-Kww0t6|^$*DzFA9U?m{mRFlB~h*D6AcTvZ@)6Q7Hczt z)7x|w*dUiC`a?%y09QSVB}b}g zPEr{k$c#{@q9_H=eQpK1p?c8Qn6Yd4rt_DwO*8iv-qqkMV8ZuNeCR$&qCiYZHLyTv zeuNL8mE{sZ*D6z$-RQ8>Egm5GcJ_~PcMOoZJcr;X1AHig^Vpo!_ptNLMl<8Ef*9f^ zBTd`VG)nt9elt_iI8tN(Df7ilTxi7CwKXE}=dKYW9N8V}V7M%U3fqJuu^f4M=HB zW*_W(jOt~g3Q*h~*#-aPH!yES-6HcnN;fa$@BhU1lyb6zR&}dKIsS1zvWU8etGcUv zA+Dv9xi+1c?}K@r_XVqAP!J_)@j#rtyle6%<5ZOd=(@K9swsv>)TvLpzZ~={lNw<7 z^{8DQ_Z;*DWE|i-BZ0^vA9f8XHa$C<^PE}2x7=jpxkMg>gL@65ZfS2ioLT2XRKXff zOk&!Ar!+2{O~$?fyM9`SkvQB&V+IKO+~Z^Q6gTs)>Q-2M#3pxy;Y^*_iUY6SV}S%e z^^W!Bs^I4?-1>^oO;kd$9?`0kLln3LHuRFBDxTO#3!8h`+<`IJ?Z)JIAo~Ghxe?9Y1d18`ex3)R~y>Bu! zl`FP3doF4(;Pr%#E5Bm`eq5wP$UuD z{%(ug{R!Oj$XJ^Bo8rBL#LdUMl$X5S3;BmNU=xY|O@D5pFP%GAIS@YZH~0|`D;}v1 zq0=9!(anXLze`VIB(NJ8|xIEol? zV%;?`NqIqibfiaztFu(a@tixFElWS-_@BP)$ezNJ3{4|m&S$DF$B3o^$>I;Q#Sr6= zmOPt8BHWCqC60~|N5WiW@A;nllncH-xB^LnX?2)tWvP4|Un#Rmjn%(R^?$PO5SNQ70 zmt!u*TchTS&H}w~?_x~6va7Q(dZXLtl`cQ& zs#4!Ul$mL#jD)8|M`kMHiPax4LM9}da@Y7D^_0O^*d^O@(EZU4q?}Ul&>ej;DF^&Z zAeSypmyzUg^yJ7(p0dDG{4gAn)tNeO==3-%yi!ikqy6 z*o(|_o#Xf^hA&x(!M|}1-4Xlcu+;JrnEn@EM`L|}dyXK2aCAKiZrv8k0Xt$Ep<$1JZcGw-FIO!tb?08t%ti_Bi>4~Nv**e@Y~i`HP6sJa zyXN1j0@x?s?^x+4pMV`|LLk4Chr4KZBl90%jrCqDxCSmyd^i_%O*3w6_0YLhQD!Wy zVw>qpsIqp<;mC!@x4w>GF^6qO@`-ema2afbxX?B(&m5YC?wS)-Q;Y_RcI1+_+0J7z ztVhU+mrW<)^bRu&lAiTq1tp0CfXk5HJo(BB-Y5fwrBRKjm=WobTCR#XShz0aLt{OY zV!ZVZg9jmPFC%h`3^I2XT&NH$+|5#N=eqwMKGI@-&Aws~_ zyWerLM_x{FJ;0cF6#vvo8v0u~Q@A#5EfX|hQA6HvcrmG(h;Wg35d9_U0JB?1p%Nqc zeE!Swn?LTE05PVaQT?hfNZ+@Xr1(9r;E$L%BPM_VT$k&p+R*`UzZEBd_RR8{#{SH5 z(Wcwv6lcL5dhW$=;r}SE3c;w63qm!tNr?d4?uL(4YhG}c1EF>VqfEdK&v>atN zn3K3CqK%A1w&lg~eCC-)3m(}hDR(NxmO0s$ky&u~!MXj=U7ql%cnh@~bdN#RY7FL7 zXS~w*i?B6qq;^%9Qlv1qAjrx^^qq7fBD8ur7kY#GV4S<6yg@dK7_AaTSNyE(o?94f zXqRWM7OW7y{@%ykvhHU=4|>PUkxQ&WcZQ3KNM4%UHI6XUtN7R5-2I{vyrx1RSinQf zBfsf2wa^IOJp1{sN6t)`zIImM$JMHYu^|e#d3{FW4xd-gZeD;~pMkjSe}C~QkzM2* zb@o9SWKje4(DZux^umj=gXmf1P_9oSS%H1gu=?oO2UjY-#hM4VeD`lFDFuo3Hz>sb z($SxJlKOI8oyoCLSuGdkAF|zx+POv`N0E36Kst(JIB+>!x;PAjZCq~jzq$Vo=+zC4 zNcvwXisWo2gzBjpTsR&%5Ox9T7&fDB5agi>ow0`aTf6?wXO9Q_iCH z*=W@H!oFvw`YhZCOH1iT%eq1mgOznY-{QWkRi)c6_P z9DvTZS9#l6NRt zC0B=1#xJN7_7TK`X5@v!TJ9HR=FA+A>0DT9E%$*|Sm>mqGN#wyvl5pI zY>DhEtft(5N-;og=4RE7f0_yZc!o3qmlKD&1g)zqP_m0VYVssCT1;IQwfDFSH%P-Z z8;8Z4_Ywmn1{vM}`M30jgwJ3|#-dBH*^M7Px<`F`X|2Q~u(R%kgB$0#MPs#=?%lhV zY}Xh(sUU5>LQP{-&IM5L!Knp4y=W8PswdfLLAPRY_}c$(2DNwG`&#MMLH4wT$rOX{ z7VlpP!%Ibb!xYU5A#U|ahgJSUv4&P|6G3|4;Ks!UpWwE_4sKKEW*)z?+tiDOB+H!P zceR*;7koxGS$stogPXVk!D*0fYmL9A9{>3_nkMopmO2(;Gcx1#tWL@Ji2>shL;`Mv zlUoBUeEN)%eSo2{td7fVPLoZ0Hgly0sME<9Je|*UzDZ9Nnd=@eztg29PPbwI^AIZ= zA4MU@yV&sW7CRRZ`Zv6{9ke1l;X?E=d(R@>jAqlJs@b@E%H7&b(W6E&!;@b4Y&2+_ z^Fuaru+u;r8ew;(Z|c=&7JLNasj^Vf(ouiec_sKyHhO=`yVeOP9B}+%>$XL}@@&*u zr&ueC>F#}^)NCIRI04-3g%UH*ky$Q-mR!M7O4=7kB}Xw0VPinKq}-gP-N4`J0pJYd z_#S7UU5hIxrElV6YCRw~j;`35ta#BRj2($67t~I)?-psa6ZF@|X-dJq7mf;WWd8S8 za>ORl$B2Ze-uW)j`R1gU@;DG7ZBpS zHmc=Bh(mIau_Waty^U_SBZ%;VZWvclF%NdPy5+pJgv7{X|nP{u(pE1j7gF0fRybwky01|Y_TluRvV+LlX~~}vD!~j z8c{}LAa}^g?3IW7qRAY4EHy;zjX=XHNwjoJ*&%Yo9lEQq@&1h9bQy|$psCdy zY-FfwknvpTQSX0$b$1To;|O$DT4VY1^Ew4+B-^_XX$z?L>^yb4Yd)Y|E2$zdPvf`@6fK{F-NL?RMqUH zu>-IMBz2F%bfxnlpM*QsWv(h*^7o+QG>*=QQc_2^e8rqR@iC?+j= zxXISQF8}umi3L^oK}2JW`NOo>px8cpoA^(|msx(%+|NgLb1s(+xtlRG0Ty&d7spYY zC#LU9ALm$Hu~wZ{qN{`E5Rn3=nYfk<+7MJv)JTAwzuhp4&7bs!K>%#uGm29Vy$hPF zvB->cSpM+InJ8+55(^WtVxnlRyw|kjHLq{WQ9*U6)#n`dw`ZAH`$30;9E$2J#ApF-X{=0^e!__J|>|p@`SB(GM+Ni2mpkBR-C}rXI z$l(?Xhhz7*UTS*yeKj^vy_4D7j3JMAxA&Ude0 zx%1$&P9b-f1ueT>_Ot9}JImGbmDFJXCq6OzyIb;F3jXI&_W|Nh?m^=l-Z6ICvKX?Yav^*bpnx~b0 z%>8BWC_CCA7Th~(-lO-O+VNn9dHlb>-dAodPbH@pD?iiX<2zqW_Te9g@(P{(GNRCW zVersk&OH}XvCzegq|m?XJvYU}{D%%C4KhkIoJ=6ow+05!3xZyzM2S(o(H$FwUFcT7 z>^?1rjkp&m>PT)dE=(rzwbywTO!k}Ai8vN;A;xbxLpng@Z)6KGMPkOPciLHN>8FfE zol7Ymud`*>&R1C{cFYQ{_uOEu>>uR^c=++NWL|F;ZyMErYBV7Y@_z$WRx z^rL~d7^HSAEx$K#WC-G75miHn(miXRPAs5yqu^^8Zk&xZ+3XyyYX*hABF+Uj6^yhv zsPaBYY*7VQd7(uK)u7=|U5HRWr?2Rk&0OE7Hrd)n#!dokjKi3)NvaB;xb$}?Ey z>P0Md5jfXG``_98BE^SWG`R}iDL%U)(#wlOclkvjvOaBdM-RsE*COXmzqL34gC^m~ z?lL9$c`tY)qnrTI<@jtMw@8cTCis?4+=pCRpa+tayWUtW@zHvibth5eS6!46JAMnm_^WkzT$1P z;+@@3sm3rxrM|kM@EAJf{bISvu~pFM#B8bvE(C=}NTTWzAM>!eo1bslIMa9B<{S0} zNESGRy|3KnO$#i3fZ7-sQ~s@{<6LbB&XOtpnKhGoI-Dpq`#9tN8gvs=sKd)pa_g3j;=pwcsm}Xus5qXT;i%X@VfJ>2-T(R3pi`oHS<|5^%U&+rE}%$ryn`>_kyP! zn0axsARQ!pz^X}0xa9@)xaI&>6CtR>3av&i<`i>vd$O{^e&%n9l!Q{WF88v8J+6PJ z7=ZfUM2IP-C#0GKU)L$=7!oNfiINLw*Pr&6yZ=j6kvYVgQ=8)S`oF&p!oQP!OE=7G zh{ZlHMJoSvH_nCcMvkdxp~Opl(&yp>cgDqOl0(R`7O;5sX&!LSQ%Mg{INf>f!W;v; z^flZ^y>i--*#iHu=wwX8EhB9^MY|%0Z&A`o90C;9)gmK5vWAbB(=$oMEXy4iF1|-m zI?ozz%bBxVW+ZBH20u=aQ|;9qqq`)*AQu&J)zQ|*&eoK$ua2X6b~9f!0_mC`*|z?X zl$+Lr&5ACGiI>oNubfLNY-|%;EVcEe5W<})rJJ`o{Ms~@Y9VTTUf$Al940VluT0Kf z$x3X`v@0rx?m_tS7WB7P+&0~44CWqB-uvzZ=+;|gJ^yUjqbFMzZK-Ojm|45}kt~Nf zQSTeB0@>8!Y0)IX_`6Q@5+2HAc@yXHcOY)zcy8_u!=#YzGJ)k4BgagBNM->Y6$`y;h_j2rY! zpNKN_Bm#~g4}!I8F{RU2*KGc9eSU=>7qENRz!HNz3kitG#H%kUU!6?d#*eub(XH1I zhHumeG0PQmvK0gCtUR{-HrG2%HsqJ_@Ly{IsH55Uru)BTxZCb*vDGQn=U}hul#u3P zin1*|io5dL^#tz4h*-P8i_jFGXhFedscdNP+sS4P<|>C)zPfPF@tmVuKi<^gNwsr` zM1c_%>ZrHiS-O>PGPr&@>BC_l=VXB=dr9cZMF4+xVLluVb%+E^;Ui0x{W5BPEw{b8 z(5@6(*)frXtR#M>9Z5C2{gI9@K`Gomcx7K7t|OzHDS z{gJd>N}Pa%CqKRCbs5XRx3b#8l&oS*;}11{j!eqhol4X-hK@E-Ad_AZGXtM`)L#5ac##%ABpM%(#>OZ0U3j}^w=ga1HUGB7uD#WB`rZA+ zD2n52h3p@gj^a-Sl4ACT)Eq(~1<0E55{O#sacV>l50s~O8?XjWY)iZ4-l$N3bdIPw zs35OeF6rUkYcPH+j<}NETK6@2cpEWEM_8pr!4B5au?Gq-GMz2BT6fiyKrOK?c4$7Y3~e8+Re@jU^nGem82qO z)u;I1Ut&Yc8=6%+yi-u1SJpg+>1`r26fRoeAz-3(lGyNV$9nZHa5+I)gLiz5pW^Y< z2PHW*B_C%t-U@>!COqbfFH63K`PrUD`OS`ZdiQ}ad@-3|}fT(2 zMdBm($i(>B+nP`{|U2dl_nR8%qd4Y>3+f^8B!tKt)Nuc8p zW9(+>ACCy#$Sh>PB10` z%Vigku32Km$moQ!DP%G8i%@Xv`JpAK5;i$&;4L+!(L_+(N0YefWJQxw-G+UwI%x=e zyOl4s6smbB`0AQ2ggdmj>SJ&P%%cGfzd*z5QwNAe(Q0>`T>xyH*dcjUG1=JtB*eGr z>>>&llM!?rT!iy%8ecUSf_!r58V#TeIEn(ceVB>j7RLk>E-@#};D1fQ_-AsSB8*pj zD7{H5ABpMZeC2vX^e)&7>9A6@0uqXjI9HR_FoPMS4siB!*JHS^9B$KoFi|GYKQZW$ zxp-KzO(a<^lt2#%;X$eQt*Eu=P01Xmfck23#I(<^_weQAME$m4-Ey%Ks<8@cFxlx2 z7L>bt!Cdj^z>G2D(^jv+>Ju6IR~U<$9>srGrr}Aqbi-v(@#Vc3ebe#`4!h=7=Bq1- zud$T2(Wv0vCP_?ur%*J*eX{~1XMJI>tHF_%n~@T-RrZ*Sa_>s zQ8KoibPRrZsMZLnF=sZ{GvpG~`P2DT)Hh(ZiW3jtIVVA)bS}^g|%k-M=Wd z;|y`-Pou>1AN<^UFc|#7;!^N_X(T5B27j{znrz2?<6i^1ac9!$LZvB9hCk+FYDvmV zceVYglRTzs!X={tA+R#Id`s1FK7z5RnU*1jVP%yAoYyZOe7W`9>O##NY-Giw0-L0; z_CzJT84(taqu%p{lXG#y8`5Gva)jhq{AyXtYb&8DM;@S0oqSzUsq||B{Y!B^*#&9y zyLHhVqAG+y>yEE*RKMS$%~)L5><$mx*pG>4nJhg+LiVMgfbDr=aZ?0Oz+oV5ZZqa} zKt}IY^s{I%F)+iZ zGHAulTmh2`XwOr+i+4>oJ5wCfkm{AxkdNp?Be7|z7$zUcwTQl`&>Dzp@ZheaqqFA- z+;v=`_i&C|*tHckD~aB#_whC2kuM9(Sor#OIwy)SyeIer{BM7OI}Xd>QhYaVZ!-c2 ze9oi(#ayUNnCRG@@$Jx4=FlsPo8{^}P%Xu*n)ONqyY^<865g;04Ay)^tW zetrC!XM@3ec=2b0$zws5g_^8bWEp^HDpGPKb}de9$uPucpAMgQC^e%9b~Bh}$4B4m z6VmOhRincdYge%?=ORB}N<77wjIh3+E~Lpb-D9YNk64S#Fk=Cb?xpT50+W_D%-Gw) za@sif$+3`sr@h84e@zlxe#MK&+872`wD#Zn%NelbzdRnpEg11e=?TP|hKQhSk2uZ7 zAN11G{0LAj#0IKbSjVuPr~ZIg-9yQc`di_W$!!(Z7^N4}K9q&rV81Zus&oORM0Fk zDzo_;z;R2eVHs+VCI|joLnn(uXOLb3na1m>sg9Qb-3;ftYDRmB52C*!Qfm3;oLPJStj0(mH9G;|&OAU39dVU^ybv zbY(Y6q5g2?QsyzCOE3cJX7Yk6s~C2Jaui?NPKNde-BsHgFRY~E`LVl6+kJH0dq{#8 zsXx>_57;#f;*1fvb6nJQzVO-9<%!4}e&|zc{)wPCP^h^TOnBkXqyU3b1=RSpPdMol&Uj6`7IXJg7f6RQ9@j%t^{tlgoCr+hfNf~qcd{FmbR4; zPlgM$Y1o&f?M$bM(^){!PGdoWb>!EcrWWRo~@}Jza3-UWhrh%70 z92hmZpq>5XeXz>c)Qn$krz-ZnW*S$22>x?(X(#ctU&m@q=?5+)-eHmik)A%;lD<}J z>qk5pm)f9R8b~t-7qtQdzlhe&3NRfF3s60E#b$7u6)-N%jt4q+qepo8<~|q|CqC|u zEKW1{WysH2HX)eZ7lZMlU7*b#Gu#zf2`C>cm^POCo1-iO2%5>z`UC!3m2UCBzY0j3 z^!|zZv^0oVsu4o1fGo{-WE+)I^Bu&y$i0THI;&_Q>BN~`lg9j)g4IH<_R7?` z(biY&Y3NU)p2trWjj^yFqf^G=wuzI)R6{?D=@V7tMV<-06^Dd+L>;)uW)^J4?>;>d z33&i+iDJteul6-|j=#SV2+nZzOJUhXX6D8&HdJ_&TmU1Dt92Rs>PJ4Bga@l=iBeo} z&pDh*OgDMuJuD^Ok+uA4_)p0S zK(P1lUs^KJ$3|GPZFvh!yqXiShyq<%Z70U+WS8PdK2M18tEN7y9Ox^ZG~q?cspr+N zaaA=E?={6cO)f!dJpKbb;6GF@69zJ~m$qAx-0)odPmur;KpGIkE_G${<^e>4x!PJB zm#XbS(xz#S1ljRvQGFTV{1niB=iS&C^6!>BFQs9pD~ehRNIFA?Y=)kSY8kDPifY(R z0 z7*){}>o$m&PmNI9d~y#6rf-XdHG%3Zre3ra!aSm$;))k=AWz*HrWzEi|M=`E+gx~h zNTg0T%Oym3;3O~IxF=?c_J+mAHTObBJH$~zgX9qbEiu>=#t|2yD@{o-O}MB24X`Qb zS~M~{@M*YPVnf{rF3_Vhm9_|Iv(##!UI9}zuLL4fr0m6REZxqR;qpU>*Ki)69#8ee zUXHB9hO=5EvOZ4(-mKdxA4Qo2r=Na0aI5SaSYh-tCPI|~Ylw!h;$HEb9Fds)uS9bW?pX1`4Cyb=Rd9f1@z__g+@#_&NR>!s90xWDr{QGW?4mnK) zb1E(rMKijn(f-yR2o264ZvWvr>qnhR%%ydTDj!oV?uC8GQB1U+NOSgNgLw6X)2fN{ z0OY27FKk!&6lEAwog$bDb4D7ClGU2#rc1>M?Kcb|W*bA4p)Z2W_)Exb*QQi#LXiFqm}OAx!m`R@Q6e#Tl9lf~sv zaUAr})^+nQx=}57pf&es?|5u#Ab!!=+C2u35j@PGT|;;5hyEIUK4Pt8 z4NGv6w?ri33R_tXwOAnYBWFyw!WN6tg+xa>Nn zk}0t>hFFP4ajFxGiVh_pQ$}T6vc5~L8@=t`7XFsUr8V1J92$nWg?#$ON}RE<-==e? zDLpiPaFgQ;(s#3Zv}{rq=*;_PTUGP_FLwpeDJwlI;Gc&9N0u2lY?t>N{uxnB)}5R; zQo_$8u^M|%s6*S-k&c-Pj|NeGJ6Dq-d9mQ0_}Bi_U?XcjEe2T?v61b#<(z#-*7eTy z<7UNPsW79@6x^9p$MkP=p%4e@84`2TFj_J(spJC20?Oictw-C3h|`1}O2-HmD0zyS zck#yCst^<3%qJ5ac}kb(vYkypbFoY||16Q!GY(ToRSd=e&=QeGb_PoJmvq7y$TC?$tu!J5uJ(sc%yeDg>ZQW^k`0`qO}W-(o{ z_?J=*i&Fuz3lbA=wOmOOB|Njg6a-G!jJIF0j}jaYL=1Ou;@zUwIRDqF z4?obg+B6Cl6Xuri_^jbdG$Xcx}c-E^FzElR<&EPuk#J})9&P&YoMQk zKgPH9UaI?m8gkPZ#56JpD2-IRJrJtRK3{p>sj10|W3I@aMbSFHrIP!1pvEJnrDnXy zkHjK|J60LLpf5k!8h5XRhA)T;YS-cid46QE?T~Pz@CD2{YBO+ln09 z0^{WGYNM`aPN6BjFZzSnhm!u#pQLIUA(h3(v_67~0v7>S+yHKaAtAB7-&_WY=QZoT zR=Hw}Noc8-^yYI}5|T=}j+>|_Po1elyxg$ZtE6}%60U5yB&vp*8oO&iB-;20O*du< z**+kOk$?BKHmIVZt{M;bM=_GRM6mRYt{cLP5kLh5_o0X#hCh~5mIr6qe{)QO{b zq9O{C)s_Dd`&tXp6w;E69Q(K8E9`Ky-5x-rC(AScocf#voSJDyQ z>PcHK;gSh=!%PB>aucz+ThgQ2^b2`z+iKZc`!ufIRWwqoaAB))jixs*w^iN)I##PT zB91H^5Cg7ni~TIZl|dC$Rehz3yfJKA0D?TB<1IdGc!&u?x^v;Dx{6$6-ir!he zFH)9mk_sAtp8Hl|Y#beKQ((kDvjaw=k^l@p)>#R|2}g1Op~&`T+kdgUb=Cc;&fcu$>BZeUdF7tS)?*>q zl44|b%!tb~aVtK%hgWPlUra=q*j$Q;Dk0mVv#+e5k=Hz#A`Vw;LazWuKGvqW>-^5! zgOc`>)x`e6&6loD=@1_U! zsss}F$ac#di^JOG-JEHpBH}YlYU#>2*PuQzEmMk?38rL@eNQJY-wDJQ&Ph%hD3-l2 zXkf29JMv-^?w$ccSIoP*8Muim-6q5b!m8xM_EA{P4%F@Yz@h1(8SzQ&gYfK+BdsqR zOXK1&;R+DZ zS@Oo_ueClolj{N_9DQTZ-rL(zj%*wfu47_}}t8bK;iSW;z>H5l1TI%;XY% z4B|P^c<}^Jyy&GYxVie>V;tr4E#-%Q`?0x6(nQOoNrrlf-e89}3gA75#9GaKAoLLYXtcP`|J#{cG2a2#3a z=-VLLDinEkpJoN=&?+w1xN+a(GGhh5%^croevKwK&8B=DINoZ0t^k=t3#oK;PZW)6 zdGwctD4lPPW+dakEq)mH-I5n_(>cFy&dvHNNMEEkt|JKxla4?#?{*kI)8nIU#}B+F z2@hURc~3vlS$8Xyv z_I8~CTgMb@-DNfIcXj_QSGR5QX|nEUT5HCfdpEQ;ufPw-+z6-5Rc>|vU4zrJWdU`Om!x_|)~C0yky zWnwDvjTja;b8j_5^|$%*dN(O~q>FjDk_V{4B0eTm+v8;GT^=Um*QufsYoz#Ks?W4D z+#{Br$rnS(_a;cFKp_P*kp4!Xg0mcYfH_j zDNmTgfbkP0@yeWG~LeYCtl(fbXp*Hct7;#X0^#kPapg>}1W?<*8{>LumFjmhjxu-7+r zu7H%)^C_uIfqzKWeru=-f zc#fiYm^UaoUQ|_IbEt>Hh#`9yk+7q0hQI4S(@%f$MPAOlqMBg4ppb@OGqOmx7h6GZ>frMeT3@R7^ga=9pWljO-h5J=-b zP8L`01i18u;Y~nyymkWumXI?3;^?mSyIU~~>jVexoCh9Hz@P}gOuTt5{`q95$ft}K zf6mMkl;y?VD?rL~r#HbJfj-F;qUt>)XTVIsNR(90Q;+jZG!q!}e-xc(Jlp&GhtID? z#TGj$8YK2!t$7HssoJzw?5#%a9>f+SHpPjpr6d%!_b4r)C81WUZM4ybrmEKY`#=6Z z`aJr+?)Uq?uZsnl?RM^SheC->c+|BfyPy1dvN(Prh`I?D=>zp*UszdP0}Io~u!m#$ zinmb;v6&%fU>D9I_5jW@3$FnH}n@VL&T-4Y63!zW{2xk$)iCXmPof$cHrKo@wlTizWp)so^8>1Gp-itTnz8r4AgB4J}ibdT`+%9AL9y6|F(9{V%0^HZ}P?QuZt-N z`p0r-RcfR4hKu6D(YVb%)!^G=5+Uvn*@xILyj_>9z4Pd_b!;okyIS}=H%jpEG=?DN zV_lPlDp&;I7VQ~hNm9E_D#JNHxBo?>33TOa{B^bmGV&+<4v|6I$1qC^{FClsG+NY{ zq_`m|GStAOWiRTspASp}3`0QoDl}(= zvaTj%QrWtM$3zWic2#}5z=;!sR!Kb`$Xbbp52HG zY+PN+d1Xn77oyZ9h70!JUv!=mj_Pgy7j5qcd}B0Wh#E< zkjmG>B+?~VaL$$J681IdNm(;}I5eU}9M)?{_swLtv|QHQ+%XHcD9LNHF# zp3y%Ubg`ZRt^6jel_pim<1p*VYKd9;0V`x_mL`8nnSE>51aqYRqJ)&*eV3xFz0C4_ zjeOM;E8LS+g{RvidJQ|B`3V~aE5wwgMq8mW<4uaEJ)?UyP_i|P^|N9?Ugu9!GkuG2 zsEhJo6;??{C%kJA6A;ULcyJGz{xH3oa07RhBm31%ixQu#K=;qN`O1=a-{IQFK7GpK z-#9vfIh2(#d_#Upa3^h22`hZDtPr%OI!8&PGR>}krtG*n1dAAC&ZtQ)-J1?tuYvY$K(i2wrueo&)|A= zf8=f*sau3zLvpOV;)(z7uO^qhl1Do(jcujw(_(Na+F+P&a7JLit7;@puPH;Sfeb$Dtu&6ufAPoGd?67FT^Tw9UaXo+xg z`B7vmJI?#nJ;+kmCyZe)_}MAwAjzVI8?+WLXC#zsiCsFWtCOL;RvJdVo1u7cIr4oe z!_`N{w*`!`PIMeE$fekdl^vVEM+YqaZ?HIEac45RFYtE3WaV3UEXjyxm(h&ud{N6l z%5}y>Z*2<<6VQL2`oC_2x)lbl8I3&f^p%DVoQT#hN!14QLmwARh#MpH7+m6I`m(NK79;b&nkQm1*8| zCiCbNTtkz=nBM&u?`$Z|-5wUa z=P*r|7Y~*PeW*#p2ZVZpk&EP<@ROTGcOO=b$y7HDWfArgwTelWZ?f&DttFsPWQK|U zPfc*$&NhvbU#~X249pi*J5Xi_-krD)-2G8{vw`efy>j#s|B29bg^i-gy2p;uLs6>U z!ai^(?pqOE%`2(+Eztj9BN)7O>>Mi7sLC6Hf45&KsK-7 zki2UPvppS49+5Z^-=S`42#;yL75|1+AYv70V5trni>2y3UclQ3_>a09g<3%-JByc1 z_AG0xc>tP7Z=F;?ekn>=C06!0)ks64`%d4Npnw&|Ad6_lPl2PGAHagC=5G_O$wyvP z>J{-W9nBRG>yt&frPK%IJ$cQt0=|v)vO8+l&0>thuxPm$J%V*LQ1$a2a^y$9hYKcc zNKc>IQ=?EiFHnY)$VWG0$!W++-EP9RZ>$JB!QA6hbvcyT#STsA@4nhWET6OcmWG?U zk->|YGliAMddCNu*IQn7;EC3~ED;Z59n~W{00=j7$6Q#{_z?TN*2ErqfSiRm4R}gfMH4Jn%!+z?V3^ z;a8>uf4EdLu57aQ&fziYS0CH+!%Gwm{@Lcyzm3HTjNNNT_Z;mv$rzCO>Q->i&p1E! zBr##AgKP`)r(oyl3ePdLI?dT)=Rq^#)!`1JxbWg8Ou+z3`0uZ4CWjfc8iO)+Eo(MV z>V4TS^6+WS`}{K&YCGk=h0oo_dJ9YIfE*syKgObdd9y^|_O=hRSS$kneV14va=_Hj z~fEVGLna_M8-`hD+wG4!IPgE{c(|31h?k^ zx*@LvZU4fo_Tg;nfd|OAHXaZz6o(I6jXj3U`8^G~WcS&s$;c;%I5FmV^Wx7-a(7~o zG_{{N*n8v1n?mHf3=#>Nd@91C!z%BOl~k;DYWpUx0R`}38EW77?NRHnV8nx9$c2uaJd12~q!2dBctV3_k|#@D1}N6%^K5>4V$uIy!VIkS3qRw4e*9M$xv23bE?1F-;;(a zzVDI>R3#f zH5G~}g@L zi?|!dqf^c!$gAX4E-bLL99XC5Essnpe>QMX{zz9;E>`(m_}I_CUb{l@12QjNk!Ajn z6>-iML3xaAw62rEvN+LtpcAK3L+c>2%f9@W(qz06Wc4Ke!%Sgye0{-6n#v%*B!h+< z#dJ@or-YSRVm>Ss=m|60u`K$O%d8GTKCIZtVn=lvG3wqh@RDNg#XNp5z*Fe)fLoLj z=Nf!3n}FyTTprKd*BP|1Baa!#n-5O9_zXy zn5w^bxoJLes=vhAewkt;Fg0=ai3ns>c^%NRY=H6mCdOEM?0(BaCfdJ?r?@O#G?r1U za+WlaYcT`{hJ~X69GsP_#J}vgba|3!;+jcH8pHg!GL&r*FL!aFYm^v(};( zyqpFI0Y?f4Cpqc+c2N#Ld`2;^d~ee;|_eiM&2h37#G0o z7*57KTbelK=1qIE@UhOrH#zV`Sxa%3{X|D-sETt~iIj}z@v3{IBz>ifYRIQMvj2rf zs~2>m(7FHpMfAyRqC?Haz|CcXBn5tzs&)-_4c)oAD0TYL#x7q!toi8q$)ax2Hg}^w zRe(RMabVB?6%5MQ@ZVqhDAz^ND%^{pIo2#Cm!PN?`J3@z37XrGJj}9)4$}ATjo-9J z_+DB7wz`(N28ey76{{%U#@j=>`(^oFJxA0gQ;2lah5OMifG*R@09r}9-Y9S#F5XO#Sn>e9w>7`4smb3BD;lcduDm&3 z1N#6TbU2v{vwzQ3ib(4{=9yCqRK*0H%5&}Ud7iVX6`20_7tLe$*me5lmW5zGJiWBN zP*)gxbq_a&PNTRm4HoS8l3(4>8cpP%e2bIU-@s5a)I7CINKsS(oeT82ev zKF@wB(K9Ku3<@I$HuOMczj|WcleDc}A{p^Eb3S?z$E;*O>0lJ+rqB z6xDY!RDtiB#%l<3*To7Y#fn2d)EM?Wm6}cUs@w+(@7+JYh85vUag?MTQD?$yjy%77 za`&4~irL6A`I3kFn9A9-*==zd51xC$F=?zS$;BH|Be8kT!hf9>vu^M&62tP^4Z{Sc z<{&=n!4L{-%=^Tj@;;BRS$0?@_XoxEBxN1=dqAFx$!0b@JhrWnO$4=EFwfx>nKlI% zUu58dv3j3+idV&$2d>FFT$;qU9@xuDbGmy#i__rP zWv46k!f!qU#XH?xDq|WF&!2XYv!fVEzAuvFN=4g9(o4^$l$QOOPdF_km&V`WO=6J; zAp6Ijk0Q&*8Tm$53~4*gmn`>nZf!oE>y?#9#JY9e_*UiwxeG!apQ(Y=JFc4x&Z_bJ_gBl+%?SJ8rR50~IjOpc%||S- zN2FyKK5#2k*_fa0nmI!jyK%8alX^?PfqS~h7M~~m*4UwuCbjk6GhIEdD3v&6A~0vMgmk`PuR2n?~w;1WK#^B%wC*|6;4vsdinOzrNeZ|mn;=-#1daqwErU%-%?wf|N7XmZDY|+rqnHr z)(Gm^J0$$c2K)iP>UgbTH>8*6QxfCdX?n_9zYaU|Jgy`%%bN9lnWNyc*<0R?IYDBb zFpXVA{M1>px6iXYC?nZ-2`}~AP)(w;u1sXTuk`0qbIwieoJFZC&5_wO3d(z(*Fa;F znN&X6NkuL@eFu8%C5Xqt1!|Lzji{!%;KouIQlO%irVDzxd{e8A)rae55@38+Uer~R zUF?nFa~RzR;MlFt;%q{B&2m+8D-w%zytXx7@)AMT0j@kC!;aZ9pLd zXfI3~hM13K4?eG-d=Rh3pgWtXTKF1>)A%7(#g2po`YYlij``yGJA#riFUqwGHdNg0 zN+OTMd_M^$LL4a>+>gN2AAwPLGi&R+%1%d^_*LvM8!Ec{2VOm#NM|gGCebzT2nlQBzA0 z9PjCng5pg3TEnjq5bYkH@nvq_kDyp~ZzFfspbUkTSf1#*GHrFTW97WCVN?RI zLzCfijO@b=FIO-{zpaJmsp;RF>W?U<(^vb4vv{p;Yl|SSM&YGZ=II;LK+a_Bly@YJA*&E?3OpoSz;2wWTdrzobOyG+ig28NHPB$;Gz5rHR}hg(bjdjVq!W@ z<>_xMQb*0`fYb0CGnR#qo`?#G>6uhVD-n(y#+XPkzuF?u<X~6*kGNd(nus*Q-~jtI#o#NAin-_{-xu?YogJA ze<92_B(~(QASPvh6d*Rml1p*wGpDi@jM9(TCwX_DVAiIME&$azE;ri}7K9OtiA+~? znX6x9YUB*1zLS`K$_IEk6?vzKe?bbO<6uTSsEUu*{IHjxb%)rS46{&-Prpr3Iapd* z=kaUjYk+agU;92gTKQT<8=#^CjJcKMw5{lnM#M4}iVZ;&CCU;HvIbJO&of_3(^RG1 zupyUNSd~B3zuDmuq0(QzmB4;ugd9Y5Y{#h=N@IbTb5(xgY*F@`)JUwC!S2)b$*68u z)ICC3ms*fLK?C;6jc~F%@Fq8Y-IGUGPE_nnVGj4d{JFUBR~tM(T7KuM7Y(hPra$gC zQa(4B8i={A*w({!dp8uZLzPiYcBZ!*%w?rnjZXgQy8sC(^8WkP87XQ1Pt9y6q=#@q zs4~HR4B9x>I#HgeYeZW4(0zp|2DE-U=X`v-4p!zH@xNJdzGLVWvXt2Ew$mUxo8o<} zB`bbW7;FD{C5Ym-^G~{~i&U#)X}d(fJPU>&b9~26lqfLzqV)sWt~7*P@zU7c0?`+G z#wF@ovXk^{Iw8mWp0}4b*QB$g6Xr|$!U5034;h26)XCD)bU!Y#^9tAOPui#qcf68h zoM6MJ804v{>&%3L7TGJe8)2T-T$rPRS>`VB9%8(8Py88-tVc0OCGF=|$$;NNZ$nS#)B-IfIlE(PY{&1dv$sTIl93QZkKZ6yk*;T#Kp3z;^Ru6Y37T+ ze0E&Fn{Vzv>$D0>P0U7rTiUXqi7S2_JJ9EO%{zW~>GevT&x4|Rzxl{`dT*ru?V6>@ z{4uhMQ1`kJ{AM+Gnv(#CkErv#Sb-J&=8r&8*z(I4G*ey&{nU2O)(Xl#;462o5t zfG1)$;wZTWWmSY?opce zm1W$%l60xxILIlVWSEPEBQv!>oJNVsp?nBnOg=4+C80pHSBaD z%lVtDX+?LnXQhN5YGfx%G$X|*=8;g{K2C#ikfrvf-~)WQ_ZNw-__X|zkJLxwb{%3P zHwf{XP4qHq+|?(+BPj+o7C{zmGGj;29uwX#8TliZ*0aSaqaw9Clq_f%8D|H?Iv6Om8G&f(*Y|pW0VJ35 zT<(GRDY#|zJ)WhzfMDLVDV){soyPo5!=0B#v&EXQ`u%uJoHDg*3B_|VW{mOkK>Y1apu8X_F|8NUqP zjW2wyhriCJMMiI=^dJBwg*(f@Nv+&Ugk94a{F|c#i*HeA#wCk+{OTl>UNz9P_kS^a9PdHU4J@;zB zP$~|fWtqs8r}v(MpIs5YRfI$Gp&NvIvBILU0-BU3a&jh<;?&gL!pJpE<)n17c|6_i zQdh97NwiOa58}EDeIONbxWj34u;ckje;+20Y}f2qT!To^T^ZI;POb+0LcrW^TFSHj zK`bV8!MS5@y>g=Te11@4TJP+AJQ2B-{_np_Z!(9gfE=H;u17d4J2gVSE6hFv;LW_! zay={2#wPYNB=eveQ>wJPo5}DP->A{+oq-a;kSW0~^2ohWN>06y#1mLu7RBFMF8Ayh z#!5q7`CzT`&nLDE-{HtU(VFQRD;JC;IJf&$g+&LW!4qXGq%l=heWzWV` zJW~DT#;Nj*3?k@QqFp+J&~x;=M_q0J%>RvRmQ-M7r-upjQL^ydu^zHqnsWDye>yx3 zt#ouE9=|cqH}1{YD~Iir?fPT>`-_JP0e3MPxO&2SHn1Qj-(EsF_hy|5TI81w%3F(- zGfzkOH`P7+iE}0^m8dPhE^qg_KCL^7yLrQ|fOt!^)(sO+JC4UWm%HrygsgJIB(WJa*lIJ>BSB!D94P)EdrGt#SPe#TjWL&M+ ze7MPj*~M2&-htEv^MSFlK(RCBV7=oJEa&^o`%5IstY!?TUd=V9%hcLh9G5rQ?t9H= z@b;Z>Rx|T>sUAgXbJJxPUwFAv+i1-pWkT%Et5?K|eO|90bjOs;A)0E=C{3$HEwW)U zxTW=U_@-iH91}LH4RAxR)H!6g=(U1MVL^8f0T;euogy zXd5cPA^ktqfClT5bAfqfHz#Q+X}YUcVP}{v)e4>6S}(4N;`Ztw}vTB@CU@Zv7SpGsT0ZF@mxomU2SFbAA{fm?vqj&qRA8odZ}_Z zbs`E%3k^oxllGengsP?8F}{kM=!e?agOUsi`3OCmK@ST@9|kua^&s#ih8w(DPo3sC z9~KFVq$y~B630aFukz<&8T?Nr=KRJGIxCzG%*HD^ov``qdLl8i@8+Lj0bX^a%+qlm zS)LHau{D7DE{<6w+9wZk{T@`&O3E}|^&7nM_It7W)#qw6!(6Hp7g)hcKM#Aw)k*T7 z9;?v0!O29qyJzgjvhDT;?;kN;;T+zR9$J8@+EkbMndRG^86U>j(Ch*ChqFVLJGTGF zlqFX5oY|rwh2MnLy5QM0#*Hh)Z|PdGGI!EM*E64>y5+fG_mc~D_KfzMM}c2uAtS^R zkLcOKOZuP&t2AN#o3h;shH2R5;}od_(x)3lQqSvG>QNyV-c>ZnWywAySMWId>tl~r z&=JJ{2SMH>DUIoz(LZFn@FhO7Q(}9AF z(xG5)j)A{6WxoZ!kS|x@tG^ZL%IjFus-aq?pQT8kt(Qx?XRHPuDCRY@b6u|z4_{4x z2Oyh79_%lbTMC*A*glWCanHX+>+f~y_Kg_fCo{?x%pmSs6NY{*bk7EC`Vh-u9xqc* zfUdj(=VB&2f0p+wR6$7|@+wIY#CUP)A(i|Rh5;r~t` zwcmce$uBq_i7>a@_^2zYx;NirOYASFDq?zeih6A=UI{FsTQn3`#i+WFV_P!e25j^LBADMHn=pAKXs&!;`?f%Rb-j$ zmUa8cK3nOu*=H{`G&$%BUSxyT*%5DYjw%R}&zz6M0~wc!qL}YdEC&jU>hk=i|2$P3 zM$6uvH4*qO(Zd90{90Q6)I{^k=z-+bV7#K~))^R0)7F2jZ(Pe{sg4Ed+_v*)k{t9q zW}1z?CRU;JN8IBseAD-3GHY0lMKey#O#bfWG&}5$We#h4b`4@9QAo5s8BHoz7ucj& z&6Zo@#kQco6}l&nl-<~HOzTN1kjzM@-JNd<18aibtSm`}wLuosI8vftBAcSk(lH(l zu+{qSuY!vbEWqpmql}$t-7(6F zP^a&;4bGmDnX#t^QMs1g>Iv>HlHAY4z#oS-CphrFEKnD!v;q2U{r=J(kX5FUViYm_ zdba36Hpjke%!%Ho0z2Y*t?}5-3-uF8%XYlV%2di>j0q#uBiiyozir|bk+@sX; zdG%E2iugfMCr1*`Nphwx@j^em%nO@aw20+DsXb=`gWT)Y-69|pfw(AE&R&*3Ak~be z>FmBzz%8mcothEK9Khn}H|02#SrsW6DnNZyXdNnVy!z(N^BhWPqzcWWAgOP`(iSm3 zx+-$c9!hx}e9C@P>#%Mc9(5*IY*+D7gx9Y%w{zjlVZHgS1ZiX`6YZ#Cg?tCOq72Dt zet3~GnZTLmLNJgbDXQ~au-LYWT;@$GZOaNTopKZlEbV_wS9}Vozi$ay<0>}?Fp1ND z^7H1JDb0Qaefi&C25M>=+NP=>vm9T5)CxsxYJZ0h6&g zWVI;JR;x4l03L{&T#Xw zu|H)5tm}9LYb5cWX<3NMK336~%&4>urPy!K#PoRv7b0$F3_B+T`t}E9tkgwpb7e+a zia;2RUa1qr-+;#G7Jz1I-TH8$^t^O~&hpY$G+JD^a792kEi1+6Sj^J?#C2fprjhFU8FFAXYvjdVZI*^652LubtMH= z*o%ICYJB9L=+w*Xvp`=@PFFe3oTS8{S>7eh9*fkc+Rh2>sVAkI8Naa_EyA&49Fgs$ zR9!vvo`p@vFraM3yiTIe=MUw_^(~{Rn6W-{O$f3MG`9*7-9C-JMbSdNXdb(?t^pAs zn`4S1cx=GFKfdOuZ9*XxDR3g5%+f}w{<*ZJ5SksB8@2`DQGSAx$#2{-z8_+S3_elP zkQe1+mOK^WKaP_~OIMR;=Lk-EEhV|jJ1tRDTKZ(*hS%=lmky7A%I}Rp2KjU{o7n4` zNu-)wPO~{;6_P4_Bx7nZm{;jQiF{{m;;mCXt;;B)mZ8m_92*Z7>WkwVV_BaIF57eR zyBuOlpPsZJXY^d%D0j%@NN1rx`1Aeu*WFD--%E~a4bE-PZJb&g7unLL@L+sY73&XHwA=Kr8_`WMFso_7wKKA~WDVu{kJOI2^ zRI$GeOAlqOFoMh9*NR|NeG*Q``QH6+`}-GNDkm^)rfw}|C{5Ab_Pn(zQ4}h~L>M$Y zh2?k^9iU$vGDcf19ZBtMl1Nrz)5O;@KX#Yd)&ZP6iO9LWNaDypDn`5c5y6qtk67&9 zbfhTq?Yl{n%Tj0GSV6`+?s~f}R|gFQh3V1jFvi!Wz|2HHTz+=vuMmhntV?;#&XNvt zZbBUON6OegT|&|386^DzqNj8IH%pa0D22J^PANt@U$U7TZ(P29+P(vSh#>h!Is>o2 zJrm3daKj`viAT)S;I>F(DCTzEM?6$?yeg@WyE-%IY26XrIL+zq(%g&}e?BBPF~^@p z`qom*IA-Z-w_^Q-a+bSaymE6Muw8B@;E7DI45Z~F=ujrVLEJP{vO-czOF-JgN_2U| z(2~h6DJd&C(g_q4eDd)hnyeEEfN$2{L=ex&73o7;>Ic-qbe2QwKK`LhPr&YtULAh_=h^RoMXDvmI4LtMUv zb~GtvjqCrw>DkdjeqV4uBOM7F&#@FwdIKX*%xv|=D)bj)9%pt$Kt&I8N5 zb&J9*_D3`|^WYO{?JV4_P+}_F_QxxcYECXk0iFD7vmxZyHM`r)qAFh%TFDb}N4oBc zt;t}2Le>w_P|+zLoowxVQ5h*02`At=kn}Q{Jsk6X`xDEj)tgE( zeW4cDuT$Y?C_CE|s^B^DN1R#A3I|az{gkC5|36XyHH%Lq{3C8-f_RkHkv{47AYSof z+cC3u*6QNf(lN&CM!~7>cl8|L`r@(r@0@<0ncqS%<_)2hj^Mo7V_-CH74fBR!~T>z z`nN|o@~jZwT^PgVtUV1oC{qXl2R?-4t@T_zPCspX%Y9W)hl(nfAL+3Hidk`) z5dVf{R7ddbnbBL!&^ox1oQy#+IuU>!-#%qzm0r$Ztzs zQ8X6~_qWP&@tm3sgIH7zW291^KK+;LN;bEw7_)E>BcWGX&Kca{A7$`6cD6&^8}V^m z?8I!d`T_&$N*pxb80G&`t<<2XwPW;MbScNaEKKp~3mhtrG34!=jPZB+mY8#f@36bA z4ekzYaUu>JwCs7B*1j+~;Le?goC3g|murRh>{C2w#hVl!IpYoo1)JZ}d%8JS5b}&B7y+S9hqY%U&0gvfJH;&`fM(5zlCq!iNb|Z(-Ab zk~vQ00`7Xr>v%SmLQPp0z;4VXhxAzoca3V9g4UBEFObA zpYV65gmDB1{$r(g1aB9lWPQ(0^Yc^T!0^6omL0eei2sU*Vj;(%m*tg1>*uiZn|o5! z1l37v$G!X`s#A_Y&Edq61Y^q`Z!QAUe}Cz{7^=)9*Byi3K9x4_(DyOJIk)6i+PVV; z7w~;>FjySB0&~;g)%~+G7-_8nDIn!9%2tOhR0-y_wVC%Qo{}!J(D!bu%yap$EY_O| zaEW9FE#Tc(Tq9M}8F)WnO&vLI&d~RVQ)9{K7$q5R|KK6@9uQycd7v6GWl;IL$%ptufA2GqW zn>0?-4Jg6&9b~guN`h9JT!K;sU>X}Rx+F(rYMA3WG)s$vzPSAd9B#!vdrUI*!Ax)u z0A~tYj!TemxyUrhIs1&Wg63rR3cpHjo^sxB_soyyhmHqW#EL<_*P)0yHRr-&Y-B)l^U~?Ud6EKnhUaZlC9CB7OlX1zp z4mxjk$pjpGR=&7vF8ekg?D(qXVysXy=P;pZOs2KV%N&Y5JyM4_+{d*+CZ%tA?WKmi;D2Gmm{$fL^1>o=qJ0c8pSDUgeuOuwt<@ERfTUX5Nbj zS7#N+32jawB}&=XtFpmwBTbl`2>Em{9>kAiUVo#v=DG9N&1lx|{;6T1FyPJA=ZAS_3QO`J)2*LOIn~|@b(UgY0-zv9e z(RH9z4c@Pb6*ewY0j{XL13qT?qf*sYz7{ky!0nj`iYI#qy4yK+;H*37 zA$-k-Hjm(v{bHuMSp2hYB`YrllUDZs(fGZz7JZ5(Nfw37RBN@^Amgh9muRS9cgS4! z-(QUGB>=J8Oj<|;pp2QgT=0|lMBwi<20{qx2lh(C=%K{6ob9!=UAPjXazd%MGZ7R* zykOcti!1abYCQLz+E#U!mkk^%RxULo zqXMc~gb-ZQ=%OQ;f_S04T=ZegjC}FVUc9F-gYP)IBX_JscS@9YxZ!s;$5=J1iWk0))-cW1%Hnhc?t=H*jy+1GV4PHDSa-XOw!)?F-bugm~1uZL?mo^ zItzxoz+?@w4j|GkdIPr`BBc;T)IesJuoK#%nd|&L?tt z+C6t=Rqll6)7>?lp6arQHB5EX7sw9gcD{MmvP5+J3Q}wPO-}Ay!+SPBc+1C;MlNS^ zkE>)Fcf2nAJDMa8r=c80Wbz9>g~^W(YEE1-45fTm?#q ztX)j3a9iO?uB~9q1v6x+p5e}&Xs4m-?r{rxxfuBMtyDM8ZT@w-zPTQ_ygQW`@q^z9rfmAas)sQW%r@@_cgJ74HWLFVl!5?EgtLT(%v4e?SS83KFH}hc3;=* z7(cP$c@^8Z2l84NYz zc^bS>=vz97&I#!Bp{O0HD^+nRb5W8Wuxsc^7~VZ$_Y_>0sB!-!j`d9I+*!w@K4UZ` zL*E*%Tudo{DOLpR1p(AAZb-#7d3Zh9JkM?VqX4y|De2T9*1DeURb0!?cy(@Cur)c{ z2EbuMMd!tnzfxtEUM(O-;61G?#u57Q-{zhVE(qL=PUIGv+8ah3xChJ8&w1V{{&`iV z=v#ism#x3`NnvpfJEWVcIWA@<5-ZWK6ZYRB4WXbk>aR3u6q+Z1bE=ZoP7xGtYs-RLaT)`#7`)uV3F4*_6eoK=Qd zAx$G*Ic~|#s)djjFhDhn-c^){^-Wuyv9}|eB3l+!{E$z1S_Y;OIBxY0)2Q?}+*iyY2Ib}&L-8CKoQ#t&@Kbl{jfSo$?C`7 zKp<9*6sxzuhFc5z5>Ao{VVM=ecT){`^v~U^+R6-ST{u3Uh&wT3Y&Hf)=zhF zESv$h49{6i2X|&Kc_rR~r@14(@nch4KeOSuE@x}n(Qb3r2CDRtrJ7zR{Eq<-ixKmS zFCS*Cxl_d^!+SpglAC!mz61V%DvHMq@*8mu%+4puPFzH?EXA#`>wkNeeNYuWrCd`L zC;$F44zzz`BDd?muU*=^Ihu@$$Uhmj=$>}jHZV9_DC%&pT&8a+XlRHw059-1*Fu7f zS5SEsKoHb6Kv~8AIbP*6-C#lBD~L9S$#~{(f~0^8aMKa&$?9dMWp#~p(95(SP{iXG zAjeoUD7d#!JfeOH1-xMOHSh$1kSc#3ibmZxHYiavluXxHx8t%gL!)!7Ql|?^Vk2yQ z(jNqN{QfCj@B&R1Ijd}Y|BADmc~Qz2&n2?F#;R$Uey8fZQgG@$L1!`YoPToNqi4Dw zB??T}*w*I{+_=0kf`rP$>@Y0nqkke-BQ_ zEtGf~GxjA2xuJ@A$;$EoR~7UlQ$MWptCq(f!%xT%;wFEN$WWG9Q1H~)Anp#fig-l` zvmn9dlDTA!c$=nd!ZET*3)pi~nd6?o0{_-%vZfyS1}@Uz5dm&2Uk;=(l(;yK62Xd2dq~e8lzQsn0ocvu^_HiF$c$ z5p=J&UbXgHYRXhPJ7#0NH}7MA!3BGAHGN60-=Edo{<)mRn}t<0XC3-q;{yg$N}l5w z1gXXuxE{Pv@Wh|hWf5sgtRcj{?a-gGTjx^$-+<(&GtTwg?t&76eXh?i?|Sg(ytbn_ zPMse{8T4LRtb5MAnu-o>PWiKwT`~_sVDII4RyIVBwnzlnT*QgPm1? z$pr{dta>JnQaK$hvdrss{52$#>C^dRkL3O@FyaMvM}pKN2|V%&zrTW5bpf0ERm&5< zZaL6YLd4$X1OZ1k1(R=^U9%7V(%G#X^O!*r8ffe-32ENT&3U}^zR>9+FZUUNX;v(AMcU$5Da58uHJSqj(GrqmG_OP^Io&QF)521t(6Jzs+?4Pu zg0E`{x0pcOjUnwTLGyoqd03nb;g|9HnASDYUMR8b{p&!{BFfR-b(@2HFG&&Ls#JHp zk%*DLQ-|~zJAGvP@l`>{)l%SR#*KoR{7!}USO6OJ+6c;Z01=x(4S5SWwP~)5zdH(l z&gi^)W1%J z6+w}xV~teDTLm46M`5}z!EMHpxIebMAKAZOI>+WY z4ua&f6@k$fJ5B;w2E?iCo?+%-wrf;nhOB36KGIPO0>V`79256kiw22~!J2*gpg&@COR8&|;Ra=}p`U9r5yX{96VJ!_@`mYG za>?${*pbc}og?%@BM-P&IkP_QvR>x;rq>WYWy3*n(mSWt0A8nHa?`z~w;QZ0G2YM9 ze=}l2bP3<}`j2FnN)!%v`Fco4xvbDZML)OEjbC|XvLhmv9He5gJ&f?z`FjmH`|eiG zmowE!^QTX^?9!({Md5SV!qsxukM%st?7~8wqIRf!>4>?n%sdb0{XYPgr_pj@$gFg! zKz;#Fzo|ga%_?%pW*{u$l;)fet^055M7U3FwO~HuQR;eINuO5fjZ+qHn>iOH@)$Wz z0S(H#l zvnTEiB<4sHzeXxEMmuhB2VR42kL$U|Rn6ZlUAliJ+$V&JPn~W3`A1pkgfrTnX?DwO z7E_4PPCvP|aNZTc&m(C6s=_rR=J7S*>n~efQXKv-o(p00l}|0-=vn7He3_wW-ot-d z7T9oe!#bhy2CTy(g=WeX9!3kgNVGQeZBJa3x)@n3WZpq}4zwr9m(}35EwDNhrmlzh zvlZ~~=&9&lnLHXt&PV$i>f<@k%`CIr_AVYmX1j2-{SVVcr@AN8DKV^mO*`R5LeB!n z86H|xSF^YJYwTEPwDli|Eh+n+avwS?{{W|P-VmFkL1|SPc={4gXa3RVhphaNPuc7{ z%1IEhlgv*qRBx(RU$UQ(ri7ofX_dl>AHETirm{w|{vtL|>|S|2(?U{F;{|4D#QAXx z%xQ3oxf2hMO=$6r4musP9xH$PIwvq{GejFIZ4F&A;W+AHuz(3`z^5_3C+R|;Ctn?k0_M1Pt%Sb`5OqPct#9nC7OW?)9df-`EI3)0dj9H4`8xh#Kf5GuMV_3m5G$b@a z5)g=lAkhZ1dm`|95S~p4Lqv!{2q6-U29rw!LV6%*Ncs{@9|VmX(L5lJ2#KTB2@>>% zkE1qr66!C}3!--g+_riTLL!!2=H#j`exPQPIaRjO+4pj3}o~p(r9x;_&ZqTAiPAQ^BJr# zYxXsWNO?!20#nwsHRxcH>`1HfG?obodgzEpjQbimK`W#*Z0gszOcEg~cgWR=$ZH>0 zqDH+Mb~;#}qYRxei5=j_3u)w0v2&AlJc^DLFvcqi=zi3B9vl-tNTt;FRC&1N zjh;P?h23DPTf$2HD&ZO%*;)0$N#4qAZ*%?*gKGt0+Z-9c?0uMn=vXmYH?*wcdq3c_ z{{XZ}XpC@uES{lw7v#1??PK=Ql zi@avSz@e&ohCygv43EOgFvP%+-XTFjv?r}Rm(G039(E@W7fyo)fBalV*dbxi2i_^*_j4eRC?2F&0`#J zg$mW4i@jvC*vAuJC!w+}VT`)k`#lbf3VCJdPiZx_j&BOVBW(Ww1~rxt{$n1^`bGNB z!h5538zF|X@P1)__26h)f1?&tJV~jJq9?zhkbeJfXM4;OP=3-cgxQUK4giR@1sJw9Bdc9wzj6G|wv-H$~RJqT2in z^i*ZTdxF-R@@F2h>JIeB{oy6So=QcepV`|`_n!mux-mq%H+g7-qWK!}zeAl}jC<#^ zdnfRIG2@5WD}SLEYsVAJ$(_$HB9LzIF~MvGzQ+8%!KG-|8J8Y(@LlSqsxKUc;+>e9 z{f`Gtv(hvyuKVFG(a%O?rdX-ycf}Czs7tQ=9zTsIeHZx%U*{KLei)94@88P z7xYtYW(lbpJ@1cF#0FitQ*k`RRKgvmRkAqj$H zoF@>3A%m_Zc_A~)q78Z{5QHHK2v6BKggO!?NJ2sr(FdqVdmxaWg^~?aL{1_S;RuMA zWFZJb6Br~V7=(yk#30w5iC~;bq9LMRq~Rf=5NMbZ!3~H`2v6Sxj7cg?lLU4_Bu`Ll zCc@zGG=lUrJ%<9zMDfLX5hWnf@IpKh!^w$V^ki+W4H^o35TntNFvO1plGKAlBGa)T zicBZLER`$ zd>*1*88nQR9;K0NgJxnp=xnW}`W>`&M0k=EvXnCD^`59=uuj@NLxvE%2Z<8)Fjy}U zgF^<5rk|lw!_|Y-g?%F{sWEtv?SxCA_=d%yXo!9!B|Rf{5$YhcAoMjnB#%-k>=Lj= zTN!4|35|ruO$O}5dJ*a+JqdeX1gByaqS_aTuR=#iYv9_MB3Y6=7^Fm-!6&Hn2=FBF z#VORGEVoPH9xy);lff^fPlQiMN}KjcGer0$G7OsRYS|tMT@W;V5wb|!A>t5Zco8%( zlfaPpBZTxqLK6BlBf%m|p;OVj_97E36W~j5xJhV~v?;fY4O~KFp(K!x14$u9B!rOA zkvQ){Ap|rdMdH)4kb_((Kjk=MI^mPy4)}y22z?}E$pyqBB81|2;uDC-LO~&-aS4)n zJ0c+nLJ)^~9uz`wkn;Fzgo*ef?C(QDL_=PULJ)-Ep&~6GG4zDuaWYCy zi8OR~=$?qkcmDunFd&x^%_4as?1avU$U<~MtO*a$kk$w!FJvY-S|)gCNPi3jAIB-11s!K7vAjthkJNJ9zaou3>ODx=HcJd%?Fh;v3kqAM@juMh1B1DZQ@d!a82?U6UN{B)UB4o&zB-;}I z0M;}$l3;5Dz_5mi=$X7sKLo)Bgs@=F*p>v0HIY$}NNXm7ODC8itPp}k$kxSXiINGG zG&RMUWX(hLF2o*%AeliKr;a#s;qw`91O3*{9@x&QoZdL6C$Rz>^r%Mg*UHK_U~eq5imo zLQsVCLUZ(lAqYVe_^u{N#z@G>LF0z1q#)4GLU|?$!$X)FAlC_Hv35vwh&^!# zB`>mIgcc2r5K6K^I}$`7#)gE6T@qp?WDQZ_aM3t|L?#F%LUzQmLVkv_LPB;mmF$K` zp{xlghJ}TMM%g`ZO9UYYp)68hhiGaLgiLrFz^T$P!VH(8A?k@)J0QfV2tgtZA`=8B z?3^H$2y{YLOpt5A889KDB6uc7vOk9KPKkqjLE8}sG-xG)6FfDMD#XB-l$i)MpMqE* zkkTR8moS4^=471{B=~w2JPjIIFhK}|(Ff4;qrYMyID9pc?Da*V5KLg63BI7x7vEpW`48G7My|JfNd%HjY3 literal 0 HcmV?d00001 diff --git a/doc/Board/fpga_pp_heb-lcd-v2-schematic.pdf b/doc/Board/fpga_pp_heb-lcd-v2-schematic.pdf new file mode 100644 index 0000000000000000000000000000000000000000..092528dbfaa9903b5864463d0d58ba74d660910f GIT binary patch literal 47694 zcmb@tW0FWwr$&8Ro%rdblJ9T+qONu_dR#cxikCu-}x}>%X(f# zM*Jc&G9x2`TtP&P4nWTePrkc1H3tvF#6-kMWN%~z&%?tYV`*n<=w#_-YC;5HkRf7W zVrOAtkSAhdVGtz(u(GppGRP6JFsS@_zye@nkRZ|~Vqs?^0&p_v^6|l&+L`=ChUowG zgNI>e{r4CmrpERrrV55mw$6V>6t=fo zW@G4L`d^FM8I+0G0RMx10|Nsy1A|#p14Dy^ zd(&-W0U$mIid-sKP;pRUYk1J$am!*=I3*<|JOQ8sQtHDnBZx3vI374Sh(OEo9ck+z z?IKSSL^B=YSt&G3!I1@23{(bScMuh*oZ)0!%Z)0R)`8OcK_I56& zb}r7^Ol*IBLBz!VUw?lSBVzgsIwd0Jzo`*1|2-xV^Ivo0&&|J;ugf6pWbYtk@1gzI zJFJ|n^nWafgM~?#LH3X38vfIRKUV%{#Q$bd$<*22)yen|&&+>w@W--T{#ZBBzs%_` zasGqz|CloWph_`ICubKT0Q>)~N9MogCi8z8ro54rvWxA1s#GMl2d0-1NyOi@t6Mg< z5PdYLsKJ8@VoCdic;17CjD_=fYfYhNjb{^%6dfvoyo7WZ<~PbIPD9Q|Z;u?ku*wQ- zGpga696n`M?Q!#PvTVkH{P}D^QA>;ns9po#+VM{!#1^K?;H<#(9|hXNh~T#;;p@T6 zk-U6-Js*VA;S;F7;8;ME=JfIZr1ZbQ_?OcELDGNCNdOCg{ofKvM4qcl$KkQVZA?+S z1ghOLEI`A74Udj>)8ay*#9#_ywD>)vmMT5DOc9xOM?!+iNUNen`;ZZqZu9YoKGj8E zA|6S*`?q1OGh8mo(>F87^ZPzyZP@z<_Fr9|$RojAW9mlnp)d7aJ{|J;|0>qcv7+Yd zIn`84w?*tEUk>lXA?N#DiKUS8nN^hHYc5;gYJ=NOm}%oXpMskSdON@Zp6)|M7exIX5GP)@H-|GCR4XN3+}Vu-hbxV)&hW=8M2b@@Zf+49Qme#t^{H z#@2MdN3qVr)40^D^w#u_0EC7LJWt^92@${rH1G2hi5=AwJd7-sel3dI9ota86MelV z_#XD_1pS4PEhCvmEHfP9-r53TFWA~_%i+|UwIP5td-Ne&fR)8=t#+$e;DruZo0ngd z@Dcv5S_QJmTqB6cj3}ZGG$C7Z0}t0D=SFoEsNfv5IifPLEj87Dkkoo`lkrW|c(#cR z!UCfPVw1a!is-zGDr)uHLJaU6ao4h!WCv44A6XcEDFj7w-VeWG_w4+nv^H{v{3>fU zi4ddNJHdy_mr;Fq*pGx`)(PHkJ%sRB`v-nz4d(C1t4&Z-g6vAn&JMj31Z^2!#4TkQ zeo>bwfZw>eHFRX6iVBYh6DGzx38 zg(xQ+_9-I`v8qyj4_(PJ3g8}5D`03vt-+r~pTxMRyXCRV?8EjZ?HMk)p`(5@Zx~EYK2=rqg8rS zr0me@?QLVnCQM0=%(UJFvfgXydsccZUr*tlC0BOpsM2;K36YC=WDT)!OP&(w#=L32 zoT)GI%Gi26uYoQp25<4H3^pBZhBL&ISyH-rv zqxHd+ZkVUS=2?}ZvvIzervof26x;gsENqRKUKZrnJv=XQFlG5wUEXBHySOkyOUD>6 z9o7pw69NLpM-hMd^Ed9n)q6$hU9n%By%y{TsYde!JH3yk4A-a7@lJ)(ph$dt!gAQW zOgs20t-B(WJy6A1>Ca{_%!e!Uv73*DgTSEFbLgb?ziuem1o7su1&V5UrmT6!q7&7Z zGRY&ZwmX1%Y(cnBISWwSTMrCSGlYX24YFtAknzb)b=eD6h0tRYkM z^2PI=ofezfbS2njNQR%e&XtEjUo`78n5I%nSL2Jduwf%UXnllv{mSd<_Exr~Wa1~o zDdH@2fS;ipmssZPH80D%_HG&h?w3wBXk zw_gt_Ov*}a`++EYhE9s$c_&HYOv@U5bv4|9&Y3@k6*#cQ!$*XfY+Ltj3s?DjA`QA} zdVHVo{#D2bPa=SyZ!`!JnqK!Q6h&6FRLsRNYBs}~N`jQpv$f&8RZgQQoKq<%5N|M$ zT7=wq!{xjjPA4_?FaRNG;tZv1Y3%oJ#UHF>dhEJa`@m!8ejLK2D?wE56Fzv0t8^TZ zLq*mE%}S#%o}#1_M0C6jJ+}f5+%5aR(-9*X#m=d1$iytNbOt<$8Er-eL2gY|M3W!& z*J7^-7@=;jU+YY!bjvxm(`mbzw0rIVRgmwYS%}E|PpFleqm?x?ZlOj_*sGX~0OJZ) z-Fp($2dE9JF#645b&+pp?L&v(3dMSt<9f%Vn3iQ9hk48}ob7J4K8&7Df-ebyA%Gsj zp6LF`pZ~S4{_p<$&tB|5{rSGSWSq_)fBuH%8pvQlFquetzu5W3wo4`TwYE`X40f3t zXjLk;do97IWH-N*s&iRYrLWWL8tv@ck})xu-5PXOMcb-on7k3e&`fpqaWe@bC!V^~wewL=)bGSMEhIVhIC9KWkPl>q34CK#^#gp<=;+zjjk@)1#g= zM^dA?sQpxW5OTHN&_RQTryn_)bRw}mU4?UsjDs(}gzuiT5703Tc;*nLCZP`y>PKc2 z@1N<&%_0Yq&!zVA_k;H!wwt?x;@_n(zZ(m|O47B1a( zLySh8KBJ8RV=Z4PH};s2cX~21x;^|o;1at{kO(6PkcEay~oC(!bYwGcS^Mj8!APX|PXl?r^i zTe^a2tV`jJh11H)Cd_C{H0YZ>RE^lC8AjD*9;!+RBlkVE-^FFN$JY$ zPg8j_$(^cdJ6BSDj37joW5(#cjjT;9Yp~^^qSMjJ@SM{vI@r(x$Tu7%?8{cS@)DRwFi*M zlun(pAgM6|H*7}*@Z@IpRvLObRYF?@A-8?19KovKYAE_0G0&to^hb7hkDD^D9NO55`84|ikngvN8dJu*I7M)xc{cqd^`7e zg)QD~m_=oGHBpK)Qj=Dw{GHXCr=2i(%R5@jXL5)VSv92n5EvsRN-QqvS|9bm;rt?T zeR}nH_GB)W4&LQf&&7Riy!1 zAwleAfm##fqKjgbW6X{YBas6@NGu-UCUjnn4|vYi7x<)h7#IhX$y^x8jd-H0O}|vrbF0o%tT$ zYI5{O4C2GS2I7wp?USpx{fI^T?^{%_Lo7xFr6Q`dZw$$w=@VaLXaVa3FwHj5I*kw} z)rxkqg&N21_21!goe#b9kc{+gWmxQ|py4NoRF3xip~_?`0sg%s4;_?b3-@Z->7|on zX-B4KcdvedPIl{?AdxVR_}_+^nHe@=`629_54Q{xH~Qm2?xnr3vp>j>N7^WDc4HmK z8I$5fE}`D4IRFg1=Y0$j803TfDEFB}BbwY0s)$oEDYwL#HNz~p!y3vair$bcvSvn> zEQ9NClyf5;Qvxb@!xwIq!O+=VNAkiMTnnMtAgRL$XP2VgEISY~|bLjQe zJQiTb4}%%vT%(&H!U9A_kP@`gz&G0hL9mI1Lxa5-GgH+A_eOBlODlpUf#SkA)f3ea zBgf3p^us4fA>zHzZNyN4g*2H$YA5d-_|C8fatGLrdU^?q5LTmqU(V@X$ z#+UR5lbnl&Lc6dwUJ9AQHFxq+ni!5ETzuTMb4mg3?Xm#MBTOy1uY$JJ&TqP9&aJo~ zTFKB2QnheFPRJ|2_gHOrY{X43m(%ZYC&YBWJYRfY%1SYjyw?G;+(zKSN#PT&h_Y%t z3ov$IeDKX(U#xiPNRWNgz?`^oF}oR|z(c0Obf~YLYd{7FD;&^`q1h5Yn&>KxSOnSq3JUTSO~(>FHQ2>4 zvhrBvt*$xt5Mb_L3Fqeo6W-$BrZlwpp_aXreF~ym_ z-%IE$P-_;pd&f|7^*5)z(jH)8mf?{bTJtY!Nzjzm)bb}>#p`!gnuntik<4<#AdCju z)=2UOIPLNZtYTU!OyuBD4aoi+jKmciW@O?GLuQ)Kd?S80H7boq?#y4`H=I4s4A!lh zY$+DEa%_sUgW|$Pv6}qEQd9y-+i@3_43zr9QY0DOh)1D=$Db%w*&fHdoNoSn^Sa2_ z99GRwn88AaNM>*C_f}yE#pj)?v3R;DAHYk_BWex=2zG67S>Pgwu`tbyLgHlVXg4Fk zbD5v#38=~T4rg-JZn*Yqzn~9T;~#LKxX>gQXQX1LoavEse7R=%@*nSir|L5)TmcF$ zu~jM{g)iU?lcECW;eA9GI?TFE4Hc%Sb1-baUKtI)1Eq=*L$rg+JPtb&NO{WP$*2@r z-!P=@{st0QyT&sSrzv*n+zlBa0q4=&@jg%DlF8M{3#~jT_>dh!ZHdx5+Q%G{tbo~+ z%qWVtitg9_3Em}0k}&A8f;=QB386|%5PjtsI9Tr&jgR|X zhunzYDocZ_79pRTYzlhlsg-)Ch!W436qRMofUPA>G6_MswSSfzMVm2fGsru68ZHAN zb-e~B$=ZjXmHk2F^L;QAtmcL!i1+wdVzVx#xArB>e1@~%zMjGl#WzUC_blt!H#CA! zG)JIg4)*}nz^f0hYi9d~KKYLJpt#KZ6WsuaYxMc|Kdl-6=X2NpKEh%JaQ1#SEY#7#l5Vt$WEyI_F}{M=S;q# z$S@DSR6)oL_s;> z2h)f1gYK1q`Ajp75m*91d~0Bx(Wv#etJa62kj_Y-z;K84ofG5q9biL+Kq!jrux{JD z)mw_^cBInE=Lx^3gPuaF@q*j|TgIA_SE9BKR9aEx-}^wY9oP>$jaM=eMxsACt*QQj zF-im89oxf=mZ%am%e>laT&E-%El&dSOE1+0k0>n`)6w?# z&*rq+V#(b-J#<^Jx2EXM!q8$GfHs$=_l?&euhUIM-hO zf^H}HzTn~E76p1DLvHZwdZJau`{50h*)Q&aqSG;QeDL1;urgrgsLNuz}mieQf34je9+(pe*jI$9}EC=a8$l zGQ_UBE>7R-2X8&}Rkek-=knq#wD__l@q-#WeMH=B^l zNqm-G>{5KkhL90DW+)NCglV=EL|mufnzd7;zHmBnpjID!fQpuFh{o|TW}UMii(Gww z`^Q!yG5E7r%d=P8RZY;<7Yw-Z0J$%Qw%|Cty<;^Gbg}gEbWbE}r(Vh0uRZhuv_yqJ z!waKOaJ}=}Bo{25S;ej_ByOlv)^uiBHE0>N^q&)7P6o;1nC53;hMIUmuLe+;^p6f_ zJg0!i%-X~qh%%Q^x|x#hq^y}G3^`^&wF^`BN)Bix14zj%NdSl%vUK|68&gkc#(4L~ z_yn|rgIP?{81R`hSVG8S@1${UrU(gVH~fLPPYyHYTKCA!sW7;!;;jL|*;0cfK6j+t zuS2z@`B={MyK`nkee688;4D)iEQ6$j`ONWLfi1`p1u$-k9@qUH7AMY4l!tt|CllFj zvOSlPbihvSLM>~XT<}twUKwA78v9^+ukKgsyp2!$Z#}S1 z(0Kf{kLMsi%?*N)Fa7S~;zfK1UZ7-nr?FIv-y=A?;wROw>eKE)|70Wz3H*v z@4atg6#|NFou4!dQ=wzx9&f3SQlicv*mjeTwPvWp#Rqi3Z5f4$(Try4!PTxae#EdT zBD&NQim;7%jH4FKvK7X&0ps#5>qr)uBI@Q~?O-XL|L*p-HN#{h?Z&id+~{D!JGG zn}`k-+ouj^5d>jiy=co-7fV};?5}RnxT|Z53tu6WZ3J?C?fbNhY)6aVB(-h_NuIwbrILv37d+|HK7oCd@u83q!0k(ns5X(Hm}03q z#83>Nk6JL9K%S_!fy(scLc?-&)2voscD$>?k3)gy$wIL+hEY(`@Jd2_+AS5xej#m4 zg6*J+XxGqAb5nV=cH~M@=Fi@qQysD68r&9)?R;5xVJ7J!Se$vXtE?h!REIvReewb~ zp~yr&?O=?kl#Q@Qor+y#TC^?EOqOhb!~59 zLJow7qh!BZh~5!rdsJ-zC$H`SX9$|dSiQedyBt_a%7J>PLIy7plnVz}j(kX}mFQAj z9tI0f_Mq9h+xk~U$VMrXBk592odn!VfljoUA@#r3fN@!KExPk^u>_hQPFu)+-k(ER zOsKoPch!P&53I*-17%t`Tqv)Oo!S&K+*-HfVJ+=}!ZD83wlFol!FlnR?MA5yvTlnR8Nr~Irp^B|xy5q7Ic-6TIf z!{{OjGK)SM!6_WWd5xIN>Ue`kOk{mcxV$K-vUp!$QwSk`fy1)%@*2t(Q%gp1r4Gp~ zy?tWSCBEVexrj0xIZ2VX$g8us#;xiVtt?PaULsc=HtZH>AjQYH$gb|s;EXmWShD=$ zFZd2M+vmnl!$(QDxhXpxtor0K{qxWxZIuY8{NzvitdJC4fzw=KG@a; zFGBksJtRg7p36zCw|&>*w$joj;uoAQAh4bD<4tzw=e=sVf7dx zX$`U|MCnjCJ?Dj9ki0UC)bw&B)+&MwN~?ze-YFRFj z(eR2RbSxDwKk74Bu?ffcBIGt}W$4mKkjeeS;R<{Hcy@V92puV9eI^YXJ>p_5cc|r*I-Ca zZrR)RoR5FCQ|auzh8~I5UZ+Gk4G>tj4AA{xR@0vfhvw`Fb&VDne|*#4cHL=b0MA*r$SXI`hbYxS+pa^3e3AhqapaF)~eS#U2+Ts8nt z`aJSze-l&_pMhy3*<+z6xDQss;Y9B8ZB!0fNEO7IDl9>8|6^ zDp;p}8oX^&-mj=QN<6T6>DZ#_%A(S6lw3MGQc8rR?PBS-b5K>#U4B#{bKgNj{1Wi_ zNDtr8S#mPII5LVqPzJyHsrf^Et&tw*s_i#Sznyyq^J)#%GhW|;KY>-cJIY&v(iF!M z3K>qq5gG3L`vJ8=A3X~qLsi2$xp|b|c2i+?qlg{}XBkPRQZunp3*M?(dI$iiE#;RT zAIbUnSx3`Wt;tRQN$BzGpMFz4tnaycIsHLYXrok0iKX4`h-Za~M@hz85~`1frVhh( zBdOq!+1p!cL`?I8PHDci#t5T@OC~vK+nSoxO`Cx!<`np8SsZ;8De}r4p)396V7&Wd zdgh_x^V)Cw5iZIa@ly)f*&@?8Y7}Mam!Q$~YNTYwnNm2CD2ZTl0M>qhS>h0!1vcC% znst?G&zYIAqg}KM#fi|`iQDW#3ZsU)+`kj_f_vyo-Ap&t#vUl?nG6lUh&|6g+3hzLIIpK>@@e^EB1M*7w3i=?Q z>Q#zz7DO>1UtQd|vc63yWVa;NmR$N^>ukgaPPu>x9!0e$y-{d#!*Xm|C%yBnhILfY zHN8PT&rdI&qp^uOo};yip<2XJs>TFOA(or4%OBJJoVtDz9+H`_OYX7 zptp9l0qO0~-WmB;rRvdbxI0J(8w;@6pZjCzh~L^&`ROZCbStc~ciRi~AR-F*GSe+Y zX2XpUNL&!^^5g(Ny*z%zAc)}3P)=#H)~#byn8s7%lvz`}xfxL*vB3R;6(qgp%7P<* zooaiYk;A_DVi0gVZEduv>nT$WgbWv!qqftSzz6OL({4#)G`_GHykl`#q9%?Lo@ezz z3>^g#Rh8;{ec0O(sUl1b|D6;T~Dt6KrB%( zN*8nPOnc#D%T)i#)z7gP%U!9_VWzWh&NB9V-@G3ZaCcwFN-6T5saR4e8Xg5&6P+OU z)N~ghT6Z0%jd1xEW>#dpHdvwA)p$l)G4*|6HR0~JOMk;^byHDND9Fyd4nLm$D1dwqLO%eY`l@k@wRdOg@F zvooHGTGKCDQ+$YyM6n^`OF*TP3WK-a$v{|<>w9XimZ?31u@!F9Po;^~b+MbLF`e@| zxMwZl%`D9m+WIo^?8CoO&5Rj$760T<|D$&C|4=%_#L4kL&s`+r)*0d2pJ?BKp=;gv zNrzDnGx3~8pGcE-N!BE01t27h51B|C8XTxGr0H!9-yWY^FZTSqyX>CC*Ec)&5Vr^? zuN?16<5UqoJ@GF1=36iL7VBG%y(5fK;#xU5t}g(eoH^Xz@b%v^?q!lQNOWcf5stL` zByFpW;_J^ZkUgB6wJ?3z1`}T#)-H#7Jo=DfzOA8VV!%#HF;o|+Jg@ciZXA`bUGw|( zN@g1Z6^BbY;ECpDI*ywy(60q&d$HwQ!dZ3EFNm6`Ly)>+e-4|)1w?*-?;%WxoAw;H z#A#V84t6M)L4b10=EVLyE<9zD*}yCIG)c0)rrjd+Ei+n%V%LD!tBPkpdQ(F$krg!Z#ZLZS&?Bluhvzs%W$2?wH0&T8-vj^>7HG z5hmpoZAWZOe=%*xufQLQC!VD)96#^^w5HOP;`#Qs(z%rOsC_J3qof^omt>FqLa#fl z47+Qv6Yk|Towp6MGw-Cb!QdUSA&%L>h5kg!q)2IFAx z?Spujly^OjtB=2bD6A;771}zS^1z30+%J-~rTiXP_r88QT)VTabl2bG4#;_?tLS%J zI+AK&{6m*!8v(*c-gd0dAcU~9O z1!D_HSB$|iwD{>2iCm>_&j{5O>M7FA2YZKH|FrD^LIZKeAi9Ewc@Y&iYgH;iIZ@6$ zO9Bn%n)G{wjC^VM92Xwq_3{_%@>@MlHB|5&PJS_4&d;NdRbL;%O~iD$*h|@PA~Cp} zg4Lp-_L*^RX6G^3wHRPck8!LyaSju^p0g7&oFts%7=MFd-3P`^j6#x&U{yWVp8Kx^ z_R{UWPIm23cu_q&0_Z9weZrksVYgqY5d0eQbfkkXmN3|Dae_SFcV8I+0OGRgF6Fov z8oZsiUJJoyu;5WN>0U0}9$sT#iviJJpp3&#!4zn%T^F@-9&NA(zdQ>=!a8q1miJQM zq}!RG4S#iS$FO(PBL<*?5Qq3Z%gY{%@H!{lD ztq_Or_svD&y16Q>gUhhp2XMhiBW#53{EDIeNEese6_G+xR&lx|2G&nA-GBaw$0X|Qk#b5Lw4@~9Ns>|3r5L^3&t+p`N1 zXh?>3K|`vCC&ZV^J zZl4WqV~N@am^O(@`nDBw1sv)Xs^NzonCAZLj(+V%at|6~#-)s{jtjcz%^kr=l(f#K z9WmznyAy23H|r9LUkQ@sWVY|NCuB=da`}d7CTW~jXDp6Hj91|9S1KgY7A7Vc=&VuaJbF;ie`iL*FfUw(>H&TrZ z?76&zC!?&pky&_Z!WN%@vCZaAOI-LxyK($%C#@sz-Y1yu$R9PFS~aA^JTl0q^ssP* zn5m|9>^vbxhn7v&lJV>K@FcbW(zNq$!9M1kZ|_z+S?!C>!rC*&T0FrDQM$nrG!PLz z1V=+tZwVO~_?W6zQ|dDr@M9Xg-W!LSb&Gdw1=*1KvF=A=YT-CLFy?-r(I1A9_Q3a^ zA`wy=)Z}O}HR)3S%PqATyoqQu#}nxP6ZnA^eu6^!$( zuq)~&+jMhqep^@8lK7AM^z+bz=#N)RxpFRik+hTCVT><&6N7jqSb08uZL%e&TB`;~ z=x5)_d5m0tesh|~`SI+R6K0$BZq5KN`u2Gwe^-wDDLKM(1sZAp8WL3KeKIUTHG2^_ zNN3YAR9x$>#xVkR-SyHhqaWIYa^a{I6GRC4Xc1|9w3nol@Q;Oc6(62sQ z4SHPTd8TZ{3EP>$*&6Rhz?xY9oHWwwl{0r1<~m6Oek`3|YLqtY2{4TvZ-2a)=nDoy zMoP@sMmDvfejKyGo3gmQl-zGm+rse}j9i_yoP!C9f<}_sI0#;c6 z++}dDkjq~{F&voBEs*)oqJ*xi!Q^v}st<~P}V(M#y0wpABRg9dK* zNTwb0$2cQz!X>c|Uc17y0NpX%E?eKZRfoqbcHlFvM)+Y=V6&70>9Pk9soJp?8LfiK znF_s3ye({bg%IN(SfkCC7gMfRxE*_Km=Kl(UB|shu7;n@1T;9f6PZUgUp#dmP3c`{ zx3^JOhS8SA!}|K484>D6L!OS`AFQJ|puQ=RRB3aCM{miTbARyJG*6nOwnvX$#Uu;U zQk71p0e{pB3M;t7*iX#1!>B43Ykp@tCb5+==#k1~#4cML|9KwYTYK%3`6q^xY0rSUIi67fBT7oTYi8?W7#sBM0y?FKSXyHxrofA=4K z0)l@vp4j~<%x4fWb+a@!RT3Bar*4#gCH0tCIobcJy#Kc!wAjo}b5~JW!U&)0aQDia zV4TJFN~UKFxThz=2UEl{gTNXE>VuQDi6{{eK}H4^O~?%ZF36K8k1!&pDltHmKvFPb zj4CNH5nGh<@$|epICvWB*jhEea(-Iz&S{_rB9kYiX8=zFA^J|a4e7-(ryeRkUGo71 z;Sm5_1jML$Qrana*&{&4z>ewFIt=|Dy$J^_@!n~Ad?H^Q{3SnIuF?qvG_2o$Xd}lZ z-Ty)&H;Psmb-_$hG)NYOEf)EmK}wRhU%Ve2TSu-a!aiDqSu$fB{YKl-hAI3z0#FoLBP>U`2Xaz^tmF+~Xw-Zw`2S{KZe{$;Ze%%Q@^+>6V9ve`! z`R6xmN86Kp675VaZ7rNk?C*YxC10)2?BtghBpoo%>&y|0PvI9;?@FgY2IEmyb!Uj$ z<9u431@ z65XlbqJr`Z;1nCFp^ZVB=gefJ2}nbY}wQ0PMbTqZ$p*a^OXT zvv5-*@MVy5zjMGj=Q)uZ-W!H^f9dYH!(}rR9%6bp?GWqEds3hQM25o8l!Ps)}=pTzf!ceNlAL$V$6p0s5u zMe-SX0M(5U3PUOR30aF8lX$JpZQ(xrh$VGeU3}5 zON~qNz3Pc1c6m%=OctCrh5ljnxafBPjL=MOvw}tnU5eKz`6vgv@Is!KB5H}~ynV^X zJhmqGifL_Lt!Axit+|P~1(a;op{R6z>a^T+n8j5(6@#NzuV$^WbT8Z5dz)uwt->U}Cm z>LNA1+NpA;s+!`Xf}HARm5-vQR%p>~Nm8y-k&~LKRBFCe)u<|+GH>x~4862_KIIJU zG4DM#D>duR8u8=$DuVvjv+U8 zdwP5F6c`ke6b}@LGNv->8HeB9zJFR3*$*<6F}2ysvAMHvS!`N7wmZUthbg-KZg_X{jZut*Q;rTmt7W2H1;YU0q%ADtNFwb7xCq1Ia>V>srQH zrC4QKk)vPIoYd6o@)?)gh_h6;W;c>=if`mM;or?Y>~<+}_Sh}i8FsUA$aa`@mU7@a zyzgD<|9-W8$a7@0SG#%8PP==$qjkJ`MX`smt9J6Zth>QG*|Fj~*w<_PW5`p!zPRbg zZpx6slp#&MU}+qt4E;>~-Xpm^xSh5m=lS^U+PBrma0|WD+lR1nUTZ{qWe4)T{r&No z_jc(<{_cwp2z8rK0sWd~nuU?Qnmqyq4SgQ%o?@A^ne16G!Y+cbkXwa`g{dCkLO;%0 z&SGPddh`3CtTLQ7#JP`~v>G`N86LUrPe$ZQmzTg2Srdt~Ud&u}F|*7XeUYMq7nK}) zAchM&HVz@$F6y)U-gpsUZrpD4+t^HlzTCI`$VS`^+dIm5i26XLe{~>jP;PK#;36_B z$~}T1KIgF2fwqMKl?T-d##L!G;c{C@M1 zPX|InTtg+dI-R@o<*`@sLj!IuJOHiTT9iWcK$I{_b6}~H>Eriq zS?G@%?lHm=erj;s~6R87Nsthawp3KTf7&h_qDyXOWp;KdUX0~dUf&D^3C>z zy~X*?U5B5#Z~Q8Q>YJrbl~xsMzc&?m-2;3Lu5`z2+O2ESFLV z2k|C3-s}15JD;ovmtx^z;6>pHZK*aXuEMP4J)S>)k9&?woV=b4RHl`4 zbzgC7@U_4HNSw1OE}I&5UqchpEa#wm(%+RlkbryH(=is<7u*M6_`;p$x zpxbip_hM9~eAPnhrY@)7(BgH9v!^xWI(By9i{Wd_ukk*;Xuq=M*LNy*Bzu;n3pxfl ziNFP?*L>i0c57R;wsckA&di9$eM0P@W*BX7J}%%I948&3o~F@d4^@)YxRRVmm(V51V(- z)4P|GpqyX+m410|yKVY-{wGEdHx4 z`Tw`RQ@~$MrAq(jzSI9Nfj=97@jsd|EjG`!rK9Ou5;vEsIL-oP&gc$WG2ua(4ZJMP zm`xc8R$+~+Z@LI2Zxlk9rp^{iFTL<$-e}IKQkAHF>WgH|O))&)=TLgTcFp08p6F9r z%YC`N&V6N%pG-0MKfhplzmD;r#&rGE`_!ZS{>ty|9A_=Af-CUtzIf@0n> zTHiN6{ASWr+raO4sdkY5Y1QSo%WC3gKc7rlCGbC!A5 z?$$6D&oYd^VaP_iME&+P!Ea^e#v6P#Xke(XE_O4OI-HR9Idw+k82 zU!y&ERn6Xr@xGc+VO_J(eb_7r$=7>>7ebyhXvhQpvCFW`TdRj;Oj^`HdIOUyWAX4= z^$f3pw&H|4zE(12yo@AQ8@I*YUdcHxV5Y?Hd#X2~i{5i3mQj>GM*3@`DSpzeY%X~o zel(^X&)LGbxPsnyb25bAyR*fWnmWQp#c5jd<3AXDjb}6z<){{03h+kC5^PC6TTIMy8NRat)^;|FxkE zNM*x>-Muq=tT}#sdWSY^@2jE`<4E=gI-^9&bJj z7mqjTelI-q#%f*4?|I2!>F5~1ht#!I5iI@w442KR1}KG)t#Et z+o>n&vy(*0)!qrJ%e>acNtch5)Q_(+h6ymg@CM1@93LY$S*y1XGb%S|_iDnKAFlSS zZOL^i5Sv)ou!5T3nQR|}9N!+%EX%_W>G_ljUMp;M%0!)@1*~RWdA&|T^LWwa9^Kly z8rlfXT$91VqCCM$erQyMBzzaR*h1l0!qMY0f1%@QoM$A-E9DO?vz!g8+sHnsZTSg+M}5&J>> z?6eh(e}A0Af)`b1%H|WjAyvoMU|Z)WW2BpIr|`9viA84@brP7_#iV6?H##U69*;&# zk%kk~MH${Kdu`2Cz(1QX)7^-$pE{|JX$sD`nQ|kljAg;YYa?qH;X80agd!vI*v?;#%lhyD1s z6u`SDq!xFb-uL}h_5FNRhoxRW*)FnHEQb8F*dd@$34SLsziT5Qb#fNDb2l*}oqote zU^lY4(LRa}+Bg#X-`y|>gONkp{J`TYYFskzBW@Aqb(wnH)+Z6!Z854q=4y#Njj~We z2#+S9un0W>XTy>sbkc-qx=MIvPEj$_nip7z;lnWP1R!GCUBmd~+Rn5i;d75qn`x{e z;EL_ubo?HkbC7pqEYuf3>MT0{GHQaRh`D6IjE-oS4Hpe%DZ&o1nkVIhIFqt`83;9-&bO9e}#~KxkvBDw(kVn-sPQ zT164p2UZ{NaR)mjt7Yo?OJbpK*hb1Hng?as3W6Y9B4r~e#&$T1N44|^$-vJRWyT9E z_7VM%;+k-v)(XYjdKxREjdd-Yargb?(#W3kfA9JjQ{2bkD)*ZhI z%Zy+VS_8+Bi2#yRDnv5ZkpGLddkPjUiq^!uZQHhO+qP}nXWO=I+qTcPZQIPbx06n% z(&JaZ@S5;;xL92k8xY85I9!D@nmHD0M#8PKzD=@a!-^vMdW z^kpR&&yL?EHKQJY%TB)F>EgVSz>&9?9L|#isUTX)zZ{R{)uLtk_tz+0IL_uKXIcU@ zWgebbxy~WIDgeBXP*q`#T5c;OFMgJLqWf{%hYO)p*WyiZ9WGJ9scAQgoS~!g3%fJz zN(5*LuO5W!ssJYh97M=Ya&(1p;xMKZv=30SDkKw2{oDD1LqE5C5$cNHX0E5(Frv4x( z<-P#4sC#jZNotZcZ7`IOCHP`|@DzuNA7^;$(354-|R4% zZI8q7Q6*Smlq(m2gTr{gzaT2RSNRjI?MG_c3FKI(P|w;LWgfx&uT*(81xAJ!3qqOG z@!r$NF9OlT?}ftBKXKO#{NKyxWZ=dKQ^Wo!(y?^sBimB7WHws4T^i&GxK zoLN9T-*$X?KTV=~V2WG>6G5be&;YA_e4~8!TsKF!IVx+{p7L^JgfA8-8?V9CP{s-( zz>Fx@LOa#H$nwRobN2w@ESBb>(L({R-njQ5+GZo4Hs83>1fh~OY3#ib3BGWrH>nI> zVZhf2h&!6C(_>*O;G0b`8p(X!190Kc-K(Hnmzg3+Q}s5{y}r6m?tQ*j*a^K?ISFNwwx=7!O-?&xUwKqEBe{q;pxlQz`by)%&MdlEpF9?Bt9B zXRet)#6?>@=w9F%xX483gPpe_s=!a|fI{2Q10U=wq(!BMt8zQ;U1oq@|7kV94M0wjfwod3aG2JB+yPAG%lG|CZ(h1kwri3F zIaijvx^k>K9T*qps2ApAxjbQ4x+TiGD5F&Y^Y=6c0CiA0Je9jN7ce|g@+h~4PP{O# zGVoQwaKmV@^ft92j>Z=#p=2{cL&RfL=y`Al&gWwaf+{FuBUri6iNAo-eGB%V>p_v7 z^uU!e!#tyGEYl3}>Q5r=K3T1Sc1ZgsdaW2&oVB}|H{tt{Q-XTq+!`*=o{sz%9*}QA z8ICTkwng5$qeh{SR;4uCOSLEOQw$SRxtrULXqTDOU24l-HJOj8Ort+74BkzK;8w=U z@rtbckW|@32-G*gdRYJt3vh)wgcYN?NiZ(6jKG%1R+{XEREgYk{KbPsNmSYW={vCl zZ#GzOSM!$KI2MD#m8NAxQqG0CPo&F3_si*=q_E*TQ~3Hnd=h6^zCNwWC|~*Zvi=>* zwEg&KYp=?41MPVVRnME+&K$<{J|oZt0RHR+|ZLY{xE!BjhEy0kQw(sHViQ=%8%Zp#U3PxJR~vj!pjqF`k) zfpsINXBqDdWNdt(L9a9zZG-BZv`p3**k^F}Iq zZZm@FLqh$bh=dRj`l$fjfKDK#W-8S1uE8^rDR|+7c?tbxemzGrjmBAx9!h#%`+gmN za*sgQmsM)&O;weD?25Svlt0}l^MYi^C_BDnCsg{b`Pfpr+hC_7DJzH96<6`TY%Ac( zCkyePD%m3BWgm6yyhlKFRVogk^W-*mx*g|7+%Io@EPR}ToF*k}C^;<00LFc|X*UR| zm@Q2_Ob>RHHnJu}dIapW8RkTmu#EawB1_FSq>VvpR;6t!X*KH-If2D>lCF|wW3g)> zNl-a$z_Nr3+(K_+?%K1(LaI$YFt*VUkr4P`F~6sKW28Q`$(=W$T25B-bTjG}5opG}EuBt#jTPbzH4cdp~((1x# zE_F~4IhRLm!?KWPrk@ld&vu%KRmE9pEBi$ahQOFNP)N$^t+OmV?Y5OcJQ=1yGtG{| zt`YMO;V--Ra0#dB@Fl!LA7x>LZ%VM=T^_J+j~B02d;;Ww!y^)gsz8`aR6nSCTM z+K3J;PFkcqC(ZD{6S(;lH$;jfRTmp61Rw-|PD)l(*Hf=bm;O~(YvXL^o8=kL6HYrG zT5PB?%{LJ=upuI<~RqL&HFw(YpBZ=_C?kt3(E@LpLkftufgi zd635CNT|%y4jR{1#nSL54uF46@TF718j@s&tK|d1H;WILC+i0fsrGj$Mw@4CdDaN@ zuzBAz_0(#%XwTCx1ac<`d$c45j}Y5$`h>Vs2VCs_sZh=@&jD-Rbf~eg9hOOWj`9eXh~=` z2g%pno1D`q!ql9|uN%0A>RJFEs0N%cjkd6tf(@$k+3ctWr2~v9^wMB@*{2Z41vXqqKY(*Zip7AH!u=X2T4sy=R$)ZL3eVP93C6^G@)r{YCU&>`bCuX>*7=6G#8-%!yqX_CY!tTg^ zGrVqq-A3!}&G^^3i&93CKfJUc>~>&W`=v5>F7E--m`BQG^Jv~k2lQ~KdfV5xF{)(N z?{q|^*6Sg&tZ=jAY=t~i@&CG2ui9n-LFZ}QB42*TYtXYISAl^pu+_$2T-k3T>TY3I z$$T8}ykVJLJv;F**Ld6WC?DP-;KBBMObWg)3dhP{J%iV;W{n)Q6Wkg_6he!S>}GV< zcXsSJnz(+)RMCT`7%?10w?M-l+10EK!%!8rQ5;h2#m!aWP%HvR3A7X;lL3;4?>L^3 zY8k?T9GU}D+WS!NZm4$X^Hpq0CH1%|X?`xN7P!Lr?C+h;lF5tMjN9de&sO*_r^7t~ z^B#4k8g^;Rs|VaGap|{Y%mHpz^8SNKuI(!7$-U?Z&#XqU9!;~x2FOjt7HAW%9LthH ze(bInT}MYM(REo^rx#k5&!t7@5OG=LZUd8m->=UQ+zY>|7}F0g+dHUPA8wjv7`d=e zFlOc|^vZfHEkHEjd-1l^@`z85<9Kt-tZ(u(3)F`w&8~1_dg^anN#nC>fi}0v$JyNH z8a)orqR(Y7JC^#=!|~;x#%Df&(F(yeENyZZ zjcYMEY&Nz5ae)teM$?FtY*xgdD=f>46L| zvj^>80=*tGUvy$75yY%tc$r3yC@eu~LrqAtq2~MwPK>76qJ3MOuV!*J+ebSf>KWrb zRo=!?4))m&_QCFlCEka1@9bS92eLo1+?DWcZ|sEI=erZoczL09-W|<74X2@xE1$M=^o|SIeJeb!rkUiJn!)%9+h9>YsTvlkc z+-l$oSD##JyLq)yBtKW0{{%v8pb+{S6<9qGine!0qNTV{{0`Ty__> zz9x1h&kAKv5m4vGoB*D=6Dj6S$3>*-Kpc9n-QJXc`B8ng^G@q7kDlOibZh(w{uPPn zvu*M-?{u}fW$2=hj^2_PnWDVGe=f%OkF{)Pj* z>p43Fso!3hWVP<}_BNRwih>NBCx!ZJ6llLYejGX5vNcg$Yf=LAqWTpO^)^()`c+F} z+K|WkrQa0;-HMVd@}Q+bL&l^|kb|x;4Lo<~t2o_W^77YQ0)FfO3+7i-aeCGg$Yw z#CmXYoUy072g_EDx$AjID20)Fnp6V;CAPNWat`OZMUxbkZ)jrEd3KwriLo>DVwXy` zXqt-Nr>5hJ%!H5>n-}c*pNwRiqLtwkBJib<3P!)(LMgx!N^lhlB;Cap6a=g6neB97 zuC(y&B9mL4eKp~szxxAkN?3?_pmuo#@SpkFpq+5e+*h)_LH};Ki4XU_VY<7wva`b6 zmXNmxJRW%|(>;Cvo~-TJQ@p-myR+_hgcmkTt9Q5pNnWIKjcK;QD$ewqzt9W-C_?6s z{~f0IA6Ewcmt0EL|09-?o#kI`4CDV(DkT$(DuY6O!@T?K-wApsItdv$P{+s_l<1iN zBtbW~k6KjHn*q`YQUb_V17T2O=yDMOML#D8E6HX*|0wP199)5ay_G*5DE~ri|8GI5 z|4lv5f5nvlf*=3uFy((9;`tvd{!gnY*_fFA1>XLj>9PMXCGLpBsrR*Rya~|q9nCd& z2nfukKfP!_YRccxc%|P6?(>zFX(zdnsfpUU6i*0PR|QoxVIZ7-=jLG*|L5T^`k(jR zsqBkmeDqtoU#Iui-}d&YKi_Xt`hT9&et&$k?)lU3|Ll@=T<7(H@Q1ln*yXG`dA@7^ zUhn!HC7;yC_zlfBSKHAUdb^oznSD)Kd#;wg{F;^^oI+7Q&o|R-?pl&!Zl)MnZmyq@ zYfk76UM;ZMMmp0x5!}l7(V_a)?5z7?2W^{XvC*R97d@evKcPv25F#@Kskq3>U7`E7u zK3(;zFD@Bp8$&uu-hsd`d7HoA^4~B|a~_Fh&ncE6Zgg7f^WfsMd>l*S+g2NHz}4A# z&Wa_rcA5v>l~I{#bMqH%_c^qogI0{n;CnWP0POhm8M{=_8YEXTvS6AfdS(uaGUs&l-12j ziyNs9z(A<#HSfZ{zi>RYiow2!FV0YyV*=c7_rCK6Az%yOpQqrR*hTKS6Uz)8f5=$I zT^AMYTs)Dq3uerx9m2U@)h}24-K@APtIqN1S~e{jW%5_)0~OQvQ1G5)v_#tVBOcp` zOVIfwtX`w!)FD_m=D9RblH2rbWo`Me`e!#RI^?#qY0G6v0cJ7WR0G6xqz()Yt2pm-k7=N&7i*6KCW( zY;1d8&^!2i!CAw34vdCD03Ys@+XC0K&0Ycd*AZm0DG0MATFbyXBz~j&gMd)S zC=oUEc?KxZUWOl;D@bPWMo`W0HF}g}`&wP=>N+FwKQ)Clf@4hRmP^9{R%X>M<(^|O z5=t%` zKn{r}BkB%*y{Q1IyC#6WjSzuY7fApc<Oyo`uPxgo$!Mn&_}3q6BbGkOG;*TfQHz)vb~d;bUlRR>`lb9| zUW{Q|q3kKtFAAcf2v^*3>YP8?$$$boOFyE(Fe_W_>%uoFy= z^^&*IMblZ8^+NuR`}Z620M08JaEr2yy2DMDGZrx|P9n3qqf`Nm))Kj!WGI9oyqW`n zf)3L;HvP%5VpW zu7IxocS;Gse}WvR5&K6ein^w7>rds`WM+pNin7SuOnQYp7+8~{A%{&5&BUAD^*Mk` z1Usj;7NIcQE%?o2CS(0sD3fE(1NQ@~+R#ZrGIYbeemP(HW{Q&rg7a2$n5HnV2Cq9X zOGbnpkHnIn7;emO6$d#mO}rq4MDjM^H2v_R|3N9m|3N8?HPKl|@={NhZX>QDZ{)P! zEVeoC9$^pqfQq^}hn0!8=VELH22xzK|6Z~0J5?`Q9#s*>vhqe%=8;q$&|;nm;6-#A zI|>`&1gS@v1{G}cms)#Fz7rtmab(Bc5|m)NDm;1(llw?yCj>!{qy(;G3j|pWPnCF)V;j@;F-=bu5tXCU*#K$}J&(KI2E9+uvNJlhRI8zpBc;3M`#ZnRk(J zcvpXXG?kN@M1O0>Y1fo7)Dz@nFpeVw5& zk`I`nLHZ0k8Y6p8r5^#zPDoi6aj?KJ29j*AZ@NT^Ty>=&Y>!1O2;P}FX``3(pIQP4 zJ|)J}7YwPi2ncoT5Uj@aJE4jJ6{xTw$ckdh1a-6;#D&AI1$G60vpO5HI*RcTGeZ8f zX#5_y(7Dzr{+D9oyws;tvZ6aDQo!6v0g-zb!Y9zAQY4h+O^BH4^kQ1WwbnRsw?($$ zqk4iwadgX-Ja$t$R5~%*S+ZlSYEthsh|HfXm{Cnzc`AVkW@tp$l3+MgEhdRs6&nz< zYh{=3)G4V|Pw5~P7R+gU(*~$yGCpG`kJ8HEJg30aOY=k3z3X zN;32YHrn7EVd%!8xELC&&cB$KXR?3>xp**K(a4$t+%r&qK?WuuV=vv@^Z9t~&r|Eg z5rad7PVZPGn634uAl=^3>DnKfr+c>RV}z{_JJ|=xvDH;3kY!4mjAOu=FoFh`=zGLp zviNV+!s{eY^$carz{(`Z1}vI%myDpI68Lbf7X^(py`yk_rNa zU-Dd5{&;}_U70Vxsaa69xw4aK*hTT1u)$gx1Xm4@eDIy`1fFd*lDO~Mn`QQh>R9gy zfZnW8FnU1!(gL&6A@2d8F7&g|k3x0Ox9TksrJ4F`PvX9-XHnTslcnN@s*{2if&EJF zdqci;VNLnzNA$di@IS<)PHy(Yut%Caj97f<#Z#AYLf4D_^Pia1i@9v5pVC`3qB3Ou zl9K`m>q$iPD`-auF<4TjWB9F_8iR%7AHk@vJyVGe>BnMrQUdFnq5p_9CLUWESMCn| z70F;5?!2U=Aj%yI#OM;iNEX^PmsddD5+oz#hbM ztj-nL2=;PE0uJ6GyW@9C?sAvB6*3zOrf5C7@gAupd}0R)?nDhtaf~Kax~{iox$WAX znk#dm+8$^B%S3gMR}ucz`OURS*O^7s7u*1MTu{&YkXmw5)GeB;`pzukf}Bx;Yyqf| z0-idUwyAWsCAn{~&|{_z_&i{Y zFdkjSXxcF-O9Y58^K2n-->7&&c22>-EKNR7wLQ2o=<0*Te#960l0U8=DyjI&aRAnw zfv}+|q)|H@2qV3v$R3);j{#|kPCZNqV=hGrg%WF$ueS4v*n5Qu4PVatjp1hM1G!O{ z;n~i^7~UXN;%bnK1bmW@8Sk^D_d83Y9()`tw^>9($6LbVSgz$w-|=KQKEnUWtf64O zNy~3j&H`tKBd($Kh$SLk( zVR!)T^*&b6{pBU_igCQ??XVd4=kwkjaeQtZE2(XA143i>`)rS>BRe9an^&9MumR+rcFYy9E6AGJ43!WBd z8m%5S#WZwKGu4})a0XGI$B-2k*O;5sv8pB^Q?csgRlny|O$RMoAu(KFEkC5rii zMi!ycB8Gf)tuOeG!GA~wYgiXtD42|8hP2@O?-YGs+P_2Z;}nir)fqc2*|;{aaQ6GF;OV_*%;aDe5S@BQ#I4NQ+`kJbL&&=vjBO=qW7DtG3& zn@BI))V2Ht*{#y*JA5G^2VFER0j3glG$Hg|`~DWa#w(cuYMfs9-pdXQxSkWW%_U=)~n7$=?tUI6zK+oiy6t^&Zf2+ z-@O<~Vd2Se`#QR6XTCbJLgz*h6Vo~r`k1{Dnxn?fi-p$So%a#7l|Ttr!?Z%R3JIz1 z9>Y*6E2P?9wfqTX;mtvTny=urAc>SMR6BrFzU+^jta_|bygyPEi`9S>`vvRGa271$ zY&l-#Ymeklc~b;Q>~MnKDG%(Ckdk;}(DbtXM3~v)3ns$z=?ww;4IUQcQ9lJ`V53$H zDs8i{r-Qsuv8{C7+B8FzIR28@IJBT8k4h_(FChSyN&~ zTG~`&)sus?*fVb`vs?w>^-G-v7Lw!;)-4#SUgZU8&)6-X&a-&8@m3zXc=yp5x;pN3 zYv;jgAeA?9x8c|Bq@`DO{H#k;7Y)Ed!V1wLM2d0aQuwm4YLg3Sg4gQlvt6Ag} zl?^WjK_x@{R942Q;we@o8LlZ_qc)tsnE;`CvapEsjv{Jw#Dh-$U_O0}tvgBFzWu}( zx#l~WUE*_yBIZV?sxA9SWwkBEzZfe|2Pjc(m*eG0wbz2oXw2#MnujIyLxq%}BD4wQ z=n1!18BzlC0YO)n>=VxiyP72q^vJOTkpF%->~juF{s`IyS|99@d%dCk=Z0#u`ndas|w9 z4M=)`?#>OGrmG_tZ7oe!nWSy)Cpt`X*0ZZWX#r(|+nM~|UPQ5sjK}?zQohQ6-sX+z zuE_m+WKo>|>dl2!R&?KzgwkW~Ij;1?4UBSY8K_B41R!_nL`vBJB6>`*R#69pfaXne zE=UKH2%DS);MxkOdiHkQC~XnU3p}61h3Kf&MLkZR;f9_FT1*n7#Q5wX~9RjdH22NeEH zq%A)gL^TOv4bd1W7&Hf!v)VA%W#L_OFa&t|VKCFwiqgBHHn{wOfE-@s)7>{}XYRXP zN9>0mlPUhbO^)2_iPYzvwau5WEJZ2qpr4fe-gzpBChUFMtAk=fuWRNnsbsTJ?3wfk1#Jz}dyWj~02f zPpGYT^m+po06VA~-#wU%IO05~6<5$il(!GEPehs{l*;%I>dP*_3~& zo41*uMeYdsi_I4waBLF&3gT9jlA?feYTQ{WHx&i!KR zq(JH~oOLT6Ia-&m+xp(NnyJ-e#K%8;OQq2W?uIM-*IanYa?-2b)rPR(p?DNhk+hRS z_dd{|XnOofb(M5Jc7nLVUDz{ik*AasGp9<}vHq>P!}`pmK#zH>$DzG_nIg$u zqs5kw#88bW##2RD0 z)*Vus|9a!sUE?pb)sd)F^t0F{LXjKzgFo1KJvZ)l3Di4K7FZEQB(5?wcKwohxlC zww4PXiy0Nv0GT@U8ZIllrgrCfeKFqiz}q1Fzes=*R&ndln@eIHYdVv( zzy4Qw!k5zG0*EixHoTPMwT-)`$p^TabZJ|*q0Qxk<&YPzd8l>e=fVlb?K*Vl2KOh? zrvs}O2}iF)ErboFV`GA5YYc=*4@nm&#b6Jjx!|jT5dtH2k`)qa!3mZtax9+t3H!Vb z5c{AAG3A{SJXwR=rIfN-9@k_166(5+AmimbZmV{cslCUq;?S(c zC(Ny36m;y5ZzQf}!8p)Kvi(YlDv1X1{YWU)FH&9}((_?(kC==qDJ?Q#H2(vs`;QO;1*MPo%m*isP3=r3_8Jm21Or_`-d5Y8zA>;&AVkG8J1hb31>Uf27ID0$s4 zQrG+1$_qGMp5DjUPL2~-zfh{))>-qpVa83a0s4YSW`w8urHcTv2>NB>0^#M?7m48J z+XM!|V=u48GBAGDH}hCxW8Q~!9p6`=Gn^p|@fl)yN5E$MrAvm}2B0%>R#G&9Q-qn& zzd&bA03T%=vPG8BAN|jNd-irOpdl=ay@-nib?H}yv7ohNr0ErAI`k8v(NW7iJiYS= zRcGXD`H(6-Kxy0=M_<9Fj&jbuKkp1Ove~iu1|C4B>;Y1{&geZeP2|5i)d_t5CqFcA(FeQX!)?psZWlg9nPJT*#n^bZ&+ z(pqWsQ~HypV6KbgSS`tAsav@fos?^Jdv#LtwAl)Ext@ozvy@+S0|29&wb1{LjQo$M zZT)}r8|DAse)9{2vh?4%%Kv7D%zyQp|1$OMf1Tg_#~T0RW|;q1qX0NKIT`-1ej`~$ zBI(q-`p<6&jC|nZobjGvI?F+Xj7}I}Eav*sx@_%wO-`mNt1H{)=TPq#hzHTN z`9G(1{C^?a@FPKE#W`n+pnnoRzA zIk>tRB+YW>Up&6l->>`gjU>FL+wlRdYddE7ax~d5 z%`tiVRqD3gH&Mow!^GFjo)NVvOEJXO_c_ddoiTGmd6>7%d|bEl+*Cc(O5DX;eA>r5 z+?gj1_sJMty;&%}@@(D&?(VI@ae;;_zBa?4o8{0+_{wg^>6oS0Nz3>olQ5}vZKq!=hjxHaO)6oXaCOs1!BFIMU}(^vomd9etE!- z{j*209wpkdQW468jdJ{!9VF%IG`9b$jJxE=QLpyr-N@Y;PZdtMJGtW1NfS&Bl4i&e zP?RHwCdq#Cw)f{Kwo%-V-8910vVJR^{#Ofs;x+Ez!elEhSBA04wJvJgJ5?#!_)mb+ z@RCT4roie1`fRQUeYtf}=rZX9_FVei=Dz+jb|gi_@p^FEO|Q;jutmJ6X)n(nvUAI*Dt|;R!J@3%6 z)2pgMf7Xs8by#8YeF=5T!4h;v&y#=iv)CX?R@C|cFKf~Ma&_#S)LU(}uJY}BS;4{4 z2A|?!(Uf#QV*rf7a8Tj_v$NK zXp3sau5z-tzC`4)z6~x;^a`nvt>UeM?3RsPWS!YMc$TsaAS~^l8aA?LZ-(tAPnH;oelTFG|Wi`(xWhp+ErsrC`N9C(B(i>fUJS8}fkZ1|Nzak*40{Y3TUx{0BVGXYq^get{ zNsjGC-0@dWK-@-T{pmtbrw_7K*gTY0> zk5*M5CtC*#x!|lr@w+ep%0TLqLvj<_=@erp078;1(xs_-yLU!1RYco6c;p;?1f%Yy zJD-jSNU#y+E?a-_X-HRHXH%&G2Cdso8RrCVjFaUa7%&oOK%}8V;%UmhM95HD?b)^ zRL@|TCKdQ-q0`|z_b^YW8H|gz!pADxEm6>6;uLEwyL`CCoX-920V}UypCE{!dt z88V8D$kFg->&3uU96vHc4@2W!9y%u=kQ>f`p1aFmq;$SOeJaW!412-?g_6pSE8fDZ zPF2hwVnv~#e%0(j^qsBZh@X1aX=`i|I`^t*P}tQ4_$#^R>=kMyS9pAMPO}c&38@4q zoE?Q1y1XFWaT77?`e4)ACKxMXXhfv~(^A`1MA10J5DqV6QmFB{jA5ao6 z+ClSIP7nu6X){cTo>JLRC0<)mD5smu*g|x(y#Ix44$!LmR`Iq^km1a3;us}WzCtbBSswz33*V^x{F zDM&)$4CjGL!JOkxhHENDlD=*k9EDpHmr@Mvz=8`7(wn3;oRb196J^U$!+2c6z=)IM z`gQQt`$RnsuNL!+vXoJCghgiw6el`FaS}hGp^WYs@^B!7G1svJl%*WmoSN0xVKGfb z)s9FY0-8$F5C>z-1>okp=qa<%p%v3=5nJrYbiXQN#mO1Ley(c+U&g{K_+B@dfRm@wFcdgv z6lMfnntv&VL!w|x$_nhgl%H9bcaI#_Bsf#plLKOF@4=+6b}X?h zT!=-s{*NX11m|+RnhWs1072fu+++@?SrtAf_`LR2DT>n-&P_ZF6 zUlPpBqS<7s8N4k=$aS>61pPx=b=wH4a02_+ERZv9b!|jJfvW23jI2tdx}yq3-F%nHG)C8BFI`mE zNWn@E9h1eZOLsw~tflH9%GH2t9-S$0Yb#O->u)@Lak&(tc$m9^aYhOByJH@)P^cSa z%stiizn59b*jw(cI*Ec^B5bBwMVLWY9zm0N@ML#HeU4nWqy9NcZ4Pf~jQ7!Ft{2UR1Q+nys z`^=KDI}^#rZF&$Z%G!Nts<8_C01*Nyg3~RGq_MgTtgb@WXStrplBAd?XPN?`eyMR2 zc&GA=cU#=lkKx%L`0xU2BwIhWeNIK0wm)(f9-ky8WHW(}maK9`y zb*I1k<#7&I_c5|Sv2vYz>o({yjpz%+2GEDj(uP3(Di#HtuR%+?CSgBmDi5%_AhR}# z<>;*T+Zj}XUVWwXpn>ID-xD^CF%TU+M#|-(m!$~Yry@&T2dhA7^)tBAUw5aXr+NBD+91>&3!p2IQvrS zlQo6G!$jAZ+kJV4;LD1Yo)6iqExz((`juZCcQ$27msVkCJP2a|^4MRv--X%rl@>@m zWKn!9(}WnyM>Idk_kHu~`h6ZYiW>vgv#0UDq`&1d`As|N4r1Sco; zF{*1v98Za=7v!yNa%74sCB>uzHuk2b%UbvEQ= zLvh@8L-mA5a|*rvcH^YJnN;P3&Pj!HO64%OQEwxyVFNmSP+L4-?gt!j0|lUFn0b+G zTV;)w5>x>)qKIvI;L#wna;RbuDGX$h8-q#cN2k1@4)JS;e~+QY9Z z@@`O-Y8cI8%(4=8`OLwraSXg2krULdR>l*I+o)+SG4!fx<@ftC+sqpf>aSsZYABGW znvHh88X@ZFV?~g*YnW2btN}Cps*~i;-$SbRoR_*NHwp68%#iJY+^y@#p1XE81>m8P@}G1Qm<8sDcwd5UqwalXFBgnp}Yuw~82B+gHj z*WGmCS2_|A*td7ucaBLs!-h>AFHI7dsz8v{{2&SQU*xjG=v%4DV{~S=&;Zx11AzK` zDmqsB&dyBx)ou(cnrxX1|1-fygr7k^)Q;{NZ$@=wR?^n8%!sF#c{o)SH`v9K8R*fpSmt35a}040 zeSQZ$Y2SV6UG|v6ciQ;C!iV>RIOBdHeZyd^I4`0fm!js81ZsR3HBzH69d^i!w*6^Q z^NXsuRlKr1an^`OIvJ#<^+t+*n=v@E_4@U-lmBZOMlp`kV!MDYs!djua5o-W`8W^|9F4!S~%&0r?=eiC;C$} zCTfeUqFD+M8BbaP~ z`$tFxJ^R+7STq34xfJj>oSDFEBXR#rd=o*c7`+VMF3P6i*zTihs@W-bJzJD^w{(G) z&1}qKQ2wj};^k_2!)41u^nn=57cNpi52FY*y_~g!0GAVVL{?6)ql^Lhv>|C5bQ>r2 zQD=}wn}g9JyrOhtiyMl1o%1$W-yp;h_2`};K%u`idM=a#-`9nY3zRI`ZmTS*5-N z(6}lkQov4unWhkC?SPK+%%M$P=Scv@(@d@B*PiX_)@to)t!FWf?A*UpALo*@OzW4h zTaNU+o%coVUyoZD*5#177BW2WM#FLL7e~0yQNh(12k+3R>){T)mFXB|B`hASLy= zK^5Cq=6mi@cP_EU%Y`a_y+iYMy^6wIG{?^F`DKo^S>n~rlZGAiw*qvL)1Fhz)605p zV^+pQKR3O@)dZOQo)By`^;%_{rEWac*1&eAUUe?2jGFT3)a224wA6P&bSStnL8XjE zlhm9!YIGm499cOX6aI;eIpnC`-n#kPFn}5oFIP=QKtK6hTOOP2q8#6z%#~Bi? zy$EgMR{I@2pe{P@uhuI(_T5do?O2Vy?}(g|a)aHgvf4nxS*Ct>_MFGF7=32vX@>St z!tjFCc7q?83TkdD2djz@2CA#k-3TWD*4irp%RSyGN+X7?kRrr7xIfmHmbd)hv*+E|ULJTxAvN49QS4@+b`~!xGPYOP?RwQI# zoZ=1D+ol>#$2n}%DX)EeZk~Cw;rLEQG%HezUK3pnKS3U?(4el?{&^SbG~!VxlRw8ToAL~|KYG+>4din zqTn!cah5ZK1gTCeE4*hodd=~D+w4D!Kws*VNZ|d;(xW6>yxj_siHKQxU zO(2fD$YmpA5BpwYaIp2PNSI~Qg}m^UMxscd;q_Wz&6TD47pZ?7w;0L)%>(U z+K-1rB>m(FDyOa=Ij^pk?j~?z%_jZ*wGr87y-90VEmyCFMJsWKJLX-^wmHxfzVX5h zg@pb{ka|h8fIk<juO|a!2^5BO+(nkF1J6z3cdE4QYD`1C zu@ve#YnI&6qtD;C=Kh$~h>VL!pzf9U4xs~!W#8-1$^u2lw7a z7|YeO3^FO_)88P8J(N-rV+46rG%<6{N@IjvQl_DDl^E?&y~E^zUK?ChpH2QU-Nkn% z^tw9}OyFD~t=;=ebJ;Gyk9>}4URRnoa`N6gqMDW1tIO*q6%;L-Mk!%N+)FsshDWVGm<5Xk`TO;& zmq%&rG&v$Y@S6;$ilwJ#W&9iQ1YHE0m7%!NGdvdcDJWQWF(zH6M!!JeeB z!A+deHP0(@Dil%-n&o0oMQg_ld#tTo+vv%CT48X^@{g2^8Zg;vy>maKttc$gro8y( z+LzY%!|aZiWyGbFNw2$iwY1qnIF|MH;+7L>nX-91MUy&T^<=+nl-E3>Tx|0Y?K0dL zAuZ)}zEa-k%mI(A@?KV0mX4fX!$%8?blD+^N7qDw2fG{LE82Fca@F4M9F94if7As%ww0c3M4!~OjZZSo3N6j7T^W61lSwP- z^wtEj_Ip-3OysG;x;`-}aNPv~^jjVBThSPolZ%|1%acSnn;L6nbN%f5-G`I9dc?J9 zYoitYuWwgr$sP}pRbPQlU+>scL(W#V;9c3WpFil_lXr;XBA=(x!QO{f=~UAx@@HPu zQ3a_f@XZa3^W9`q<2;@~C&S(b^SIn~9%azCOOm4!^#e!;j2y}D+@cgHm1TT?EYE&= z)9iSqwUk0ASIj%$h=fprl-U8dEItLWUY?{pZd>wev2{9GLpAwcT*9Sc8CM^b@8RTl*)+n8JP%EU2-TydE2E|72Sa$VP^ zCwHPsYwHu*Pit+oYdx)Drg|QCXAE>-@XecWEiWTl|s$o<%bn<;yN1#R!F;! z`fVtQaMsKQWpZq?J>aG995^Z;P~MR%0i39_JViTxF|D)sz~d;t?qOa>NyR=1evKuI z13)41_k8emMhe~uoEX}5^!pTOcM3o2bX`nU;|e5y1j-zI^)^cs`-K3ZFkL(*D0$cVd`}0CeJmij-+p~#tSb_2~Ugs80&RSRs2{^ zFAGdlQ!-F5=r^G`?YQM@nrE}+EYm}$+G$YYrF_SZ62)fDX=Z*_bg*7`8}Aa=c3oCd zZU?89NS4f`Ay_QS*(JGT4Yggzmsh^!No{WCksHcY@`&xcgx%FPx&&ns1txm}93O@d9*(`1U& z31K_WhlX3_2Rh3g3T|!M-5;I^U)REfUWvardg`o^c)wcgnbgqii}lvWX+1%x?7$8* zg-q=aO5XL*F})y5z`$GfjaQN%YLlArm9pwbCrP3ojMi&k-?>S$;RDC@4st_Lb~20R`-^Sv-& z#!CL9ZAu6QB!XTWblUQinB)vr$HjNPT@DJVQFNl0>bbn?l|A^ZytsY4u2ipbc9e6r z&V_MHm9Y(549f>zpA|l(`%*;gq{pUkHO|Wyqe@q6>(_@@JYopDdH_MF~Z z=6l+Q_2|&JSSkTD__8sg$qJ5bz5mEXc0yETu>ck-m2u3_&XQ29JopN76E0zE;^=QG2TLLs>(M#IcS+)907O zPc-OJUsS?s{a%&4TGkuGz`1O{meuTU7wSY8VUS|Rru^TJnhKdYJj`oru_xW&H4hQo zzjrd)ECiv_Dr?u!{c8B?hj(t6#+&vnZkHT)yqY{K?i6u%k+-#bRV^tfAbHGJqa}jE zBphGautUzex&CE@Drse)AJ$FZqIAVG! zN#h7vuVBW9)DS<*uxmr*I=j}kV3zvZ4$^t^cQ)A_H1paA)GJoWz=;Y9vU?&c+F_)ycD}vO1?SuEWOk7?4Qg8q*nycx3B1~ zY2Xv1qcRQpbxoI^1PdLPGn_6tSK`SjhrCnN!Wm=QRoU))p(;Od@>tJmWcb^pk(ZPc zvZXzK!sAQ4VfP}A<8X1Q9S&4u43&(b?!^EJn3H~euL@+&ku#lxDUMxNZg}6yd2^!9 zC-t#occJjZ5o}d=&f$uFxs&F*&mn3yppIsDK9kGu4iK_OCmFNuh`pYyr|&gQX#bd9 zYl0lh(fT+sP~Ac*w@ud8)w0Z~hIyrTv|o#R;?h{^no&3!yj-P$T087HDs9r{5XkF0 zM0FUwX_yepybvsauO=ZT3*>;akIr7JKQ^K68@b8v-A;=kx9*BXJ)8#@dxqt1w7ngY z5M>nGrTO%V)ihc3YJ6^}uv5E4Y~Xg2VdA)eWZKR^cM-%pYuHTRJ<1RmLIHC zzci6<4vBV^O>8V3ER9f1x@M9d&QMRe6rEagQ5Oi6o__ z%BSpu^Pw`RY;7PPsyodC=c6KpC(#K2j$NLqhDd>CMObbsQW`S{K(@waAT2r*03j9O ziZCz{ibQ}=a76?Lj)7yhfZ$N55(El^z_4H#3J1mFph(c?hm}$l|C{Lyfhj4m)xaTeIG8N~_VA^#@IGLg$Hq@ezUa{gJcvvR zgGHg!Kr?#r1iB|nMM`SM(AUT3E2A>L8lrh9&R(S=kxqs9;298@A{6pfGy6nkHYzwR zCV*$rnO1bVyQ-PxtR0jh$=w~a9bkIQSVXZAfGb0Ol>KIWR*f;8L?QcXvlEV}!l5t( z7>WhMp;l~U;Ak8Qvl)uOL7|_N%#r*-$E+*BKhZHq^0N+Q$P6-bkeUs{%tiiC|0@JZ z#IFD{JelsZaUu~RfIC11XejpPI!F8fLJx1a^O4`^`yJPdtfA zq5aJK_h-+V|H0I6izGV)Uqks_Utk|#hY|687}=FLGbWwnNd%ax8bl_YfMUR3XMef_E1os3Gg@h-`xn=oz2*Ie&@e*WGeN0|7TCZY14_GGwsws zTh-H(Lc%FwPyj(m2?j5J|ch0###pzSv**8u0 z9UJoXmi?Eu_Nl-8=GSi*{F@ceRkvVdE*ifqFTnLnh~^3`z%>_*UzQi(`Xxkj1s33% zi^ea@3vm4sqPYS;gNyImppU&lnu?S+dq_9msPgAow0{_aqp&|6g2UNk+u1GMAXS^q zp|#_WjTEBMa1K5m1+gH5CC?CBaoy2LJ%ytcB^vVbINO8G+RMvzi!vuBy%=5v1A`B0b6+1aDiZ5dx)6!FzM=u(bfl5F2|KJCzY^=QldSrHw#X#3`%$EOEKf@<^(>rlycSbW8AVnXFVsyrp{1 z8Bo3#sAK~V!I(E}_afsk0nXitom?9a?b^k)(rCKGVIMn>l5*-&<$3U8|J-J>e3$^4 zpAQB@^Yh8b=$PuV%ikEBuPe&_tLc^C>=tP?!^)W9odH!SGQ22kD(_()^e&W_X^PqoW#^&>6 zuI%Tp)!)XbEO29>1#WDC8~gIhb*6K&d9ykE)-2}+zzHxC34s6uP&65gB*GD3JPZMV z@hAj9!Xgo5s1jy@8~Xy{=l7qD0DchtpMP91@~^O4fNKG+e}%xm5?*Ls3vm4_1pbxq z|Bu%754kb;Ji~Q+`0URAAo%PCG9dWuzBC~C%tRIo5MpMg2>Wf8AORs}83qtymKfRj z`w}@LzRNxEHzRB0Kbf@hHB|!)gZUYO<`9|BCeVZz?d_(@9_mHpZ`TPSNJxYPr3d56 zWeFhkaMFt*`l)rN%Jbl1bFDFN#&4_d0S(g@r z%Ofo$XxY~H6&2oooyuD$geA`F_FI(cCP0Hmt{FrRW_sBa*#)rq#FF(YYV+_y$T>vA z{5%@sxAACDB^{U&TpOm1R6?P#NSFo;14C;dW@fFRbu^W*vpgCJ@L}^}Fc6ac#IT=i zCWK9s&A!Z3vBL+Smu^F#XZ-YH%cK9wyCMI|yFq{8-5kb(!}jMd4qAgdF1C>j{j>cs e4{3-a{Ow{kcAi+CvU#wZ!>J)L2o&NgtM(tY!vjHx-d0 zO~67&ItZws9z}?V9nb^nIp27mbD!tF-|PMJ{rIly{szMA*|YYZS!=Jodie9vpT7Z+ zk-nimfI^`F1LOzz^93-c7wX{(0EUJ#00;ocXBP_nSIZZ2IbT3Nf+#Kkg`9tW z_M)(Vota2`-{0*-q)qx82930pk+FE>%tk&Q37Eg&E0OlkBaq<}80_l?96v2@sHsX; z1%AHFUl9K~E0dMfw8+X@WF=ThUF)#Ama-bag-o^sz#x!hWhF9D`gdE2Oh%Rd&%6Ek z=g--H;>8u>9u#z5OHt7`P=Vp>=jfu~3jVn6Tvg&8s& zhP8iQY8m=4++5ChI0v~ORZ&(utgZNe_WmcNv5T)80;+uYu*ScG{@wi#tO>)*<>=q* z_cz>MUH=Q<=j`Hr^j|CcFOa`F|A9Fb;NgNG{1dgKmcCxTej&c_1%`L93rzldz`sNM zGmLKT66oh0{MRsb<-Z~Sz4ISP>;D_%zjyuv`M-dcz8*nG4Sk*5|DO2&xbx2lT}F`0 zX%8QlqyNdHWF;+S)xU@S1M<%xJ!D0!Tmk}rV*IlW|9t@~D=7Uv=-)y98K>{f2y{2~ z2>sXCe_j7G9%1Ld$N%frzd-+)*d;b?@n75~`*$i+W<$i)}gH~~L*$e#7~~fVVzC$u7Pn&u zH^_(My9bVLU+)FNFU&35p9DgTeA(u{`*l zygTv#ua7@_009td%Ok;s5&+NwC@ulipM8KR03dIMh`)ahL`os=$h`wZ?(Rhd<6p-= zcL5ao=iNV_0DN3101a_Lh?v^0WD!9c^xygi6HB2@W~I`~m(HrbYX9&m;;upam#>BR z&zEM#3pS)nZ0AY;y)XZtbd@DILKK!H{xg5n_g!Z>;YKNU_iglZ+B-g8of*@byY}g3 z?V$tby2}1@)PL*ZP$0>lua=PDG__v*rL*S*C9gf;&ZmpbIYo~Y4$ibKC?3$O>-n$c ztN#m;Rk!8`XJ!V!_ObPN<9rMSw*t~%E+#14y|D4w`|W@0{`gNk;EKNpE@nA0wf>9A zn{N(Iuzt&Ra}@=beS%%@I{ZF}6>Gow{|PGSzxH)x!r=443!J*O%PNJAS@TA9D zVbM+3+fl39)_<|!e?30%|DbYyG2;8(H2a!a&fEu~N4TcBp6u*}f*Y>1^|HGi_9ipr z|9V6KgMZ0dXlo>`dAv=v$Tfj3?3OFy`Qij$x9vz>?a2!rcN^^O%XU^eOCC(&7wi$> zap)t~ai(+4*0{8YP~tINLl4XS3IaTP&>p5)X}W+^^+C;2n%{RT-CACs)^p) zltCV!^0{+hU+(jV`Ac_>UssX2?d4~jIcne}P<6%qMCXJ~d?SBI$+*W9-?PAu6EC0vUq3T=8a#}a+Y=VFFZKa9+;`u<^j;~&bxao7%_ny~fN3t>UZ zPx5`dBIIY#HrEY1hF!nToK1PzTr%DoG@#>H*f?j{Msr_s2{y$Z?*AOr;*&XmnQh%` zdPqGbc+T0AY7|4WxF283cre#vU~Finw#|;et99pIgYuiDh%OrN*VefvVpFb{Xh7o? zq#F^Npm?d^D|+~#OoL;))#~0na6V>NRkdYxls%C3aOj?$uWDA8tLHH>+pvI5KI-H{VltyZlcpqx>$xntaCT;| zdsSY??fVZUiI{}ipS<+Itu4J{RM-0QKo9taVz`qYbgs@^vy zDx5A}Ju47+Ev))hOrn;I(%u->s@pGRM}B9Ft-t$xvGb<=SsP*AOevbe^p!r#y@f30 zdNV z`x||9@MMx|R_pBr`;fx|)m?uA)dj`sVQF2{e!{EQM|(5toF_)TipAGj)Rf;Pjj6f^ zz290q{9B81OL5_&F`n~vORt@xoG0XKehGQ~RP$|~Kw{VTS+Q!KerCyEECjWe)Gy8Hh<#~FSIV3FwDzXXPc@eYYW^g)_U?)Ivl~yP(}Y%R z;EJ==tEnoX1EZn+3taxqxzhC{WxfXuDps7zln=t9JxbZ~?eV^Vrkddw9lvCOQa%HX#jzo!>Y0a3i<4FVKt-n^S6-2?R*yLRB`vw zsG46D?M8|ge;iHdeTt?SF57keE>^EObASWw1c;zy%cKQ8cBxS7v@Fvn+>-=|2W#&BW&vj3&7@IAl!9dG)e!5k0E)A7owknyBa0)A+Qwbcwqayoji zEj_QExHW{XuozV3%zhbv>HpX(CyMj+t>*SYf>q?&-GVW~+O|_js*bQul$efOqtRM$ zTJiQ)_*b2*7cGPK>m`#-o^n@wBhS_F$p^j(PR`aU7JIi6K|^U=?9#qllTqX5-TL`U zxWbVvCER9Ok&al@K>LQq3t!dOU4NvyhCfJ@{Pg^Ij$yqHH_x4@9g2RxPE7X2bu51! z>A$_zxi7i(0%3FekitvZrU$xP&)Z6ViTt|gl6t2qO;1PD(w{wh8{knN9s zcBA{(y;K4tIeVyA^9yK;UfpxIx_{?U7~iC?aip8WzT8-wpLMg}H8r6%JQDFo#OLkS zk7LPUT1B@O<`iivIZ_9X)c5-Me80AqRTSa5eZRiNcthK@Kx52h?{Y@Ryq|h^U~^G$ zQLC#HLA{t?wQpq2Cd_2RWsCtXudU~()Ca5fjfG3jbA`{>XM%&*wYL-You6{6Iku1{ zebau|(T>%uunQU5Um|usT7BL0oAK7UT{@znQ-`$Sjg}ti*x&DRx`7RwP&wq6V~7blp;6?N(A9Y{ z!}?Oeey5hEvq#|ii|Q`>R^K$I9S9A!xbsbnJ)179y%za<*R!vYpHxTuQj0F?i126Y zr3ct)ZXG!q&$;mX%a>n7e|*cEnzN1z`~AZAtxK;jRr)VXoH~>GRbA@p!}a~YZ(WE| zI{f}b$*IzlTVZc3GYVD*2SR!q3qK!H*{={~rynKnx_w7bamz3=U9t{V>y z_I0e+7hkl{2_Mv2d>yy zg!D>&#`Qbi`oW8vkG$G=>aDjns)NIydC`M6b)KoT znJjmU1&(g5uM}SteWo2D`|R?B^#sQ_;atst*Sin%Q-P1Gb8ppQRmXw`(?1kHSyRdH z<{Wc@#Q8~wC7kc^I2m1wmEHPsx8&;9hMW594f&66EF>Gq$^*97k6zjcRhF9!d_GLy zco8%pVrXc1mMDq=j)RBsXd4O+vY4sXZ!GF;^~!%WG}m0O5-9BP)=lp2uHnM27vm!p z6L&q{fAwk}+Pu^K=8Hwc=s;lQO5^1kotE^GmfWuD;JE!+99FbKn{&)NlYoy7McrC~ zulzKxx1AP^^eW6LE(%ZaHwkRDYrIl5{WzPyW>0FjljgpjQD^(SZ^LpAj6a61vO}b+ z9X#L3dDRYU)^Cv^Itj!Yj z&EWeew375KjJyJ&-}GS4>=)Od0lyPd>+@V=S;0;1LswL)`Lp~#dTak7`bXW`{GQ9D z8zFtm6I>Mw-4{E$6#^}al>>9fTLa6h&g`^lck-p|Rkpc?+uOf?=KQ$0!-8Pb4!QFu zTQy(VKD87%z0_!3H}Hkqw@L17;X-bPZ0f}j_eJusZ?#LdilUTi`-UgyWciqP&YObd zZ)5dhvI8B4ub(xnX6Ih;RxJolOzs+)DHyTdy~ozVWqZJ`_o3JEi7D3*(XrV}ad#pL zBn}px?z9c*kzuKR8~8lp>!cB|vUKNDv5j~B#oVXXnfE*H=bRgyeXiPXPhXMPC*QgU zHkxv?8wmHG*JF)a_zZj!-1~iXeSzK)s5R;yXJp!s0=^kFYMmDJl_+jjcj zLZZ65FHboHkiGVp=mivT?l-o1NzSOSl9GjJkR%~ixRuLkRWH=F2tZ@TM=1 zA2+qdab^yx@6lAceE5V+-e6#wV(pjP_92RUf?v;bysCKpg^FPmzbf_)2z8H zr!9Pi>kepUpOv4fdhKifG-^!22WPFCp+M6NZoiV?+$3NZ(AX*ZzFDm0vw3{VFFGqv zZC8AR>U58rRz5LwIm730*792R;;ZbJzA17GguN|O&MLO&3fk?QDvcCmWgij=i!Ij& z))br|yX=7nt*tXQ?GEBXYecS63-o>`OQGnUxAy2UdFa`iX^uuJ*UNUCQ26MZ#h0{O zLh9CcOvtg+Vg<1s%`*?sX%sloYz5(0+w6Idee4xh`ag>~M6Yb~F* zFNmGfxA0il@7B!OU=eEDE6!8}epR?bvWj#{^X-^`XsjM*zGF=^F7muFFDehbSF)w@bdfElv(ZFY?eSKxjR2^226~E~gTKYV3Aj|)z z;g_$2oX|f4r@JpEAMHM0BgaQp?h_m{5FdENfejl)2xli^=|%x9iLdg54&o19Q(hCzORySn5wDX3Fg_;? zsN(I1JzIji4Dt1J8asYk8O@+P5q#C5^rrQuF(W~Cw^TutYzH-odYQ*F&ABuhecjpB zQ%+unP$y+*}5y!19R}!XTD#+SL%Kn{_objXUP0Zu`p0DyPizk2Ko+eojHo zo{_!3s_%CzN$LFZPUB))_U8J#s9%m;9jw2yODY)VU3esVwDa}_U1 zkl`$)3J`j*Ei z$t+32!kT=YlT(f1;N+dKjNp>IXW`Wg^`U>*i|s2(K6x>1BY5({eEKOX{Kk6joEbYo z&Si0@tL(mrqT$KXxlst7c|lr0HjU^Im( z&WZv2(SkT7|76&JT+wE_yh1t-l!8Y02ttllBy?+*0qa(+0Xrs7*31@kFvUtcR1S;Z zi#=vpYnnh#Cn9MU0a2LuOkR8~HE!x!&+kDO0>agG?4wgdZ94)ko;q4H*7NQC$ct^U zUmtVcb&H7&inS}spScr!_Qtayt$h5F?}ZCqI29sxKLbnjaOX9!5*qXU6ZoY@V)(-y z-?zR&%g-b3_!-7sIBkO-R)4~I-`&N&c2tG4dT$0AkTtgQvh)OpjuZDBvWU3jK3-E2 z#VM(t$T49Rm3Y6^8b2q-zpo^5G5k=c-LEF0B?ZA3zP?uYeBIGAtRcDAziY1Gva(8W zWMt^~$UjonHq8fao`i6J(6**YQWv2;q)+Y8PLN<5h$!$E=&v?#xv#cmX|;jH^7Va zhA@OKtYZ>f!4LPzh?+7{a6f~9hT0A$9p{cI!|pp619?cZc+i$CQ2+&!v7(Q2^+_P< z#MPHQ0}5}(=fZtcpJ!{2++UjI6f7+iq_tFjm}uV&>pW@azqQdR6GB?N7dYcFW<8+P zYC_f(zaIy4%PX^F3AmBs+2UVaiv4_M&Nv;9j5_vsy;aj&7D9`ti|~H<5qaj(uH?oy zv!0Hx;#~L~!7@h~D9$DC>yhF`|MeM*k(*^<+rd_EtJF)1CrT!k28KJncs=j#*7h1* zzIl@xC8F9y?&!EbHqe}R*2GQe7H48dTFLa0XJ=y%RDV+_>YDT8ZX{rx*s;)r%78S9 z{GNe^Co^%qhYbXw80NuvYbH5bw2nwbQ%)1=VwmRgn{t^O}jFa^bZ=vu=50H zI}f7yoO$rh6##}{j){RJA(%jvS8Q)C|KSxfwHn4*ezr@hI;?K6*=gk6>j*>`h+SMt zvzVxs}O1d$?uSs;IX9F=VLuvXkQM z{MS2=p9lN3JrMozSjxVkFkkA^NJ@jMdN?P2tfT1A(a5{f?H}e3MQLO=Bwe9U;|b>c zlsx^h!hkQCm2+q&D~5tWwzEs_CJGe93DD@$*isENkR%OKne@{XVd30{O3_%MEEWsT z7?eR_L}`3zOdSjxXqr|ks>xn+hfG;@fpD*A3@cieU<$QYN)p4&OkxJwsuRYJD)$x{kMPpy9vC#pSxBEsqywrADxu+NN8hQ2FOP<+|0*@2 zhJyz*Cp{xLei+oJ0UaB87+ghIE4#- z#2ma4N~A#;G&6wL*Z>3206sLt;-Pyaq8o?kq=x8PGFF(t;^AwC5baa}N^`?{ct=cK zG!Y=t1e-o*5j}-iQf7%13@i)w_hcr+6f(_<#EXlO=0^C_%%L(q8b|I2;Nt)b)>mCRKP$Fm0|4E!(XQra{i zpb6uUP3QwbBgdW@B-hC!6rXqxQu3g1^Mq09JxmrJ1@~agl4rmewkZn(SHcyj&Ljhb zVnnu6qO(wK_!|RIjv1x|4GJ*NVp1;~n$sxq;TQm!(8U@)lh6t=5z6gE>&U z%nJnBI3~P9PKLlj0TA09ipGm$Bmo-f9t@&ls0afcBvY)Y_oPA8h|vT6IJ{W`hy_b| z0Mc<;JPV`gzId#Ty9@WJxL{-vC~jPJxL&YxA9c|t-0k?x60Ws z!-J1Iw;Zl~O51g^;<{+vpwgsrdD6A1*SQaRlhRXJ4Gu4^Tn(2zn)CSfVejeouVFt# zo_KVO8ZR!1-b=SAu#gBlJ>KRS-~#pEBhp`?7!EP~lw=Zx^b&$$G`T#P1`zQMNhkwq zB7hVzJaAxF##5~g0f^s*Zb|qJxCiLL+%O=`ivjdyfgN}lE+Ygq;RFmQxAsU5Kmj;` zdqgx12k;5LWHJ$P2(kzmkR3qnK{CAorNFQ>P=>G$@t}z^_lPhIKujtVEtnXqck5Nt zEsNknMqvNvb7^LrcMtvI-73bWms%CnoiiW1*o{?Tds+m%lt2dp~l`jU)B58q%53VvWDSSZRkE-uvl z_HZhm@N_9`p=)+D&(jMm7ZzFYZk-8qSY}%>*a1s!bu9(GR-U@}Ap!$U;X@5kxfM{R z_fhnhsZ<(DPaX;YFhqtKdM`r{#LC4OwGZOWMJUM*Y)2e5K^lq`V*1krC}cfis6O0> zXTnu+j(pN+ltKHlOT6QYT6`>%+CwT8HH8=_a3lTDL1(-;n+Zk(lgb!H8EHanBDoUm zNrI99rf0sb)R_UeYT1!x3P_xudJrIRA;pC7SiYdzcjexMdbo1fc7xV=Id3ZifLumD ztvDvz{bcyju01UqeN#Rjw=e!#g_75Q9YPw44S%A0Ho?m zQkb{u07V(d9Acp|9WeprP;4Hy9OJ-5j51k-8WdpXq`55>QDzKK08N1!VlWK>?5u1d zkitYvCKN&+CddNO0H3snjD$EuG_WlTKI zn3#X}wCj)c_gf$1ls?5r<##5#58``xg#huEwz2mrp<^Z|n`&8)dz2n6RsX)ef4xeS zc0b6m*R6Hp8|bfN!4hXGo8jFdi znx#T)GZtP_83&O1`$+QA#IRIU42mL%;nK6F_T#w#7T!@P@Od1|*->^e{tP!FmdqGs0O(O7uP+`b$WhC)c7(}5u~Dwmk)KkdXgV-CaSf+ZYjd&7Pb_q#3^@hGB-FU^HYn%{lVnSKuL0( zZZ(D_NA2M@BG~5gu^}SSU76gQnw@id*=?a=dGlz@yQMFR=aQf}vrn0uZwS44vP?(C`GWJ7W5=V;~j* zg;+~3L@9eRK>>X{Kw#oy6Cp@EiHxS|fqJ0LWsC#MG*N&#X=yy*A%pifm^4N6lXk9_ zBNcgk)EzP~HwsH&0!TRy7#EWy?Y@jorR++-H#w!JzrWT-TDe+fGxc(77{A)vQR&Rl ziGrr!*=PFB0`LH3%Env+J&dDNpl}K%k|Gd;DZoy*5EfK8=6iarXyW-}&eP4icbDy) zi9n?HhI@0Ndud&d3<{v()E1i6P0TK(3(r2u;hVT^`1;II^XEyou4Kzwx%zZ26up`a zRA5W+IcHU~022DIb};bCqpB|{5UtK{JyFAYk_ zQTU{PdTY@#1PmeOFje{f?Yc{X0L%l>AV9KGO?n5W*;3B72f9)YQ=%oPRp34R(|j_Y z9Hq7jhX&H+;*8>$lu=2l{BWBX_>yg-$Dm4}=v1aOcBdes_A#(7La81;O=|!GqIpWP z8l%fCr1}ekua*l6y>N3obB7@ET!k~$KA1}%%C}v#0@36F1LxX0he}rr>|DW&>|>`A zxnW)rBE3d4OkTh9!GvAHfvcNt*K^83UVt=Qq??YGuz#Q|iY1Lpq@wpXkIul;nK>d0 z1xHi#EsHy6bV4*&!X^q$>_SSa1y}a(F%A@Msb8^^7Tzo0EH(N~{+WO4I~~mpld*G0 zZ%6XtZC9Y$Vb7QWf8J#eui88wN2lC9XI1uO-A^{*>^Z+u?Nz>Zux|Wp`&Bbvd_!+t zg^*~!3*ScNpt3Q=eMME9=(x6fzt3fw)G7X_(Q`Jb-kIVOK&`}1(40!MaC&n_W@V0a zuet5@Fy%D2=d~t+tCws-><1Hf9M|KcEH>QZKhM7I2uNU!bR7?W z&g(qgpYmMMY~=Zuw7bJsoQa@=+qu1*scX+jqtrbZia48v->c(Xz$H1I|4s2K0oOs%NatdBY$_9WTUKp?=b?)_Euf^eOE)d9PA?`x|Y+xT=h z@>=xD^q!}*IUn&yjW`#x>5WKR+Jmv=a1Y$$+)=ZL7ivo8B0_Sab+$K^o;W5A%eo#+ zq$%7}#U$*hCdm$rx(Z=(QD3ho@mlKZlL#7T4ZTST=~+TF8z)^;D_C6oMjq*Vvnnt*0~1#j~J*axdeSG74#j?g9ABHSWf$_-n))h(%t%sIv6t;kJ^#GSfspaDZlt;o;T&C|hX zT07^d;5)a&1Nmlkx~)^PkFGkac?*sP47Cp`y}NqSiaa-~?s;Ob zQFed0ECxXJ&@)da`qWuz(kmQ@LnI!Cp713z_YOkLw60i=W!wX{I?p7eRDi7CJKfUiblU5F;a^%{m+gc9J*RP&=%pdwKVZGLbNq% zuR{CYF`iYAw}kA^kx}72W1Mmm{^0uTW>K1Own~@&f>qG|YO5FND(R#hL^kcpi9}Mn z^NW;e&76XChdd0)BrMy;Nq*Tf|CnU2nv_8LX|iW}16rd( zIa$ysJ_d71Xb(~CQaNlOCjd}slpFq}WXkEPSe!zPD(jNxqhmES(upvoF%?SE?ibK1s3 zSlb-oU#tDReMIaGN9=p+{*S?Or=px529`({UG%ptdU`1~8)NPSMJu|UPrDxPty_1g zD09GFF!}|rCt|soKX72E11>Rp_%M}NXDhhZjNphnO|HmdGqLcpM4|?hP|u7jMTL67 z1EQ3B3A=xlHE_Bq^9mNE)RH%G2{0BcPZhw*4fEWf z+`mNY0ZWfOtj>ERRZ|?iTc}Bh1sWLK8uQ{A;?s9j;(|vR_hc1NiKv*vDS6yD`bb`f zEr*@A_~V?*x9)`~B6y5f>-U+G8q2Q9EEVhI(Cb>^h`FbXQ?dkMx9g>OO5AB@r8rQ(L;#I zY=Gt?SMcg5b|@WY!OFxkV;GHgrj`LnB#q*XrT|P3ps<)zR~?;ofi*1rfJtFP_a?Em zsAFivPXLGzjCa`O$i;o_U6KgbX>>mlkD~?YH9-iO9e~IHkfa1qZsBp#(NkLcG$%N!8Cp472;;s28?#*%cIPYc z>hUwu#VerLCOx<(l{Q+8#!EYoUCGRmGAx*w`SSJd;wDJjTYPp49Wt|-+r*Qf>{!MP ziRU2s1xG6#Q+h|+5j&#^;~S}dDf&(I)wdrvP7U|v$>#YM%dnJ&pzMNbd0VymS~tUs zVUO%b^?_bCkq0BnI%sVqT6)+VM03R)CCNv27-Lj%JgVF3oeCwLA12Hq%#2Tl-w(RRn{C8&3BO8LlLso6@PG# z4Z*r}ooj~ayk+`3K>+lWcPYQd0*Kr|LoCE3&{?2D-s!qyJFcpEyE(;II~lK-l6U|* zII5h(Gi=SE91WNhlz^h0F({&g{_soXtKQk8kDL!Kj|PQqMrD22Mv5tSx~hL_jab_r zwcevy+l!|#0Ukz4D>ca>@tFA2?Dd%sIUR=msxNJ~8GHC?J-vq?68cC$TwL`!@96&e zD&?(aMOj|7up@QI21#$DX&4j_ZU|z>qN}8d{fPpeNC46FejMUoFr#5Wz|a)SHZWJh z5?Dygunb1R6b9y|C{UvkE?;(*Mr=c~L;%zj+M8&N0m}diaKlHVj6g{eFhDT@Hr)a7 zTR|#?+7Ff@=Cm#kI0b{!ND9Jy2Nvj?t19Kz`t6<7ykSoKwSYNInI4>q65noz*>?^d zvU1!8fqY7k1PmX?YeG%OFM<&TX1S1ijX8rf@3GbzCNF^$=({ zCEPvgXm?X`1$ZCozOXQ1JulKy*tax4TvgfbI5|62Pr_F+dHg#c4D~&El9e%*zkHsi zZ$#~(#y~)WDF%sjA$buP4#GSDFdzu9%o0&F8cq;GA<<%X3=4o9STW3K0MLjAl-L(^Z$xnNowD;PbcuIP5J3TElaSc} zzkmdp5u@pxrV{39x96mN$^85Vr{;AJ#F9-*`m{P5WNMLI&cLDc0T#;jan*n|(-Bzo z6Dyh#tI`U~EAJXtUtYKBO>&+hYo=e9nPfV?e$tz{8k*v^zMK)T>2wh6DdP-*GsN$ZvIeN-9`%Qkr>S#`|fkLrcy43O!Sp|kYzx_w(gy$>8^VNGQ8>{-0UyD zc(8VA=i}|AQz=`!6gr5C6?EH2J(o*~yh}d9nH~-{W1I=8mk(U6;U%U7#DzhD+(eLy zrc73I8wez#A#undi$x@2K!hr>I8qNw66gV9*bb1mFpkR20|KuaXwrZt{T>n78z>Nq zasVJ?^qQ268S ze&wa2*XzZPUO!&Hv+vXUh@sW%mnFFJuNd7ji?Q`p?z*oaRewS1WY~g}1m6`=AG`#g z65W7?VG_hK(O60k9~xk=2;xYr7{OA2M%73CR5L_0z(f)>022e~iJHC=N77bkgjf`E zDG!#2gsRLjjGsX&6c?YRF;6?f0xE)X9>)O?30x!n0FtPLU;s(%lJ4)`%f*ag0|ZGF zM&be}t#|uS{hB7;-RomXtlhgeUG70 z3E|z+0=aBSqC-GjG>%RmtNj!-7!q~v%(sh$2-y$pn>eLY_@W%2`%;K)I`7Zfh)7eP z=-%?^x-+$Zj_aFOAw0~)ha+#nu0Dv-XB5|EnFC2=$P3Q z4Pdgk&B33jLa|9S9F31;Km{QHCd9B=Fc%_l;0l(RJ`7T>n3a-y63HMUO7!4Y2)p#K zV?jzXl^ci!7+ivQNz4>n%7Y9=bk~$D-ORVMJTLN6L&kd~ zUDmzxk{>mw9K;SEkQTV2E6tq{&m_On-(Qga+9SicB2fW;RpLezj$tri0Miz z>B)ex3f|rOY-Hs+u3G?<{by`1cn21KbDB#tQqhtvx~AFW79LuB`<1Xu9gCH8&61%! z_UxpC0!3EMxX^V@R3a`O31=8k`vD4~O(_(Xxwl>qvZ+b02ULE5k>O>iDU{14M9rSYB(A&pa_CUq6W?8f~YZooF^a-N%A0^fk7ekViG~3 zLn(0wPzmqA3n&AG6w(eELNp$QXzEm1cZ=)SUsrh7nal-GXuc^VGE%EBEbp?s>*^d?u2}knybRHD_oUz%VgzQUR{K8WMk2%usJ6=r&Ou6Y?u0^m$ifP(~TozbGrR! z6J3Wh3T;OqhlZEQf*47-CyD$D`MT;5g}37LP#+OMB~tZ)ibN<0p-~S%+`~k&MJx;f z%OD`QA(1I?2NVlY*Z_tGNg!!-a}YwrG6ktoK-9AMKp62k}dzfn)$D5C)gKBOTAY(|JC77ydMMPAsaV**QEhK| zDm{6mcl5d2&7iM84y=Af^a}CQIYq0A%D!uPCizr_YM$S|^W7wp+xkXE?@W+nO zxqx}W)y%GoLVEXRl$%mq>0J+JX{!w7AuYMN6c@X4{R{r-oPb7NaFix61SC^1(TI5l zQW2Wba2iO~nuesROfg)EABB zZ)uLbtI1d18{-K&jFknC6LKvfHjEDD7JM<}B2!5$>E*@AG|Lz*$@tT%NN^by&U> zgTGvnwr5Z;-|39i>rXj_%bM-K5nSy6njk_U$EHsh0z)7EnQ~(xt`D-+AcQPTN91`( zgjxd&_u>F1lDEMj9LY!Hv!cdGqlqBu9zaEig@TQGsBv&CGesIyz6U}{0^C0>C4^-u z5V9P^9Vi~eLQtR}`vl7}|LI3LV9?2=d*+i$Dd)_6GUB)Gy*IQkY#2srhWxH&T{nDv zxv9q3FFf#}(^SsHQR>B0CTm4M)|Tv#a<7MO{^Gl-t##g3dt&-qN!!i*-)rQ1qn~v* zFU%yYyCuKqG1@@@dyO9-tQuVie5~`__S;3|v9$KzYmOE%lEw_JQ%_T|@O*H(bLFI! zUFSPDWlrwEmzkqA3u4h>Z};14-#i)g?8TDxjNPlnN1o@+aC$^1^_7jTFLR!)4WSRl z0}9_0xkb|14U|-bTM*8Ph7o&75-@Nh(8^N*rYxGI2b&9B$3(-iI00_3f{8*3cVZC} z6G`WQdOxitP!L1y=OHEyL0L%N4q_p-3PR;DAFh1#uc>c(Zb6 zU4%o;60u3365`!KOeRtY_`>xYzbhX>NZ1I;b#vBQLFPb@PhI^rlsTS3Ae= zd@NR&+E-#Pp?&0J-kL?bwj3%3A z8#)ZF3pcNR`x-@E`{}UrSznaltoA+ZaS_bG*O}3zXFjj4=04B5uv|OmURI$nQ@Iu; zx-c>m@#@3FwG!S{PSa{}-GfwxW7RHqj%eAb_+J|)@}0-oj-T{9GvS$UfBCrbr@}Vn z)H>?pM!W-yVL(Mh5gO3~G(o_W%|Z(zXzNLWfHKvR8}VZi1GEn>i3l@5k_SYR>MXDV zM=pbrfRK&%7=aO2Ei8&=ne9OSTMo7gcMpRUseqNJOmnybfMI}wnDs0Sz>0~H)U@Un zttA52tRQ4E8lr{~&Thfut@h|k}05d)!5Q7<;5R;>*ng759GFs@iqDnAH+FXY=;k=Z%YjQNL|2+5f5$ zF16mOZsp>lpuS&e%FTY?M9BLmUdq>Gl?I>|<<0u${+WHDv%zC?jXBBA70rwtBKy+; z77V{+B2{}dKs;49hDF5J1z=E+q&XTxo#by}!$?LQu{g+B0vE;~h^%pcbq95tefVm(%oZE@H@0ypLcO+I8Dmx&u_gb1bM}DV1 zcjDhoWW{HvMisM{JDwkxy>FSSd88W~msa!c07N-tJVvon0qw zo)ym3PRH$ZJ{hrcAtZD--u>3&cg`-xFM~K&6xvEog!mqQEO*)*wIhYq?UsRjANS^l z<4U=!n~1rVGMSbx*F|8SKx_00yD8+1ZV&qTP2VYrM4a|rNn6{S>Ka#1zAuAt<#%Q$+pS1-MvCd3XJOKl!oI9ulsoF~Lr$5D2ftdNkv z5{O_08;Jw}eNaFX7QBb-od<{}M5Hnz*C|3QxDxScK?=WszN{z{EN445#-Ml+;g2}M zXn>8lD7uK(gGQoa6mq46b4)V8Mge*Vcd!wG4&XHYAELfI9_p}r`#aOnkTir4nz2Qe zELlcqOo&j(zVEVU%U*-A#Mra1*^^z?EM?y(d$JWVNm72bQZY5v`?MGH3m_eyJb$^k)UW6v;6WvI9qUww{qrJb7zytsXKwxq^vpRhw}07h z;hidJBPYRP^@#@bDyS2H2z;7K(E>R1Cp0w#7sH{|;7BYB$jcxCj1!>7$3O@oD}({r zT$=)S)h4gg~8;T z%Crno95$mLM~ue;6~}&tD3n%Wkj~isU*iu4re8B;O)*u%6X7Uez)63ub>*!A2*K6@ z`LywvQ|mQ0H@Vv9vD|~RsmkKr_@1khFV^RPfANdmJ+^>xyAk>k9*H7427)*!>_C`h z;-MuxNK}dd?FXpLjI@9}rlRczc^8iYF$b&{oCMy@LDmMY4uz2DZtm3alzIn{vKHs*XfMH7zlzVzN{UZ9<21$4V$uctlIBa-!RUUbGdxS zk}fx|YItxa6;-ZHvfuE@IhJlHn|>MTnu#3?wV%keO0-JEfOk&!q-w*|#F20WAU0sV zG)P=(8iY$y0}WeZI3kP=rUJ4jJTVk12?L&TfECejwaN$4I6B5!5(+{<-BdPxyf7*b z;FV+r#zJZc{Ne#-LlC=gt6~f!)~5_yi9~2y060&HXf=FYw?I)M;l7C1RQ)&C4Y9er z)_$9xKaIDh_qJ+%8lxE3NSl=Ic4u$R)V$aio^lTsgLh4@efyg}k;`w^bUFLMdQ^On zXxl-TrB-n}7)%;5!;EtAjR^75LP@Y>{g#yM_bii{}qRqJwh-* zWFe0YERFl;bM;#q42PPQuP=2d#4E|>O6w2oZ4ZgsXY%P(UEmdB3TLbLZ4b2l;&hl! zlgoeS!AJYaUK>;>2Z2?aU}udK1A)MSQ`8MG2wVvy&P2c@!fUyS+yHkYz+FM<^ntTL z(1{WPPQg_`JtqNo7clOFMEL-T7j}w(x)EU{25`9`P>^C6!QX(s7P2`*M5@3*#yKkp zst*KQUr@9x5~4$)V5h9AP1*z-9waZ9H3f`)c(5}O@XBVR!Oh!WDrqHwt+dPRc+`9K z=;-%VtuNwTmv)cF;w(D$tIuVdHOu>$n|C&UShld}_}aF7{bQ@wzK2%t8hNxmGgFFq zD&Cln)NV3ReQ+3p**xq<5v6wj=;s^ojmz^~D-{ci2Kt-P`+Ilnv(Gw~FQj+~NxoW9 z(3~r3{aW41bFO$%DY~b3k48G7j;DiTp3g-x)?e^IdB0uZ~=m{1mp;GZFk~{?F0dX zlzKV!%Yh3Huu5o*RUs|NWWcjS1>@t|x)NOy1ga@q=&DMT(TU`OwAkjKCNl_~FUj~bx_nNZ$! zMz&h=t@?l1-s$}I@8-ufht@Bx0@FXwS!$ijr6{`d)0znM3g2>=f8lub=1Rkt8q1T; z_x{n&TEN^TLqrvGjx`ku*7jL;XQpa*drhTsjrX~6>eN9lZ}!dw&854{+c{$!zhBV( z%lvv6@6Eo~?tyN4`AZmMR3I#A_vO!$@B6IrcP+MZnxwsUVcCzs6OTa_h@eqG8^w`M zpiU?a0*R9aHwglHg^ITP1M(RS5*7hUuxv39aZXAVy#UDHL`Y2y0;L)*77FNmL1&P- zxKD8A|8UtDNcn`oM!$xM>7uMvSXJx^Cv=SgLm&Dz69GdFse#j1^*lketH9Zf$BAt` z?+I7Pll;6?N5gx@fw2{Bw&qg_TI7kb-JH{Rlje_?ZYTs?$_{Y}K-LF+Zl+wq3Y@=jNt7ntXj6^w_hh_=iJ|CqvN+v|o={90RQKK^*| zLSS-FS5U|Jv?TA>_T@N_JAs`UFTF)28ips{g~v0fvciNJVE2J3mz5WU3<*47MJG%O zBUtYUjtz#yNG^y?>4h$ zYPPMas&T66s`{4c+J|rd5-0<)ogUVkbC$lJ@+{6^YOD@-(syO!gPS-dzjco1@qKV! z?6|km(Jb=oKTt{Vj^1jG9W*m6WT5A}!k*>80+Z$*Zo3OSmPQDR3cS~5pMQcbOV?;iCW4 z;(IfDHvdm+k~TOZ4-^r~4Q?h3_%Q(mgJb{*0uQv32eb@*Ob;M5#FQ8cObJFxKo0`R z7HBA7MuAog0Z1E&)e3?D#Ra$+xFFL@P+oAr?hE}lJHiMs;E)YN0I{wc!n}pk;jX+u z0p{U^00;0BfG%pL2g1(v&z--#xaSh7VNxdQVYatHYGyAkkyc$WdGG#--EQ7{KzPqr z;b2Q)=gdfQf0tzScKcSfhwPRNc11C`flQwL+j0Hxu`HeAr9AAi>?ip}7BwTWl0H(s zv7~Fzxx0Q7c{M&DWa{zx9OEr=E%k!;SW9dY;V)U%yeEA_0=(MaA5F0fN8*B#n+z%*CjmDfIjmTMWUMQ7fXIyO1%<=M^_X#J4)5@wb;o^aq1_yN! zka8$qK3doyfQd%EOwQM664CHVB~WJ(U^wG63gkc>A}sL~L_p$zq5|_I; zt!MnL&1dyDsE}`q_(&VoI>e1o$pdoRx8d<>aa(0yb^|Bxk;J`?_B%Zj9bM-3n&GDX z4|1^eO7-C^^kail(zl8fy6*k%_zslnhrU13LQMXyo#(ycc$O8%9I9_pHN9lEZyxaZ zviW09o5fl9o^5N=q}`x*fU$+CcA8s&kJE$V+q!kJbmg1Df8&1?ZO5J-xi(C`UM&1B z#z+j0rxzH4Kt~T30H7IDJ8r{{~vtu$fXEOZ+ak{QxPjC7*b zxRS6zr|#i;hn(Q2*0Dw}UDwH$o8OLa&U^#m)(gH%UI?Aa6J^f$x>~@bO$*nV;$1wT zymjCEb5PaY>PhOkUi{vjP5P(H9ie;;JDaf@35m%*dqCT2PF3ysz1bYmpOa2V^UTbV zAGIqYiQyO`Zb7e6))7U|5a=VNmxL!s)O0BtrgjZbp2-M-H+*mvThf(8lny~G9O4E? z0W>0Ll@mD$KoTPGP>08&a0Fgp6+s}hr%!}@93d2l4G1R037jKZs{)psiUtW}y7N4= zvIQ)&GX!3`45dC891ev8@)Tw?eZ@?%Z2G;Ij-xy!JfM3JSISZ`V1nnz{+3{w% z=gRVLPO+a;+~;U}mTkY_Dw7u#{7q}QG$sG;)%8h@^yl_=>%|0JbO8tX`c)Y>)gRT{ zVRq{o_Ks&IbTS_#hSTABfuc`MB~8>lkL2VN)kP}ZQlSBP0=Qi4F?a?wFgL)20OTAF zp`D1PfeQesAsTeFzy-_$I1VLXKF3m_(R509sHPjN;Mu zw1ag8()F{f4KK=f;_C)(lDjyE>P-D&U$|86aQy6$np)k>@m+IIH%+mhC>ng4N-NMm z_{D#}dqu%v)RHZQ4cnLeE;LOTbX`Txv=465Vqx06FmA?z+FMMBLWrmo4TznaDD57= zQk=ZDO5lQj4O}o*bfBS*7AOKI2u1)346#*|l_ybY^t`ZCI1T10@jClZkG@PJg@*?o{=X$Lu={pSr@}G-=qg+y4TEwEi zm5?Nyq#>X@ZhlHwEmbmwG1^O*{S4+~qNMfx3A?e>tz5;ZNsD|tkb8}DgB{6JQFF3k zDh?c1sI_S$?*;i!>@d%>C$F?F9PT~*ds&9E*>m)l^YD?p+;wC6phxMIJ$}`XjOIk- z%!T@2@CgYr;n!q0{r7A9XWYe;KzOE3Nli+gp<)e3nQPof09FFB0m3x`b{ciksuK}R zXbd$Aza|beC}Jw`IshO~)D)#?C7jZ00lXkmKpClq1Eep&RWLY`7lu?Zh*lFk4JsQb z_y`D~1|};&e*i%NP$@K2&}&foAzDZx3|vJG?K)`6bz5v&Hrjmb*RKulsdI30I?G`O2YpiUCP2{?MN=9ZvDfTO}lK-K~P2}B(S*t6R+v(PD! zudE*cHkeh%z1HtR;8p41b%h_V`mslq-Zrn?&1Gb_@9QKd+`Xi{B`=g9@(t_xZhi50 zV>1O5_p!S0S6^@33?Xf*^erx{_sDx>C+M$Ol&o~#b=0yD|L~F0j=jc_L3+xQrf!#J zyuI`&{Vi958R)|~Zsa$|cpc+L6tis=eHvn}li zDT{1%^Zx!V{c7pM{XP{tL5VZA8mV}uM4f8Mi(5yFE>d#hi4GL3WVQpbHAC$6#1}ME zEeuF0kl1T5aEKGY0ojg7KzF0K!K4rhb?Q6@0dc=3=t5p7Z7}8pkmZRO4xl4125BG* zA_qhlh?jt;l?Z)b>8ZwmJRxnLL8?rEY(g@G3E%{+jqn2NH^Fy;m58(`0>K$1JOYwx z@HP0xr%Nt!CJzVWX8A7zwzhp@q()q5?@Pe;uW#KORZ`3G3U_PBbK`+znHyOFN58v5 zmVR_DBQ^fYqZU2bv=SLRu7BMcUX8P0%F zL`W4XUg4NlnyFw4xRGZUq6uEEw1W+#T2w0$@^~9V-|n1~wM$M0h+zhXIrn z9*3kxT^WObhy^K~1|BT}7+6FR0bs{NU?vg_vw`D%LO`H&8T75d0S2x%kOl-?j3;NB z?p%DHE#sF`<|&9Bb;J5JQ?qaJPWyeF{uybTxi&Jo%(XKwW44p*|GjNwVf)dxCzlKx zIc0nQ@~h?~|AtY|y)Kupd7ZfqZAX3yTp^TIqs$4;G`!KZv502HS6$Ecqso@R4^G6C zu6Hr7f1xxMFG~&NEp7?J=`xS!9H%1N-dq)oCn_tACK~5Xv|;7NuZ6PVu6x}0P}V7)*8xTg$Oj;9Kz}w6M^1ouMeU@J;%v>G5AV5F%5gXj7_#xryEcNaeJ_(&$+i|wO> zZrde;zwZ0r6V5>!tqW99$TtqFpAOx-H2(Lv5it>Q{`n~@BM&1T;biPqoXTLTaMsw1 z8TTCr?AI-2z0}x}C+=mcSw*(#*KExlGTCL7rLe+++SK$7soC$={F~+CpQI^e=GfQS z4RU2ffL?_Mu#+Hn0Y?h~7!VMU8pFvbD=!n86@~;fF1WH`)XFiSNHWrbgvJ4ZXacbAFI5;I(*dmrf*T4ujV3^!nBwq= zQ^4JTW8E_uoB2H66O!{ey~FaFEzk9Rj>GwzY4J_WF7h{u*j*{@-_hlZWXaTdZht}?&+T$ ze{U3oD3+g`HY7f01-%>OA3NhGc0eXKlXL4_%^gJE&*3PovqD%pR}F(S{zGrusjKWc z)#-WtbwXD)Mj+?<3Y0b^?Qq$YT*^G&{A`V1Vvm%5U7IBK8fI0*Lwk~sz(5}1#9MGu z2WX4|2@3iTNUQ)@8m#faiEy3;gbNG`QH2psp>$E)1RgM;1$YNlkPyW|T?Vo}1l^<+ z1K)&#Vv!6uW_xQ;G+;oP2Q)Jte1jE$`OknUu^SPVWNZbRP@uRnX?;1Sp7(e6#yZN) z7kJLZYG~;8+59G(=RL&E=H{3FwlrV6;Jjy6XQ=tpuUe{~-y`1Ne-AtGcysQ#&t^(# zv*bXq>_)&YhK=9b2{IcidA@nB=-_h0{C}?|Qpt8CIFBroD}Im_C>u9^uk4x)i{PE) z<((Q%Q~7g>87)f-*&%B|B|QzLSKBs@8vO#^Xg-~Cm=aOpMY>q|>aOzFXGv%-u5JiB zbc1E{K4|C-)PsV7{yADeg+mvz0jgyf5je)EnfPp@#k!#f2#B@P%I1wK$W_ouUeY0g z?2aOWb9ln>0I3g64HirQ`H28{cGzqmVUO55@h0fr?T(b8XNyZ@24B1uhZfM z;qh=fSRV})2Lw2*P>{hH@UR#lRh__7YJkyzQ4j*YII(b;x8n{2p}?46U>X5%fr>MrqcF2C`RGUd54o+IZHXwK3L zlXTrzOo{7%8K9RY871{3o1`arTAF#*K8k%Tqf@ffBWIWG6yOZxV3FV!0VxKgA)r&~ z^n&w2C_#T>LBesMx}5?NI3A@m2*Ve+5&^pZ?@s|x6zFIHfr<(P@*M%}bwHpzRhdr3 zq6p{@=#z|245PADMlfMOS%io%&>ul3d>3eyK^NEy4Oak|%bj@dlIiTWkW99>_@H<^ z_*3s>&o63O{{p{(nRhv5n7`9C>^ z-F0W)DRt!>yB}X!r;(di1Nw zNV>tNO2%$UUhELfX}iLB17dI%gdbHu%YqQtv@^vXv;0e#vFHMt<^RM36_x6>y{aHH z?{LIbS2X>8-@za|r=NYxp6jqDn1An6$AeP!2es2e+!2|Q^8bXc-PZK%c66HkSY3IR z*RJ0#^Ik5=NYDxo6~&0Xe1m)qwAw@^0xnS}OjuyrO1qp9`1C+m6N=*HsI*}wTH^(n zz+o>5i$)Q3)IqfYr(YE`^=Kw;@M&sfG0KW?f<$eY>2QfK7BGHrR8Fmtf zYhEa?I-n?_tN^>Oi@<=^15_OFsD`=-nlrTh=u=4`KLQdLkk$lk0vf}8CI#e*XQ15x zbtoK6F~GqekhBB9y-#(bUP^?48EhDf79J!B2zcjEr=pecVugvPV&Gt^I1BK4bF7DjBk$y)b3JaFSC9>M|3fTPm*7)^!YJUI!ofRV1b!RQ;-IlBY zs=qVFf&p)AINLj^`iib@|Mu8q!BEAZ5Hrh@@<@}PY%VURZ(TYY?{JSHHW6X{mQG-r zx)ka`;^>srKr;oZrWFE@@T5X2a{$PzM1T;PcqE<*rv@Shnq1H|pja7!vJa>KuOx4Dd6OC$0%jRvgr|E)JD??unz+bXh(vQ3bI!SB-0ajI1xjAg$9nIokD1X z989}jWHP%io!P#`B^us!wOA$~xbBB9>%P7Bf{?wx`_b~UNAOn9Am7sHAK>hw9R3^q zDtoY7Bg5A!_3hEWcYltZE_2nmJ>rsF{oo+^d+YP1XDdppD^L8}-$bZf9VGCPT7Cov zdRSUcb>?DP%7KC z-lbt|W;0yVGVQU{TrNohMUsOJvOD7^!3dAdvq;do!v$&JK>9$6wTVLj%>uV}&nZ3( zgdld=6Xi?yHtGNu<;(s6o zJFUgDRT82;VEND;?A8X|5sRW@VUWYX*ve4*Kkv#Bg{hS}!Ve3XDB*bEvUK!-AN}$D z>;3gVkVcu|^*u%G>Z7YyTFd(~p?DTE@!-J6cO9peYo7i8kagRZ4PEF6!4|9wMrTF} z>SVFk*Kd;UT^S9^3sR8utc?43Yeb*cK;KaRp?K<5$F%C&m;9>6?eiB>2iaBb>Ia}R~`Yg^;M*uX8OSSkqYkHI0h>FK}@Cjz7b z;o-o?g$J#^6+#=xA_y2Yqyqj8gRnFkAMzcQSLOd-5)*65`lxPhX1V1*Ki3r9s zx(VS3ZCD`~HHY!nN%`j9HEv({O39t7$bCgq>8NR8Ozx0KI!wPhI@zD#9@;_Q@?h_i z-=o%s^|_(of1cK@hc`Sd&J9&dCggkzIkT^zX!(Hxs`JFvBJ%Ebz+L2Sz>n75`-s6b zgo7)c9oNy^=b*<${|f^Fsg7B1-Q43pOq$A-QgYFwwPfmlA(EHLY>kTyx}KNOGu}#X zF5!ptn!1U+SNsBE{kA)6z)x(FY^~3!VvB+sp-6ZHjKdrwVdch+NKAqQ-w3#&ppd@= z#|pHm#7bU%ZP2|M0B8FN4XFZy#E>XuCL)t30MiC0c%LeQ)7+9a|MuV#$>8kK4`i5`+Sqk zFX-U12rol3a`}PJk9VB)ToNy@C5a|C`+kN&j z$C*_fU%?`t(NQf`Eq-nIbE)-cp~~Q3Z>)3(=N0?cxWtG;ZNXRu6%MeH5p1~Zf5yVg zM2s-i!{7xmY8;`!-~tsv+%e*(AZ>U=Euc;Cq+%E6GrUH;$XaJL=Hb~>SVAF3K^mbq zs?k~v#S$%m7hu*){oHc~!8^&q3@@0E2{l%`!U%y@XA;m37-5voYF(rm7zz)hA^5TJJmGy_d z!u3vIV zigSIb6m6nF%cIOv!Da-BFjd5)xk0hkM#U=hw{i3;%C*HnKBhv`4#M;|a?f8;W{FRH zg4(ELM!(gMuoH$~>D4(Sp#xSLci%6FV0RWkuOhOi1ArG`^fq7P>@`d<51gX)$B_n=Sv?{jlMkF~(Y z0{Ip2G?W_6hu;xG;(KHv1%>}WR|EC~L>h)#{EpUwJ{zw#N}0qNGxaG;&^Mfw*v-}* z*RlF24c}Bzdox6wmC7JTW5E(J>gS(Sj<_Uw0>Gu_M&Hp5Z6x3-nbD zC_)ztUwZBMIycj5e>z117IggN@`Iro{CdFl2Xp~1{!PXZqhyKq!E)7S^-v%n*?Xa3{q+jf& zw8uI8Ywhy1$x{(})qolEx7T+lnN{3N*Y8tnRKGtR2Yo8lf0}L- z9!3~RcT}dl9rZMwU3~vBo4sS@dWTqmhqQ*eK5Be=|6;t26zlAOOwMGZ`b!P|`!RJz zI4`X?#bNzoA8uE?aeMB{m{=SAHp1v8S1#8xYop9rto_U<_NU5HYN2PNWuNLMy^(T~ z&|%QL-yT5-OVz5ZsAfopr?IoV#*Oiz3cDvb*qDs8b(6SawUej}^|_P0OjxKypDWLv6AvrcT+Q$pK@J4xd!H zY2=DVZyHP7h78)mv>_^Ceiml%*n`s$8~0n07xXl?|F)_cE=>}>LG;l@1tXjJ z5U5YRtPWcU)wb`4y-9*!7!}`t3S&eiGtAOdMgV&!)w{?$p3kh6df-{rgtCJplOx`@2n9<^Qn=O_1 z@Mf7Q^2f0+Vq=Ffx90~mP20N1Z7eT(=eT%vTPfUHw^98w_0oR^8G4xRMIDSQN^ z@~fdaaphVk6*Z|?7j!ci0Y~~ zFLwNv^!MZB*c|fA)B3dg`|9M@%Z_X9AAuqA&mSqXUaZnQLX?9qlQQG7@bhZJ<_Vs) zOelNv%H{O=vpNjokRSq$&`9CYjAbexnQ|YvA!6{Y+<>*`qG-nQKKVjV1zl#A`GZZp z+49)Pmk##onPr78iu!WcW`zp@pXD6hyJLC{WmF7X#BVP z8A-7vA(u1$A3OYe+Xl{OYWU1&U(bE+1zbCIY-kNW*C|!I7|=^i_F~`yZMSulhm|;Z z0TnDUQxcK!bS6;Hs0WI0P7}GOH%%Ji{*d*4sPfGjgRk!mO=hSyus8`AQ**^s6Bgg{75oE!-+H^*l%OlQprH?SLT z{?B`B>VhP-6Jx0}Oos|%#P-BJU5CR>hBM&UJm9X#*7xx#4(P?f^>eorO{2_M+Z=5t z?aiK9xaXO^tgrp(_3Ezf+$D|yzZAKS%hi5=*&_dvgDz{WZ%+THe@3t2JId zMD%SsVGAMly?-Isyp`Y29{O*$ec#NS@Z1_*Gihp>J=Cx@xtM>~`^`Nmt6qt5kNi6} z-ixiFU2L8ax8=B%gE!h9${D0{zmJ1%@St5Mj!dnqTbb;nYp zEZg6E;K7>Q&S&t1wz&a$wy&>7g$Vj8k_BHN2TI}KSz?7>O3~H z_;fZN{^e_nPFGF2aKljuRY-Pe|MzHp!Nw@)l5zW1Zc5&ITzku(AU`v~2r9D7^ACT; zSN6xUTHmb{1_U}VCs(HQcE}%uc-(d1kwv8h*)JKlkw)!5@Qv8Tp{#mY@0$Qw24q=C z{Wh+*Tm%jQDV^_{g5fh(v|muT`*>Y>wQEm-s)vH8 z8A@c`gY%1#DJn)S4BW+Ig>AYVbRj-djknb#QgaPlMYAg7VB(h+vKGY0Jo zHHz8p-e*PS94VaFgPiC4wK{F3#=mA#@s;V6o$4DLH-4@a_)|eJ*U49AD!^;8$>nVH z9p|m+#c$YS?APxf<$|v&{cMo`z5Dn^u9pJW;kV6=e}h`FMQkn*d@ar;&=h; zYSFW_GUXk7ZeW9BS3jC0A**4%A-5Ft4-|3mnxm2fIxflkwH#E9Pd_7hL3nC~pvd2g zD>(`ro7*IR9{N*q9xL+y@-E7^=Pz`3_gcm4lxmqvHZLyvJh8?;(wZf+M%c`62j*js zj@>HOW?wlLY)J=Dx*D7$`{WMTX67?h8aNbNcMTqnJa>_BsU>Sw-xT$$Sh5}CICRg> z%Pf;C?w3~mCTF3!tQv-T1BM6F29fxR zbP14w=>>a(DyeD-x;mE0`K;Tbjr^nS6&r8TN_s0a2Z_S!_Gcn!U&dYDgzUI)(c)93{w3WOh;Y&M+&oPly9N* zhNp2NX^cO${idr!Oh2wlw>_@aw=snqlT0mcvM$;@>!1`RECdMHP#EwA>zWt1t1j^-%^p^i z%C9aL4KtV9Ctc#2WorjDbIty&NPNsQEfZ-HA90LVZV~Pi3W!b~P0ZF@9I3L+&hREr zAJdc-QwiLW@tH%WQncuv3oI;OjGW>2w9=V+Ki!&h zOV`yC*fkrd1%#aL+HI11u=@)Rt3zKqj`j`gq?#Q?E~IPT2^wtr>FFDwa3qv-TpbeF z(KzYdy6+Yx?qbH*?q0&sCGX)jBv{%$#;$J-&O@4C*8LeNnYM^ICxB5xsZA;tJ z`4kpJi?Yh=G6@=lrwW95q6XJD7z|Gx^3;aIg_U(fm99W20XjUQSSPxY2Cb@XP>z0s z(s_BuL%ctb?qN-W%WzuU?v&q;pT{e(tLpK=-xSP6JT-Tv% z3zC+>wuxj!BC@SDy;)qCe$u!58&?Lq$bM~e40vAaVwZhU?wsiFb5e4NS)G`<^wU#$ zhjKgD?`Y(E1nqvAL{03IqaLpLnNGi7kJPlwR8LMmG zRAMA%gL{4(&@ngxBsv?=v89+w5$TUKf-V*|Y+u)TP!ZHL#yKWNNaiTNDxs>{d1J&q zBP+V>XR#`RQt(XbUMs?Y)ImaNx5Tav-X*K|iVV!=0~BFjjDF^PWpRXea#&O=rgD;2 zfQg6Z%5Wh@n;89!sgig__Mh3&zen*xoLM#T>1Fa;Js};ROMT_*&5z`Ln-zpAx507( z&-Xs|F9d~KT=LvC%^a0_I1)sC!IK1#`15X3E+)+^HpOD@R?qK?b7!FjyD7k>$jXNA zSF%2Hn#*BGL8h#06KtS=dlK~xxjzG)E$TJnX=ZlMlJRvaJV@CdH|sQ8i2L*UdUm#! z<|rk7?9FWb*=Wt!$Y+HygE3^f6a{MSpzW2C}fY zn~3dzTczW?>!~b{{FE~nFhP$pN9;+yyYxXft)<>=UEE&%F&vt^)!=<>x0N%VL96eo ztj{>Y$$)Pud|ImHtYhHg@EW*5y6;c9fFi))5>Uj$DZlFH-KEG)wy?A3 zGJrFUUV;&bO}nQgPjm1jNZRE+pV|zO{POdpLOdpaK055o(h}BtSt?e{1ZRlg@bqzR zA&aMFbls{=u-$BM^sDrCvvzP3GFg69SI<~GT)4+kY3y5985wO_R(3!x@)-~IYL|Ps z6ktD8G@udqqkOiy#?ODiP-=WFsIz^yJ}FeqrE2~v&MMQDGL-TnVxVNvFKX`k7WSKA z*=Dw|RU(mJISa2Gjdz0Kz~KS=et=hB%vR;&Po1&0!+@Vl*eY3!^bgULVfhY$=GKM9 z#<=$uTtN6 z&^a;_M|rH!d_(HjkypT{ujR&mnvp+@47h1%nHS_1+Z>_3a4Iw#Ch38j4h!E+O?B1^Sx9y{KQ&5YL5vjsmGy#9$5O_83YR!5vesD}Z! z#Jp)<`{89wq`g%(Cq=frm)h6NHqqO}rQp1f%XH9P<;{ySvEKua>Hj@e+Ubd3TbcN) z7&Ip8$h1}0qeL#bK2YYn-qJG6I-PY?+MJU;Lka0@{dQHjLyALg*W&Ii$A!GTiGj3_ zDm!A0HEQZeW1z_HQfp`{_k{^x33BWq33Nc!vc-xYG- zvJN}GZ;E;uj=bL!ZJl!rShmR9SxCPb(AMV9mDA?re;o96#cF%RHLc#|wO=KR>>aKI zElbN4k&Mq}Ba=HavX5mRTW{vy*(9}!wC>5f3~L%SC@8GeD5h(8Jq)(-+jHH}sLein z)PCr0A8_=@k}_LlFY`5*^I!V{{pN^?a3WQ6CwO0x}N`2qT^j zFEFnQ>^!OTQOp;1Vg2xZwwhlm$6?9JCs&11$IyBI^?L3lg(dfW zNxfeoOekp;WBaU6^6s8RcIE!uh2pB)M-9dkwOaJea}IuV z*S!DyY=7m{n77p|YME}4ZdUUisAJ&m1-~f~F>v4wLKh*mY_op+#@@}|k@%*tEIPIH zK}KINd}94NIr7Ks4H3VKo8J~bcCnk`n#ECo6G_voj7c(|!`nSSY0XU#&| z1ZTRu#K8u~(bqr)&KlFXd}oHc8J;Cocj-Gj78Fyb^7PWSxB}t=M)Wqmcuy@IfB)R* zIyAp)cCc63UFxwUw^raSG(NI-OJUYEH>9hEtB;hZ{)Ak^@VzrnMzmFNMaKK5qW$}m z1qHe&2w0euSz~a_HIixHk9X}A*4w{DaUTB%@`(JGb6)PFIe2PXo-g^wEbV>=r^lV3 zt&D(koUN)-o*F@S;=3;Btnb^~md?q4m+VYmT7P%kVjeZ7s6OO4tl?KWE16fd9AtaP zyuH=iLAT|7p5IiwOZxSA2`^3Cu7jVhF62M$d?Q?q`+h4H8Km8efwW%^^w_0|t-R`7 zNB3jRwSi!c@BX`}?)p#2wUYU41 zM*p_cduoZ2#71UqElQqj{PiAkYgj<_ay*H5WTSqxJIihIBs)$3W?U8LfA)LQ;$hyC zcB9Sa%v!Ry-Fx?U0sB=P`7%L`_7lry>t<7qXZ<$z0wmnMrOf^+&<)fatlo}*Iq5v; z1q~1aSQ9|U3p@^x4aAEkUWxO#q{{EzzB4?gCU~XoM+cV9;*q8M?DY+=J`1gC7Bk`6 zt$AN*(o-pl#+Yp&X{@>}aJ-em5GlXZVH!9d;(eH})&3A6AYUXmkghJZ?7_L*;3_q6 zS!!c`(?XOhZ>-ZaTT?2B%kT9EyXu|UHrt298Ll&!!s>J5QV$h^kGR}(_BUUO zz1s=go0lp}kQm<<9IujTKa{)9Z=ktVbvgf8UvsD2xmy<>9F+}W<&P}dM7HMSe7`OQ zJbFZO%I$YbyWOwo*K^#m51xyDPH^K-Yk=Rm3uV8XQwAPstnV>#UTqKITC_|tn^WZM z3Yu}TIQL=w^U5vrNWV{u4NLpWMPp>L{{u~fQ__Tphvxr&3If5kr^YZEc&#C(t1 zd|r6-yAGgH{FWQZGec$~dUO?#x?kvkW$RZn0VSnmB zA2$8SwrKXd(3Wb5K@BW$Nlu~^6DP<}7>fnGf z)6GM=z85B2?>}g%bYPZ;Q1x0|S82$lMTTu#@h!YI?20CDE!tf>i>C74k3?L-dMF;z zXZ36NhqUlb7zd{w}K4nCklsXy3g;dC^1C?n6E(rUimHofCVmb;z)WVw8V zEJp5WCWj;#&)j+78{HG7KDL+7C11QdZ=Uzt&u?iWMMU?T_ba{U@mNbg%J0e7KiS-Lm}1RHmyaFt3&+(x z+ubJ_YI4diYbCA$uTb{S5T!5255QZfFmN}calte*hFANZeqyC|Ce}{K*;YK^ZXrrP zcqCR%L@J_Wy~HHe_^DQ8UA|X@O=_6Y~Vwo59~&D+te^UNjsuawpDJPo1@>s9XtwU_WcHVdT&U>~Uq+((1rPX^hhO$b2U#bUfg6)MzFyJ`K$g zkj>k2p!NG-+QDBCil}t2?Y*cw8LSx*eAHrsF$6qs1HXi?kofbMK4?9wl_>Q&(%K>8 zr=zxX;JAG+gA=2241evY>>SC0-g{Evoa*BEf?@;5Zi=86_q$*NV%Cd`W11bd3^`-< zQq*>A#!h3+#=}i`8chM<)(HiJCJ5jG0}Cv{nmurvzTrhd@^fiGo!aXXr^PHVQ|{QqI;T>P2--~W#gl8BsgKBb&3 zhdJetLlH?ioAXo)F{h15aw=zXm}4d6xE$xKayGVDnX}|DGl$qDq|fj5{@#B7!k&A+ zuIqVSkH_OK`lbyE&U`mh>`>@ZlKj$P58FCUB(B8CigznEN?{;Z?x9`roh2!~}T2;{ewQ z3s**r#CyTmnBd0$Dn2Vv85kt^ni&`_0dCE6Y#A~N8JQmM->|)AGBQ-J0uHM->!Kq6 zd!vVjuN~zLbFTUlSL}4BANwpm8T)QL;%M<=qTF6cP*O5F5C^dq`K^84x)M2UW3Hu! zt}hnXNDbb(M0G(HP;xsWs~dDU;mhrRy3cIbI4o5r61WRESGwsFg!5B;j@$FO>afpELD!M2roASXNcu_0m&FG%=yrlo%ur<>g^E{MNyZZUn*uB`h zfSsncQJ-mcta|GCfK{^QZ>VznV{_X*`wwJ&9oq&!ey9_~f*w`Y z`F9a-zzxRUkJMHvk4#ks^Imc4aBhM$YTOn=D>j-ie|-MomRySmtHk70K8rdIc81$( zOl*vA7>s0%EVRo2{XB!j2R>$JsSGJDZos}MmBS$O>HZ7DyD^@ud{RL0nyQ)97a2a_ zHDt&w8&Z}b0W=6OLw3ht2}VV!4}8X-0Lz-~z=-FpVVb?ftWu47mP}2E(@VbV_c%>& zdSqI~=iDQffdCXH54o%9r$|I+Y%Rlp4;xE@w623o!8dI)$!2QF_l?eqz*XZn{8QykZ6OOjf>o`=Q3ePg2#_vIaZSx-lek z+?%@VUZ(yJ)yQ9%q?kTifSoc!dr0O}X2>S{#HhV?G8$e}@vOjjZaOkPxX#N*Rw(Ldo_d67 zlW)>+F$+pAQLAKRkbf_D&+weCxobj;jZWj4l(qm14_-M*78#BHSr5s5+XSI}?LI&L zT*|JfL0A=`N$H`w6u2J|e^}LDadJZx~^a{-HEWvrEs`lgCngh)# zIL*oXb-_IJy{FOZi^CiYR__fZv*yVU(E>V?I7P**eweye53bj3z5Y;VEcm5i>?vmHX# zyG6ka?nHka;gN`uWb7c>l${{2qri7Nj);l@k!xLtBPEIm#Y^K>Lw86@l!FujO$jH% zDvpbn;%{BoipHWAST;3qp_5b{?+Zm!M<|2)`_z`f^p{5~VFyzcPhMat5D!qs2aVx@c=eX7g1ZyVi~f1|=}EaUr-qSO%H)~@kk@#<|H zOU2|08(!r76j?#)6=#g?-FpvezPG#qcukLlHAi4N{VjPSt%{9{-8x*wnhS1eTGO;g z*zurl8>J)Z!7ISNRSXF^{`7e2wraoSA?iZ(4i4E=_GYkUzJsP0x$L=K@TUVRG!`$o z(RP3$qnCtu!4iTg^5wB{chA+)_4OCgnDUQH`l-Xk8mSu*zrQVs7g5O$z%u3)$2Jo8ZImA>@i+M&C~ijX;s*;jEdP)j5Tv zJ1;%N9yys{;B^xPIVvs)ur<|qD}PgR*1}4 zf}KlABr!0Tw~&j~qPpLVo6(WkKjfg39-GuR6+qNxR6O!(?s>v&FfCV{|c7EC;^x^G*au{QW17^{Biq|9+kE;lpTqG*ctk{b?}L)Nc?Q^ z4V&;bd`Ekovd-pFz+(7?2wL6WR2a(`tC^0NoBtG|c+Yrjl}FIb!os+bTW9K|5<4kw z5A;gV1z6@QTG!>(f&0kZ*Zltcu)c6wz_e+70rPe@VQ|H&F@@(JoF6@gwOg{DVO9W@Jn?v8OPU$JYA`g6nb&p27k`m49v1X?cRR?5Gd5LE`F1>j)HA!3C#dSRKd7IG{d%l2 zu`46V7Il(tp5cN?(Pm+hz0Z&|e%!OXPK_cji&AABt}$ArbKOOH2?=u=^|U-|}K z-txB?^EBEDC#gA{7dbx(^D!tvKfcmvT`cMCecMi^PPqg=5t|PcL&1xVgA4XxMMlDa z=TF9c^27#mVnzRwW^QA$F@ZpC{9X7clgI-nLs3v8k}*fYE3O-n5kdBEd4xlce)3a z9-T%%c?(=i(X_=Y;q@sNweJV`Vr9c+E$z#sb7c5zTeD`Jv*FBg)5EG({-8%7wbd_7 zSD7!%-ZZha7iw=yNq8a-dYorPO;`*j>d#%GQZc1>>UB~NhXZQ|J8S9aDT8zMuBS({ z22s~_7Y*<+NdqyL5%W~iCJ}R&+K=c~@xULYp;xi{8i-YRf-to29e#dYV^GF324^yv z$;hUtFSU(Cp6rymdrS>Qj8Ib8>tQ)$8ZINE>a)k$d&VPtu%`b(7NwQsu&@M+O3coS z117|RQ>%PFFD~#KDeK!*)=)|vCV?v)aY5WXGo<6gK%ClbW{IQ#TN9&mVCh#^IexRe z$lY}G%n>sC_KlKbY~@N8Wbuj!@d`X>X0}f`EyX>jkdv@N>hPb=g_3;R@!v(5!0dPeJ}6f- zqnLSt42ozJ&`vNGetMHnDoK6o1lh4z>i)J6zogewbO4X))rZd?1>2~i(bcS8In0a& z6jC6OQlyV0-GN;tRFsw+8T5#reTL@Q%U2ydDRmVqYS~fblR?X~vOPC7vhsyttCyOx zOHBfWE;}ScA+U(xbY)P!#q--=*q#R$ce7Kro92Hmuhp$=uD8>R|3*Kf9H|@2j9KN( z=>E|Arf!^>$1mX|^_Gnh)`6V*m-Y_{^9jS(;~jKJG?J2@b{_Mq+sgwFS>uu7F3sH+ z1FPzGmFLCtNH4%gc|a^jgrHCOow!9#+PGn>#fKFooON-Y8!|7@@4+M`qWzy6_V2;7 zYh}&?3G`sPjkzcwp!@RoU}*i=0h^0U43u2%mloUJrI7#m@0C2$JelZ){|-GOl7q@h zq?Q&>&+O8V0`cVjqprpc6G=;BwvVl%`gWBVO1i_O7qBA}NGu!dT!3(UybADz zjfRPyY|j@ zORv!ogdiH`P{}Tw3jf)%Qf+?=1j+y&a_Sm$4b(YOC?ZhHD)5F=pjLbT;n4%XWbZ5nxQq4`1T9C-gSeP!7Uru95mCIRy=%K+)PO?OYabrc0 zaz}khT48ZTpvw!tiVMnJJ%6K?0tpO^D-g8ytX57o5b;twwD`(Ev%sfo9+q2#Jx-Da*REMD%Kji{^iHg!eR7HQBkDT$g z+rh4;u1v$FTp7mcST1AsknIz#z;FoNmrl4G@9;njk&L7L>{#t%kzdTP&mZ}0UFzGd zRX6MNi`ug#FoG6?50-EZL?2>)?1rxtHY^m;@fb? z(9)gyj!?%bd*uD87B!)@5<}pbl9I)IhNBx)AAMLP=0XM$b*&3u)AawYcBO%}$Erhk z%g1P>OmmMW86b^BC?l!%8zj4O@}T%sabfKI*kWi{z)g zv@lzjJ79Oc6(W0{Z<$L)bcIyP?xUpm7?@{$G1h(%5}s%6Ac|N-F8HPA9v;2(y!Xwg z)eYEeW7poxZ$NJeJqM(LmrRLsJNl;!zmJh;ub}bABOQk+>_w1BT+$_00V}_}`mWBR z+L6jfI~f>+^%K3oPnblZQGuocN+J>p_Qc=K;(0$F);i%<`R@4gO#h00ySYK96;+Na zi;>)dJ0!Oj!`l#l2li2yrxv^`(-B>pseYZP3l616YJ-SBnk{sPNqWTp7+xfb52c=B zzV@1sR{wGC^*p=&GLx;Z=`1kYOD#G;A-0YS7l1IRPf`C$MYoICYlD*puQEOu{x7xi zEknpieZF0uRfgSN!JhH@rg3FECo_Yfn)T|87d)@|q&OihjZQ&Ln#97Um{#=>XuTVS zQb3swoufqg-0^8ia>R2%f>Q1`)Q@&5X9vL zDv<4+E#pgkGh{x6I2kTaxs`hpNT7i}xa8?w`Q9BfN8MN=f{}7^SM+s;>mBPVtefsT zq_Rnk6+WRBB3Ingn!OGD>kY!%C%$U$A!vD;-;(|Sca}ayALPZe;bda94aYc)?1fHs zPK8L6T@dA~UpU=|@}4$PaBs5B?gm`U8CodIt~k6oOCR8Qv(~K)U*2)E)8oZ)$LHgH zrusyGArTLmbgqvXjtJT&8Qpht?U^Ai&Fs2#QO6fw(cV+W>s$VI1ZrzaH3JL@F*}cG zPPMmNA0X{t`c!g$k&@4k#NthSGuE8fE`1Ra_C5=~;yG7oNu2AqT-*=)do1ZTcK&|Q zF}Z7gpSsjU+oNH}c22`9io4uL$U2|&SLQZ;Xs@I$<1oKN4vMMd-NHUzk<)Wa#4gga zv%h|oiL6@XD^@)`caBwAeW9oK02Po9%uDwI-2RHUkZ5gR%&uB6x3k4&eT&sGVyy`o zZNkhGEvr7ue`EXJJQY%5=ais_uPLgnt(9)#=x5IeJvY|mqInhs15e`_Hb(qOei(;3 z@9$}y253TKQl&M-uOZ7iJEdhXY-odp8ZZX zfjSLw?F|$thB$b$5QK!r3zP$26rVNdSS)J6bKS}_gEC7qq{OAN_)-FUr`+wkcQ4!V zmQ)WO(uA;bvyI$eIJx8M-5koa!FWANa$3sDikn^pEy>d&UbLvHyR5Z+ZP2(cmm@Rl zA_Jr8xw|8wUijkf;8RY8E*HfA7;c!8iIwQBSSHtri3j8PPUpkrG}+-K!M63r|x_yw-qLf!B|{(B4D z#(@Z=-j$ZmV_$3q2UXwAzh*9&x#Q{d;MK6C{D$`P*J{*KXe|SSZcP2SO`L(RmWaSb0=}9#MOcznV8P0-Gzy8 z!sRdfT{=5kRBY-q*QY0IV^dr^Q7)p)VPerITu7cTmkAD+sp)&j!vgX+vMU-^VyWhR zP7>YVgqLlkM;%jj;3U1R3Xd`nE1NN^F@L7ln!eZ^2AkRa!*Vc)8m_yz=+rsV;>ja% z!A3rzF07!}M&bQh*J1%85Jn=boa*Igzx3iXGXdL0YWc%)#{43ZhT}lcl9XyP|2d^o z6oh~})q?6A-6*WnUh)S`Un=@u6bRA#AA^~+E~nPHdA~}Nz6yRF1g0htPBM5jI)kex zT)07@{R$n6k2Opik4sX00b;)`qsGE!Y&z?Qcu?8pbgA(AjK*UhKY7@A45!IC!ML%e z$22phpI?1`s`qhwO$xCtQ%-D1^u@QphqN6T2@@8pO~#GUEYD+s#%3ddRZx*tt~XYs#bH8o|c%2@K~>MH@sAd2gQ zM`Cg1!`6G-JG;^3UfmXL@f+m`>1(0-g>&TQV|h?XX&7L|qhb_PcyaZ(;%)5cf|Wlwpl$W=`p-fU zoq)*AqxtVc9m^fT)4Mui`oFud9hCK73r7uCB+|z2j(J}TnboRCRNEVl!6lR=zPy(x z6JixkwCqHph6A-Ht1?xlp z{d;cQOiEYAGkN{t`HLp(Z{yJnd`~kJu!X~Sl-7jgePEeq!7n%^zSMAxXJ5U($77W9 z##sI0B-iyPyOrBE?nK-NWA39~!sh;x)fci_Zrk8BAPZtu$cZ%h_n@B&Q6UwfV;L?x z_9POb;|G>ea%C7@P9RMq`d7m;|IJr}gGpZE?-wcjka6Y#(xrYEv6gyp|aBV13_> zGZoy08v}85ZiRM`i%BITS)(5}jMcc+KQr9YppbULFCW?x!e;D*#isYU{stXf9rME7 zvf_60U4fLY?S9*zlvnx|UGx`&6;~#Yfv26)tZp`-+cU?zhwk`Ud9fP5q$ znTPV~CFx+F_Szhx|r=-uAu4SgQF-4GouR{MY@u7#$6U<${OUzGm^BAw!+a~dG z4o7_fuqv!(_`9+({4#21%`6#Itx`HpkAdQMDi7=_@dnS_bHeeg_br`Xz2^P^Q)oP2 zFq=JTppjZtv^0?37rBUV^jX!w47-m7Ao5l<*Nn4+jeP;bQJyn;Xv>s%) zKeb~sg#4TUv8B-93n2E8a_>t&&ba7>?C5oOEIJQc>L@m3XHDtd-tV7M+XbPcoO8i* zMI(uxd2a|02VX$K(TanDAyO&N^B63IFjl>R9ZTJ6E!T?vzQ7tdOni!Kj=w2!S#BuZ z20}x&W-F`TutFpTh*_pt3>r?a4-b!M6n z#^7NdpP5tvxBhe3Mc1Bxy}@LVF+6^<+e}Ga@AI>j}_(5GdjI=dT42EubEXPIBaQ2 zA5xVt^S?c+p!&WKeUF1%2GIHHIFGPDDRliQsOYS#SrSj2+j68k6suSv2v)yDkGhAs z?+re<_UMwj>fb})OLe-1onJT;U|D(NVlZjMHD&!Cy?vaPER)jR%^M1)xF!Ta%^mNz z=Nk^gbJ)4xcB5{%!&;ZVq9^4rQC*;3PJiE6Aeo45HeD1`y09q%%CHo#*CSM3kSfa7 z4j%JNM&nGoa4uuNKXpBIy#z5_^Ogi?#6oQM8Ec201*7T;-?i|k!uNWn*A7P?lGH`+ zDkU2`BzG^9X4}KZ$N4W`N2&b?C&ZV?=8rhy)X+NH@b2h#??tGGx{znm&Htif9@IL) zbtCor#7!b`wbIU~euF3x#7>u)snczBd)3u-KSlBi$UN*NX|E8UAzd;(X=mJTB!+^{zQ$17i6LW|(m!lJUYoYsp z3^$MU`+)^h!QVv;Xj^0bdbLNnsmFOqp`7zf=mCMiU8ZV${RJ5KMZ?{1 z0lhVro~^<4tWx|U9Weo9qsDTWeKXM|kftNTD6%@KL^1CkF-wG9imOvfhvWE=dF0M6 z1ZR+HlJLAQH{uuhk|#QuRgz)EkXs}FAhL$K-ce)Kl@5tEnTtmb+Y5gDPXO)a)~*3 zy{laq?c7aj+-e~f(yX;`8>Qg*-887U2Kys9oYeHv`nx-vKQC#>1qyemSi z>-cB{4Rvg#&SN(y2U-PF6@gi6dhX(&^Mg|!Kg=ZnLM+ppPAEJ7~g$X`#z<3=uL39YE&VT#)M@zZnQE5T5 z7sZ}jL5C5MyVZaQy3{I)T8-byNh%tIUa3rf6W*~1A8+5MEgHDrJcKVHIwP=!FWyp0 zAN@v#2~*y#;VLL~n(!4sw1=Zd`ip|=g|@nR6ZdRX_K$vAz4wOiWN6F*4WK-hAcPjRAACxLRjEF#NA!>_e#5`Z-Bne5d zmDl&A_qHtU&RFZHRZ?*M`eIKaxfw-1FkJdjlR+j-+A7x{_Vt+C6*`;+Z*1 zh2xm%LwN;$fou4h#AkjhQ$NkJBYxE#9l*2IZF9E?h}{kPV)hkIJ%aXHlt5l=3SH}!#$gFpH*8*`Y4Z9zIHEY(l9(F zZof~0#hx4`W)C^LycWDS=rOQz#icZSx?~s01=P-=#F|m$3P+&uB{dF1hI>hw8LEa? zSzEW~i!I;3X8{5`SNL#Mj(Yh53~`DI3=CsR)@Y)6$peeBLWFd#Xk1%^K093>F_Gf7 zGK3NdbyIbIAj^^xch1Eke;T&e6^#Vk#D{uwYfaXdD^)Ia?M(}{?O5fF1R&-k#cdoa z4%;cW_q2PY2?@QWS$e;RiD$thad+r4XdPd0HW}^MUqwM&UB9aGv9%Z*@hjxD(S9HFpcL(dQ&+xpAP$tx zd@R;YQS7~t&^9fHd4#)x-i;efs#|RvXBhz{)4g)JfYY8OgM{5uhr$8x@l%nf-6HL< z;sDrfS!PKFdxtCjJw$Maih2xQ!8g&S$odO=voPFJ zWZ;tnpONfh&tNKca4WZ1sqRkEm{aYH@&!EvaW$-Gj6S{nv*(7QIp*Q9etWuH*Z&w2 zUvHi)P0*i~JPLQ(oIBWD*V5No5wlTYU!lDemsewjazWIQx*I6Ft^YhF2JZWjQU7Bg z65RMqq1>@=Zr*LR#}!QlIQU4gU23U+p&vX=M*cj75{0;p7%T3sV+(m+Tc`pKK4T~J zxB3KcQ8r3*mRh3k2g?~cws%U@>wDEF`r0QXm{F;jqsm1=*~Bpz26_r=9-v#hp^_E8 zXD3n#1Fn0H^*rNQ6lH?0LwBi39hIo1b+HYrEMOo|0uzh$NzqjQnaeEW!JJl-)7!Km zFyHi8#p=i=TI6>-tkypF5eqkq(*wce&SF$kIwUXWrOpcOcz@p&LDGIjQ<3~O4JoD{ z&RrMaNxOG+Fmn{*$G;fX#Fxv!jJJwom}(5HSrm8i*uH%BGO6^;*4_q~a`E~o$3XlD zM=~7aL_634(_4wcsFhDTh>wBbq7flcS}Og^>vg1QCD> zwe3=qiP2xc$fyv18U&W<}OD`jj-wL@1 zG+ma+e}K%?WDO(UnQ*W_<(tak$#%`mxW?tl5}ZcG9}{%9hcCrRieiG+HmKm0^>p*= z+sTQ>7oTg6^Q(ee#`+}~J~6P!!LccS=XL?iUR-X6CAsj2cB}0Z6`neZMU)Qw(zmwC3&Ue zPh-$(`Ff)ee%^P0%}knsV;gDKLwZ9$X1(n(b-^`a$@+4A*RNx8DZZhiX3cq4`mz?k z3T(11ggzfSZlBeYPlI%IMT&)yN0+%}t8%wVJ!vL4%?<8Fw50O|higFxUT}N)DM(7B z`UZaA38(s3Mtp}VH>``L8=Qqi?cMzLn(e_ES?pzjKtg5v{^IQ8xtou4ygwbRlfXr5 z?{oFLp{OHb+0A_NZk}Z{ywS;Xl3NByZDo^MqyIi}zY%>>>Rmn4@vrEQjSZ)Dmns%K z2CzP1tntl!KZNNJH`I$fd$QS^T4*$CDbF@)kI`+(1Y=JYt>p@hUBG9O zbJF(;U5qjto9F z(+&QKudeMb{_6?F=~Vy;+{d9syD$FeeP7r6D9t${=q=?vbwD@BIXL-bVJxnQKy_(V zmS8z$CcZP#3`|1_3cH2>hsD7xnh zjPxgT_7;Lmz_kmqa{kqfgWf^4xL&;u>FZp#=BMk}@xCQqf`JundZ4ydy^o)t?|G7{ z3tblYheI(U)_+xE>`! zqVJSyY8fD2KE59hQVAGDotu-jjw&3eE>UnT9-nEsAIuCM%VOYlpdUoG9M3r|yp~TD z2oOof#Oq(Vg5TVG>}(`BW9vWN^A=ZG89aA=wn`X9`{j=~sP_h|i<$&FmA)<1dL+0` z&bB(*8n0~wBs>fruZ&n~usgrIPL4T$4^MUlq__n>yTP+Tqv=Ck^F^>_+1%BS8`ite zq`SM4N%DvnjpILt2q*iG152dJwJI%pt(RP;1^;yXL#&r7tB++Svu2uBFuc$Fa&h9Z zJe+bGPQp(9rAmBn!mId)RHWQrt<>L|LZ$4^`Q}r?ayZ9se_<$A^0_q{Fv%nb$rBum=)gDe z^2&ZN0#Zq zfdLjEu9m-B{8`|{Zm;j$#r7V3Wqb<$hP9ppV(5U3Nm0z5g9WC9igbp}pZL(I7{4ld z@UUC>c|=~c*o|~$P2ZIDvT|4p3v zER&W{5>rYK+TA()_iv5O!emYSLR0GR6_*6CQ=I)(Hu)E>?;Jm34EO$XDKAyd)Qf@DUX3dzYN=?p4VX{p{zjVRIT@{liv<;$ z>2-)i%#5yrvjja^&uG5k!I+cgb^|BA@BA3wpC;V8;uKu&vuHZlhP4wL!0C#r6o=SV zbSf@(H8_vr^0wE3Y8^yN&Lpa-xaDFG{A})b4EdGVTpm)^%6lOUZ(N=MP?fykM|={n zE77Gvz208i0c73es+AtBQve!*CLWM0w{e!Ww1_snmO`9coD!&OmExL{u}4_{nVhgNF1kj_oSlS&|f6yp)Dw1_%Ti^%+Nr}JM) z0Xgalr^uQb&b@?Z!$GY0mv+CXfss02TwpfrP5LzcS7Dj?z%%$Q))xf%arAd_0$ z-D`QQ|I0SXWVKfdn?(=)G%%>3`l?@oO&)tK&F~WX%vmG*+!!PC+oR_c{NAQQ9EDyu z#fV5*ptCB{v}K;G=E+Skuf^$)uT++I|9hz~s1bhaZQrxpEr1sZp9~aED__#RlM62; zRG39Bwr9=2>kTIF2;9q71DkCh&5urcBWL;wd*Go zhek`r8ks|-rL;Cg4M_0loXZ4-V#<+QSMhq|698V$Y#8en-I7fd9$Pd?bgQ&>?pb^$ zKU9)J^IB~HS#9rDht7uWK1aadX)uw8E9*|9jvG7)46OK@88QF$UbBIuKGKuW$-R!A zNV=#WyteV3?OX}giDYKxaGCb=kX8e7ib< zei~B|DmS7aZtz1wYSdqI=Esveotq^oxH{=HEO^elB-!90?kxw?;A1wH3=<18HgllP zpEZ8P81q6wlEIkE&@_`thxyvdt!y#E+ydRaYyq%^99>Rq%Go8j;iv9-zPhK%W`8cn zl7WZG^+}Di-Cb-#UBkJQ=25>Qtcr6M>}WjN=WCLl)tVub5bIhB?0kv1xO4Iu3LC`+y&rZda`Si<0rJwVK)c%4QmJ0C zDMVu#tV%FGpA3d&t`IR)LLvn>()6WjV6{cbxG)VHv@|jAO(fDvbR%Ga*T4uhwc+xr z+m1%8tidwR`R9v~-Giqnf*aX?WzqW2UnElOXe(5zI@c$uHsyoT`NmU`X3H;#6A@U| z(gofgy|bE7I*^o7heaJm96W0G%Xm5~91yKj$@(D%@PqN3<*RiHplttkp=(_xfkgaD z-;E!Gau0PQ;@(R>ERRjCF^5EzLi8?_ennHK>1*T*rI;WkXQX&^sfBm%xXyNeJJb)j z^kOK32s7V!4zs(`Y}c&@8J2+u7(+-(Ecf$KLqPx$vwBv9vu&Jb9iktJ!BPTkdI0v$ zzDnuLcsRLg;6J&aAqV5_N!K<*!;BGP=p=h6+Rx6(*2pPqs$*=yM6O1t|I-G81$vn6 zxuUM=nqZY+i>7>2i8@Hp$Ayya4xt~2r95uv2`}^&1p>!|Kc-wgtDqj~75DQGy;4*| zcV$hNc0Z>)z+AgSorkF4&_hR}NTNpy#1d`GKJh#Pxh*0o6rC>#;LFAgYl{>9Ve3PV z^BZDX?R{ik#SCidgW4DGL0~1D<4IGmE@37|VECviLLOodKDg1KXg*G$8pKkll+ca+ zJE4;hovY*_TGXkZ0W5f!=Zm~a(fb&Q^byuvGAN~|2T;7NlHcTSZs*3zVv4uVqDf(rQk%5r~^@H>Dd06;ln*)=kW zGZd75v5i3yFu(=Kmfw6ly-Vh7dqNBKsAbZCt?uh1{AEr*xxF7M*X%?Gv+I`pw-18~ zS1NSD#IiLq?G~+%RqAG(g<=1g3}cq$-5*SRv0Uo*YD}89xMd{I@?McxW$QZ+^4#eL zo-Kyqzdtd@8JI3p$sK++f@@IuH{5sGnDdmG*qni?V3`s^;JqC`GTL0-D(oOAvX^v~ z$pSsbXDoEZxvTS%dSAr^zDg~5Ms^NaY2)62{|M*q)rru}RIT`UA4nA{@*81Gyhpu? zbyo^E&@Eg?59$vn-X{kHW%IYM$(}@Nc1IuRUPp`KPZip+SU-MIBm-KWT`@sFG z{7JWtL8&FEvIa{<-dS`h90jYr{Xnc?9bj${^g7-_HXa}#*3v~Jf~Fk8$MmI_o)6Vv zsQ%<=4mnjq`_VEKLtZDXyl%*NmHX~mE#4~jTPvlog+kRqDfdPHz&l0O|64f!dgNu1cYD2enfmW1I~{qC zzh6A63yXk<<5To>6ci0PR)ZO^Fz`0Estj@7bf1{5GM2&Mp08v5y`+39&nuYpWK|FA2(F zc&n_XQ038BPHBWYsSQH=8^VDFRUomw6AI4`s(G%WalKNFsF#N3bvo;ii&vLZ^p&e| z4GW8Xw5&~%E&4g{t(q3@CvYocV5|l0@3&Z37y{32eOm2v3zU#xsLD2Ge3h@or>gFW z4l3Q6O8Say7yQNLR0bX{E91^Etx)71hs_+r{Mz3S{&ml`EY(1)JjpAQ=IjH(BQ*~= z4c?#yR}bZ{3i+HjbzL3Nc>B<|Skw9Ut`6#a?lz3zt+$T&wqC_oEQW2uri|4~nW#A9 z+=vl1>G+K+r9uOlGXN>4aeS+Q1eWFoDLAOS+R96+=pj ztE`J0P4+?xuk6yeDU}82vr<}h`yWFoE?Mo#K<#v{WJjwvin7?JkJZk> zuhrjTi+S!;RGY9N-w;rb)GF486qBZuezdO;KxFRyoAOGb)q*}IQoCB=--`DNe;(mA z5sH>(9`9>-KLDcioiHMNYz-GnCUC(&va!V_vkcy5coA7^wNnREsjQquo*=A|EA9Jh zV#fLz3an5??)coD!(OkYG7|#kDnakXh+_68tqyc2>G|v1WbCEEA*w>EZ=!RXoC!4Xj9GNua3PaSi zaH??(%fDk5P|N^87RG%yY6)WVt{;6qaGwgzrg+c_js!ni)nv#5tT~pH>G)j5?5Bge zf+G*_eICqOfPqlmeb`FkApzeIjUcP7gX%TI>zQv{#{QZYFUL#Lpttgq%d?UoKC{d^-SBtKrImSd=1PiGEj-KF%_ z9izl9^~$dG#SCR}^7$|dJ@7b-t=l{{f#5Hc{M{A#Q-|DG05p^B?dP*VQryZb!@ip$AA|emP-29)$B}Pd zWF+Do503uPo{!twCdkJ~a>QEZnli8mN-Ben&1!CsO66Nbr=~UWx+io>8NoS|7)>QC zg~BXl)mLXhDR^B)M;*ubC#~Bd$LFG#?7IG>*km=V4qCOs(Jev}@uma)N>e>)lwEob zc|BT-knJ=csy}G@WjGkwLv8$h>`$s8g53zWhW80Yh`%B|dKI2cd@s0-EO~?;VyxLI*q zT54aZ#2dI$2x%*{kKo{UU;YEm3};<`&^&Xn(*d+tbQs0|Xm^k-ewmO18jB06xNZOS ze5U&Gu9p74*rkfjjeV}@0Q1Up6}5(1y)|~H$Pj~`|1p?ap9Y?5?fXgAzoJrTCjm9Q z$Yb~?#;|Jc3aXa$#LbvgXY;lgVopfETB?SYE|cN&eUUP8a$AE2`Y#`1e{O*L(?yvO+9epFKf6_J;;){}CyD7;hAz|igCcz?DFD`MB zS(?o#mS>;kxsnpbe|zg#0Mo%8C%Jbh?hc;Q`RAiHX2>C7bo*7T*loI}p@sCuf$x*r z`Wl5-Z>vY~-+<}7@ZStu8owboht$oMTy%)f$epI^prjNX$D7R?-NJK$*d}ixWga6( z7$nA0T}IYx^puQoml!;9Gciv{69)=&1(?Faz6V&-fYCtDbgiPG{qIR3y$db6L;Tw=%KidtuA9j%JA`(8iQdtcDIsZ4G0OGtU z!OnQ0W)q-pxd%`Q8|!3OrK8g;71y_Z>2-D8;F7a-nnkA9%uuFxztKq2wSOS(a1n#B z8_Ku5|08ZTesDh5L7kh?McqliHR)%dfzqG>>tObD%}#@-8IoupvscMk#igkA<8EE? z8~#4KcgVY{eTx!> zRvl?nSHr1;#h07dg^uEme-40lzN^Q3=#x*LGJ|Yvij@QtKY%a-vb2o`1n(xu-DXTO zjI;eB|2bKzzd_OcDRuk!LT?Aq#P9vnM;f4sH0AqKS8X{y-%W{o{m}R`!$Z3ZRySYM zB?el2-KtP{F6Sb?58!ke?lU}RG$r#x8j5n}L2-i;1GNq3>}r1RJ|hw9R^}%6h*pGj zs|U(N0}-)ksF1{(SHEJ7LfKL!2}{@7|I=$7jj0q@66v4@tS zl#!@*q6dc8^!C)2h!rz!QoVDgo`R3Mv~GaM6h3Za?6>LHkGAi<9{{iP1s_fSSyK%D z9Am`ddsjo?4XI{I|Ar%UE{HU@LUg^NxLKJR^yP!}D_ps!Bv%=6ZqgtTf=P70MmrcH zSmQ*`>Jqw2pud=T!6!@g$nN=cgYWAj!A)zl9d~em#8!v$U61pZ{yWSQ$iJSDty9o< zYPwDGen9j59?p9pwaBS@;7Mi@<9g%u-%Ej&wPecVN;JGUV76#SOYaE(FMwrr<2Y<# zK@8OeiF7JV1{GtJ4fKkp5OLCZuX*?~EG#ZwR5$0l8{>QKqEwm?^oc@c5@Sp}+X{zB z5v(xz!n;V6_+u(HR=QSlZ%|*S9zEq7P%-qF)6+Dr(Q3w$DIx3HFeU8O?RsIctE1y) z^Jo$I?=y2XqfYg>Gt7)qeyX+iI6<1KL}gZx@50!R_T`8Bdbrf`oSBozM3eNWTwu|A}GXK@|A-MEi0*tb@8Nl)f2&7bZU zyvJ~D3~;c_ExDWD!C}OxZ`a%ut$E!+$!p|CLSdpU-P9c?l21`^Xv9kY{;vwKMhesC zM72+uOx3#@o4>`)r?8^xXey`_{GB%6PFefrJ#s(eqdbuI$d!k6zqh+h0f#Kl^~0n~ zXnSB%-dL#~leaEPm*7xSKqmQy#%hV_RjQOKvpEJm^SRic2ZNHYL+f!jy6c2WtJT|eFJ7UQ{Xe?i1E{I)3;PWSh=2-8?^WqY zFVYdD_ue}K0@4X2fCwm6K#FwfB_vULN9jHEC{=3cEeRNk-n{?0bLY-C^JOxbNtu1l zB>U{W@~pL?I7|iCNwh#Ne3rKrEWDB+~))%Uq&`lXl4j?mr zOZg&A%vufx;HIX2@t)x`dt8Hcw`<+2d9*p9%A}JfA#Y6@>h%aiGA(h08;V z*5^Ub(%Qow7sBXWk1fR*Q*&Sgg(`&2PlY<>xSyGpQnDn?} zRKfYFG5zonT>S*ow2W=t3$u4e)#%nf$*iq&KYHk36!jz%Fi&vwMr#|}aZyKWW3 zx1Qz;qZJh`a?-yI`0(`NO%{xDg?z8VZy^pA0yf{}vA*R3>`M zh`CrT_NXa@&h1_HO^EpM<&UBI(h!I~cMf{xY_fY|M^{|PBP?DB2`-e;Xoh0K4vG=1 z7PH&lRd>iHuGhSK?5U5My+4zHto0+EHXI(bgS-78P4rXe0p z+j}Q}Fq_-!z>xV)`AS9W)Y0U$F3TZxIpJ0K7rBUV=Ag|`(3%Ap0sPzvI>ntR6{j*3 zz*ZFQOk?;_OF$@a4)s!m_5H`ho2@pQ03zewMsE7Yk$uP4EcY>6o0YbcV_}=^E~B`M zq4o70**_I&?99eQuh$$eBD#Dp$569~tIifZq||kOG~!*#&}U8E)sEGROJD!RUoxJd zmm|XgXNs~yvIIl~0AjV^p1p&MLa<(Hv#^Ebdb_^T5Yh=%6pGw7?Pnj>R56jDb4M*?>m(5I}SW}M2|&==#wt6kSUJ+<4&LCLTQF_$@6EPavI?Dj#! zcR`n@Rr-A`Wrlm5-uYtRws*dv!i)#4NNHz2IAdxaE%{yo^W;a^m7fu6JMqL;a$1T9 zQ>AlxyMC=}eKy{w@N6)5#BNCUI$km7+sQOBeXM*=PT7RNzlZjr{^yEh)*`RHb!{l2 z*t_FHpW*q=wl{s!iO#FRrONz?x=CV$#;!VW*#~xf0tBL;c}o-JCyw>UWSjEseWt4e z`vS7K0n=84M zgHc%UUN8~@yxQKhe~!eHqRIN6I_w?%#A3EEXm9`RfxI6%kJXYx?LPmUWMbkn6!h8J zdE&1O7nW%AG?gU-pWxQdgfI9qAx`N6|2+l+s_5_lE#Oj|=9uDElg)qOKSIuLX4!($xHmJ6svqB4bSY`$wEp`z3Cp%p1j$)4}Q~H{b z@I^MY7l@>wL3?a73RYIwhbSIBnIIJx4?mf`wVx0Mo6(?TZNL3E#aSLcvwBO4hZ{e; zIymgOy1mmwSBI`i3G5l{kU!~X6F1x>5HpG%IyRFa*2RalA`wI%5lg@Q%2IiHmjEJ>zW4bkCjeAH|nGG#KxLeIbw`zC47daPXy63&bumBwahN zmcFg`7{ridbl{QH-9Fv+do_d$8p{wEan*bqnFAZ^bZ($y5j_K;iV^4o^fs+)nll;W zdz0OZYc_+Dh9BN{nfkIbw?uc)@}Sz>3{()ZHe4|kT|7Owy}QFK$#`}S`fE=jpG`#{ z%Rb;r9qWg@W%Gb_=f_$fj0i5Cdy4+vSS6iJi*~H>Y8{#E3T&A@l-%uL;a}bk4@57c zrq6nwBPO=KZDo#pLRLY;!QsbBIytDWh}2DHSs+>zct*!BN(y*j`iyb!c?1{|rNaO< zKSQmE!}>hNs*H9}TX@F;aZ&*u(ig7_n=T@_)_Rd#P5Fb!VguE&RAA>vB?*rH$%CF! z1$%@73L^u1F(jXIHWP@GY1{fvN+=TxP_~1uPWQmO3Y+dtihqLoiihC3??>8~{4hm{ zY234KTo@kNgMabIv>lzqt_cz}9^-;{|6tF2iv`SN2rAoq+%6-#yS28@Mee?{l423F z2ceiOzcCLAF{{M$|3@hoAaL9wxXWQlT2VVTI>5}sQ(EJhYnnaoRq@L^>XqNoYvB@n zW-6BBzJ>hFHTo|}Ql(`6#X4rcP>vDi`F>^WV4=%Cv{+AYUZ%;_S9plI;QZUf&xm}8 zfOW)7d={O}uY+nyEz1o(C)oXYpC=lE2&eDi}ewUe{C#EwF+fDX=26 zk<$nMRjWRU^(AnLq4x+hns#XP1u3a;{bgj_+RC2w<&L|p%fb^mi~RmIy4=kj6LHbH z$)zjt`I9om$)_LT31em;m*T;N&0*zOZhZXAnNk>Wx9ljmOF7Sp9rG>hDuZ-#?#{M!v)sP2xBY@)@IR?+Okq#EnXC-eIvQJHp| zNT)ha;F`*6n{TAU6U2n!NE6bbDj2_u<37R-LMWjum*=vboo}3*=7mFQ~cihrS?{^V#gmef^B^lOF2WUW z^PwJ&uLHK)PAo(S&w;7(oNkqY*uAR=_|#$W5~2gU=?nBzDo#e+ z>wblZg0G%5#pl}g_s`4X8qfA+H}7X^CR3B8sLo-Eiq*id)K#P{R;PBtLh(@;CJbd~ z!*JQth`G2LM+*5Nx@2_G(`RSX2#KF0u9VU2cg^eu4pxFL9T$Yp;@p{=%zhT&VLh7a zc>VxW{MpP9*n9)2l`q@St`{nQeue&FA~Xv-*t5{%X&iqodyZ<@9-3s}5>_S^1rTh5 z?TfBOJ#@XT;S;~cG#bVnq-ftvex4NX4Sm0g7hkJfQ{&{ z8l{#-Y?h9)$D^|=_5#Y71Qo_@ zH%$s=CvC>!E=9G-RVnX5rVlEln;WV$_VX;X3aaEy(%bv}n3#`IJMDoC$v!7`%WKd5 z-vRTP9g}@UbjLal^O74IA6ukaFYiz~X^@uFkJ!G2_i@{942mYBMkk$oYlX)=s<2D~NwQa#4~&b^kh`d30sYOa1;>QzoU0|5h< zATKHJZ_7E&Y86w%7`<1EX*y!%E$Z}y+RE{ecrN3VZ!Bh%JfG}8?Vq138i>{}ev(=; zVqj;EA^Pg#zu-h~yZ;D}ryWqQaJQMJ?{}=F;3X&J&O+(9;YB$Hb)Du+u?yeOwF3yY zC8zv23N2ST~xz@TbkZXWBFu^DJ@yLCFlH z$bG}B>x)%(#*zSqInfI%&Z8>3<%99nFnzxgAyPGpbn187oYuUi^^bY$zqm>1+~IgZ z8WU%o`PMZz_oT^BE6fS?iFbP1n1YI31z$sG`oJrxw*1vkA2WujwiF44MA{6M*Og{z zDqoZ-?muD`5i1NV#Q3p3r+9|XX1{d@n14~HsW7LM)BDAjK$t?Bnf6_+oU{#+fCm}N zGYO$jsXAB?Cjf~s`(-8`5ByUvV&JOJw~-f z|8GP~H>3~2CcU*YSqQxd{tH6`H&Df?=Q!!fV*8-0>yY*T?L7d{0AT3rc_`Uh>~h4t$&j!3uJw=e|qiGpukwP>^annXtEP zDVU)p;v!B1sOA=8JCRxyvU&DmOH#Kla{RKBa^zn_XWizj(du&mX23nb>%$&}`Ud2S z&G-#t+AJvSp zc_(C$coo4~u+(FYjd%m@_M^r{$^GoCR_POx!Z3HOE@8TI!K)@?eLMKZ^O4<;75i)k zk#->28f@J^Lm zP~HT&?eOQ8$ex~AD?A8k=RJ$7Zt}i z_f-hy`BkX>XZaj2@0~DKS&V+6)bsi4)gI=Xj)}gQ?P7Cm$Z>>XD6T*fbWS#;oNne= zap5}Ik-MZ8_Pez+Hd<2#0Emuxt64@iWxj+|_*>KiYwZyhZwl|xL41SU{Mx%d@bM!U zV;=PQa#gb#1ZodeL}mh8Pa}nmlr;$kD1XX%biA^+e9KNkaEDCUaJQ{Pb4`FqBQY@Q-{X zWNr7NXmt@c_U2?F=sfJ>I1Y&~1qHlcKe$v1ZOOgFMWjZq$%cVYTez;1Us%lFwWldJ z#nL4nOZ#g&OFvFfz?}C8?ASqYP8~ZQYaYv??=iV1jc!j4+Y=ov<>#LOfvu!!!gZ73mS zT1=m-rf|#`mB&2OULGbEofp{SaPJ||ua>}h1r`Zb5HKerT%@DQz%MZjXePFozW~0A z0m~H}41yGW9O%`l9jQp^gpjgp#%D9haar$2$?#EVBQ0f~5anGd-|ONjjQ@>oNZ9Ku zg@_hE8r+|ms@Cgv{1u*k78yy>QZ&?f)zXNFdUT53!oAVb{K2`?@tSq~_33xyLp4m- z`yP{DNu!71f#-h}m7bpiZ_zEYWoxK2rQ(gfD##)@a*bvi4K$z=EGi6W!|u22V-Xcg zeX=)&cgK5P+_F`TUUe!;{yx7#8n`>afIS1l9LCUKHF(vp)v5o5 zr18Q}C-D?vbbIb*ZMC)CtLA#B(d_KZl|t90Lq*fclud+WWd^Xb1+g`CzNt%M#(MCy zGJC^Z_>tUQP$Y0_Qg2Q?ASm(+=TqL2CxPsMMO5W9G6$KLuEA`)UuWqQTeaF1wHN+8 zX*P98eq!~b-=ovh?at0yzrFB!+0DTlX3F&9J>;}KUdTtRNh2yZvs8xxnuKH?T}+{6 zs%M+FTJ%I2%6NMa0Dsv%s3?tE%>lkC&7nM88lpSC)Bp#Z193f43Ia>BB?lk_6xtLt zxNv>q+eO!6a1VFW1Uh}J zBO;sSYu@sEP3gTT3bniXw@|8SW0jTfGw1xi9Of8&C0ito{!=DeN=PQACW@5Zokg&i zJSili&*FSk&Px-mX&0w{$Gzoc8<@>P9lEh&H(kB?-}>XJfLNJDbbd-kpAR2_`*u&# zbDH+xVyBZY-;<%OAEo5qJD3O^^q(`}Zt1|BtbpxWi@GE446UC8pB#6wbczq5@dX1d zhz=kKvICSVxCT>UyQ{L%xEtiy!4ZD-3jg-553CfiVXNqeZ@`~s)7irnJ3Pz>t`|A2OtI4BU-#SMs%iMz=K06sohu;>UP0&hpXs?8-D8wrF0L>V^rtDgsl{yG8xNan znZguX%qpEvLsZ zic1HIDY%|{NA^cs+iu-X+w0qkita{Y+{ErXK|kBkc*8A9Vq2qr_Fh`d!QnrE+H}X< zMr+_NuZz{BjlYWcm7w@5m`!&Q6omo~Xd*D)yFDelxRagJxwC;+#vF!X<}wsZYkmrH zbRQWvv{eKGUd zxu?R*wK{nNfm76U*NR-BUw@}!$Ut)c%i2()fhCk4ah?JP2t`g0H6(4L9 zB?LsrlJeds%%U^pe#JK$6anvODfZtR0{(Hkh$wDoNedI53`8AA{_VV*K5#x{w}(2k zFIKninDD-nKYp_;KQ#84@uzpUyF!?VVm)q?A_Sl7j9hz31(*JOQ>6HD>DO+tc^Dl6 zx7s(mW)ZOw$;M_iHhman((@h&V!^KwyGr_?v#m3%z28eX*x_X|?yrLY`V6Dgvk&Zv zqRYSOVcsplV<>k;`VjJc}e19%n ze$@|kidpq5S-gF)%CdRB6NXpZFiqR=+{r%cF&B3^1*wcy?@WPwL|)r@`;O8WlBL%mJ_2W z!3z$r8K{HP70WZ(&Yq$1qr;-3-A?ob=+nGn>fR2TjgEgX_h;zAiT&*#rHM`0X4;D+ z%2>0+n#g0RevFch z+YHVlo<-sAujlqBqUVyfKMSx4BMeR&>|Z`4))}XGkC8s2AOvK`87Zs0GA26g3T?q0 zL~mw3U%lGw^kthozD<2+@bpx768*EQ6DJJ*=F)h$0RnE^d>8v^PDx2hHmAG9$D$e+ z3sA3SaEzt~7z#}iF5Wp1 zDf^`m*$KujcoZRE@}CCqa6`Y)E5*NeBOl7*3ZQf99dcMdKX^0ds>vj~8~rE@K7J-k z%3GgMu~H+Pe_`MO$voIvS9G0Ry|r1}+r2t=eshUHDLs$Zj{pnxRNyxNQe`6icH|UT ztZ=h7=@qzD`e-?#+*)|n`sB99d+Jt6Eqfh)7wxNo%2(>VKtwS&V?Lkbh90u~{Lx{_ z)CF(9T+ROhMA7UFL9Z`&iWI}-QFXVhMS*C`WuPLw{MH_atjY(SNWB|pq>g?BQRc3* z@iG}5aIK8x9CIrwKH8hY?m-6~Ebz^(qPRmvu)>{{ln@WLjaZ1_>J=95EB~%{5Ix9X z8WUR=RBq$^Y~4_$pPD-k(D;>_=M`xK3wxleR3K{*Nzav#z(BGt8max;C zFjHY4hSBo6)VxKn-sy#4Q z7vdn>_F)~^VR#oY_S4E-EnmR;`Z;`Yx#d57i-VNASoQ{vw{iW%MB%09TMwBQIsmVk z%-ky3Sfv)p?z{@~4$Lt+DoWTxJ=Q_(~o1G{3 z%FCQTxa2vpCg?u^#6E5jDE&Sg{+PRxA98mj0B)Z6^_{zBmH8B<1C%D zYVHqtxP_f}%5bE&SG-c^PcVN-Gff)Jz8=enr_}g^XUGy$0kr(QRIl0JRn$YjahDi^ zH0q$;YkQYaOI0#a{3SBfhg!?Ut(cxWZA#LdK-EJ{bMay+6r;GXRs{yFJw?dIUqXj> zQ8zar_|W;OA5m*}hm!r*vd`yY*K43FRyg0;>CTyA{FOaqNB-SFUkp0%_%?h?=9{dX zL-57gOTVISi;wo9-7D@d`6hji8^Zmv(VKiBx3;1A*?rxYOnXCN=-F_|Z%aAr$@$Rc z<@d$U{d9sMgSfyHgjnob=S&768o~0Iy;^SWTIIxN#LR|PB!F}hS{}-o7xc8fWW)e` z#H6~%$xEU_(s8KfP(7A9t_~Vga9Sx*PFC9B3oGvQ~mvKStF?bVKtFvun~}GRvqmoP4;jz2ux# z{8$Y>h%~^vh9%^-;=fKYzBc zYpq}37kDb9#<%ah1Lfa ze8z3#m4N4;>&d>Fz7ZhQBFNx@`n)+U!FPQ+<6WNE$(5;y|7(D2tR^Cij>SV<={0jS z=H2@V6ICUtDM$#Ic#~Vd(8r_^nR_Y|QWDXt0*r}>2#86Q^ zeUA`7&|Lr_$!Ar7lLnU-YVuTb&}BH#oq2M=x@}{Z{`iCVp=SF zEWUvB70Eq+%Xbv!s`zi5GI@rNo`Ktz&v1*`F%tqsMtKEaKi8@L-!h@f_8jyP;ZHyO+KMciY8cSR#Ba)Map052YH49McKv+M14F`P2Gxfr^ogini-nYj)2; zQNo%w9W$XF-Gxo{0rvW4T2bKZ_jA}YMPFvNcZi&|9@w>C#veP8Dii2I_@3z4WSGZP z7vuTKL&f~r+1kDNz%fLt$MkJ}RZ`qGY(PG#Z?Myu!;q5Qj8T(H16op(NhV55maL-k z8t?P3OriQEje`I{$=|FjMifn@T|F&$haF!{dY@P@hL2czygK{aBLF`&2Oi6A4&XK{ z!K;9=cv#S*DQYLjj5Itch2RdrUzs2l@SA}25x*e4Kj}9^SHfgoenLy_d#-?$XVd`y zdxo5i3B?OnEs&gous`PGw6i4{ZLjOEz0yWY6D@7pbSa7!$_X`NtzOhM^N~7Qk6E{J zIA%o$^aA`d$3C?z-9{ckNL4ico4ojcraLz*Y$7zCsZCneNS{!xki;ATf&J=qp+uGP z5*Oo*&!lCqN++HdhJSl8MqEzRn_DQ-cxzxi0la)b;kq;!c6MNYoNFg{E{{8wh9dL} z34P$W9q^9QNtu$gqEdid_yhZqx-k&|4_DEM$u@hE?jFo*8{JsKLdeU=8LOQ5#YscC zDV8(ZNfTe@`(J!W9g8pRp^7ucD@dvMtbdv_(OUt_+ONidx9rq>t`w>OA!SOU2X9@p zte%HQ!GMU56LzI4WkxYVl-~h&z1Yhim>bU!rMvRfmS=IQQO7n8t$z8zPWp_|NyD|V zZRM)?jo*O}V=Q~6e49CbLdv`RIRCTC#u@LGAf22PBm^B?1Ht31kDs!tQWqRfkQgV?c@(vqTtD6I; zKA6`%N=qimB%po+08iiL?OOomf9lrtYN4 zpYb5tNwrp8ot?lr$<(X|))hLxHYt1j*(mE(MMWHsSC(Pr3q$5Y@@PJ*=IV}p9iiYLHso#NQ}?!b?B^M|D>NcUx|T_n2UI7*0Xg%K8t5%G=#pw zQ_kt+&Jd!OlZao^|yb)#>uYjZrC!cLNeSFGUiy^f^*9 z#oT~(ao;q28mc_}F{o0%##r_=EdD}`=`;Oba^wGfAf)8Rw@4DjXnIk6B>*V@C*k2g z^#6YVs6EmhbNWAC{J%f_Uqr1+3F9EC{QnMt=Sg~r2a6pZu6X@#Y_*($-C&Vw6;e{d zwDtu2SnXoe`o-JFl~0MEGu!p0hBMmSxrD`82y%`xqgET!02g8Bu23a z;7@U_HBEf%W)Yj{w&X2K``@q+|Mdf;C;%il1^DQ`i-IEzeNBk0Re!3nD8Iq<_bkplF9UY`vY?1`2~mO8eRId>Uh^R{sS07=Yz2F{;#Uue~`JT#L=Dz%PJqq-yi4^ zp!)~-QNI4rq2cyL_lQ1{<(^IYrysyR+dK@FrIGd@?)6_4)!*=^C*)wx%ljvF%QuI3 zkD6rj>AN<Y@GtK0W^cBrb@tA$l0xIuIRZ2(f+0^IbPrqLL+SV>GW64K0u=a+b*O%ov#(8al@S+N{zdJ>E*Z1B^42j|si(Zr3%dQhM?EG}CH?gC zk|mG+0gko=OJ7)ySxwVu-Xa6}?(V9bK)6KEJxnG6nGVoS5?_P!TH?$zsyaJwNnzB= zZcfwOc55TBQPTI6l$=X;^t46TeSRVYEl||ZL?jz@2+*8J<5A z>EX^O5Wwv82 zH~M^D$Qw9aBH^YN<{Avzq4xSrXTf0S>a`aNmZ$y8UIq2$ew|`G`qL%;Tazf3BUs4J z#KL^p_)NmDNbbY-T&rYYZc!keY*Il#>x`IWEhIHVRBC2;Cvf_E{zds5C zibP5ew{-bMdiqhC>cOJiwQR`myk)SmIv&N}5PoJ1IRT@q4NF35&hj|Jtb;s^dw9_a zjlhq)x>fSeq#U=~=za&fk$L?slCvI$FlsZZSl!neeL5JlO2#;5!)$V5v#{M}YRgrj zX0`89>0R_LdrRNU&QJZmkZo| z%j#NY_J6%|gezXx*mx-adCCGqU(0J5v$u>`D7s|_xUQlCtSv+yY{#U?a1T<&<+gry zem?prhwmSN`;Ek?zkw_tWHh-ndM5sEH^H4xc!+rKw(Do>WW6Chru?yFp`>i`TXE_+^>*ANXl;t0U)y1txttIxg z4ZYskJZ-qK`hmQ_`~$S^Sxzsg7CO)iK@yH$ElYAZ?3E>kUwLV+H~-qI)-O#XcN2H1 zo{JL{OovLexGxL!E?@CN94m_WP}P+csifaZUg^h63w-f`g<96^n1A5kcgxGOG%H;m ze9Od`q?Q)r2EL39K!kEl*MdeLPclMo z64l=u2O~bCEb~mR@v(8vLn!Y0VdplUkMC~+>k69>UQEwOEu3~sf}AB-g+l^`bi#`2 zSE!lwCR2Sm@;H2ITP<43AmM*-nL);)h?IWY-2&%$teNW1)(k$PPBkW%x(;(Ye*E-E zNFTCAI;$td)F#$j9$k{v-pe(kh$(F*lUfcdvijXz-%jdTi^?i}nbmHqwa{g{u;S^Q zl=MNjdsa4US&w_XdBB2V4to-)uBA~jf~9$27GPT%eD|@={M_nNu1u|FMYcAiP#w>B zOSa&0Xkxsi0+m#^&lp?S2?-FLHqmb}{!Q*ItA8%|GBFH})DbjYe#w^zPi}v~S=;kc1(-n#!g!-xH2%!{XGB1W zvrCU&CB93j<$1kq`6pj!G*TE^Qu`;gsNpe#7NAa)LwY88|t>4eb9zxq}O!6z2Ar*rHuks*}z|JQ%`7kPDX+-%z z@|U?wC+TV34aP-{NGQw!!e7MDpJgepXK1l+<$slc^PmzP=&&6pBHT_Uy#XW{4hIvZ z<|X(uU054@%3Mt5cp9I~?5ocjP_Ui(FxtOz%htp$7KQVkjpE*XAEq{XKG^;e7I=E; zXZ77XF>oyPH}yG%?`YNHrR+X`s#sPJb|l3UY62C5%T<#~TXxi#iI=!Ko; zJp0~TbSzWR&d71!WGi)i((bA2_}cw$AiF0dqSjNySnEFb z$KbIB@5kG28D5LwCxLX+3mclrptTPu&=9S zMf_yhaZf23H@>#_d;H6yPRA zSp^;NHNUNlC-<37*%KCG;qj_)SuZc?8qpH>+H-xKG4+x~($%!d+{@h7 z^8k8Dx?fra*Nbo4Kr&A+tiT$KmK|GXhX-{u*&?B_W=1Av^JWfp^FoD<^G*|$kciFl zIxl!_c48tvRikS)S)SEmUCU4{v~bmv0BxMS22I(r>o+f#J$`9L_$4untMQEcxl`-R ze8bk!8NH8^h=rC*O;LsyQykx(SMg&jsh|BZ8V+o)i&FoNenxGO@|DADo9(@8d{RFz zSVF3kL-vwYvyGp`loIF!)-(^P^(WV+de1!*+v}g2(DN;=h7>i#9aHj$^S{?J(&H*5 zRtPMNsEB{g!Xv3pY_b2o**aouKeNe9F!4E7*+D`0A=PmCMoq5h#v)4VPSQFu>9~i+ zeZ7YDGQI85RNi57{1@7d2ecQ1!dbT0nZm;}s-;PvJ(eDU`k~v8)8pt*ofnas$#G(; zd7)%=BG8ezyLELnpym;-@)A#i)u#`gQhXN`(%0RTCv62j*yO7<0*&-gTueR8&>w`4 z8%LMlH#F|W6|hIKe6MS{9|%BwJMvzke_hVE<77{s|6B92GDl|eWJGd1u8LzcTa8h? zjjYDn&PpukoK7mVDX_rC>F*YjZLm60zs2y}@+nBCM!yEl)K(dFhW}l6X7?>94Mv-1 z(}*QNziiC3xU)yzM)@2a;fhM8D@$EM#w1_ny7t!xyvYhPGt zxtYCsk%zIAv?`N#YGq#U1!i_Gdt_ejg;zP5T#@4@V1CO z%LiUp?ul*8b`IjEX6LdkqZ> z1rI)>>2_>D{Da+%#LCEj{BPl0!Dk_5K*Bcu(bBw-TXoLK&i59&_a@~%mW!fO+P=K;Zf0f`n*&g^U$!=+0RiLF^Q5NG>z?%QF*gf0-2{z-fJQvbUXFM1s{kBtP0iWD2L& z>l#<tRU!FO#ApR*R#A+>YfalUJP&UWbMrEq+8SGITs^1q3x9!hjn`=tS;8u z!nnPh-@@|o{(1OE1*0L;eA}HW3)vs@lS7sk#%}})m=-RX%|0Y!ixV1^{tgEG+1js%vN^~ zD6(wjdd9rARF_&ZE!%YdxeN}p13K_zA=@?g?Kf@4Z_2zqzWrc#Zdnfb6rB8IrA%PE z)Zr=F2Cy&nwshcjH=jKL)5PRPGp#`k(t#!fi$Z3br%fn?nS@Yg8v@B6Z+x1VHc(%M zz3C)tOZCRv{{hlN-(K^ycgxl=V*NhXYs^Uyh?Aj2wL~@tNc7DVEd`_|yyI47JKW;I z6FZWDj4i}LLCdZ2t}Uiw)O~G;5VLxqTu9fWLavx1uap+}iN*8+2sDEXhJW((f%&Sx zFqZwD)mk;ezj1f;kI;$4$Bf1aqYkg+^UBonwvH{{F~c^jcDGl2wX~_06+VRORkn4Z z0JqnwXuGB#e7>ksRJY>M^3joAm^B@ceu!pXH(XX65lxxVzU+B~63Y^i(y+DMf$)rq zs*|s9d8q{Yqz2IhXUNsiYEGdP#;&1m28uF=IV6jg{1xe`Av4y*5?aj@!o$lnDbXscp@0siogyPI%C zQJsPm$|Nj5Kd5|I>2sF8xW`u(G-J4xy>bk6hF1m!sPh-wb_BCE|p+r2oxb26&TF$&x+mw z-)hkbV&-xwlWHn!Q}BYK~;aLy4td*6mOrR0) z%+8yu4pWf$M&AS%tN_Xs*hZz3;l!4TW_k9_lbU+18-I0LZ;@nJ{B?Kw;CoEEvgwTb z{*kga|GI#?29!mp{tBPHdt@aW_%c8O=7WQ?2@gG4vFvt~uoHQ_km}WFD`&+GQP6}5 z8Lk$`FOT9ViqnZL);#uX6uH*-zfmLk;pipPdofsMQhVnxI;pZZ&U7F4;_M>4g*j{B z!8&{?kL`caS@UvBTZfi7kTcp zNWSeYEl8RVZVzLib$MILy{BRjmSSRIVnFa_d4pMPv9_|zN8$Oioj(x<3Gxso%rUd|}G55>$BVRhsw7f1%g8T0`X zt2U%dU~1L8BEQ3j1Ae2!k4<#+%qL%dGWawrk=MHWJML?$3rpjM$uTXAJCrX{BHeCQ8!YHMbA}C zZ+_j_?!KgY@F0JVrHJ_-z5zSxq?&To?&W!*<6nSQTfY5$x#YyQP{|zWuI31+0*k?j zvG!_nZcUb{3{CR|j1yL#ITrOa;&PY7K&Ul&Is>Zc4bxw;YI8G?P33!- zO8;K)npN|zd_%mCv+ygEM>~&W{sBfcA4XSw^72Q+f*2o6(Mk~9Ck#DXKN`&O)mP%e zi2!gN$GtRH2bJA6Z~f z?Y*CKms`~d`h2O2C(d$Y3G_>5T920YoovN)YDJy~g9QuPe)F$z!{qChOezU8* ztOuO2C6VrKJt~~p6%DfW06zY)#}$3WPdAZ=p(AAhuAH_8DVK^l)l(=W}#aj5Mq2VSMZLlfyZJagC_-PIINI>Pjeu$->~T_CaT$jev7i z2orhU3*nL2PyUWEa^clWIzl#T`IhsN8oPU*VsY|G8NZWXKAss|cysb31UaX%Sh+y7 z|2-FwXQX{dW(!LnwbnfA1LZ>CrThT`jC95`Jojs|8ABzc_$)(otKw$Jj3~^O)ro~@ z+U35Q_&!XSe;iDfmo+V&!-aej!oatarbwluM5%X2=pTTiiR>&f@2iatK{%ypYkggqTxscACsMcsnp*)DpUK^Q3%k>DsDIB=&%+32N zNR{aL=hc`T1@7UT#f_Lub7bcA*MT>7^f->=9^ic%zSh~|6bIOK}^_5uOQaV zrkDG1&){L*x^M3e`}TP6&bBYQ%Y(PgulMz5+qpe$6i{SjC(I>1U^(`KcH6d8u>5s4 zfsD;fMpM| z&XdXZVixyl$-pE#3mH2*75&<3fcacw@N9Pdx8OF_#wM*dA*l1lc&7jVj}j4*D|06~=03uh93l5ra}D_}xgyLFL#`1ua&W9OFN{ zRth^#E?*n(R4fJ>Ned%;S+?FxKVZM|R}4%7%rvXHh$S9Xk>mFHRW* z4TxwxsZNXD%WNOu*dO&W(yec?p2)&E<&ACTetw|2E@`*&Zt3m@(@)TyQ}6cf{amZR z1|AN4j`6j87gEu!B!>l;r9Nu`a>G)#fL`UiXe)Wpg`|E*G#^=PHVGDt5WzQ3JVRze zZ!PjmJBnI2uI1*@YP@IbbIQUwd~yL#<6neCmjqHkdS6P*Vwcwq0STPNfu*} z*$m06SyShcuIatT{YUtZOuxGJ-G_6nGHYr#mWAS+GT@D^ix)DxnR8d)#61FIZSvFG z`$8~1!l&0{UEyPodsp0eq-&8^9J3At2~}9w4&THffk$S_ENmYA6`YfI*oov(25bi_ z>KMgrSzjLcqAfgw3Xia5Ix{xl5je+~EC=x39B(?mdfwbJONIC?uQNRzmsv2FUt?>i zJ<+={Z@+c%sr}uW{(!mGn*K0Z$1$3=>`2Fsr;e}FFE^&eO~DTbt<2D#?;%{zVwXMC zB3=IEkI&ZfT0Mv4D!%v-QlXB(yMN~)%YbDjlVoSyWFgUM+3URNiI1q(iL_iopHH5d?RuB{+TXfjaZNJ( z7AjE(w!uR_-+_z%qp@Ibznq}R(U?>c&U1f}cQ_wuna;W~EwB6&W%MiK=I&(ajrk>P z>y72fICv8drIb&*Z%m<4yGspa21DFUmkdf86MOCLC;cbY9kZyJz>&d3)`G#F%U~{l zwb$uQO%UR%?>d$~ooj52cFv*_+&KALrK_t;g1#+*!2xrz6Nl|2EA2WHFf!|pI9DM{ zI+Jnk;~~wMRX4hqS%F%%Q3Y#$t8OY&!&@>M4aEV!=VJD+`qPi7Z;s5pOo!8E^mId3 zEj&)_e`Sb$2+3UcwI3Zh#Mx-~9mQN^0jc6y%IV`Y<-#IXP1gx@NfeIo+>$`nC;3qI zy&~|AWUWrW1n1~VK?E+o73s4Z_(vx|z3vS0k47^8M^P>4Iu6M{Vh8&6>8YYw9p%S1 z8K%}yTx-4uM!qxj4FIpRm`8`%X}bx~y?W+BWVl@Gn4YYkSfgyEl$XbUL5Eq;Wr6f< zV~|fv&&YggQ|Nt-I;ZaQ*c}`Eh!pQ_T$`qPQ6+Dma{A@#e3@6JGTR+S9(;F-9_)`< zw2#Vuu^5=a`$y=H)1R)&rG9UnYuRr-kMb9{pT_d;?tE=j@)A6``#kkC@`4pZ?#8!9 zZ25e8ULv{Ad1$vWSMpWk!Ze%F6{3UDdXG{7vau`6YQIyudVL*5@2)q!?uI&#@&l?+ z>cOiwGt7-9A?|&X5~AqLG|MBH9gA~*=$6DWrnY{~TomdsK`n=Bd6|4OEVY*1IRr?3Y?}n1I)q2G|o_d=V zm8au8di{@f`tuwd3+em8AyHkU^@l7^4*Hk>%h<0Ok$d?%Vd#DXe+yS$owqNHu2-PY zfej4e%9AeYzT};m4-aUSK1LZfNk|8~AwC*f6pbY6@XL8ae(vQfEw6D0iTU2G#--*H zoH0;6SHr%s>SMP{R88({wy$H5ffV$!yEQYM{E1?mtOZ#Cmz@v9RC%BI-jmi5VwT_x zUq6cQxMW+NA0d+2FdI1}*#l<0(ILHIP5?1^3Qj^t#6`~R$%mC=b=B3l%#2TH3puJ+ zZmkc=Y`iusaaytc^A2$lfi=AFdY*n-Y+L^$w2Ob~hMUGLm^8hT4xS-Xa_#lq+zqPN z=qLs0Y(HWcZ-hHcO<4I}ze4^ivdpQ;qn65f_w6&sixBuR=* ztR0Cc^h}0hHXsFA4x6untW452P2CP{y)Zi&r4`y6%4g1#U$fHXnx3#p?_q zY4HU)8vA$bC+{?hh`r~)1f)FN?-bB1qHafcpcLzW48e97i>VRMPloEs7UKfJ5I46l z)8G+{*S0gvAa_vqi9L}_!347cVkXt?0<|!`JQ)=rE2pCY9wGn!P0iED>>u4KRCmtW zDv2WR2dxOaccn2-gJR_7R9)TuN1=t!w713kTpaW+V+B2X9tRPBe=9b$j6-9uB@~~^ z0m9xyQ3P7yLf!=k!c@@A?L+JGA$Z;+8xJUwuPPg1Gc zT+jDKMZOBB6{o8C$lt?KMP?+wCHRWjQ|O5nKMALIsqPjviXGL*95~y!zU5a;y9Wq- zu2y`B{DK2YX+~3~UyCD&@z@Qu%`DN*=w-~jlVb-8&o{^&YjJb)6;Y$=8@qYW#rL^1xwym<=$sCQtSgI< z_F&g>ho4f~%zxq75A)3nCNvCkht@PwGL%VC-rGDPMWbrEW*>F8al@*s_CV8t48cc* zd*-lEhu%4?pGm5xVu6*qwwXKbI*FeuO&KdiA8VGIBNBN3u6~mC09Ua)dk>OwH(9{x8ec82XnK^rEFU ze;avTWIMxHK*B4xuzyR7$=&u7dkVT5L#7xXM4N+JsPCz4%x{($^Q7w{a_zPNFM(KK z?eaP8oTWYsYoW;|Slx~+>II5X#c$l}smVS8i^o+NJ2N}8W-bd40 zuX#DY2gT(2RDOlN^A}T=MFeLs6t*(ZuHNKtlau_qe<5jV+3wylAs|U1kAPPb_90K{ zE=nAK3q*$8ZfW=Cw;KK;1aX)Ah-f>}adq`^G~&C@R|dMsn{je8@vX~Y3)}q9~-ugtMIyi|`0MXu{d}vCg$a zmQ0sAyq-TSdO;Kq*}BYnnfxsSrPzr}v(i$YYpN^HzpQg++o_cmNHUTjQ5+~yR9E-o zn1KJFJ@??GK0horw3!kMdzHo!`1HNumc^Z{2eNwQf?`@~;S5e*b=4(piksHF5B$?T z>are5y>yTgTjLAO9gLWLy%fok?6^2D@E1nNRxgw7%pd!6k9h1aME$iRl6Mai;p*L% zO3_`r-5<7|vO#CmtrA%px%fGk;RUV1U#so}(eQL7=am4rji6rv*Jj5Sci!K@#c%Vu zCRKbj6Py0(0v5jNRLJL9o7cL_5tbVm`U#w8=9sFl0pAEOV&B70DB)n#c4i>z8&Fmrei8)os0>-;yZWwljn2E@{|alz7va( zG_0i{j9a026ih1wViSnlOwr2PHYXUuPe7~nMaen(7h&9%ksJl9p0BEFa@~h@44GG~ z@1D3!Mc}pve+Y)B@3gLQqU1Yo#0awM=~QeV#E7{)Gjyr(&(M@Dp6m9$zD4Wi>WXM$ z2D!R3|D)*@gqyD{HD4(pM%j=06Nxjr5Z6m3b3m3P_$?&zgMJ&lv>&Oeq-zttp(#3z zMjszVoBNBo$)6^Sb`TmCwYPs}j}>h1zsJ7CnOA_s7@WFlE?G(H6MLpb394` z%ec?F>lDa?yQ@~co^uwaIx<*!$pW;jt-0>izEtKPsG{%}+6!^4PD-g|=>psfl6m9& z=9imitrDj%0e#^nEm{~kDrank3AcqbkeHO$DEazIk9pW0kt>bq zrH{Teh6WOBa4Ba<%3ELGE`;^L#g(l9vKCLCuVXq}=DmTgdY=ljU{16;n=$Y0?DoBK zVe$^ggL}?D0Du!8Env{?5Q9|}<#_FUrpd{^MWz(mJ9GPWNUyPjGBnQROoFez=zIxr z(|I7KQ1I3mUst19qJaBhl`TE^ygVb2Oo=LbS5x&EsHSXZAa5%c@WGL8ibsaFh1)HF zNWF+~gpJ2JG{jLep!%k#_LYFWNsP_mOmFJQCLA9r4)$fY--hP?WV@;E8X@y}Ekb%d z^SE*nOdqQM6Dj)o^W&MLwVwUaZqdq7utcbt^absx;l8(pHyJ-UDX(%Crsi;`DXwUE zqxG_(LClr#Kgj_;Fp!sgScX)#)zVuhYZX+w7d!BL0scba$)TW`k(2Qzqd|2Cwxgio zLsE&Y-Jio}x=j-IPd8q_C2#ORkue;R8cpT3iQ|W#CelKatJz^T9ql6l5O>Qq?+_bt z1>%m44Aku|srYa9L@BX8c61K3GTQwq3l=Cl^jx^Y0lt}#^a_V>cR`GJA2T5m-SeTR z>f==)!+y!V4+I&c1p<2XXwh9aMr}BiH+?bCbEc5-Y7&D$tSQykyfi(H{XC|rXs|zw0ICCnDxM>_8CqqcPDj z=^JjVrXMWfNuUP;;4)jBG9|9M&Zw3wrkug#sUy!1AWO;Unu*8A8#O6D)?o+vb>*+T zpSJb;6hx|3<-#Vk7G-m{j%u<`Yf@qU;fDtnn7z6V*NAgBx;K9^rQdLQe_GY)>-As? zK-7CaS2g`dBMYIbPSzMRFrGp(^L0hF4Yb-7byhUjl))g^2zLvIi}#hfZtS>gw+fFe zEep<7dnnJ;*SAKhnZ4dVN-2sjTC*`%);dj|&X}jlD&e@V4jJ2x=Si=p2&#~wxx-~Q zlF>j|n3>wj>17jfyHI@8DmZAO&WEgs`cVDChUVX%@_h5$NpLVvXN1V= zKbj(|turR|+oIIzQN}o?UUMyh?Ia#oqdzgx^BHe#BWXDU$sW5Xw(e$S(0Oo#JBdKa zu^W0OT#dt>%2+n}H_j|ITL!y`DtrULTY&#{@xHr9DSd30?sc;Os3|UHQ}y!bq`v>c zObFA4>MWkLV8A32Sq-a&r|9$|kopU?Q(1u3j`H>3u zdh(}&fpv^RCc2{mwGEs(LyIuBr;o?m8Ba$g1T41Qbv1M;fvkH3hMKi*#(S+bn*qp4 z1%L;6>NpJ095;Tn-T?5ro65%u7_{<`HB~4q>^ug@SvoxiC3hD&RTMP@C)f|yx!YRZ z7jZC~0Got7>4Y0ezqO^Mj|z4=b)nN^HxeG+71_Ru^{biq<)79FlvbRPay2hr{s@EScv zGvg9ByP$mK#xl-ecx=c=1hEIP7NWR^4(3%CHOBZRG#3}?U$p#HR|cg3Sh=;ZE$x*Ic z2le$`y+lgT*+FOZ|2|4u+7si~op@K;LzfgKEkjTP&KENV<7?Ya5*a`wU&?XL3Mfg*+52SE$MLY{o#Z1~KXuO$9v^EB zxEDttG{;V_{klL@^?GJL6aAy2`Y0m3VdjT1-KZz??#&r~bDW29xjW8{are!KkSl6hvX>Pl+q6Hs5UKIzg1HrTZ?iv+UwaZ{ z@00cDNv6o{e#_nO*Wda*XBv-B=6d^a@jZ^vp=2w3E@KD(%T|Nw#&0O9S-14=p4*tT z`PPfuZGa-L1z8XeZAw1{xl$U&RRsB~W_N=wzk`%SUaJ=ItPD6w{BSaZmg&;rSTFOU zLId|jOr$t%WV4*U@lSEjq|v`E?+4QO&*K@JP$YXZjCN0@JY3&*>2a;W`28`T2RbE_ zur8^3yn{F0$xQhW1#@NLK#6O}e9W_)(aJ2=!JwZw3KUp@AM;2~T@iZ%c4Z`PUCQhSd&f-K%52|-E*l`p;4CZ5$0xfWWPJ_V zN8(CNMJw}D48i%{jMb}Jdpi;9(aY}G!faLPS|f0Vt>l-0Jc2Jgdo|++!F*7%uSP}| z{S%(BnzcQb$RpXGM(ukh7AI?yEfX+jE7MEVT_)V-iRm-J{$_Q(eLC~rsA;4>)k4JV z-Te+D-_(Y{wA7&ieX;ijUkyd=z6;l^%&ZotDe<%2up96_b1&U7-QZw19|}Q0P7QA& z>Vso(D*G`^qx?^6@JVXI+jXf!!xL)ni%#_g1RE3eTzDaF3MXd4wi4!L=wUT}2{P** zI6Ccmf-Kh7dXV1aZRs+Ser0Aea66d)_^&j@@cnJq%%Y@MuIjE_!0LS8+9y0M`+YXc zecMSrQ`xVWlC?=zg3aK?;$w-a`~ZCFh^?Z+J_~I&W5d4H#o0JsRf)-71-_TMr}vUJ zOU>FIDsIjFX%}~qb{It>#;g?|dd{&wb3LW`GV#v(siF;S1Uc=DFSe>g;(@})@tS_` zH_3$P+m%(z@)%3ml_r^rxmRBUR9G1Alj1N2unQDgB$h$F49S;G`4BfCF{W}khfvL& z%a<+Ea&ZR3>;EFlj8lABKHs^g*!lAYiEGl}jsF#cpEreWeD)1xA(Z+tep6)mnCv1v zz_dm@y$v{Q?no(2>bKXuO1I}rt&WB`7-o%)D!f@YW-Ighc6ZsemD9CVCGco235DXh z@txY+iY6Bg-im%w%$%)l>BbyCLlaeG*P6zw{ceb>F;>H8?TaqqzQh+@2H9xtp<;Tz z_!+VOB6zz$yee$HLJ*_UA&Z^RDQ`iKI9}$=I`F7jvvO=2nH?@KX0#a*F}X|N3|;q# zUbdp`Ei+(p!a?o|mk!=a;m3;gm9kD76*ygEAEs}7fosG(k6}2`{#oj>xT<{bB@!mH z=|ijEETM?z(2pHn06pl+5p+}24GS96=CLw=pF_7H;&eB3<9giiwo;R3Zyv7_kL8y^ zPt9dnCXGRD<23Ie%Uuq!2(P|%~=tMl}gb6YhNw;4(v{ z)eyEP=KE3l1U(mT5KR(hLv^7mH~Tt&kHVJ5ec!&cJ74iEBKE$%?<_dYQ%CHI-rKmy za7=m61n@3K45w_{=8aJ`>TjW1zr45S?e~)^;gh)D z1wuK0=GREoPTzo)Hhd>VJ>dK9%l%e$BtPs2^;DV=`9y!`12W3r-`=0ET!Jvo8s_is z`0Z6@=c2E_eZbs8tGe6gQ2VeyyNZp-fw2DcM=0hpR3EuN>o|rvy)5$ijW=!LJOlvs1g1W_aou zUM*HA-!QTRMpeW|n+`ir%su{qdm8cB$!~wTC+bx+lI}Yotl2y!VtuH|{WeKt&?k-C z*sNNlkzy;~Y_b=t9@CQrT*o6#F3aV$xM}75`6*4lY@Z$Y2gDifsnjIp_i=Fz$nv>~YJvTO{zNX#7u1~$O zvF_2@abAJEt7HH6)1NJ)F5uL-zEC{yi@m`_WGTFN5+X*yTt

  1. j2+N)%7{k*E@n`U~=x zuU<)ZPGTde&5FqDgjev1S_E?7zZC_fgH>h~cKoZC5$^+sCLX{q5_2x7(4Uh>4Q!W zl`{Y_=n;>S`WPP-7eOwLG11$#uN!5k}_BWX)ta`Q92jzo15cOn4;6 z95APlSG`VnBrUAl3nosDs3Z7jbgGyiI_h4beJC392Ax&MNE(lN7bnl|#o{%?BU3rl z@C1&dC3{iPWag}KGA)Gd)=gLD|JY?-y6RLIjICj9g6q{DZTYIZ2FwtE+sjLOx>S|x z(QsU;xuVDnfdyXl&MiQ5-zrXAn;;k}7GS&_Dv#HG!w_xC6eyt=v&Lhxgx~kvMavr$ z4w}=ge-zMSGe<*|zw^<_yD~lU>Z z8z}6gpnFB+GCbwh8!#T69_PB(`(e4(db!qkx%k>LeXoI7FT7B*TGp6a>p&G@&c0cz zyIiXSkKvK1h4DxR@Z7AmrUI|c>~(@rPk=X4Wv$suL04;yfjbJoTj=}YEo68(@VDQQ z{XZ>k+h*v~Jr5tbj;n>-mzwCAI?jS2D%rsKKC$&*7^^xTaP=xHD<&WRyD-|>H zQw#(8A|=M0=Lf_0ihnc}*4+nN+BBtVHbMj9=(s>ydaq#d44=&I-oh)qrhBD2^nV(9BA~T{Lta&TFp>KlQv09Tx~iqqM85 zmrNJkt~KFoTh=+bVLbD-10|?{XnptAP4ZD^h%3TWuIWt31WY7qO{frwJ-#X*?8t)3 z0IEZnN_(SI?s#~>zRB0ub8`crPX1lZOJ8o_7;ix^t}SL5=861F8JLt-L>BG5kTcd< zy>2&D?Hw1y3}Rh~wH&&5)pR6F@zm~H_4fPKqN+Q~o$h+YcZ=9ZXS}Y7yKYavUO7Ez ztn@O|*zgV|sST9IZylYzd>Sr7Fa2N)s)Y56CevF^n)p_B05LJpjD-1nO-SU9uH|so z0}iKw>{A7eQGu9A4<=CZfcIMPIieYZoYA15>GPzI=nHnj04An1P8kxW*E=TdxsA}H zvKI^hOzx&d#CMc`El=5L5V)P80Vq6rKjQjjac zqB{&gxIT7{R{D2l=&gFEHhj&!H&ApE((5$6)%Byxt4s@TbX?CDlaYAXQfiv5K_`rJ~lOnoPYGL48vtGb;*sX zV@P*K={m{Sk4!ZD_{U-tc8Sb3ZJS=~ehTqEQaYcM?D3R})3IAMacY5_v z^M=$ahl>dpB`Yjsv=eVOMLW$TEy)VEY1UF+Wblv#kF zPx~!-uDa%1?)e^0S)k_#T30u_-?0P-{2?1WuRD(ry3s>&VN3PZ9^pb^h$F?sZ4oy& z8ek;>$Wjj`MvS3*vtuo{e6m6@Fnow+Z%icl=!Kr?|C0`K_)50a4HdR*xPcVFmUnKN zDqVa2O90;l(1ojT(V|IaM&TGn`g5&>+~+@E`z906nfjbI2`S*4>+8OwBMjQhlg}_` z@FOa;z*ulGk|jHvDJ=$!QTZ|P`+YKe0UTt7ZIylpAc!abyT0+W;Y3PV}bsi8mjhyaVrx^1wlmmhti^pY{1N;6_U6@ z$F1Ds-nAty?ZH~WB;tyI2h+#FRDehTj3O}G0M&wdli6#w$WEs-$8KNS&Ti;pc%JkP z`Oa#YV&LKxR$^aEKg#f-!(Sq(Dneigr>5t@NMohj%&c@(ZV-)6@JdEq^5&>;Wa5o|Ohy26kw zsM7NohVq}zJWwV}2(v@K&;pG`1j+?2>$&KEj~a!d>Ctgx5p|H3s|_sasvNu53xvD6n})FHp565o=*@iY82Bgd!=Pp0r{?<+2X0rclRRBbzS48kblE}l- zA}Kkk_%iv);rAH8G-{=2RPtKiR?kl{u>j&(@gG)v6p~h()i7j20vbwVLvS9N_|*Vt z4*BVO3*r1o{e;;)3Ku<=5eiUv+Qn=+CW;X!|tGOtKQ5J;CMg7e4jc;kI6cM85 zv>H0mM|^qvU6!NgV_>rFW*}^)sYo)-$ErQ2Vv3v;Mm8M!>hbOuC%OR~!uf@NYzwMqmdj0afb zeif}f&q|vdAIE6aG`d@V5qXj&C>#VX?oL|I54yShYx@C9MaZElOH)JdshNhAdH@6g zlTup2e{jz@1bOIOnBrC;<(%i;XsWaymGD4m?>0>Z9vA7;PH&p7W7yE!Za@&e@r@4R z8nz{Hq6gnhp7Tx~bZIuv(SNv}nfyZqlH!!(d;p1H1JMI3j+X7n0U*rB+SIE2CUvz# z<%AkhP>;ZX^n2%@UQPU?X>S5=BkpyIqlP>WH1-=~pgEKrd%Gdw#%Pz(35IzTt~aT3 zFs)8<<_=MeE6$*KT+#ZT8g5}+v#vTz^$Evc!1tzo+I(NNqOv0V+8iLIsljD!E=%Ch zH5m;$4y*C-UKJj@xi(ai)6?pu*`m6l|Cm4Oy9zM_D37j+q{m$Xy15#WggQ_(Z6 zL&}@RBth=yi0|cL+JAciyMegQG{(HB+I!s{!eZ<{IT0=7uq2T@;H50WNtI1Ki#>%i zoPr+F=4%}$qRh95#0%Pu4NF7zV@JMYT!48{Lb%OTZI2=75vd@nR1qkidwE8K42E!F z6r;@oc30dl@12Nh`&Di}!i?C0;&(Fx%?fbiCiXlWQ<1mIQxtIhncG5=zts1dZxjnU zZL3F|+3BcQKf)H89xacJU=uJ5u7E_s)UyK&WY3o1KoR>;FmP7~2eX}zL?Lm{5%b$A zQ(y=ccoM#Th@TEFM%hh*p=bmp$GI7LN<0Hpfg{6U7~m+Qg@l`tmMZrseN!RHA(R%qOz)#}y}M#9QS|)-4?iomc!a zmW_x=w2fO8&N*2HU;p5K4^*S_5g>*DOFypm!pq#xPUB|tX7|t2+sOeEc=lQiI~#Lu zKCt5kY(rDxAB~tv7uVAto(|##;Ia@$$C~}-ntsVI2FOw)CJR}5jqm7-^Oq9n@5g=2 zSpGb3X4gCVy{zcDhn&NzW&I0!+PJ`jl;Y`>X)=W{CS5JE$f+5^x>w6nJY6tYW(+~p zd#MnCGljBqR;U0x5v&Q?DWO{*w#X0`IH7n80=GQ$GGZ_vOsRp(BM3m48Wg4%SU#LbB0 zF-H>n=jvzn(FN1>!tE&~VZNTdvbF`;jCu5xqjEC0t{Ay%tG$u5o; zvc%x1($a`wRMjCU%lXvbgWCd3M8;}Q zcCR$yk2~<{^~1cQ|4FRXYe522L&53On1IG6{QR_D6Z6)FZ8IvqP_Id%s6(!$U~lL0 z_be46D9rgl3#Oq|Yh_0iz>{)+_5=q6iKv@w859DP(f~#G~MO;Rc!&+BZB-eea4E*_qzg+GOSX->IUd zEwTsfK?D~&;+Y+h%m8;on3gmZjooONJ$k;HR0&m|aHZZ81Rk)7QhYp-ZY*O0BngNvzV{z!tHn&xebK7To^4BaA| zyuU3Lqvm}_=<^bneuUo&3mX^zIPg{R1U(%*<7A*JWwqT~wgu`FrWNQnD#5zARc91; ztG&PSbW4=N#s4JdlSO0L_27@9BL3}mtt~12Zlyj*sdcxK3I@sUeo4GnMS9rf8FU7* zX3_SRBnO=r$d!dokPcYL!IQ|__=_rJ;^BN|Mi9w1-@jHPAZhTY5Qz0hV-hI`xTf+D zdP~i64(?6gV@*BR!+>pqzyV1n@=UsyZ%J2g1dV){%?Qco1|;?ow95nUnX^LkZcUh(qsz~Ubu7E%GClI{iMGt_S2MxE~6}G zLOzfNZznt9;NtXvB@zsPDY6=aimQp*tzo%S<5 z`nSG3Fz7$zA2Kpg_TJ%(-t81$&rp8a{!F84#zs>-W8PG6;@F2$N-1cTh$LA_cxIxH zQ2tZBp)Xol&tH{vHR0Hqp@hj9^6`|)VOg|`;Reu3tR)fqmRBNvM$7yBfYY+BiX zy-F*d#k=zyve#v0DbCI%6|CRfS1hn?nTR@aTHSC>#@h8btow|-(nz4lhWrk>Z-s@t zMoX{nb}0~r)_-`Zm*r;7;Hw_Qect$eG;H%#PGxg5f#L6+B*W7wV9T^+eSNgOb}bzc zqXouwNLc&;P-fjw?p|g-Te(}gkJ;UKOg!)QOvzp~1 zDTNM#A1k44dz(5Lfr5kRod&XY1Der_0fcHvM=FZo}_DpjbJ9)%jOD zK-scybt9@Cn0SIkJFJcPyw{d>8&gyzC2z&X``$mORdvwqGRHiaU;odC*f~COHpGSa z!MJW8(bnT+Grg&;d=xb#dm*FeGjvjXe@z@2N-)<7NG=QZYkxBtaQ$e0Z}RSQUfu+c zNuS#@IVKC$vH4Gm@eGMF1FgeLUbsptHRZ0FSuLG`+c^CPPUpt>q=K3GKAL!kGL3uy zN--&9y8jK~IPWC`_^jYSkQB9{ajD?o%*8~r99PNs^8~yQJ3p+mz3UYGdqn}Zt zZp8HX2A-XPH%(V9n{ZZRAym})tJ0~U-TH<~EoGsGO$!gtV;nb6GYVQA%D{MLw+&i_ zdl`dRlq$w9MpRcHp`2Stmtx5WD22-73K!?Ilc#J_o;x=!czfa0Un@e-@MC*t)R zldkeOHBI%7W+wu-s{jaVTvjP>-2YN=w)}!SQt`^2dBtI^8|yavr3a<1K$WSlTp8PR zUd?B<|7Z}LiIw=??Stqc;e^LlW5*bU%E{^9KaZkDC63+O!4exN`7R3J!q}VQ4nMOW z^3GRmI_uKbQWltU1hyb}W&##(eDa@7pb3xe1{HXG zInct<5#b}Iqo4CwF|R8r7_EFlBvpeYG@;iA%t?CK26QIRGA>fcLSstOOWv1z_b`T& zD|}**?~%D=LA1p)1&t|-p|z{9I;zW}JpNY3hxo3c$?b>?zM|0`3vb5YCHVS|1(5VZ zF!J$nODr^~}sSaxoeCO)9GPL}QRs z8V$9U=A$!=bz$@clWZWtxjF{{<3acN?TFG^mBBb&zx#h(EPIp(-=hV+uD+lW>vF9qk>m)>jf3i3 zb$^T88Dss^+xzNmbmHv_*Ko?o+e{;~z8CVsA2G!ySHoR6EZLT_>k|Sd#@&jz9>8_n zlhE%~{Knrwdcp-*ogS7v$P?p?X-x8xv_R|K{k`t-EI6w`F0o8%k+^3(T@<8T(5@IG zIAN*dC+&EWLfHOJ9{y{RI#i0&Ffx;<)34Pd;-xFOly}Ng4Fy+kU*K)-9D=^St@o_l z4e^G%$A*0U={rNqtcpK3xHSa?@HKS*HS1^kvtCZ@tE>neU9Z})?DX7h>T%t}m%lm^ z2mqjE+fWG#u;;q51f;m`c9zbgQ>G|IZW=@~x#wx!H`=hDASyzyc+!jU$kk22{|u<1 zw?)g5Z{B8D575BgDdMe=IAiccj$!-tQ3&Ub;v zjHi}nHjiz2XI<{!LVn}IJ+@L?-pvJCL%qDSG~9Kf-@W87Mk>J;=jr%i9NQY5BPKAJ z2j=cFepEh0=?`%AP&?Jzmi7Beji%#;U?5zo02HrqH*RmIBLseFgSUHn8Sk;YSZOz; z3if2YlGeG-v5S>o3xeI~wQbMA~ynq`q)+ZAjmgmlRCl$AuiqW=ur@aS(->&=ji{khZMhnSqEW_thG1P0`i%K$ zwV^n`SZ8Pv5p^R7#Kt`7ItgKe#11+x=HVfb&u+qsIruHy^B!m7|VttC;z ziA$fYl3qxzE#O(&MjKod?n=+t^+lX984~pv^nH5`TUz_K*W831(GNgUoJk zlapz=_9Ygor%ZxkBI3Du`NV{J^*mb}u@G!>3|o45`ekyGU1{iBZ#bCNr~dXkS$Q0m zaZy6&Gp%N-ugidwCyM9&AqL`(9sbxL}-o(ElAzfU?l*o^=^Hu7s@BV?(@m5WM&PVCO=VY*WIsq@XK-IS>vx?BC#MP4W|XXC&r;h?vJ;# zsv%YF7Op6IRG{mSLKxMGkoL?6oba3Q^^9c=Ix<;;Gf*rd`1g>UQ_d*p{3tU{Bf>j* zbPB~R+9Rc&}9JW{*-I1k%zA&wx)zxXPo7Lr=VCkPU+|75(HvB21iVzDMe zFJ*!c4m0Ujk7%pCejSRA}pFypHQy z;&{5gzUM+`7J@;AGoa*=t2;d&dM*x#!G~iZh!2y{u4Ymf%2+F*VE9Z3U<+vTY z_qMGwd!kUie2VLN46xvhLceDPl8@qZw+6S`o+Uvkn7n3z951QwQ`%lWk790*MZKSr zUoRkxNI4YP{55*3QO)P|_I>Z?)LTvzmf@1EcL+1Vg`2)$*1xN0rS3p5L2nM>#1mpXVX;+Yu}V1^w>_pAV}-77T>u5-F&FhS_y`jAC-`=$-b0 zRgo#cv|cwf3N4cM(kRfhIrTeC*`6#Mc(zT#SUuCm=Ltwkm6c+(^>YSbxUL7pJC*l=vwsP6Q-cft)rg!7b$10x0SI_Q5;oG2Z8);$uBV#?K zrGcJ*9u2JlI%dsx1dywm*mPvwy0y8ZGo`t{<{E*Z33g*)0(`GmA&c(K)qn~4OmG}( zBI4cq4d02Zwc3I_o1J2}{|U`GkBLvvp@xkevF^UA71ddM_WzWME;RQ%&l7{J+`a(5 z$iEQ;-fSKDy+z46|5R2;Dm-m?`U!kz8mAE<(RsB%bB){bfBPD_krnzZhMs~vHA)pO zqt9BMQRTeJ72K4aAAWg>yT;HqL!t*6@lt@ZJtN>3n)v-Uq8(|%GkfX2>s{UTH(gTC zN$+L{{wFbwb{a0zr#F0usgR--9A9cvI7^y+FN_&2yU3}UDCS$87h z>T3L_G(F=~1ah2#U1VNXdeHx)>a63M`rojRfdZ3~9^KtcX#^ysJBPG%jV=+SrD1?b z4Wwaoj8cZwP`W{IbeEvC&-wnI=bvYTy>^!D@Y?6xaoz8$yMyTC{#oOkl&WIuP9RW} z70q8OD>(>!eGWQuco$DUH!B(XP&u692dX4uT{zATntk zKO}BGUNTj74%X$yxH8agOX-$EMwF#s;igkgJ49pBk_T1vqt zhmVIe(ydFf#g%yN+%QwScC+i^T+AYc6Len~gmnh<;8*eh)M;QufDdLAgwK!3Rv}HJ^t!cC|0lHYvH) zxs#{j9%jphKul8a(|<(Ew4vFl0X6TvE z2wwUu;X|X#`1LJ|b=F>@a!Qf3RHOTQJ>wdg!X!$n=2rQ#CK(4yRY6tUTSx$vz}_eS z#f|=dIQGl0RA$5^$tkCk#iwiMc1^zl6$ye9Td}=`BA1_R8NU^I*-l=?{rqBAr_Bgy zGrlHsiQzw?3{XVNrCS`)sPum{)%-JRH2e1~i@%JVPx=c1=p3K6;w4=}=ZBLpeNn608u$=+rwn z3sedfxZZx1w|&tNok(ptS=RRY8maGdCPMsU85EPt83Yulu=1Mh8fhlHSeI2F2e7pY zYmu1YK3rZb{uZ6C+e=9Ja$AYdZ=PLHBi+VzL}%8GJnLEWq;YH(Ssg*?&Oe-uK~6`7 zYy5n}FW(KbdllH9PmfU)%~IigHRogn>kj#t@_} z7RHmGsaBRmTkCl%B*-Pf6NbPjSl$l>rp30{88>avU z=rcQwkNHNFa#X=uubT-(SC_{zHL}#nEgZFK(q!DWaf&OE(oRwDYrC}H`1bpt&+6*u zq#dQa<~yLyKK=REu;{Wz7#B-j{m=ZP<{gRy+a{*uH&W6pa<(!tb%kBbrBccRQ#Hk!(wcM#cuJ6-Ub;Tg7YKV0}gcb{b}bbW^DSmJ@!m8i=s;xD-pT z-ieakhE!ph!cWcQgc9D>3NpT_@1g9K5nC?g`=Lt41hcx6sax;YHjTV;6|E=5q0EdI z)5faY7WA8GN_^zATt}VKd(PBQIhcKS;fZEfQT(lnIcx58A2M;$pIC9m2=?jd%5m#F z^zU1mc$B8rwq1xKaiL2_OK*!w9=;O2?1frQ(>Xj>1;pt4siJ}y9Y73jUeshi3}7!_ zRg+iu`!YT|x#k?N8CM*%DJi!5o^>f?TI(u`dZD2ehfOZc>o}yEC8mY0l9S&OvxEiqE(r}p-ve_zGw;h{DGM-E?le#*~f1n^u3L<)(2dC#fUSt zj7#0pM#APE*1ZEb+yIhm!i#LGO-sw4;#hq0)(5|vs##|%#-yAk7*WF?o_jj z#!?}ql!wtt1kJ{(SEe>^ar|`A0_Jrw8TZ4EIR##_mg~S@ZSwTS&UK@m@mOLIrM?6E z4?_fl0{TBr%Jc+HN;))3yzT&n@OQOYs!Lvt`OyQ5#fTK3YLb~h9DSGkWf{nBE`g}@ zuS2Wk8qmA5RH@tcS8o1FVmmXoo6m&4v{xx{Y~u8v$AWGfj=JVU?@ zJl_4$n`+NHnH8y&mMTY?$gcByyqrg9-wj;C#amS=G-21?o+(3@DC@1HK{Q{dNNo=i}b%% zg7VuJrLC|gW$VJ#=N`4AaIEL`Pr}()A-ANzNFkk*LPgBIFin=5e>l#_B%ZliN>ly@ zYd8JL7NeQ5_K(Y{Gu$9XP5lw+8y<{2^XqYUs)f%VLeeJfv-zRpI+%F>7k_dYA1aS2 zrxAb6qthK@Ddt&O1^-?T)?khL`l+L7OX4W+!=`VWe#z z&HSjQo#DIRHS28i`z4(*KwJ~z7k+Jv9{7i&4-VcR2&=(6sW=$$+y~+98cz&30UDw} zH1}QJfdUyGscvhio9nSTYh~LHy>f;LTS86q_#s6<>F{9>0W2GF1W#NqAC?9f@bjUOid#8FT_p?Giq^q7Miy*`{;%>? zr-cQUhOTPnQXgl~#9fX=Y3rYjxb%Tw?3S+7eiR=eym@9o;qMctj1}uXWbwyjWu{pp zGgKnq+>vACc)EM(Pfp=QNo!UMq5(J8@hQD*x>@UIFDE}2^e)FJ?u52}E%akTa#tNx z#6Da;a-n@8F|}>n_2@m6Z>wWMW?r6N6ajR8s{xIn|NQwPfQC`g-_rn^_hd-l(L`cD zABJYUYC*>Ym;B@I5dX0cR^s1f7zkYir*Lq-2{u{eI#qjg4 zOuT+HW3a1w-@;(qXoPBTN-(_w&!RF5GtISEl7hc9^IO$l@3;}=S@Y88Ja@2!9>SXL zxZ@SnG(Mz`*0$xcvwMor)R@+_&{kXQ8lRph;OY6skt%Pgt~Yvuu58zvjYWb?x$QA__KKp2 z)Pv2~<(pCJyv}OM<_2DP^OS%`DKVqzq+fM)5tzSavsN?* zqmGLG+52c2lv2>^>(ITtb_d=G5J>W&@$6f#GGs4;cTeGc6E8492)PQ21eV#C#Sh?u zzvY90u;KL-|8Xd3q2gR+?xUHh+O%rt%!X2Xy4o^}PXAZ|&M?Bqxx}I2ABa1Uv_+V}v z=|YVA(rr2{XW>33aEkG{T71J)VVbD-HQ<6fhw`8ovz94)$+ zYN}-BXD1%l)tWs7>JC6GhcGdfr&mUq9`8AwK=T5&}4G?<5aB+=;+G??t@4IGy~Orl`pYjZ}%Ld4+zmo>LKTfCuKzt zt^7XTXUe|VF!tEZKyONS+H6e#L(C=Tw8`V#sz0QBhsqlK zsjWAq|M9lvPSZQ2LqQC`GUgiuIpiJ=A^QFYr>=X{+Vr+~&M1VBd4DIFv3|!vu>#Df zsPTt1?`@Y;{=VOuhEvi_^@go{?Q#j>G44i2I#Y=RVA2d}AQ)On1e(D0S$N;^FQ%s1mR4W0%nY3kd7BKLYI9IZ847Hd9R~ zq1l4e(LWr89oP6R?XaR8%(aF|<{SFfxF=b7X0us~bMl2+?gIjhtDI)e+!y^TQtvsv z+9%@68E-3IJ+!wMUNpq*Iiq+UKhpi?3gg}Kjp!cC+q-s>i~{c;4!9{0uapC^r2%yC znHnN+qB1CTO~-KR>XSxmv~5#n(E#S>HrG*SilweQf>YZuNPH46VCrJZDbiQg__H)( zgCr??tQLumjtV{)4LB)lQZ5NP9DO6_Q<$9Swd?~9%@h5Zv4*ASfciQ7kvBc$?vcK9 zj%8+kl76`Zgoj*Vad(`{(xvcRK8NPA4lwzBJpW`(DEWv734mA(E7$PoH#@YXb6axI zp!W}`YTJ60U)|(Os2>=Ft2>p=j#xAD`FqGD$}PuPKlcIv!`#b|vDQ-2lE%&0+-d!) zo6AFdX3V@nK8jxs1f%H3^4MTSC?B889v~#unR1EBeR~+@0mjLNV0iaHGsUBCA(9Vu zCM6k`Sdr7Mb#P!Z!MrC1jYdn_+XC4rS!XpN zEXGgHRuW6Pm`rUlc|afXcO%&3Sk8{#t3oEGk!BKX9emsA5)}z(y%VYfc)_-k2eb6y zjJzPcov1_^)@$*xJ6h--CNJEfF;$_T%9GDFgji!L8pPexIb(JVj8JxI z#Sw>ql|}BssYwlGv3EXT&seD`47aO$wnw#{t&kP5VCauOGv3PJgKjZh@ytBxJ!-Be z6y1U%%At%@Qn7MZ(z>KMJ@D`7)Q%4fCbuk&zxKB4F(i(7` zVU2v9DglCd>i}QXAMB3D-`1x_<%2BM8NBC6XF-geDuE~S3A>NVzDU}FWG-%Vx2597 zcE$S3B(F^@0daR&bE0shFB<)K34mw;Ro?6Wlocln8+(5qWlzrcf3$qD4(y@E+6Dz+ zHv)=VyfV&f0us@~{Af~$Tlcv2m#=rY5jjBEQ`oq$>)l-QyHK8yz?7b}RX4ta;`u}4 zn=;Bdx0wYRX$As`@--p#XEjv|*hj02fvf)Jm9+itk7mCeLrYfGS)B`ez1>zV-qZ^;udzYf)x(KXTwKJ)y=@_E?;7>hLN;^^ZTHB%I z@0td%VDYg;@NPRANf$3Bt=3;Z1T?9H-taxeqs~I0hp27+dkLW4bP1P_@i`@py3hlX z?->1`QF|RSC{s(5S!zqwyAiSoSyhcU>yIz~IO8cP*#~UutpuWvUb&Z7d|b(O7--I` zNSd@|%bX2pUE~@d@5Vc7POg3mz#9PIN*MUbK-mg@*j@mD+;%vpi>Bdb+ue2-tNmQ# z^1Qvr-i=P|FW$;?ic3U)wqkz_2K-P4r%M1QadegVE}69tZXZat_(7pHI%EIALhBs#4GjB0#!y}P`UmTkv=_Bb^!wV;uX;I(KkI}^UPJ<1 z6d->BzkS0$2%Y8EWVG+{u=!_P$+9UDO637YE9A`sM9DKGifE58c;YbiEKrz!5jY7Z zmlFQvXA5;Y2%4oYA@uC>!vdC$5&a)uUwhWo1En1Zt9DZ`0s2o%HBfZT?>MeU0Aaz@ z#q1t5kSk#9QWB^a;{N@MloGyv=#qcXeq{;>KUw>;Cucssrj&X&3D!8EJ%p?)YCJcX z8CeL}D)-gMApfdK>;H%l;ef|~$Gi4J4yDmIlPrj74ztM`B#jg{Y z{C0UMs1}_&J@s`tK5fsOUK2g-UAmL!_u1gwbBdd8rt)%!QCsNA+jo3S-DmhnKz}eh zcz0Df$7OnzIrl~1Z1%@kDq*OMVn^Hd1FKOig<`S4$tBtPO=QJ{hp8sIxO@Tmj4E0T zq1%O+(_(+HQA=pguVr8tZb7uOER+khA6_SoA+V6e^k|ANKiI8?i^Ms5M#$)L&fAza z^6r6{DNyvpQCNHX`wgvvU_yNJCcxh-qAubD36%Kbug}6we**{JPz2UK2;j%}LokED z*uzG^ESk+}CqM-x8So#H#}Qy_=6~O6%U`J$V&y+0`bgs&F74vNhqn7Pxd0%hNn(-V|V>M{)0ZVwE-91yZZ{JKlqg$8dVG)6U7~6g9Q**CXm3FDX z;GYNy`JmZ-p~4)IctnTx!+u zd2jxOGv62q<&~1ki*4v^l~gn4da7Eo5e*l?);sTMGAZQSM2QWTlw0uih|s9*Y(nEs zog6G=lr1!c6llhx_1XhxwME>d7ZXGwFb+KZ(RV{s94}enunlO1F^>(3%%QB{eva>? z7D}|3yaZMXN*7kfpA|5$hQl17s4O0P3>>c%S`qK6k2{p-^IPC$;b|!-Rf6tg7KbNF z4?g@6wxK|iC18cp7tq)l*P!CCL5U&_s^HvElrfKQg8_4PlE$!Im0igrHB!3|Spv^q z<)v-8%&_;k#)>F4@7cxnahco6rwKq2u=TID7-3b)ArTrDQjFHT{Dzt~kJ50e8*F0` z1!I~m8oIyH1^Wy|-JfSNXESG4N`5J0eX(%f@RF9p;^DAe_LEe%jG8p2A>kH<7T_8D zwRrWH^GvjC=5|WS<_wW~C>Z+#I1A|)sn;!C5^4MmHE9kmJsX3@{8-miO4SzC78z1+yQQlddopP(bMk=%W{ITvO=Np0cLXRZtF* z+Qlj{D@zy)yg+I5rgA|)Mv$r+b0|$>62;=0CIw#h;Mr?N=p~43rE*B<@u%@8Lo28_ zUZ9A1Q&tm*G|Rj+N&^K;Lbxw|`MS@*YdVNK&dJ8yjZjkoOA6hq_7q5`rBZ5N2G8C% z1N{k;wzT{R;u$fn6E{-BPFos#3-et7gm_%;PK>BPc}cl&pP|oUGGv_6--VmXdjd{# zRtIYnSX-~*f*pH_Iirs6e^6~63D)hzK_|aI9(M{EYu$FLi?;U10lE_nbiIpah9oYG@5`;t^5j{3Bfvv-T$sNurxj$$TgC_1DDgqY5D6_HIf!M#ZY# ze~Jv+vc`{o(u3dmETl{uKBD=_iNflEIZKQm6!wn$Y#yg>Zp!Uahx5+%=0$>9(=0jqe2dOzcfDdroeu}zn`QS3s-qU``QGo zhTv5}Pv4=cJk2APKQw&kR|jaYNu+2;5EE-u9n04i0n2b|Xx)3yWO%(BXwT!_7}dM( zKLKyR1u=r)x4id;?~#x!rrkg8B!6Lo_p6BHo)vCF(IXfs2=t4WD^l_&k z2#~pA>1=3v$tPP3^N6S-g_6kP2M0>p0Q?N4aWjAgzP@JTz&@oUHP1BKz}i3NpHmt} z13T+KL^Rgk{nTQMH_$xYGV06FTccA{jP%5#e>ij#l()lv;eCO;yzJ!qOQh*MiP!eX z3h(jXDx5LFCO}^ylAQH~wf7%R%9sQZdgH)>>vA96PYJB6oqnw^x=JZ}Q%?CTI)ilv ztJxl6GeXO*FcFBeLu`^X0k^p*APp_Js8oM{uqyz8$G2B6ah|>Wq_4I9yNXRX^Tf5s zc-az~JE0HATKEb*Kk7C~ERG%9pZ=_J=EbW<9ORe3mZ13VGXI2V)8~82vkVUTNET%w z@?c7EEXd}Gi6aBidv}W_=H|ETkQzlRt%da$<_gq9?z&G@oC)!)B@k{Pn~j<(1`sTH zwbK?D#pFlhe`j`sHlXZbBY{e;yqP*qybkZ9n&89C!O)8PXjbPx33kX=$|8|k&>|EO z{&#)_k#+9j4;9e9A>IrHVR9C>?D!2hM!rnSsIRW3${O16!K+k6-RRq#mIVXlbSv0R z0W!p;lwr~$Sf7y6!vDdB-$V%)YyD`$)zU_~8Ye`s&+SxU?t^DcO^+L90XUd1yr-P< zBmse(=QC&{J(GlYf?kg(xRbsn1)+~)ilg2chZlOjriw~wg*>HH7&bC%pxlK2%8g2i z7V+%l|1q@CDjZwQn7YTngw)1_&)&>khn2Gz4X$rl zOD&T!;GuExLB>x`J{=qtwkdkXTt)tvd9WeNANAqh(2wI4ke`tlW}&VywI)=P0T1Jn zavE!bW{A~dc!sphH{;iu5o4R1I664DtHiTl6U&q>l#9M}R@eAs;ZV-I>P&7lkG|MJ zUo6BBf5@XXRDR2Vcs8!vHor@Sp5~b6@j9VkYMGXm%LjI4^u|nI;*w*VnBx)KDQZh&*I0$8P~Ae8SaF zX*B2$qRCR1(&n)r$`ycC!6i4olbMPd*eK_y$8e8y>$xEdDnoI{6lrTonnh!|>rI0r zl&AW{4(%ykXh!=s=zL(nHW&`43&|W05nd4)E;Pdl5)aGDQN{!<`&k06ZNS1T8fh*S zUYZAXQrq5O(o<`HWvni_^VvcgwNr}M!#iG_lAorWrKf7FBoM@%UcRZ2q_g%)!s1Cq zllN9xIVek(8uKo}8$R?=k|sZqZBSnWB}#$GgE=VEWNC|A(CvNBW>pdq(|^caf;Tyw zuFeca)j4j!#PpD9p6yOqJkZlkW$xSr(MM-#8BUz|bt5P;q{d#l5VYd2I{Byt@Pfll z@{;7g(a-j8Hr43_DSOX%q$YivA8zW+9dD^R1Es;WI?7| zgx@j)H&s}0wl(;5^%pgkj*z_dlfSV@U}W0{TG{=m{_N9;Q5RnfFkhPeW2Ciju<&4Q z)4je+YFwTSF-BptYP$b>C7>bcyw~kGZ$si8%Rb4myz>Z&pD(TzMWa&^tXE8am|AzR zYbTiy{TA;pf`Kmn0XmU7% zEfG0i>+T(yl;4Y{8;2ay zR-}wbft6&gg`*MVuIuowZ}@b3+-9x?>xOxP>qfJXJNBN6k3V1Cs3$S5<|RMMT-VB+ zl9I&zr_g^Z6`?t0AJAKrXp^sUo~!@W46KtaDJ?ADXfw=!Np&0aBD@|p^UYd;0)r;(&Xek8m z@^w@HBhsJqGI|&T^A=ku~kasJS@%>;}1{Z$k=rJ zDZHBbKzM!Q_hfc2gM0|xm>Hlxb9%8&6D{+V!AfbTPeKe!!Ia6H_=J|aKZ)2U-a=`(c~`{Qw>H6yf*j7CFm?RjSyfGOmn8fX+K-cG=?VjgcSLX z4h(a?_KaGu&Eonw-a)=tdC8(O^t4-k5Sp6@6Q_D^wFK=}!qP^QA#o*t>D2PB<0)+F zBVE=#yI+;ie$qijy1yv)K~r(quFDIeaH1xeXN9_zLcSztTCziaIq_UQg@;#agm&t;;K~W$fM-uTu@)DP!TM{9H>qZN)I&_2Z~P z_$gblrzMl|AjK)D)&h3<(4BXkw5>KpVQp=l>UI11Y_S<|A<)5FqgA~S<*rjT2nkSV zjy}F>f_HWK;3Ttp_)Kug`OVl(3jA*T( z-fH$4fCqmcj%1hq4bXD9$T0FA;&dUo+Gbn&Kg35wdwSap82=L!_U zN4=nH`GKBf6eC<3#*p>j@mmx>{GOC}1BT4(-fF~L5)$7M2Oe3{osWn=g^%hzRy{OH zl>BA$Xltw1kJbo^I)u(jcv~b@?6$PEe`1o{^i~Iucds+Z8>TtUs=(bM6%{jA(Mf3` z%IdnZMB!!!g6%_I&7*F4fYGw-busaS&I)mkLY_rJUup)0W^*>w;4)s2^pl(69z)%h zpg``DS^x007e+cJ@}37O>Vb!Mg<6|>9q2$66++cnE^>y(Qjz+|6;Rf9#%~)c8~Sa^ zcebJ?n{I>}7_oy~ZLKSZ_tTCiuVlC`izM{4+Z&-R2-b_OJPQH!HD za3{Kub5hfQ#wsK8_^cvVib)ge#HRRu!?J`^&-2?pBcPIQ5PEFhlAj6faS9pg6c){B zp_*(n=~0RC7o6l<2nZ7Ymf5e|Zpqi$JmOZwLTlxu82u@E67Lv8CmMN3!+W;a;7S&8 zNLRsowtsOeY@i=tRvvwv5k>TryOZO^E$c7O;s$Yz+6hya0Esp_1^}JPDfbz3%Ng@F zJy5RrQ+Us|XA)VPU6ZC2(&a~58^LSZ@^Z!ZFv}j~eOaQV$)4C_8~?{`%xFRGPKMi~ zU!AOI(PDICWPtN$t^gw^=p87p?5yvF7PdX5pm$o;WOsIFVd7IS+~sUTM-YoCN+t#% zcZ}6T4TiYKhHA(~V>J{+jW#r7SKYTKi5!x>%?p;~`Gz4to4*0zci_+BZ%aZ5)t*Ua zxcU-Wf^@nmD?t1T&(xYvDC9$AUMY_ob&DWAFFI%S8D@bj%r1hakI%zHAbP%)<;01V zmNZ6*{3iS*mE4)T1GRD0%Hzf{015BeLQ8E@mBO^a#__qT>2BlkIoE=7YRgoFqx1Va zk!pl%r6q*{z%h;f#VnMSx*S<)MH%T^G8zqy@jDX$pe zv>Mv3?f#xH1gJ6sY30bI3Ljst@hN0{wLpA@k0F=t)S<8R*R#fAO&#!pbJ_Ef3JV!| z<@^$9b*Ek?Ev|#pW{<^$~W1 zAEm`4K8uj$A*$qkLN@ddXTaYd_!1aCP`zp6Itl}`9%(&w=Wo^Gug-4_c;kKn zz7s2f92bQ*P4hLM99-&;WJI9^Vot-mkt{xLy%J2R*1xc`p!q~8B*f|LNkG>ky+TD5 zk4?I;L2NHIOWAHEYil1Zt?~@F>(pe&uN|DMm^H`H;JGIq<1Y*K-}EH;QYhH%D07O%p<3*8J9$o zG2MC=PhH~KtdV$9pg`X2jn3+=7h6nfOGc|k`z%JrqM+=A7~0J95q56^Vr9ecyxGQL zNH1kQV=)9XblncRRI`_j%2w8EWm*MtI3RT!5QaU1_A!{`!@}oKHS=sgf)$xkJIjl_ zEz`E%`3GjUa23G5z?^BnU8uyV&yG^Kb>sj(83g4#+>>9O%ca{YEeGSJKJ%x!MDp9s zwvh?eXHoX(lWk)){MQ;QHd;i)$2p;(%*A~oG+dQIWD7nOMP&O!4C>2!)-Rb82!%)_-8ga zqNFrfx|?`uQg+YdK_v|?&=Zuq)(p2gy<$AvH*#{O?t(#``n`TJ zCRmH@A!QK%6651&l|%ub!;6?xtf3>C9+8pX7szN_c{5P2Uj_j8>*+Rc z>tG2&t1=>aZG?*-DIWa8$u5-ua7!du*ew5l?i9J?*EORkKYyy*;Zb z4b&B0WN-4OWp4;z)Wt-74*V~p1w$*ge?!iTYm(B5s-M_B%i82J(}a3SiW)1KH&IcF zj^Q;6afT5jU~klRb0pk}KN==Z4GjGXzdeMU7s`sOCdaNO-{xn!ehMQ^z9|8`dZObS zKP=gO_^=Du{E)V6x3$kaLu}aUmWqMHw3t_d4%fEwKlJL~yf5wobj=F&aOZ{(k=~vi zPHSoWX_VOe;pinweYUxB!A5=5>8Be0nZaRS0nf&$4z6Hb%=Y(Fnp`qzt|hPUTn187 zd2ujHk{flQ__;3G^Yq^MXZCGI!gr(}U=h#Dd*R}+MvGT9fR$}XfSZQ4a_O_GX*cN% zp>Oj)K;E<^m@=drcXT^`45X?emRX-HOZc;w^v&*`rqsfgFqTp^k8INVt#z~#`O~at znReXv7SdIzA|#kd7=K#f5as_p4;0N)0tG^IJIkD#8HScFw^Y}lh4U7r2B7fx|EhvO zUC=evE!BFD_`k=@)-(VWyaxVS^VcXna_dx>-2itFY#HSNEkl}T@$Y4b~HNmc<8K*alufH)=RzV+fXyza;6BB_jTLffcxKIA~+AMdts$=T_!_n)>+Ps_C4to1MKmx4oY zO_>Vm8h$mb`%A#4T~tfz^)Y*hUvv4DZTy$X5l})o5OtzIk*um~{eF&vn{8+Jj@B+* z(=OD<=Y~^&1uH?dGf&Nmz4I1N8$N-jp%POxs6=~$;zl^&9gj&1e!~oVPfHuaCKYjv z{nYu{GrM=_9zDmHd}l6n_CLw-23d8DzOsbQERts2@zaUc`) zdbUOZEAFRgelG`{NonA~l^}>%Q>ggD?KV|1wPgMzr6-sFIujOw8I8z}DB-m=qRk3# zQFxegula|&>I?YrBKu9u@!*{pV@6}EU8dXx_=ehH!TMu~w_l$+2Z!sZu0d=rW~TWR zI#){p{WI@u^(bE!>Mq4;_pS>rtUBntMwo-VPn$Sel~>bsr`4RKBnP2U@Xpz2bC3JV z#ioO*ec*g!1g@h>UIrT6XrR{U7cdI~fTRjQavRmehYN@QK#K}EZwlBf|L4sHn9c14 ziC90j6DtbI!oKo!(SS(zb$OEnEm1uucy-*Z`O!^T7miN=bPT9AWQ#Ltp!i$%-XvL^ zFmIq6;^nH$^Y#1(X0z}naX2h*FK8J!xB(YP8{qXQzH~r*m%fH@XT5T>&19m0{u#! zsq9hJwiH#;Ji8EIW*!iK?}2!HHX^tTcG>=NahgW6eX>p6`#qVF@}JpBb+$k4l{uWo z`Jrt27lL<59L>WPTCT=8-A}s=#27*|;!#bZtw*p~V zx%a?6V@mB<0WT4(pPRi8e#=tx&iFNtz!R|}zB?}F42Vb6-^YdL9r#Dw1H?&|bl-vh z7&r9wb^dSbfi*{KUqkPlt6{mj?iU93yHu)F!Ut}2At>mX9LxRS5Q&bm(w6v7-=Aiw z8$OyZDoRsUet~m8e~j`V+BmV*J2NKq8)CU)_+;Ly{{~R3>vS7gd>LC39zlXZw#%sG0SE?hv@xdt z8#*J2|8hjxf#bX98}UuJrRb$W3gqOgC3qA5olCk*RX1hzfGwWD@}T|*CWX}~>MLjOI)7~)NmQZ|Sx@s59* z@dmmv%3Hxbff}lv4~Y-OWSR^st|=Sg8k&-sLb&4B#Kg%0p7V*mzi`*pB!sBb1IFm4 zHl8)>2dl4GL-`&HCt%$)9io%zeHd2#zY^Um;hdJ04f4!6D7Rj7W#P%}ToXk33VpElj`D5FUa z>fTJ*$Q03nx8qctH{Oz2^(d*l!ifi5&{c`5jQAu-iZp(IKj{;Xqz<_!PEKDv-b`OT z;DHh~49fT~0^^6Fg`@8qMa6?eun(-7Jy0#)Y1(Y@R0jHOvtonc?rBJ1Y!pYI0^S) z_d`YT-1sz^6Gqtt)V(pzLQ#VRW&!a@`IP(#(3D7i1&(48WeyuPlU#F6YR$xQG=VD< z5PGE~@IzZ_5_r=l+CAGnQTJf?prw)EzWONON_c)=n^=zz)&~prL*@$P3StSWWh ziP$k~H$tWWo7upPc?j5jfYFIJ8PRS<#U$`T`4Ljln7wTscL>+#z(UA^i?`&zr$nqn z2t0L4@y~jn?+PZb;ya`Gla8swbXmViSOiw;y}ENV_^R-ircyj76L7QX{v0i`3-0!Q zuw|C#OH5^|h%HUN4kj~ur<=^@IQmrO&R34V@@f+t!pGl*)o(osi@w)(u%Lz)Xl~Z& zEENOa2^gzY4V-QVxPcK^RgKoeL&=pBL0Hg;etIe!>zprMX~(^<6gv;ie+9lk?2Sig z@Z{(U`6zvsb8>0OJIK!u=&>L=hkWPI=01L@>dJE!792sYC7hAxYSYTRH)Uirz z4%D40-$n1q(@DullIs;Pw_|Mfo(u*V)MU6ELrFSmUc+XYqE9aa0Zr)~+n^C8P%3W2+|0;aa=QS3=#%5GzOXmn* z;Hqw$7!p-g>%6x>W!5*_4BkX`l`l~PvxMEskW)TO0QT-xo1Rb%v$<5w8h$x_dQzJi zE0}5X++-FbRP39;>Xo>+Zfo=W4XfW9kH5CwZ6~00;Z0W}C&jmX@Y^TTdNEU=jF7UC zvFnPbv0($BHx1IeeF8^bpi;Ws-Nf_~6XD)j5Z_N!7~bT|^gacO6Rd3`UZP($PuQ=c zdtZJWp2j%+M=f7>_Q9()Bcv^*!ue+Tjeg04*W4-n^3|RS!-Uj*kbmq5<-^!kJUI{u zFTg}ooG)vD8E#XWc((p@I=7T~V8N07k#v>{%fkBHh{`cWR(}!u4<{bcA(;_U?%jUz zn;s*?W#{Jo@F_B8rqwFwNS@2Vpy#taeV1zD;!Ff@r;uYqYTY>Ag;!B8uJj%I6d= z?vuIVa2{VM#$co+6)qlRnNaPVQthmE-=if?4j1OtV$@r@Wa{QgR_3)op)a}alNgZTem)c^WXVULAP5v;F{W#>5s63wNHF zp_isq6!J^09My-?N8+%bN%S=!4V!f2ik} zN2Y_#6eaD`VRVv9cLkO>`mStb?p{^W(o{Wy;g%0|>PWf?2j^fh#8?If%d!X$qRU%Z zk$?bl@c_u%0&0JvrrJr;+KF*QuNThZ128cm`j@!bqf*Zs4L#3h(P43;k5y;WlDDGx z52CT4v!$0w42d;igx@wE6ZbvM`8t%|1{3*&9*^Ux$>aMQXGxZ4qVEu#C5%;gQXBF9 z6J3i@`U&9=H=;gcIsTfO!A&Wra=)#qN;S=?AEkKu0w1`{6`s|-^ixJbku34Z;LQ!O zQWd{zlSxS+kFMD}7~U4E?V|k&&qvqi0Z^R&_x*{WtB|dh>z}tT+?ioZKa=|Pue<0U zg+F#PJ^q>e^o2=dq;%1!Y!eZ>j7ym#sb%WQS6y>TvNXNaIm!VKrMk}{Llv;pDp-M* zj1iz@e6Mdf@(at}@-`G=VO5fp+4h5VKf;*y0hpsvd7U4TT+-UvZVUq!JD-dnn4D!y z6h--?J{ywmfL`dPo7l0e(vx8Fi9202b#O#=pdGnL*5t6!Zp>p?QRXjuAG_>ME0PNLhDLgk~zO>@~-XwWKOQ$`cAq&g?9 zC}(7ehwQe+WnlFC1bQPlDzy*L6oi+;9;0!+Ecs3zrs?Xbytc_Hi)kPfVK4%A+qBsx z=xnk_V?bI{-+EAo$tdJx`n;&_jw5dV z%^5+LoZEvDHN@K?{@PwEPNwa@*2&csHoFBQZf@$4fVATyppW?9DP#l4B}^wJH=Z_@ z*jtzRi^Hz|4$0ViFiW;nRHoH5Kf#Q^zYEy=0x*3g_oU}T6fGdvrkjmP0(;dh%F97( zL!pld-~3mkEe)KfInYIkUN=PTPfUE0mUG~SkfG{^it!IWX(1~wl@H6=8k^Pr zz$bf5z8Y?7dT=$lz={SWdJAaoZpc8Mg7e*{Z*Pq|u3{M6wD9jz^#E6&`YQdDF***q za)z?zFV@`1p9o&=21;t@9yh*{Q;pxacFo%5k1=H`+Ae@ubBdBia-K*yl>e@!f^)r3 z8&i?fql?LSw?Wc}Eg|Mxk0+Wo9dE^M^)HQD`i8`Lwr9RM{ll3o4F+b)8v$Zk@NYo<24E;vq^zncH;3r@ zYD)|9h;+G2f;AI_y*g;e|KVI3iQXwO->{xhoj>`9gRQx~3NF?He0;&o(GYvwTZOmI z*i85j)Fb?TjhhOU@It83fK;sdE65X;2K0kOp<|-7Fl0rVT&#pIk+#b!vL2V@_#M<| z<;D=tLDMT<9NX~xck87UAm`P2e9`2Q?B*{BxBbnIcjH-C+xu+a3a)-r!}Lggn{NkJ zaZ>PX=Q2TZt5K#PbpB-_Ost>OSoE^JVwxZ`udc!Q*)YGozIFUGzSV?jpj+*#Nikph zjOuS*yYjN0%)sae8mID)9`im@X(5%l<67SPp}dPCe3>!U@Elx1`MOdiyHQ)LX*FPh z_7#P=s38S4R2^WBP6_fyCzYH^hW!Z|d+75Hi#$`wbvbb8hrR3Xz7Md$NnVpUaRsXA zG{l3%Ur*|AQQV3;{JCyhCGsa8)bAq8W5n7!D3&!hQ(8mlMGO51r4I~RxhkSC)I9VN zQ~gKY#ll6$oqWG0jiLvnS_gZvidcy|SXWv+-y~x~FK)1OLaPndHrot&3fFds8=vYq zfeU{L@uFKc%Ry!l<<(`01jVwHQy6h=xzq@RoAMC8yX5iLGcwlijvtickt4*lzu&g3eN%n;oqdlfLL7k1})GACI)e&2VKO56K( zMQgXBq(!Yq#jJl)(%TsFoQDJTvaOa8Qf$8V#(-#}bFq|<67>G3Iy&Q~V8Vy@EQ;Wk zU7@ngCN-nFWh(O8P@T5P!P+u}Q=Y@#?syfhXQ!k?VMmpS-do0^#kv!(Nn~ zP;Tv0+j3leuW0-wt!d9$dP{q>dgu%G(7iv=`XSW-6lWSK;_p@BS<=`gh2eZ9UA$M1 z&^0S6FK#zyjgCsxau?%biD*z*h>td{SfsyA{r2arx~rPb^svMbUh$#aD%t%2j5B&M z<0Ptp$Wrh6MPM;as1IG-h54Bc_Lmf< zx@?!ZqRBwfv$k={we`itZuvVQTa5}<*Y}?jp8?e=Rx(ys+dtX|K?nIM29$}2Gp~>A zEh5G@V$PXM7~{0hezcdb_&L|Bijs0Na~vzloA|{3sMZx)8b79-Tzp`cS^5gRs|<5G zn5ER#=Vvl{Q>Gs?psu!H)b^M-E-^%1pPygpWm_@FpY9qj5x|XX&(xQ`EP7R-JUltx z#t!UmYACl8S4##tEX|v_et5FXKczFk8Yb{qJPiB~r&dFNoO4Y)9;;8$lU2D5{}j)b z=5o-S#Umo&j+F{X{o7F{|0Js&MUfl_iXofsH8||4GJ@RY57LGluKgi%eoK&YAwGVU z;o}fv=3H?n@>|*vK<9J~FGyPB_NMh?V#}fp`=*N04%g?6W0)h?jYMqE;oyEF(&PBl zPXmHz|0u!E!g8(uL(^G6HTD0G8v{X*5=Klyy1TnW8b>z*(%mHzqhui64TI6$AuXf3 zyGv3+1b_GQ{h$9ioUuD@aksmB->-Ncnzp+H=+!X!La?di%ZcC)8PoI=;{)@O_|G3$ zWn8BWl@I{k{J};|=VzU2&r*^KXOu7eDz6FBS&@wCA1&D_{wL%g3PN}4j@6}Az|Uy2 zo-f;{tYKiumPs3^pu;@WkvPoH?l&C#AWN+hpNb#tsq^szw~>7XY}Rz@8yWLU-4nh= zTPF5;*3eo;(qjjXu&kGmAB&LQq&nVeW!ZN=zcs7TKh1TLTWImbH`K`;^rvSf5CSa> z%m)@F0m^TsnG1J6Smjwe;s@Xr@zzz9bWLLVQToxQM`oDo>dr<}wTTY4msbgqajs{1 z=GWF1{$2iuB9i4v*KXv~6B_8KxDV;?b#=72zYHsQ z5Qk0Venp!ok^Adq7UQ5sO}oM-?E+mHKFMe|^g3xTT`}s&0QyDR(&pk+1VpZ-_Qyd> zV`|N@VTPC2b;aL^jy+7xALnoLyh!`usq?Tx(}mhozs24(yU?OvNOALd2X#Si^Fn^J z!V^)xck{WO=kS~FLo0+pFP-7bjkWi`wtaM$dBPbt@d_H4!G0Ped*pdTHQ|h(SX9nC z4wG7Vmo<`QX~t8PRFchyjY26364a;IrXtnO>yr4PiJ*FvGFvR=zg&U!>-H)@H#waR z4^~N(AI;40RxDoz^k|>(fjNUl!}W#Hp12G>56FF!ZkU?2Nh!d_e&HoGLOhnsChUhbfLP=`UVW1g%{k&uGLAnwTJyEg1uQu%fT6i}Kh{ z8h;!_PPS#f{jbOjYN5C|kilk*g5iCqXl0_e@$ZL<@0wD7u(rZhmc*89!+I)Dj#v3{ zxLiJaUX2^#l{jT8YIw8_TQkoaCZ5^4aLSmr(e1LGI zfKbz!jp0YTue9Li&0bM8)T2Y%mY+R3uHt2KEP0%xx|Yd-pUqZrHou%EYbhmGoGAtlQe~@j(0rP+eprvw+G6oH33~R4F zVa|gql2&T3&j9hxmxM%h=JXw$Y!dMZWyZ)N-j_4jgxVUg7+q)0k;rgcmfnTh>gwum zQtoE_OFw0xFwO@Gqvq4W697=7Y%7;KP1ZcI>8pE2ws;~sXqX$*3=ql(fle?C+W~FD z*=p7xhiTg-Ah1@_0BApSpbZW{#fV`DqErm;#d(B{dGJv132r zMHaz!qJpYlWobB5w+>2a5#}6tmzkqm2AW*-3;9f#7V2RfyrwMgSH;dds0s{KPv^g@ zGlzE_21ql0YF(8bYg|?$hSqSFy(g_$B zZE|g9t_pmm&0a|M4`R&9&dp)f*U#%WX=^!m=m@R$y9g$QV?qxt0tO?E8dpwTJIa$1 z$rc|4-8#+NV$3_dW4|jcZnUUQx1TRvOf*Z3q-2eNdX%#cJ zu4^|YvfEfEY4=SzXkVEcj?t|v1N75+nX7ehROTxAlhkKQQ{r9QzErd}UHo9g!V+`(PO~Zb za^1Up^-2pFbHTRg7~>tKf#h+H3{{usm7NlblFu0q{)ckfx>V3A8W z`@P29|Hj%>aU$ghkK6#kZ4CNSUD84j&RTHB{3*stYEJ~ernXkm4ZOT%D>^zbgAWNz zbTXW12_n(()8RlJQB6Wj6=xo}rgtzgHBG5}=p(q~67JvxQbKgAHYrF5-O6Etqvr9> z;rI5B1%8DujTu%lT;a@BUkhA|ZTX3xJM=sky3;Xud%S_UgIMa+PGwJoo6svmds3^u z1D)qw#~UV;&yP3c8bNbCt<6zKTg)Xek2ushxz>fc`JUDT#p6xP9Y*ATvpqBNr}PiS ztb)D^edaOA)(M*b(JA$kd6RkPy$L18Jvz}(38Ep1);k>|jN=U>Ls<`v%1YkZ0hoog z)ySxUoHV5Ds6!C9Hl1(T8V;M_)h;?>w}z}qg1AkXKWmBB5I9RA;&{=fom+rY+3 zVgZSnA2?0K(QwW@yB}EbKx@Q2umu=6VjlAL=#!DAQb}~B|9>kj<(-j($(VX@vbo!3 z_s`0qX}u}AV9==6`^01sZa85&G@m!mVqVzByZDdY&WS5 zbE)`zKBq5f7vxkGA8a<@7FGuPKc3her*x4DCB%L1@JkWYznq z@X(>vn7ji+ddyvVsY5X=_ZTCC?+6A}#h83JUG1Uf%yAMVWk{Pnp*}0^M&-ozd9>?^1@n^kWsAj`D|3}zmZk&IqiRj0!kD83^ zZlaj8QvB)AE3FUnQ7Lq5Oj7qg31{5fd$wAvYlv}Pe$wAMAg9U_)$)&XbCDL!I)X_c zF9!YNMIE^e1`jb3^k3cOz+c!-}z=0@6*%Hx6F^I zX82}Oq^0p)ahQnm8Tn`C`KS4x9PeA9ukA81ul(X1)xG)Mz$Ao>F)O33n@7H|+jk9c zFfd@pQ=RdS$@}T@=6Jsp{r*p|*cX4y>-+mZ(NDQq{?|vCBbUM@71qbc;7+Luu1$?N zBTcBKnHKMF^_suZAE&%y3ae(gt7h=4a?)h|(*}1fN171b4o}W1e_X?H)tdILOa!^^ zhbBA5Iz4l#eN$sIQrR=J{o0*PtNQ~6Q? z8|%_cx^koT%dE;O>24qEv~})u{nTmeapeYIqgO5|*~MtBw>$cJ%#@;|-g;DjR9a2b zKB6-`LNub>Y5OV7C#h7aJgmG4&c~zB=)&DyIlp;!4zG020J5VeY9CFw0Ew8Sv z{yUT16fiC^rhhvfv)?y3G&a=0@G*G3$G0CqJ7O?&WvyWL)jLfMv@bC zJh!?GD}07MWm;qpy?)^Wx5MI1Nu#(y9Kd^vicwS!weS+vPXM@*2Q7$qVCM3G;w9yY z1F21-iV;3>Suw1lIde=HMKka?@eA5o%d`Ob#6|9DJ-`kix~1khagB+LnBwf+9`MnC zl(px3?+FO%Nvj+S;b1ZWG#gof&2TNn?_REwZ)Qa5%%yl*(N5Y=e>nrFGE&Kz1B0do zX5QDq-CvJRy?7ip^s#Ro2)U@Clo$v%kRECreBM06r&}6EJAK?b{|`m0F*0eUR$ZPn zwn0vQ0Bf|Vo`eL%)G|C028k58k^DQW$`8oaG#0dZ7)wX^)x#ysg5%drC~ziKmtsiG zjV?5kukVR(=Q3wrz&!Ii>w8Quk=jDh{S9NG1m?XNxG&`hDoE1;7vcCQBkR4*0Izo} z4f$s-=T79#bs)%GqJ!ly%LtA;;TcL)k70YM{`-+To@4Fo=$WYGN9qlN(^pV3A+L>| zo3C6ksk1Rlrpd0w0m?rHR$732Jo^D2Rm1VE>3hvT#tmRIGV>?(fyGbBVg|KQ7~)$2 z6sG2K<%c9B=3p&RCCQ@1pG)3YiWRV#So~pi6 zd}~~qJ%Jp=r7CI(-lC?Td4l<^jxKG2N!`cwAIgg}Wpl~Ad1k=K*VBM=NtHGsUWzKI zp}OP9DfQ>uVG@Z6b0zyzU+YVEfzw)wvln~Q>cbg!42w<{x4yQVMy|>G>~N#3h(hsr zN;J2ASX>+rC?kLFbn1LRq|MUIIi2~3 zQghTJt1~5dIkCnlNm_e{5-^ZWX`uh--p(ZA^X2BEs(5p$c=oCk(3gEv?^ByF zNx^vWMkw>`#`T=(&wnTbw}f+NBD~@V;`w% zv(=}^%AGQ_NL{Dat@DFZSy((#do@q|-KIFpE4^d#1z*fFGgLSD(&A8dF7NQ)YaaMl z>A$FS7h5RtA2ixBdbd+Ic^!~j8>XSY?ee+r2MBgSBynW>>H^ix?lVojmcV6^X2B(S z8QFa~JO`zUAqnj&Ogqxs=(H^Arq`~V==BfrjP_4f4j`+mp0T`-6sN;~aV}55e!2$E zu|Wii7p3FO>&((k^qz-2CScExPfheEICDby~$ZuYH?(TqGp1A!^LhegLQtXaR^j|DNv{C~@cbgfxBl7XN zI;mCDPitoJM!=R1x`2mNPa{(Z7N$#+TLQPQb+TAs!t~pXJnboY;*J(p&iiHlSWU=- zW_X8QrI3Yg+=l1^q7sp}m4ty7?g)x9lP>39exAr(s zmEb$BV1B<9d02WXVI@xq7inuMo$8BVWev1EKoJ>wsOcw8`#wMDL3sEe=Z=oUiy#dER0b?bIjHe>dksn-Z;x z;rOx78|=d`LR}EN;{Np?imO#q*~a0`tc%<2?EPHLouzbL?nx2Fa>gA+K<9kL^P8GQ ziPKsL*|syjEIZ2(zn~_>@=Az~tLPuf()X(J8v>C7(S_B=KX%K92B^POW+85cZsMGq z%FfH*Zs{q8nE_^TN$hujtv{&1xd{&VV}KM*9DGPzKSELpJF5sM0hH~QuGOv9kPkYp z9WyInwRrdO&sq)Z>+gb|B&+XsU+O0-oD{j7<>`JSv z$M~R+;(a-n2$o4v8JE5T&O=e|#VlQ|+Ulu(4HJku3^5k`SulxU;<`TO@4B`N%|Dc3 z;8-Qz?Ua`v#{7ut0e}6bxFppZ#o5e2)(}4Y<+`XSxn_ELScQ%UBW9h~?2*^7uzIfg z1Htz!$~vtXj`P!Qe^hT1RHLY<4~QsA)TCJQ-{&=cQG<*5Oc z3iuN53xqUt8i9H8-hZEgZ0LHMcq#qzjn*@VmBP=sCW_LFr=U!FpNRu(JjAeSE}R1G z?hhpz!(@4)+KZ-sV-fGyF-s0=o+nwI7=fXaNhaU-73N-B?U8ZS22CX4{e=n}H_OI= zNHxM|zv8`McV5;#SJ8epZpxJM=(ZuJmL=*HT-$M=Me^(#pV4G2llKeD#~Gf|y1jzF zkHScxU7vN>b){B&S5lmD=J&htNrPZ6#O`0aD3?oN;?r6*ri3gmzWferx$HR}Y6&jH z*dk+AD-9k>{*ThjIcYxHE&Us9ag`-sK%>JH`Ct)M(jm73i#+M^ML6$kCj0wk?WO0Z z*QVFz6s1{81#)Tb|2!RY2V2#9Ys)tz3eRusz5tUjn!Z&CHA7ZCegjDIV`mKHU18som82;ORNQ|ndv#(nV2d!mWMKPz!NKrlZ*-1yEJ& zRK8kg^FQ0Y`b;-9K%#p@40F(QRdOkL_xdVP{1cAn-&P&D)haVnHd+d;kDEGy*b9H- zcGRjmfK#j4xE>?tekyRRqtc*;Xzu|gEQ250y~7>=Ts?#!Z4dR~myR!dBF39%h8t?0 zTn*i(1aeQPPL7E#A+gjqVR;;;OEuFDKX5@b!64er3VbcB@eJ%_l`{rwKna0toH>c9 z+&pzW^StSDzK!;L-W$@^=Imo`<+~OlzeegTkMK=2?eZEgHK2L6)_GLJ4STn zF`iGB**s%B33tj9yAD<#q~&Q}ev9@F7Am#D+3gmi*_KSU$swg0S-L9>+;OcSVq~yA zDsTuO7~xdO5qKsu&62#N8WkH+`O0TJJ|@UYZ6_EUsP;n4-KyP%pK3J+DybD*>&lN$JH(>5$0&VT*~%)4MP$;1U2 zGaTGlFo}B^>{Dc6)?gA{mo?%tG;t(seruoD&nwCk6IO!0$A)w_fysD({D;DFz(ao6 zHP0TFL$8s`%q*xk&j5w0K<$g!NERx@i-%STAs7W#T}7K$UNoUVIf1E z*&kZO*ADkO(6l1x4VMtNBy;H#oAO_!71J11KSYbIcOzHeTNZC?<=0*5Zd@IJ^@RGJ zo*HGGyF;A5b=t5wS8mdIPFzWRY2Ik^=Rkss#J)2Yif=it?%lJl%$bfH0{0uiZ~lH3 zud6~2JZ>%t+`(WP@o9XbijZ|NF=Vx0XT9tyER6dVx3n!$vq1@8rIC zD@cIw9sGdzll2NB4~oosptjqGjWtI^&wegx0g4fxWLU~8Mlt<}IKQ!CdZXZOtkb1jA8ui=ygNsOy9Tv9p^(CK1;LaeOLF}%F9Mc4sYuFb5EH}0s27A{!)k3j2^%%I z1^>K-jB(PzGF>nGD=8~#lIL-sHKrdo_b+w1 z8&MtE#ld72vOPBVz1U#g98mLTb>nhddC3LbWqLel;Y`$TK#2$QJ)LqWu(3=^*C3=) zmKd|8rHt3{FcZtVnFbUK+lm3INnS zp`^{)566e70}8fuJ=Y(QU`t7pTJGHq@9=x=Xko8-*Vx*ifg*E-z__A)na!AioIU`W zLYaHpdmhO|y4N>1Jfi%=o*mZndE=3&$y&@PDUl;{_5`K#@B4|&jRMwgRyATBpRT@A z&+EzHSu)L;mm z`S1RmgfHc+Rs2GN$(~77N?;j=$_v*ipIulKyGLHf+EFlvghKT{tiwchT1X0PkG2_W z>vLVBu1j}WVoTN@cab(-bfe!FU6-nE-p8a(mUycs_&!+rWhi>U%Xr3!^tiQL;t@Ud z(0M_jmb)>fL|#W|hl`74ue(|fb5;shRq}kBTeG6Jq^{AM(Yqxy_HRvFSEdE=8PFEz z$#E=RDThW_(Pg*t^67f^R(OI%I{JZka2KNVw&WC(8QsQg_gj1z337T9i3T)zi z-ocU^)@7UX2)T=O9fwH`W=Tlm61s1W4`t?zCN5acC76%7IDU37DYwTc1&X4^z0!3& z;#>G?+Z1-)7dOib-4r?+=-x^_Xl@>De=OQD@<@zx%V55)q5z&WqM;HhNJ~FMj=siZynKZ*eB#V_7c7rpgNS;# zhVvbAXf_>!{}{};!oP3o5b@Co!z(HY#({~^%Yum1qpsCTmlES^aKK^Gp%sHIF9zOS za%R9IFHdsL_Yzwo+W|`7^5+KqhLgQoyqx#G<^COJALmpU#@vx_J968EaA*Sxs_J>k zE8^11A_PyL^20r1ULV}aidv~DoqmiSbtsID>nE6uz#|JnY1ZXW(ZClZQs%0Nf5Wru zup;<{?|arp6VixcF z)O|sAner;Kvi_W}AR;RMfhS~lU%6|BW7>t-x41GU7e@${xf)4ymX#=Z{X210@G2vN zZ%R@7R34s%0Y+7I9rEY&o5;(Z2EWvHx82Oyq}uyA3n86lFo@ukpmZ2JLVli^@678v zc%~fohI48>UblBUQ_~T1nf7V9Y8YhHIP{ZQn(uDJUs(w@zuH4+jj|zhgS4zRrdiP+1 zU6%S=!UU~Dv`w^K-orIWcOs!SjxRg5w_wNtpS=JXvu)8}aqU4)pieN{KiFAiS>~QCUcP-Ix$e|wHiKCTm zL!5rMS*}f{Q!6_`a}RY^)|5ho9YV{ml^gr|=3bQncbVY88E%q; z3PpUcKv`3 zW)Bm4$BO6VrSbH@l)GOXL;20~mNXSH!^vX6#$0I?E<=Ob=5BWb?_xYXU$w z7xpc&C#qxO6h8&P%Mc+aHX6H0+aN%4fcjFhLM@ZigA)Axg>9`+F4RB=0-X(R{SNei zBsjaA%L6qQelCTITM*4I_D%jy&N zy1GDs(3;S>1zI}p&K*XYOZ2@iDd0j)@NZUuf9P}a4$1=g)WCU-r*_j^4N0Gg==T8P z9ElnBh_|nJDCnXo?%%5nuo~;Om&6D)7(mvQ3kPF2x#>h8tWwEcbBXlyaT*`fzr6~e z7ho$PfWr-7j+LH{aPEJnOX54;5^0Vu!^C1?th}GIZLO}Tq@M*Cu0FBqJaCsVpZIXV z1`~gCt8R4$Qv(8-AOKb`T~0B!;HC%+exMNUPYz23IYQS#^JHm~&O1MSrviT)ifG=L zK|TuUHfAbZ#yau<(NbKp@3Cl;Hv!l`s(F@ba%ETm%(7il)~g_tE_lt}o?r8lB<)CX zd48ry62Q1Ve2H-m=^`N1=0cwlbX}(MXR~zzD$LwdeUO7L@^wN@%lMDBL~n4;&UA-L zgh^ZzN40i=(S8s0_yqCH=G$O6f~QXZ2&yc`W4nbjkZ!`bnxk?p3RO`!f|7;hA=CSe zKx#PnnB@u8N>VnHw^j3(#>uWV2ubJRYI2WuQV0l$8vrHZ6Nd!Qs7Z~nATvuBWBg=w zX^Gkoh4o^H#5wwYYMcD$+jgM$=YKP-LgKH9lAwoH&FqRPBiANZKz+HPve=SKObr>FG|WR~KW4fNm?S-3eZqUlMgLbtHQTz*;>_g6@dbQFsr@4-qT zFsW>mzvwi;*y3qb{&J$J&JhY!-c4;4van+W{$2@Vdb-XlIJPL-NSVPV-`ud--{b&Y z1u>Rnnb;mkhY5QUEPP8SU=hZ^iJ7-; zOWGC+>xbYXODtUsscRJBR>&^igV~Zk1)7US9QlOwyp~sR4Cj zJ!y3v6s>M0uV348C#`}CQLZkR8ss`tLIJ!4>XN+O?iour$;nAM;AlFBkCWlAyqPha ze61i$?{=bX@dHA>|3lddMt@UD>~xzt-q)brX3rhiKyapfnN8?imjq2zWT426ZLV}}cY5FwsV*kqs@89%%EY+F(?iZ$NDV# zrxJUqvgKA{Y|b3I%o$7-+(6}8ca|-jay!b3|Nb^P6~aMJ zqPT1%o%$Adv)nuN6S*vXNTNm0lwAnB*OMsUuLi_3?n1I(kJbJby*$&=NuX702X2XSOuxdk)NGCs^@Um$>`=Kyq4iolpiCM zW?kNxg66#b=A>eCSxJR9CN9>V3$M8(k_&ApO(E15I@EqRl2gKlVly zui_+4M+b_Ok?u-zc52jPb|r%9>`j@qg`lAFF=!- z22L@IeEZ7BiK6@JAbkFrdIu*bFu%iU|A$Qi$av-z0H-Cc;^o+RD3DUm$r8|&^`axRMpi5h}Yw$lt|D*LdhQ$ExoJNUN(W#jo1$silIZ8M0h!BMrqj-c&Jo z8|oIS=t(2d8Nf;>GZ4`lLXFpKM1l#9kj{7Y)%KMxT*qfOh^|#>AfgjvD7{yBr+bQI z7IQ~d?`U$|jis>PAEVvk>gj5HVcS$FjA252&d$!Zjk2~|7qu`{(NUw}3KW$~1$gF7 zU>UAslWmox!w+=tTm-W#aj?k&x$I$PAW`aHFW~76x#wr)<++t6N1H;Q=U3Pa z7~uh4o6Z@2bhq6mH!iVJ=2fq7t()7)%O9e2`X1a@wK{*ROwBuI`B?^E^=|FF>jC)> zize!m^fyq+C}JMiikiK|*Ll-F)r5sJbcv$p>kkGe!G$E2NODqCXTTRn33h2VuG!MbnX?u@wh}w2eJL_v^Th(s!wFN)FGQSXk`%HvBL>p7H0~? z#L#wfSYw4o@Aqrrur5q9d)eq2a;lAF+6g|b_C9rZIq-dpD-Pc?)EVg#7dtQeHI~kw zGbCNz$S0}lTb$54-@-Vf@#~FS2s|-jbKvHFB9@g{hcdNg46ZR7ITPZWO;$a_ZGOl7 zw8rsrYWF2tHl`zkcX!twdnH(~@xt^U3U^q=boh_~nE=rrb81^X^)-gVcrXmGgA#)s zB@VEOUPzB_k^f?TmO@Yez_^ylUDd&nI<@66{Yf{A$f^S^9>m(Jx2$EE4GU=MdAliC zW);j;4bkSwxu=E;Uu}&)!p9x~NZ@W~;ge7`8rJxd8xgQ>Z?y~7)zPL7>xY_We%MF$<2K74d$v$0;&e?Btjs? zKnB}lSbl9@Sy>{2bf2l}=I7~iRDxMR{%#zn*>%dbdM=&^Pjb#^4ZY~|>S9J8vFRuuT0!afxb<98R#7;&lT6;U!w^Whm z8?g@6fr&`D)aLZGxUV(2C{;@6-iEw*0lY_VrZlta+%8|#cGeXH59XL6ev=JKo+0{- zCnh_45=~!}VQd1U3#y*dPix497T43Bo^@z@n^cDl3j&CoGBFHi^`0klFZBQy1M!8x zgwTLH>M2`PUiJG3(3#@;#v;cdt$jKc(4xc)*#DjZZ=SGpmK{UCCWzpyPD}m+nHznr z>MRcq%z+ux5t0r`m-5k^5Yo{v>0;2U$t@@*2qYnJRQn7?MZ2>4%0sK&WuI|NnXCJ2 z0Qbhdc$^E6^@-KW&^Rc+ih?&LFkWnIf=+QeSc(O$m}01Tk{4PyVl6EVWAA_~EBSAy zX7QNtS-ZyUzvZ`NR8O7-Phwx0QoX*x@LY=!py!p#_bLi|DLl|)p!sRo6}h=A>6bcM zA%rTv4eI+raGrM!bvoW`f=T|}uhwpu0L z_062&n{0pAnrWjOn`XF5$ce@syVDd-%^L zEBP;sF+)zFikZiU6#8V`B@?79@IX3*e^8m!X2Ly;OEptc!g#@O@fmDXSi7fMXN?fU z&oyh4$H}8~xl>W#CCjq_HfpCEOEE<+xG-jK7_OvxAeLz9X0rIQI&lBi(1gLyLnZP@ zT0#fw=0WTFO<9yJQyWj?8a?!IYI2Ux&ysm&uGP7yC@3mlj#u;{k*CrpFP~LYbBpd( z?np2p5kXG(sc{00>gRf(Qr9UPg@h4(f@}7nAC)^!-;Bu!vTAhs=0qYo>N=)o2;N`FrjL3 z#;-o-;t^h*__zAkO7XYUO6_f`S#Tnl8NElEj;9teHAUKN@H3Z{ttUCPjXDL;6G~jOU&m;X zDk=7RcD#!evV0!g^P;Ac=o*PL+y>j)UCTy)OBJbluCpkwTz-$eU_`eUvaeiDeHd@g zGmk*5>iP0k_(>W#*ESIh(oj0<36cx>1JRV=g&COs8a^5`8!|Tw-MZP z9ed)dIrvbIhRfNOVB-ppmI>*WN0t=5Wl>Qu(s+4fV9ynK3LBZpz)TC(FMzXYw|#Jh z7w-e|(cu(e$@jDdj(1=2~hhT#b4_T|KT?uW{O z;<2i$Bx{08-rzeSz^F^IWth1))4eD)ECmf&Q6idj(B z9I}gpg)WH3Vy%tuz62nc&(wUr|dc7 zd@5kSslS9u%F9YZmkME9t=|7U(T7LlwM^LAQLbxn%^R9 zo7fn=Zq{?B_|3$4Etl*T&7&pBA{6Eq7v_yivh2d_5`>~sUeorVA4AXDm~d{EYo05brrva}xY&=&#McgZdDf=>+CK|4BqpkB3lp%);-*AnE^S}Pe>|Pg z_HHKoO+0}b%h%RE!=sk8Qd#o-=RD;59$GA;|BWv>mQ{@3 zO!HwPKHQNImqho(su4k`tv(GMBNJJ8le#kl67!l+X-ShsOw^pFkE#iHy^&5nuU6hn zT}ZPG=ADx3qwK-Dpx;_&V@XLaXbD5u5nuJOttvl~iag8J=&~VBHDJ>sY>J^Qi(BW_ z!AKqA&4-uFaE`NlQ5xW}HcpwJbB#g{TH#Pl?ffAU)tVNgCxtp*y#IYBohw9USQs?M zF;N!%{JnpM+v}7_KtV}4@m^hHC=~p>s97a!jSkj8WQbs1rKS-cPJ_mrlzUn!sNEve z?#5{<4Zo^Uj<42dtA^4`p~pvy`a=v2Y$6efZ%pka%gAl@lErXD+Nsq6rts!CT}*YL z2j`}1Z77NAH`}5m5bc`2*Zgm+cpIN+1LvAu^EQ%s^!%vUdv$)Enq{A(#%L+JC%u(& z`=>oTYh!?)%Kq+~I_4Ro;?2CdtqEo2O#8tq0YN zds#Cg7gvIf7(?fay_uVZUy#pBgV@`PMQ9er&DV2~4xHb$#KxMvd##FnT@{e=y~LG3 zeb-p(S*x(z=EwTBMn~UT3_GvffmLh>Y`)Yvp{pxxXstdSR?{knLL%Z_;qT}Y-EmE@ zAg=E#FqYaq!{Yd+)Lv@;g>(H`F(}b+k!R*M#HJ$+Sa^;7yLZB>{C0tjR zv7#k&>D>Sum|S*BmP_t=U@@ythnKPH(SGq8mSSr^=gyzg;GhDU9(aowsus6xY~h>( z`ExF;F=v<6P+HG%3vXG)K(@&RLJrn~9I1<7&H`+u00nK=U{qe`UQ*@i>0CI1l9D%u?tHZR!>Qm)Tyz$O!3@@XC79JAEKbLH zS9{Re!M$XWKB~4=Opnr6;y{=yYOE2Qtyz&&X;%uD+)S40C~Fgg$9EITfb}+mfkg$z~%!})g0%BrIab6FN!p0up*3#Ez~vJ{97N?qr3iiSr=KN5iBjM zm>5Y{x5hmn3cT1fLbA^~I1$rKoFP^%HE|!b@GQolV=Q06wowWxNa+Okpt9ZE!Qmfe z0_rbPl}TOCDm>ShmfF7#tO9EPQq$^o^Jw(A?2K(&?M|tnVcy7>$|v zqa|AXV`r|v=9medI`Dc`6hft8hLKeZ8!g<{IL9(RE#acO@BxlYF}3B zW296!)8e7}qRf?7l|hrh_O;;@E<#FOr7`mZ=7DP&0%*ZBn_{8MdiA|4`GKFf*HMT4 z2$fayMT|q7!RAx$vWYCjzv%logWtqO9x>n(6)k|HOCEj?&#)Yt+20+)Y2i0*LpZe& z9Z|);#qEO7yD++D@7-t3DMkE(3_yQxJQaGIAS}=?sBgdI`Nee%CAcTzq$d^4w`du; z?W+n(tK}95s}z4bV#PNU81t);e`~_XHremC8@`5_dh6(Vn>&*|a-cC8m4W48Sd9H0 zVXVW^;6~b%c@?#y7}U1HXb>5QVj;Q9QTeIx%i*!%UjCL+rlNbA{2DJtOjVJeK-Poo z61b)G7(smC9o>UGZY$Fea+LH1RG(?51HxRv56nXQ>e@VD+;(=mDO%Jl?gEI^=J2R& zc0;k7pJM^2ubA@i^x{ERcY;j6&=np#0#lXPbkv)s_c zqy**`wEk#|i?Qq+zpNqqC~LkTu!lxHh=;1w?@(&O8wcs@;KreloBoILlk?MU|JI=r z$k$Sb<2O^tw=ZJi^ZV4Xu3biVq~5XQiQd2br(E1;={CI)B~eF%yl3d4*SP2}pW6*< zL&)>XI8)1%qf5MQF>5=A#?od)LIG+6o?|C|lwGyCry7p(U$;!q%_l~y40gNAx=_-P z^SXUzh88qX7c;HouU^$dMv^x{^HK7(;BcN!dAi)MCu4+{8#p)Lf5^U+kJaCYRJuED zA-d>!cZmV}S7J-UXb-zg#m^SrHJkm|x9%%xr2Ig6Fl;ECO*nJy1Jh@F`>cCO;YUAh zLfjcIKNDXvDrb#P(i--D;3F=O;b$7-rUQ&2mBa>**lrhJB&w6-z4jcMrlEB<{vls! zvx>k&57}26+$)AF7)}y2X1i)meZXCG?e|S}&Ej=ks0TTAnu!7yS`t+4@1djv*P!LX zR>MISd93MWOzJP>U=~J1pgq5<8`n3TLe;n`uu|6I&zo-=#51{_6SwhUHG#BrA(Cf| zwGN!xq&-jO&Ay7BvLqp-%6r80K6b6p5u{U+nmVO>mHDy=6cHcJI=qC$R=KP|fe%6b zf(6a9X>RCw&3L@Qr?gyY<3`+(c}+rcGa4StDb? z?qG)^l|c}#0{El=te_LtgAbbtX3is_2AN7p_fx4%IJfG$C)Pv3^E9I(qDJqi8wQRv zBp!d&DZiY&9CIr{f9js%KXKP`7^bpPUencigpo)|)Z*z8W6Lh;=}CI4P?l!rzRup` z0w^PAl<3VZFQ30qrt{HE7Ch>;|4k;}GZn$QLT2O^zuTSU**Hu-_LsW2&_l=qiY49B za9tTdeQ1a}2~7valeyYEiby2J#JmR+H2@HDYD_V9S?c@yI_N3Za}2>@9SjL3 zv%9;-3l$0_6_JNb8dSNx#6@$=91^J#`q2)Y)8v`|W9luS+IZe@VQ7I;QVJBe;uHv8 z916u|Or1 z`zu2fu7gBL*d@CoEwwYbv`5}2P%rbuTy9?MwlA#ea&FJm_TxSq;}V}QBDv4P#XI8G zr!Hi&C-O0%uT1;ImgoM3QRdAPV^d^IX8R)$Rqx7-YC+RbBvm-K*M!^N-w$l7vDuP> zZS?_)CkJPtsbd#OazA#_+t4g&9cn6`9xN{u2uOP%kV_`7F^_?ZzTG=$Crxh2%%4|W z7b;DrW;YrOyP>>%DdLJ|QpEj}?Th!EIo^^fh1m;v?SMy6fAN>9RPAB&n^b9hsUl9m zlO*%pdyTyBcJGcU|NH9|;!w`+LSF#>qm#zBK{GD4=8dwc%yJ{olR0SwBT+r zT^C!_*9Lyw>jkCIt;Q(8bwMQUw~r~rg1US)F&Qh@gHiQ@pF_31yR0PRM9xQje^k>_&F+lrWNmGe{i_%|>_a^Z(_fY^QG2vH z&wFH0C^l?39KaZd`NqD~ZTQg5LUT!O9bT|GfHr91U*gj&@PE4lC$E|AiuHu)rlf8J z_=k!)wObf?#`_uGe<@ecdB?2~Gk65klD*$FHJy>%(qL@Y6)MyI$q9tp1C4Jf!rIKH zQ|{&GRM2{eQy^-Zo1Al2hdm+n+)fY^vSE0rQ9m<#oD=}9)r9Ccw=J^dWZ$}N{k(KB zk|&_;IH!H^9wqYzi;)O|#jsSK^t%vB6M%2*>8{&nLq!`8HRQzsvM(xPw7x+{R}M>Y z$->0)a)1j+RL$;m7_C^r5ub}o1O2i%K1Vnl)-pB;7PHlYc&o1RipW$pjCsd%GgrpU z%>9Q!-u?1YG9md1JSkBl6<7qQ?s(pTXBg-~>bc4_ZsxGiuhTW6*0`Z#!sL!LW(ZA| z{!$RQFh`4BMRoJBT<>^4*be|yR$T^ERU&+c#}w5r;F*Z93NQ;bOH@vfSZqRZ?6)Kx zdXIGbmd0pL4xx2%6z=npRv@5lQejsFSdn1#N$r~oRGG6Qr$Tp7H$xQISD_tzmGO&x ziOx%J-sD#43JXuxrrdbVejuXv)oX#0RJ@z#zx7&b#QHM(b|cM$y~wBMLop{@9$W zNfC8X!!bt^^B;OI$Ea*=t?@=biS3T74co4aPc>~GmG3Tbde=B3a4e;Um5pX6#Dbe` zO;|TN#`>n8?w?KaA4?CF$L9S}g?V-DrF?l$uRU1F_J@llw;g01OTvK(z~qsU!jE~; zK}P%ZJW4)txw-15BEoMs8KtOHKk-BNU+lL`3~THnX)eDX%g+B84YHQ0NtZx>8n?}b zsaaWka++Iq8v?Cd(3?l zjE>Fr8fs`J<-VKWh*U02#*?Jkoc9S1HE|AothL~*aa0$K4!2N(!fnM_Vl&m5+c?3{ zze1&Kg+-r#T$Mi`bC8L?8ww{gd_fuI7%7Kbiyw*sioXVD|Cgb5uE@AXlhMxFV?ha!B&L5M5@io~h zeTe`bhxZ%y>S(}I9*H{78LQ6GI;kOt?+D}sNqwOtwi=KHlsY^W#NNS06tL*ch>iP& zp}!(V4dQ-}0#2Y=4!ZRvj{IwfM}0v?qtov*ywyaPlxAlprzhV8>kBE~y~C^emYj8H zdI`C0nxlrm?%znL>~;4{^s5eW#)x-){|_Ti4dGP;Ag2~v^U}vjnYq8LESKn=otV)5 z`a^1s-Vuaa*!n4&I*=2@?TZ%5&0?$l;;Oc0TK!n8Pt}wxxHd!;;w6R+jk`0E{mF0Y z_=hnwaT`5r>%wugKX7Pi3u${=^_M!vu4htJiy7^rcj{psf18y97Q=3H3ehJmXU$^` zlxP@8!k;^HcP}Pv-BH)nunMlDt!q!6JQTCUcdLBKVypGEnUXQG6fv#djK{iuU;wP0 z*{*S$C%wM*SlHT}hc&Wt_&64SY;ENuyHD;XR1)*~WhXca_`bn!M_8w34&(uy3V+rr zkpuN2(gQcY+c29~r+JixSdAjZ2MoWS;b4jg+%@WA#@pJOfLoQ3p)N2|8c+3ST6%I- zeQNRo?&H(bt%bM#oIWr0wKQBFfyFSw_rY(jC&8lknOASO`F>9>lXj8TclrOVIDXw; zTdB@v`Xh6>ju0J7^cMcLjl`fq%RJs==vEHCLKuqoXAL!A@&cLOs?oJ`<&!Q0hV z>L&_dL-2x^9Ymd02pI_*%m*PWF$8t4Q0|58_x(zN?Kl9mD^## zEirG&^j%AW=UAl6a=e*XQmpOD9e7QJQ%8?K_6B42Ksw78u=?j>3r}| z#Z7JYkZfLuVW(N9Iyzcczu_zz0Loa{I6^QmkY1l618Ue7thrlSqLJ=8Y1ACe>TV8C z4k;&RTBf*Z`#FMZbcBjpPC@(Memh`l554@1YV-XX1I5|-t7tPeOhBG*QMKn`g72$_c%EqW4R?Z&>NxeW|-!P@f|lT3Aw;Tbd9v zLdznZ;2X1)M6iLs(~17n&g8OY+AlP1pKfj;=~3;!^%`N@OcX+}A7e%7UHtGKQRbAU zO5<;2;9AnU_zI~eh1{hI6V&?;qZzcP#<1LSJwx!qWG>a_`?Pj%V7uO*Vvk_W(X`xS zjRi%cP3;N6VE)U+P_&6B9kU(6E~?%vEUQ75=l#Ufg~{6A_$ko)dkCHtk>- zocU8S;S6xQt8+Q4X@&@TNIxrjZbsi#=#)_Us3;=Wp!@69uqosywA0p-WGonk%OM*^ zz)^fHP{B~5o{&PYp|wYv+bGBx7SA2?dpwerCZzB@ z`s;Yl^F1iofllof>ZSb{X|6zV=rfV<5X%6#<+Z0Lr0IsnHrF6nr~NOlz!bkXac=== zaIVoLZk|rS(b*53tzYfnY-(&<9n)RK!|aXaL_rj)uS4$(twbQ%X(aNxcII#BNBh=S z-Pf`oMN+T96FC5V#%O4n^+;<^YgB%SfVW0@#*f4YC11shivKXq1ZKbES@vnc-o}!U>drfRoJCoidn)&uqJ^fv5V$9EGJY~miN>}70 z{d`$TK609kkymRN01TtqvkzX~2}?cN)7}~ZFLWZ@&=qIIZwzAUAAU0NG-o9?e+*_w zMW)#5)U?c8HT6OsT9=5)Nd#(y1%*{Vn9&BKhj0vLJa)4N5U*w?>v$0OFhOUY^h}6g zQi`8b2I*#0%Iw$(Wvk9%@|uz^Y13$SQ!B>y-@=kV#N6&H=gY}|3=3L>{XgjAj?B8j z96;*>T4q!~_S&Vmn$?RpB$~FZxLXd@t1wT^jW7B?sJB}BXLOey;>VmWwDe`K{?<9H zKu`s;#IhI!>@o)*S-&<{8xmjQ(lx)1Oy0eL+H{f!`G`}$Ur6hbNRkf>@M!vhc6@m= zgzJxgHn|?!_IsMvgP;+C0#I%{F1&crZt+zNOeJmNNvWD=8M&GN@3f{8tR6Qkp z{>olI@kmKx7JBa|yy`8}qLwzj1%vJC#OBO}n$eAfHFc2}aqt6PdcGVGUcxoD?$R)Y z?A#?VPq9HzW4*!~0q1+a8RkN)_BAzlX6e|(PcG6sbGe-^!IPWGP~ml>kfrJE-sEuGWhfzmleRf1_baZIq3LP zgP$n;sHuk=j@k%X+e`_i{N(23YeD0`af+d;V7kR0f6zOLK0Rn?8{|S*R)VZ%USehSB#jsG5(~))XnP+ z++Ks~!?k#u*{fcO7FJ5rE_LY<-`bk;H6iWJ-xH|-?SXg(6l&q=9~;`z^$R(OT*yxs z4ZDb(ZKv8wBg^ZOCX2J`Qx&cDeFIw1oTFhm6n|!%WDB;5<#2IT=8#%p=&Rr;c z5Fk=6+(k591cTNx?5goGkE)1>&&JBB(RJ3@Q z1XMT`oE*~EIA!17<^EpU07sd)5@eled00gT8hiCrLsu+*T@s|&o33DI;k`V7P%;;~ z^o234zbWk^tp0N3_lXCLmw2)ne67a@`>QYH!Vs_7bDB6@ki=afnsQ(p5MYVXW(@+P zB?&LBMT;H!;1V|G+abhrb;Pw)n zEuEtt#P~1y;Ybx|;z@XhCfTm>xAjL%RBLK0ey`pV>AOG3Do|`$>M3985w5eK@=|VR z)x2CE%mBfqFWw3g=8n97zooXiXy}TPf~rkQ{tmq(X_ZvDm1liMp%Mu zPEnj|Q)o*6tlRcPW+n4U33eoAq*5oy%Lk9AjfO>PuKn&>aQ3RHEZ zTCo)$!X#}Vp$>7+RR>L6G=)%+88ogCesRM%ji&z~5}hZ2Nm!GS?^c`m=)GBSqvEQG zU$Yi~M&*t_b$At1FrvC@2+|i93Yj69&~0$nD1%kSNQf51@aA2@;fYgEzP1q>#sV{8 z@|*Xciv`|@Ju6m>5!#-b1|DxYSZzXAfV*)$6;8G4(=P*29~(_t-(#erF2!i8*t`1) ziADf&`k62br?B~r(pK1FCaS6Y=#CkTMg_Hec&4o=*inZ*xLrt{@Dls1IHTI}QFp}y<{f64A^)O1qq zFFn3YQ`l&PvH7L!hyO4>p;37**GNah_1x-}U9p*kE32~f^i_(=L(e3o?jMS8+a0uy z;%pNSO6htJj)!7URqzVUuwj;+_3Dz%`K7~#3J3D%_9c~NB;$GukC!w(AvL-^kMoj~ zHpY*MdU%dD;L;DbEbHUrz3w?}+U}eUUuVCT1o_!se)~NdhPueVbP7bUB>LK* zWN{Ycq=`G7xarQImuFMnLtpM|rpUDQrul`ZbY-V8u1n-?)5&^%hID2 zqDP$*VM+W_)VR81f4%iYp#E~i#F}qG^8q2B&bFM;@ zUPy=CjuPs2u&fYLs~XS=aqQ_1HqA`y&KzZI?>f#mXynyHk4gEzM6so!tC$Ls zsM}wUx9iBEZ)&yAFG`;eI`Hr+(g>G0a$UZBWi!Q-7m>%>txmHP|G0}b2Vk?3ByFl} zsPBx(_tbnL@MOs_%gZ{xB0RwWFMH=Fd`m3*1{9wsIIB1#`pap`1>c|C64!glGp)xZ z+ThQKlO{sp4|~DJz}_5FnkIxMUZDDbPtkB-HDxqAS;~5jy%9&#U zjRG$tBmuE^2DC}I#k*cg%&d@ z`)EI9Aem>}8k;wD2y%3IyOU+I2g0O%u7xXSURnx}cMVv9F>=D1NhC0uc|<{A_A2?0 zhUUpyl0wy@NA)?c6zCN^w?yBT`To>gX)zOwv*D+izI!m%Ma{U@6nrbjEk;{*A%8Pds%+y z?K!m9t{dY8-vdv)ffG>K62T8Jx0vaJP>T^qG(_(q`|O3bq5-zHFPa&(+>MNkIFQc~tNL1qb_Xiw)bk|$u} zqxlS9E|?bi%LPEF4}qxycD)v4PUBxaa9%NQ2rkn@>>w_La@J}UI~T$5ijB4dIfRzQ zu*?EyMKyDxE(!n7Id&F!?r)P1JoU@gU|cLSAmFa!w0P`7i^XLk^jr2Vli|GL!M#55 zh{vs)ytuYb4WR4kF`3%pD^XxIv|%YGuv}XLp13TOTr@K{TJSt@M)etR;rMQFrJ1&u zTWwS#4b79t>l8Q$Hfut^%%sT&*z4pP9-t#%60yCv^?O_GgzJPkoLT&!3Z}BQDDd+D zDB_q*9lRf_0rw!ZUz3aO0XqCx_yh$)qrf1lEzRmmO$S+v`YHi1#aK+brQg`q!BE)T zsO?L?nwqdgh1A10sgt0I^BnV(L@f{)SPh-T74`t*iA4Q`q?kBdIhELNpWjF$OICdI zof{^!vlfLpD`MW{NUY&*DN2nVc*Y1jUG9huOF9D-s{YExVy4NkSyyP^=)9%_)l*r+pW9Y_4_m< zd0P^H&dT9W2)C#faL@s4FFxZ=&=$(NaPWJTqGC~1gsO-<8vKF9@I^K{ICjPMwU zE-fGw`vAhXy27jZW>@-1$?Wked@|m;9K6hNG*4{)Y&(wqj1|7VM(q4r}lAI;d79-oBf(u_cV!BY|Oc*@R#9?8{z)# ziRl{ws|`!O4Q*++OR+PCh&eV-@CYv`A2rDP-9g^5Ee zI`v9B^YvLfJHK0@5ZK4CuLM?|Ug6d*@@&P}<}Yb6u3I~=a0ZB-+NxgBG_5bDVPJykk4kehuN!As$(GT z)~35+$us=-Z|6!QP6E1B1^SoEG6%Ux35Bs^dDMr$=4S0Aa}*1&a2OW`E5&LJO3`T< zZ;ICG7;)H>lBuMUA8UxH{b8`J^9}KTqpP0&WOCA-`e^~`X%&hM@DFca={tFrb5KwN z*z>RMS`RyvrhL%N(gk*_@>NmOTid%qru4a$j&VGdD7Ch-Y_d=Mv$w7ywg$J6l7mAm zB>s6sxK|n{H3pms!we!cH*(twIN#lKUz(!Y`dkg3rvwTa}t+0~DIRa%&&GpVe@ zk`UTrRhKTLJpglVPVCQJ zt^LvLuy&c1I&AEdONhFzNP4OEv0Ph!_+Npla>S&;ODlpT>B*F$6Y{P#-y-<7LBn#%s=&bo0Yw=4zI z!`RORj$1pj`6uypTxLYpBOR^@8gM$w(^MbR`4`4arBHye1DdEp4WuV^A#^9~d9JTk zt(^Z>2S0hjuW(FT!yEcn$mFp#mZI96YvOnrbY5$biF*eR_j5vN17kYhokNF3L+87GpEo{{KPpC^pzYEtmDy8h}0@y)ODr1rOw zV%F^4Plv@|3we27q13O#VUcg@etVQv@+%Y;qPS3fL?`W!_N$#hpqI)fAK3cWAa`VB zgdp5^6-;ZU75RnKyjjb-1j8U;7-hkT0M=<_mvF^-k1g)jR|F4D1)1aWSAYM;(eM#< zx6WkXd7mtHRboLvto;{J%)2O*zQ7nvhhU;w-+^mL32I9rak3jmJCgMwvx~)VA?)QL zrb5R$Pm6!25f_+>DzivPK(t294RD+J-KFk!Vt?NNr0rrV=z0UN$3{26^HsDuR7B=C z34Y&VB(IZK@L(Lba9QMa<^vGaCG-gV%1#|qo2IQQlI^}I*6QsQ#20fbeb^%Uc5Kkj zwzj2fvcp(Z+%_+^UCw5qpe0rNh(qW4)0v_@{(~-!v`a~VLBDPz1duggv6d%gnX!DM zqnS-Zw+!f*rW>>2`|r=eg0m|d36BXPe|>yDkZY(tQsc7VW7#zncdtistc$zNz9v!I z9KKUV0$aGHsLt;B5r4P)$=qOwWUjYRTTq5Me2DhfdXC7GCMu+PLLUgWt&jc=NL#SJbf@L0q zT^@nH%-?@cDs9I7(^bpi@*-GRjBLEyui9H)ap}ogO7Cco(HIBMjjYsHWE<0JJU9`Kyk}TMBvW25xd{hcYmI^m$?| zk=|SN^SFT5XybiuTIMl#fSHzE7PJ|VK0h|Ov|H@Aug;ab%HfM|l5R40Ap0EgtCo13 z6m@F`QGV!Pu0$mIFC2JBUhLLu^^K6KJ)%zPq!lK+xp2VQEz@6p4rWSoXg)ukFwQNA z&0EoYoYyfq!hP5u{$qFN$+ZA--5qkeA*4NJ$8E~{X~^g2hi|0Wcq`Q;nbMo#w>Kcs zx4s;+#C8=$QAxC;<0p6tA-OSAN4S)p4S477^--StCx->;`f~FrFJRib9aXB#ChR<4 zcx6thukb3T$^Ld_-{eO+*7(9jN$^C*Zu7&^cf|r??3dXKKPwybkhLo9AS37WZJZ!b zgYTaVG_3;?2fvoy?E5GhP5G73gCaiZ=y78H(1y_}qSnu}ay$}>>?U1cih_T1LyjhryG?$NM)ovJ_ueo^GT$JU_I z*w&F5_+8_L@SD@G43+<3__eQrac|$J4%YpcGiPscT$57AxBYW6;HaALe9ay?sLHJ9 zX&1$KVC(-}AP$`Gu|pS|v?Am9j${<6vHO$`Tk!93_?1iP*?G!Gm%Q|%M+QnKK6YWn zwiorcGEXlf%g(JrYo`&@;Y=L{$|l0{tm>c?g`-yCe1&y~1$7DT7zrOl+D2c@Uo)B# zNIMlJxh$iAHg}}_xKb-SVP5>s%=IG@*I&1&g(|mTv;pA4(yL4CG14(nswX_L9%Ls5{Yl3gc#ngm;k3e> z$))VV*+E|5Tr&(a427WbwXs~sZ7O1ijO-w!fch^PqFjn?<5s@xi1K`^ZFH+pRZLW< zHK#q{gtGQhXuP})LI>J>=P!KSGP)zPlB#AOLD|Zrf@-B-b6BZSmvar~xG`=W3?$QI z!Hn?QSI7#N>m77l=kqXr8WG+zJp^(vGnSTcWIo}$g%FxXZIKg*Y6zGLJoaSd8|$H`Dc4gifLUKqebjLCp< z9-*76XzZ^(I58&?i-&QL@;poaLY=c>gTt@%vO81C01jf)KG#a-2N zxr!3c;aT|SnnxT)4Sm^3L8GKK!$Z()@?0*-9T$NkP$%J`_F&75(=WMQlLA5a)Eo~) z9-hLY>j9_V&(K~E0oTwf_B8||Yp7@o`$g~?$yaymx5;IIzi+Xi>|OCHdm2aow?dLW z^0~tpPta;soq-M{(rMpg(7P(;%!MvHJ6;wye~(4s`5w%fPx}~O)t*ILPcm6G;70WK z9CY(}S#c3;DV*x;@-HtT0j6GS-u!RzJ)@4~LS)kRm5~P37G8hI&jFs!NH?qSAtRCu-X8yA9TLmlQuZDL^l0z%Y!^3Q2?x5hYrl4xu(kYbsOaON80GK`tB7G?hQ{;YdcA zVFP$_IjJ+R;H9x*k-m1jvRe_{z+z=iP*e04U2!SmFtT`xZ-O+LSfB}J%xKt5zd zh}2Fx5%}G{0A1);n;wChUWC{?ZZ%S(TSMW$pk}fLqUGu2TGPKAcPR+ol$SZ~KH8;@ z{hyF<@U9H0G*xYE33mZ^t~aJPs04TFSvuUYHB#B6myl2dk+th|_Y7u1=4#WpFemo8 zvN=0cHHGLLwkvUZH*WDwA#aU!W5MzzhfkSucUf~)t~UmQb}M|Us<>af<=RYU_tDn+ zDQdUPTg1#FwveiT!$S-{^%>9}t}4gX14;y!n8^^Yc!NrZb|ua^rhD=bQqwhXI?^tV z(p6->@N-5%n4qYcVw62$q>>A{MxBeBnUd*IYf#3A7kGw$$<3?GbGSe5l4}=y^SB5W z`)De;Z;A}fGt71@_)(E0sW`y5Mu9&Ro2N9%J&pfjX6XC?CX2E)ttEb6;)cjmrs1%~ z==69=uEWSLing_Uk-jB}w;L__q21L-;*vD!`+!Cl;5FxQ*suYf3V-#jZZr=beMxWx zf(H*h2HB#^46hT=m2SpRDcG7P*k4zaWFy3%GmFy$XK1oP?+1r<3wpiat3X3-3t20< zlA+L}`{1n}f~U!Yf8-74lei|_T}xN?hF_JmbvJ=&vW(jF z_z^?_Cp>F(V)?85-LTaU%6bNb$=N1L8m9rW;R^Vd_}86M61+Ufex7eoRupf zS*h!M|F1xK~L@`8z*~kX(D&g+twCHc_IUZLBW@A}9oyS134{V1p1JGXcI0zFTja> z7L3BgUQ^>_oFXHej)npualoS&3WaHjy<=~pb1gVq)~vEcM}b3{+O!n1KNZ^Z zOO5hGeh2Oz>>@F@8*E`#h9eSm0WPMM^K-%QHjZaQ6VnDaU9$uN79I65qn2xvu>lOzc zwMK<)R7NbY@FPtMa+70+1yxeuyYD5*6}CC2Nu?LSBC#Jo{5TVsPl6-=c$H<$2-6-V@++9Kwow|zLiyrc@h;YP$q6uX9b>9C65Wbmns|FFJxna4p4Ulak3u&`^tb5SHS!fk4a8965`O87Y>iYgPAzBhm;cCPnItRA6yt77qBk8{ z*{{ZWTW5OnH2od2i|3hk`?%pUTwk{-mgDA&juuk8r3f4{5(|pDK3BifBruy3@Fx2W z)><(R7}Jtfm_Hv==%@W#bZ76C5GmGrTgXt+I$~NX5?q#+?06Gd*fX8GJGykVn_DsB z=g3;Iz%@leqsrVUp(?{C?^af%s}X-To1)A$WHc`=@4PDds@2ZUh)->DbBVP`n zBX10iP=A)K8))+0%I^gd{)_#nBmN4Z5u2Ii|8tx4b52Vq-tm_0+QcK=Jn4<<&QBT$ zlL^6#3DMV4Ft^ADG3V$?q`C!9X3|+r7+lpYJxRV-@0qr{TAW@AdpYsfQToz=*L%oV z$8A#&8E1HG(JEf5;_h6nPEQgs`{K@GY3JhZxT3t<>dPWZQhZ@ONlKUeY#jrwp_^SQ zKQnZd%J9q>_lQ^WyuA2&dHZ!?da|RfU8~;HLwukcB zTmn75Y|pl3`o3#T&IEZf@WvM{4O3YXel{HBI)%e`{LH@^%3G4H_!&uCV$_7cg#sq4 zojb6^EN*Q66~nh)!3i9xEg};DAA+dJHZVhgHbF61;s>U*`~TxEJCFUz`JOa}?>9M` zEhbYwtMye1M_7c1fZRuxiQUbiH0WD7# zx|>u3(8*<~lEKl7+{aVpdYhE&YXPME-_4A#ORIFf8;;KeBF{Z-r5hJ5v$Ft&MR4P< z()7sNXGcP1p=r%dDJHBEN%bIHpv!VHet}TVf^c66T8p({1WP^z#^N1(K7gbWW?{on z#aBvY2^#{2V4zE)&;|uEK-Kig_`?m&&rp42WPt0O2_!Ft!k$MClU(*MY;M z$Li&@A@BVFaXvuduLu~C98rA&=$a0oy94GY1X0nxVX7jWrLqQtKp@(O88QJBgH9m- z!iHz%C?GS?%r(q5fU(#TerZd|IfBGLee@c;6L)Y~DKD|{qVCjX|2^OR;sawY0&jw7dgS!NxWxyk zRt!j=jBEiK%{X2A?{>t=qZA{Q_~zC}@+5AYh>1R##DRt*K)yB?VwBX3%dmlSEoNy+wgKGV`ldrnKK~QnlO%5h z##!L>e}~I)a+Oqr9Z{%_%97?jpx>eTywEkkcpOI!RE0PEt*tf4Maz-P#4Q-z#Du9J z0c|9^W_VZeq81)qSq?Q#2>#QGV@qZUI|fE@m~soA`O;jQ}Q@9dL=qyVYoV`_-cfZ!^R(~nuzT(J> z33a3FKDC@-4-zt(?a|0`$m9txp+~bmUbVL%=D&(Cm88-N?4S%9l^D>qf`r}R1H@~j5fFDRvK9|ASFhIA`WHM1${c23feD%yjr z44vONwghHYgU&WS(g>R8twiq7-Uxk{Dsn;}PI?abkkVL2zJFc)mllvWI|nH zbgC_oG*KbVj`cvRWgdHPbc~K0ImAi5M(*FZL)@lOih*&}8Y_6~K9cQvZtdR80a#Zs zlHakjkk@Ib6!NA(+NzKYTE{En-lOw~Hg=DmJ8=t*K*oALw*&vb_bi_3E8z5dCJ&2( zs<+w1EeSW;TM4KX!5jU}PL+lD6{qxj9uJGcs;3LDJ#^cc+>)e%%M+0No4LzQ(Zl~? z#O;kOs?-xby8y$rV{`=^aQ{d70x}7;C|r>5B{K%)9qF0W!bq zeETS%HxPlWo-iQ2QCSUpx*&7U?ru@Yt>jkO8<>n_LZx6Wk|INVw3ox4n#$0CJv3HS zgMlodvr2%P9{61fPRW&Ht6eMw68k1_Ijg(*3C|?y-q{}y31L$mACJcJWw36tV^Pb3 zltEljrNv`IV$LL(Mw31%OLFP(^6o53pC_b3;Tx0}LzEuR$$wh?N~Q70J8Gqz9Hmcs zH0^U``~#j{>Mw5$ORqC{Nz%eD;<2JJ>0F{Pr_~|m(II4ed>Z1wDibW{u&!3*M-q*0 zQ8z8xXduWss4?LATxEf27O{UWP(c(ds%}C>0A}lj4>>xkn>Ljk=*j77se@=KM*FI? zKnd$|3si23y(4i^N&YtFYc{xJ12I@pxo&3E@x@&>Om9T#CtAp&lWUl0pwTg6LRC6C zmW~_G!YRTynQHQ>wW6`Cpwhn_oC+`}+NzveoDr`i<@#G(--EI;k;S%_!+Y58s*FtQ zLTtDS@_y!PwBX7Zyc&di7_ebcW#MgbWrI92@Q8c~Z9S--aCvBbVVm5b7{?XFdEpEC z8iI+d_a(&9wYu^5cE#~~oa;ln`#Yx^u3Vg;>dyZ#Cagy#B01dt!vH$aHO#b$+l0`; z^*;>#4acI^ZcWt8z`Nplt%+}F8a^lDZY;0ky#}rr)21pNaz?>{7|gieh}frQw6qdD z<1=VQ@zw2=UIq{&V-nSs z5`%87^022S++0B6!z#eXp7w{chbgUxZ|I=7FO@`{XB)MFnN2*C?;CIIW;)f-DLYZx z_gqkm!UOPGdtqR5L-oW((v8(rZqtNL|M-tq4)3u^?%*{401gQ*=&Q5J+`xneo{8in z0{nUQrGG_k14X|2U3v2Q44xYp*TB@JZ`CPhWU!sV9~H`_ReyG08~6<<@1pmJY#Lia zxAvj`za9)uB8*3vkFg$MVm-$EmoP9eFo_-!zIaSb&&$B~7K=n$iy!jNjq$Uk%ztPM zTudOB6y|>z%aKrTABCTOe41T)6h}qh_WR<;uPyF`HNIW=m{+yMsXsiUKoo6PrJi(N z*{cUCT&*k95E*m=Tx2%OXE`5CnGw!mhyP)~&kWYBTkALf!?-f@k)?b3AI8c7m7eCH zR{w~5DL_)V;`r$#y48Rxv7YSRPe(pG&fc#BHvE)Wp1MM?dY62cd}xLm+HO)Pt!bn+ zrWIZ=k_>wd&2XN#nE>$t$C!JO8L#yEMp|tSW)%<^EM` zf@3vcqbo0`D=T&OX&aEm12h=^!;qWul{ypsFsa=S-9;!f|DX53z+l>IRvWQ2R<#F5 ziWzQ6<~dyz8zcNWBTj^hV`1&&y~TJuGeX724FOp`@}UoJu0=__BzO{x>r~55AN6C( z?MoMKhzu>?A1q_hE-a#cGHEQ&-cL4!(jmt^!MF-9#LOhGWc6bm)98b7d0l6*3W9Oz zbqA)**coxJxTKc>i7j9;?LQ2r!wK!5YD!SSdVxRXcCl7jU3%L|;Ip5D{Bp#aiBp zvqSF|>{inGA0k$^7aT_TwaT=GC5RF!86oM+Iw)lYrO^bQ?Gs;#Y-JW>2!bCdj99{0 zn?HU^nb8Ij_aqoFm%J%;#4UeLy4u8)0GR1BNNzJPkfq#rc&}5%;~+Em~Emb_z^tu90h{3Q#z4X zdm#yZABj1R4hrwWj^6z{@2AY>u2^n`UB>3KY#CxX3o;%;%B*s=AJ+_*GIlyROA1N~ zugR&}&7@dp$~Y1Ri>~!qg|vqP+)KPE@$$mJQIxDJ@*doRQ+9S7kv>gIzta?1#g^mw zxL@e@4Kf#xjkUdC1-4icYttx6Y7t_oWvml6%FMA%9ML_4uZ8iuW?8K&WAi-+Qul&w z2GaPyyk?Xe>4}av?(hU#x9ni=ee`h_a%Q#3_hwmEepp^V4*1 znbqIBvJNG=UFzl{$|j6Uh_J4h`GH7EA%sG|X^eV-o)1Tbap(%tRw#qx?s@%drq|pg zQ(|%Uh!iYzk@g@zwM{L*rmbAIS~^R%rUc=Ex>|{97n!qKd|eom9HH&wk~AWSQnZ=^ zKbGGMb~!@9$7ML$dX6NivGEhuy-7l1Wu&%(-3b9qe@p15wREaw*~|NbKhja|o08@n z+mBkBe|zQ{LUei#r2yjal@g)X1*IfBjC38MT}T(|qUGHc-Vs!m1?vjZh1lNFk<`v^ zB~8ehn7v|zGXFKWK^p>zkN>*MjL0RKepK;uV4yNFFZXF=KBF=T zYF0Ua!ZbpEvs%7p`hAa%v%c0$Al*|alvIH`s!PesvoJ0Hy^>!J(jgD#{HX@RB63!c zCOh$EJ>q|&O9}LkKj9^6L-^fpE-HAv(AQtw7G6bK|Le2m--Q6(OQWRJfqEN+QX2EI zT6`^kai4M{i)wV*3uUGiW(2ER>;%w7fpcd@s6|Idhi#4ycJuR-%9J29tz{b!>Ulqv zfJ=C3@KJ$vB1Z=E(6b2gcpYeaU}Oryro*yp z=J@iDA3eH27N9#=S#ijPhSLWD8#<~lB(gP`@hR#k3jS4UE)OSdU0V^ymj=G0 z428L%pooYj7=hB9P|gosYP-1x7`4K96D%^E{)_Yfer9Zk^DoUcaXfqWE{GAAmzPh0 zt(PK?2nYDg$Ff37t25&pKO+j}<5l=yPFBDqzzYY5$&|kLt8QP2KFj(<@nB9HRq?t7 zKO)|jzEb`TfI%Q1yD?%855{Gj&dX=DvEhK*h;vH?*VgK4-6i4XIQ$!9|KD561dGUF zFBo@??Ef8$>H@4l^DbEQdl${u8!I&>dV~M>T`(@`a%{@X08^B#9I1)oT!9l^_A^=X zzMP^&9Y;Xt(ICj9dPEA3OO;eVXs%R{aQZYja`SMICZ{a8fH6{KEE4w5$V5K(Jwy+9 zxb(3lOe?=e9BlQ+E}&F-mtAvFt9d~r^E%^N$R^>HJ61kEafZ3{W;qg)mR(1cZ9X;* zb{QE9TK|&&-x=UCXZ)|_h#ppw!pGUp^aJI4qt>8vFC0H|tdAuUlqqjv;gygoC}>OT ze1QxcN@77fQtYvnWZCNI?s0G_jhiAA^XgByIc2X}Z6Xl*dSeTBLgA#R!DZ{tPQkVW zgG$%m8@+gLz_zl5egf@@A2|x*7+3e_m=pT`%_O!9yV6M=Mo4wTMs5Ia_t4*;@-K%J z$My&6$ZuL+-3`min&XqM%j=smjtNN9oZvvq%E~0iPxA2iM295^A&^8cEC~!K;0pl(aUBF@k(YohVNC+cqU?JF zJ_5Laf;enJlwAl8ipq?D5fKBj`>&49oqO;5e&6q3wng=l?&|95Q|CPAIn_JIy;0sW zug~a|frG;aQEZ(jHTp%{pMeQvN8NC@OTH@{EJH&`><|p0bQs95-w%scoKpeA$~mR% z9f{K4wji&xw5N05+vh)t67-0f-i3}vFfB!ZNUmUpffXRC!6;c#Qn*9S`EADimUixR z$@w>}tA-ra?4zA)h`ek>UOSw2{VZ|fGD+?6x*P$qz5~I$_7nK785jKCq9p|TXq!*e zj&+2lUBT$^uk=4Rt(Gs5W~tw+_#=-3G@Vag$2f0}si;v|Pp*){WJ}`RfztQ>eeFo= z3yc2RXb~A(@oCzA#3q;b>-p~cg`BY0$3BKI#0L)D8d=qvpAn!(#QZ?A`H{XCu@&7E zxnxE*1HpPu0NO$_LpOt{C6{Pjer`9^4s%wV)lY9-*1+u5W(O)Rv5PIGgJ|U|4*(c(fWugOnid!JDGbil+pdeiIMK00A|G2q5Ffhi@P*YPAZhN}(rkJq(t%i3O zEZUO49G5cpxiYc4vWar%%m~XU>ZZBH6nY}~=!*Sh;HPBSF+PaQfNoX50e3g8nE`~C z=6hkY{HTHLw3i~BA9?Q6WQ!cv&yJf~X8cSoLhx-%`szsjZZx?kB2)LFYycu}Og*|* zFi6rvs=q|m7AE(4RGBK@{*{Mw0cTXJi1u6N91moE_z6QBJ6_%Zxz`Pjy~t6u_jy?k>H+^h3i4|K$A z`r{z$9&8SPQ@b8Rdz2j{@=56F>rp;=e|u#mT1`4u~Bh4WOs z{aRf4&lY(QoKG2!Gi|*o*j$xat@J%PD{JhFGY%Y@ullwnqBR^LAm6t|plf6o+yt*y zl|L|ke(z9iOv4=V`gC)dOzDzSl8nPm(Dsiy5M1fQUwkg^$}k08Wj=rHkImh- z{_5m9CotTeGI6h;`UEwUnhz%T^&vj{9XgTq*=ucaQ;9tod%csh9Y13(@mvtDI5EM5 zX@x{a5u4QV>7525EvRo>!dlzgM0H)UjX2%lRA!yqD@I)+k4Hw*+cBgVOztRFpr6on z8Juopb#Wmx^L-2lrPh2+q9zV4?ju8ARTJ|Mj#`-?DLIp|t^R-P4?jSNTdYEd8pKVgn z^YM8zomWov2hX0r8qjzWmQ6UOQs4a13aPN(7(wY+GBr5AM<GbQNr@<$OPkQ;(e~P@bdj2-0@{_lMf^b{TY@Y1zYi5ff_I45 zruNoV=R@7ZdKuIWVd2YtZ#1N1zHP~`kz{<1^R^V*=6E^)K|3#IrrmF;nmoAlV2EG) z&Xj9D9rf|udQ7wR4vCm;+eA*qBjb+5@R z8xRori%-{+;Ju#K4~z}O;_+i~%NiW(ZY5o=k{l-fx0wylIP+O|mMukoYBNuWP+QYl zgRLHciyYW5mYJj1q%#~Iv?%Xg8Tb@49ka_;mxf0-crXvN$8YkYGVm2v zR3_CvM_!gaT~SiS$2Mee*d0~ge=Y|^X+6*PnYF4{QwY#WQujz2a9#6VU0ik3#sxqM zb}TZ*JNr=rb}UQUo!{VW#BMS;IAHrR7>pc4 z8~iiah_PMe{7J71)x?-J2l_U`HZqu`X*Hr+xc7qPwD*VAs5Fu6Uzyh2Ib4KC{_5fy z>w*%A1znl(e_zG_k{=Q*-bU4l;b!Ee%CU{LvXGjF5aYg%? zN0B(3yVSDt6SzXt@`ogFg~!aGjzj&CjSVP+U@B7Vd|6OtL;6dXqTb5jj z5!&rlzR$gA_EaJkI}_SJ?ViuuRzmxkP3agnQBQ3IuTsClc3(bC6g0O@mm}YwJ$7d< zuCtxr*^WR9nnA5|4xzPOQ*RYqR+3-%<$=ZO*2#{xOYM)xeS_Ovo0|)haaz`HWUlgu z(?g5SCrV1EY^|l-BH|8^Hhve%UW=BKiuVu55fItRbmF6l3CWO>sxMnlPew+OKGfvg z#?Voe6q%!=I{lkJDQH_P%Wcd~wi^?$3sfj2Gqi1dhSi#Zt}j_oDT_c88>D2A#D^$b zm-w3YcRjkbrY+|N4@gheUGn*^p^#=r+kB`@0_X?X*;%s&N{5*Q75N3zVg4=oAO^+x z#WQJ-;lMt6eCV^p#Ds{Fd>~{0JTuu6KYI8X6TfU<^kslQ@TsgEe~b5}ikne4PumZl zZ1!45Mme?oG#%m99_cRK_qgcZ(e+9f|7hv!_~>6_t(rL>qrOmHbq%(o7yFLQoE}U{tf0%CCOGo`g`r`N6jxo6QdR^;UWyEfb zgArCeI`vc0e)F37NQ>6%<$RwR<~0D;McW=)yT^4x+DS^)4VqAc6(4hRO^fBkmEqG} z_hvTMk&)~E@6Dw*Wmz4q~ga4Sn z*?Q|i>$2VE$VKdu_bKF6f?IU7Yb@Cb{pyCxM>x{Tde<^a4Y~_*7Y!8%KJq1%`mFiZWM0=z35iBkDsLbDve=0P!)%#;lNv>sLjL`UnvhNoGFsx7+0d@G71*m2JQ3rJz5PCn3 zx$wX2{h!DGy+yNVzliLBh&$L~;@z8?$@dRUmGsm%D>G43HqTi7qwHaRo&jF4un<=~ z(a=dEvp&nDL(LCarS1Pr!H_u)p%b8@vi|UA(9PT5%=f{^S^?8JmSFbB&JrX;H*+3R z0)bucb47FMAUKDKtM$L$8Yo}%QO)1}B;Oaiq90?lkubAS1CF%1}52-8V8Co2mK_2>*Ya`lf;8oI>_24OhGRh)AfGE(7(Xq zz(R*pry$%yOl&yK+TCX0p4iqQ2rdvB|1ZBo*g_mTr7!?M144WNWZGOPdup8B@C*XE zzaQ~`F9p5a&EP=L!e77t-%FgJTy3r}YXpb`sMcSZ3U+WWYj;)y<8DgBS}&#J96BumV1yUArchCvt=Projpv$L+~_O!ygIX}FOqH>At0Cy z94}E}nj|M*|Ah_A*2^m3P0Y>)$Yb1OBd}uK<0cn{#;h=t?0p6qwtsK<*HZArVf&%K zGAdB%K>Gi6?BBoom(sv&ZrM!Jx!lgr!tCLBmCn!qlAZg$7aVe4MR?r*k^$oz{Pyo1 zac^=COT7SFJ{)#y>Z+ogoS0v*OiTiRQgk8PK>Q)Vv!b_M(AU-;6HHz^!ZmX%c=KgQ zVA0Pt^Ae>R<9XUP*34sC*-A=s1eanQtSQkz)vBVqXAoGw02ci#fu>AO?(GHtlM@l$ z-9S$QWhg=I@jp`+fNw!UfYbha$`)?NLg#M3L|z3wscPd9@jJ0RLr3 z0f0~1TY(eB8s1=HN6e5xkWKq7wpL^-E9<#1M#dnUZbSO+Rs1c1{ZyXwZzuwS$G*~_ z$nZDY*&VFyT*lZ9urIT5NGknpoI-+ufRGLNdyBj2LuQ{2>)HA^;k)e&I+I7c^4Ff0CH*ugwNCyIUZ5lM(8xa}Yq)_;SUIoeFe_L#)DJ9JF zu62@68&~UP52pNf0rZRCA>c@FB*xhp-s-D~1>-!xmh%`ZK(G@fx;j3uLsF)NH z`nkBmu4PNfIE}%sNroFhz2dOSK62hCy`Fj%dZ{KvJ@mGgIoid?Hd)JP4N$5C1lGfP zZE9~e%fQc$rA-Ce)^7vZh*UPzYbmZu@4-Aki_g=d3PK_cbV5T=PgL1*4Lh#B?bMmf zZN?m1l6`(w*mU+KKYAMUEZ+Ti9`kwfJ=f%o21ItilCh1t0DnX3O>&Xcl*QuDodoR# z==t3{D+1?t3#Ho-X5rIL{7O0#_tKMgF6m6_F*AOX;h zK^xf@(0=AfTa^)83#E>j<(=Kxe{`9o5Nx?SZD5d|nXeVaHgBWo{8h8142{j)Dd zPjUHJqo_-&To3U+ZZyNR&Y)2I9KSSWV!|_1ltgn182nh3{r+8HxNey(5qB92!)cdW zgPISP43}J!kRrKOgtF|aVf1IRR5C`tM*QRI`IFOMd3&YJcvIzNc=c340i$*6JW0tw zC*#CE>#0y1{8=|6%Brf7Q%`oqgYr<{DC@LX83#(IxE}6U#!n-+v*NVC-J3SE%gA#* z63QwzB}YfQt!iX%rN#~%&p|p8CZ9WLhPB`_Yv*2-~n(Z|W<;I}wiwPed8Baka|L zS*NtQCe!y_MtYdad(BYqW_FBBJ69+6W&g5{s0lD`oxP(}Jx_sg3=y=RaQ<=6xzz=O z-6bl67Khm*{h7>pBYly)ZdphE1ioPYO2DIJVCTAEI`A zaq(&9Anbzj=YM^EmAfy`iFAm(v&M;F!FI)}GT!n_)5@B`#3@OdC)bn4y26OH_~FEz z6{@}mh&L75UeAo?h50PkjZ)rK5hhpI81$2rnSO~sWMe6JcdqdaV*TO5yK}iQ3toQ(%)~ufckX`INFH=@dZ)o93AQvNig*@npLmg)IXN8M{SXoH}e%mxEO6Y#4-@(q#utYI{| zNL*RZ1|u=IQj~!ki=(tfxD(^Q0G#r{zry5*4;1?)$jPA(nZYpC=rE*}m6glLbmXe<-ekl}J>cjoYQ@eL^F*#5M5FbV zTrkMK3|3E2iUro`l;u_j6Z%JU@#2vx?e0WmgiPnAsup&oF&vYhmroBHcza+)BWWVO4^_R-JeBd5rU5itNt8M1wSH48;}5!W&kDoqm;qk;C^iF^PY$V9I^l z5^%0j``0i)oABBU^O#!aeRertw-6!I^=v%WM1nz7r-{@$p5VAfG<+nrz`_pUKD_g% zkGP#6`@2vt(qa(^1j@3aNiA~kGmDe##b-SCeBXU1FUz{Z0-L6oK`F)r%?7C}D4;Z0 zinueSt$A>Z1=qO+jTMiS@l&35Zb=7&+8CnPd(GH)l1YTaG$qw2*38Plhtl=0uki(U z?5t%FmZJD!D;MU~nUsuhct81}a+S5EsywgAIWSQn*QKjRG>mwPoQ|@r64t_$J^G#ZCP_3a|3zeAZ+U(BLMhi@1D(cFp;QO zFcB-js!j^Dty5|01+iDqCgQ4}PqnkFqlwCaZg*(-RWEmr&)}!q2Ut*VJ zVN>q>aZs<(rL>J-eMMOvbT7~w<@DqMHRjA0T;!_X?OfO_Y6hoeoa=b@zt-MX|I+M` zf*u!dw5wSlSv}foT~JfhvO_Jp=E5OSB+gnf9dL4xiW!*|xS$g1D`s(b*2AL0BL?!m z27zQ-FYxh(1Ht-g1yOo#I}%xeogcTIoGgF5KF-pWXO>Z>Qg05K8Koy87c94;E+wGh z{ocS@z1yo;DTnAj&MiK{-NW#@mTjr&3m8sxeuCOAC+7fJTx0yF7(EM7tB+PjV?4w} zDrC)W6)G%vnuM*RYf+1Mn&>gQ9-ds(-_ao~4X728_yxV@bwjsR>Q z=3Vq>&``c`&~Rwr?$p*SAq!ppI3vs5R`bYbPnsUW!t3in6(gq$yyf8Yp#8m*9qHfQ z<>Km$2Wmwnmb-1R5vM3^O}29w9^X^SkL*BaOy@e2Xw8;;w};7krM@n|=$aQC zrIvWm4-8_VR0I<75}kxH!VH59OA*C%%S16y?}g}uC8NXJDy}UXu;wYR9%V?D zU?JN8dI7-VDUL)=#&KtcmI&JT?rummMpl#+y0{F0Pk0T8?8;0>7#kmD93;QLXZzaR z0=s;ckeJpR8iEri?pp`IhZw?hKO#?BA=eTC>?g?xSGFl8{z<-H%FL3Lnv%|KyV68> zgRwDw;`Z$s)JGSaOdO^rGvDWQor8}k4%-Yhq3yc|N=gQCJ$A_txImN3teV$`%eo`q z7^h}V{_bb@EW6$q=y;5bY|C8Lv&qMIfG&MSjk#}DF_z+D9WovMzU(2v^>A>_3fjQ- zv%|8fscAo)@Xk0@##UMcE;Bc}J(P;(Ejjc1J-7SLEtsVICiLoEv4GFp!G2a&ZnzLy zGW^kXV7|vI2_HWhnOs(AK%~caWaQI|Kfg9E@Jvv*hNwKiMwBSR1-5HzyHLI?0L>Ii zZ*E>oYY995xPv<(8T}>pidqMDlF$EChUVP-^CLh4-T?B9PX(z>Q}y=P96ye7n!8{- zwA$cKP*M3a>-PHI9V&_|rh|bVi>MjpYc*NFCtHKdfD08qfaNos;ALdW>Ch+amXWgt zd6zN%c1&@2Z*7ao*s4#4e2a``SW^d0*~V!!zH(3L(=Y-6ked zp9?Z~_Hpu04}A`T9EdOBSJ5pQls`XJdJ!_hI2>gIT2^FY_>5L44Z zvMHv6zj{r-8ht?0r}>X%#krMc)J(YUqHoChuda6GNvQf}nLGTh*JX~rVOjh;EAX3b ztEfNYr=cn?Fm2k=6YI8pGb`V=tn2r;kS1qR!S|lav@tNZo%~SmdMx5x&}t>-R;W*8 za0W)w=lxW)<7DO0KYJr4{v7x0F?VxIWw(jQcHYp}bPRDxP@P~TsphI(GU_>EOu2me z`3=;_G@Gf&eWMuxR0kF9ZlC7q$h7>SUyti0^>LYgUlw#vH>2y8oIBYX^P%MKhTiRj z*vx=7+0(;m<*H+={Z*5lnBW0jcW+O1Hqo|JG;rTai};|?hMRlgV$lr@Y@A)HWrVMw z$q0s!L$uOub2izwVy0|Ul<6S2bj|agY`ExDbn!pty;YKm5RXB^2ioF?U{gY`4%6Ar)5k=awI#&1>5|_R`g9yZ%CxB4IMVNCKHQJj&LI}6Yv=( z$(?^F-;G{~kS%fiaNXyP`L543y)+583?+J@K`YMZ(~$1u*u==YO!y<5OUQ9)s#e(B z?N@wclj$)c`;CM=DzVsj0!5TusKMSO%B=4!ysE6m$p}-m0(?~p`bA&)$!}XWU3+Qz zBEQm(*g7pZJoHFwyjV3iH{WA+f#uk}re}0h4AZV5>7%yW2?4zDjH-^)+<6;@ZJ}o~ z%Je|+=bGmqCMFlEe_0ds?27}=@}ag&-YcMQ-3b{gvn5cpcBOTUBGVb7p7f0j-r6J4 z5S*50&vr2MWr{}Tm; z#;(uuectwnYb5x3()rMH(OrDP3G2T7t>}*jL9jQ%_d2ppcIS*7KB~<|9iC2^w5X_WWyyCuberl|D@-+a=sM%wB)KXzi zxU#vYvF-;tO--BieZ>L$r4pw7S52-?TfxS9?sI6FlQc1U&m-QK?+?dzD#Szl`Og5ddSZr6^OiAU-%%(HUatyfek@d_Hqk*V-dx=hH&NvHNIV3)zr|$b?B! z7Y$gpqq~!Bjt+yTFd^{K%)g zGUsWm%z%?Jn)5b2y&Cb_0n5=tFvu2k(>|AVyFg$P2OvQMl9En-p4?&cw3|b%T_)Gp6gqZY zqU{%v!{07Q-4~Q=N;pjFlB{-*POHpN2HYcrcr4~1M1AZPDX_yT5s`{>pYVVd)x>ZZ z`yqwOsYXZpXH<3Aa0!R>1Y}7W5J8|y&VJgI%Dob|(jM%}@uzyETW%`>PK>TwQp&U@ zJ;hm7Y(>=muNW`D0jTgQjQYpIkb3HVDH&kzCse8@!Nrx8o7G^B>34clh_RGUpfZ5p z1NY&BFZIYggqMLQR;GP;lEEorw~%N?baOdsxXiK2V>Coh0O45YFeiLHN3dRH{-Nq+muni6jY-b)B&L&1N zru7|K& zhwc27shb7ER#fJ+^mT)4B4d#cAs`yT1jb6A735V#B&MWfV(_>FlJp!TYRMEsN@bK! zJD|}VaMbtZ%IMtl>C#=w>F_H0`qx1xZEb?tB?ANtFp2=D$S&St77<$Tw9VM2ws5l* z_{_~-`)vHAw!LH5Fi>{10pH7-X@8p&1L-On!|>V=pXP=Pa(I2scK1UkTUC4RrkDdP z80brO$=L?quNbb3e1l+#D%0-tUfx-ORMCl@c(uRG@s~sdOM`*{u6?+zBLmz-wl=mJ zM%l-Bg{w+$w5`;>U@~>Sg~?Q%623KDfFlBc8)6j@n*99mmw$4od7%3GgOT|c>L%w( zi<7gIO#FGkLoFUautNO-S&M$BEA%p1CH)+1L^CAfwJ6o`q(M9?=C6jp1(PYE9j3;GWH;rj3|>q5sH<@JpqUG_GZhD-D!8=9i?aSG^->b z)S$yH>jTXH>8ebeNw#J^=Pn=?^C|us^qyP6abT31#t}z z8E5sf;C_@2hnDlK8uFQ?lhwh^sKOlo5@s2m_oEG$^}M}(g8&e0rTmDT8OnBWGN|=n zKh-M{xU5TO8WX$kuwQ@J%)gCk37-oI35ih6tW+q>3{%pie(#JK8LNt~X%Q2jaSA?u z<<4&sSQ^E+W#u$2qAiJ0F^kiZ#Nn%0vO^u=x^Eo4>I9}J%jhJd0ph$B3hUut3eQM( zbVqA;k18w9wiNr?x5!`B$u#2L^>!*K@8`MJfDy8yq{RPDjNB10mf?rh>Xw8(lC`jz zdu#nG_X~q#!+rSbioTXnLc9OtmxoMPG4|YTHhb-Ggu8)`KhgBOL#js7I7~X#-Tx&} zIk*|jIQnWjT{N)rpsFbG$Ti{-vMWDwXU}*b(SP0??Svwe=)o33ec)>YI=|AOEh zGJ%CP!zm`)Eu%#-ab(k$pJ=*PN^;)blqbv##3^g#yHPc(=gwEJ+j18|LPA3$0`y?F z7fl4Sw0zBX><_dhXMCl)zyGig-zEz%uQhUR2WKqHPd@XCkS!x<`r@v2_4BKXoM)d& z^w!oeG*B8R971v1LhrEerupTw4MZ%R=3P)2;_zT>;$7Vi2w@-`lQ*Pht|+ID&89j) zwwCIpNQ=*Zu9nw8P(5OOd&fATffXq8Xq*J7j#cl>5X?-iowC5@lyb43S#q*dl5^?V zSSksS7_@usTx*9jPBL_*={6&MBb&4^u?FIeKC>mIGFrZ8Ehl!NnFKf2vk>N?PHV+^ z*H6Vdp)}lUah`KM)~I31=gfT3dBN3@)zJ=t1DZmGOHoEGN&7v2;vM~T0;%SUu}4}b zD4YMp6{~g9g|R@lVYlt_ns=eREODOg%`#8`<3Ia2H<4-Ixc#@|&&O{MhXaK-xol(= z=|L8^;&`JD9f3!`D*TEmZwu~HoJ`q!S(17FNACrGC8(6kMX+AXO^mI~B;#F}_MVT7 z4!GZA>_Rin5@u=Qjbf~M)X^EayH&HEJu-|$S%3ABhZ!s2yK=NrEsumjy+ywSb%2Po zUn?PUXVSYPWGgVrp)pQ3y58L*@yb8Yw8!&S-7JZkG+)n@-Gf2TK1Dz=PO7Q--cm7akJiW8Toaj3}spjI>jEP%1?J= zh|h=4E>6Ch?z z#yCW}pIqEN*IQ76??^X1ahFB7@^1IYtmiYd8&7iNOI=s=qb1jV4osWKwzRZ5h$CkzPc-D1Erx+H)y3Z*D+rt~Z?m__ zno&jx0>jnZjc~Ki1v%F}R~tTxcqreJ#T|5ZQdYk+{Pks7z-m3`-sJGt5lc(Tq}{a? zPg(7p4&`ERY9_jM2xW>Y%J)bcsIFMheX+a9JK&W##}5RooOj>>Nl8T}BJAuU_!yjfuX%tgnC(y0gst)cO)hP0`nun zIOO-We^D1Cs&4whZ;D-$_`odFSnrr0C|_4N*SgT;H}w;_m|X7Q4lsHf8=nzFW|=X` z>H?A;XaIb(s{Xyblh`FIdq`mg|L{au!R^vm4N&MF;%$W4z{RC z@d$F{@OI~qkVgXeB%V&`h#tx&qGlo#M8C*XyS7L#?q`yX^!5P8^3dZ=gN3tKRHcHu z)A{`g2mKBRDs?we_huC~??2%LQP5<_bxUo2`hlF*#)1?P;K$`=8(eWdJz%Ci^n@_A zipblQTp5w|-dvDRYo*;M1uYh;(P|^qtTuK@srdB^;!7w;*DqVD;!Cd@G1qhIhU^U- zODIL;0^eQT4YABU2G!`I8;`@ z?C{F!G4irS;_CjXO}5kQ$r@QW;2H?oM>HwG+R-fCl`p*VY^ju@A|&RH&fR2uKB-$| zM|?D1HR*mG<5*!R8*o>@JSa?RXM#ErC*ZGL^f#zBPKeesPAP{E?9JGjrT1-%0N@3aC(NAo9P^|E5x2-#6f*GNs| z2bWT~?BqOp?}5nx@luV##i76!q#mN1DbA@lx8u!ZhtzlRz;xT=AqkcIcogCR|r7avMs)N$F^3d*9>U*v|Ex zMb=Ja%+9AN#_YF6jASbn6+K7?Y*w>3X1`%O|2A)WKo_e<)Ke>5tDp5C1E5#bDANsg z!OHh!5z%NW0Y{PI;?uruv30*&E$392q1>3Ab9}tFgnTzBC(Os;Ot?|U^so*WcY$&; z=Nj=53}7b%)gNvn?X|>*D{zOb4(-M17WdCEDY};lgbK}L%S(o{YIzdVW!Xl8D0q=f zVgqbosw(S`?ZsS?pZdFn{h_Ofu~eu5V%@w=0ZTZ%(IXi2$rSuR;$3T4IHy6@Uly_G z9N1hAoYXSOjuP8*^~gqv4!D z**h!KwR{}83@J0Cx;;^$sHzYaXdLiVE;i8AA553fdFCoRmPHNFGa8oW#-P3HW1MKU zd*t*q6bp-_v2Sz%vEk&5iki2~tbrjSa5(b))AD@;*7e`Xa3B}^!If*vG86+8I^203 z<_(twZ>+V<6iwui7Kk0Jmp@K(LJlLV&cz1m?Y@<9hxq$TVB4QH?g^f}Y=sP{x38ep z?{*}(#s=;RS15K^Pqw-3;LAh~fi{t~tavc^tlQ?Z%^i-t2j0fh-ejth(BKH%`fU`a zcHymqm^8yLzP^F>$|7(EFFDYjC|2N!=RC4f9aMb8M3F7GScw9Ltu$R zp?`D+2>bhyw*5ob93nUaiu2zTzQ0R7{>UP?yFTDod#e+pf%+*769kchAVC3x|4j6Q zHo(K7tt}>^^+X)_=N<=ZZj+baWl2W^*AEojn2bjQsRJ#Nc6L8}9L3-W6UW5FZJrX2 zG}VK8XqdKmd!RJj8dNf!;c*gSPcIzKV$-S}XPM5GTZdT)N|h^{nG`T8dWg%!4j-1_ zmGdu`(AjxAGF-*pVn+`X8+EE!p>1Bd$O88wu>V6Yh?UbFf9q6_}_QovXULZhRNEMpE#d06}}p!LCoDNV}QmZsvVFuU|wj7_4y=xj0+_G!cK6L>%3^rw3=pkgQHv z0G_2~l`sBObRvF6Bhf%{LCz1cYe=)8gU%SlqS315$pWCYK+nf~0mLN@AwCONv={8B zfO!Cg8nXhv@Bc&ihqOcIt8T3THR;eQXl{Jel4#VZUhY77ec^ZHopaNkl7MG)_Jq9d zuqw{?fJC5qy5)%^2U}X1NzWOWbz({$SKG#!EK!(R0dpc~?V0G!=+Ht7 zEll%eG8rS$Bbc>3{^0BO-(hE@9fZ|EgcaA!7GGMS*Ix*W1tXWgKP|_mfD|LAB&w;% zNZg8y-mDAhvja_31KkROdFA_`|7#AZ^aMb_2M50q{s5FnJCs#E<@XOh{Ceiw7GuMK zZSn|)sR4+gO4NR(|1K7OWN4C7*kF*;b&`oppS7_vlS|vpI698+Evr;=R%~@cusT31 z8bA?!4?*1LhkPE1xO`EwkPA_P9|QR&ly%Y3VD+Uj4-kjFf#3Dn-LrK8^=F~^DV?*6Fn{FzLoeHdGv|UB$er{|GkMdzY9ir{sWtRo9D8 ztgC=HWvkUN-qJ|^S+}K;%t-U#+{-Fol)|$6m#Zw@!z_YTN2v1^Xpl zPp(Chrq|AT{rC^cK)x4${IHpJ54z4@SN^b>LI>Nug_qxboirc$==96LpJvXS9w$eZ z+KvxLKJpq^TKu*JyDI#Bqx9Wja5p2HX^r4-BRJJd=T)_#S^c&;S3yv{@K(V_P?hi3 zs{#i@>$PCL6@2J)^SYo>&9ATiG_Rh|TS{KDi7dtpi~ntD6^$YPM9NeiuS{=TF1} zfa0H?Yo72HEJb!MI%^&B`R!B6kmvzBt-XewXujQ2vK{{Sc>J_k1Wb4QQ3_s=yq)lY znuw$~T(_hEcB+BJ#kY2!^9&V%m^05ax-zbjbr*^uM^iM#a5ga6z0dapo{(hM`Ylh# z-wuYv?DkG}7p! z@fkpFf<~eGiOSw4^BnPbR{xdrM9DDq%FlAsuQc{$^w17Zl7{mFq~MvRnd005k&0*;do$V6mA z=lU(TPHb1v@#g8&zu+PmDIZH8&mu1G1XH%igSjWL)YKwSz=Qs+eO6PJ!Pv)T9cJ2P z9~i1jqB4(nD~OD%_v}Der-6^a+K}mY;xO$fwA)v-;L*scuE&Q`54Y4gezix!kid}i zYtzfa`Gwln^v`uNPN^oa$&`7^ZF}1-Ma}_^y7KP{(;k6?IegN?$Z7{uEN7f90W>@zaJErXe`6e(c$eF;gu@%_6GuFYT zbwBwu%Oq+){~~4$NG@nk>)zxzQw}cVqlj#vmk8572bux{h1Em0;I@t5X(?*Ns>sW) zA)gOYkH2W|I2Y9MNV9)d9!r#99MhXhdOoIEB(9#+TG2##f9c^O$(m_=d+mwUZCcgntmNdWWVOfiXWhnZ)ckfj-`NQ95kSCsypo~&7Uy@*fN zzX~X0KyGGbjq#jyeN7ebm5|Bp4lc2Dn{Qi2e3rq(J4@Sd;SqWLE};=mYi{++8}Yro zcxaDQ_l$b+So~e$b>*kmt`h3_`gpYdQa6YZN>O=i^Un&5e z35c{n*sQ?$!}R`VvJb*#?#z9)-0d}H=&v3W;-jZH^YRC}uZ_|V!XmH1kn}aE zc(nh7_dFo1$Y{7t2yB;>n^m)VtmD9pIDq#2TF%=i0!V^?CcJPwkx($KCsm{QCkkjH zkV-1i(?^wUimJOku0%ux-8cqjF7cC0Y=Pe8|47&hqH(}wE+Q#{UgY=m68IXkOK7<3 zrPXxY+d=Kwi+A&y61}HV7T5HwaZ|VH&jk82@d9v3&5x!UY2JJqCT7;hNWnDVgMT~G z1nHzT7>BvOad5Mq^U;GeN3uId6Ek%@jx;V@>YRoT^v7AuDuN$x{v)_B_4$X{mV1wq z2f)O0LI>k62NXc z{w~Hr@&Vp|bh8QPbxo+KKsACY1p+_*bsg}xGlxX>+`4s(KQ)Cju1xLCFShW=&%=G& za-!-2U2!vq2S;+6>N)i<)2k2+P7eKyjsN8e2OlR?PYZ=SKFPulkFF7AUCB%oO5+vIkzp7r2v}?Z$Aar+ z3#k%+HQisW2JK;8+xb0-yH0*L<1}}9Cs>0l*-_Z9jt)S7E`Oi!3SzJdxBitwodZgY zCP{#e^F)HuICoZGe|DBUIaj-svHBsz#g!>WPtHCjAET);7wH651=y;+&|C;Yr~`a~0AiDfU^?nDL-3oqA0zF`U(7z*6~<4Q9nq9MaQ*bxQTI(zFyoO_N6GD$ZgU{| z5riKN;eK|ja43P!uf0I}EE)BE}H3R|rjv@ia3Ivjjx?Z25(nNc4D`{qd2tQN3OesOm@!ln4kba?(tuV<}` zU&mrC?rx@FzP8AcPO|FUq})Y=H5QEY!(Vhg*x+sgCAYCKQctJo8uRM_=>#=n!cE2y z?P529mI~Gvoc7%iYhkTrY%U6Bt#=6yakp|BSp6*-|I+>zPnr+h+!Qnwqw``|%kbFVgbMY^9`Rn0%KSsoRzi%;yQJaQLr)WUL> z9LOCGV*`$a>+Fw1Uk9wzhN9i~@6N6Hx^WD#(SS0&81}W$Yr%f{t?2#-fxaM9lH zrl1wsfC^rMo=`H-4gG+0lu;sQP6wtyoy}CPsk9eNl)M!h?^ef=n4Wu2H)1i zwjwsHZN}7gdz@bdFJpew^~RzD2~A);QVGa>#mvWrl=GGkiNMor%FkLnh`d~{LZu$( zDyb%WjU`o{19MV20nv(>4F1WE+*V0GAogc>`9P&=gZ{{)RlUU*Q{AP{GG{DAaTJN7 zn}V#?@{;^FF4O9?!-eC-9bf{eisXSDN-LsgwA$wnJXv75>rlUf@N7ZS9?!PK}U}?i*bj zvG?Gnn&%Mi1OV!7>7*)Vb@YKUKM7XaT5 zDDSt$#5;B}tFH2kU*psq)4Lyf6kfbC?c=NOv-!l;s*JP;cER{~2fjLC=5Bsbb5VSo zht(ZQnF%$*Ab<4`D#qA(mEON9*!bk_MUXj~ZR%QB(`k^#_pKwF^kgj+8rXGFDg=QN zPl3zhMmfmxdd{G(8p@?g*=UVo<6E7VGFtAA+pOMvZMfzm%cSpz+pXPE}hcHzhi#?GU}_mQ60M;#A3eI;m-GlqQY&=Uf5Q9j@I zbX^e`;zVL=0UKXqJd?YOIyrtF@dOo-F_d1}0V5lj8hss^F0Zac6%PW=Cj~+CUNM8k0$b_tJ?2@iQlu8eXoO3x- zc|M4=rWC2=&AO;HmHRry{9j8~bi-BZ@3#%`%wDJ~PNx*-c2+5F*0Z2W8)lF}AE(qV(7!`yNRuBubVV zOW!?udf)f|f4}cLXO^?xXS>gRU(4_MU3h^(iCBg=y*|$ywk0PHmO<0TnH#E-4$1jy zP;3Amw6j6p*+wZ0HYI6B&?i0@5CO#jF(v`j7rBo2_hvVj558>qixx+B=>m-=omWUF z)h_?G+*RA4v0j;#`DAH-7_mFA zcSeUKZp*TAoysbHu4}Il^g9gujA4rW`Er1bEF?8%FFE}|oe&qqBxZ1)lII- ztTA}2F|wDR-q*l{_Z^KvUYZrOWmy)f0`NV+Kt&&crNs;M*#SX-yVi3)-+e_vxzLBd#fX7O~O^CES9tSVdRUhP6|2Mk8>Tj*4zIULKwJ;I?v zNvAo*My^hkoLFqTvc!|&ke#kGc2CA!A30KFH-rqWyUf z-j;ZRkLoJKaZhk5?{Bh%PG$gXQJ*a{IZvR-s~3l}UhJA&tpI(TRV7)L#`b_=6Sdo# zVza4U&BW7X*P6jNx%xtpYA%pU$wGfGw^`hjdsqM zGteo1@XqC@!3c6FP=V~t@3F~Nr$Z}JzZCBT!djSDhjo`FHbk@dx{yv@tRde`e+RmXKSxQmh; z8=r#vZ}>yp)VlqLtTNvCJp5J?GMlzXmN{>TJN?%8O$vYw2u+F-q=7t_fa~N@O1QU5 z-|v1jX>WtRs}4}!32gd{H*KGX2X%`UmWCM!DMYd7qZmrK(}Uj!I0r~;7C>Q1Z_NP1 zAlNUvGwh3^Ionf-?|1p)cm8cwJCwoyr~D1X@%W~c>>qrw)q2Y?%1a2j&>m4N5=qF^ zL)IH&FLC<@iv9i8F!7iML5GwBm(`!{fm%RTY;B09c-^w65??9u9#9AhOg zW?=Yd?!W(jul}!Ja4wW(p$s&@TJmRLq|lmzh_B!7)jwAt-v*4Ez?@0pgZck`1O_QF zQ_oJ)OKtTiIypFPfM8M>XdgAoZrjU3F00B1qWx#D=egR;9`N+8UlsAz5EH9iO}zFc zD?#mogt`yFKKurqQAENaK+)yYN8zoAp|Ds0B-(EQ5lD%Dym~-TqzHTe`bdiaLHxJI zg%BRr`*8`VBmZMK4zv((!C~%q{Gb7L|FI{fh%7wCP(dke2D*+%!0eTF$p#q%=+!_p zdDg7O?SY8FQO}jJU6YV&ZtY!O^x`T_Elku}T8D6C3YQdMllo0Gsjd28>lEOuOqAc( zUU@q(4}MjFuF^x{J->WeN=gXdeRv~}KMYHY<_oE4MF6w?E@Pj!4 z@ev(uC507~Q5gE5odQhYB}${&SOaOL?u~4(cxlHpULoUq1&hLdgLOk6)yEIb<1nS} zpCUa<#mO6hCDYF!DgMMOZOYjDA7hVpPhs$em{M2jPv#uqq(xr7pC`Ii)Hcpu{;2eR zLPe8T^r!T_2ZxJ$R1g~m_8<>t2}O z&?oII9dO4i1Li1`FDF>{aM~3S6@KwjpOijjj#TmoUIL6rcG+W}z16MglGr}5BUvl+>sv{^ z`^ojj-LS&Zq9`fExknB$gNuv6k{>07Ih9x&{Npl*jV_qY%*5&I@PP+>IC%W$a8)Q1 zI9O_uws?u4wg~5ARSh>(jSjWj--`tD#Rc+V0tFl~*P0d`!}u&w zCC6CYQ?wcR`btL8<`kr0O*@MfJ#b=L#r9VD(DV+%W zntkkj_OTat&&I`r@t)V===q+P?MGLqiqyCGn0vOAnc@x=v2O;rd!FxexWJAolw!cl ze{KfK_-p>piX688*y?}mayX;i_1~k4f{HZw{yFA9hxu~?f6m79ee{78;Bh2=i{s*B+_2^BRPj7>Amqie}j0$w`EW^%&}d&L+3{~WdyYRO4^a% zcm!YGh3DUm2lgO`)8BZQ+QH;I?U3LHFL7SOkae_YYP*VB1-fc-sy)+hnb z1GVsp7|J4w!RkMU-)1TRoT0=AKLqfG%lw%$6pYc~rD;cH3*YFw_TDizTkh^u45dS+ z$hizO(ZGSN2hNFS#V9si@B!Q%8_=TCvGn?^0GBk0AHkJ;epqT$p>tPkr?rS2{&`sf zG?0;9cCMi(Ivy(;gICL?1RxXb@W$b zU%!%Nuj%NhKu1BLF9Ur)=wz+tjz?--f7L9ts)JoGPkb7!Jldd{36Tz_;u17s!U7I7 zS>+l1dJMTlYO!E&m?tw{6RRk?)0!6uo!51;`=V=LGhNorGWHbZD&R{Da9RdUHt3uF z)rS9jA`7^m@QCTv%Hs@8h}vm{NWcvA*AeN}?YtDG$?+a2CMqVt4ntRs3=c z;AOqY0=stFgy>bxPJON;`K$g=KA4{qQ8^{glhb%)abG5!6IZ76-xuOqqAAYLXB znmk=9MVE(`lUQ3DM94?KNHnHzNv?kGvyGxDI>6Ve5gGvyki(ANc^C`ekLy9jYjDBk z&_aGM6Vzz<;6ue>X+$$$2#Pb;D+)Mg-kPG<@H%A6%*>e#HNd6<9>yWh6a2T#25N~G zz&)wk(E){jXyx_mx%RTBK_}MMJ!%2{i!snCNKv*UN)AG&Hsg}Idh{-(*CoqBg)wUS zX-lIXQd_9?z+1_ZCCaJ!3LHt?E1&J$Y)y+!hn|NfIXd%g4JoEk1HUcZ15ndX0~+^3 z^cWC?eoINfs`h8l0YrQXCZFsNh#jjgw))-0t|l69BJ3hYfH6?`ILZhpheEV9f-=eo zn1>LR>{makYbLoXH7h_*-w*t-Xs@IKz+PFti41iITfRCij6(WXFzg<-mFF|y9BvOa(p*R5J>ccJUfNgd0 z9;1E_6CUwqHAnH2r@J40qttFqUA&DHH`HC$LEv2dFvckLGt6_(I%n#k)hIGz6gM~PekpECQ66n@^ zWj3=Yu}=X4atB)S-Ssm3Q*;|T9qYDJoSY9Zd81C~w3pXy3d{+y3K`od-MCbkrMvTh zC-?lA4C&)(X}Gz2tZX+fVk<2wBr3)w>H;^zOi|0Wen-`{YS-h|K`C-2$P17Qor}?J zEbX20@W)WW=voD{q#E^u6GkbwEXqPqE2%MgCdbtGTWg zNO~IW!+z#vd!@=J`w*ifC3hoKQF?l8vfO0-m2Sa%4E|H^yZtZtU0^A!j+U8c@=0jY)daP*B zd4^#HpPSXFY5YATE-|*K^gh&D5_Cl;e^t@WC9%YudhpG0ZDmk-s7L#F4AHt@RjX*p zGCIuEl1BsCC{ED5neOFo^6jD+ag=zwggIy}V(er(@a>11U@VzAZ)%wmQ@MI4X)`l=GQCG@<`**a<9@{8p zG0HG%?&r3~Of0H_-|%8LHNWW5g*Mt_7R9yzP( zKMjjD&|o)!vi#EsC#=AN4Z068K@kZXJVvAP z-e#qox1So!ZS(JAnz^gN<_73k0D?*-f#Y4C>gfk8!iPuJ+-|N19*#I7_n}&N==A_w z>ts*pJnZ@B+zhaMcK`cCbt0S+3Fmj&DVtD=zt+P_sRO`-{AWvGYtSiI_(i!lKFl7yCAB3FgpTj}nav|<==uYxb;wrEbRB9&G?Slwl zyy28-mAAx}OYke__2A~EQvS5IG*vyfK;##S=Lg$z?Y0RBe1?%un8M-8OPWz2v{ss6 zs6texE$Eh$L!$ZMPv{t)@; zue`p!%N14SYBCCeLjs+lSxGHcF&RMy8a~DT^@fgu#FG9;Q&3_dKESXn-a)W1S|#PK zZb;3BbawdM!}cC!T;d3oOls^|kD0{GIG3lY`M9tviTA;4CLpI~dd$Z5;AB!AxZG{$ z-MQ!PIY{S^|FRxQ1!Wo0erp|D`M;FO^~dt@eXfiPT)rJXZ5e4ZwKrn zaw>ph0#I;?@=n}KJjy5%N-!{o`flP@n`h@ko+f2`gUh6Gs3u{z^3s< zQmv<>o<##+s1)5We^tvWT##*ba!RB2>}M$&dlRdxHk{%SIxIZ(qud%g*c{^px|W}*pcm5!4=&|li971_4iegho_OMEPD#Dzf-DXFU! zVZAwmC6T$KHDY4d3rFiSRH<`&jo?a%5>vOFwYgtM{Cdo+`KyF4Ctd({NqDGe(jaD$+M3}y?J{&c z>0TmUT1zJbA%8Dnh_f&|3Zh-Zc*AYT8LF$}6=TWargv4!U4*QsRviw73*C!7pcTX4 zDJ<#URo!DsX*~FKq_C3J>ilPMk)tK-f&xZuZKAF&06hrIM3QF<7hSFwjo4Ca7<07pSu*TpV0zjwrl|3$k6ILf%KM28PQjEJADWaa& z!>qLP)reOH z6TL*8A;a(;QNWmCOA$W-DFL8?Yk{1J@wx7Rm^$=VA42o{vVKb2uI;o`_^;F{LzsH5 zimbMVL1ka8CIF4WMpz=r>%ndb9&-FeX64;K0MO;6gWh^kPbMS`YEp$gE>_bi*A(C;)$%{GG>v=92 z_3Y%SfWpph46eHPd}Czb%Af$!uXa5#Z&Pk5km_^ zplH)BqeoS3M}>e|zZXO>7@N10nwEcFot2QtO>cv4WDBb=RgSl%-yQEX8Xp|*a2#B1 zt=2qw+g>NY@L*Obz**B9vsB`8{YC4i(O%{C>y7&WM;f{?_rylT|fLv~QI}(vrE&;6i(sw)5t^16OBPNt(P8H1o2gHMu z5D}WN-sC{owy|Vyy+m!~z0@j#lxq>ajI3jQ*p(qe9Ao=vix7?X`xjeCYD*DG zM@I~AON>T?!$t?5phB2GFT#)*bP~ub+~cDyne6P4R@dUCip^aH zqCmXu2ZqymacK@mwJI%G*XGg(;)x(!WB6cN^owm1tKK=F=(*fp&d(l~q8$i49|{N{ z1JB#cs&TuN+SIxvqCsbl{e?d+w-hDc>8mQ}35Wtq2gW64A4=`J6B|igT%S6Euzyc>yo}!E! zSZOK6iuuG=th(4VHBYYg1S22;Q+kFl&^c>NPYGepW|g*>Qfp}ldZjT1@*tO$T)x$G z_FfVvzzMyge@~T|iv^Pqx}|#0wL|l97i2Bs>YXcm2lL{~D^dk$6>{tXX<^M)5@+o; z+gL+`3UP(Puy7+$cO>@=j3JuCNgo`a7~pKr6A7DK>y)yxnz}Zpf(L|XrN-^;or*nj z-aKDoaB*P(6|CI~Z2X`Pn8T$AmR|&M?unGdbEU?}%t%bMp?SD}IHo_x1n~DBL`)p_ zYPu_CWl*=1hZYugSG7n>-cbXFf-`3VAxmMHwUs<68GtQO!VohVR-Nn`Tq;nrwkh6pLnC-GNR zG%n!*u!&-i?f*C|w+*7uf4nW;dDO9eNzlf|K{GQm(Lw&M6kk^{pb(C#_3e&=W^91E z1MBND0%V#D81qnzH5 zFa>|785?QRZMz&CxT#XE8nC26zE$F4ku!wXb_UKC3~j;1i&EqEHT)R+VbG!iRx5$y z4II37C)r|3r}VNHBiaxc6321?WZo;@3df!*FS<7K^&* z?omd)oU5}(-4zloSQX!6nKYbJ=q0*=wP7F7pv%8Wot%7%GLjFhTvjd8ZrNnoT)xEp z`0DOS8mG8LKsp3bmdS}tKucZQ;y(?XWuO}&d;wjV1v~d~_}sMCynbNZaU8D6ddx@m zFmgCVfI5;dHpXH|PN&_LOcRn1X2DK>K64daqrj6|5Xk!qe=;{O3uMIg$m{`>;$abWALM=AOACkHa^e_Z8 zQA%1%c?nIF(xUkWj0wxWS&=3r(Bpztc>S&x57=G4wkz-0;#<#^ZU0`1SVayLu%I-Q zTuRiUFcRLX@9=N098}L$9Q4`{LC#T-infQMec%xSzPqgOM;8*c%ZN6~%yUhDh78b{eoPg`V)&l*6T#n_`V& zXSq`0Iao{j_P)qRH*9RH+)+Ko8iZk$B}&G~+AhPlmORpY8ETQEu7qBEL-z5)5`eym zFAjvAJ4hE8@oiiUHoiefgV3YPsfD4wP@9`v_=gkozB+}@6B_ zVrn$u%m6NvPz$>=*S3~7Fxu4AR7%p?hAWdeWLA!UHSyIR z4KdJ@imUn(OkMNjnL_j@S3w62rjSP7Zw7AJWNMY}Sx!t=N=kOume-KsPbt1=m0Cbq zt4x*Lr$~0W zq8Q&|JIL93{O$f6xj?kkC_*manwnun*K}E!qP&A-x=!M-=IJPIkvx~8xIuW%n_&H% zfX%E4YR!S+xZ-WE)!wpQC+@k$^FDjC@l83JcwwDRl_u)Guq19lb&V;VbwOTNIg=s; zM(|yl_i#9Z`ZzZoS93u_03u8deIJL84{G4}h}Zqc-40wJ$NpL8Nb!eI z+%^lp{W7AKr~GGnjj5MTZj$yOBHA_5n!sr4qqpb{AfuEU4&7FnzJAm$mR?v+enQRUlaG-f zG_c#ktY_JViJNkE!hPX}D;g9wFl9zmHFc+fa*|yS2)D2S-AW(0u^fAHr0I^LBXhe0<9>Rtv2@m?I7(PFsx~i~~{=rf0xGW}{%B(JZ{u16s1ToY&Q-ao3N>Xf%H=9?OkZY`&=5 zy{({oZr8BBKgW0qyf(^_JyDs`Bps)~+UesF9V9K~Ct5u-JuD%GDr5C7m5PKB`+B)z zmRF(qF3nFCvJp0rySe@qDRG7M^(Rcj4w<gW!<@J_!~}OU|x#{AX+JEIT>|L8figIg zn3(z*8dwsWt05voiSfII+Fg#aQHBy2G* zdA=L;3PyrXc-J;e_J^h$z!w1qH~){P(~>Sa7z5yIk{}QOqMH!Ej(9u*#<#}gs@BV# z>$*8*?L8lXZiRQ{Mao@;056+rk+3d^!a+)ai!<3gMZx)ARR z{hj=s2oF)}+%*37UeQ0!$O;sJz*%J}=LK~or#8AUbRv=-4=XGQK8kaiZ*M!R>u+d; zpn1{me0ncRCs_apvH+ZB3VpG~a1~!@y4L-Gq4+L{Q0wf|z^4e)>D0#MU6#S+Ec3SF zf-!JrH3SKVLnjYLm=}H*NKer!<_S}oZt{Sbbp050jw|##J;I^8pI@e%%I!2-B7@RR zcJ30~G#yj5!G~6y6|KWrrnvjD>*?nMD=op1$~-mOI3lssU=)v-RrG2A{0NIS<;fV~ zf(LSjGcZ>kwJ`w_tv9qt4lA$oIcMH5XBjpcf~~@)q`fF*f>oSeba~jXz}Bx{cpgq& zrlyo`E55Cn?EF z_ql-nv8XRS{um;?wY&&{3d5R8(mK23N5awBIk(&kvooo#l-LxuvGEVf?qR5$JcX!e z#^*5`&Kt2>@>nj~#`&OFgZV@?^9xG)$V;;9!ihwLz^FKJ1i)k;V3IboJF2FvID&9Q zC7Tg@nFXw(Ge?GKC@#^sn-obtyOf`8WStqUYi{pS@*S(pk*}QY71dFvV1^51hM)un zb*~;Xsqc+t#^^$@SL%SmHlH_>@rDTaTQ;brE_;lb!n2kq<7zHdO=b4kqjyX$o~L*o~(XHW$quW4vlu z9qk_GTBd?XNQEGh%>LP=4v{zs(s_r(QXl7>2dKASb@E4aX6v9@i6u4cGRy6yM*O2w z+#3`KlCL1*t6a>`qI5F$E26OfYR?4;E$1=eN*BC=T{Ko^2;)p!>wrs65w!!ZWOgB1 zDPyFw?ik?=lTk_lZ;hJ!Ehfn`5`rDbZCRwKtoG`#L!N21 z?cir%-aBL8(^kA2u~a(up{E#OqU*0aV%P{XR%S8G)v0K55+C!vsCCU0@W=Q5I&!P} zEfSyZiq~>L%;s0f84A6~ZQmCb9Ub`;@>NhDgs9GsMDcT-5oprhVj!UBzn?OP_msK{ znyikn7MQ*r4ve;u>DQ()kJJ4rx;R$okXW*^nV+tQ%sVsiRdmD>^7X1vdT{M%;a<8{ zQ3|63BaKX~b=Q^=A+1!vr3kQoKerLv#PSO~q_8o$X;T*lQHxi(6eV9Y9m2>WiZw(H zV;!8T^GR?Gml4BQY%DAo9sR(yb7{7 zu84|xXmX@iE#)`b+~ergWiQYbG-;)pF|9D@+%$xu=PL??9fklwyS>xBll=r*Z*~n; zDmPkLXt}#dqbQuYt>jwr#om7D$_=bZ0696C6Dt4^j)0OLo`UUhbXz1re`ckJEe@y54&VTNNh6P$}L$mGEq9&y`;xHq9^1ZB}x0- z$bd?M&A3lYORFFW6j=P!do`cPC51v>)WFK;Upx09{T@dh@L1(PLK*$d2se)s6(=FD zyJDSvvxs>-xX4Q|naMOE4P#r@B4$Rck&w(nUlC*wrF-$$ktAaazB~=lNZuc^GC5Vk z6x_wXKPQ>#*h(}7i~z8fT|M;qsEv(gGRhTc_eTZd3srOs6oNqg=t&yo2$MWiBJ*gX zmGkt&$2Bq%nvMuOjD)Y87o)Uj24W_)@kyd^g;)qi0E)@B?ZcEp4OFfDTbQxgs6J)4 z6W63Asf(;?h3Z& zFvb)rz+{$eEIA$~;llbFWv>~W`zFxDu?gdpNh(TdrEN*xkbs#h>3H!w6Yh%Hn;S}i zx^$x$aq&fju5^}6SN`1r2EY*2qn~DihY^k|S2YDuU@|UoV~zicT*4oo-+%w+Y%0(` zPb{u)bq+*hj{4wTCg*w{7?>q@3!J!4<;`4;!?kdvFsmS8yuz^QIyGt3YVnX2-27p7 z-s597HO0a~!|C7MvBu70r}Yg-lOjtow8@wkrAe5x@jDSan5@Tx#rPt!&-wSJUfSH~ ziKF9`8yIhh_bE4HY1oP=3FcpHB2iWNMU;x-_2i6yh}&*E52}bki=w)5qsc~)Gwv8o z59ippG&r+HoetXLmOsc|=}d{)`F+24KH05OF3Q(%zB1iM{5LnqZRi)u^GKR&u2c1y zVp+DU_V=s3{vgx{Hdw!0jcec$LU4F@)u4<68I7z|6UUI7esGdQ1kwJg76M{oL{u1F z^e;|fFEDOodrlJD#w-P`mH*E4^o*gN<}wA(@bAx+!N)%35|?L=R=;JnGE_T$d(+Px zTqJn>AgMSdVk((^fVDg8$$#$i|Cm0*FvQ!V#*36V?ivm3=+*x=CirBaYp ze~5$rq4N-i0(Rjj78Ago8k6MGoStLvujdiN3Cv{|yNnhbqbQ)M_rL!rgeKo=Xk%a( zh#d)(=)pcLsM1h0vp$1slmdchb2e4Mr7Y@NzX%8qyH)@+c15PPGh4P~9u&n>Z zc2dK{^dDSMEH@|$3{Y!8M|h~?ghXFBoMod7fGX-YNy>jjB>wm0Y04tNYyfBuXaLgz zNE8O9eKUS9q0CCz$>>d>!J3Gtg5qtQ!l$Eh>Mr~t=>aCLKLZ8%3f#261L-IsZ2uaV z`_+vO>Uh7LLE!kk7h0duAEr4!{G~Z;!MMdz3z)%UqHv^WiFO>W!Mz1cPXB;{DRctB zJOT(-D35_*1W;P&&>#8h*wLdWsE<(}KTdUw>MuZRag^a0Kcf^qpTGraHKXH97jNE4 zl(`ZjsBUPC&ttZ6_Xtg@8lr;U$ZylIy$_K^6}Tx{cMCM?)4ddRSK$wy|KLBfGnV*;bjSX+spsSyMes7I!lP@)&L4|-rKd8c z_Je0&_SiHfeXL6(&+__#s8WbSt!2eRmg+mF{dw+7wVU5Hs(gxr`=7Wp{5rC7_Ta>I zpSi!)E4b9LT0!YU`LdkG8PPl=j`A0pA;{Mqn9;U7s(Y*@mQkmfXp5cPV=SMxq-2Rb z-B>oQkidRZ|~TlRWHCAy?dSw zjLhfROFOaO($=0i1XQwTf9`Z#u@(%Rh8uVP8LL4$X)S7f!CKK2r#yjU z#j(a5eq$h|;YSNAWILb1FHJ-~yHVwfTj%*4dpA0=Lco;WL}KSUAU&C2?7t%pk;w|m zxt!kbxX%*Rx}f4#q&qKsX{-bqWd9z$uah?Y8Ft1X&!ahF#pJH)drR9b@iB0f91+_j z*I!2%;}?j#6(dH)tFP(}Gi0w`@=Z$PN|D@Mg-JD74v#JTIx_jpC*GuzCygw+p0Ru+2O+4h|;qB|* z3yQOM6lWbw>>W(4t~wChDlm_9s2vFUk$CmgrXK6h;!fS?LSLbTY-uxIkNAc4)~;u`!|-QhOt-We^U^dO>V+OvxUDOsqT9AL#e+w1;>bSh791`Za= zMMyt`FXY(24|>%V^6|m0;M6qFNbT#qAX86Tb33Q=ehEU1;_`5{zNc1s)A(`qCda_gLx0m6-S*j+>LfCi5uU{{@q;8C_}H{zUk0 z<=Gu;h8^_GwW8FYDYgps?SFkNJIQSVw->srxv%cIV&s0TXdI^BF3ThKO!2P=91?|Z zCA5api0e(PC$DkK?0%oMy&(G+@#_m+D|-d$L2_mBlObs)tg(-9mSn&iHt?DnXDY{i z9Bx1^m#t**1ukDGu6c&}%vGn8S?hQTJLf!`68HFQOLsw7UF)sR+soGC!N_kXmWKk( zqOxA|KKuTc%S3?1V)2jk=Os3>E4<&t8-E=sxudwU5H}L><1yzz>7%iOQabwdW17oN z19!VLBTa9wHmKUathW5iU4BaINJFr<&AS7k$UbuEKvT=OxhUPY7p$lBYR77OFEoia zNL~o(WPZ>1Oe?(0jRZF|WeZ=BllROMerMGQnY&^_ zY)rAKur6fGYqFRUSBwZ-ccc&RWIidH@YNPi_uAVD<5IPLL0h2tMcdpK*WedAlEogW z(@666w1+|=O98U-Ytt_q1w*muKS9(dcF;@tzBge zjcj_COvTwpsiD=|`XCCZ*3Xhp67C*OcSFAv{$%_57~RMeHeL3t=Csgc+itgx_lOwU z&y^`Pd5{x7f9n4E(~?{&H+crkcDyZKd@bbJ&&d=|+C&*Z63VBuqF#5O4$Wk>JQd>p z@})>)N%cE%2yM&$*`}!mtt{kif_UuomqXTi1Bou-B0CZD5Li~6^o z1Ec@wnY~e3S6D62$T)MNieYvy^D8xOCWjK+S%vO5)6NrxDvINt(~ZAuRzCqRv;bZaG*x6-%4*h+9+~bt$f2wQ6pHoZvDpFl1o()?85qrzC7+Cy&Pv-?920tD+P)n)-T#q=E>Oq#N4(~W z9gzFqj1sxV?M!aOvS7rXybz!-fxqqWal7H62T*=-DoFPhTHdcKb_1LPLQ!LdqYJdi z_a^@L)xF(bnP-HAIyE*{tUbMX&Z|v-ib(U}3ByzNe9y2=xfxleO;U z*2;l6H%EwSVKu$Ae^?K^Wf%)SJIxUwNVQwl&-?CEjeMHY1lyLS?d+X+Z|;MWOA#*i z+NP^x%YV1;iVv5){aT94$qS5SeBHO+8ZmV}W4!gZmpOI3vd`<8c)lw8jiX7|&zy=pFWciz^`da(igGxSha}@Y zVt4ieQTdJj4H38n(zhZ~RnXh@DDp{SX|i~!lk}5Zc;uB)iCd|BY5jM<8284iVxk6| zcuG4Rn?UbbiROiG2RnW6KQ{Z9UQ4@!f9^Z8UhWUt^kvKfA1*%8*S_|e3%$l7Vi|X} zNv8Z~lG=i1vof~KHrg+=O4Y5<6aDql&D}PHkEsS)vFJ(x%Yf!b9RG{&>MpcVSp}r+ z4+6|mw)P;Z9QDsA%^YIQqu-8@7f26%x>q&Ei z{t70`SU|S<7JCxbOk}6v*xrO+X2p8LrKorbx6bV#8}JH#=}_s3E0jCZEgZvY5NwLw zZs(j+aDXnJLCGzAH$wrQGt5v%c1%p(MsNPwvOaS0_wrZa`=wBUow?e4UbcLB5mnXsHit>k!g&cmZ@{2NHM7(1)R$q@~hZEE$O=eN_5zLT2S*#!cy`w)eN?rMgLyU%WZ3Q$Wl~ zagY2Dn53t`=aU3AvtvAwfhUr=ukyD?+}mE*t3ZW>bGW?3+H;M(of2Olj69v{z14i) z!8f>%f6m9T+R&4zbhLFk>E(J_RM^KX{i+x5R58(~kna3tD$(^m>E6K+UrxWX)wR*z z6;Ra9k%dfuR7^0Nf0;aCd9ukfKrJAjMwC@XI~~wG1$|`~`?4-0r_%pUvFY}tK1*|= zk;Q2y7okgiUB(4DB(`a71o|UZ_DxF0{kW?TwVI{OX}vC;4StNwBuw_{!(!9o`^I}o z`1fbx?55;T%}52yj34DX+2k$KzTpKsUxXPY^(R7Le?J#JwYn0>B4uo#W9p>(=9wI1 zzD}R|VGyR~{#m$gb7ZZ4k*Qpz@3nD9j9HSjy=AFje(#yM=t)>bR^rWaCfIZhY^JPM z&QCnbgpI{#%+{Y~Xj&<(VA!iO`nLUbU2#i^+_IUnaVPU$a#BG)r*YYbPV{^JJ87mu z^b0!h0x0L$(RzcX=^H}V?h9~vT8B%`YL)9(RNsMJy+i#myEia-gs8F+i+$^V%ym;Q zfUkios~=Ud*1spKmNA2`sk$cZ8EY~^*XZ%g#@H%cjWqGJMdGn+{jQmxh$Uu%^m+(^y1ML?N5=R2PaHxnKClMjR}9K;9`Eu>TvmUu)V1g=Nk-komH$INe;s=bxcfiDKFR1c@&)YpL)sgg zE~Z?qqfOHM*fFCg>h=bYF?YM;nbyy96J44?^9;scb*^sgdc8mbJqNw=_ZTq<*I?C9 ziBI%`RcHn^>-{_D=uWUTz2x?qPR_mbCbSuTa_w`CBrZi|^T{#Aqe3^8^K;Dt-ng>! zINc;|E0QXw6mP7bRJsCl%d7o$l^tmH)lv&z zIKrM_cs(>|M{{jp&tUpkr;)u{d{Ye;blBT!dv!;t%7tX^yJfSvk7{LPn$I_ky}nQ7 zIQ^;z&dUYm*{sHVwVa z9hTW`?Nr;uG()d;rH@}yl6(&MaN8SR5(8Gv!b96JW_`sWFW)Kr)hU>Ns4nDZOw%sl zk2>)8qujmsaA8{f_a2SRsE}TtSZRo8s+p#}5s+@Tp?)si>_%Lq4U~`;BO-H>{|;3< zi_^QfmGfSk0c}y&UjNylopW|a-}XZ;$@@sA(>Vj+|bGY^O`!SKjrzSDrq9JRpBhI4MQ{Yfp;SrRlOv zO(gxBD_)j#rnbos8{O#{+Ap4J&OCqPO3P#~RfWXLQR4WlKExp*x6ba}J}K4fQyNBe z?5_st@9?578bxvYk7*lv&zktPD(+U$Er_P)eqeup*psq zuQcrnrd$~}^zGsM$R5v>W9e-%f9oT*GVSZMSgEG1U!H<(2nxA3Ki$TYRa0&{(w=YX zuDBJ|ziE}W6+(P*%1&fFulg8J=tuWIeT-!>zViiolbcEBTUNV2;W2_wJtMTf#!O9c zi1tN#nTTt}Qb{^rt=xt>-X0C%Io60Q<@FvnT#h)GGOo!#t?`;aK`eGH^Neb1$emQo z%%~mdiQ1-Fm078*Cc~zo8kvv~P|Xd4DROy=RXaegXhX42T*mBQw$E86-)}fq+zcfK zaJ|jXo8t6nV|#SezVyWDMboddBt7FvXF-{-?>rh1mW;V5sl^7QjC0dp(rRd@#z~I% z9vB!pW3?&?KQnzXz4aEm>i}G$Sw@DlSO&`~7|5=l%5mOq^Zh4c5ZdXT`nj5>Rwu&f z%?O!p^qVz-DFyMyw3iCoa~~SJz7!9b{;WfarN3|X3WhZt8?s!g(t}vLZ>lMLRnK;s zy?H00y1m}{=}I{w`eta_3e$@6WTsz}Y%ZhwNCanY823%vwv=NP`W>g5nEF!|W0N1!FhB30|& zh~3f~T+nX1#X|dnd~bycnc1I>;^-57%-VjpF-Hpm#|5XgxA9fmj*Lg9lg|}gk=1AU z&W|XGFB;3qNrz(gC#HR3M8>G4ivujy-i@|<=(SC&zFb4X4QB5a%vv|_qAe_H>-V`x zYVE?kKb_YioZ3(s$n2ei4+}w`+rc}-WKm1=9k9nfE}6Sfx#t{!Maz)5nglf3zEj)$X-v@fR{ zTz!~TM>J0M(5*FJUqr_Ut`s}Yo~jQt0!_K|hP;8q;|m!~B_U&fi+g$0GaU$V@_b$k zC?Rgkwi27GCm`m>FY)g2Z9Qnd74cCoL%n!zYz@~@Ww@167Zx04HnM-!x$pLmB*pZ8 zzmF_fVZ&QFv)QFo*bo5qlD_R}o~8B?y`M8$;IHx-7ZdEkKW1j_(dJQZ$Co>@MogF_ z>fgM0Mk|8B2W_ca-GX#Q%?*(Y_TX3pk+rZL$PLqqj&HhT$oVg4#KsgAPl=uFAJB2N%DrT|*MAvw%lLHf8Q$soQkqTF-W7|$ zqC%MZ1L5LNCtDnP`emz*CAgcFq9uJviHXDer@4~4lqId5UoWATTaiMX`B`FbDjr7u zyeaH8<+mh69i3#agK4=k6g{Es%-fA>+?z9}(>f}mWom7X_ZT{7S3xC72*MRkUlv?S z^+P&;;Hbj8UA~AHY~Tx@U)V&=vY7=GLmaZGiUsrS?L}8hrQA~j5k@c}zvTff|L{9j z4rR-yWN5@0Te0@~;^#&mUo$Ts$(FexA!^3^#T#;QFWEt5hc5~D0 zLD46?i&kiZN}i`BZ1V%FuOWP7WlZV;UU~8<9OSe}6&bn~eZOGa-oR6&A6;aUZ7J%j zanf`^8*`@p1Zkm^{K)(4k7V?^@`%${*{R<3^vS^caZY4`(+uJ?pVAX1-y-@*t2Wxxm)*2_C3}C?<)ah{ zzBt_0(J6QFOKL@+Q&ld!(8TXhfDZ^?#ogGzw0Qk=y1U{nX^)__=??qctMXiWu7;rA zR3G>H?S9)q6IQ-d2gBjVoA6HDxp*pg&zRjlksOYHCo<%o(16J(6mc_`aWLh%_xSGM zv3Sj2N8onjijn6wKh~FjOt(Jvbpy30ba_+%uC3Wzh(@8Z}hk4~5dnSj94Q#rz%8>(I{yio};_-6lVfvbEP zNnP-br=)g8!M#F)Q<&Lz&E$&#PxOK{u(uX1`PN;ncyOx0LtSs&$Iym>c~>L-tq^aA z5;^4?9coWwe^j9+(rW6mSW0$$^&M=-o*q1MA~>Jd<->bO?eev;Jv=77K2y zGiSK_o_%(F-`OWY9xCD;?wz3LRhzGiHq1YaLr!$&krdiZ+cX>O^~FMWOT?-6_@-r% zm#P=WMcyW>%5&ZuRICEWIbkz$W{a})OmYyYcD*=pA@WCsNIP%t=G5Mhh9qjEiwA(G7lns>GrYO&$3Z5j?aS;rdBT7)wmdSlSF6*FZ96oV*KJGW}JZPa8cNZ z4tv|Mt6-v>_}7g1OuD3hox?@ws$cYG#Ge9N|KQ@8E1 zY98>l(F<<*G7}M(iRdfqf7T=xXeZ)QTMkdASljK0Ug%&_y?)`tm8m59T9)(Tn`|Y8 z#+`p_22D(A<}|SgQ*C~!1;X|<*&45tR+p_hnX}$3;q3WNLKao!4w5@6AT>mylI_MK z%ns8XDqt%imPxouPFOPPUQ+KYue7qS3+byj?X86WGmom~Aa_#1gR?lZd z6@tMeq5@xVRm3WR44C`)CyP?Q5g(g+OO)*yNsY_LPeaWsH^*<ljT0H?A^tv*5sNi5&e5-s=xn}Ywx`O%v5RJKv9V5-ym|`xBPf1Qxf74 zil@Xa(n_N{XAvGH7W-VWuQHN!SBSA{`yj_t`+UX3QHS1B$joJl`Y*;#g+-e~fAh^7 zr9092ngHhn4(|4CqxbEL+dP4Yt~`SqKRbq_5u7%oN&mc-Z|X`Bf6~}v{o{h2E3vW@ z5phZRG3|9V71dEq;yMe4d{5S27$=iGC3&{iFQ9^@Xrd?k_EoD@DTuo&?JGkqwV%8M zr|lN~b}_bwq>Z}g1n582+mfnq00maKC+C0}{>9kls1jsXbaqWd+EJ=-)eb^;9%r1- zE((5qo(8#NZZz1O&|AiVMV^BLDy)_dzO?1vVttXmqeCgxrwxd26ZHl?hM*3ste$`O6RqRo!tB*{-#>fJcb>3q zt?Ro-4H3dkA7(I8&5+h*vS<{Zg6W8Vkl}haM;NNg&*#H3$M8X$$BEoTP}2&V=jCC! zYRFHuAeN%nH#8U5u;?=o9tgjB2htKrZF{4_D%4kLThsa?K)H%Ksf)Fn4RXVccx@{E zxRpq2$|lkC4^~O~{>H;(B_lz>kA`QaM*BmL56_EAQ?R&v*aWvEAb&BKMKk}f><{uv zR~;6D_DJkSQwu)_dFX!}_(?1DR{VZWO;}d)f#t`WtkS??uASlbzZitC0znjiG2E&m z?WVWnw##Bb zUh8}Rs7maFKyZZMMy%aua*t}R-!%S-`47H31+<{j`6Oob-N?)A%BmUp|j}dNTPVZ`p7u)($GJ4LH+VAZ(&E<;;rrDDu#8C%kmWTf3dEJyO0+ zj|8EY;@mD8I4uuOYfo)DmM-NI9Y^Kxn=(XU<+;*tUdz&qyW;<8 ziHjgF%nZZHg@`;_w!`mI z|DC>Y@hBMf()oOQ>py=m^i7Y{z#_-N?AOMug-8W&(%z0B1hC>|-wxwov|fS@8uJP>E#L8wc>IUhFD7eA0dm$R<8#l;6%Wdb5}AqmqizVLht@q$ zS{y8^83Ugc;1cN+=?c`q~rIn-D~?%XXg|01MUgQH=B^vtaclwI#RAK4mP1P;i1M3P`!mLEFlUMgeIPHzVpX$}ll6kfVPLjPo z`j^FDE9p9&|Y`x>H!V# z?)!oofHf|W%ddby?2}}{(!DVA+*OU&NR({)Y!`S=G2tXs&JH6!$ zV>1Uk-xi-#_gllOv3}d8|2lao_v?h~40pYnp!nCzql(VJrh@eYKlTUOhspJodZ~xW z0k>PWW0RwjF3(%g7Y<%B@wp<`^p5}xUbgz88@-6;92r8W>&<m|yeLUNCVAz0UqT1c`$=ux>3W=LTZ7yh8#3ISDR*GbpN1sin@`jSL`>3c z+E%CgfDj$}7Sihmphj0vJb4lYIN6}UI;S^N(%Nex$~}q$+u)`3v}?Mnwp@Cdl`h1X zMGwqSjjdOHQoQbM%;Jv@cJEdMF&#C5SV3g-b><$R+;n1H^hA&f=SpXtLoR3Darw4~ zE~eFo8s_AJS6)g#jG4XNJFrd3G9!A(o`(E}{Qzm0;WPNNh5z_)_zCwT^cQtW2lTgB zJ!VtATTdih;zN-I+vu+P!sqdgzHG|1dth+Rgw;6L9 zbH2@JwHkH=y{;NU!!aM1C1r8=%@WAjT^dW1#p;Y*X)sXwS=*51;@A&yb}>{iXXLhf zxAM=At9*B50IDz=ScRu`HXtx|su}_of@}2y*>(E6w-_)j$#PP-yh8*-O5}Q&hDe}a zgsUXZNGf&vBL)08npF>007ck|^{-2ULV#0yQIG%Nu)Y|gv#;$^saty1 zL)|xNpKu#KqhRuCpfOP{+2CbygB!MfeUG-e+bz3icykm_PqQdJG1< zJYzIIC@SrJQb#n$i4mCgxE`2wtK43~hV-bkSahM=j;Xka=7hKfD6>s7%AzB~0X(7; zvk5}%bYxiD)E4h5fMJN8W{Jb7%3+ml0HOi z7^D-M+h|*YvW?~3$lL)Q6A&slg2WxyZEHzttzj=3YOPZSA~SZr#nzDJw>f}w56z?u zN??d=gTihu$!U#}>&0rRP@LMGyB-o8Ba`-ncp=*=Y_dP9Ojpy>y?W*5_*)UTRo?b5 zCyG7u$2Gp&YB8bSSE=_?Wpt+q=Fy%zk5>00y75Hk&VL##S z$nY|r`1T4U;+CxmLKhtC)tz-r^h$S()7221f|*JyX{!q_*wpN6ZZk)8T}m=uZbCbJ z(z)xkF4-PfN`2C~kVZQV{jHgauWP(AL+82jSN6_{6Sx;t{$jAHJE)8jdH==uv%q~$a1{>MgkOW7Yx{|J zfz@D3n`ap{R}wRHF>)NLXW>_A_P*ixM=cY-IX)b&S2YqeR2=l+$W{qbM*hi>h>?uM z1371U6TSTO^rk+-A^ncY5=|&ZS$Z;s)9nVjviMMaA6Ib7l|On{Rl5Y@JHK`&;w+cZ z*(a{(1g$$A)XFBx(V|SL^ooy6t7+wa^{|>>n&IEw)RyZczS^^VsDXy&?db)p<2xKJ zpKfg_xL?y1$tmeH`mi5E$!n`&Uq!>Om}hLW!oB7(4Rz;RYBTM2IxDy8I*}K)CE&dD zm>GEoz@1xg5T`ovFhI`9tm5UA4-S4^=W;cu5wWd^h0UBoh|5e#aX*kP9d?#YTMkA& zOSjLq915)k77oz)H9WGrG}2RhdYe)gv6^}6`K`&j2t1u}%jDx|Q*ADih9yqUs{jVz zIM)+}N9;c_g6HcWidPXAM~XXOf{Y&nzSQAw-NIYo*$#mIV={qLCe66~TdbN^ZCgIFyo5x@)gUk2?55qlDJ2XJM2RD;2MH0j`nNk)5ckyJDGcC&T1{s-yU8JC?__FaK!OUufPizQ|lx{f}Sk?H0ItRLpEm^+ zE}4o$;Ia=Gv^VUX-+%wb_}m?-UY#iZTW?%(98y@h-q5IJom8U~n>Cdi@g=!Y-{YX6 zYba2q`48oB$@b4q!ae-*AO4rCdo@_u!f}7%XfpV`*bj?wN>ryDk-~;pDO_*Z^X9rI zz&w)a&nT0B(x!Lhe#;2+?}X+J_m?UJZlAOM0<9XEHGU&sQK)S_(Eg9e!G_FM^s`5W zUx1cURfbqSvs1OrPYx}bg%n?s_rjcMzCxel*r`-IlTg}or zj9I2Vpp`)v9nMFt3UC0P|HX*@i$S9N7h~YGWnKjO7o)2}uUUhOHA>K?&EPf(Oclo3 zSMoX{=uIn>!|=Zx3sIJiwUxOc1=%km40w+|ayk=kgq=XW+{eM+Y&~O-#mu~ID*TTW zK7UZK6^B#`%H}-N&`idvaV{%)W+YD|ppQ2YTH^`F+8RjQFS`(xo8Q^a#@inkx!*L< z1A%sHxyR|IeRU*`84!P_-y{>#*ML0HHCAt0g4Dl!Z7J$K`ur)8q`TkGAvG6s*+HHL zhFj?SCxhkLA&#JIkj`s#x#>7yJRDqkFCnFS_zBq+I!7BELeY8JRz8Jm{xO0F*l&8S zE4G;20{0V?6ik(}?j~M3=rhXIbL<)-=La2cIi6R2{ifEYVJRmXdQQ-jt=y8>MxI}F z?1Iyk2III;MTI?M79_&HvA4NvB)Fe4U0if~{NAbtdk~nmzv~`M{!W+?xJJ|kjaB2D z%k&E8%+r6SNU&lYpjLWdjIcQ=4YTR4@Rt$B3E(KfU3M1C8(T~;3tD=)=Y2!67xa|7 zDoMd-EA#-(6B`sdcvmsJ#geR()TS6%%rMBD@^PUG4lVu87#UzhMuHM(7O;qQ=Xjw} zcnK*pf)-NMlzXy8;EpI=&{TB#`?6OKpf$%t^EgeRVv#I;&0fjFN4Xn}sx6HKsy~@- zsCPf00C&T;Lq+UaNcHXU)ldY|WDzZrcDB&FgbJisid+&sc9C6fHA>K6=;qROCQ~i{ zheaM=LEBTepGw5}JLG^K<7bRplU>>w7kAEl-ot)hDN#ZvPAVyG*uYeiD- zX%BsPbCMJ_C&{xUDn!!%Q}l8P9WIIr@ScA{lSDbiDHH7j4I%UqpvmCi7Mw{oS*nEM zht>UR!m3NCz6b-+@azSrV2>5jZCI)}D+OK@aA9phZdpkFV&DK4j+r>ntxiG5pdfwA zrJTgZu=upZB^V*WUW$mKL9naRx=CeU4 zvtM;%gE{tsAC}RR&uQ2fch3l6K`NH|d~!43&`f4#>a?>RL7x-jid$f#PMEtf>ylMK z=Cd0RAQ5x(juS)0#X8BP>i*R;VWk15$}h-Rn(;Te@j?k+2Hdwv+!Zt9ifFM=&%iR% zKnZK2!U!QEy|QqOx7-GtAq{d3dCjp^IDDAY7G>Y4Smw)^CN++$S5#>-Lm0ftWl?VS z`W$Vdl(W=RooRep1c91~O9D$4MGeQ%QNP?2rr zi^vvLs%eJWYkz!`bmvqcxExSc`*D*JQIOmkko~e7aNdnmOMO znOz@X`=<+QnynXIzBcRu*rnB9E|fIZ2UraG@q{!4$dp9 zD-Gn8x;W%JFw%zCPU56mc-V zwpNa!*Y!4kF(MN_?wmgD`m8mhJK0^mb{a#q6!8R8VpLJ2*T{3fVNX!aW_ee4#P)hzES%$E9=q`MutI1^1)y@V&_=zC6w2Dn^<&4B@kZ z{Ko~pxw(X7?2%$jI2E4szN{&U(q%uBbUzZ7InICE>z4HWnwyZ?`Rd93AMBU=B5e3p z#k*M%JA{J3(q5T-&J5q7*4a=$y=Bw~1so?sGWz6k8rh6Ji6|eagm2M)@kIXn;RXK| z3<2p{IAjAi z-YuMRz>@O#*QR5OZ~5H7;Bp@AAV!A@mt%(?<87etL(KlD7`tfLHmjo3`lDHneEBiK zXUyEKLxBA13c zsfDCwd3GvFG-v3I`)u1Dlr99fb4W&wzL`yciXH^yFqU2$%c;Ke z-YeA%qgh3ij9b)d^~6O_Q$CyTBr0}q63lhI32$8P9q6}9EcW>Na9`V}q*|Xsa6&WM zUYxr8ibv31aMdSmx!$o;UW(%S*bd0=)tx4gWltNyjYxt#s$>+54i}k<1Fv1IsbR7q zjr$RHWz!4~AbQ+;(RAWh1EDiE+i~^UR;3(JRsW zLfZzdQ6SaO?L9PywxGe3Z)2uOa(xk?O*0U$)%iNP@B^pefp;9L>ek z#ewq<9X=ePB?*5qrp;jkCog<$4QXC+zBek)Ksq&}@TcXAR&$pu_O z9_c>ABYnqo06sM5wM?n{@<9Q2D17Y;WmUm9)fVZPlzIFG_@(nhq_ zS?9rx%bgaJ-IwgMybrUINfo1JNtm9XN6svCidPArSqffXpn|j03CxGc=E1*>gFGqs zkgo|{ck(oo9yjbylh0Ec3w*J3+bQVipHaJrt2&5E957uNqGqM&SgiX!j5jWPDb_`E=Ojc@lsr^soYd&kFesmdqZDN z&Vk^1`HGxkiHpv!zZln`D;JA~d3(#A>%8jv?Xt)fAWUDZRk7Kr01wdDrt{W!4AVtn zNg2qc#a+s9yihuR2UGG7hLkT1KGMPX)21g-KFR-8DzE%B8PptOBNTRS;9%ltbb9ya z!R$ir!9sA`igIf9r(l&<-RGd6oMiS0f(LUP&L&#ztBBV7$GznBm^GiEzaD#uX~B=W zQ>BA`rpXmb`DyK=_*x$&ZguZ|iHJ=tM&_nd$QM=mAi*5wm+E3N;-tc_t557FD_<_b zASd#Jofl?=;u+LxsYQ)LqAp^V3K9CxE^`8RU2o&QR>q5l(1;>4WsjjWOolYGvYao| zEuAirp`l|87WKA7xg2R#xkkM8g)~x*olZ8v9bqfZ+)Umd0Nx0M`89h-^SQg46UXYx znGhx)+Eq^MK1n)DEd!Psme$C4>w)+YMU5-a7Tx^*%fZcA&C&mS1!c^wqbZJ*=21x)=yQ;EE< z#O_NSs}yZn6M2CQ&=~O29Zz#YvZs4P90xl&xmRdsQeMtyYK#~N$(N?6?|k8jHU~Bv z#w%M3SdMGNHk;FLFZN5cVX5i6Vh+g~Jjh?_i)nA+_@JQaj{@TSvIUZjxxzQk!cZEk z-%1cm9#G8h8dm>hy{JOzj2@#{uU{a^o-VR_O4Y%=zi|E#%%1jvp_80YbPy@aii4)b zrRn#z!#4{gnLJ5sMi14A!zaHb5#+PXpE7#V>=S-PoKumG^1F{6zNtKJY~jIw24O0X zWbp+;N6)91+Ml*aiVtpi?#ki14$Y4wL_0anCy-IbzL=$-bJ6DQCU1^w zQ@17;>!UGsc>hB}@jA@Xu0KDrytCPIj5Dz<8Aq`>4ddM&VUL#)bg{Vc ze-=}Q6-@{|zL1QrZ8dNw$XXr8(DY~4)wVM~{R1eEaw20}++O(Q4*fml-1HDi3P0yZ3dK;1lA;s?YAvN42W3ry?LcWfas3cwPR9f;~wqa%{v$o=WIPCn%HLO_C zmU^lwfAbvt+Ef_&d;o?M^sPYWO>%Q~Y^GIm`8B^UJ(jyCQ!!4;q1Sh8mbJ6>0ke7F;_+J#a!1X_sj^RbtJ$(- z>CO|Fx36JKN+49*iOwj{9QNbyuf7AD0SD* zZPM+KMAwA*U_KfM(1k7<<6r65C}YtBtMu*;AZ!ZJ!h+_HP%Yt_BNEXhF*#*KQ#K{! zp%C*zn^5B;6(KB54OkG+&89PKF2>1My5%aQG>{J=^phx}J&FYdLLz>rhk4A- z8GNcc*Wc4|Sh}$}@Tq}j%D*e_rF`;y#6g4{6BaSzn8J>kn8 zJ!k2i$+$2%Gt<2vVeA+UncB*|97K;=-1dkRj*02z~A`Qj9&dj4aFo!K` z0Qoy*k#G+D3dF!h#EV;Y@-?5zg&9*JgFk5}k>k6+9X~t`#GcV5yI){TR3+z-NxoCA z1H)@8q&Glx{JN}E>Um3bF2KJS5&gJOD~K$HYOhhZq8VL{II-(2t4LP=L(d6W4%F74 zYjNyZaN92$B*KeJofdN9svzmeH%xO7|4i!sfpoED!yzoRHnybYMGd74o+1;1_V5&p z#WzTyY8ZKz-qdK}8BTDmi;jzdu|#x_Pxh4as*d5(jP2G&lr3vFM|xApzIH;prlgl^8*wFz`rJ!#<*eO13Jrf6gaQhYyj-Gj%=Vq4`wuBPLt^*BTW543~M@6`A?WSk&G4 zmE9OJLwCNbFSo^7G#gX{HtFIAF(>O%Ga<&Un&x&Z02nzVkxKxV%Qzu7Lz#6Ai1LM{J#1~utN>|T-p()r; z5myk{HlB!hk%3vBQ6dIUx6gLtW)?YwHx? zadE>yf}EMy#vJdJ{x$n2(|=ob{S&eQ<^ZsIpDbl!66i{LQgi`WDGXiUl*I+buyIjja-Q@f*qt9JAx#E{?MAI!lC;2Q=YP*u2SHv2z> zp0PrU88|?F>V8gQEBxvk`hMRKaDu!NX);}>16V}@VPR|2%W;1(_@s`!Sn@63!ckar zSF&)KRqBXk0d!JZ7JnT28_`aq`@)P*D~|M&FoHD$H0vYLr$n~r&ki7B#in~uz=jxzmKLg+g^ z-_52CWA%=0w>st_3gT?7D2BF~Z)IDIy+s7GYo~*TkOu~Q!{c~!eNLF zV`Xs(Lq|YD%-glN;6>d-ymcRtJ&21OciN_D&b7&ap@cc5Y1+011qh{%837<`;Qt8- zK-d1Abi@GC0z?L3CT>Pqy90>pwDJCoB4wFz)rfZF#D*3qKfxDwc>VDc(nZ)GPVQ;7 zGcAWeCr1roQz2CkQ)N+(sa)`sjIJS9G5*dCyG?I<+z=mEMiqWIIcQKTf((6OT|QTp zk;5bY8TdY6d4K@$w(Nd#Ueg)HwLo(0CJnzK`wu|Q+x&{ukq}P$VcepzOVp1z4H} zXq9zUVAkYTGrBEq!8b#c8^&4RGxN^WcTn|`t47oo5qFJQ4^66apgYbEEdC9ze{}dM zu;~}ahji+OLBa+dx&-R1a1{oBZ6E23t01*3%>$E7g*9kf;1LGA zwtI~`V~)V+`^+IVl>gf+y}CYTgWu^H4ja^x14Wkz>EE$jhz+9r`My_V&=g$<`^k}k zK1SUc`>x;Vr=Sh8?zg6F9Mp!=jnF=-OH(H4Ff8tiUV>NGBM(j;~0&gG1wdo_AiC?`)CXbwt75o6IUK_A^y6~m#R&cPiI$O+cFnF??iO9&wLtGcf8S{Hu$+DmD z$CI8_%F#Eo6=6O3Q5L1!P-jfha;=^t&Y7P^4_!xav(%>ONPu-LKN2MGYpDj)S3|() z-JWj2L&hjWBQ*uBizify zQ${{uSD^p1E7mpt)C%~m_-D0%$(#z%MJ^0?Q)T)>%-Kp0t0KW~M{V{kYzB6CZTz`o zfWm4$DdwJ+33|ouh|yJLmlC?>@s{LLKf*+PfLZjgOTr?%tDrG2^Q+W+ip_HHD%bU$vUT<4}XgdtKfwT2(<^ zxod>tFlZ#f4&>8&uv6EJp7;o0xUN|}#1fk)tCvw3$ipF!!&ZqIr5-Ay$8OxZbMhAz z3RLX|@#x#Al!4II0FD-NAPV_)FIJjAO5cY?(8Qz~_Z_ZvDd`t|Wt)Qp3Y%4Z{btbf zQ?N~z(Qy6gpum*rA-n@};=82&pnHyPUPuH2qI zdJSP82(1oi-Izl#aX0W70Uh^W~E}6+)3>VpuJDI@(&{+oIlWNT4?#3C) zDPzE3N!hPR*)HMjd_8Rg2%8STIzYB@vyGxh&0+0g$zAh5_MHvYK-0(1Fs*FO7S zU2p3|y#vS>{NgTl{?{bhwqxAg0P~;sr2B8Ww*q{raD6r|U=9IH#>Wma70#_3)!ktb zwBo3Zx3}6HGVo#2#QEKHPuwY^EBm_QZR>N@or$N4 z`%SpBoJUnP9@t`8kmCcm73PXqOQ!msG{4KD!Em9toK47RZWs4e{I+OLM9d&q;hiev zOcSvX{i-~4jFp^9KTq{jKZ4ws+Gxx~g!a4Dv8n#9Hr`^nP}n8~1kb~UOltNpIK}xp zcU8ka?V~lT62t5^hZ!84H)$+vU$kO5Z>DYP_&D_$T-hAF(xE#0%DaM|?MFdOh^X)Z z9Oh#3$s!9c4@A;0DXm(M-x7hW0el>zhBs_ERn$v0MD@hAfg?q)ukF)#u;f}OJWVRa za>mo7!yE*^aE>+p7r4phwCq~;q#M^t;iD6-#CGu6&iL=l-QHs9~EJB zb82cCg^A{}(oJ5?-(dO*i)(oNo-GqO5hJHpTYlbk_{B3|JK+1*4g&SUbxGM>niX5O z-~>wlfk9oaDa;shGsZQzk^EQBew_E3g1#keW1nwRi`LtC^Q2Z(n)dQ^UmHfMQtfk@ z4@iG>$AM=bcljqch(8$euXGWCx&2Xi9u0lSe@R9Z_+kC}Z!2`6Q&2tFeWjP2MmQDjEL?4Xk}J?t=_TQb;cH*Jr8}hn zie**sW=J9oj5Bh6u`)jQwJ`@CYJ$2p#mT!I$Ty1GYHVQ(J$wGJB~GY7Ks39AwubTy zxzmF*D^G+?abtebM8jB_#7s>{KoIg??VqI8j{t0=J*8f;2Wx#CyL>~OB4T^JX3jnG z!(K*5VeRj-6|yMNr8;_~tuE;l=VcvIddBb<@<(%?5pt3I4vd)kfQRU68W)->-@OW% z4eO$y-ZZw0=+qc;#1C2{5-EJ~jqkr}Enl{g4)j{$GQ%{UqWp(Z^TdkueWuGB$RxX=0W-fWZ%}(9xb(MCa$jVit_jCa4OD=_ki~$R{jPaludJK z57%JsHt*G<;m*3%Q6?PKpW=90b;oZ${QS+E63q&q$4^4aAiH(B|6JGq=Su#6Z`RzV z`F?(4iklu#^MT}+F3tsqC~oEv3c~au3QA)sn~ueo$EA|j2I2qne(L~t_y0~DK|{qb z?@S`Ccw=DNVALbtc)Mw=9L2dv9Br%|du<%pHSGp;74026e7`{B{*MexpQ(<`J-f?^IP4^ZOGM=#~W+?IbGc~95264xt zFKzf{mipp+BCJ*COTEdDOCyu=Vvp}5AFj2<)hBdT>b;PUPM&X(UN2mzgNXgp4@As8 zM-dY`$oLDB$!NE9cb)1^1>f~OjX>|+P#`mKLaR1jGg@`r)-9)XsquZjK<5-3FrPoC zeXmmu)VoSB1&yMCs#l9KfVbtFD5>L&(#Fq9?^ZRNFTJX+_@g`$*wtzaEZnR*t~Yo% zwCR<)G$YYkRs0DK02X@6T$&MSt@^8)!A{8n78BJ0HjHVn8jSW{4R#6^pDoAd;m?0~ zC>-*wkEuEC@@BD_u)Xz-!TPM-j{)z@NXTXn$7N! z!eP7%5{ILKU8lJ{@H8mmb0T=NK1vnO7psTC`^1d!akH%QjuNm-M-VnLV$>q*}*0FlrW6MKzNQAVU z_MW5&ym*T>k!$T;hdb(fYFvbl^GW}Jx+@~vR`Bl8;~|?Ic85Vu7icveTl^bqhzN=o zIe;^MzZkFeuVUC@-1(&ms!}f+xSBB)Sd81=6gREO1fp!%rncmUDVN%O4-|O18Q`3$ zcQNV&_PFGKhYjEbBwm|>Ht*6b1G_fiUER4KYNFQ;qlbLbUv;nQcOU3l8>eSq8$+&j z-K32HqPHFu&I!D0I3D#yJuZpyCy+$&`Y26~&ZJ6v9jza~Oo~a9Ui7SMUDa)V+NOlm zrvk;5GKfR@1^sGf{k>55loyw;+N{(KC5OfGO{M$LTy$q4pOnF$(3$+C5wYiBOzco^9%w{_vO46E!#%j9QPyMWCHMRc+jT8NTWWV9NaGhVIjc6&hF zt3FzCsQxMs1GB~SFjsyW6<3E*V(el=icCuSD0_*Hhf>_buqoB&-OIFSJ69#2U=^`J zwK}mVUXAh<9g9o|??3IYhEhX)p+dPuWwUXu@B$yakot43!D&l(yi(?#S-ee6xwP~B zs`t58>(g?M0~mtvnh5GpMCrb(reWc?aTz^CDvSyf(}F?S$jWd%K)htT_UyiFlmQ@f zF`4GvN(g?JYyWl1W%84J!-WrJWO!4HOpR4Bagw^h`ko)MIWCS?BUdV8baXe8md0@X zoa-oEnCZiA4itqJnN=sLi9LB@uuRXIKXtkn?N1zbt~L2CJoLEAg#wHgz{cxx`N87D z7)+BS5TJ$Q6EDBdR3-DEqJ7S+_RIUlJPY(n_oi@QxilfB0G=S3Csa+H=9<_&t>8J; zBufrARfLi)`*k!83dRg-6pH#ld&;Ld5-&8D32YJAP@%gt(ITABK2msKaiUog_4$v2 z=Bzsi#N7MZ0&O~7B810uqkT$)fYW%^GW*<4Y#H&c;$A1qh@thcc{yKts+{ENOE9y1 z&^#))GLJH+qJ?BpyR)+xyXj4P_M!1eKi7$~&jIS8>oPDcv}eB~k^w(;n!1Ja*vWtm z7a;%caRqh_i``Jn-ajuEaCc@;8;u@7_$O1#$UAR^=C6hXTO&j;W5pQlC1}><%whT9 zXH;qLyp}uJIw;vDj#W3g6r+=JKBp$R?o{~}{U-2SO5L^&pi~WBz}u-W;gS#Z9@nz3 z+!4D`5?s=rcT+(ZDkcq?sFqNdyvJ5eh=TI%C=O!xb{vQP2opUZTVC7A8b-iWxU)^R z?5LqW<=|Esn#Y2x+S<&4#nho_p`J||w7d=BBRnux3WJHzJqe5z!7choKe!;f9IdWhWSKeE*x7x?ipUt0eXg#^9`C^f=Afa56aIL=sbdF$xC#-b8U9l_o?9bf+*w3v`i%7FEhA<>D$0(Tt4}RW02rW{9NMADfe(7&Dmw1s5#wd_dLKF>dpyMaxK5GcO#6&V;fz&5}$dlSa;jPtD&u{&3B!&q%21i@wW1-&KIG zTtd;67L{&KmeUS02m|Kaeur_|<`;Q8vr(~%MUDsLxLwL^3`01D-L*8n_Tw-VD+!N~ zAhiy)iJ~R5!l-3Okl>EIab*NAk|9%5ZUm`Wu_jq8eu;mAQqFR%yDW5qZjd4ljJIcl zN@Nq7ilzq-!dr2`>^c>TkDO2hHWW_|AQae-s-KlgClOZtr+2h3T3^iNVLZb~h{YH# zQ@xv`MV?0e@YH%sYeq{{5%e>M!Lrz>HA!E2LklWa z0EQ*jURWdFbyXh)&+UXFEUPOFE(Ryblq#AtBI6QGyJoaOJ%jqr-8;Fu!MN5{4+v1W z@}fpc0osS&T9f6BOnyf|Wq2BVl9xWv{dwvP`>t}Xl3~+F*+v<$zV8I*Jr(}uV@ufa zd1C@iBT-d!;t_P9-MsB49DESlX;skaK_j3*u-phCCXj_beLS;c5chf(4bZMGX`6oA_9npFAp9a#P0zo(QD^FaS@7hFJ#vEb|(RR`Qho`rIi{g9R zhw1K4rIv2#ZjkOy0fD8ZOQb{Hw7t5u9wcc35(woWv@9{Dr#l*2}ACIjlm>z{HM&p&#NZCJ3> zts>ru>?Gl&m=$7rj5?IR;t_{C^dZfN{sYWhrX~+c4X1Vav}iiS^13>hn}@2f^0frB z*75uNthX3*MxPx4Upxtizeqo=Q_Z+$!u*&u4~9MzX3Mf}N%jG1D&ENMGc~e-9z2f} z9ZFvom%jJwm+vB8?J&m267KgARy-%3`rm zOoFGfgwCy2>y2bfV5XcQ5yitz17Hr|FVdnv&OH#C{JhZm`ejPVVrJ)FBhOzu-%~^m zb6A4)kHgG{spK~8IQB6gTdo)vU1)hAVk(3!0FqR8J#BnU>D85fq{T)1%!T+?ePG+0 zdCF*~Nowl?2flt~Es$Y7QCf^0G1-$ow-XKb#ZL=m6DZ^z9hn1uUg6 zOp@R~g)Q1%tOpi1@b}2oU5x^vjcXFuJhFAMHG?&SaTR4eWqgm^zu$k+$G?~a1cWO< zB>;mr3dC@@N&kyMexm@f$;dxs-Y8|f^kV$K@b3R=XOV3Gs}4wF1C87Lm-PUGn*X%~ z1TVM=|MvN}CFWmX1^fZp1)2j|=Kpuh{|bQ6DB*SMe|!B``0w!lq9R}``bY-=2?U~} z$ZZoZOZor5u~9Pne=5)aK6nz)MgY(((f`*N@P330P<7)Nkp|A?|Mrphj{moP8{H{p z6h87_W%U2{vDykuwsimVzny6T8F2Wvuyw6TyiELDu_v68lw}>$bs8~WdGzk2#llcG zmko!<{spmLtN_&f0|etk^pPav%eiYm4OL|{%%HOP&1dR=3PYwB4bm!~_w`cqpPWzp z0HMEfOjV9q;Cumd%ED|CV9+Ihz24?o732Vl+ zy-LZmo`k-=Js6Vd^2AM%rhu{S9@iI*U9WhDeU!MYnjY&PU-y%T(yzmJb|e1K^~#`P(JFvPzActu7WOKU^My0VxYg_O1u#zqNY=E} zuVo#bdI$=cPN^mBWO_q8eNy}x1j*C@rBsY*JBkg%wVP%|w}fksAj3G{AYiaHe+)hm z>1QphIe~bGWKpx>h7x}=O|-X`=9As^s-zNS!jk}`tbePjp;PJYQ@~-?^-#>p zO$*D!X!{7aayPse7$=rwW+*uPVgz}FJAc_8E$1O< zucP3kQr6Jmv%LN@bwfi8;$)EIVyI}`ul+agegaSIsf0|^Q;w|EQdUt6B?!O4aB%Gt z8Unjw91?!O?s{Y9JoLiQc{|Fa=H7KxuwdQmd`%cV zec&ZGqEt|yw&OX=>VCF@SQq(Tg6JFX_;qxx`e_PL5#mA+pz}G=#qh5itbSx>JppzK zT?9v*4qe&e@}|`iY0}7R;;F-LtAEn6^0bpH{whRxA}gIx8;;1b!)#kPZy7*%Wpep# zA95^SVHELbxPU$AI_snrN|z#GRlWd`>){1saN?wmSGP0M-4*snnJnOyOFiH1eMD#NY3Dh^wKiA87sjFP@rHB+1oE+ z^@8gf9$a1bLP5cYvoG2d3NDkseby-&w}aDkGBH%ov1b>&q^^R5%}>qgFgi%Ze)1q3 zyJL7Ifym02C-(v`)KnHJuzALXE4& z+Zu($ARz|T$p`0DQD^ov3&rCCdwSLbEDF4hdDxtm)%o!VzY z-#TN3_n%Llla}#p@a18&;?=(=`XSiew+B#ZYDKgnuG2`fnZ9L z_APEPvkLJ@RBiwBbo!;1rF>5G&+iZd6*7)pX$cv@9xEmIY&99jq~|60B<&q~DA`?7 zkoS`9T`Ud?cBTA9J-M$ApM#mP-LNN29=hc`#dKHEc22EM%-z&DN}yJ3X;w4NU+060 zRjRd5R-sSTu?>^&#%bVNwVh>KDZyMp6*h&%9A6dv$L*8YMTrWRwzz7>g_B5rF$lJt z%wTP}Qw8{YrPPWqe3})>E1FvU^-FVvJW_C451q^-<@e`QA+YdvSrDQ79_Se8=rxq4rt}je-Ak+=_f6Q$$_<^RHp8=L z#4zSfB3Q)O3+`HWfnPv0$X4pkCOkl%J}%y*o)7YZyoQL;A9c``EJ%n&F0WXVX2qT= zv51%0@$g>2a)maqFU;72*}wx{1)*}>e3Ww0U;$i(Wy8byp+7G;uxIdxtj56IFdc<5 zDsLPdVS@J)Ma-XyaRf>yGM)^Nq;6eKe`K-PCulSTqTA*sp-N>H*T6vG-&bqlJA@@GnMhgW$WM@)1my~yrqxeD z?S&Ru2Jt!uW=F=)X6%gg?>Z<^x=~h&an3NimOjmvVF9_wp$@~LaSM5$p!$!vs116z zUfC4O?{cRB#F%>md-1~Z1jw6w)P&g7lzPX~PMebeFzo2}J1O~#)bg!?jjyL8Ki1K1 zkSmg?h6iU22e;MWf^0hC_I<2XTQuoBq9qIJ6$3y#t}PkOA~40@J(7hJYCZxCa!BP- z1%zKFmv^AUSW*66vz)~91=6nRvN%KBg4W$B3v+s}6rv5leif~NT?&&cIov{Lk7 z^Rfx2C#!OP9#gMe5s9Mzz;4f;05h0+q1R>WqlsC{CT9=mqw){v;>TW^6hDwtdv%Kf z|C3d#snV~5QZr5t+b9ZM`${*?vvj-2!|dbLtn(0h#5KZADb6%Qh5?LnpO6nymrE9NQ$pTbgYjpTIK+-O(+jQ2YP^aGs{le z;LObSkr$P#p1A=V%(GEHG2JmEdgh{%xH^c6Rzifqj-|VCLVLYUMYUm=J9hF4Mw!Eo zHY&lMjkwb2C_S?SK_$1)xH0GpmK803;%V^{$2TU)E)vlY=JX-$*@dDHba0*$&V*WB zf!4M}Nm&<{@N@DPze;yi)D>oekO|_G+K6{*f=@hz?0Lk355kCCX<{Uso6*}xssa&H#Ge}yM5GghS(c&t?If^hf(+uZ`N*+25;lfX~JN4=9 zS-~fvmo(IE2d_N9?i>}hiA_sETxPgcyPsk&RCAs2P{I5-Ik$+8oqtMZBI(7NIXd7R7z1?JS8Jwk$ciUAcjcY10ZDd|3LNPNiny z&J;k)q_@K9_MGK-jf)GBECV2Q4z*KgU3@*cWmFi07%_}_NHY^5sOi%aC&2C4 zUT3?{u#7Bf;5^qGiU+NJa$j1Q1CYOgLPnQ_q!xAC|N%+%tY=rMH_4AiAK7 z$|IFMTnX;%tO$7}Zef)ng3`@Zhm=T#fEf*K(w(>!2zVz|c)O0bMoqCh2=@!us4sh% zf}dDw-&2ghpF)%m$Mq`LgWXOwByc+~_YU87!z~>=G*Q2>olB)t)WYUDaJ;{k zo<`}6%2KNEE1dRXaJ-UAoc0;>ImonHoEtum>g%v-ZEj3Si;y-RTA9*l!yhxbrKp%l zi%+aYqw`%WL#Kk}c2Tt&OE3#r&Z=Rd;MFo%7QcBei2a>Z$O1IfER{jS-Aw8i(~g?k z*A|5(SfW3dJ8?*0XPslALX2{)bF~%~DNUhjz_WFGwwKSFM^yDw@Bu|9-_Gk1WUt_&jzL_f0U(X&93C|d5U&G;m?LLg`D*taxib;eC9!Nl9ElVWAv(6+E`5;y{_;JABt|#4GISyz+C5gV#DVq^8Ew^$U({sD zOR*BO5=@~joq(Y9)HLj_54}TvbVXGhi5%4{%Dm38y-?dBf@-ba1Q@aJ(K|UD4(#D{wJ=q@mP`4OS}1#!68gxpOl!qHYbzXNqjM^@@;A3C}D>?*eM)Mu;%JT6stR5sO@x(K)f@3`Vb zzeTGG9bXuzR8Y5H3)7|48ZZW=xHhqNCb`kBmHyG^NeTU!SeF#~PHSFTw4R#r(}m7F zfz{8Z9OB%H#g|84rBLh)2Uc2?+yw%3LQtPBqrIpd4#VoUMCSX#+)wYK__x{8>30R; zF%1M(kI1n#Qt=AqiTO=?h7vi^UQRHaDHLsRfNvgr5P8nL?#B+Zt{4#!+Iu5_8M)z9 zLUYs1+%Ie~@@@1&n&30bsnr>34dwU!zF$Yx+lohJ2NAA(kCUC@ukBN7Jb%lcUDGI@ zLMO?C!5YeHn19m4~e*No4 zr|ssWOH8BvM0D_vdl#iug)f_{JcAS!HSnl43$t{HyzY`lq+i$kNT*4?0HKx%Z8+0Ko_3hFe`7yIw&2BD5UuPfk@dF%9tEn>BQ8j< z4gWa0W36deaJjkk4xJmE>s0Mr%%7xG1}^OOVe+Ulb}UunenoxY`U+U8p{yKRPpQ(b zou)|(nx98vZw>vtWCu_}f zp&k*ab^Frru@eNR=p0I>`@ORU=S`v;`(X9f#HMqw%z=yh2V>AbhnH4zd66>UHnGTF`3Unj1w9or64jrvRJm-SpdQa zy0j$TiQ4DytrG2G3Qemv5eg%!-HU$q63%Wj9w=&ctY?>|^UPubDhrYhPD1DzbUNy@ z;h;mRb8ugky*yX7xdG1%&sGYgxV{g%?~k&#?J(7bW#^NfxN$J5RpSS~xi%Y}Fr@agDBGHXjoox~r`5JtTx+ zcX2m5x|0k?Y-pgnrql}C*W!07l{^+W8?d|l&a3ChS1k;P*Lec z(5h2Ty^VB{j~yga6VtZ=JNQ1P3~?tor@(rsIJX&wt) zq&1+3>u8RWFaL)9CwRhm&hG&#IQQ$*PQ1R5Vgm)Mn`D)Z!-JjTvL3GNvI?bo7NaN^ zI~JFV1gyLs#nIO!&=L&CY#A0c)ehOaD=9S&4u!|E-d1@&P(p_HuP2o|7ldvP(jvc*EBgjIH zX0{o9yBq65E}RIl&l&Rd)s;y|Vd(6SRyew&m>vxVbU}Ss6Q6~i5>aqiD&$nEZ5Ng- z^LunQq2~KnGUQv4G9Xhe?yB-xNwZEI!KhLp+YNu!bfx3$Wm}Pef^-mtNh~MjbQ1?A za+sSrY}l%JtAuIx%4x;m+yvfHfF@l#879Ce2<63myZU)L0XZl%=CWXVZdiTjtD4p+ zmJbe}>o8};uy~!KLy9`qieBcEc@Ip5&3fft!_lEKm*!qUkE#i(4=C(FSqn)DR0@bV zp9R`R)2mHf{D2C(^JE+&dGaQJK^V~IO2-1FTZ(CQ)QLFmPHsZ%KvLRfC-)OGTpb@J zjHr#Y)=#j)clBiyZcU4~-^URPt&i;2HH??P<4E*Q)-@^4=AA(L*;VfOKBfW|rIlu# zDb*=HwoeS_*h3uoidMff9_pj(0{NfwP{MZViyvZ)U-t@2T`5eVneXkDmzZ)e>)+>9 zAzLZ*9VAl}9!ZKaMmC5Nerr^ve>#S-wZ4;DiytH^PCPB%sU#7F*&4&M0K`56I-o?Eac6p``H~eyke% zqZ&%;A!yvfq@Cbjzu+oR9Y(<=?6()6p$!dAwdeG&lys$Jh((S~RvEjpBF{@u{5o#S zxoh@P#CQt7`CpP`q_p62&?NYuSiP0i>(+Ol(wbU@bgU>~)arak&>DV85$J;MgTb$o zj)wV#QH$Haw4}GltDNUUv<+9xKAG2JqG`A0DU<1v4X3%ABcXuYbXG*T5mcKD#~9E! zjJa<>zm7tgQUQ&WCy#X4IZz_~F}D3<$-Hj%*<^s27ce21`qEg^;1gvjXj%=okNcoJ zS|&Z0r(e#gH$@px{BerptM(0fbf-7dONebkw;t76#{>ZS>13PV&ja9U!uifP_VVqU zWCFbV8AYKJ?M!D&gE0Yq2-)6AB!_4%2~+HIZRvmo{w80!cNM%XmWx_+i^3xcKeow%t7xb+z2wacsM`aE7EFzBt$iOwig!=1q|{ghGS)vI23U+0sTI8AjsvC zrc=}oHm3mlsxdBaVIwa3k^g?~LrR7<; zaCp|FKT>lZr~IOqZO%d!A@ljqGXXAPLj7mN16v8tttjPfK&?2p|MdL7`#Z{mMIux7 z2IX8Vcg34@a<{nn(jcsdG1ByV8gc3QS;X2`YZ|l(nBC3uC!Jknd1z)hmP@#VV?xiI zC3uUQ-QqXegDdF78<1L(0}Zq~vfdmhLuI*{CZ@UTFmwynVq*#WemV*#TFPeaWV7W~ zY~_0*BEGOolzI4y_-$gFCSqacuF|p_9@W`CUEm&@!Vo4agq|ZDn5qFf?gL3z_XhT- zMFZ{^fhig+mIEnCizLlv4U9Pd^c5R;NR%4L^#-t`uP-HYc+xvCoE7CR^*4!~J=9iY zl;*{!H9#hik6#%AV)R+*?3Gn9+3rp-P|-0u)2`#0KNxw>bAPgGSva?GQvZ>ijJZQ4 z1s617YG62Z@%xhgX*Yc^vqQ^EaKr9Jn?N!jwGLCxN_Ws}3a{^#GjKb5MJjPLcIbEY z!*d}3e4#%`&4r%%r*$%@7*h+$sgb`LHkiEBqR?ICez_B6VM|K)JlaRwQ}HIwHQ;IK zd0)=6m4f2Ax7-yH4?XOugEVp`;Gkh7=G|)dF|SDgl4*dI_eLjYrf_e(-Y#7oKg4)a zqnEUB)4b=(y?aVLVs>uao9#0t%j1?pA$!a=)0z*X#3U$v(vbJ+mjDnSi4_Ldq=e)6 zlQxMikeI|8Rf`J^j^McBIke&miTx(7VJ_z(TaYfgTX(sJrkhD)NOvRoX(1|m0I=bnH8NeddS3X_e#SDZ&)yYFX z>Juu>YymQ01Xc6LipN&DCo4R$1_?b^#t*XXvS}5Vr;XMZgv`6UmSKMOztjM{X{WcP zdM)}6lMp5GFwA zN4HRt>+N_ana&;e)B9~vJN+sPsyvQq+k7b)bTPlCPAHeYC~)T>Cg}?6sPgVWB$FHI zf(s2Z_CoOpwM6x74^ts-=}XD03jXfj1WPBTn0JQ@UZF{rR}X)3z>f8tEAAJdaKUF_ zI!2{s(vm)l&J6GQcS$mj1}=tK`uTG%bERMNrO7btV1;E0_M475#vw*Et?PCG37ZAx z`GM2QkK2PGsa@I_gmcT~;imf~-Dg>E%4Mg$fMYLb9Od|R#|;`~NV~N)bn2LCqC-R=vJ!eMC3m zC!sW7I`;*xCGJ_;-3yC8)_IZsMQgTMV4G}O08#!+Xab^j$F2IhvalgM#{cV8AdUQf z)w#s}xRu3DPn(TCSya9IiADa)wOIkLh=Pi}&eT%8z^)JJXdV}aQ=;##npdP3q)gw( z?3MV=gPkjNo2bU^kEI*R?|Q>0uD=4pq;G8LOGb)>wcz~Xz7Xl{ozAx4<%VPhmY8+~BARrp@{0Y=+i!PLo|-qk$o zhunpZ0lh&<`9pM}HG&qz8Mw;d&%S>XhT~g6c90zs3Y|`@4ebHT-CB2@aGWHv^B8f! zea!#t#MkVJS01EL^Aw~jM2mXJX+g&IScxT$4WAOq@2xd#?d~eVN@QM^IQ7jaFHF5Z zH_Z@=BjnlfF-XJe=xN|He88}nw5mxJT95W8OR3J=ix!$RFSzz-!Heg-Z)%#uIdw_V zAWo8MQ$oJprhl|KH#ibM(*4~acE7{`ZDg5Zu2=0_>2sdE zx_Np`7+^Cito=vJJAQWd0U|p>?Li@S*li*`?_{MwN=CAu+ z!DBT^<#$12Q>TslTBqDsez?ObW}4n5ntGv#0FTHRWg}j@~d(E{o?MN2*)1sf|7Xr31*9CildHR8Os*LsvSc+#kj4C+qRkpi_zIDE%efs`&lO0-PJflwvM6_ zf3upqwZJ|YM8FUoIxSl=6UQ=RBy)c0v>>$#^(~pnq83-J2=O8G)KgkShdLlb4#IiN?Y1En=X7r-ArY+Y-y|P5d zkMfH{e&$Ll@1^>=^ZYIf%l5wO@t0$Vtk<~;#CXdKmVtoKWX*+9!sxDedp;}_P*)kJ zrcHY9v>WDi*L+$jB;YA-YA&fq5TA^>-m3d>cE*&Qw-faXtuIM^q$A{p%vFg-@98Pd zm=%xlQl@HRgTf52lw+b0O?~l@h_+8LV0Y63O;T9EV@bx@Irv^AYKJTU^?*Ek zK~=8!I{{!Bn=Py44a`cGCL-Ysgz!`dJ(BOIDi5HnQ0Om+P~v*?VpPXlGAdT1BwDCq zA0)WS-Ej=T8PL-#OB7v@v5v4WRUNp@G;$J5?I8XWcqit}`RpgOsN<9v=)A9)VyDRm zc-(Y9&#re=NY36*9s&W_V0xOb^_ zH(M5*YV5(2a{m;v*|)*NIxNTH9$&3g*$Ccr4oX%<^m+qfF&d5R;tf1+P-U&;ioF%`)g~AGm4cXiv1<-|&0bZ3 zh-fKv+V}ga-;1;VDz=j&#@>j(J9w3?h#C(b)#|F0%HhJz98EA0LDbg-+@3h8W>zm| zcH=|nRJ4)1;*hrXD&7q|6&TNPCqo|~Sz^Xhcxi>72d&H@EY*Z=H54&VaTp{u+sytmg0;Z*TknHGPmQ`xWl{oSMH+jO%B1#3G?~WZb1}QrCAPQRa0e( zU1$N{^woz}5f|3Zm3Jq3JK0hnc-if67GOKg1PL2m5p%xsv4)QMEdJ&3pXX0pafU^ttR9%ru-anb)wi@2nWoz%VQml z>jPIj+M2ME4{kh@0Qv|yl%|LdhV)V?wqfgpEa4#k)Eg+VHQ^RRv|s6fA=FQdyKYpPt$<)2<`;D7VbdE^1ikXm5jqoHY$q}DbC zizM4a#)A-Y8kLjPg>3C6Odt5G>M|@CK8dcMR$2qd@yfKiYAkvd=jeux4mHTrHPfo& zTjxME&AK_M)IXGmp2mY$}V{6vu(&i+IoJQh~+Y^AF=XYxFsgGN@ z2rl!I31FGVs=q=YmObH)IL9DMh4$oJC%8ky7ykkx^6EMwZ(joZwL5s}G9DH0^YB?eVxH;3bCcaYeM^gHm-LML-C$YuT5{X%9Ol z!79dG1T%MpVS;==(bp2)F51!rWnf{8Kr4vypeeD41$FsKUnFuAjc~w`WEIiTa-jf~ z6mw=obi8iC*_*Vjl_2YncnQrof55Iq74sGCq2MCZywY(MW1VC~M2&R~EHscO?A@W8 zBu79pJREk*rewye+eez94IokSRHc*3bav^X$!Kn|$Ov@tZ_WhD6(g)a$8E6m65P^~ z8;Uf~HLnx~X}*-I573b4onoPpO@6L+;LPp#+^D#Q^FJ= z>letB5agFu8;-sYNL9`wJ)(SA)nN&yD#227P^t}OkryhviY*T~65Lu2F`F679u&7# zQjtEf=9pG$_a(?<+*;a;A1lM!yieN!a;wU7Qv(V>}4`LQ6a`fS(uzVo`>=t>U{?mA(Hol<_;4=Q;Vhl}Q3 z64GRwY`}11$qF^8=mGP@2Ev^)Y5NFF&BNESra@Hf~AP-SrIvHQdRN#&*X%(?3 zhX+|Jp9a}dqq7XTP^*JSjy~pTxr+-0G4sZV$CyRC&4ocd8};W}@*5k8qOoAtC-~2t zr&PMfYjN|P3zY|7Rh(VFVOC)rT|HU~WK*($#X%AwO0=5KB>pQ(Q_g*b`RX^+3c<-t@TqTm)Wfh98hCxsLW z6$GS(EY4c>#$OR|l&_P<^yQ)?Q`Ka1#q)I;wOA;w(-EiY-|B}p8$yb^X#Yx#nDJG;sp2QNvtVHPC6pz z8Er7+wggcqzt=j;+iD>30>CqaoeZR@8+bzh=n5K>P$KKSOQs@7bSTS=Kn_T`ws}q3 z{MjkZn%KgP$IQ9upFR^PvjV^`04qGy&hciz6oMbJ7y5V?KkojF;!8Pzq;;W4{H_O9 zfp>ZiC@E?1thG>L*|-qHlm@V;+f!M5_v#QPz7XV5@{<-bmxvmqZzV}Tre1oP#alYJ z=d#trc~ukO5+l{E5IYKfDqh^F+f5iOmt#gW+ndJBYL09&a8b`gVonSg%DnPfk#zd( zNIS7RisI($)3MHB@#O6uo#X*Xi5y&ze9pEpDh?Us4Ws811z}%4borM_8>pryWhjh| zR;bgVRcTkv7UDzt{VNcUsKIXSDU}T8wG<0HGk@dX8JF=i^MN zkPRw~#cM?XdQg#dq}VP~>VBEZUWhS76U^HW0v`#D1r(P6;e`Yh7H=u^QJiLQ4%o-^ zup0EbeccgH0<_G_4q({P{Bz@p*(xerr7f>wfAV}gH(^u(F??fM5S4N6SVK^lqT0)~ zK!>_LlF$?_p1BgQ@78BRhuRSaJj-V6ciC11j1s1L^rjWRaR|C3!a>du~ zFMH!i4&$5xsp&>9U2vzy`)z^B_&c65iFQu7C8k`%wlSEVGr$ZICThXwW#TPUbH~xj z!N3YDUSk#v>Nv$ZARX~pQ#EohKYG8F`D9yShAF1Rj+LVv5iuDNXqpx0IM4%l+&n_si8Tq7-N_5)r*)$w2K#x|W32CFf|KOrkS%>@zB|)GXi7b_Wdw9p0NvjHsRR8_O{A z?Ae9|@{hV(=(c=R!3y^+tt1_h-$J;={-ao!yy<@YkIIo$EAHJO*pUVJ?<6%ab-dr^ z63#ILJa%6j2m1iRPu^(_+a`rT7l{Lm1EbR$jNqIn`tTgP3q|W6vY9_Ca(#jE_d88b zd74VAnS_*=)A1kcX;53VyzY{zo-Kn}7)6ay<&oCuMYMe$GsgfyKCNcWOKr^nh3pd! zRs1w(K_dA%bP7pDOAEUx#Z;D`dr`XHq3Y0=rq4f&k2joh*X?t;w;x2sM7Ayl=yJH) zRegaGxDk&FZ_VPQDGY5PDj2x6dboLsPU79R=#3ei#_dA9KAgjD@cR!%D(*rd@RYli zESSVQSAXNqC5sJ_UyC7z;T$S{65tef`T6zmNtmVcvK%ozh&lj91wWW}cG&zRNE92b zqMMkKa!5V|5}Rtn5vC`^b*X;?X`#T#L)cwt3FRfM69+lFI);_vH9ITAnZ$VybDc_A zll30Ug$pW1vfWtI_^=GBwMPcH`khTVq%exUMTl4ESN#x|OG)hVdHe0cu!tIxP{z>x zIXOK>PW0lD)}cbo^es8SIx+VoLiIUS>n+trJM}%9?s|*7cSn=Nv#u+<10I7 zMa*@DB4=E3DMq(~qJ0DH0Ifvy+!^n}<~66D_P*d81bU?_;0W;Zej*!#4g7;oxHXRO z!l~h|yx&oGEMQaI6;LUgv7Y#j zlnVUU3O~U=!M|zht{aJKN8IXb>d^!1t(lDq;oykCWNR)*V8LKq2M;a@91J{$Y`5`| z2iA^Q_%(jxYpp)1H&kD#p-k#A)7wx;IYXyE_^p82kNfr6!PR1_W3yqH`tO~)M(XEK z#bZ!l{9{Y6{&w9Fe~$*MNSg3Lo^VvndpKyiKVZD8=thyU9r}1lVdxy9!<7FteWdx& zGjx{!_B}c!0I~o9@>1xbl3Byjp)VGmZ%u}-wKyFpg%kWl^idyfS@)6u-y)y#-F6@K zn0@hY8I8g4eQR``?@`_F)50NvSfp_{p zc3bu*8wwWkTZDrOB-C1OY$>lzq}1j*bvn{kH(W#Rn@uY!%h z_1ZnWbma)Tyyud^`28fFcsca|cdP#dcWdSVXFcy}vybYjxQ`n6XfJw)ZcO-Mg9{OY ze~|)*Zr;oG-}A+n0JOiBoA=Lw^=EOXPm|m1Aw}0V#DL*J__eh(;SWGqP51FH(sJOX zY&cM^`Ufyn1Yd@SU+Z(~SB0~44fg+uk=|u3jBb3EdeQ^7-VL1Zo%qcW(jZ}dn3C1? zv;3r^I;3v@zVY$Jl|H)ceEmA$<+mU!0y&$u!85vlku}r{Trc3FG5=ctzFB^hgY!1% zdZFYxj%P-cgNFaDE1&5oKB<@9a3*fn@I_W7NT-w%I5||QmflDtZXSg2MO7d55mdI^ zNc2r^ao+Pq)!vy589j28tY=p7PTGaidw%aD_>B0kJJ0-pKE||oXz3&9zjX|y4?mx{ zkpP^OA!h(9W|F;K6`Ebxsf?@FKPtVRmyZX(u??B%sW&y@{$Fe(}p@c4gRma$T?b4yX z?LC|$O;~U)y$j7>V&_@dvgyHfaK2mN~7{$r1C(*N3MT& z2cHQkm$FBoW=qQ*>3}z zfE@HEG1Zo>XOqz(Tv)f(r)_hi7^>NtPG|Mi&x8Jew0I}A;;Lx-?r%69FGM@8$1(IP zRy3dcMPgLQ1C#;jEYz^9*dLBtpI3bnE;)L?=H=pyOpq;|)H~C>l+H|G6Lg6=%aEPK z-9&_EQV!1kMdId*E_pJw!Bl)G?jwo2wF?Cio)6uqKi~ady)Aa2-VmUi@_+TP6(5@Z zuio=n$|1c+}QIBi<=q!07r*>d60DI)xta^f+ z35BcQ(8!jDqCfxMhmyK)gRFYl_^#~EWIzw7zz$R(11jLimSY$k&F2nI;+%0jrS;&1 z7xSTHA#k_$-@fa6mW99xzYD{Dg8xNYMLa?Nw>%^3&1CiGl-nKZBS{5nvOm4+BS=MH zwOvc_yASl?CvL8u^kMhyhLVO&+z8yg$ES~sK)n!Dzaf|cP9J%>e**U}(&uZ>^T->5 znI~O^0vh*EgMUmJJi`BuAn_0(p(3ID?*|nb85wwoOw1@CuSaC(9l`LSqD%60Liyc zUB-!s==t|W8=PL?APj5d7VgZuG8;cO3z+sBKa!i@kf?v*eK<=BBkKQlWzyKr!zdsW ztDoF`WDCdt@pA5x=Zn38^*mO+czH0HYY^u6msD$vPxKC}9@f$4?`gtk{vr*InUC`> z7Z{)T{6(UaZg`4H|J5vz&QjNzLfD`Yd;#2rBx{a0P z?XU{$a%AlKA)oD>jmhV)!a>v@QgTToy}NolP6WWWHLx^M4h#}e#Ke( zX~$CNAn1Ww__2R>ndVR9S$KlYBOX60cOMh~s>`+DpBsg!14W+NEZy(dpQ~22>n@ql zs4KEcmngajs+rm!nhI&Z^EF&ckmR)N=$W#G+U+>J{pRx+nI#wkioB;w{(O*dQKj3& zlPsC1qgWx5Wc)RL`s=w!ly)}{NL(>2mQT(tCzEV}RA9^ct1*6bdHESzQiK=ejHO4M zX`8HrA%O*?1}jlTnqB!Vzw3|+*e;ZQT#9p&d;`iz*knmA!lo4@CNj3d9;|q_D1&(; z26Ipt6q+r{rkV|2eU;N%YJvTxAtEf_i_OTKeonvBJ^_oJOJm1E7k|Eis%HDj{(b^@ zF6*#7RVKL5Uy=&*7gDVaKhlw&vuugugP}(Xsi>0(lEbebn_X1MRAOA+l1;Ws{C@`P z285zcCJZ;N2J-YT#c-?{+i-G{uG0LJ9si58Aba;jHk+i?T_T|rVD=*)r|pAsOO2iG zY3mhjo_sLTN;h#G%|!_(WAP7GtUfb-?0RYs?n{o5snbE`x&Gv$$kN74;rH)zSt4=z zDQeThZpI)_93hNv-j4W=Un_sSWT@5&kG!;Kdt~;!8C@_7BXqhVUOXTV+g2fh2r-*H z6G>$0wQM6W2zMnNcAp#3u1)#JuabT$WV^>xQlWWsB;1My`Y zzGpq>h>t%NL!qGE$>Yx4?LM%1@S9+NRr@}a{)s*4wRA&dZ~`_NZ-nH053>}ExxoS| zpR$g#rpMk?8G~qoeLsO&SM3`Q^%^XljL9DuFj9uPWKD1Eg0M0vu#ocn%~R~m5l_P? z!k=VzhHoE%H0*ZUuQcfqGphUykzA47YQ9+MZmt13&9W-)Qq5}$Bft6Y{BTi zVxiu^@}QjQmqVqmpxW2b&MJCBS_8Kl43r;uai)6(Fpp!YQ_B`|eW4`ouK4EdpRpu_ z+d^4rvSL_2k3!CW!ez2~;l>;)x|6NI^Koh78?+>#QbIB3f{4dsQ(VB2y?xlu8g`=q%WFk4Yh6_W~7} zOJlS4Aj-RosvgqibY&ON5k|g5X}?)oNKZsC=qyE7c%uhp!zmo#(-`C^B$;FKKCJWv z*eH9yL9}n&YldN~*2VIR7qBpxvUGh#)51@{k^3sgwO?a*AK8%o48ML539?Hqq(vpm zvX;JymxD1WWAnEiaF~KHK!%A>a4LYySAuos` z26s_T(5#C`bO0hWYovY79Eq~%Q>~16L%^h_hu&Is46SdkLsl{*TiAy3#-?~G z75Xqy=Wlae#DHn6X+w+uu9Nshme54B7!vTuVb?f5aAR;>psSO}1wkIQER1gRtEew5xHW^%L$qYX&Bkfe0IW>*-qLL=vLMp%(6zJDq$Yy0|dL$27 zs|CA09Efz7yCqHpuH(^aG`X2BV^uNC@l+V4TI>2MD4b(-a?`kL<;-g^xW>nLBaP8j zC*h@3b|k&r$RxTJwPO7hVK(Bn*Eh(=KosW(wuI>F<@O}-CfOI&NLlzD;N5b49>^+q zxCMEmdhFlHMHQuu!fejM2l&J6N}HP+chUGKS%BRO%lBIt9+bfJ&Bwskkt;B+=S*dp zE?kF(^dQYuK0OTX(_yA6XjfdJeLKYw+unE~p)XuIeN;(5M(5OQMdR*lKxK+P&*X4f zOe3YvJVy^~GI5rQGr-sE0q9tmgvKZ1!N|g6gVw@ps47Qeu z_!p_kTZ##v0u$+f!^O0+{zE$JkhPM^A1#90--ABEvyHLKFxNFLnHtQq)U1q^V-uJ) z60#QIv8CKh!-FVnm7`e5(3*qX4L*kJL3V6J|w~}%!H_zRzOWPP5EK&6oGrA;H^fNBWxU5 zX(A-pkJ8KI@Ngz-#qkubVQ__~b}%Yo@ovU!^^@#Ox68R&Wz3R^#^30At&+#J%p7!;`{S0Z@KwJamG^4vyG8Ab9c5hx-;m4aRJ9TQ4% zEeu#4@G4|0;CpQ%fgz-uiWdzdc}s{Ut4y`n>#6VN%!H!QsiZGqZNbd_gdxp|wkA0P z`D7I=^9w7Y1KS;z;M5(%GGJF46IF|7V_&Fd1VNXjV=qI9>ptdRmU_@LEo9MVMB9C| zLq~BWLWW0nWkz$zpXsI~+V17iqJUh> zmw_?HPdFE76PzmThSILiv6-Bn07zR-_9Ck0rypTx?WJcxV~%|fCpcvJ8bVHGh`AA! z52FYj6vzy)GnT(c{*L^J^QZK8HK$QT$U=7x!ZWx)pR zcRxvPi_{G?${&6vIE}n3PF)#C6p#a*k-yqGmT6E$AU* z$%3QMO5^NWzQGvAM(l4OmdXkL0MtyjA*v3zgHVjr_Kl)xA84X5JM5K}iXAZ;$-idR zr71+knCU$g%GM3tx!|7cXdwKsWa67fsvd)jz@m;^nZmDQaS>p8*kZlbMB4f;{h@*) z!zV^s-roWeO7ONJW#INTyrL4mFej9Y#Z}E2Wt+&bO&pI(VTLVD8ERITSp8uS{n(oZ zh3Sr>F1Zo{J*Mbv`EnxULX)8Dr@jR{9*nox6M7Acv!o|Bf;Yn8eEOQ~&w6CJy$TB7 zpw5^t{sGRkFOs1LRSuMW@GH8Vj?tBpV&ga(>xo5EH^`m-!T$i>3}>=f>F-&om5^$o zw3SQ@N=~HqL~Bi$s*vUu--Z;%euQ7D);-CU@Fv!)1hrW_qoXF$ZM`1f0~U6wbWHyM zX_NeedeinS+w5#uwH1=c+8s+<6dRSfLuI;+m@sVQWTQzOqg?7L$dhd%=~IgL z@?d+t2KZiXp)pH!s8eksI5;E_syoYtFTlWkR-q)H*BMLnLt1^a(>duHFg`IJ=-&zy ztcX+C!xc+;ghraILEPvKe8h!jVs8jNVXezz<2CS%&~_Wr8>$p1X*-Y=GT$c9knQ1hi~9&azoJDSw6&RsAArJ z@RVhgGQ>1xQY!0%H`8#nvHGs?J*D(;i9HkC;9@RUMEwpO{h180Xx#bE3VYFqmdA6U( z=qW<#Qo=JDmZ~(w8g)Grq|=ypWpf_`G)CBiWVrg6}g zi@6PydqXb_hvVDicYOmA2$mZk-Yf~b3m0O?pR+&4BVrZI9GFMZAzN$U#UrFD*<3h% z8*;-V5$aKEfGJuhD{e$|%BxWO4?*pHp{*yh6!A=@tYdKYh(pkP3c+Y(==o=55ZH4J z7e#N#$?9@n680K}1;F2-WTAQy0NK3`#?UC8Ze0oI*c;>MVoJF+3F5c*E8G8{( zAcJX^xg{G*4cTslQ9q3tSg*<|yiaVhf-#Z(3yE%5xKk7w;3jLBIzV*Bf>tQk3-u$e z(H2rJqe&HtrBiSBku5l~G#j5nWVUU_5(Nn41Bmx{ITLuook&I6M6#0>p8;2+Fs+1* zGwoI3nJE}x;n?I%#RBNnMy1Vr^kd|OXi6Boh_vf&jSbSN3xi_{>P$IF(u|k61-o0l z2R^Yz`w)!@AH_lzbcXF)8T((8nKMf>Su|wXf;S3NaI{oZF(q?Ru)ubTNdoy>8P=`zkt>_4hbqW;W4Lx z%tcM$DzzSXZJGlqizTN;9tRSM%S2f|*&jIYlw&s*gG|MEK!WAkjQ;?G1#|>4Yc5`g zw=ZD&hO>of)D055NcaM0aD?=+S@4F6YrB5UhHxTjAa@Dw32#Jbo^jT^Ph+$aaZI+D zo85vga?QX>h1Pr@eG;~*VFkaTzF~nMBx9l9Cl|MbI~}vwr}1uNvcd32oiiyiOgA{o zj2QJ%v}^|B!y2Ts4Elyd@3BT=Xu!lM#a)b2`JmyDz#|sC6GZY*sZ$bTS}GG-4R#;dQXur(lOw8u zO0wH{Xk>DsTM^^}pt2eaBiD}F5Y60wF zs;@$L6+}T*x(TY+!jCOyp;8c6-VxH#HzVWXhZbN#0xyswKxJO{V%3v}t^K_BiJDz`;1Ssz0d>+2$n`9sk}{{XZ$S{iiGyRhX(jEL~` z#Q0CyDv91k%TIu`o?CeqnrUNOI}vc?E3-^`*rV)XlJqDvk*;el?QIJ_u$JY~m0A7_ zHiAm3UXPDvG!xQc@&x!CZd0~JwU(+B;%^SrB~>wGqZ5=ihE$G3W!|Dy2Dyurc#L{} zSYX^0XepD4jfS3M17qkkDBKVaUKWSp z_@S@FF5~v_oG(IDCkfErRZ+8Uud(){s5}A-r|e0e*(~rbZN5?(>-d2Nj1Fmcc@T!F{atFvm*TfwA^o)KaYSdxeKz1WXyEKOK&Nd zf%8Nu8@{00Z95;>w5?WZwb6i*h<*iGOru?@o7n?|knpq^C~J|HkPm|uC#3SEwv2c& zvWRgx1X)bEA@DOaoD|Ml)`c7o#I#dN7}CCml!cWg&S9JmN49EcX7d*Y#kWq2kp(Ag zx-*qTuAHH{3^)&b)3CflMjnC~b|aBv*qE%tyKb>^8WhGVqYbkP=mzf6h&L0pzVz_;gmYCmcZ1pL#*l>P>D;KC} zPiLxv6!eO8=0aiE<5EE0YtfNzuR|P;y%EITnNX6>xvyhhl>4Gsd*O^6n@f{zuR*D0 znerdV^wM;PztW6OH2Pu8`Nko_@|lrpK7&Sx%j{ni<)d~*2_sr)M+UtTT5ahxk&^!a zkZ=0~bm$!$BwF@#cH0qrt{T~#f0D1DVrFpu$2w`^k0TU1@IpbF_yxKIbD^d30G!kC z&R}Eh6%4YKiJW4EtPGqmkEkW?k)qoPWvH+K1TT}ZzFD5W6!uCop87_rv8u?Y8yf1P*>U}hu+K`|wk|i=LE}$u`71?h!r3r1A$^E;go=>}GqH!jNb-)N zsYs+S;5Pvxf>CHdY0R5rcae6MWO+fN4*_US9|GSEhp!nO9z^mXxM)yZTJVKi2^0Y}U}#GkG7s zM%mdFkp!`dDv9`IgY#tf&4kQm6|^&Dx3XJlhr>e#Ey#4It zbFTx7Hkq*T?D>MGU`V$Vye7|;MDXMjT5K^6M8>i+Fj<>pVj2Z~IfFLz zHLRGC9sSQnHfUwE_GSp42RjPT%FD-SExh1tC_Y&j#^_W@XivsWkYHXqGA-UI34yaT zW64;<5$ukJHM2pY6bU?dPnbI7gB19})48ML0e?(#b+$W6iOU+o3*vd?TU5c2l5ym2 zSoyEOXly{#$%AX)@+!U!gzMmKzKhtVI73r{gPi1l^bO!ET@9{TiY|<=^9n@ZWKg}3 zgswVc8!hdPnk$f_{y=-$e192^VRWUY##as1A3 zbV2;fe*Q~dZgels&n*e9(0;lo$5RcCY6cvb`Z6sUGR%wFF@s`l;$UjE;Q}U8vnd%T z?gxrqpNJ?#FUXl_Z^pretHTp7ESUD)2s=~J1=oeidJ+wm$7WlAHO#N%MUVIslzTNQ z=|&>Z;kD)}=(|RT*ri3yG;7p&{bR-Qi6DYoIGlayLZjGgf zBSbx-rrU~*Ox~oc0Va1Q7h*;m0gLRo3WkH89b-3; zoLd<%oc{oT&M*4Mr?IbWEp=r+N(=NU>iP-0S`f<6snDpXl{yq}+>EYIRBw@igV`oD z<{BCuP59YK8E97lsCP_#1u4sZ#=955wVa#ihC|S`lMVV4B`SLzCk^AVyqXsxmt~R- z&^)SaFwauPb}T)YCWh>^#{%V!FfeQ2=R68$8lv8c<6|~%)?P$3SQ&~eUtuEOEXq+Q(Gv}1Z*K%qlpUjtIepEn3YF%{l+7ZSxi=sLfWvQyCh1d)}{r^W`F*sNH70f^b4fuOxc z)G^nJVTo>q74|HLj}Ef=rB7uAYp)9EZV+s11oApvG6==2lz4uPv^S9kB6M{aq1PsA zup~~*l4YQ&!JB(6loUJ}Mh_NByhBJCSo|k}lCDe&csvx%k(U}O+AM?}^6DoS zgJ5q)j9F(zC(-aw#o#%6J<`TEL0z^ijt0z1GQ)w5G^G@3F`<1*-ly0#N$(n+h@H`7egHZ{SuYIP!JQl;5|x#5B|+uI$kJ^zyDd1b6*e+kSMVaRt@E@d8fK1s zA&KeWV}%~y$khyxgp*zv=$STO4T~KJ(DGtqo6CXA?CJ zZW0-q$Uo4NV=$wLrC3{KU71ZBQMC7@EwpNRMr1RgZbGj@VlwMuSW&AUk}y{>lQ_ir zQ#*YOil)qk*zjaXCHs|#a?G&sK`e;{PvCnHeJ{|UQP@4DjX&FPmXUY@KG;`q#eGSAQgjg~c0h=L{9%-~~_^}nC!-=y=$eNAOF}&!jtclI| zY&Kdv4Pg^!YvE-YUc}9I7A_2r4hHF2M+Pr`DAB$K+iXlcivx(+Lb8?UV$iD+y;0*1 zOOFD>MnWOD(Ve!zK0%5_^XOE~cS7HsnD9eskZ$>;pzcP<;jIidD5$K#7uiHVkikMN zDWTk|J-Qk@$oU%^X?PYR7`qD$kaE#(DV6WZh->Ut*^#PddJm(*z?~fvDOLxZYr(7y zwjj%f*r>m^gCXE#hk2t3^blfo5oJwh9w73}dl+Ai1?<)&@|>GFCNfA^QOIz9C5TS> zGQhb017TDy#s+XAO?a}=uL){eDTRASV@;swq=F7Mcrmtzw}MN4#h6SS)zzLzm$i|G zlfc~%!Lh+(K?Et29uveCa4O*X6>JW94Pd~`q$xJFGEyA2_!=cN!>(DQq~$D z3PNH!LuCmK(KW%n%IvsYfY`EFhEZuF8P1u9hmQ@w)DUKo=uIXv^nS)zjS@rQR9i~) zW#e+F4OMaLAbF--a*UT}!WyhR9?D=*=+eQn?E4l)mxE&-+!K?uEcl7vCcY+U+fQQ# z!R3zyZ8$Y7mE>-XWNk4JurJ`Lvv^~m+eML&K-kp}8Nt+PZI+D1ha&Vaqb-j@vIY#`Ygr9w@r>;z(Ka_%uN$M=MpAin ztKK>?!+DWLCJ^2LD729g3ktDWBC?&7Fg8qz(%S4mbH=- z%HZUo#G=S{bViH`FR|zp;gh6h0m5uT%tq^7kd0qqWgEenX1+#jGWIM2oVECVo>1mP zEwO`wmXeOCae5mX35i(`WqTHl7CYe(S{*0obQJbx&}f;(!10jjvh%QC1vWlK@L&1P zVH}YjL}D!Wd>qZ0HByZT$FWMChX#z1q-BlZvcdO*IU{GGqjY;YN4`Wh{09ScUKSQ6 z+Gnvu(?T#T7)0Zxtv{gCETqN7_ro3nYk~4NgZ<)@RcWxPT?q$;c=L!p9vo=Q|>`3;hi>&tYcCCrTr*%yY1(P4rtn4#k%%N@XkdJ7y+V;2R}v1=!lFR{AvC0iLi z3I~9M1y z#Z@y-eWNrzp)fp0J=D*?^Q-nL+wC`yt=R=($8<4*hpxgAG){4@Bo=b+g6s`!M6r9z zDBHJl7~2HO&PL2$>`#Y23e~WYUVQuK`VQL|7>{xacG9eQT(0)>=(%9QvA9`+Y(>CkdSu9Ql;~28Nnt2<+ zV#!E1zYCAn72dRLL5+A|X2EmfPjR?bl$p<`J_haK<6SQt0sAwOd<6|9&aPLmo{h=>ZIX{f+BCr1Y}2L_Ae=Wp?A{P{zjBxByD!jSci$Kc3h zX|SRG4o976N3ep72rLEzYN6Gmokr;G8f^)WLz@r#9zcGIo3WRR0=Du#gBT&rZoL>? z5%L$-M+k#LFk?L?L>@G&O%0^R>e)TfO224&_C6MxE)0u^A)ueP2bn58jDii!3)pxb zJX^rkA!M+2gy6-&1O6pQ*qal}6QMN=4vi0Q8Y-{VIGWm zgdJ|PB1N&~f+me%qE2#Vz~0xc#_7KJB5Nh!D+zlm*g#1=h~ z3C)S%zE+BnE=%Cm3B`hNEDgqJ+ZQ9YuqxI?HZG%-D!cn(5P-1Je;0~E0FZs zNW@7Umdn+aZ)M*0*z0E?q$Ml48(A_{G1x?HX?p_W{S3MlS4E9wj7*fzNTV02V#^36 z^eAu|b)%$U+Xh(Fk|prgLq5yILr!R|bY>rGhBG>;S12_+=8e5mHZkEPlOn+0F$Uha z2Wd21ViiaqB3ja-$&)xAX|;Scl93m*E>DfI;%pNx6R4bR*-wp}>{$7n2&n6nNK1bD zA)Wg4Li+HniJFdsE(f$Bk^byyMR4lSnhZlbANmxJcuz)O*Of5|uR(;V@+0y*8}|nN zj5p+lhhu~*k~!I-WGsZ@ifa@qT313f=h)lCf*;^Exg_+0*)eg!HLK{8QoR_YZLt_w z`I_dJ9|*VNo(%i&O$W-WI~$*uk=h_R-o`R6O^P&AMQkClp?r}CfxMzLH?mNuPLZs$ z^a??ynCT4YF|Q1v!J!B)OObLT3?7G~RtXW$jXV)@$FXEHLs6p@4m5p%243Q|p=~vS z%#VWtJRV-%L7_9W$(u&}B}94_@+@JAyyK-dkcHu+f}1GWVw5rvhVj_QVX$muZ?gk0 zLwpR8a>tW%;JEQ089$MO5F~ZQ(4$x zdwK?_*`e@EOIT$_!oo1w z^hRmO29;47ayl4|ikykAb8gX5%|PrL$3ZIT0#)f=Sh`xBcY>LH0XYzIN-DD=M~$46 z)e{-mqE!UlUd!wx|Ar;XNPYjbLRyPfj-9p)%Kf56&Xd?rrW+A!g;?(Ok#RSBQC4#OxphdQBq1T((<;N za|&IZPlITB4RU6q3=hM2K0>i)?T#2y)kb?QnTb^FSSB+O1iOX1DVUS)%4Fjwk^2D{ znunmyC{3!V?c`gh$C58TFc63Ad|+B6SsC1lrz3%k+G8$|)mVjr;3BqUY;3V%4fT;O zNQXq|!BE~$*iPG8xH7m69OPGG!kc1B_HF1yX$fS8p3CT1cgUQ3I|lY4l9)W`!&Zf} zlLZ9ENRq)}X<*p0#R6djm-M4^(<$;gIZ%UVGm=yj99R?XiQmndG#5hAZ zha#*$2(CuXXQ3=9I+5}A;}bSrY{DgkX}wUKVhQOTRe=m7n(?-V zi1ae=ipGw!1`bBEgFuhC6pUtm!0$>KPLM*GBN@6qj7RMziw`eRh9(8R9uU!}$w!7U zFO_sP9T$<6^kBiU_BvqR5>Ffa09p%URFK%@V51G-#tCh`X4y9uPe_o15=0Pe%rW!O zw_+bc91Vm>z(Wre2RgvtCMl>r8C?+aDexzfGIf(^SWUAL+Xs@FF92&KUI{~EVvtSt zEM{qOk>Rg2|K2jkz+AnT?hT?4ox@e6u_k z!Mq##DhZ8Ck+7R%6TqmeBd{@->~t8gJ7a0k?JH;-TCe*25Ytco127o)8+j^VZqgqV zY1534AyZ=>81gA{dohVrO>F)#$Gtz3do-RFM}wyfhO0>hAo(nxD%)pHM%j303y%!u zYGJQt!j8wXc{08W4N-J@ASMoDB+~uRrtBElCWnWC}|7A_(4paCxPg-*xeEoC>Wb@E!QP2p8~wKm(bbi7__$< zh3#xkvjn>)MlS6_7r&S_8`Hnyc!&bfjoG*8NChSj2XdNxMWUE4WlE^9!-|b zg&Wv~_7TnVV7%G_TQ2dBy5Ix{nL*OYzwl20farZyLMNss z48)6kO3>oeJ?=6F6TxU&%n-C_Q_<+6?1$~(_A#dp^d_kn2L%!~b3Y9R+j!u55z)(J z@oE)X>&p{q>{WA189kj!hOHPsU6JvVJ_}I_j1$nL zHBz$1y^R!S!L)^Fmt?vg{BTV8P|ds@0#t++#_>EKV4?_hJPLkLy!{@9inrt}{3`G~ zhlLfH=CW}G^dRQxU}pC4m(fLj9*l`xv+9!?@Mf7~Z;;YT#eownpv=%&gCT6&6kSdY zg*hRMSTh$rqa;*e>~F(j%nU&J4Mds|Em5eNW%?M*8SpvCq!s7HG$vCpMWcH{;9_H# zW{HcRD0vJ+Mm07PqG;qr;Got>vknQI$!K;#;9Th4wlX$|mYAZj(8FC1I5O!4krf_! zHgIqvC)9WoK7|d4Pv|x;8}NIDqHT?ml);n7B3UIVfddhpGFoJ+u;_E`i}>ytF|IIH zx!G-xZ)3R)4k1%uY-*f)8>1s*MT&l<^jJbvNI*o_krc@3O^s_zk-kUu@DH<};+jK{ z&9Y=z1I+NF?KmdnRYaOhLvIGO(C6%EbTc#-h5U&2FF9DUlLGpDx*FZZ`~hTe5{qGZ z4CUc4WH6i>ILWM}Jb0Y*<3S1jCLy8vM9MJJDAg|HxyOz~ndENJ z(9yu2L=vK`H8T;Xr{EQyHX*PqnCnL5@dR&R_0i;=kqg4uoRG+FB?OHZG-WD6#2Rpc;4qMuGB%8n3FKy2 zo{YU>6{;ub6HIE8SY%4sX9N)P=|feCL!%%)!b!|-G=Yr>wqkHT8CH>zYBvL;0*+0o;JfqV&O%nd70-9t&>-HEAU7)7zr(6SlZ z9Xc=L$SdhQR$KO*r#=|RsR4(+tL!! z4V{$NDA5GfWe;~wP?@B@%_h|r2OJMl$H_@(k>sS$pr&E5$`WaazJ#{6V%ABc*|=4m z6XAA9T4YeR#BT6vI6|r!&K;OABB{Wyu+w7rGYBNtzk$z%25s0jCE1CVg}`Rm95g%; z4R#vBlZqd?kCWys3-8K9ehUX7=X;|sp@qK%31Oy98wyN3;|OxyO840^CTwmm2d;SG z95cxUeg^B%R5h3;8*HENXidn{qGdKiL&t(GV^M)%Wi1Jf3oEY%A(zPi0Q(5A{mrvO zV}fS}53wY~Ad*64D7i9Gtr7cpYn3R+)Yz+hLpK8`-zYX&_(8Im`w0eTuLxV$;8!GH z6T{HD6AUHL@0Cvi%=98tylq5uzVtiH9@zIT4kyT!{-)vmKo)jSpAGpQ81X>G=f$990J}6l5`S5-mmjYMABw&k?vAqjJPvqPxE=&wUe#b>D)K5Aa zJ{oLOCF5HV)q;I$;qw>pm*qT2$z)Z?T~V^};msUTOh<%H&**O+JopzSz`5E+uclNp z(>sO1FD(i|(r9xH1cB7bT#dSyItL3U1WwM(h-;!AoG}kq6*bv$HewQ}gv-&fkij5t zuMZL$U_^n7O{MI@h~8U+{Jyl)r=$x?JGEazyXXmImBk-nChi3mi@STY3uF`k!Xskg}2JAkJl(nW{o8WDk|e*qw>ZT-U@MfPJ<`y0SN zX`9*bh7?_PDK(r+#Ou>88a=YAK~FNjq24caSrN0G4JRH@#mo>YYoP)3A+5}V zltju%B!}98d(S!}<`+XIsD*t;Nga868cl~B@A?Vxo{mKH+TLLQ04iO>-98CAoR^_x z^hP%S0Af64Z)|B~_%mX`wk6{UjKTDRWeVsj9|004Co~}RlXVU$Uv&(}u8?tPafp^k zg!>y`r3*DE!Nuu~@boOh_ZrB*f$rbH-@qIgc~kfy>*&cpdMxA6rB^F~YAnvYh}MivDr0Tz6)wsz-oDA@{8!F$VH8WWKdC5i!7)56Ry|L`I+1|uzYOG z8fp7O*c$Br08JPhsJ#trj)>XBxqgd0Qyx7*J%-{&#|;_bS;?<~*J0qT`WWZ+6|!)` zrhh|Ajj8Svawo`3MP|dgNEKF_d?a0#8khKlmf6G~ z_}D>zh+OAH7y1y@vJohc<_$z=YCBQhMkF8NX^jj$%tR7-iT~M!*!$8PC(SR z2cf||f^+=_>*lhm(VIlNLKy!5v}mRA7^eo{QsVjrR_5Wmqgi##3~`Oz4ccKkC)JMu zm$ujqwQYJD2fmn?b=0C34Xov68r27IG39+DOeaXdF1qnk-^&fTTaF3-jBsqze!~%y zvp2%}sO4`+Y;(TFxj_oDx7ije?9et%!1+dH{8U*ai)6$L3C@pf=PiUPe+n8ZH%uqTF?O%n%}=;&MS3lZ`GP^T+$3wgg$6|EXl#56Jqm5LpyJyfsCu^jT-v~*E|@|lg|GD1XAg zv9Va$Rz8DIlTcOcOfe=<;OOYH?ZEV3Y;~}FjpX-xBOBvp9d&j$dEU-AbSofqsfD^L z(8CazXN%yqNJoFE8^3u90I$3W8*D4FB-0W_j|suJs{I4z-)admzGAcbMl!zI3r747 z;JyT{mHHCNy+WA-V;`pAReqwi`X@u$T?X1eqAUmL zJo~{|_v1ni??H_{vd3!f)rNFRWYwmZ@;K;;JsyGd#lnsU!CGw(lR-L6n`7SwSsLiz zAp<9(1Q|3g0M)O#T3(3U*wOU>{>t zXCuWIZ^5;<< z$+ce!DzUn#P1iu)PJzmwA}41BXFWBDDB zPh-M^ zk1;K>8@Zw!JM3?{YwU5K>_6;Lw`hB1OcwMKS0AzF*VtNcdu+iacGDA_E{4X|F{Y}z z*iPj89s z_9d)`zTe6*OC6I@C~M0hT87>zL!y>|0rXvi|_;J9@B1E8@oK zmieNa*8W9>POJMA#$eH|hTMoWNXeTBIDVTT-fiX0) zW0o9(8G_aXw#d*@SrICCAwHHP)TBCQL8$OY$m|xzi73(~<6?OU9goOg5)y8Fp<=mNST@IFytN$ zq05OO-6V6JThYy=G+9wOHF89$@7G`O`Q`Jzy`InK<5@8t^G{_Y{s~}gYs`f)mNlAv zSxS10KNKd#{5yb+wuzBQurWmkUDCM3SZKRRqnVwG(7LJPI&a(8vulSMqYb+oz1LBE zYuh3YtBZTRXbYSyYGZK|knNGrm1p{Sya)ovTqQDq!Bm*At<|A4G{q{|W>@`W1cmv& z>e*6z|Hrr6+kITC?Onv;`E&f79}UA_q87hEJ>9zR^(dUW9}+{XOSe;nOn& z``H7u=*14H;%oLpA2ZOdKaGANr$tcv-I@6a+9yNu^*y7in-cBL$9-G^zHSBTc|Ck{ zbAC}Hw7xDD7dEpu`F`fd_}&)-pV=lp`ZqC$s~Tn7oz8HZ8rUk&Anugj{10c=$?g$b z8Wv~^=WmMBzlRJpi=SCsQ+$9m@cmaucKj>BN}cds`F)Q%-;n&JZ8q5yM(M3CFND=Sc()+dH&iBhB9Fr;QT?C|fV=l^6yNC&~|$8XCR z{sbL3z7i+8_33fu&4hN2?FY`e1>2klZ7#2+TBSN0Ee}8Ro@NXkr{CT8TgempC^I1G z??GxD*wS?(j3d5>`UU&pI-V3fxeaQ5GA*K`g>JEnFQ@OHwq5*kr3oFRR&Uo@*g*2X zQ<37LPL7WWG8Sz-o@M;UsxNlFSD6a2ABqf8wapD|+|`C3%G}XxTxdE~UDi{g;t_ew zQ#~u+X=%8rk6fB+Q7P=Z$w#3SA>uMzpfaYKzngLtMXy~MSm zPvnDSL(Aj4cMhiLxeB(>(uA{Pp)MTic_nvVGx)dc?VaO`6<*Z}{f;Hw9lAy-j=WpX z;ZQ_)9_9S9EU;?ozlIOu*ZZG8Z}jOx6G$!TGHSE^+{N?ID8i@({anaXW50$Wnu6|C zK~Cm*!1-uMVo;Kk(uuheDCKhGry>$0@6Yb;t*NH^z4-uu7PXslYu9Ws@!^(6-GEFEtN*;|Kj@$btMJy~~0um9Gx%#09QMlwifI{<;s(+@e1YjzwI)WD$I%i57PFv)iIi_G)U=tt3!<+ zN)vQ`1>pux^vZ2&X<}?Y7JbmC)6A8hDMC&83om>m&$+i}J}Qtl2uGvT&WU#0p^yX^ z6#Q%>CLKC5l8;$9fxUNt@kRsk)AW{nEjFkORzH0M=l-bY7wl{iqg~XS+vIp6&b%T$ z+jGo*`V=i4sjGUbkR7o)z^=_e=U;R@Ayj%n4K?{wOT>ttbaK^>?@V?WGcNTFld zEmmKj?-6y<9CK%GUA(zvz*5g|XLsBLmE?UJY(DnBu-pcI!C{?k50_f$q3X~m!8^$r zd)l%&EnCe=C|6yjA=7?i0(6ds7n2I38Kb2sl2uj1!ipBJ0baf zy%M7L(5E!)N$iFW0T!yxUh&;9CfIJlLBAQF#Z|5Bo`oDX)<@P_{WZ|*Lr1ND8HO0VHEMD+fnJpCm`6lCT1U16WWQ{#i)FPEO zbGd1uvM>)ogCrg$%&lN>fT=&BcGocO*OAq+tC^f*BxC7N(h>Lf(MYV4z#%v~$&dlHbdk`NaJPl{aXs=ya{z8rYMJ%IvdGs{cN^9!r14k9nXH5_rOk zRc-U(ADYt92Kj-6TDjHcUzsti^ZPv|uN54hbMzQ@Dej+O6NF>ZeoviD9(5W2g7h2p z*MtV!Qi&1~cf=7T(x@H}yC1ER(n`fXe>!rfV=Bnr!@K@QQ1h8mqC3?U|A~(C};ZY73VfK%P>=xpsP!<+O5(pm4WFAx_G*Le!YtR%u3;qajxe(#t&3(RzPM1 zSUtsJ5sIex2@RaZR}`1Td#fSCQn{^{Zp@X8TFuG0Tv%*nKg=|+EpW~vPsfR_PiI}3 z0(va-X#D=TTD^7p5qi5cucLwpk0)M`R&sZ&m*;s+Km2WPL3yht<33O_?5c8x$9pQ* zrQb#1iW&_mX{mVSgR-|?g*kUCH7LX7YPPV8mC|FEWK`NFAGQZZ-y*A?X6&e0*~jP$ z3EriChprSY;!;fVpr7oc=m#tu;*{n%%&A_LO=?_$2*G%-0wmi=ee_B97aoF7)0~ZF~mww}QS@1EyIAJ#OefVZ7#`Ts-|OvY}WODb?E0MhLe&EX_ti zvqiBXdzFfYqti*PENrL0lZghzT=0E~ z{cS{&FP=-LV$uvNOhjaz3f1z2JYii&knB;aATLX_YdUK3mlb7A`{8ZI6QjJg$T{5j z!46KM4I+1$9%R@l(*+yB5(%=CcVDZdxwKrpFZ0|&it*<$ zycQ|7Li%pp%ToD9TemIkf(la7?~QV`dwF0;C25d1jGa3|t@f)b5ilPEz%4^-8jx+} zo-yc4N03vQFK*NO)_+?${RzbYXq7Oq_>}P!^_WJjLdxwH%m<#M|K$> zWr1dH%bc!pqF-6iT)iMI^bKoLp$GU?B~pgZK5wt_I-Rvs1JpRRij4C1R{}{0L!mUO zP0a4kR%6Gvp&x$4fY9z(k(tPeVxXc8i7(pczmA#2_BUi$tV{fUZk~u(sw= zPz6Pv!x1?*{6k#%KpbovvhpeH^5^0v)CrQ+u~LS5GR`1 zy9dZGmTr_`gjWvWerKruCmdlg-TR+4u9(Sw0=oIAGeYEM{D}j80NchR(c`RM+Sx^< zDLvn%$3_?{Yau_8n#+Dt$iRwY%avga>`^e6b_TQ(-a3{`Bt*%Ik`K6yEhK z>(ORjHT>;5M%?pj1+qKHOJ2xDj@!bPb=IoE$|NNySX9SiPu~D0^fb zLD?FhX1`Q7D{whFGGUk}=dTG&P!8&igYkmb!te7xKntx+ax$Imq`vwx$*~1QJM?t? zK`lr57Kc+sRaa9}rk@!~p}?C~mc&8d{s|(b=9;Zy8cNR??JPdoY}~a-VAa7U;q5T- zl?-c@7RC)R-x-)6c${4oj@c$}=z*lTdo?wo=BDyS_)aHYG0&hn{0j0?y>wP4Il$r2 zyT(;s-PYC1FvhMZ1;G~+tG&6at#nY{`b+g9H;=7&1Yj(avb);v&cp_ccqv4K4em3} z3pcTk05`?y3cRgUgH*pbd;}M12-28 z>Z%d;Qe{Ct2TsRP@dj!;^T(WYS zRoHmTOT?c0!=8Ql_zH`D4%%CXJvmd8(Q5_iM`@VF6;5{bk8~Vz=0zx%ci)7>Yh)k% zgkZcm*rW;igq1#%sdTI|q1iNG>#M@fTSv{0von=PTTR&w#;wJra-zV|E*$F9gIq7T zVPY0kEvdzaP>r{iu9jttK=@VecUHru8v_wRXBM%-=AB!Qai6d#a;*uunT{GGdUOTj z0tKU_6l0k#l1B6COuLz8J)V<-VoWQ2dR}`oUcY~h+oJR!LyZ+C^=a(EPDD(aR;@xh z8T9Hlym%||`-ix8VZqJlA=AuFyYzM1 zend6xg4^h!9H+6}!6!T?e0fFRcl_Z;;j@H|x8OsK@@eiAeMq$tJ(;?j9T52lWByf2 z*1H;X9ChLnP-3?>7jlu8U&k5+82s^wCh=~FPwdMp{8*?=8NjS3nNx$mC^@?8HmHh^ zn9%O4`Gpr;(JVk56c@1jSao$<5#imWHVdg=I;24;8rmi(b4e(t1&U{fIhbB(v12ij zne$^2?b@<`%Q1{>slDV!brBtiMMBJa&E?464xj-Ihsem0{MMYIX{H}sO_DgJXt&SJ zr;J-!$|=)0!<81l(DajTd;`Ubj#yb)F>C#7i$U`A6jBmW&$d}^oxqr3b-@eL z;C~-noa?1s9#wqj-5<+%|G&Sq#J1njWf{YekHV)#0N1waAMZ153rrPXdr-q%+>!!c zuN+|v>SA;Lj9sR%(7MkVa$>$>W21P zh!*D@1|u9uai%Mj8c$BSgCiifvI2xr$z^?oT)sa7FH5Ro33+FEVWYJB!eqfTQJ#N zN_qY8V}Pw;$Q{qst3wQUS=51V6-Xu&r0P)5Qs=Vz-#}nqznN{~D#7>2yrrDl`cy*Q z(7~{Jh5bjfES39m-6Gjr!DCuEECrppyh_Ag-IW(&x7f@GLRCbB&?(mce}5_L{GtBa zXq%RGlWRM9nx1%>wPi&Uo0Q*s+jd%uMw^?018i`~Yrcy&j-8Yq_P+_$s`ou`E$-_e zAKW51j~W-efZJf|-B3AC3a(8l3&wzo_!{XiwF z2|j*6c3Oey{emjEWa;ay_esWyPNSiOzlJD5g zYFizL^RiMAtUr5XyUU-8r!Vhn$QFUC-aP%}Wc^GNQ4k03p)U=&Z>@YcON|_UAd%@6 zr&G$Z8F=LtQkr!FEo=Q;S1w*K&B&dJCHoj0 zaIRzo#R5I`G`{e|J6#zJ9OJ!7h9a?&t>VgLzV|nZkBw@7w)67jfLWWtywVozpbQY$ zJ=K$6xUGq8QUqSX@m{l6{Vcn@()`nzmejM;f8z^HykTSvRjeX6h zIcIg7x7OGVvcdZdl439UEK*&^pP0<9%&NU1y>Ezaqs1-D~?YuBcvFO9wxN&M40%97l>_79B3-p z*l$upN@<)en=SHCOE-#Zvdw$Bxctt@?g#UQ9XELhOW{kUZYopC{O3-VfX!J1e72H(RJLKo+C~sdgoC`L((UO-TiJMm zF&Xdwz|u>djS3DWz^Q;$*RlbX*?YnL?o6fn5g6wMH(kjOxJ#tgJlr z{mL>5^Z#bVaevp9{oC-HH=O&&2{LGdAV9l{4K+8g|A0P?OgOqPvW5>#{_~|Ycr9rD zp%>!i;VeEmRiJ<5G{54VA$&r_&Kn8#9y0V8U!eZt%29~9G*Vl^v@_txTe@M|3lM7)_m&_bR5M2_vX-`gjZpmgFu zxZqLIo%iKxfOUO#1(e(Fw1M~Qg({jYkK719k7-U;4GH(uJ(UtozW#~&VUNc`(78A_ zzSplk$50!N+xxN$eS@(!I^GKJv2_LEcQ}C4`YPyuc^&(2=rg2QQEq&Jo7f#p%`bknlTaH?0zd1FIje52KhZw==DDd6Md$<@g^7g3s){3?AehU?m`Zw8wZ z+uQ7C6^*8@wMgacQGd=>-L{#b0iSzU*~b1GZo5Di+r%zzrZKR;8B7$o-0YQBRR`HB z4P1CR)g>Dr0Rj#E*pg@-$_`PfE)myl6LK!a4&4(|!eRAb*-$g$d2wF|P0tc`WX{Gy z_{K*=e9@&3ZvEdoiH#>@2kPO2!kn7LM8|Wgfr~ z>#xv%nF$8&mQ`yuNK~g;BBZA*v`M=@SmL;!`92v}m z0%v~8EwDhM)ajS7l`u*E2jx+vvjQhg;<*UL2(9*MrKBu7{u(AR${_+RYh}E;CJBNY>2}xY2Mat zKn+62yc*N%4VQ!Sf2Q^+2h-pvUB~#<6Z<+*tX2+)hB8Oqk)z}6cB+&7K;<;}_x(8I zErc`Ppulypis|;XA%8n{`Z-gtAFVVPBe`G6hv&QDzhyx{xJXXeKHdWWI~ol4`Gj5K zN@ZUYKa%=DM|G1}YgvL$YqaoFbc@_>W!OMZaZc$_7ueRPX_$8$O!Z zV9Je^ljoxv#?+rQKz0H+_o$(@xRMGG9~n3cG@4U@(I?KE{k)FL!pl9cViwsD8G?|b@*MJrLKfn@#x(`b9pcKS@%1=|*m=*Q$sF(ML;3LnYw(8| ztwsmg{KZqnybpyQ=KD!nb9%KgJmwc8FPXHixlXZHx$t;_zj*|K&`MWhaLTa8uwTrZ zoU+03VPi4o0P(s@wxLT1&Ceq^$%FDvuDno9`JR3mR!-7&>)FTB$LhVvd8O>y5?YL& zl{WvO&ML#np!GdaV)B|bN*ol;CQ4C_L0d7L<4Y37M^@6uR=J>b#7=S~U(hR0YnzaS zWT0H8R?kex9ig5Tx9x=Mle4hpJfJUKQ8(cHu9!%UcUiCN|AdrUHz&=h7cyB(Dr;A( zY#HMIG{x{W{%gkzsYO*Wc2EIa5?AQw*WK%P6K3!Ni+4yI7-edf9Q%^FxjSb^FFupvP>)Cdg`Wv7GO;_(oXAsPI2%Fkv4v!dy!K61 z?w3rIx6%CCSm0zsLF%HHwYmDcZw*yVXGBSh>v)1Q+(zR%UQnM4Yw_cmUy)Y&pE_47x)?6YJu_QS$X(vtK+ z&!)<&n-kJd_yktr_jeAsx&p^@;#YYi&W*3lQ;nt>>PXC7duDr<;gdwLK+Xvc zZNxDE>RUcsJgLRFIv81DBpFs#Dn5aE2{{ESW-}ZR2AfgfLj5Dg8Q@u=tu)&Si(2Ea zy8NSP&?LVg2kce7tue~Hpx``K!DyRh9L4sUFKyFi?sys08(TpT46g#+)5X9>FHnFx z$nb7sB~Psw*u0K^9%!2zm-i8bX5<^Q>+{d`A&4gVT`0FiMfRc1qY-oyM@5boG91eXf5dgm)Quw$!#Od3iltAo#Km^M%AJ(lp^V8 z3r;0=uDpaR*>?kU3&X`utL@ahx@N}rl20RPxCoV5Z@K2n*tXnr=4TSljXVvL-FQ|Y z8S+UHX~2>z`aD(gYK?$rA#sdtT4u%2Yif^s!F#68$E_D(_kZrJi?F9UFlXLHM)1jT zBb@}Xr!%j=-t&})b>z|L`!L%;>e0@o4hkzNz3HUa;NLFY$6IjbJ*|M5=nnd|!^e*Z zHuFdPZvZ!62YjEkR>h}vRqR+edwvTXo>S}nK?PKC!0WB_KgV$fBu4il`1#|I9i zPi^cUhbAi+_j_>ed1GXlg;0e0jvT7+Vxj-Icyg*7bEF*- z@A9t%y^BN}KS15}N^J>dgjB|l>A*LWF)N;2(a}n2U1?Ak2R+kBj(K5Uz5UbZe}4gD zoOF%f#CnV37*AawoJL3_ROF)v9dBMAWxcHTczGC9EbpY(YkO&1XOCfuX7*Lof&ME= zU)}P6o{)0?P2|4hHcV|@LDSHBQK5iDd5J;{y_J3Bnlx*oNGnT;=*_JG!_le=rMA?I zO!`M-kjMuC<#O`vB;V&P7Wu<(3f{nJJQcySS5+P8AYC}68s0u&9!=+U8|%oM6x)ca zfdti+8808{fBg<*8DW+N2({y|wrXXjzSpbcB3K_N8=efRR#3*I>j~p%@WP?G^zTsi>*GC)#jeC$%2BbFQ0laSsBK2hPqM*KX-pVy z>B|TpxrMc@hf@gKeK6ng-P>bM#X(ojs>45a&}GS^s}y<=Vo{rMvhIltJ99KEU0U(% z26cbguKcwN6*0)+i+=<4q3dJm5!%f8tu;_?r0^_%Lk{TLTI%qfD;v9bmAj}_Ku~jS zWmBIL4Ae-*rIAh#v2P>Q^1PApi7=YDc+9nQV8+Y~R1x4*Y@>KO8HEP2rAE2o#>~aZ z*D6Wn!3UMOeFRwUJ*@b-rlK@W4K8K@&Gs97qH=Dud2K(Ct{C1rekp!^h-Bb6<7Y-X zHMM1l$Qdp9s5jQSI4cDLKG`)cVRKDav8i%T5n0oshsn-ktID5@c zzwq9X4Q*Le6+8aDC^K{+S8P>MarRrIpz-_|Pd@k6j+=qCf0>J8ft`v@3Tl7TZz(** z>I4qq96)OUdcgL92AajC@=d(~4$o02M+;>cE>bZw$y3mnV%$WWB6}H+GVMbTOHJBw z4F=ZY3f(Y3?v}h>!+=ut`YY#$#=_948XW$#DX`#`x(=;89v7(k8a)jV_g@=Dx_UngOw!He&sG9n+qx>D=s+SLt^Gr|`Bh zB=KS7gM&%??+OQI?iSN!ate}SPRiX~bmmMz&J6W$#E&gEpp6OL8WdM2kV=vy6AcL@ zyB`En{Qg67X`PL}w(8cf`w%d3gVdlX?dE~4wjmTp(*-@)C0>CQei4ei#Nu)$r-rk9 zn=U^46ZD(Pnb(ijfY;YcpM4cm<^W_>VOHLa#Lq2`B(}?cla21LyL^8@y7WU(*)gll zhicml(nl;Y$;h2n&wnTHE$o?IsdVy#yknk-td~MMkmu}S)dlq9io-cwlw+gH7SJzB z4u+1;Bat5#sU$UfM`v>nWlr8lRi(HtAIC1ssHouT*3Lf0iB_Orjo&6p!%?tlyKP&m z$;xcIx`|oY5O3(Biuw@KZ>|vt+P&wN#rI0M3U;UEnc*h1g`s+rC5e6yw?G3T>h3bU@;x)KeXL738KHA%*iuERo z6N{VD*cg@RqRbrj{As(J&_`b!u>*aO_nxaxHeS(FesR5|1LfQk-Zn6&dXe|vV#_^E z#(qNZk^jmlWF*%j3H7>|Hq)*2ONyRI|Lcg1;cii4`_m7)Tk7%b*XxxLH?=AhC#0`$ zyG%qXuO?6Ct^Bf<{c_;xIywG`XQb~7nF#HXw*_SjWv^{J9ga)t@g|*6V`-4Tr_BBO z|Eai;TY0Cd6*_)XTb7(@{ymeOO3$BWkpl(d z{YWH!!~&u4emhRlk#;LLX=u_OFwmbke6I|)JgC2!usB*a-FjdTeSvp!SSyovHOt`xly>5Sa9~Q!&n(FTD20mzXfWEm7rFN) zWGYb1`r*vwm=-1nQ$|8{8s}nxxG=RgJpxh}4Y-=!GF42_Vs=8{<1 zq;gicj_k|N@A?FL<&Av@k!mt?1gD+=ZzIf%uU{&;y=^?RcQw`g>xMmc%XM$hJVZgW z>DF(kW*ER&%Pr<|4|g3+k+O${c|w>`>|4_YQ(gHFdE9{hb%;?BDnhF4#=e%6VU;Dw4CHn%Q+ z1r}Szbb*g16V$zzDWb_`Ox$kpDlRBI4FIYo+1QFW_j>*A;0rGB+fC|Z=vo-xk_h>d zZc(KFF}{CI%KVrTqGFTTj;ae_58z1+RJKk zs+_2)WL>I9oHnB}>PaU528(<};=^Sq-X%J;Q{0$h7xufM_F1&x^%f2`i#nB+Za>{k zN~Zn&h3Bww?&_`7bdB#ScX$sykhWH~s5-biHD9_;q$c zkh(aM_p~J4hkMTiXY8_~pPm#nD~uY=^1dQDkT<+e6*Zw*GRJZReahi4pr~ii8H^me zqkgY9EwbGP6h3~EwPCyZ%uK-!LBP*0!u9pJU63f%b#(S+7|ZGWfd(CM;|12uB{vUN zbtjT!*xzldN|WWAkMT!x53dwA+Q9NV=iB&!&N~iO7g3D1!*vSLa7dpf&7{;qRafU^ z^)9qrJS1#7U|Y$JP!k672$qZCw_dL$VFKT@9K9c-TT*IP!l9BVqdNwl-VrtacKU4a zHPws>^UhxoV;)Rz9l{;B>iuTsiE*vu(VPD`I-+WV=G1O}7-oxu1H})|IZclk$G*NE z4TD&C zepi3DToc_2rg~iLINhR}nk%)eotA=ih>o3WDBV5AeMup+2Xfwb#5Dlv1`0A&&<}KD@eY}WnL{xJ}S(8hR8kiM&dloo2^J> z7G$_wU#)H#&B?XToE;Fn@X!Pu(Nhl5SQZ;ekLCzN)kYdySCAFNFS^30^`YO2XQBt= z^_?7gs$A}gnkn%-Vh;Dl$o&JJnMFR14$A4tzbw;geh+`)}p!%JB>otHdcp9J|C<9f*7E#{AMf=nhpu>D=g*Cq#yNM{5;6}(#S z*%#8yM=-2iv zeQg@s2zK+n7WZV)>J9yInEy9lclsaB%CUuG&N#;t`lFyw2RpA$%Pe%fY-mqh8{>NB z%Q!}+I$SkRD<8>Z@K3xkeSogcH!FFgx+YWZpaXH!_fEz0<}h7gKi4t)+UaG%BCi}|eyP6QPBjBJ!k7{h^psB>?CKR}G~hiNYpAJ#HQ`5PYroW=Yj7CpB}o5(Ob?%= zF_~r$5y>_@daHzrxR@6NSG!trM-!9H*;U#QP9gPn#CewkUUN=N9Nx^ykG+-Ut}91w z`HUE})7+l2+NFt9!|UaVm*L7)-6ZuD@A4uIT*(@Q#qu$S(T0=>x7`VEi)_5#}a{da;Mw^}O^m{<+S(L`)=C%y`h|QD8gV5i5^A1(`OykM~Rb66SC3EC;^wK+4 z;f!^FZs|{PB4X$}`+=VO;4t3#ypvl_VHe!YkO=d;l;YX>Cx=secr{pq6O#sZ3hIAD z9IzYNp04VdWIir=>3W)7gY{S3V=RX*l-aW6tn4W2_?Fjebf5`312AP!jZ45>0|&R> z8v=#7^v{#jkq`8D$=W=ft<a609Ykpb-RpjvXTBe{He5Nz&p(abQc2C?T!Sd5c$ zquo<%>t$WbHQ13WnN#9X zEn4zI%10DxNgD|*_&LWj(kTgbz+%#=eU52Xx;3I&jWP-II-7OBUb(;ybU=<%f+c^F zGGuS&cqC-1LW@Kr>hLD}2N)bdke|?&+!Tlx*Cwj-m?eW7ihHu;B8M8!NQ(bFm7Lql z5G6VoOu{d0b%(0dS}dwzvR-ytj!7J4ImO+S^GBY6zF0fclqs^wO_(9~DqdP!x7j#K ziP!0W3$s8Ph>Z(|`#;M$R9+IkR@>S2b5>@<-TmxmD#n~~DA-N`{5xoGHBPE$dW7;# z;xP2jp4|qfvl=ozgINtqw8K;S2KA~M{u=RvE-4f=p|A-}z>`!t@Of;k{E^BF<3{Ee6DQv$Z>5L_y*l<~-T9PT)BK(AI5?`WkvRAjG?*hl zFL|nxORk@wINAi?mx}p?GxqLt4MP)#wFhRu9vGXVSb84FDu4$VpLw!ajvu<)V<9}h zn`PmWt<&bo2W8OXk+i_%Wyvc2QaQN0MN{t8l`h1S1yxU;UGVada=`xGzT@`z@5B4- z8^d=y)wz$twI9*)wE{K#%n59Bs2tk3WK4-*vSZy-m)~0DNV%-v1-eI2=mH7v%)C;LFktINeVD(0{dSWLE zF4lj>T4&7ErzA$fTcpGbAl{aslnQvhjW_fC^u+$JX0_27=^~D`^cz|Y3d+)%bOBGlO!Wg-`mf_f7BFDtU0O6qir?)&MSS z&93nOK$G*vxYeY6;m}^S;VrXS3X#EUxaq2gqY{Qc-R>x31bv42*Y3<6_ZLs>pN&Qa zhW};(%Rlc|w9*HB%)vRydlrZ>9u^G{)f+ZAl>}9cXPLUhrpZsU!u7O~UMg1fx5yIh z%JpWlk59jA-ye?PdQ|Iy`63MAz~G>{=y1LcXqc57M{&NK*r~rs3Lu+ubTuEW*+TmY zTIp!YWf$ZZeLEIo?$Qi*^^`F4mTd9LnS9*z+vywlb^Z7JjqI+78?mehi5Z4YvjsGG z!c)WNy!&hYy>bJu>=h#4IDByI7WYYYVs7e!Udjo!)+(L6v0=`GWA=a!4`Y#lxG-v> z4p>m?Ra{`naH6)ZjZwQaS=9(^B&R&l$-zkC@4@ygqXA=P+dYPM=dK%(G-IwKUA=ah zQ!5tr51bC49jjfkk?wyWaFV5FyBo62e8A;nK1iE4m%|umEVwq~>%B5#+z8DgARBkFfCR{RSw7$X0abD3_Ox6tyLW5pR$%e?g23zgV~2y%}=kY zIXecbr9$h79$WKva8aP5?BIfw-tL`93Y!LNB6=z4#sdqScAwbjrcLH=> z`Q2gM%G^SgBUlwWgQe2)v>NGL-Ty*;mWe(HDnl9&x^Y{oph7{oTdLl3aTUxsN^Q&5 zQI@q=igycIC8&*4u^@o$l?zm;+*{9+i%D=OC6xz~J7!y0@jtJ|axhFQ6ErFrIme}!K@ht5ic3ML{Qg(eqz z^il)@=T}}hU6S;M(j)1|p=RGx5YTRPD$L;^MG$WX$n}1s`VL}8h4d8xb6d0S((wbe zGuKw{F}*6d&)&h&9@E!%wghY^HS$J(hrg?doe@BWLS3Y(wLH0JZUva-_NBK1dD2U0okxv4}#5y2%m9tEWx$`MC(5ulEjA<^bddZ@i_ru=q!Y*F2VgJvQD5BDbOV=Ag`uUyY%fLl8>igunUjNN~8shs`d-sINIc9YDd zS4S;;Hjes~nrNonC1$0MO8i&Jm(q(g9gcX8h0%*YEEY+P0Q-PSJF-CvP&HyNX-0u~qQB=SRCtFn zcTjy>yGKtJOtX-2GFULQ7B`(<=5g;8IKS9^$mz@Yl!CN7@?932*p;$T#el-t#=S$j zgLAu%Dvu-e6YBCD8SSXiO2tT$liJ9ncA+xkQ8krQ zl3!*kmw9fjCwx>Mi^yK}rOe4mvkkmhM?az+PfRD9h~1B#V;t z)4`+FONO;1C?GTT)F4%JL`=|%PR0laNp1n9FiS2$F$%EuZ=Q0c6`ag`pBG{fy<*+{ zM=`o-`w7^zRPk)P%gP^4?nAqx&iIa`a{EhTaSy6L+X0~hQ}Vv7e&5uwl53EE5cT$c ze`2(cfn8E!UQE&ln+P(W8MoJjO`0U$@$R&&tYWR%~DdC%%M-N)4enywK%J8?@R*&cyI}8!CsJYMogTJP;_$S zN>gcQ;(a-ki2)Ym6G4gNo*QHC?oJ>r-+>M{)^tb9T+@kFx@^5$-v1_ys~-_;?B z+rwHN8ffkaKxG9xfPDZQvKW6i^r6)~cWD+%zxNak>II%*+c=}}ERL?ir6!NOM?m=} zD(IyD{Uy2URSBFqQaTu^CR_pp;jn3Nw6)V;nzVDw-?D^|!+oQBVc})GC|@F?-MQ+!^u%edX)P(;@hRs{?aC=pJqMa5QuIcZ0+iX zg-p4J9iHO?u={~*3aVi*QCqGD39PC5vimrSKqnyB^&Ya8nsqX6w* zJ#PX8K(OyRk)ddIchRaKV;@7&bhz+Tx21X}!70+OCN*J8!p{cIE14E9(x&engzVdO zSU0LHC`xue8Fh1SBXuCU!AOfymyP$hmr;!wqIud2_RO&Ii=n4DT655=^B|_^dkGNhY&%)m+cT)*|uh zd#xS)eopX9{PchZvEjA1`%f4!hVQWYe}>Nc5eom0=j-uU@&f38+|OZt2k%bW!4BzFe&mS8MI*qKu<8a)mgIiMrxi=@oMp3=JRa<91S8|NEv0={ z4wWAxLKX`sdnx;l`qs^$ZUISdJ9SyBN2Lcr{}|47Ru=)*exJ7!(#EA901gFhRWAG) zv)CTmZCuJA+TUyiFCu)d?W@fG5?0D(7urz@N&|Ha5kqR(maN9#_B9K{sz7H)g86m> zpRst^O#9gQ-WJMJ^YR1>?FP^elevSm)d-!y6m@Vo&#gnHnwZ!5FbDu33LaakC;L~) zV$SacziGP1FfJ`h+7dtH9F*ZUkcg>&o{!MtAt`Z<)^SIaMdR*c8m8YUWRGj4G(gXV zcRLZP2zQa)2B*b}49!h!ad}Wg+-F1KRKEJ+HAAENg2D-Fwxd`r{F5zE(L|e*O(_)A zo}Zf?>vYWPiICBs5N4%VsK+97sFkQ5_H-<+G$CYSCd_IG&E3jt$@|#5xRxi@Pe!h4 z&uj!_RO9cIDCgM2Y?EUf6p4Gm;L&?Ds1#l21VDa@rwhsNrl$ znHGH}dbxgu2fy33iyFq%R2FNS0KNCSx6fGSqLzhI;d{uLWVH~atjsmyN`A)rrMZ6$ z1r5xvNw;_{8geHZshO0=}XZ+ie+fJTv?|66rpV-sFjBds>c@LFXT-C0MVn5RZj zgp9T=dn|!m_J7LJ{8{Q5OR~cDG?ZIzaC$hb<&U%gb~pdCc|48sbJKo;Cs(?U=JrU4 zpvC<4KpJ&DdnF&y8LyNqaz0g|-ge(#6SmRH;C{nP?;4LCr;I5>h1Co%T`(L+mXSUd zBxnf@n5vkXobRq9+=I)uqmqBhABY|56xt*?inBQ4YZE5g=WPtR9V#f>InJpv%sabN zovnL*Kh5|&rNevJ9pQxSj4{5-tH#W$LdgYT6%$FH#~+dh)zzf+{$Q#BMDwHLZ)vQP;ExaL@F| zy&hl#h;ZaZ&ad|lH3ABQC?8NBpu1Mh9jT^!z`+8ixx=(f13o3*+sw{sKGPM(C?&%% zd+1b_nncSp)9l@=5a;7_?k!|q**JsgKu3)&uvp+e;koUKr+SWX%Qoq-C~eF!m(M9z zSV*@%Qp$BpVgqaWyZQQFqKmc9;`|6tW-<~(ma2E z+)sk~nD$NL=|1KdS(ydcwqqN$lp67DST<{W)JT+X=rAaSujc%I7E-E1a^E&pXqdG0 zm^qYo?B?svCR^IV499^h@l!(uXvD@cdU2AX7L^p96eUZOE=&>NuEf#9t3+uXN}%Hu z;g!M2kYjL^Wh0+WO&ZAL66>kPhw(_6cfhoPX0*mTpq96ApemC=`=WMJ@T8VjJ#G`<1k?Z85+*i{~63xu=xw0O$-d1rksoX{8xF0W`FAj0^VX7XxntW$^sX2AfmnU;nS$<|EaUH)W)So38 z;9`2KFsfbjbUN^CBy(TMO_NnFhAo=>8@MqEg(6Fo3*@tqm!Y2CeYvnaM0}+!@o<@^ zLm1ZTdIj#Whi|tuE}0Do+ct*39*C&Qm2Dc0$AnYUu<8$Jwv!wX?uz7=7>B*12(PvO z@g{53kb(#ZMUq%MX0-B9mev^J12R!q`l=y)5T2-%)AaRI?M!3-<(L4x-Gy<5u&?hK!nk~_!mgE;Nf+n0WF6Cnc6(?k!m>p&*kT{8t)K@W z+A(wiR-Y6=xw(tkM;ID2lXv3XSZoEn=+P>`6>Z3Da7#uh{=CdeMy_?dJtFMB#-4&} zBqCrwrrHt@K3Y3WlWr|878e^zj9=jw{wYl0PlV`wh4j zV0bA%;XUag|g zMP#VqyQjhdxx0vQLw$ReoHKNSZe9Y^hi1AE`Lx=t=EWdF4R_6&O^Ru10K~5I(DXVB~>aJ0>!_RaJ zko8*Hv}|BZ+&>08C*!(bQZFM%j|JNa?eK|x_aojNYZ>^`tEaUmYAG4eGl2TTIN|}X zH^fyz!OdjHaZCuC2I;VJqSlc7lF1TpppK)}mL5f;9bdM9Wz+!)3oUfG2pGg3iG~_- zjumf^2a>>gTg&1cmgO?}GDbWf#CB1z`70?_O=q%ipu%m(Qznd;5foGq!w%#=a(AV+pd zguvCEfZU$;*Qc3_Smmt7L-dxGf#bRXB8cfNo8QtPSHOR)q?XOY_sk6;4)FheFj;qb zf7sG!{k3-McK@>Wiyw?Vzl1#>+SWI{VxX{v|Iw&?un8y&6Y&rT(Rp2S9(2RERw&j6 zOnJ<#3(FN#km4clIR0?TWefD50z^c4rg22t3U3GH+qglJh+zem|7F0i+2n2*5&@mQ^N9tcuYp399@)?JWw36kE?w!&c$l4 zYYfVo<6D*Pon}>=dkkeXRm~@)-wfZJiNFEQVW`ZCrC<|h+Hu{qVmWAb89BaJr81ce zLB=lAW0s=UFouAmTT=}zrt_06w<=tJ`+ok%Kvqoa_{TuhUf9$1S*{yx5xe*d>lTdA z5S4Z)ai&LNG1hH^o+3(KH}-osk$)v!5vv5$4`b zo7aFxbK4tV9IzKsXQiG3*_s8hm?3b^yS!megFR#OQI8Bup|IOD6JonQlt(4a>|-Jo z>m|ym^a|aN-l?sTDFN?HJ%X+XjO`{*V~3?dII=-Mqako(9FezX=sQ!eHO0S_?Bzb|yucmeGaS5p@lteTMnD za~lGVwcM&+)CNuGc!@PEQfWCfAjP!J2SQA@^a<3sS}jQQB>Zax-rAl&10;zq^p@YNjF6mgd>_(UoM0 z``p_mX!8%uH63`Xbuob7WGUHa*sx-fdkXtZv=k);S283>yg@gZge3u3qCEA^V<&ed zW+_{rkt@4@fZ3^POGj#8TYw;z10iEU*j);f#?u#(|51*Tz_#bF7HL6Ih&Pnchu!eW zrsPvlygo8|$1xu1-2Hj!r349oiEFGYl<81s*T`X6kc#df3i|&Z-#Y-wiI+q47(neUdk)dz4?jxg(8i)fj^v+?v zFe^@85a1prlv*he_6bMk<5LBeE4*VzOawqQ0z#f+cP1T{B}mT6L9|k$Lq!(^bxl#A|YtO^0{3+)E40YgnxwVfKlrWT3^A zBLIIVjeFN7W$94MEbES1sDD^*NbzvF6hntq*fjRb^3D*MvNKvh@DV0AHTb<1L;DsV z#9N$R6f7?5n%Vcpk8ViXl$B*$aRvoYUzj_y`g3%1aj{(G8Hj|k|6G1ZiztVLkAH&i*l+T9|d6<((D@nkLwIQ3H_S zw5)JeBe8nUc?g*hdRx6ti*zA6sH4_r)^sz4*TiO6z|0TJI5p2hlABEyFMHY|%0n)k z+wsm!k`4-iPbLPzT6m2YT|($N#RYDd7TLM6I54r+Y&R9;C#S3_%YxNn8vX}qgq1E|e!C#5Rs;6Du-*qkwmo@&kfwzk9<+H*aB z%0=ws%^c0C2s+RC1H=46>T-N@q#H#b`PpQjO_ZaRKSIa-_on3X4lQ2&P4n`7*2VxI zx!kPKF@!7*^g)ru-iM|0BlU4|B%?LGxioN^TwwY`7M^HRBCb9?0xV{A$6N>Ake7G@ zBfg|mP`@Ev>tL-GX}EK>=j{<#>~v8YIN6r4Tcx&3-JMLxt8ku*^5D*RB#GPJ_6U7> z(H>Rv@Rfb~uE5D6!Hrj@;U5F6ik!O4s_&OTZvoIpk-gnro69oJFh8G1&}#3)g;{3} zVy{MYP+{SCa}S@*v$EnhswjoS4K=jVIKEjUR96;*nSYzym2dip@*Tgq?4Ha!N*D&H zU|OKW##376=0Aq5u(&&CKX#2oHavJ_lp$n97-rpsRvz$VSPhzSv4}6ZH{c+&VwQiZ zgeKG{ke40R9WxMNg0c%G+axLq=xu4ury{{*EqdHIXBLT z1R1jQ%xznEB3HAL!rVaxjtB8p7BOVaG_WzKf%6J!fE!(!Il{4P_bzJ;`e?tjOO&0K} zl-#e!Dq`o|gaAhn31X5|)hPC3W%GZ~;wbs~v0#_eB)Ti~U?E$9BqzU)ogo1qTg-wQa)vC*VFv(WpWYfv=JO{i=C$xl_~Ut*$OE!W zb21m1{pQAwcB?&3O?ThHI0Bsm9PhA4Ss;+EF?pAu@7P9Iwzzcp%7MKD*}!0}WqD$h z7ucrDhmEMF#FK;|<|^-v^?ItL@!8QI9JQW$&{KGf(L_zFwiCJDRq^1Q9P2qXU)G&^ z=_E?2kDAEtb|iC~RhZS|OH4r>E9c>(3@zG(5se(UYXnG~-mL!16O9+e|K+Y2&n)|E z2%Vcg4_Crt^%uDDpnm47q;aO|ncSBx_nzAhL_>6GGPR3Sj9 zuT`aU?Z)!AEzy%J{}{^2^@#-q9Cq1~DA;nqL+0iR)YWeb z%pPJ*lXw3oflKe!oJ+6SUu61dn0Cr}CA6PROSvZO7!fta#&YnF;nJB5OXaoASR;j+ zU1CdY)7N_GrNZ_?H6 zS-qex0nX&^52H0OHja@CvK71j?X$EL1flhctg!$KR&|t%%(|rYIJVWq47cu!s+2rF^?uUjflrr6dzeb7PG+v`UPjK#`0pS2kGz{z#@Q3n8 zDfi8v1c5orD|K@8q6(t0W2Abbb-0d2I&OODV`Bz5(nn9Xz0iPrl_wegt`=omKoobJ zh;p;otB_v81IUjlW=g+-RTa;dh&U&A^};lNy`=N@{OAHG;Zl|&@u5sk<2?T!ZKf6s z2A7}1q-DH>P@1BpMCM_>(!T}eg4o~!`bzUK3r(6?bNknHw#UI`I&~zI$?>>~?0EB5 zpgBk?XqSM&THG&bs>Gb~K zoC^Odxir_M|Aj4ZIH!D}ySzRUi3^mzA4?BeEyO*WIPQwzD#A^q*i$wE!m&BcQ zV20F*HivSbW~{!jS~=3MQ}U$dqnwJAlAH+3b>zp=#+S765_8+GaE%-|pDiB1TV65c z*G{iq()-gxb$V|WAKal8{lAtF!;4-m4ego@k4Gz;I#hFc7nYAqr9Q;Vq7jAM^czbg}Zz)T#MX z=q}1vvSD2Kfx*koGUsHh_G6UmPL?W90gxZoog0Sc|V8Z9@&Cb|j2j@H8CMiT|a5Ms-Lp_GYKfRutMx2e!I z_9$B^Pyy(^jii#YnVyiyW^;iEMh7-fs4KC?$tFz6Qrxwf&i;>OTVYw11y#Wq$FUpZ z@7i{H6UT(!TFx%0QlFd7O2@~~t*UthGAaZm2F%pR134r3O$&JlW2siKbHFy z3Y6IwgW0WfPXt>RDO!u;Gv(m|tM>N$j}`iTZ7&@}y5-_~e`hAlO_5yr+DdigT0UOM zP^tLw{JJ~T3_mnPTewsx{ND8?I1>-iTb67O4A_N)WuBKneJxmsaq34@rrhw5$eum-Q;<_z&;Ht)xEADb%9;vutw(O>A26S58y}D3| zYX-cjK$-h~8yF9drM@=k#9nv-uggUC{QhHf!)n9eh5K!-t*e&dDSDMpYZjhdL%e(# z8VB+;X0_GXaf#GndL2%%ev12iKGh$yE9<;4v@UYcP?92|e_M|^E2oObD4N~$A~LY_-X zPGrsP{aq!s@Vr>KtLcva%hpuhR#2B6K^yUYru=>yAiTW3W8yo~=56nZ_A@NDRtJd)fD8i|_~O?8)IN;Q6_2LMaB>J z0TFlc{8K$g)SLLnfV5tFHqITZVpT8G{0sXjnR*NGA}}4`MVFotvp=mj5kDwUnPjM4 zXwNm$h*4(!g>9a8UbOfw+aDYITy@(cG1h&S$XC;@7B-+E-#^6`R=1|+7vi@S3-4Oi zmz(M-pN?%^!eDqE@!Xigav%9bALjnvi$dY+2R2Xc#++a~RI|23*Tq(xM^q zRoX;6VW7KndhAzRFs>n1k0q}luKDplV1{(5bVmxy8v7pw)oxQcGTaj_ zD6A2oU1OywgX8eC9kWd10%w@6gi)#j_+3_!U%?4FJg^TuY5D!x&Z8}zr=Ww~dv)Qy z7XFrpa-iDCn=gr#j<7)wQ>C$V!Dgg5;{nK1|4?lcl#G@)y66c?%=fBtEae_&!S{!Q z)`j~PWsHGD2rI)_#;8OW~!DTrjgKp>1@oEbwb#_!S~hq3GsZ=qeyM$Fv8@h6Js&qrYGg$hzm;Th8w) zzu_$(=(lWjbehVK;>xa&ZDTcd9dxQ`Iab8j>|6-Ge{GBYYbGgpum&kOQ+gvc|bSjta9?aZ-fywZ!*%=7&ILa^R>&nXh z>sRMXqC5x5Qcrl5G*_*XIOSRYr=e83lv%;!v*c;s)T0nqw;^+Guz8a6n)RvXv3&Qo zzj(=2Y?a^b!VI?i4zCK3!moghq>c^Q(hIR7nIu-oOD(;u2bC!O;;tR{V%EtTjyB{cXBI~27Ip7detCf*7PQa0is)EvS3 zxw6(olnk-+?E%?I_B7px0fo>k;lcbT+01QTvi>NZs!__!qX}}nT=mTOH9!xB`twu} zS3K5hlNaJ;$R&l0m-(-6_{eJKO2!5J6{`TU@g))}jzhVpkzY>0jqq1(!)k2E&2YH- z&?LC!(+!*Lssp9ZUnS?*LQv9xu+%L1kC0;tkOohCgS*>Qd40{$py#qX!AXh$>ox4N zGd>@nth~?3GX0j5_RJ9E*Jfq4>91v9$Bz^$Q0M&xL+-&|fCAXZnrik|`5quFq(E@wdx#F_==oCj}fYRV~4xTC(%9xql71Gqz1097QHp@C6 zfk5obo+-Hx>%dncI|{G8mtBRSo1!a7!jccueg0AiulCmvz#=E|(SS4ls+G#b-pu6$ z<<*`s_B1=CFGDMcdaaA{U`u)r`vNcBWCz|3;*2$0YwWx#V5jR>arXxjW=K$|w_If$ zaun4+;x4DeYbJDJlDI4@%2K920+w7ob6!|+!UG{A=vpOf?j%AziSfrAjZgF{9$E27PsfYMe}dA|R)?D9VR^%48vkx<-(z~@V-rSiX`FDwVuejIV( z&C&}F$gE5M$*CDCvRWzLNRZWwo#?`AeaznqDWtvw^torBP4UO=Y}t6KZh$Lznr5L# zm3MG*?6Zne+ZO+Uhpi)(zjc;!^Mc!K2NJ2DZF+pqYg)0%ZI|d`sO>k&%5unqtrK7B zsed0=1~XLXnfq_CAOpDhgSt{*R`0kvCrluwdkIwaKiZq%zp=d$6 z;JZ_+DPnA@Ots(dtf*4xHsn+A(yhP{X&%B?sF(JhQU2&5eKH}sYPki2QZD{kTsVq9 zVU=;_j*$uXtHW;*|Dch>%f+On^}EFZ<4d((1BNtDwy~oLe`TUcyl!uEAjs@a6*EyK zF?Os*HWuhA9yQ^6jV1P!OuY2M{w~Ca<)vGZ!Z^(q_NqCF`kmOY+u$YlC@gkRZI$(w z2PfgIdHry&`xs>&h54%lERK6AZ z;2`%+b9Y1qyH%u*z^|y8eb+Q_@W=&wnPbQeba-L3i9#A@gbDL?JWU;Y$>j2FzBVX_ zHa~m8?T#H}h&U3ePyDLS7i;y7`7u|VpDm@x{8}Enq)-?^k`~D*>Mi9ZY2v&y!fXf4 z?8TM>F7ZOG+EC9O{RA~QRyL)QfvxY!`DK3u_@XcrzfNCWy&$(TutEW<5jZDH*Fb5s zGjBKq>Wx9dN@C9M6#7aL+X=2k*m>h-xme?yE3G9_VP19j8>T^0wnEO8WA5A8{ApxY zSV9TAJj`G(Ps)9nW3#5~K)-}q{LPU5@H@b!4ds>~=X4d3aa3-6UPV;x8u4co^?XOj z(HWIgsW?Fz*^DUYK6uCrm$nvjUu#UG|0t7zA{A$ z-iZ8G!;STg)l_YDdE*-G_ns|=+5)_(UG$vzHAoHUBJ@u??B6fX8Z=3#?GvDGU zd~nuqTR09m7MT@HdPu6F_`M{&@uZkVfAs6(7S&O!EOpiU#08dLH|z98$A09>VZqKfnu4#9D!VPVX6LB?Ps|iC?QRI$wMnF z&BENTp1twP9@~_h`cFsl zIbtOVPs!0aO(oyIpstHq3w~o^j6Nt~P1T?P(r*)P;?mybap)|qRZ%NIpVt*C;{eJ& zem%d-Y8SckeE=KW6K8V@G4aA>RL&#R2+d}=VZ!zyJuPpv&d}E;%IGogZzS3@BZo6* zo$Jak!7+AE!es;b1eUXl=S0e)+y59|Gih!-I{$2x2X8oBX8h7i+Lx$&xwHI;?VvO| z_wXM>Apf-^5ozxi3io{T^Ekia1@h>|x#EKr?_15hZBS2)U{Y6I%wgS4U5))BfU5^i6OE(GnZW5Tg-cELm176|1vL-Z4HmPcAI|;F5?wnyM^!!Rpk8X7 zsO++(N4ORZJ~^s3da`Ir`y*Y-b32pBP|1W^#AhX$r;?|QWgam>6Ec{BMNM(iXIIf~@z@O|&N#l@@gg%&SLh(4c|VuqI35?~$x zV{wwgGY54h0l__Gvv=~wMc@9B6FNa%k1P0J{mqzo#3N(1x19YZr9!+iHzMh_k8&RF zUg1@mxX)82H_T#T*3wPX{TZ-9hR$Sno8oqj3?NTI^R+(%-g-ZqGG%#kcH_eC z=yb=Ez+KqNm8HX%Jt2H&g-7o#(k*vGMpafwji_e@(rN+R8OaRRLwAf>(sHUfstkF2-=ajym~v7(mPU9644Ij_i|X`lO?H#w;ValPTMw zlh(5|cTf5cx9^ute!6eVfOc3K@jXv7@^&#?N%tU@^`N%^H~MDsjYaT^W>`3Jfa}Cpjbzf+_QgD%oe{mM$6GxOcSM$ee~e0DT%^95hwAF4rMfaA(PBioN@S_nRHr zT=gveL&h6Y1NlqOmJutb99JiTd#w0>3IN;gjCS2=HB#!t8)r|37=PY3L%gmGY5Xc} z{eUP^(P;X)UFhCd@%blLwq5N9QIN8^~>?pn1Al?5YG3u1B; zeINrBQ?OOQPTws?H}+Q++PZ1Po?;c-&UDVON9`Gm8u>D^S7?2P_y1Pi7%RP2`5w0R_t}GnMDF(~Z5ZE~a4mORI-WJp zX}W?Wp;N&E6PRd~P`IvW5>ShA@Cmv2dA+VXJ6y1pZrSt$9}~Koj9N$ND(+m4a+CXL zw+yQCTak%l{qrC9GyiC1n1hEb+dQu^2LuHs13WK}sj%NWL=NWNWzjN9w9-gZUo`&a zGEj}ultwv)n$#XCX|aEq2V)lA+Lj%FW4r~0N>~C}@8?||r>QRuy{m)l3>o(Zfc9e< zlkS`d6ak_>76O_9#fIAY(#0Vk9z89(d)s7Sn8WllW2isp1tCM+7nqG6=f{@Qv-Glg zXjc3^K*fzPe>1LvhnvW!W@BPP?S+f5Sv9+9bKFtOrTBw$u6y?hf!mrT%bSZ3J1*|8 z>-zbe;{CCq`5~Q9-ZDIbT-+~}cu1=lwKE?hjsR{$J}~uP`?>5RFng8wMOUulehTzu z1_s{Qk<$ArFsmGrV2_#(L%6|O?2I5E`RQ_x)7TGxHuR-^J@tL(UqZD<`|haZ{Uw3DpRpd6JvARz zaT@~*7h7ZPEiT&yh<7Kp8uP9UOB2$p-E6#9lx>R9o zR}8KlCeAJ!n+6q}N-aB}eUzYtq7Vp!DejFeC;4;ncbz?Jdyd9`*ucWO+o=~cZ&nw5 zHqpf2hJi>A@wCTpHA~ZXQ;{OV(MrERTy3GZrsy*XOcM>cI?Z>GjiV9hF5%tvI`tT- zY5|*MWI*pEkpvyfq`aBmB1;dx_*k5z2@8#~TjOH2<1L;ZCTN!;L8`7|VKIwY6i)5> zu`qZ#u{6K3KLf1ydp`Nrz%j+cqk7bwe-oi(nM&sViAns+(Jos%4IljwzDv0j#UAYJ zUVQb2uTpE61gWO&;ZoijNM^=!zI8uu%=C!I2os&p_didZ`Lk>e%WJlm1{zE+u?f@D zS}eX?l*?LBb1~)!_WQ`H^{H-TuM;=OzoaARPn|yL$|M7-YKY(`CZ~^ z-j^qPbri3&6BOg+gZ);9c-L%v>`!ri_AeAhY#a$oYmXu{!zh}HW3AgX&;Q_R{bs&X zff33Vl`kO)cY1d^CaiW3LZO%wS(_))Hq7n5H&+@LOoJa_=tWca1IrK&0WQFE%`i_jUfuZmXz;ofz9OtxkB8`V*yDjz4|5#2Z()Gexi#j z6gvM%;E7;?bb!Bfcz|aNyNu%$=96Tk)NST>*rmxZrvpO{y4^ix$;T?f!-hZzkJ{-3 z&kR{NllfI{m7+AS#plWY`CKGQ#a8nYnbRr^!M3-uYPey%Nd`gt<}I@(7v_02KXp9l zE%Cq?p)Y)s1%(8RLu}1wEQr;}{lWHWRkwLnUXaDxSCeBoS2(@E@hCeOJo`0r zoNs*}dz}$7^~zJiQLq060%`iD+2rD|TSH@?S%`*QYFNo~UT*RK@{0&m%^Sj(?HkSF z{?aiWFDYbqZ;daiZu-J>r<$vwJ>O82UDq-A;nG%=yS|-&nR_}d zwk1Kj_C{38D0@#1Y?Z5@r=E_3UI7NI{OL3CEYhJVy&Rb5hQE;&ggoKi*!FyD;P(i> z>@!8J6So$5CN!5UY+Lwfz>elb{{VObek8S+O(7+2+eSTpXHJ!mSv2gKVOP?9ohuQ= zcad~H&ixknKcl=SqqhQwuR;X`bS4m*VL_W*odx#N_cZD;c~ZwBz*IYi<23m`Y1Wn1 zB=>?I)XGiRAYaCF#YxQvqrstvg(AVqLI~Gd?}BsDvOKnC+j~>syoD&3HqMG)H&jN? zXIalo7)z|Icyqyci_2(=KqJ2LS*2X=wFkz`d$5n3Bc=m_Lcurp9a1gQ-FMahyAvU9 zUL@12Nj9Ork$n7ltP0;R0{&P@b0I2~Z}Q0zZK8gae^Ilr+@3x^b4=fVMEVMFjin17 zO9;2)6>$-_j*XZafV^9S6}@6{iw;h&3eDcciU*XywiH9~s@duwDjU1QIHB2gKj6|b zSKe!us_$q_73IKxY_Aa|nZ8T?95MQ9^iM@l19MB5>lh`;kUn1Zm$k5YVnv5iIG6QZ z?SEf1->mCQhZ@{;^)ho%xMyWA!_Kh(*c!%l=EA zF|Pzyo>}rX8i&muDehzuDyr#Pp%7lo0%tI=m)tO)~}dej7MfI z=v*&1#>V=mM2&VaDsk)XDVh)YY?Hv32?uK7*%qhLlgHc*SrUsc8@0QcHV^~7Fy~$EpRm6uam}h2}sQfQ3pUfGR`F*|Z&#(5FN3L``dSX=| z%o|a2An`LR#s3}h1x}1R#^x&e^7?ECD(HNNjWD{P!fQBMD#G^eMsvu8{#}#eJSSt+ zx>h$#2Nk%~jv(?W?36WJyQnRTy8OmaMnCY9i!$7!)Cd#mDHXv~{s)Q#%j1_gQ}g-EPy(wi@5ITs~HIvd9qCcdLJzD$yac$+ND} za{;F-Ks5DcxoW(H#Vd&iwrg}$gorak94wNS@r3zzi%BBZFl^+9W=)qxvozkOX3>a> zkho>cS7+MLie!ywFf17mn{Q2bj;iy^KslBgiw1_E(5-D{B`89s$*rT)w^Fs2E_>MY z*myn`Lr9r%apU@eA{i+VnRLNvDZUrMHz1{YZGc`&u6A6R}Nq6r_>FKGxEF#ZuNC}<{Z7FQ-O z4o>*`=+N(ozpk3uy#JF75w(@YswHh-mu~Y(aJPdJoCvT_n&pj5S1zhoG&SMBJ62CU z;jzy7$X=^?kwX-7Yc0w}ZCQNnTgD{lQpmh2k zr;56>d28U)3yiS%Qw`vHs1;L@E^j+I6d(`g}yrz){mvy_MJnQu8JE31jGqa zk1y``NQ_J!XxIAAgcoTY%1w((!5qd>M|;B6PGbrt&4^d<96~ zL-FWG=xE$abXGj%p`8P&R0_ATkz6waBl`mFNV2#-NGY_+8FpP z8dx+*@lv~|6Wb{_!jc#NUa3c&TJF5#nYUt8clnQ<@Y?Kg8pftesft6QDf%kDK9ud5 z@okHnH;>?1*L;W6d^Vcb9cmSWHIe4xQqM29>Q+MQyS9@Ze%Y+tNA?n0y0yx-D~}$U z#RFDPSOvPl&FP|%s%xgUnw5)RZr&P+?WR_|<8}#Q)xmO~Xe4ojMavQFnBwhWMoEJ| z;VvLjV3IGnuW5OmZ}@Lnp7;e(L^*vqC-p1eXYCbyn5VSBK^N!k(xoSV=5vP^ANlaC zFEqtee@egprsN+(QY!suCdgPsc4=cSrTel)TD!T}j>?ke&}q?+@7&nk>F{6H)Mt|q zO4rKNV<#URjD6$uMKb!x@9=HEbzHxj5ImnQQDyO<#1``bFFUNG`@HII$J}PUIQJHRza(@!a?FA6o1zC+m9>7_1~3*S>IL_VGU-wI`m_{L{V_{>K8XZKFh07 zr3dF;&DXeOuJ=~mEkhIfYH>h2`fom9V@W-~Ss3^We?eGg({p)uqB=9F=J2#cQ`7BS zperUM;+kSS^4zpJnUU?#+#Yps-QU0eHxsJrEnjNw&Ur96?6KDd-+IEakWP%tpwo%e zSqINZGxt$W-Y(1CjDBv`Te{Zv8@inXQu(DaoXauEF>nd$FJR>2oJ!3_>*93j>y|e& zupbMC6z>1Ve-?caVB;q;!NzhD=v}_;Sp1aqe~Qk-oz2E=!>yUv#EunvZ?P#M_8zrY zj3881)v6W|D@IVYN@I3tE2vq-j-Ak2)rwYIed*g!_0r<^`JR8_IgaN!?)$#3^L+g@ zLG9v-uT39|)vLd99dAjK7Tq-_`S)EKn`U0PnM55L==D2gEVH%9AuH$P*0w>^iA+K7 z{KU^wfo!GY%ipH*+`MVjG%%A^d`x$k+4g0@9{t!=SE#)^qAngOBV1eyiKEC=N~}wP zrQ91nqWFbw>VqLG7O&8Mg#mu%x>JSOhh}VmCUO{1zZ(YyZ=|R1T&PP~ zpTJo46D;g_x8|P*N*Pqj3+~-tUbpM~I4P5)jSx0-LltJ$PGsmxL^2g**A_;T+i5Ys zGz|Mb(?x2PD_@}RwF~N&?%c}5iD-4Dew9qFePi}+q>^B840TH9EGdNDgh9E3=DTl$ zd3_?++8SbnllZC{S`~TQ@;UXP_V$XiglB0JzsCf39un(k)Cq+9A$kE?PNg0>YZb4^ zn5&VwsuD;~pmcI}sGct6d!G2Ta6u1YW(!VV9+PF!sOQ zAmS9cC`=Fdy{-6v;@KC0in?V-JVJ>!1x5{jiQ`qwk861jZ?ZprB4$wt26--bM1Z_BgOKDb+_ARwAN|ld z%~MS;;tRb{OuKxtIoPM!f7R^u2*RRp0IfNcDJ#LGK>D3E?dJLr#lzoBEHnA698Kb1$eLnZ6k1Cp@*WMGSo=_~ML3~cv9PWjX z`W9Nu9Xj|Tye>H-3?g+rJ{`i}Lc(`luQzI^O=k~?aKz4cqqNsnJW?(L^!*T}>z%Bt zq&lHSMg=WG-GtU%en@_(yS?w%^2W>PQ&61CP%hWX_|qQ(2W;t0(=8j<7xV8T}p^{5t-oy?}9HuZ#vWHlHd5wPQ za1{n7J@--E7fA#MwIluNy@hKUV|<0xy)KLln6md#QK7r`R>u0Qin<4V{^wp=WDLypD?Xkk%if5aCbFI^yY zZOp#izc4tOP0ZoG2DNPuOL8^kSU8Z@ z{dDuGp6&cxEh<588+9b(2ndI^%fIQ-7&h1pDCCgQxE{$?)@n-izBe&qyBj@zfFJnZ z6@b|wUwahgqL3~mGq5>BZ?M=r^i8guGK61HWjfF0Ka0z`D<2~rzTCbn;a9$G=vZv` zb>|(J^+apILayzb)l-gk%-(u7xbm2uDF@Ci&}i>^{?A~s3lk5rX(~g4JdU^v@ysy` z5D}7TeBLxu%RyFvjDSQ#rMXk2gMKkj^d9pyO$l)iTxKUZIy4^0#Y?_@$F&+Ki$zQX zB{!r#g$6HC2WHr$ccfJd)c9$WdGMxKZ->ei!82trxGC5B46sAB-vCW>WL5IZe-Mcy zityRH2csXF7oduKVkunNO=7y4SGyd?c$a$F72w(jUsy zwCVEYd?So(ZVbAm*>F3~cR!pwzARrLa%AT8mF{dJ5vf@49(=W4ImU|z&bpscwGup= z6fgVi7cqrcN{gL_$!a9V7r!GVJH#V^)x6P4m@2EN(Cl(FFGD8r5hvY=xEgBE`=9|; zdJo{_I0fWf99n0;Tb9I?%H3{X zU=^>8jKb=B!#g#ovVQ;2!clGTVYL58UykapP;4zI5f zppGUV{&%8(D1mBX#)FS91@bn<rdX5Zy%)1H{@A8}nKQM5-W4=>$!)L0 zNc>MxymK}jEv3`b@!ubFja*(4Fmha4_!R5I`e1Cv&xVWqF-_YjiAtSjoh(w+6VH7L z7XF)rtP@qdkr8=;VU85dY8Y%1^QRf>rhjCUT3-Qn$yd6*gm2&SYsjxc-Gb*^b{VTT z{bTSCi*doH6hO8;TCgyRSD^DrBY7O-r3&UaKUoKU;C5*x*VaTHMtOxUyvd87i_=sNSedY>VVpYw zFTlI7SkClCgA-Z+Q1S7ati}SeD8cL( zoYuZEE*JnZ8$Kjd8E^8rrz8W@Uu0KsR1zh{;j`m0a)E{6-i>w;~9t1J0o7Ny+OHmvgdZZu+u`OAuK*7IUO0ri+k zEB8dz!};;Y7|1Q59@ZGiGrGfa#qPTl<8`494vos&R1eEEec|svs<@vkd?LD>D%JsZ_cahnSq!=_r8HIc zSPe?KUh0hSVQ`PU9BVQ3QOf(@!D%e$8Le*rAcyfxIGv#_r^q=UP z1$W#Ni+(tYIP=4N*Wc@`tq0W{=GaD3oi)mm_bvDPZ#hEeV7Jp6-WstVs|zqrTKMNL zsc+?%N#RE4G@hVBcY`*cbCTy8AteW0N2>W9+kT=ExQ98#%}UdcleqyoX&~Bfu?Ke1m@{HJbTKMew>y#h^fu0SJ84M# zi*pt6=_P=9vW!$&pULKx?0%68_~UZOh25MJFj3|rQM$a!5>Lh7o2me=tn2yYLc;P3 zj2y2OMg=DG+!lOKKXiS0{NP0%BQ9Xv=DDcTOXs5nw7ZX3oTrHSfDFFgTwP~C_GQ9^ znASEhz~umUT=`K!-=2WNHfkYDK(de@TEQs`;?u6N)>Fuyi>F!K0Y>jQJlF$?n;;T zg(|C`2~QiX_ezJHs5;ZSQ8`~?x@KOdK?Vui1^L$dTI3D3plbG<{^x4#aHX&izY0mv z5;;*i^59!(GFpY@eKrAvj5E7BV~4@gwE?1J?#jephLK#~t-t<7xg(SW907P)b@QKV zNQL}+>rol|rpL7Y-3lTJIkCAhs>Yv78HV|Mm|1FXd>*Qf{^9WGr5knpSki9|>v+^6 z_j2vvtj)M^??z05fyD-%0uCt@0tV%^q6wl&rhiBNcjf&rXRGphVIEza^%^n>^ddjY z-5rFg%NB;3xP`t5FM5o)Rt>8uWOuvLd1xW`eJ13IIqe@eE-YSek|mLwx2Q3a1FauY z6earwmL?ryOO4ofkWQx9RK`nFt1jULUpY!zqe_$v%^v?@WML7@{8-)QP!)wu*7Sx9 z^S+8?i@D1$ThiM^i*v|*qpq_}LX5+#%^}ncNp!r$<#TNb1IR@`pcpm20_5)I+Kqrl z#5C2r5gBD8(%njeKB>-kLgy0%B-mxbY}O%-)z(g>%~}FN7;xDQ zJ5}1jM&dnKbGDLE?<`|Fm8aaT1zji@rEJt9*9H*EHDxK~6rOf6o;aE5z|62ruCOTi z&V}j9wDh4xZeh$`(5gXF73YLKWBQGMw8ihHUc0r=hV`B{Xr}-iM0>*HhRQv1jpx0yJUqeh>e( zJm%*u?Wn6iFSKmw(lZ_dJakf@y7?hNKZ`V18C-co7TsPEekM2)$A~|;u->-J;0RV_ zxEkW<9^|-n2x-{S6QDPT%VoyPR+>L86`_XrW`-n#_}!m=|9$&2&$(ReCcWzm(z)}YWc$b^9{jcI~YOgjiA zkB$za`KOV#!HW2Ac-gnXS<-6<{6?U*4?GI>>(?fu+UB{&CppX$C-aUJsKMbvszVG~ z_P~cBW@-5kNT^egs`XzDS5x}U)ZD@10h&+h;>~@zTN{C;Mr8yMqcc2p{{ZiF3BJMuTFaYI*-yVDF(FHtihjt>3*hlY(T!Nw52 zZ?{#^LR(Sjv)5g%=`F- zIS7Mvg6+MTvv+m^U1ZbIxhr`{XN5R9yyWXimhbWp)^;5~7T#4UmQi=dFv+|_+A9vn zN9NA1m47$nVFWzJm1EJnq}2x2ml}MB<2^^r>8=_NZBLmoAcfTi@@fbIeO!hg*uT64i#G>{b%$TPht;$*ZaNn2{v1i^d1^8E*Q&`zaH9huf)DsgQ(E?*P^M)R5|Q-s@|6mvSjUgfHl8L z=07u5@K+R zOge8^L;7UJY<{bXqul2BM@W!#*eSz&`e)I+L+Pc#(5VNc{y>7(Ifm7=(_(m7D934y z(!P`a8PNWAv2*1-uaeVy`HBck9|`W&D*-4@yCCd+xss2@Y9L3`8aldH9_na>Q7aE$Yh3_e6jt$tu6b;QF-lgprrMwf&Sq=Iq9K?^u6@)Wb|}= zj8l7cWlaC?&q3`VCr3bgRafRe(b4wFgB1VcxB`9~Kp&y^lr+>S{P3?xp&GnI!>n}Y zL1HM!)aAv@P!no&Nwq0ZBg=fbhlo7O&Z}SB()-KnyGS}U#l&hoa_b4$E%U&6fYUQQ z7c$}=P74Nz@K)|RZ>MOq7uIMt38SxNKMex4rG4L(*Oay$%ddoXrsxryI6 zR0%RKOw1)5U}=p$}VGahtfS}uY;LnqY}ghPGxKU zYCDIl4;&(EZs3ONcM68rQkV3(5h{nmUH`jM_Fh;8#?B-LJ&pEr49M2hYhVdJ*K27%Vd^_PCtaC&$+r|FVbi2cECM2(e6pDYbT6~}e- zoN2sWdhh;oW?Gg=uD)RGPr-a-M#?HHekN3Vl5$>k>forQUu30CBHEYs_G6yk&7U8G z@oH?V?H9%T6?2|ld(iY&48fVsa+DxPnqz#$1j|TLlhmrPAC}j`9T^(29D%W#e8l_j z$4Y`*KY>X!1FrFCm?@8U&-V%Ui+kE0OL5Txol+I~z@t@g_&(W))O4XaTP+=A7KkLlGG^G7R5G|0#?Mi)MP}w}Q5}*p9DUaX1Vf=BqNv zA(xOBDuF`4Rk}+WnN05|?I84TMqgb?V$PWceqFldGmi01d32l)&A3<@;F$@7N9@mM zad#^ejQ=!kl!z&Mp$)-4S*2=1a_L%LJj=_KHcZpdbM6(-OvrRzvSO<24$xK}1e#0; z7x*Hg&=3rKPyNz5CouYf6JY&}XT-lc>G&*ey-AEwMElUL5}_5^^Ea^eZSIpm#&|Uo zn2eAnC11GBj8Pcm@pLsY49=+BB+tiPuCsIZW|nBlZNo|(75V=P zU7gMNEqjq{bYcDEV>2$eX6?hF9K%WSGe9xD7(T~6@{y{UBx`=Jh)rDs_ooD;lPl#r zo7>nFDS*!PzeQ{oX-AA%OQgVrf2xRC4D%%UJ z-l6Cu8-rLmHC0CN<2i! z_*7;cM1Sqwt!gDX_#HgZ)AZ&6?c3CJ2KO+`aa4QlAmf?#E!^G|(O27qk%ZL};S%g} z-I9LrqdxeVJ}oWX`gTUD!}z3;pJvXX*kE$t*HFpkkhDB)avkmQtQ7V)AZ3=JfEJu!p1jQne?2zZBNjHm+jk{f9`ndZ7RhbjcjM!OfG>5wJLbu>1+4aFQyLBbh) zAF=xXW3xM6(QhR?KA2aNodj-c$zeHER1d=}g|x-sWv0UoK3bXP8?(Kmpt^aF>zP<= zMykaCO#E>wxi1`_Mrwkw7RnM;x<#{P(M(Xeb(EyAvPK6d+%t6YeWw~W>Gq|`^XFJ# z$Q0UkHj4py+oTwgPt(c!A%NVo$U?nhEN1NFCl!=UejeyskBgb?{k1cXdS>!8a|eh59u1etpJIKI<;8h zsgdCoXhOPWoS%;_iY?|ZKONjh-ZIVzTtcO=RW$5)gss=@ITswLcdZLJL z#gXb9$3%55m-ixK=F2p=nF6(b4dt>oQViSoOJz3!m2B8$*pO-)4s{dPk6q{OKGQkb zmVN;@%b|UTCO7<(rp*cp3 zx|iYe@Vs5W+i9$@&uyZa(qB;aizSah(tF}ldDR9LEmk2R0AFg6eZ!ON*S2Xq0aK-Y zTf`X$81+kfgL{O&>koX1E#>i-+Ci<|7)bWbQNe!86R2TAgu}5^#V?Gu-Xj6a-`X~p z&SOdXFB8PBd+}kcmWL|YrXlZ&PIyAOl|m`gqz7TAHtMp=Fn!!~wM+B#=-Jz_kw~LU zFz&wBz(WFVSagnkB-E&YI7Q(fe`5?xVEJ`|wq2!=tfR(1?*+emed$D! zH2)@Om{ajbxL;Zxm8ZvcG=lG!e@UN05Bx^U%Y2lJTFQ?zvX)l%hrA0UXsGZM40j~O zgm=qplnHbQgwyf-u#1!TYwVkV&O-z~e;z5iWoj|~kp0agLm)Y(xI<7WQm$Mjk~d{O-}h?OtFZq*yFA_B-h*|Mx}mmf z!{)N>%It)^y7$`Z5SRWt66LE{VTOFpbVCM@9C##A=BkfUK#Pf`hM$3)a|fnN`Cv0Q z#pMLw7gQI)wlCPpkiBu<_z`i<6kCv>FY$af?9zFejf>@!xy!wK7BcmSKi52BtOX+t znRE~YqY&^b$v*ucB)0FqFP|!R;5ozRF%YCbf#0PMat3@Pi7;=Vw4eSA)l#Qd=(NW4 zq1<$q!VJ0*UL{6H6u3<7-8^3Dfh<<|Cz%NW-Vz56h%p)A)c*IPDEy5zQY zu8Y>JL{O0o|2tc1+>WB_=nN#_D@1cvz7S{jvf08TY%Pnj9M1h;-rQ!K@xr$SN{?oH zz%d*8gekZ41Ayu|)J;AZ8c^8kD@+U@XYgx_rq)}MMf^e4t`ppGZ%uHP&Ob-rO67y5PQPNPu<_`U*do4V zcUKY0C@c<{IiRVOF;@O+gp@~C?dz#j!Zm`>_u)Ri2-!z#Y~@ZPyYL4RK94A(;|7o% z!RoMql;c@hZrj5COL3O~*-@RKt5r^6ouQiP%Yl%jI9iLxIn#4VK}y75fxwRVo0c{3 zn(3@59k!sPKa%Zo@iEKA=GV>B#jKV4q@ERJPF1*RW%Lx*Up=w--<84Ibd`ACFkC$C z+Onb$u>w_5`$VxTJZeKi=^uYAfj z&AiI_=8~Ye{Z+d!1eVMVtOfoNq(F3%;;v&k12t8!w)?gy#JpZ)Vw+pRSZ}D%Ib4#{q_@3ia0mQJ1@Ec zY9kcp>?v8Po}O>`HI{2pTUjX$NQo8`Tz7@vW#j%(Ad_>9{dUsOJfKlLeg0XzlmA;d zDv6eowlx-^R=JKjT|tu6YGp*gz$pyExwPO8{tbhvzPg~xzlyl$2lc&~Z| z$1+=$0aUl43e`#TujWvTT;|@q>*Dtt>k8F=hq2DX^synJ=Ti3R8Q1kr)tH7OE-mq6 z;odqauv7a$x|^T>XoAkuuUfXN_%@qZTy_R5uZFc?cno9f7@KDkB0nW9lJf-*Qe>Z? zKh8D|J9E64Q-F2O)SbMJGct>94;vy z?A)@k@07^IXtnl{?}^+-D)+v64*eenvA_xt^+NmeLK6?Iq_N!vSLG(Mqy{JOUmb*o zZ!4RNEp~fW;jFitiR!CTnuH04eXjAyW5rdfvv(o5(dADSSG4f?47g56>l zWEgqyrJUR$;`$HI&956FEicDw#cAA4N<5#ZG$>aV&;-A|EX=tqOr{Jn#Bj{PNtdu1 zEEccI=9$Uzv5uxwUac+D?ybH-oqfNX@I{!#q47zFaer0Q&(Y!($kzffxO|jy(5`&; z^?+DY(#spGUkZ4FIyQ77n_#C=#^!ZZwB6hEv7FdqZ%n?>i``90_QRv&@Ek&`8xK!y zHoEe`30n}k%Rr*`atdb+alX(PW}bAsM;D7spAneO42{|i5W%WcLW%Dch_CEf^iO26+6qNqel5KQytyUIu^49-M^%zaZ6o5BGZm%Y?c(xvj zJ(k{jToWl!QvOAiZC$9#wStw%39R(B2?bgGkk&+1`Yk^-EBr}fpBPE($FNr$scmd9U}R4s{H27Ll8Q|n^F zGy@bS7zv7VCTC*VuZU&fj3gxinO1h1uLiuyNh^e&HbT{SO1;I7+q9!8c?@SjidR30 zX47=uK-glDF4yO9Yy}4eR0juTAx)F)2I1p?%dZN;dW#+(^^|lGL5TfKb{eD`@s&*# zlJbiN?b?S{wSk<>o=cNwyr>~ku&m&gQ=!gZW0Fdwu<4i4Vf~$-<1&KUp@xuYR30LL z%SV)BWuy-)X_sxuu`oIBALMvA_lb~U6N*@pwJ)n2f!hyuo4bw%u&%bZ>klZEysjzv z%yi4IDS}m-@5iKl)ufs*olIiwRqAbTxqb*! zYUb0g)&hvE&XT94bV!w&>>bN zd7Inh*7DV)PX&y%NJ=f2s6ErU^jxl#WddR~s1}ddVzVK{e@JOSiRTlsP~%6uYajgC zT{8J6>JreMK&4J;ZE92NezK;pR~Fm!0;|u+D~5S96QNz+AO&5{9n-b_t;|3LRw%b< zSIR+eP=Aem74m)=whDZgi#P%>?+`Lj;;LXI^v_Efu`(Xm`}oLkZxh#|pBj}b8Hqdy zQC!rI`9hEl-nVkg;(H+q`vboEV5du#yd;%rhB<-3F_{7A8ji}ijcIRz=^L=VIS#wy zUN9G~;sI3u*P}b?naUF{IMZ1pl#3D+h`+ayw+PfgkzgEp=ta%BGNCOHW~%eL7xVhx z9>3ggc#*3t>O0xJ%00W-U=GG%Hj1~gOIpzyeO#hSP}DT^A@zPf&W+~ycHyV*LM zOQYKx==uhg=o+2zrO=L;0wzY`mT$j_6Ll!H3QhGIl8#LdC7V0B?MK4vu-7~}ZYqSe z;^pk*2*0p}ZJ$3Cz>*R=+jlH_DB6z5?zk422s-b2YHY{}CuRe^(P#yQYaGd_N%?@E zSaKa#{Me_;tUC(fGAwc`4mY|j#4IahgQYB|Gx4hy&ks~0CJ@3Q?8u?Bn$FX+*PW9t z4;cgLDFu^E-?DSctqu6Ok~F6z9fAu-WY>pr)%vHFfgGBoU*#g*{`s|6XBqRVBgRCv z%k8fzBCU|Z7ZQwn(>rfe1>W%T{KKAfY&8OGnBGnQ9NiC@(bQ{Zm|C=t-g^8FYO^D& zT3xRFRKA6mMOiznf4!#smX1;(Wtt_^rp2X8qQ%roiSZBbIx;hbBh{>YXX23mSLzcY z=H??N=j*$Kp}rRQsHQ97BT zgyxLcRH{uFUI;Qf6fH$%L+>H{;^QEmk3fwXK-A!zkDyQYG>UD8W*n0r9L*Ia>iOL6 z&Ma?CL!Ts9HHO;x7)|cP>v^jC@F&ZK+ZW0-ih;3~tdTgqf5N&ZAJ(le>~yMV6bkHW z74m?=O!DDt=<0RRR};Hq3);)7+|OD+j(4+#Vsw+eNi7TaWR###{x^7n4i~xEdV!%W zO2g%eN>Wl%Y^8;iR6X(KhMf)HqQ zbHrFmFAG0&2(hp0Y64z=+-Y?z=W{?bQ2uJ<(g^k9oioONl<(oJN(GzbFHCTqym@B& z*UG4lyP}Xo64X{WA4zS+9T?T-MwFmZcA7!P`1!cR>WsjoIK6rsz|~3CHUU#s$ME~Yyark9wDuG+ z`UqOTpOOO?XWo8f(bBq^Dw|13?V@CT5+U762R^CP)<XB)b{}>7>(TG-h-2E~N-bx=FH>cE#`)9YQ-|wIk6UNCz9i&PZW)`~wt6{*hnUxqx6^ zj_eT)lwKrK|G4Kw+w~>O^k8l>g~625T!P%CCUTkt|E4cwqHYw#V(((LqthJ_{`E7D z!zJPOH`E^}Nord8v{h^$)ZC?}JTwlpJQOask20>BsF#xi%#G%SnM`~iiR4U3Yij!E8CdS4~^ z=(XRN0Zk?LIehvhmciGrs#S`hYH2+t6w656y-S~kQ*W8@Z_Yq#QzZtBvBSw=Kg@&Y*0GtkF?6KceK|~! z3Y*xY$wD6I;XC-f5t7A7{)rHF&uaXAzDD=tGu|*6ALYp@yhCl=I3O7xq5RGnUF)Bt z`oAl5qz0{uW7Uf7HsbZ-(k*7cCyUL@4Wo2J_QuEyB{KA5;359k)``!_k7!?S3q9ni zCop;b2L~xVQGmGNAW_vJ7PX?EmuSI=C(y4#9{j)-uK92~p;xShJLFnfPB#aIwf~M(E_sdP%9ocsbA;28Nw=&@Nk`H>{J?Rb5Um%|+VPar z%%;s#c^;~%O7qi=HPQ2+G;>2ia{ofdGsv<#H zw8dHH5`s)K99(~288{Og=KiU;74ELLQ`DH3TPC*PP{?4+r9Iy06GzgiP#-B>TRy8s=E7%7B`I8W&Rg`787{`YqFh z^MW+qs#;P{cHkaQH*@sy2j>hlQT`Vk!p;XPzvMp=cWHA$5*}P%kaE(4jJ057xLIYq zZ??~FwJgtesNd@&iAhMiDXPASu1mFsd(12!6mR*T@ut z^SLovS4b^O5|7sESmq;cvRBG7xF&h#YH)X!@lUqO^|Y?_APE4m*X@#12qw#$0A}>VK@K&TegW7uF}Fr zw%3H9C1RC8VsWPyh%g^kd3BP$+T+O3Nfwry3sW~lMrqF=+G;{EoEc|1kQ(;hByU=O z15%Q8oRaqw{1gixU_l;e#RpZ%R{;o?p>iE8+?~V8P(1iQKCz1rO(9= z2<}>slAJCr*G=m>-^?8?aJX59RIW;%oZ#G@^o2#R7E@GO8|Vpkxk34h@u z`NL&i-iBt}!JE|yQ}koCYFZ80Sy0gD=1^P z?O}#U=H@%3^GWqzI9Exg{aCGsg{W83grQ4mDJ`UCeKaH#le+7*T#>inz-JAl;HxYY z(xoqnGVn*)1t*Dm7q;&=nPN06;>%H$AY5_4(I;Jvm!A}(p&r2ETW zt*tOwTi_&oFFxV>^Yvqs0@i5&$)# z?#`nw6CULwIfoyWLDREq@4`rTl%L+r61h&U>^XmMBqupt>O6p>Jay?%ZO?LB4oUvN zH_sRe?1iHnqhj-lvy4k4)|;X(b*Ea>-f^Dx384ZO1%{%CZ%ovRNqff%Xjpi8Od;{- zSomaYDAm|?6O&d$9RmGQa!b9@D67EFz01tx#Uq^0pu($^}c7ZN!Uk9p~i5*fGlB5vkycMmF@NFqB8VEJl1Mo z=mzP=dmgMmLu6!WDEXmuBtbMxc;mNlh$a?}fjZr9vATEUa>hPERqniU%rNFw4-_`5 zW_BO3MNF)1!G11;sHZ}a%oXqLcBEOgCwB1G-XV`V zInB3&Ae)Du$w@(XWR}LsXMoDcEf~J%okcX&{$s9P!XNEaJ`tcAza#@D{%c`sxWzLe zLUz2OR*2Z$V(roOW^6^N6hyi3T08RjTbf*_LJ72v>_*a=UJ&9gK@bPn?}xaU!Wb{E zMn>_LL#9vIl*=O-pP(e1q{96K*_Z9HFGDjv6C~2JTvg{;-sV|v2`g<2Cpogq$505m z4N2N;muj0PS!9g9l#aVK`7CM)f-*5Q+S@648z!?8mrcZ^r zX2H0ophO!$Y_f=yYbQL!b-x4l z%u4Wk-Rjrz>M7TZ4qGn`Q@q4yymOrki77Y2mTg9730DR6wAnDdQ7IttyeCwwW+o~h zPB5&7R(5q(a$hQC2@~-*j!;6E+u&EMT$TghEDk!1Pou(pX2Wfu+Q?f9n`}Q`oG60o z04XgX(mB(Y8d{5v6xYF5R(WsUI_~g)mjxEi!~rBSEkGmJ+f2>sNE+5(Ood6FS7*16 z&I>y9f&EVu5f@AwmVKHU?NH&t(CK19u~<##MhnGUf}zTLrQ{|c-U3<}hjL8Lg^ly^T(V_Wr|k_QGc&M@6{=@LIVW_Zmu!M+~R^#@{njoG0gbKwST28JCMB9-HX91QB;7ul z#8U~`)`zSahoFL;0d};tiEhz$vM?Yo@z|yG#fdEbXU^68BRK2-sKdGSuxeVnt;7RV zzSWU&gyd}&bVGTZ#o&Y5V=3vLC6LfDcgVqgqf`~#4Fdb#=xV1?6^p(ymlvGLf*nFz z+il&=wNxOveMOp?z2VmMZnan?Zt~bn!x!5N%^x~q+U^Pu%?T^mdvi{cvUxruLy`-7 z4YIkj==zNG!Ox=WTz*7YaK+H15I%yrP|LGQLK|h`;Uj+EORhZ;Gx`k&U$g!>a$614 zcv!dl8uyb@({M3+^>MX%pqIJQ^)VpeS^`>7@D)rs^vUD?CRLoi?P1LiO}>Q5{$Gl^ z_AS`|0PLtZLxXO@<>`6g&Z+87j0@5~j?0zf@c<|ONZeBYoF4ezH+SjZA`8+W^dIex zn6X9t`;5ebPh)i$kT0Q@MPe5BwW_bFwo3gJ{LZ*#_pqa4DesSxB$$t-Th7>giQDLh z1*&QGt3-6tI?-vwV1hu)F()48ruY{~KF!k}#wjM09o~KMY>1p!D>UVm(~j2C7akPq z{5q*iXWwe8S$F28Gdi&2!LqGhx${!j20s#9FUbwNo}g;tX0^{ujMc!h^_d{1a*a6Z z&~cFja&(AuvW=81BPJ?>zF<^1PUcJXfb103RXsvlc(kagJk*N*IIp&W-ic z$h+R3{;tG3>NyRt0(U%1}up7cI zGE-!kay?fGLG}vATCkfgZlrZlW)}6;hy}P2+JrILz(n{YPz3k*1F>hhH)bR@40za9 zT_|~NL(_{QBFGAPE|86rVF*o!k=u2)HO`9HV({1X%f$D}beVR0Z}oA7y56KFki1~P z*M$Bv9l<$|YMy%Q;D`SbPij^ecl|MvF|OI2!5Lx=UnFoDceuLcC_)}QM9G!8IJ0B+ z_sV~z%uLueK2f87kd`2hlbKdlopOKp-A8y%g)61@ggD2aCm+>jb8OP0nM@3;{D2#zt->1;#AN;{buOFo)! zwcBTB+m4%m75orWs>)~XAS;syT39(1eV#BO#j?*B}`ZyYb@^I>zEId07PFlNqVW6mU!Q(|*IM3RqF z2h1FYIiJFiQi>r_XwGwrr8I{^rc|ntM5*uBKXCu_emw5`eO=Gj^M&@rdwgfS1_z#; zoZE}Nys4f4qLG-L4asI1wDX4(lj_s13e-_H_E9NVjitPLkksR_2#*&etuHhdK>FY5 z^`-t>2dL?FdqUiWQIGDAlNA|?QNHFPo@vjK?wf)` z$6?#8LW+kDc$!?kugh3wo-wdf?J*-k=v^CT{O;P?JRv$Nodxt%`5ZfqX5RLk!2~mWs*_V^!e>o|NL&L zd%w1zJaf`1EUkcETaUjttAwu;ya9>E9KivstYe9h^T&xQPia~E?ECi)F#RnVe)S?X z6deVh2)9e5#+=Sfgf)NkV@l*ad_a)D33f$7YDusqq@iomKQoOP5$3Zyu08^ppjFns z%FD`lQ{~taey6iqYI*!frs+4Li*sm!U}^Mj{vfR;=<$XmV+j2k?6BToZ>%O=`%Dhz zrk3ti3`ZbNzVMs3Mux>Q2{8i0rdM~*mg^^1JAP1;`Q8eW)%zls8QLYap);~Xh!;Dn z@K(woJ<(?~E%v&!a-TGocU0+E?l#X=yt8vZFfxC~z-n}}jw2;#xTalQ|04v+?z zkv(bBph^=g%uHnTeb}Slv1XO#T@d;QrvcDmqFnjtRvz_TTqq?e?B#OnysCkfD_^h>{J~U@lL={by2d)A3Z>^4e`1t`r#XNRuP@pN-^|3g#gGRGoUWj6|QpHfn zVaaYMd+rwat3|)x-1zd90lfDcTAr~~!{quZ@|(a-W5e{Sm1GH8WYnsDL8i+_VPNaJ zRN5i$)#LelJ5lJzuAnenG^&U?Q*C_MI&Q^4Scgnj#X1qJ)~_lJy|I;3Vk>qDpqkD0y3m zeK`SYT?M&IuWncCaf8Pvfw zfprNAk57K3L}7@(F#j0R;5{L3w7>MlW9ll3^{GeEZc|-e{Fr>t>A*%@a4S*8@lYy7 zHZ<+pU!LDpScNdioKp65`qKJ4m}{0C2s0C=lp+dN*=8ubQ`}a*2QiS;9F_N&=*Jl> z5rY_AVKVjTVz9BL)z6^(@NKMI*~&@S;;BLMH`TKh>Nb;K-YWWF!{hAXjp}sT;s&Gi z^CIU+Qz$tHsPc!S#$B1sTr8N|yW2Wi3os&{EWimvU^BQVfe4{j*ER^qx}8N$VkG zwM#>FSBrh6n-N=s99I@>GxJIwVFiH}qS4Ry0k)krNn6SZ@Wbf@*izajb?WcgBC&x9YCh5j+6(b|;! z@7Al5%Yk{&1NM?afgTfip<=eTa>$1ZN^YiST_wA__ZJ8mzZa6pvD- zb8Yun#*nQO<&I781*#wXmap?Uh4KVzADAS=E)!A`!MPk9-2;K)D&)DLo7>pWof6D* z{Nt`Cu0M`tou$im|5RG6zvu;Z#CdO;@#ukty`<$t-9I`Lt-k!mU3UYWv{Mq)zhGQ) zMZBG@TSX`Oj7SOpxlS(2bxaJ};F%uoF>F6^NZh32K-sNB>kA2bM{F(%wczbu+_G-o zzRhtDEqvm?kvq*B#L%WVo(o~sO0^l$vpN|ZUF*LDtMxbzQ^n#68i_z2)A&^KWZ)Bt zi+n3>^UWMD&fmXm^~dsuwXO9qdM49);vW_R`?#&IoSB~y=b~tcYWlY7S-3j0dC#N& z15`cqS@Ah*6agYiTWSkS>rt})6qXu#{CxMQQD*8d38nvWiiSJST;y6hmda1no_MRq zp$2SsWz*NkxRQN#_5Jsy#!@^5OVjQRORX!L>1+FFF$%Z{9EN~GcHJO+wQATPP>v@^ zH^W*UIz_Mwa=PDySJSq;jjaaBab}|Qma=}tbQu@Z6weh%o!De{;0s=u|^iL^m%VMDBj zHVnC_ei5C$Byckz-<@NKZFXLzD$PQaI6fq?^~?Hm<8jd46C)7Rb*TTuSON{+KF{Y6 z`}&)V!c(!sMnyzWylL2t(_D=($3ht(G#__a>#tC8R0Fw3WZlcTqH&r;wo8zfSKm-z zTqMJu>bE!@$u|=YLp}+1aH`g(=b2Sq&B?ujYPr@Vp^3vCIRrLpYOJ^9%RrJxjBn3` zBK?Q&CJxxwR(|N2C&)=y`)&xujau{@Q0`5EFNB`n-g>GZP7HZyt<{oKp>>eCSR9`7 zp`yq8%YlB2%Ww6$F|G}_LZd-TMfmMm%4HwE9?9khg4QTK<_dgAB>lr}m*-`tk%tjw?9{oH04J&Qix5`#BTc02w}!sJpb=W)iis z>rn>=)K`Eg*X@#8zCTjK4>^}G^Zw%KuVf}QhO{6fwm6Kv3c-$fOR_VIk|oX)K0Of~ zn6Mv7*%^V+IDNNI!}P$E)P z+G%0T>cq z;Y3%m$&X>9QSE}BZ~>nV*|I%t!FR>r_PR|FOZ+BQe=gsf^tfJX!(c*{-Ht2wwOMu& z+8`wX!qZFaJHm`AAtBM7qMFzsm-M`x!l06-M9HDanWLEsH$RS#SK(#_@wSdjTIG5r zCSo$H%F^;7)!6I;R_4YdJ~RbwY(12s0-3fB%}LOcH3s%2$|&(snvU$nkFUrpz4Y*y zK2&FObk3XXcyT+g%**svMDDk2INBu3c=?Y+;^dWMN**o6Hsn%V)TE7GKOih*yxl6M zkfWr0pR#;3NB*nd4Zc(UVnQeLP}%F1EU(~E0=~Ivt!TW%k_){!nz2mo_Rmzd!Atdmu6Lm#DlE18c${1ibB}rx~iP#1Ru=FxAQNx|@l6#drAs92-qi1J+bvXITTh)UDrIVq%O^yPF{yvZ*=5 z01a9~ldwGGyoX)nL_lYgy($gPU(~yb7>mlIXs%m@-H-LrSF7TSzq028D5z)iMkHz@ z1IB#BAA5Du$<12Ax0dvKvl2YbT@^eE1C^1T4s&)9JNwX+htR(+tTeyP0|8`lMz7E= zuOvGNW#{S9EV0KqICCfjq>WslzgmOpd^(&h+-X=GTmOl2tp!d#uHK^ey}VIbW!!V5 z48P+fN9gCh!zQkFCpIK92^Aq}&g&lj5%)=1!X};3{#4ymBIm%5o=c%lZV#04yrI`2 zlpQM7rAO%JCCuWsZ5u|*h2Nr_&Q})M>_L$^H3`VpR_Wm6ho4ji>7F*JXqU<w_ja|3iC1lc7@m;g>Mmo|o2&qO-B^!k zua6>GKagnA8O*WZR$(BbM-y%vp2N22X0>2pj-u=ouenn>mYe~iPu&1&-?rkkYv{Pre$YF3(N;u>{e>mt*`+>u5rbn|)@r!8BQ2td-7hVPC`$UqU`C|ieT z()dS3I5s!6_dx}=QCj2$1mlGxCcmAZ4QHF?n+W2~uQ|}vs%q*at+!GTLDvC$b~_0% zEZ-M>>Lt>9h7BEMhf31-Y+cFUaaTqfZgOS&jJ{9=niZ-`^|i)YKm$mRU@zSQA8-Vkam$zX3Ru zEoH-&B1q#hM?YSZ&Fc~J=l11Py&e7OyaY{ko@Bx9Oe^Pz<_B_f(t0eDWrJM1R5l#- z!kCTHv*c?;t5cLDrJm|^US3aRx3uptq|MBsDlvu&P@|CVXmNI?jI5t$gRka|U5G=4 z(w5%mL2`HlM%^9@qaFUn9E*3~t=OraN_fs$rcv$mJaV;^roqgZhBivW=VMdkL?*pE zUWRbC#E;(lZ8RfWqupphGvN(t*XBY>Wke2dqT{pd#-!}9kIylaf9Lm_x$PxkUpC2? zdJD#t+cXx#_rdaVqtlnU`O-BNOD4?}xUwV0NtW<48z%#8v+wA$j? z7jq2O<@L}W8Tq-+uzyU7qGb%TF7lJrb1LLiW6|*&8$VO;7(chnSZXV}{;>0diTHrz zP@A}tVYX&ljWDDz)=Q7I$$C-(o*!tvB)D!HTpH%A9v1OYAUYS$#Trp4>#Tg~c%XVD z5@8c12GS{J9QRD2Ugk#Z1l%MDdb7f}WVG3*3o=Cv6h%egh>ZD;>G^817{*X(bbfQ1 zbN$!w8@~EUt1s!C#%eQ$@&IUbZ#mzMEg6uq<|ACA)-O1=A?F?Su8I`;@vLQ7)k?!$!jgAAeokr?Ut{{(m!wtJ6&0h4 z#^1(}&fZ_j^!h7^MD7q6=)+GZVG|FVa|6ZEmgy!I0+cI?>JnknH}|Noy#7iQUx?wS4+YqfTkvf5aqOw)$$t~=5RfU&G(*fC4$SMV?XCQ8}rrwOj4ER9! z1LzGSR5;fA=<~%-zXf$Gh7vl?myaeCmDP%VVYjr3u0#>{?OcPPqAi(GQ4XL~Ugz8- z7htiW^YCPKisG#Jm>DEGJ?XY2&Um9oF^7p8mX0o&P?%a!dy~hpDPar{+;P(m&9Aph z`BY&+l&0&TTkB_@Z%*C(djrV(p6P-e03681*fa&+4<8avMmz&333WFVjW*KWr5a0k zH2ux5LF1@XpRsX)T?h-0%;2$eXsl;#7DfYW&+sMYqC_@~%L$ zfL}b!<$Rm*`k=Eu2#|qHAl9r$4_S=wrk$gETsg`5N~>-fKcpAmM<*43s_$P=KL3}w zaa~BUp-WNgUw&Cd(?iRWt$cA_t!FMydz~abQ}Y6PD!=TMmUD_?jg+Dwul-kQV~ktl zC!i(Vl-$24x(K|V4*NIVbvO(O2k zuNFxnC$`&Y_AL&>>y^JJ*#^09%qrY^m-qHXFAlg@#X-woKLh}WE0hJE3%xWebpbI$ z-~wt@t2jxWr8~#zO-C5P6F%+o9HZvn(E3Z{?DevaWGu{<_F|2`40=r?Y4(dm)1TGa z@cm|KGyY|{9_LCl6xT^Twmi+FEoEpPfOY#MFB4`sa-gU8hQz`+4kubvWg!Bx$5|X*V@6C)-Cim<_HJsRI6%G>AMS=w{Cl(EYtX*hebbz z{!Kh-RPnoUp+c~_M!53FPA%vC%SHdj>y`glrtL&Z0UdGadLaThHCi3!0^~8xX;4vv zhuRiDuBYM;T?fG}1_6p+AiIKaw;nUN`{d5`{sd@50RFZl-{jK!Vu_8u`X*BcilEX% zv%jL>Zp>?}u^cY-h*r98iHXr3gs+d=zDnZ%nHxD3L=uO5T0Mlu?)~r7tDb>tz}}0r z`vpGADf>y-EUCKjCn?5vB?At1+H!x+e`mF^$hSre z2WL}9I<)%@H-yRjN+A{`DGW#hCcvnzSw9z$T4oy-)X!zySSZe)^(yB5SX012rd3D# zK_F0CBJ!9&Y^4#qXlAUL!>1(;nCwwV%-VtXj|+S>II!d`3+G+BgQ)Su~OCqqz-$Wcq4cm zR54ZwzRO`YZ6X4Em?-sMLqz%I{II6o4FbbTPl@!CSt;kWU^kS<69llL_QU)6Q9+S% zmZUTXnRO>S6*q-WZASx&(Scjkb;0Am@TxuC|3=6;zlQn`2T@*7N?^dHj>?c9jj)Tv zqlTW@IbQMHi~j_yrbW7Rr=|sNZ<6^_)L`I^5npGfG68;AJ#t1(?&CU zW*^GgnKH3~wC@*$-D3rWQmGf@66!NBx_h{7STsG$v&RQ&ryMgm2Bd_ z?CydV)Pz*`k8<@vu11No4YR1+apiJz#jnQ9YF)#4<%+xPJ{`ZBWO86Cqrn+yWCsHC zj^BxQMTX7^-Da+_=S#E_O{+=|U~ah*Pzw-4*pf`Hocjfm^Q=Z%}Dp#Vx{C7UzVnDo3}i*QMBM_!oF55Q7yMQ_(DG2F%p(pxKyZcr6X`n zCpLHF0MIIvdX8w$gX;to2NUBpV-3@X z=B-!C@ieB(VRnr21bR-{;rt;mviQ!EAxy=GMM}xR_G`2Pc0E>G=r7n?&Rf4;ETUWU z=%pA=C6ff1ZAM{=$`AQ9;JZL2!`w5KE#^x zU{L_x39?_wN57OO&-lhz7iV&McUr8>o`&j*a_Nt&g)Y>K{U9J7iMn~&B5+Pb~ zLTRn#jgkuG z&JQ_tJyc_+30-9fIyy4BZOX7;=;}D^zZpFY`A&LBx>oG`F@j zfu)X^;`;}BFC-YZgXD_OPtqQ(SCTdPA;iR>h#WZBb4j7VJsRfduj)Dp5YHVGh%2*- zV7f|9<;DEg{~;Xh=aO1~%7DC%C1YOTTOaQ5sWh@td2&2$aNZ?VR&~Zc8LbU4;M)YY|BSLd0x{z@wgNsd+ z*2K33cZB?wRv^1;W?+XdJKD%+tGh?7H9Z*0N!8kvz^7MIC!Pk)W!2eUfWFql3CV(a zQPK-K=r>)e4pv!i{5Nyv|95Keq@&DohO`}|2Rl`jWoYNLGZqUrBu`#n$M5O5b9~E@ z@_UiW=aLYCS3YL{B=T-6O7B3m-$c`18j*Qxwz!yBuGJ*3nxt%XX9VW2Ozkav%w-x) zKije&nA=V+p^k*vyZzXRg#OA%kswP=OAH@&Q~%)(wi7JOSV1;}V}uvV8>9+s4IRZw|`WJyoCmB;nw zK_5SSg9$C{w7i7!G}9N38h(@3FSYQOXuxu}B7){kPrRJ2EZZ--MByvCOR1Z~m#czC zk~|Nt*AD28+1V7M~<-lE5;;^9|bLMV(Q(z@6}+D!!s6 zU7JR2>xYm&87Vx5G)LedN2Oc|6ka)gotl=g7f!x7o}Za6V9kPaXcaW2xxtiD6onyz zN@_!Wo_%6&Ot-edafLn198{$!tOUbbU>%nt7w0BGO~SCVg>XHU6C`2qI-=pKRkhiS z;vF|?yWItHki#hH!zH>1WFbW6KIoiG%X!$It52gS?@b8VeJHk4oIO0uJ2A6pL$7=^RXw0_`~NyVCE912w&13WJKjJ-c`&?S9~fn$m-g zBxxgW!xoTJz!!yGJ2CL0F7A7}8A`Sv6oC;31h1F_)D{Y>HW7HXfK}%_kSyARzn?7) z$(+$s(y@^gi{e}cW@zYA+nYjnO;En*JFAbg@6Dx&U}BLcXf=jM)*%jmH8oslJgZ!> zTMlk(#}rNxG}T1s3+ibA6m>@(@Wd<2((8Ie$b7U7{3_{hjrg40{78rH4oC#VR!C_(=eb>Eh74y~4<#U@(NT>s?fC{4 zv6f$O4!ft2%VV=16qnYP~1p3Vs3pjceH(@s7!c*?W zMjFmMz!`$KRE+K%EP5(ngWe@dR+LANuzc@?Vo;O%3dSDqj}T6M<2U(eA0l^j&=SYK z9NehoZIiOzx^cX;Zos00KmI1vd80{)+gYerk{0p`c$e(CX!izDMGutf6Hk#5-!WRe z7oRFn(ni)tnOHI4ngTCNd@61| zvZ)`8gh6SGE@1`K60xYq5}m~9R9hvkAcd(*oFP1+McJXbJOKxJ&b?-|i$*w9tCFwy zU*|4-cFJ{Pm@)e)wPZhpet{TbR1}uzYxy~!)m<$y#n%hcvrp`&wD#G2VWvKSR+C8j z`)(83{Q7l8`wa_@P*_8uJw&xMqM~yIvXMoqd9N*?h>LwtW=A+)hSf&tHC!vG{@ccw z1C#AGY3#cX|9k~NU#{@EQEu@?*i>8#@rI*=T$N~3m}bta=M#0&hIDz&&N{7FiSrnp zQz9{Ci5-l)X*_gOaK`3l!>C28-qt@9_e-wd7PCU99|>P)#p91H1uqPh(Bcc&Ox)h5 z=0@)uf0o|8;0@~?5Nq~}cRMESKAkX@$m{;gpVws?Hf&WbS-ktWM1WBA)1t`Ls5Izr zHQ$BHgJ6(MI+RIbxF7GBtWQRR`GvGP@YV zP^U(Q*r5oj*-xmHNmW5#EwR!U!W8>Yq&*j4!SM#vZ!NY>Tp{?;ZNv3aR*|mD=pyZF z2{tF+O5h*fbxP%Pl4GRXi|4=o{^O6Pbqk%~>q&9iVTywBVT3Zq!2>ou2i`51ie9JU zOQr+E!9a6EsG*Qe-vvn48oG?`%{#MMoX@k#<8#s#^- zTI}px+5br2dtW8txI`mOh1jXyiCu6E3)vT#>I`nYX`l0i^XtVY_W9o)2xCws`$i+u zps}4^U~FSTR8b=Sz^g=;W>7pGgL=jtiE7DS$~4uTfhzVy&z|Fcgn1>N%DX)a)4SaX z`Qenw`(tNm(nTw0F;%EQG$9%Qc;`$=cOC#srnz;@RzU7=(nVf*r%3tE7h{$=tVKt# z4>hZ5i?-4a%!eS>lQHSrLpwL@@jX4F_aBW%(02l@B?0u)eK_j8`$V?mg3xv1yK*0% zf@|yNt*L_#d}UVa^Yu(ZKF|xsw-gp*9TbYZgBaRbbPzl|%+8jQs~8~hVEfWH9RjYH zf>DyQWy48+#BugB>DZmB^zg!`*_rmVT%@x1y3K6-6>FAfzIr*w&9M2;XkzQxYX7zD zQ^~tD+Ad>P8DP0o**jFgvaxxn?kDsg_!Y!YVjR*7^M)7mk5ciCNUL+q-vPz$a}eX{ zC9`6~?T}>h>B*?HXOI;cBgRKgO@4E}Eaw@aubr*vg9!a#u2Vo>qKO|79^Y{L!tTa_ zz+dj{S2O3@DaGTn2%ml_gn?*4<(Ph<)=P1)7?q|%qUh1vN}%_q85L|FsV^Sp33Eet zO&`yXzU8`c-uvpCjZ2#SXUD4m5fVb)7F_3>u(C)~q4I14Qb&F>OG)m;!UONT_c?JJ z^}xOW=3lD8vz>7^zrM32YcvLlj0dkIDT$S3Sg)cP#bK_-%0|-O5ZIv${1_s!qFlzb zS4Zmg0wrioS46rnr{5eH%>U8ZTZ}xExat<lW^u;2Gy5kDFp{b;IBmuE2BKt=DqKY2(-nHsVPpJ{u%b7%X%+xA}yLiK78xjYl0UR@L2=qD^F5Pv;?zEwn_J1DYA z3yQv6$b3*z=ESsj0h;hfT>M7>r2&-v0KQD;HjpX(ZuZ}-(!fcJXlCljLEsgf-e&5$ z=Q}ge+QVcj<-LcZnOR}(!Nq^Zfc^!6RX+-P{e#beA*E1V;l90DP+anmuCM^p01bam zA~a;P+dmPtr+=Ti9N5jpf1vbWrR3Y$0NgX_&m?D0I_rMTAGy+|%C#ML?vo*Ay2xp|> z8`rB^g=G?TAz|jiE_`5?hJFB()_M7_pljejJ=?8(_gl>TN`-~tlNNdocuo81oTf9H z9zhCwuGpcAEVYRwxS$GSxGA9<-sf|G8~S_tbMw}He4BIWef@cwicOu%od+ea?Q)x$ z6u&z=3n|}ml`6N_sKxg;uM0;XSLBe@dU5zn$KGQ_|M9CH3*Mjj9t%^a|28hnJ+Kp> zywo_B#qHIO2lVmapNeGK$ZUfmY#|}^g0K_2WJJ|*QV&F>!JJSHZ}1f1xD~QE97Z!QJQDYy2x7|H;n277js- zz9CoB11?LQNRrqwGw_ojSi!8ZT2brY=7`SjP|mmbse-eVL3@D$q;~mDej6|>OQ>YF zJ;MQzW;=5JKXTm!?myri>SjjuiY>1@;xatl9{z{Qf7BioHvO0sZtWxeHp?Pbxz^Ie zu=8T4X}_Rbi`-Oh805xi@(+Fk?vDXgw=$nMFjs=CZP}fP<=I(=O-h=H=Ttx6MRM$! z6N|>?_bJL)oNq~Xt{*YDs1ykf8g4yham7H5;{tqnlG zG48*}h&_vj%-o+smp&ub&Jdzs0gDR3>!Ocd{^R)dkA3B@Y}9Y5tBJoC=BM9e3V*B( z`zfzV{$1#6W*XD6Gw_RK>j@K8_y@R%I#NX@hGXM7yu!7 zJx!9S(AmP+i!w-ObDF8#(*ZN#$da!)+U;lN^i|xefs%ew;;Ga!>7luQ0;rIeQCI%; zJ3H+mSpz|g@SJsBz)#7W1+w8~0>u*bv=_f6+$G6(my^v;vo&u&A0!%VL{N%WKds8N zFA1NM6$$_94q9()7yGTROMSV!VGyE7u<=JSx~;vHA7Knd9x8O}B5cY9+%f9aCrI>o zVTr(b7E-E=Xw|Q4e}l_j(7|qBo?G1nflI0tJ?G}twn@TwUKqt_f{(~33A>cyE8-l# zE;t0WU}I@}S$Gvpo}8_GW{gy0>gb~tnEv$p+?m^YW)dS2s=2>x-8I&~0SgQGXk(M$ zF`d|tExO9Z-nu}hNu zKnKDch3q*@@^9Mgas`vG`q3T!99)QO;@~A0-dZv9^gu#!y(Gri!8n^PXeckimPd5tm z=g^S!Ltz^W(w5Kse^qHQ*SvloJe6u#kAz?i>Euh$ez}I>>lQO#h5h)?G;GTTcHgvR zZ~E2jX?=NpmH6qm-p4%cE+f$T9{8E@;QSZaU9OPV)}Q;ND$^Q1kaRjIHQ@bomq~+e z=#5zORhRLl+Yo?a z)rMdA${PRW>#5*evSm#$ZU$EONgLsM#*Y+7ioTbuui|gtS76hXWaKm(T*e4Za?h=Y zmuENW9;BK9cyV=tVo8aZAzulmmf8%SE{Zbdy(Bgr%(u_;+-XC|Mo&Jx_ZmcCEm;aQ zt^r1qjMU-&UU`_J^^OV3;q2KIQ?($pFy3B!I>gpBG?CAjO~zwCR_a`oM;u@OUVR|(35D+6B5N3_89L6gk2mv^TWIvESf~Sm3QxT+T!sxYS(`}1M4fz z>hR;;$UZazG!26@_=S2cD-CaQI*)F$36*0)g}W3Cig~k*e=`XBxSMPf?T9WLc2FeV zg622Q0R;c$J0-K1cCKawES1bj$VIZX$yK^PfflK4(95J`yrOG{@bJsK4~(!oL1PCR z=P!{T>yCHZG|WGhYGDk3NIfq`6!C)?nqLYUHM!F#T|M=~nm^SBch^!`F~{F2ss zDo0Q{rnQ7RmaEcdVdVQhPve;PJ9+D#3OcQzlc!Ja)tjGN%|rwDjoh)gqxzDCkND<^ z3#nWm-Wk(LdbPRZ79*QnbrZ~L#1g1pMQnFKT>57C?TRMjp>}NKoo(}LktCg>f11wQ z{{bHy0dEW$VhwJ^QdD%$SR$t$KMb16;WmLKjLZl1kvP@=LOE|}WGD$pR= zQ)>UKM5tbrn-*z00;v&_>CX}aO_1^# zx?2V0{;A?D4zY>N7RPdnF_k~V&4>6teayinH+xsBoyqNLP~l{8dPpqv zf~o4mae?vq{F$ib3l`FU8@o31vrC-83gauHd&puyCHz%2wl-PfzA43rhI1+P@rQW6 z1*wd9TQqT`it+|0WxTa$20UA89~9_tOv}#+?A2BAP7g#Pl=|cdStfo}T14qxnV?>a z1nUuPmBQIKQmTMi^VJ9sd{a)KOlKEMEZ1bAm@HAksHAJExs=EkH!=JR_| z!k95O3$~{8^zZCRVo{YFB6ozF1MYGe@iuQQg=Rz@s~yM+@tyONiQnkShVa8)(aX=3 z?YU$C55@D+`h%Gcgt~x6xNbSf7rr8d&*NT}kA)U(Hl72bf@Zo&|6g~rF*quHc8Xm+1OWj}AoYN$`T>-=;c>3TfC7@22$~E54HVyl+f4*b#%t8R8j{kJn^>_EyLXFn_pEz~y z?aTryA^iMiIpe2q2`)B?==&@$DE|3x^vojH>-w9LtLXVwth~|s1e+d-Qdf}~CtjF68-9`5n zesj8vTrHzmUDyB_*vSpj7RYZ}%V7ZJoQ@xIZP8B!YXXVwrw5t^BJ@7Q@q(eXRrW2OtfWQtWgU>d;7T5(wSa&v+(PoLA>O zy5Homuk_>#`G*R`?;kI}Klk%&a&IGfb4#SqGY0=|D#cw(v4m}*pZ`XN+ZMkRj5FHWd zrPwzpuR^T7;4ruugNfvZ>=2Z5(Kw)A)c;O3WS!X2m!I$8Qz+zb(gN>=UNdGrv)%^1 zCM{0J#mavlRWf$r@W}M{gMZ$gFGvmOOW!5(1{YUb=V)j33w8(YP@3QBC1Mfh`fZx3 zg0F+$P`?H|MtumYG@_^uT1SSsk69JTV5>Q!fQ4xLw9=2*=ztGG?)RPCL0Yl)WgM;V z#YERKVh^B%ao#bYO=i`87JUaYLbiV;^2jY0Com-!3f1{qhy;NEBiQ^Gf!8455mIqxo~JPV)T@){Dnj$NtiRU2Of%ig&ms}DM%A5pl+Uv{mQm)_w?nwVaoQJY&v_STxF{`o{xY~G?N13u4(38C$2tDTWnL@ zR$@M({y?=|2U0&*ggh_sx>HlA>BhBX`+yv<7nr6xB&0oMgURv=3#rG|VP*xw*Q=EH zWr=b#hPGYOV+Q4BvQi-N7NbtX7&3nEx?p|73QnP|8ZT3LiBPX&cIAJkID1;9a4CE1 z(EFEMclaJpz7)=Rj-V4!LoS!&)EW#;ud53rw|*iQ>h`EOT+jBv ze>(f4`!X;IbgRV!{h22@3$K{*oJ!Zrs&0WvhfM`UsU9HfIia@qCS*$&omnY0iNI)U z4?{fcEjQ0in^9Q_zW{S3-(2y4yUR}P&-)d#-d1*w6YQEq<0WcFeoL}F*p%w9I4_<4 zMmJ#7zcS;Y%1m_6N{Wy*3h-s63;zJjG zon%dz>R6i~v(HG~SHfIo$EpS3WeN5e;!B@@$EQM?B5M|MrMe}t4nfH#yhx?iw!-uj zu-z-?(x^6~SyA~Wc4OthtywJ*6srtm$-Nf~pd2a}jRf;5p2xryc5aYwn}m{zUxK53 zsa-oMijK{<&3KjIPMJJA5oAyQp%2_k?<3Trng5aP9!%3}tyTaB(a%X;Dq-PqN`0~3 z^k6$b2?tKK6W4O|YvNun`1>m-kszRHUA`!H5{<4`Fld*NMKBNYCTOq$GaK87yOff} zd_-89t!G`04I<5m0<}FCG;_v$%(uutz+q~-?n$8Ns*(exySRI+AN=B?+UB+9fBFZLrrJ4Tu4UKhst9OLb63Yx%fZlJ3<8vP>*u4M; z%4H;s5is23`268-RlD#)zB)0_C0fyCa`xyrG7?)FnrbeVB&`UVl#g{@k7wG$qmnFa z$dcG-QqMCP0-ElsiMzEz63)VRnCA&h=8%d^^}}3s*NNMX7L(Uce7_2#ETtn>1hh~m z6e42XlwvG%!k9;Ir0RGh5bGItGc=)=Bs6W@;C?(J+;+jo=AuaFl%RkkaEjSdnVs}6 zDk`X++4MtxHex(o;>kK=fVh^XoX(iR49+A2tEBH~c5B>2d-%fw{b&-(~5oeik+_$GkSm;MZyBY^}yo%1^R>)v|SNsTh zm)Wb%%*-gV9jz!RIII@=QOLyNEYJduu~ki!X30@Ch4C}()kij6{ z;FS&kIa^A}&|Q!X!Qg74p|labgE2~&D)j=JTw*KWi)5P|n#gbGo_Dkhq(cS{dd~mwnf9_Iw;2?gwUMB zsufmsWTVn|@_0r1SQ*t1E2yiZMf42q>W#wbrK^q@O)1XO3mcom)B8q?T)hBlPw=+8 zqkUQsk^4VOj7IodghP#EH)#+bUqPF1kt?J|(iiVoys-pIDtqb{bZ$2_t$9P~)w}R2JbEvqeRQyIuh!501 zvmAVPT?2rQUvb@b!|f63<}yw%B+9j}N0SHmx_(T9hYE$KH`aZ zCRwj8aoT9eS3BqFG)Z}~M5GFdgtUz<=pO7OQ|X#j>BC_KU!`h!J>@2cMe?*lp*zJ~ zV^%Y{I-by1cP86r8(NIzcsj}-d}KxZury56L?BJ&g@lI3quTK2kg6Bzx!4x!3NrSkRVqb^_X>~korj+5Pu+pIhKJh?!}}vh3CcM7M&&5^PRIQ zC&oDzxyA30kfZgq;>mPCbR*Bx6Muf#!C0BrA8hPH^Ppu?n4-34mVkz@^~j)p&O7a}H+N{=I{SuNtGeP=+rPZiG_}m7`9@{& zk3_0cB~R>}>1lgpduON_E*T1v!aX_rnpb5HiPhV;@~nKs-a}`+&c6hCh)<)uQu5#| z6w5udz6%Anb%kX*14qv1M1x$fgMRb4JFZ{Tkg4+bh@DKw2|ltmFNMl-{qK~X%GS$@ z|DB5c$HS~yB@bG?F}p8QDbbJUKC?M8N&X|Zp)ttGyI!osD(bG27E7{7Na0`1It@3^ zcI=G)Bhy^;UGe5B8?L><>PdrzraTr_CE}oMO+@_7ulLdf{$x{pYV&vqW??6BiF^+w zL&3Pn;gwp7+KfLd*P8Un>GTVB;RsQS@H9}{y(iByxgI&pXhB|je9ez}8g;ZTLPcgN zr6tzH+jl#`T;^{D6g^aAuw!#aMsPJ&-H$>>tz*bq;^#%RRAJ_p zR`z`Pbz}|1cOU^1NJRxSMgkhWu|cRB*~JI=ADI)Ov$}ATM4SADz97T^A55eh&zb0@ z6erNAS%*3scjG%N9O~?Gh_x;oT>Fp?4lkA)(o+37SYYE&NOI$}807aK|IO$|D)$v| z+GMWqZ4Zta1o}gx^okM$to#TkqnmYmwu&{{x}6^H00=UT9Q$!2)q4txijuq6lFlN? z$+H*tK^bm!HfiZg=qBpRNH7qP>s8TE^}|&5HJ_*BP9y9qN#Vr{!i0vNxDG`Qwm_Kq zqC10S2^Jj6ae(S1Len+4%lFg* zyR`J`$@c+$uf(F9DiUHMVJygp@&I1<=D}g zHY`a8@+`&3vYARpW(Y+o9!_PIL^>&2+A3v~)4AABWvu+|U};7`eVtyYrE{`bqtZ*E`?E9+}HfcKiCI_Uz4N zo1;RFtM!ZP47>Bvk4EGU%4cQvqI#`N}>1_ja_6Jd1pE(zlB&x`RKDd$mvVa*-p>NkRuy^I3`tgj~Tc<|l@ed6w>@^^7L z3uEn0D|oHeVYjHycbrIHrHOQmQJ0YJ41z5I5vOI zCEEo2LY+(R{qeFBD-3>*Z@A#T*`+>T1-({OIk#@=-QlH+?n|uwOmtT#%?Z`qc5H-# zg}dE7(QlmTgG4tvhuLo&$e|YAA_W-iHI5Qe_0Md6;wFs=e|Y@uiQe~rCe{|u%XEBy z%QmWHw{gu;sNe)Md9)~wyZ91nEC0$3OXwiFUEGyro%AV5 zrdn0Zku1-)Y^qqK@wVS3%+J`1UU%}kuuY);hI%wAw{_HEW%!yyrrB3Nt?~T%Vc7{| z{G!P13saVDJ7ljhXHo5~OOj8VjqXcNe^z}V{GjGFBdh!Hr7QRDqf4&R{I74yzGrl8 z9oG%p*>^PF>BP>dEL)XO(<-V)5&(H3ST}^$Ta_f86^}ZmB9TrQIj#tvo z@jAN#eD{acc0Oua&Y^5mBb)PrB*l@s9UZ_|t(?Le`ih+BeDLh4gNsQlHDIj4MwcmJTzS2fqLjc-vcad+e{79 z%hPRy_Ql_c( z_1;{8c|+)jXTx}FLW$4ZdK4eL&YdF+T?aa1~%GiM=JWXa8 zq9H0tcFA`farfq4xn0(wv1WscZzjIF9}F-PSKf-Zm>9x`w{(y4IMx z_u4EA{~6v#=4h-$ryfZSL>}qhRk2-tKiODhJ}tF$mhBho9ZR>?p4so=)zX!{^5uwi zs?8F(XerS2&<<(;tK#A*2Z~9o<7;O%ct4&IQ(-lK=55Et^bbZ4C_h%{(Y%{7IM)Z% zunyXOHO{5kmMzH}EZ%sP#MoL8H+8l3INYCQyt+xshKGf~}8O z@}TqQ;TtuYF#@IQhfQ{DS&|)VuK9lbn#HM2%ltR%HmAi}1<;3&cmIxAw&t>ACfC)p zmawFx-CcY3ZpX&aY?H)xQvtqbRZeL}<2z@qfXnCZZI5{qr1SeIY1=SUddxKT_=piY z5Q!CKp-cXr+8Te7bI_L-mDqe|xcN?W+uzOJZ#*u^Q%`2}zr`0U)kN%FWSx9H?blYG z+Bbfi?b7tp$j;EywAFecx>Gkb!|8cSuYuRLGMV8DT>a@pckIV1Zsn1M!F*-&-7U=N zPsip;cloa723bDOj%?xV{A9mt<%#w}-M#UVUCEb+vIClH&;3$K4OF+OKeEd`TO*C` z{(0)nz3|Ye1C2$ECn!HY6`Q0@G`udS-?Oo4wSJr9nZ3wjPFa7qo#ly|_}zv2coUnm zM5grxog?zuHjezH?*{wTuf5z^R?(TgH(>F-*tGq>=d2l?ar0Wtv3>6rs(vuiSU}jV zyGZG)@-p*ht87wEPyJ>cRky>h(c_EOv03Zi`*mJjbE;TPXWm_p>Lk>0_UOWke{oZK zlS{s;rWUV~Xx_QryxxhHN7d?MK04H}f#N^^ko#|HgJuvG(=H%{B1AxU_HA+Es!&(__*K+&r^`HKv}|`%iz_MJ#sFrRxW;A89DHi=Q#i zQuCUzduSKK_;9V!ifHZQRvBjPbuW*^EFv56X%~ru{4mdn#|wW<-Tka9|3Gi!t*3K! zlRs+ZEl7W3u1a5fc0$RgqI1X3IGr$a?&;E#RUNNAr^ToJ7ggCR^^KyusZX!1+xXYk z;Iye}!%6R#w9hKH{~JC2xSGH`wdd5a@~B<$J32qxUAg584>pyjPfxX&7oJ(3^W}8? z{l^BE_ik_8)K0rJ|1WP!pk|Wx=I?X=v@_21;Gfu*FFmki{fC6Si*Iy&-e+%>L~Ixg z#hqeM?>1Rx%J9MJc1rZu+r~GbHzn|`zY(`pv4DucG>+^0?sV-hSC?$d{jTYu>Cc-M z6#mQt`xz6ma{`^~F>}7#s@DM~CKYYZdt}4dEst-e)?q~XjB8)%s^VF3ZpC|40{x89 zQd6p5if1^(D*KL!mY}e_C5CJ;a8%a#HZ&@TU;Ay}@ScW=w3al&3g*n$1RwgC(PK6H zG|HjSD^dLcUMZCFxN+-}@_^L;Qujum{Pf3G<3iiT z%S(vy6sAT7b!-t!J~QyI5#9E;?)ppPr{9-Mzp2(0>W4*+y#MO^2bWIZ<7jrF6~E^k zA{PtIEU$(d+?#P3qZ^IYzI*A8&$HDM+FkHk{4?M^yU^-j5>t@UD8@%NoYh&-*i%;$ zOZu!myHT_|wSH5mjxBeq<$04ksuS%gi7i^|t~@0yvS*o=EwgLS!}pw^JVR4E8xk7K zc=*MaJO8rRx~-9??>@iaS54dTsQ2Se{=H$ZR?XZReiR;VPx#gOnsbDi{`#ioJ=eXK zWy|*Ax80E^T}TT&rCr~ywSA~Fj}@AL;~u!LZOmxTFlM%2-sq~nSa-%w<+CAOvJnHd z-_sa2=XObPFO<6m8RjqF_p6pY`8}^pRdho8&!&$?yN!03ejv}oze$gzm>zTS{%bUx zg>m9leUy5SFZA?Fygj^VR%y>dmwVbLi)u&AWXoll{XEKi1KX4sz1S7;={pJ^&WK&y zXa4Q+%2ZRB2<+TZQUYje>-f1}{-1xJLohCun_eLka+?rRf2m@Qm%#Y4Vq&&H8?Pk-qNG*xQ1 za_g!)$^!fE9>}=x06((zLwk9PKx69%JMJul*v}(tMi+!#-lY<1P=9X5ixr;;8aFZv zul;!9;CB4oe7%Z}^@P0MhOLKjXB{g)eEF+hUwi8YU4L#2kw`r7YAQX-oIH@|hVw#O4`sI{e^kVw+0)b^PLc=g>m69OuO^Ki$sdjBM<@ds1D$%x;U<`)-+o)!_NFojD(F-;H?ctkf6# zySQ|D+Mn#5n)lo|ogHC5M|Z~1o+-zybU-cZ*ZVC0>7iHLJU_~quw|`vVB5Q;Rf}7T z)UR+&;tiU#NX1JZ{cO%Xr5 zLAFDM{U17`W}4e>{8i!JY_EJiVox2(d|Gi8CuK#|Pp4CQ`i6Nesei$wbdbn!i7Cv^JaPolig2S!1*lu z!n7Ya1Nrd>k5zAfzOf@K`c&4_I4$>fOWi5{bCSaKotLgt3%ebbbX{PgW?&PpL1}8w z-LrsL{NAx>W?xA?tyAAwJuM~e(xO$m!;VIP+B;en3zV;5r_~SEg_<;yH^!!13!f9S zVt( zBQg0!eYJ6>TQbEfVrOwlRHJv{U*FLKBL@1_q$2ec>Zn0ON=@2H?`3^Et{VF(`(LlW zv#9Mh=F8T7*4UxwXhK zCzkG&FXYUw(>?gH)!SM^aVTMOHW!5s`ptc@bB;1@< zyw9FzNZI@r-JI~j-_6Q*{k3hXxELQ3v^sr-p{=hb`>@41 zZH}O>ah=wyu*yp|gg2h-le-9)8XvFLu9;#`XX8zXG7d;8FM4^bc;$j)`rFkGV)zvH z3ca@5>bb(MGmGb*!7nq)ezd^rnOXkyCd^^iT5 zPvxk)woHi{R_?SDYzt?^HEPLG5OPl^)L2I+u+C%i_<)<%de^ zP9Jrpc%Lz@M=jJsG~E|f=6eVKOlop4ou2yG!pY-IJ!e^)#rT=!_VF|G%J2AIrp$f6 zaiL>ABT$zTb8pFmrqx<+yq>2KQ%9O~X#P7nd!L4-cw7s$Ejb>zaeoP1uwEx#JxhOe zS&@n3QUA=nXEJ`=;+-BfxV~oQI_h1u97lD%unk+Ut~=T7lw@|Sf77%!4(Go69i!K4 zO~swhHlL;uH*I==)#G>1I`n?it8kIW-MLFm&H6j4J8#~QJRfS9(dDZdjI-IZc!AT= z6-oILf`jE*=QT=8%(`sOp+~6SAG@uap3~)@bbbTFXwH;WBku5`IAIxrWqtYhcv~L% zLHZ`EB>(8}82wpQUnpU;m5(&KTSsiaUMDnc*%3@wsS?xiMX#{{&2L{+n?<;#RqSrg zgFE8#OKKDEPcfT23(vVk$}U~Ew$Zb_t}Nbf(G{&tS2Jl(O!-sU7xbxJA6Fc3ocAd^ z0Ghd=f<%+jl=tz%qJZ`qXvrk$4`=HMER+gRefWDfCY(Bn z)NwXVj1i_@Dv25)JUpS@8SnLYgWIa4jkj;Vp0CTptfs z<8QJ<`q#xA!1vp&%QYX_OPq7%Oj|T@5?OI*fk9E^v)5cpuaaBDmXfP$Rj;p|CcSe0 zl1xDGtGs;L3;K{bCzsdF+IdPN(l3oB;LR^;5^dY0;aR z5tZgIcKQV`64sUw3*zvq_Fkd-5l87WKh^WHGl)lboVjx;W(lFcZN~|(wkHxp(oOwk z$+xe+ClX6XEHC4Y^=|K5aKPQnni+t+R9`oyoN@jAu+9x{roT2gn_&}CnR>-H zz2i*vTw9tO71!9h9rq}m99Xzl`L6Q4K=X!#wI`Nje$$>dm-yYH48HJY_ci-hEZrp5(~nA?kj-Oswc(?uf7G~lwj|H?P-nSbLu?lDDCzi;$UydaHMh$p z483kE<@IqX_BqKLrkzaH#APlxYYva-E#7)Kfw;msV)I<_Ozr``((~xzLX*cblQ%5o zuj6UC{pgS*M;hb!VsBS_a^|C1LY4lsR}H7O8N75>&R-NNs;#DwygP&3^tue%o-Vl5 zIqZKlckR7x0XsaK8nl^u57T$%o`{TXjEXZFIAlgX7MiwrTa0Dbd~?m~C(Qo#8lBqO zw0)}l&|B3*vuC!wqm`OHaE~FQ^p*492c^AyUt4Q`NgvzG$UGI4I~~4x_0U-_>c@4q zXV)&V*lH%8-c1x9wYRhP&8lCrlzKbpxTBh2F|8_njV%D$diPfJ`Qmv`*Uaz>xn2GK z&}nx!+}^W)eXG`J?D5_=B{P4@ai3)N`rYo9C&$*9r_EOVd=2Gq8fN z1EVX}|DMy1Ch~V~uWF3rZb9-P>as<&v_;y(sJZ-P%#M{qPsfvAx}TbEt$jzQ z_-4Osrhi7|Z@(#~B{!3-UEi4>?^$y9BQ5R2y8|QkK93&g??2V*IgD>M9qArhkaf^| zkIdu9kFdPA>xG#I411E>KBeqT&i3o{FmY8opGB?uYJ4?0ULQSDe*43O$IDkbEu*Qo z?BnKj$~E4V^zYxuZ`0ba`*Z4lS8A&aTj`g-74H9=S%^N&;ig4+!K2M++#%0dt8}(k z>@-Sc>b-LcjoxCeJEyJoow00I;3k3ZyNcI#=?wLt|4h}d?H9JYI%wm|_r@O4Pl~*^ zHH!`bw@=&F_}`U&&+O;D+s#Nw zt5>C6-86z`4#>S~&o(dA4?f*tHI_Wj`m@zOUD>l${b7dF zP2Js_Pb3$_%;P@}y#FiN#%|L$J8a80PnMh7?{Bk}KS`ZL4lg1$oY{zOEZcC?L)bIe6rg94Ux@e4+3Pb={z>gEx1 zB8-06S?2a~VuN3GA2)->>N821M5s;+JGV{@VqfK@-GXm98`!yQdFjc^&OZlto8^BF zDYctKS|*aeFeX;czrAoghH_fQD&b4{Z5{B4FS&Nems5?`c6Ajv88!I(oN{o;*v~93 z`}{YOJ-)1HY|3`0vw?#mw3Dyzddhe7FBF^POS>NklTYr7UaiAdYw)g+=ai3lbU&yL7;gD}FhVU=rt z&?|2=$2I@jus+D)fpAa87s?pFSMP!k!w$J{f6mWIWZ<{G^Y|oEJaj7Ejulz5@X162 zdNhx9eA^`QS+3?RUs!V9Ssp_U`#6b|)JAy=sVv(fnM%~cd%tfz@>o*YMDe_UEmLz) z|LYO-SM<&1(n(G$|Q z++HC|Te8D@aGz?~B!Y`>jaRo_XCIN$fWPh)>)rBw>AXpV3)d7a{M!q5e=qup0uU=vVEzopK^k9;`IlQbd(12aB4V9uzvXs zmC!B8mv=8jBa6}~77OZai~8!Hym&fi)aTjA^gE)#J624=-6Hc=EIz%)uPeRDIsEWM z{nr9{W*#&1xJAXro~Xe%x=fpXDfPC+jNmz6;%xjJJMX4$jC4PZ?c0XGs+$v5sM96R zI5_=6!_E5EUXQXsLha?>YrW>v`jxp?E42>GOUg;lV;P@?_#(4xF)L%{k0}HDM+f^J z4CjUv1_Tlq&ck;%j=Wy)z1Tirdnk7ozs{IGBK;Ibzy4#<8b*7u(~K69!R{;1j^{}~ zE{%wrMC7B;|oVw!>sQhlqQk8@MUIeC*Zz}Nu(8MVG?ni z&>S!N>nvfxmZpDOc1>u?PycoPweUS$zuG!}IEXP3<1XBkD_^$A2QDa58Gw?m%?XkH zwduH3B=_Kx*G1Bb;3OqS^|K4_&orsv|LRR9b19MprKzH1P5&O~qlSqO`7f$_RN%{W|T*H(gq&AtoQ}q>{Gel@xX6|#k4D`S8?CY$u9hLma1kq`<2hpFIf9+)5iG9YXLu(%(*)A z_0iKy4R&letzJ2Ej{`+*jGMYC)h=WMviy!dDFtgnF@F`-sJiPUB6E7Kl6BVLK+Yw5 z5}r*kY_Sz77ig||p;c(|V$KQ^qZ2Ovl#Ilj_r{t@OAvX*agzndr`j9{%W^2!a{Kl^7S zs>NI%SF-^TUzGv{3EqeH)s4>@27iRV_Dg6C8=NH@(`3{ zqI%bP5{Z_$Zb=CYHnBUlmaw28y6bAv!*-SMh_m!7+cIBFY_fJSJ{<61mDiPK$)*R> zU)Y>F@9;70;-hKiMPo}P-*dKYF23-GI(pAcXJoI((~i>*8*ZLFuUAAqbHkBjEq*#% z%dPCh@+G%s;I<3*#mQm|dK_}bY(g>B`IZZL!l8-QDuG}8^*4k4kGGD`IV)ADDsW&X=1k=Hjydbng23U{xh<(S>N z`Q=HR)&*a43rrJ9Y3EDce-YQz9r#A7z{q#aKDL`zPF-Hz0?m_ohf0_^dg|s%j~FMH z18?2c`O&)Xw1R`9H)xNR`$s3VMx8noYBtsu{@UZTIc?RsXu+$%g-?BMh(gFuohV^* z9AsZjv@HDUmQzy3nXe|o{vngUx)zeJ2_dGenGfbhOZQ z5lU$CF}*p7v>&c1?eW2t96M}sPwX4cV@QPJhxtC_Rd9IWkVFzd=QMFe@*1IVHYW%o z0(xBt!dA!xlcQW1FIU9kL!fxD6$V5X2%X2`7<|xZH9{u5KZz7Q(h2m-U%Q4^O}4|R z4nc@UCfAI3dVrrJpSSC1a_!7t$7rmf4aQoGuYDCPb_Wk+?`G2Y4}RWJ5J@ z@ClJ(M0CZ=yZIywIP`>!!52XUCJ{PMXwMf8@md{3Fchg!4kH?xJ4N^V{X|t0E}PC} z6S)KcPF(3{@FhbY`0s`*T^jVJ2J^gOJAx7tpuZkT| zGZX|p))Q58MNAHzdOLVqPn_WQK2sPyU&exjUFL}x5+C}6kO8Kc3SS{WkOGK0S0?7e zQ6&Po6uJ?-0vzyU@HT9R#Pfx)e;l6+Z`8$G z?O9~oxwA+l{}(5oXlOzR$NFE{D0~Ee=n%b|5)t^yr~yAkkaPxD_%8zg{ASjGS=b${ z4e@&@5*Z8faY@v)FZ z=w%A4_O4YCJB+RWBCc9TuE?Peai0J#{vGsxY5rFvu<0N0iU#D;9=i@GV;NJTFgnB+ z%E2OILPqEQvk3mmr7|A3m(a?bzNz!-SMqx}=2*Au&ScU8fOvOBODb zS#Cc48D6dVD*ODR{qP92N=Qffaf}O7$?38nQ-yUA;736$kZ!s3fdWu3;V%PrQc#?t zC;=y6pCJmmA~;BU^Pj1uu^%AwNC%}LmeX~>ZhL7dwl-LiD@oz=i#BWf1XL#Yz;zPF zR|l72K2MAa#1KhX%gHC1mnH*7f*taMEV%2=aWA3WLhlEoA4qf_Nii6x?^!~NYnShecdB!@ zoi$4`&ny*Mq`v9CV9p=Vj{cRs>Y()N%7m~=JQ2$gy!`Sm_9221=ZZaq%rR08IIFQL z?B<s&qln89QS#I~ zdRuSusF$=2vx4bZz!nHf8R_7girz`Y{;ik%o|urW#D%-aEM(%>KlrOqtW_3P)3ol@ zw)&{&_gsf)2frh?i;z9H_;m$OV(yX-$QXYJok5l(Bauuty4BDy$iWZ2a9B(`5iLM) z=kgyvyQhrWkaVebC-GK=hS%qeeQbu1@u~0_C;9tNYNV<}ypcrpL0nCjDlFvI%x>6(5SukRAp(tbc^y(v)c#8r64c)$)#6Q(5`8j)OA2(Uer0Nw{0nZ!J zqxXZ*#MMGNKkfI0%#aaaJiyl^LYPD_A*rg6${T^o7DDMniTO>XpbffN{E6T=f}qNE z8W0rySC;Eg3L=ae?7%tMPu2++e;F3P`j+k-lJ8-CA8yA)*BMJxGEc2UH%pCHnsp0uP zgr3s>P|Q0{;TDi_H~j_&coj+v@-T!LaRpSgTkI^2V#ufma>%7QHdWay3L;5kwV9b|n!YxHU~zwm0(WB10W=y7PoLZB+hU zoj^h>A;v7}XjNh5&jXW)l|)37`X~7>aHLQgwiKDUpOGDj`~kp|TFWsv~5Gy>5`*A(W8Q5@k{JEHYm-Rksf#%Gp3! z%nqvKyDXI&k^Lmn#e7y=$|h9_=-`y)n}IR`y()+709%A4*zO=8!8{U(4B836PYm4~ zT%^~?WQ5+Wh#_7H=F5f{g3ihzKjMo*hTFp}I1!y1I=l%{kx(uwuTVpC?z|o1c)K@~ zCCZkAg=7{-l%7ngVw0;1Np>PJ7bBMzlDIi^JNPw+UM3{lvAq>7PGJ=qIA~Tef8db- zP02Tl1zeuI{!RjjZ_k& z|BxizvNEY@excZ|kj(9-T0!k~ldFW}(hf3;(aUn^1aP)j1Z_&uCZ!_rgiwx+W~r%N zQo|*x;gU&)@RJDH0Rh8eJ$+fki^$or{E8%aKlx^d8sRAgTlZBg5$(Eg>~8yr*@OtF zstUvC9b|3?)ggy$Cm@v;QmZ-us*FJa)xJhV7tk%F&?o?53gIUmqgM&32kTJ0zagSz zs6|8(7eiQ2U`Q3sjmcA8%4#iBo&NH34_(q#D%^Ri2~BnovN+hho;0mdDdSD>P`Alf zh?g9r+6n3Al2$rT!~oAasCESd4iG1R2oEH!2sqGp8D>1AxxMxo{y z7#N3I5le*(i9#855#Gua8=wm^`9rbYbo2q;K|n3dLh*=UmWu*2coKpk4lg0QQpmCI z$Jlp;YDPKt?maTyoN$83ut%}59x?x`=3MW$=gjO5f^ME@T78Z)R0DzI0|!Y&60i-{ z{#lfWh9saoh2k0+9YPAcMF(JU3+ZSM)ete%a>Zq-;vlSeRphuy5fe1SM0dQ?#^WXE zELEpxaZBx1v`+1rM81*GJ#+r49iaoZg?m7Duv#Q$`?DiuLlcmH2|yA4QyhOt3IqSw z5Jdn(7!UlSn9G3IppFDoC?F6$qL`{7SjW4-U5=a<2iXwhU3~XtbaB`%gH`nm zV%mQL&y_9gOW$EcJ^KlO-^_yF))o_@QYrc2V|kS;Ll`9p=%|oP0Ga@cN=TwA@DI3*n?dJ_YeaOZC{Eb2e80JNf86! z1&VFwD=I8&-*x+zufGQ9uX=X%apaIT@Rw1eF!0Yx4R~1dPxdOt0Ok~b;3L39;xQ^z z5ES1gD=7xov)C8E3h1ix$DsuXco>Uuq;^s4KDHYB4h4x&MR z7t?pDMFo5HB+|KJpN&M34Ea2R%CX1lN5Uv=tuCDG<0=@8ql18Y8Vy-qAUlrAQ@$&i9kcDI4vSB z%hfQ4!fApjzv7Qf5gi(5ou2Zd28qpC@02@xm)YfXl7X5*w{t&4CljY!toyG(#Nai_ zFSB8oEIu3=GAqS|EE!z1sKB#~0h0-yOb)4q5X+^C;8qE!#!3iSOLSE%F&3&Cw@~tf zc`{awkY01Y=3y{x;l_`dUv~D=%A3h(yAUJkz{wFIGg7`caoXUSftwRuzdA%+5yBy$ zlp5gxUtx?pYR&;qW&h&^@DFNn2+9W-a6&jrfU?a(wMb}|5>5*N+EwmCIYN2?9rB@o z|IGo3qww9Uj)17`TSfwOk5iYKq1gJjkf(0Cz#*qKnwcNWy7^c44;K)|fGH9h1&S^${Wog@vtS>F^Gj}WhYqfCRB?$2kOQzhnmC2iWX|cL2bjxlN=AK(tRw~4|f(Xb{9uBZkA4D1BVE0(}Z zMH{6%6p|de>7^t?1VIrs1n4(QO*a^JF)4uP!7zD>9j<-g_hEXTE2JF1f^D2`BEt&B zta4!XLw`Va)T$l?oQi*Fogak%pkC>mCOhyH<_P&DS~Zg|qw`?wFpYwI!n9k$rrKfj zszMa+f&dvM0}ZPp+LZht3MV*00ewI&_>mC!_A%|H(Y$YPrfU1eon@l#k0etn37pnrt!ZDIXwHU%Bhahu>a>W`;*AF(elubrm&@2#rO3+IorN~*x1>DFl<_j5$VM)6O zPwCyGubc?Fu`{%4=8KzUPuP$$k%Lfbsj+7Jnv=63`;x9Pb^{!ibrTa>aJo#m!Swtt zb55`mgcr6#Hd(+-VgTn5Z=fuPOaaQ z78Vf(yFENMH02bM?e4>wIkvoJh&r}sA6_{3LL93`gXf_juSq1xgbOI@GRbeE zTOm?#Fn9|Nr3eG$L@orS2K1{y5#AMrW{#+$2#wRilF=uisub%pBDpO1j?#|P5A0_V z<8^mEs$%;pDwQQ1`n9e3To1=FYJbfn@^en>cSnU|ToT|A1%rx{3&9roVm>$k7@JV! zLk>3kKpJB7O9+~%iUVh})IdPsx^^ZXhV(!?U_u@w>;q#ym%Klx3j<2Fa|bzO!rUs1 zxL|-MI{9@zfwx3nAgrkQ=7&i@rid*tVK}ENoYPL``h=IAr3qR8IEX7$RH`BlGO;w+ z4g+oK0yKn?5O6dLgr_Igp$@T!5h-+roG%)5j{EWyLmC(JEz(SC%V!FAn3|#4nj>=Q z@G1#8rAJZG01z2B8Z<;Vz*b1Zf@v3nOt3B@$Hx(ta{kfG|H^?YV#yTCtK3>N8Bptj z%R&IV2uMOfME=4t1&a>x$0!dxRLSI0|8Bnvr!;he${ugPlT1<{io_$tdCKQEF@~IZ zqLN#U+kh6)e4;DXsntQ^Y&FmS=Nc1ygopu^D6~i)2nPX4S=BHZ!6mw&$w0qq zXfhEc(bI1N$4Oy)yq8xxHcSw3-i9UQ*sWR}mlB8XCn;VMZc2%d=OD@q1X3vL2`!@*<K05_&#Z#Qf7?fm`skW|r@SjG261(^SNe5rQHO z)75f2i8zF4t&MWF@vC8JaEK?QD-a6-W=Uj_Upg02lt`8;WD->d%L-D$X$i^v|D)S_ zdXJEl%xyxN*G?jPbNX6y^GY$&5~W@llbt{+-r!!uWI((&I9M{cvRD`J4^o68N;qZJ zsXmZZ=yD2iCIS3_lTgDIm4GStFA}s>FcI2+RWy<*9}wtASZ)qd4FOWfHCoBVXpq65@_j;|jm5sx2ks7T3*? z#v!|N9i>}?%TmQo`|4^!-xn%L_u)C3$RU!hLtwZCZIV)bg2-8 z1Q3HySy!zhm1-!kd?MI$MewSqvbR!MA(h4JtjJwguNFVO;QFVRA{(cEyZTs+WY~+u z(Y_9p_J%m|N?0{UaKQ)QNam{y16D(|)C?1$#EFJlBs9gdXb7YeXd$(d{^+gW z=nPqOVBANCfvQ>rfB-4fQiZl?s0E^#hzcEmtc6S{4VVi7bimEN4l$nO>LA2rk#Jdx zXdudvNg`V54)ZNiY4=Od{`p+{=p)9ego-X*p%(_hcVwqy&57+F)kI^@CPrP~)Ki3H zybDeXB)KA(C>#fdj#uS?f(FVF(!npFI)FZ)M=F9f2m+gK=mK)jF!7&@i6rRT$kZHC znJ~^={|qe0g?daPx)-Lb(&1bqoCKhvXQ^tXG|$^5!G9)NhW-SE-+<|-VHUUtwFNW{ z;0GQ>LnoL~h)fVAT54#rni49cSKT0R~3 zUjW9U+vQLo2LK;9VDdlBH>-orExZ;Q&m{^}3F{yv0{Q{6js=*5TKu(csq=Pv=ZCLz zS-fozVZf?@a1{Ah8y#vkU38eMgQH3}!M`Dxeu8!fsPaY7t$)BIg$=WR1OvD#p+G#C zDsX{esen$9eH$>jHV2_hA`g41PQnDteXqhlG;29gpQpj+3PoI*d~7sdKuQM3NWdJh zzeBKjelubSF~ut;moF8~Q4vt)#6P(5WlX+;)%!?>3c69nB@+SRihx$-tfge+zm#r3 zjtiq$JvX^cgw*E>_ekhY=R0xL+ivkf6z2r^4>jy^a5|9}la&xWqB}R7qYxpeVHV&( zu~q`zUB;9u^2p#rZ76Ij6t8#!nlk(tLIyB^E6J!7TtCJvF9>*S%sI5Mh7?g2C8KF~ zDGXx7hgGl-9*S#xRK}_f8V7BvN(e%+E)=wfLhys;X@NrPCfRk9?n*>-9ykUJC=)}a zh+!SWSvDa?l8JCAL%bRaDq2~E4v}7cFHp_3f+D`Obm!prxL>jDgbn|T>FgmU7p@DF zj9JxuoGt52!6FlJpsnEiZ?4v2&~@Av3l2t_g65D3Z0f2SD1RBqCppxlLiE5)R52NF z19U+W5hPjF5SlVDs)O*m^-3D!*O>aU$l8rQ*LWn<^M4+iv?wNDcZ5zO!3>`M_(hE> zH9Xi5nsbFlXqE;CWcOBBT2eDCWm8cs?q3oWG%!>Hi2bLZV7{H>?RFgcBJejT4-67Y z#7$*W?+SJu3*)?5RkHOlH<5_e zx*{h~SaIdxiBK)TT4b&iiUKkKL6ON}j?4ewTFNe6{d6Qz2|-j5KoGpZ6VWokZil1f zH3?lSm&%*D0i*Ba4vGKgqOP0=o)3RbBC9tAiKTGD#<27_f~i;PP;iKnVV07EPdLYi zjwa6Vz`B99$bD=&p&MZKJ4aLl*1xjo{E3%Kj)^Az2KwUgu8P`)5i6^aN?!%XPZ1no z7pPAs-ESd%$RQ`rL9u;TFtzEw*ETAbH9&vvcHTAgHSf4xGr1-wSX?7y*w-g6-|EKNLsm zw%SS?7f(bD!D9ou2qPqP+glV<)=YSy8Gt-g3{?cSe6JSKiFBP=^!M43yPJ9&)wVm&K=&^5&5^iO{ZjYqPp-t4PEeFbK93(eGkH3g^5aGTj_&F>fsuQt+;6N(@@^ zbmg|yS5H_}?Ru8zwr%lZ+n2Z4#3nh4t;t^rH{tMlDjg<~D@C$B33WeR!>VtwDz&m& z8|~T%NqouP-ooA&9nrq2sgXGKhexS4u6hL(v6l_e4mITHO!{~cBdTv|_#NB~bzp*M zS@h$k{IGLF6MhASZ!D_mIFd8;DB%a1+Mm(CAum}8_63J53tse5gq|0}n(q-kSaQ zu45xp)v;6(VwmuLx8vCDHP&-$8f7D&)bblGO{8%lQgZfM8s;a37SrE{MKNnc@G_V_ zEZdXW5@7b|cfs=)9i?Z;T5`pzP3}B>m((yp($9-@cCf|o<7Y3Y+BM8A71ABix1wbF z=W}G=>dhNemGxDpydmsE)2i(gN2Q0R6vRLOWUV=65?QvO zL28(LRAq48FW#FxcdNYxPF;_t?;KrIbe1EuoH>pD1xs}D7$O+YZ1emzy|A^62(>py z1X^0I!7Gc~1z_{B79QB166`IwS$B8M=|Ym`+Tt;*zHkET{#1j*Z`Z1QE-R=yB~3Yf zB$Z9~pdH^?`L_KEK^Hi_rw_sTcfEN}T+ zY^P-Sg@i^JgM2TlI)0vBmACMh#x_)zfs`5A+ zJdg}OPdgGyRmyCAcJjtg6i)&zK{N(kVL){dBFQf3%%|4Pwfwi--uT7~p>zFPrXL9% z_uKN_1tX4Q!~=d(k>(}(#ko21isn`j4qD@L+b%blY;ce89j@QgQ}c$->t^;pE}gEv`^m$ngrtQXB*O|Tn6dhKmbI<)lXP0{bkH-~w8v}lk8j7^Xx^WCn-O?; zj#7$#A162xA*#anJBAF691tc*f_b;iOrJw@fpvf`Sm%L-J6IMl&xdX$Ysa#TvVD>G zkE*>-6OGuZY+{{T%qkrvWmvfPZ4m$jz7*1{dSO`AG`5M*{f(lZeLF}qFd$X``T3~L zHf_^=LQXg)^hCQo(reh{&mS!Re@wjxJk?+PKYq!UTqCo%xK_5Vt0dRv8X1)l*|)5V zN=4z?GwYIBWTsEbNLOWCdnT(gZgojS$ewq6{>SJ0{XTyG<32pDi}yJ5`FcK|uk+^m zC9`&>9_@_mHzhBCxdY$>ux<1TvVbf7?G`}B<~uH*PH%087Q?|65NyqGmH6;i2Yi(f zxC$DcXxoI_`Mz6dwO#%4E*;-jEX?}gq1U}2Uf2ET_slx>1vvJ&e;k;S6b1U(Akk>1 z6eJc$x*o}1f22!?R}(J7-(dI1*w&n~P{VlM96xXew4V*p@|d3YU$^xr;Yx9YQ@Kh$ zgF7xuj1W;gn*F^puzi7{m0PHOzjp&%ra?(K*HkD(iBb4zIQwCSNp%2 z$NZkaM4go9sx9yzzjwe_?-lI=I2z5sR@!T#rAlCE$TXK<1TErQ(_ho$Qa2_4N)$!| z%m`2yKq6=uuXR#2Rmd>*(MxldGBbx01{De43FkbP8M2=@ zWlo!j?Q5ETPxLak;D(;t8Zz!;gTH3?N-*SWzP#bpesTSY zpBpM}jK!Zh{lzK0ImWz>W(?IFCPjI3*TqmTb2U7YKexD^a{j^;D ze6T0FS_vX&5Ga9j!FWvp^ns~Dpg#A$Y{|SCSD`W}y?gn3Wl(m{Plb;iUIwrV30@3B z3|!-3dQHvDk1IG$lE8t3_9a-wWbcSGCEW=Uqwa+_f3w|4zH!^&-w#z}c|AlyJ4fokPsnYue>n8#TpQU&E-0RW) z__YXdkpF^GYRGa_NTet2X6vg@mC@hDoJ?TIN_Z$?R2JJ0Pc02xYwV#G*qS3Js%3Qp ziGz8^bWKl}e%Z^<2REn-hp;g*q5)wDk7QuVm*Wp-`Vq}kf7w3=4bLHYffsRAn&1d- z5ZiRbVgTVK?5W3MKQ)h?337KXL(GMEZKYsO#7_|o(ci+O5wW1fRyDDRLRV~p)9~Y? z5V~BqJ+*ZM0s#>=pk~-X)C*`27&tYk*bFqUBc_%S4aqRA@7E;JwsWRbK=Oq$wFp)0E~Y8vU$Fj!H_R-7;~!vOwH{jJxW|Df&XMN< z{T#z(tjYP;OT62$=^Ny@-AF1UH}N67U2OPk!Q@fB!lJnlP&DYYGNrf4%Sss;rVuV7 zdBy;(zDM$Dq!`!vEw;oJ#ly+g{|3t>33Ne`cs0)7N4QWbQhj)Wb+&E�M=N7IlK6l}oK zooA$^-3}1&xH;8m{lmRn=F*v>Y=?cQmhI4qCMw9&q{ zb*ZUS4mh|)sGynE6@ZhcR5qU80TOhSRAva=ebu~C+~D`^F0tS zYUH5#FoQBsa2f$~s1obQ)X7KqI#g~7Fl;FHL~P~0El^6Ce#h7JgF`gnKo z+6o?4coB}j1oXqAcWa;<$Ba9z5Xq~pInj<6)(54UmoLl5J$@j|9Q$FxH2|b0Veq)# zVEll^F_)92k+F`Ah5i#Xfr?wvV7me<5u_uV>0lVVCq%p^Z=S?aber zK@(Dh_b!r-_X#7~1*XvOY!v$WeSa&$Z2(9@Z+yow>%qh#CEB0xurCSZEcvY(qXCSv zgbT3s$&KdofI{~Q?Wv-SNrZAfVNqWj4kIZe9PI2KYO zP@YpL?rEXe;`t~+-b>&W6x)bLi-l#GKSYxK$IMrWbAk1`X6Bc^|Fl9mW@{T@b?Aa0 z$hk}{i%Dpv#{|zY2Zr+Jbxa-gUy$DrBzTu^5e(!xVRw=|G>(1#u4?8(PYU6+e(2oz z6*Wh%uCTOzg26_Lw7vP}|BbRS?#2@e$7`=@&?u|Kp@www-X7^*yL!fx!jpEBFK^va zkdCCw+)7=L$JS=xM>Mtgq<2h4Y~lmsxuQNf_(*o0IkR3Mp9B}+w`%c$FKxOb@x=BI z&QN?{Yu2(#F30rPi+E+*wxwsMJ5;fczc)lN0o*xUfF9Bz(MF`dgP>uaK2?uqR=Dw9 z3cG2W8Va8-ylwZ;D5i5A%_YIKcvAgA;enFou|#&c!!SHN`tCE%UyM`q$?}INbf*T) z%u>o$dAh6krOL;^w(uI+7a>)Pb_JKu;KJ=nXiJdKf`Mh40ZSL~s#}P34(@ z!8BTaBM>FJxATr%nWb(W*hCxl%D8sMci7~a`L|kVacGvh&4w3awBb9qfBtSQ^2F!6 z1QWlW;er>K_>JccYMwzB9+T90|3WwOECUx1Jl88KEPC%+`j0X(o`z?9>_^n~q|!r* z_GX9%=x3%3*~8?4m9I9Ku~AHU%IlT%?TLj%xXcgxo!6$J9|@+u{gR4*_cNIy+gEIz zZVdVp{wO`9SwkAKd=Mj7B~u$|Ugw{INZb!uALVDF^{t9jpf_bxBasNpF^3zPEKlnzO-;!dOGpE|#CT3E8B_=l$ToGf2(Z|evs`KzDU7j1x4=-@>pB|4a@PgW6 z(UzB2TMv0K>UJx|y&o_crlyc-DRv^++`pmmZyi5cUUJN*zHrn3N|U+V&|g{77>8iV z876)PH)I-!+JNZlpXCL;4#$fzH2la~ZT#LaJw#jgA@so-iY6Y;Fw~mLXPKyYy0bSS z*`G~<6vsVR{sl6Q7P;L2)JKy&>lhPc%01ph2SqQx^~ZBfX>i4@80@q)9P=b)2~5bAIHHrK(DZ{ZG(#w;k@c^9>PyrNo!Ks zy(NLSBkN4OnA&wZ`M21BD|MyS+-=K&S@5N5xRVRo_W(J?gh#`~M6fLpBr1#FYU^qb zutABs9u~*lEn^ax)VXr7!JoPi;=qAcq=;OW1}Za8=jk_vG+z#WsGhh#8vZ)1Xj0)% zpZO;xQFMG3TBgI8wUm>#8~E)pnS-@9Dtb-cce?$?<+j>W`*+ZQ55=1hk)#l=#>3hh zqzJkM@bX!1e@VCSHaf<9XF)S}AMpN4qcWOO3e zEq?*m`Nq_pc_fKlrU2UlGQxfClkPS=xO1~_0HQQSg2$Y#sy7~uKGe<0g8QQ3054$6 zpW?zBm#()SfZb4KNcY#Yn%+dvWH|ZA0uHgABZN~YSuyo%w})?4|2-}3c5h5-Abcp>lwKSC5;S#UM4lFc<{cHZ_o4a>@OMGh@%e7~zmu`=FS99^qx zg-4$&FU00pl4;zX;q@Dzr?C|r(|0xBL`29wzcy2p>=qUHzS5GVUx`F=VO!Yxah&f) zVa0E!Tr@8jxQ9?Lq+P?Oz1VjV0d4O7w*$srVZ?2n;_F`r}eoNH+`oz~$MEiy1SP3E6td5R~sx>d!D zr!u^w9=?UtfEjUTHn4$&ZWt^33$gbf`t}_oXd7Ln zUT6s*7?~CUn(HALLj+4aBo>bj1x8OzItWPc{xY}w5aYoa$c(d!!Z&Hn>~${wPfRuD zvp08rN!YJZS4)8U{?g=+l>*x0vjwl!zhDYZJ!l+SvN^!E-aqDQDKq9%v70s~xHL8H zdPr=44mB*z5T~_L0(XCe1A-#B5c1Xsj$gzgvjPKKcUW+w`!BI1c+s%0=`_3LIdxgW zltFXKuvLa8BD>z4CUVC0yCbUWSGm~VII*52h&a*n<7?e=#_mU~ho4Twd@S%mjG15k z#`bs2=QVOCaF}ZZk1o$39jPE>a5gOAyG(SzZM5`0$rADRXxb(`3TUQik9~FYn=lj> zyaTe#u|=rrwHGWEdl_w`=e>$Qs#vxhNYr?hXTI8`ti<8jL}?RPKuJ>k#eUOUzAUv= z7NC(&`!!S+?%85*+M{Ya6A5M(z!4FuSQtno2X6YuR+IxnZ+RAW6==0ZtJEFKifV$< zYNiZpeO9s&s&eZ6OSdjV2gc3K;d^T?oV}<51$vtDfV6_uffpRds;b^!dqd(qZyN>k zkuMrc*a|;arbL$|Mn`hgNi~e2jmonrG{TXIic~`&$&C9HEWx9%q2c&K@X-=dAAL{6 zG1Mz&;4^dnLCU4>5G&T_yK&~JmlbI%g{vHyVVeoYB@M20f zUUQlLWMj@UW;(oO)snM<&}V5q|ARbyH)Fu331DXIt9o41LmIuGkS{xi=CYf%Y`~EK z`2zyH`X7Mi+U&o%N8mJfw!34)z7>c{e+^h7b)~N)C6X~K;hL^#>8SUsUlMbj@N8=; z%WL_wZnyiT7Rr>t*0Dwn!bGp%tXC>?haUFzR}?MDq!J1Ofij8p2do|#8zf6f7C1g& zNG8kQwUx5c@?ven^295GVBpKDyAP2m8yjtipL^(z=gBMXML^jWstzcQe_sRJ^M) zIN8w`IPX;OBph!Vh@5uJc|iF!~TLLEfy9By?I&>mgd z_by~#^GQ>)A((UFE<++Y-OdfzQ{Y}=&z_gpue`QpZumNV%I5j)NSM-uUvFbtQc)5z ziwyWw8c=+}K*!Nn@xt(ol>W*elnT80vmZ>?BIOr7B^Q{a_*?snlFJbsXi&f#OrO2zl7%f#ACe6lLplG|GDAXmD z>*cmy$Sgv5dB1RFifAh>Q(i;m3;6M%i(8; z_wW4*81UdqkS2hA3qm@uk;z{qu)vXaB!A&e0mLzs&6K)Gg0TJzLBH_F!v^0JH$B~; z$itH5n2|Q|on5jmIm4~lk=B05LcbTNthNc{xpNRkHnh2VY2_GNKHBAdj%?%Pf2}l_ zHe3aS^BQEe@!c4bwIz}i;NU?CdD3%kQ0w8V(sN)0<}XaCERP*)lO|dP6uJz$%b!^| z>^Lu{k%yJ!egEjuc=Vq$2N)oR>0e_(muIzJ68yz6I|N{AjNbT;5msg@^s-62$fE8R zk%E2fw2JmZM#fp}EOWm;_QuSOR0_AOp8GszbbQ>(FZwtht?^CVoKc@*DQgQP)S1Zd z^@F;nxp36XXLJZJ7CB*E8(;OYVb>9xy%a(6AGfTIDS?@R8Di~O3Z_n0M_Fb}zIG|( zuHNoS?N;C(`AjVq#$kCZ7575uqU6i8Wj*}vV12 zQX0Q3ueVHxs&k->$0R_npu4X`cE$}#;S!c zcDc885c}LzO}<>%l3z7dBuYXONNh&~y8g*d9GyZLqM7O45N~6zoVMIMK3T)MEuHI{U7Vxy9H0ctGFGz5z zMnoZ>*u=DWp#tBJEJ*EKtsIxw-$F;pu%Un?zCCp{-(Zc{HQ(YEwQ2PTOwHq#3puOs z0yGE-3FGYbdT_i^O?{Z@%2d_r?ssPa)7{jwLSM;#6k|G)H}zRmbAqgAqpg{Ng3Zd!wwiU5cP{i}>B`8OI_z zmjVwhPT(zTL*5RX{{pr7^nUn#(f2t6!)tdFAmNl1&Ht)puhg1G| z^OoX33?6-hWFGPi{Xl1Jj}-VzkVXVL`$V{`lYdrPj3#+mvv>&vc%ficS?OM)=L*gc zs0`HucI@n&mm|in2H}P|QbCu2VRbg6^LojZ6N3j6+GyTL?hpOf z?pdEsaXYS^iz%Ga{!H7hCt-^NjjcD?-ppxHz>%Me(}(}=4zF&Mum|6nM3UEL$3u%j z%@V-M`Zyn}*q^OH!7UVSsC^C6p8oNruA-L<(KMmkwsr~Jp)PzaTx~8eG;l|k=RD)} z;(MQI^&{}g0$zEylfJ-7b5KHlh$T>JzpB@i>@ej3{U0o(uYUyQ9#Fn#%$+Xuzn4&K zf9M0BnS={`+Wjt5cJIgAod#*H-lq3|!XNY;UJPNa9ud8i&C(e}tv(uqHWUR-^-xY3 z`umsFTE`bPXV$3o=1uiOdQT-6^_-}GOd8{3AoA}qeV7H|wf@B=S<)WZp(=crVx>YI z()Z$>6kqbTv!@@D+@WvQ1>;9Ix#C(ma^47J|AeWpm{(KPx2N}x>M~XhlWEQR zU=viQl`Ok^d%W?ggcU#P@uYk}hP0vvW&-78|EuGR7le()_3g6^SeeYU&z4ilwJb;o zhar!uw*Z61{TZggTXr4nClQ7I>P%D;YRcVe;9gcWX=Mj!(?0)`I2%jEs>H2^iY{E)OJ^5ziOgN|RV$G?_s4U>rd`o@1c z3$268Cd$XPva?Z3Z^^CGVjDI4eI;1ios;q_B;umbn;NIrRW3+OVYbr@jJ-HD6$ zvV1DXLmNoC0^@*$V5rLk{MIhZKZsZOpVeqz=giJ1(DXa`18kjuGvjC1Dwj)VC$V@o?8k*4RiNVy5Ukt!5 z+Ozsk`SXQ;%CeGz5zvj~N^o=RntuE*MZU`4JQH;#-$1}ruU6C&YzWmPhkr}fjOrzlielDA@dha-`V2D^0 zNsPgD0G_+o+LOYh4st@s)SSKypscfDV}VI;+8uZ^WJn-^0-K%8B}2Cmc&wJe=OZxA zmSKPVp4v;>GqTbqubR(J0gjMY9rnuy3_S#Vt?rJQN1yb}lLr}|?(3hPf#Z63Uw3Li zC%d2Yz9r!@i{}fiynrq>_e#OWJZuB@MfvJhf(6P45}N|kMb|erG>|6i_g<8{&OBBE z4@-q`Rp!gw5xpVWK*)~#G0yzVA?L??HkjnMbi4_0)Njk{_2B#ed%+n0 z-~0dn=l}aYUmlK!z@~*50Dg~x zg8fV0%(;nYTz&SNcC%D$n8NF71$Mtfk<$u4`{|bPD0-}|q*9E>pWoA~Odmt-tH1Z7 z_B`z>4SK+pZ>8y3S$0wu1hml``q%PgIeI)NN5hz24;fd3z0XwY>JJ#$Mu~LJ#Tv<= zsHVKWE+uXvRltYJhNj9o>d(Z3RH=4{-fM@*Ku>QRd*Zb#o+1j{5m`Ip)ik(H`sawU z9r0&1?XJ=4XN&lppD&_3{`(5dtvsBiK)>v!PexEFDj1gK63zWtygfrb1V-y0Q;_8=_91#0f&oa>zv}0lz^G0C7r&J z5;bdA-TBANn1O|jcSzgp3VCyiTxqggX^P6G>#usSdG2?uh<4`V0&suJcSSvPz5wrtd|PK)1owF^NpTPhYwSZv^*S5p>GX0KktmH#nj;7<6x<6ZONs{BIjIJSrV_~JxX#_Ue!eDW3mOVZh%1ehn-L6GO zh=%d=+odGlN=!{{INLV;SJUCb>;cD6A%8H2V%0SiO$8O7Gv!g7nR-f;;P9lbc}(H+ z8Oj-I(!hCVfdOITmlX}NyK)b6G!-p+nLn0!z7e@{u|Y=8|GqX)O~2r;$-2~}5=?Ha zCA}}Oj3V?`GFj9%iIaf*ChA&m%Zc%?mPv$RuZied%4ZzW zxyy+W1>3|U2pXl4y{&W%X*Km*m!YBIIi%(tDE(w9Iw;TxBXO?KOG-*q0@;WFf^+8zv3yC#xwO+7uV0e)R1!1e71QK@IX zv9E;2R3<8O5o<2cv*}|B`*5nKKPg_zEB}+R4C2yum+Lbcv!{Vx zd8FrZk=C+<03GUdV9DXE7IMnn_u-1~FgL%=eeV4le}OQ0&gk|+>d&69q>f$RWWT{H zpHh(0B`-UDk}M?@MYLswGQS{ZdJrOyl?J;@z{ZfGT=l;stF1(Y+TZYdI*C;`vdYe8 zdZa9PxWBJs7dH%&iiXLe)yo|;I;)KkgWle)0~eu&3O#31;w1}2J-OQhRDx#8@p0RxGqV-m*nYCw7|lZH{L5 ze^7N2mJ;w|>4=A4C{dA`K?J`GLhxo7n_Mb@F2%Zt-niMM2{rf1^A?fwJDHMqb30r> z>1%{Zyw2Q>(QTXU(uo;foq|JoGuzae?vI+P0o3R1YRmdln5drne}y(TLRzWm-z3=d zp|KKd2609o*T?)kYFJEZ$6R}Ol`G;643SpimsI!~)c(=THZ#5BwecV=wYNQ3Q&>Ww zJQX&Ymhe$aM&dr}jK~RkZ%2=lXOEsgo+bME?anKU@$A$jVJQdevhxXEnQ97fKhDBb zHwOz_^}&)42-pXh30H;jU&F}}%mul+RQ*A?Tt7?J63VDxJ0!b=O_@u0pRMahS4Lp; zO!j04FU+?~f_JhuOFv3Z&b#yq#bIHjE89osL^oUuG0ce7tNbp-8$>cZRF%sXsf?7qMO@5^5x@?8YT`)db_c-<1MGY z!@2d5sV!osG1O+SxN+tpS}>x)1K#h>cZF8Gajs+d>#5nthKjf3vc0&Sm$@>M9|a!o z9b+$L=dxuX7+(mUG_d7E&Xm{b2^+B|(m%=7PL`@OjgC$^`iiRQ#P(;LOhI5XGhvyJ zKF64xvHxZHKq^}B(i5IaO_s$Hj~mkAT8Q}AM5x0<`CZu-E^j?0s7SJ~W$gG(p&(7R z-$t7Lc$0752{lt`vtXE=d84g1h9&MadAnDdEV3eT$r5m z+@g-2w|fx|WQIAtYOaJC-;+(LwUd`v3VZcWNthe)WIQV0c5TCTa-N+!kqS1JHoH(E z(D9Jp&{(hebmdT-^%q_tRGYEC?>nou;>c)v7oR4Zh<<(D1$&MfakSCR(HM?X{K!1$ zwK-<>Z2Os-c0YxQ6U8y@0^aB4N@CcO_Svqp$5wu<{mRQB-1|I%oEx|P+OveSStdm& zOT1kB{<3_pu{JTjBRku|SRa}eU-I)sDTmBZe7ey(wp^jiJFzk;+ExFft%^YB6su<& z0rdm50yG5vy?K5)Bh&3@HmQqHJtn*ro(zE0`Q3K#xDhmu8MfQ4d5Fuh*0lfh9NkDV zb9v_bO}#tA`3yh*XysH7uH)7Fw$A8#L(v*gAYn^fE9=;f4_hnv4As>ahte`=1> z(NytgG}c9wo=RyD04AA--E8^}u}Qp%21BO(XJFCBiFjs|$D>+fcI((gy?-=4m^$F6 zrb>}IZ9U~??Sykf^48yl_vUBA6u3(-v`S~_W|AbB2$f9*o zHWD5oR^Nk&Zx+gHU%8(g22uDG$vpfMHP@xb#K)HS#>{qZ@gCfe2Nbul(p5Pi39@|O+LrQg;k(n>At9JoY@GN&f2kFVT8QFuLR|0x@g@Z5_G2PFN$7hYa9HDVMnVA`}5~ySfb+n3pa(D#* z2?3FEsL4#Uq@<*Tci9vVk9eo7DmF~<(W6J{GqDotV^FymCq9Fyp0{qgYixATZdwTzYh z)EcHh+#FX0H~N#D8Kiki)YF-halz%38&%~ord%xkk+_NeZVz^TBLpY&QNzK2SxWJ; z{KuH$_;8(dgyK0muh~%(^6=n(<|QT%^ol~6^Gv!9 z=wxiu%D&xL(>L@2MdM~s8ay|5qe0J)y?oa^?j$}*O#b+VVy)i^A9cEf9)#SYN)I1Y zaMG`CjdCz2&V+|ZLfuQ2qGrrOoHj+96(*K(Dnv%*`JV-$sK>Kgh&hLr`iq0lAAfx9 zp)6t}Pdx{8*FtAN)Pz23C{=#PpQ(}J!6w2bw5!^KlnYEQG?Och+T10<`rR?(lREpC1pAy* zYjgt#hUCih`-5F$>qo`g<*mwgimTH{595c+b~y8I*hg-I} zg8WB>tgx)`r#Y_X`{~y+^q4&9>D#z6n)o6uj^F-Q$pwnwf&#P)q3#zRa=kkw;!3PR z%8h2xizpxK?Thlb&Gy;!ckA~w>kBU`{U#~is&Q_zo_cd*02H~B4|3J~GD2tl{bb^4 z39)llCua|=j3l*0c1PU+hdn>CEZDV9`70@zEb=;&hldM#O+i$^(abixY>G{9_Oqr( zNqbUr)o5|d9Bay-WR_}bk`i46_jN40g0EBIe+%C`jJpdXnF{-4sRH)GY?#$$8WiB$eTDJGBsNACm@?o#mX$B&-AOwv%QSwG|c=E>3 z&Jr(Q``*6QV6TgXTK)FH{2sRevro0D6euFWkL+39-(cLP9334Ax=&MB0%jbM6P$|m zgDL~|8zr!~6a#Lxo8HsW%u5hTp%YkJ-Q4BDov$`0>Km6veu;ay1gKCwH-()Fg-EZ%_mtVy#v~8q=& zNkU_JtrL$-x!?r|5;jh$*-t@#2`{7!FPk zo89)%AYPG8cB>OuC~1(VNO~97QY-A(#nVy^^v-~%1PR_=mlo`a<=Z#!q(?tKtiXUp zfIZnZm)}Veu`ReDkcxTP0kRj=)s)2nsJ6YMxx z=PquOnP5Fg|7Nn{M?opx!czGRwK|7Xaz?}Z?y!B}ofZ*kU)10ds#T&YakZbRiK>dl zwYiAz47M%->s02)?Dtk}0keK{5YhM1rT<$>-fn}Y!+2oi&1~Lx4E7tH#MjH>67!i( za)%vtAc?bIIcLMx!|8IHo6??ZmC1C|eB-s;=%rJ~L*3)zhT~?3(}Mnm{L~GgR8^e$ zzanpAmYi%;pIu$iiIF$_D{ST($#{Pr!uP(y@u;tS8>1=^M~XOSuD2hALrXXHzVn*4^UUDMp72CKt)GQLjzXs-XSNXX9$ z7e!_t7|w$1WoFkt?PkHYrkG7i!Yp-eko($hYPnYD@AVvZ!)FBg+26Fx``mNa>2)~P zbWZNxk^|f9W!8d$p23`f!3foWeQh&1CTr?v-MdaYuQ2EH8CX{WE*VH5j~|1i8__&j zTuB9;@poLp=BM=#+n87X*Ci=KMtxAS`K)0-C z!GmG$*3`?>?PrZx*SE%D?Z%Rc)>loHpUQF4@^( z&y>!)=pVlz!G_Sc`TS_+3!iL4ylr-scd=Aw`^AZ?{O?e4QWmVMU=GyMI<>~_1#7(z zYUMQU8Zoh!%FS8+E~5I&limn!Zf^G2K|}F`)!D&mXx^&Y)|}O%9SsrA8y`ROR9oo_ zDwdNs-Qeo{zmRV`G~cxi`P-S?#`*g9t7X4u=v9pb{pmF_m@+Poz6xC7$2NJ}rPit1 z%}8P(&(mVpt`I~UG1kEWr9%H*vuuxxZW(fmMY>riKf1AKH}p;q7i)Bj@j3e|=laiM)LB89n-sq%`($W)I zv+rEe=`%Tr`nc=zLfLd~SB^zJKQ2|j_;q{ZQlF734w*$(ACJCnJ2oBeM%<#U63t2VVBO?mCe=o?iy>w=`Xx%e%Okb*w zU)&4n3>9t7I?mB)vrMvQdDf#9-gUVe6_=&xK$Tz@>aVXd{XA~y)#)kjdU|L6k?ZGN zs+XbbMofF9v6l5x{aFu>o^#I1Zxbdz>+Z!*Rp~qNUR*CWsH;ir8YMSW-Q6g>Y2CCj zvObz=^i+N#2Ks)zSxX~=`&~H5b4Wc}JmkZVmet$D)pzvsnD~Lg++>@Vquw`Js*=tm zhb)f%PD?EkDZAV=`MzRvS4&avlFbwwmD z*t66vKgVJF9ebB*0!wF{<&(~nT=;S?PlG6bi=NLVFA|o1mtMQrY$vlxw~w1km;61r zm*65Mt_qT&T*=Zw=5(byoZSjBr4{$!ycY3~updN>0wtbh&dwh*RqbetzLbY!-!?1y zn)h8ii0*yCS$IyrS|r9lmiLtS3VffwzSe0JL+?{r`#|sh5ApNhgzs>&2brDlkB!}7 zDzW_aEf4m^FbHF$KM_CE7p>^&c_Y!vgE_(Dg5&7ktWMG!+QX2T)13zg?QVx^$vNC% zqG7m@@UUC7diqw)$^*K`f`FKOZAwgy`=KV>j%%u|*?IQd;up@QU#C{W_u0Zl9&U)< z{|S$+b{pk(5&3{(xW^?l;6%~uXtx6Era73xHf3Yuj_EO*MwP?jVn!PJP8v)k9P9nT83b$aj;+Y{?kxiY;IG&P z)C6H0N9F&W|={zd1`een`!{Wkpc#Ty zKEh~gVgR@O6zB0|osZaieRn#Z{*P#{qfN_=dQcvxzmIYlJC3BG6bQ7}>7Il8+lZ5L znno1~@eAA*obysyH5Wmm_J{vYJL7r4Kvn5nr>f_2Yq-8kz96>wrTxW{`n1r#7D-^k zdZfxXerDtnhvB%yaO%4A2AP&pvw9hBu@hx}gKKT#3G@44q=j_~Xf`H)YQ5Yu zb>W2JQt8|~G5tHo_UW}QPr_6Vr)_g70mQkdVQ+ecv{Bs0W4ANcFsH&;i^AKDThrAt zvmG*LH8>Kz!)Hk5n1nWsyQdbv2wiiVX7RTR^UG5)StqX8?|!zzO+VLWT3bKt984mr zWu|HwE4zW!ev8wK+v#mqGvE-;u2L+rYqV<&`Uh=Ml_D|FZT>8kfK5B_P~)|sh3=!x+nf%KJJbZVYV~H z1wuj;{hIlVlB{bJSJNW)$i{Oq*!A~wvx0#t9WSn&32|Dbato3jP{wxlz*2GpfI`aE zqiak4%~YGS?))hk>3=Vv{G8nT=#H7n7|m}W81CrO;u)<%Zc=q-m3soHm+p2v$TV<* zeccH9B2mh1+;g9_FW!p+m3266XWRUEk)6EEV`F0z;d0TOle-3j!(oiaqVh>+rGp61ELbo<~$@EEJp&89KLk?$d`=!U@V@SKz{5Q9UKlDiMhuGW>^J1dG&g!?d^Vl#^qNRG2jVHYk(usbxP zxV6;iwpguLl}UBq8rJz&90tdn`8pSn&8dLbTlz97aUC5x9TrD?;`$5$Nm0-8t^7m1o=K#KCBqdyx-ZL5o>4^ z^fshCb})YCv&%7$(T-Mz`Pzo}5yc5pIQE~90>3NEb~A1MU?*GUp%BD9g*@EUx&7R* zl5N9$8=HXi9d<)QN&XBfOKMv3u@a=AMpr3oeyWHFJ3*sFl%T@q%RRM!y?Fh-UiyL6 zjE)-V z8_i5<#;i5YYSB-U+@{Km_NUnw%fQ0x%v)5U^Mi$(pq>6M{MyBFj++D=6A`ySoM=Ab%ZB@IC9i`(&hwr^IPNS#pXuUOSA8z?~_QZ zi-Ju5BbS&Z0~~V{0d+}SG&Z3c(LTy%TGwZj=%=Z5vpv1~Os~=|kACOJ7Qo&n zA&`qJ4@~a}KA&B`hGGr37C2qzvptH?SewY1@$>R9S^DRkN4(C#qFxo9VfOxD=Qq?0 z;Q+!&nuT2Jw4dY~`QHoBhngnFf?!iHiiu0zr1m7Q#(o>%1CByw=F;r{=$fk0GGovK zV8k1#A_#BrL!7)(dzpjx=zq8YIx3VYxDg3q{M}X?;@!fqG^13n91p8Uh_b^&a(?

    0G*xRqy+p17|pio&=^(%9@PpsE%@MF(ufIwcob+<$+WDlrX%^OI-uH2%rUB zYPV(!8WjuXU!s82pj9bLCox7a_Vd8*R$Zpovh_U)BG=La+yx8xZcV0 z)#LG!E8jVI3j}06A|(Q=eDkia8zgA067tK-sy4ZR<15YvWNkNTzndx#@pwNO)~jt_ z0b^wziC^UzAyk7s5WTliuynXAS(h?aKYJ4H!HnwE1S1P%G*25r!l4zf_>&>m2q#CW zRT<0@7#e??;PsBURmI@&Y7$}yKh8!E@?SgR)Lxg~{p=|)`S0yk5fEwTEZa@j1T< zP)*PW9*D|T1x&l~$8(?OK0C0JD4RcdO?lvUAX9k*6i?2x)0QAyrh*>RIwPihDT{Rt zEm0zKAw8fIS@ujTJKK6Ev)*Up7qWv&dLY`K(c zaD7n-hO$=0(oU=zXd!8MwlR^9cH+>P%bsYzedr7I;L>juz^*rD*?HQr&d^ou)p6h6 z&jr;E{MU=&OfZ~t!yWZG!n0QXPEk}KA2&-Qk}=8oLi9i>Ezki#<}Gs<=MvivH)QPy zze}bie)^uN%&HY*y$?y1}Q4M?fNZW)d@ea%%Z9!`itLo zl!%n8hh#|?WvHuxk=V;y&WT8FzEe{IV zAId7%>rKq_zv61w_D}(B#ML(hbB=1f@Ot4OJvtoFmvrXQ*w=I6XN{P$rIP7NmIvAZD-VLuMGFi>QQ4A5wZ<(-_XUmKfz$vRDbYm|&-&U_mdM2W_M>>#_H- zSy0Ba~5*C?WoY@WLSO>mMcHKXAcUK^^^d!5`1Poi)>yD@WRCj4D&lw`y8 z^E{>V6AVsCAEQ_sM+5I9o^qC2#;9(MXa|B^uxXNUA+DM*LBqWIogq#ZLA1%`PlnN6 z?40tdwDlVpBEATrOXgO3ztRq{n7gMY#Y>N}YQ`)O7GtB&Dd*Y0(2>{G$w;k4~Ajjaq4_oKAf-(Xvoh4$07@cPD1v z502XReR*H`3V(N;(q_}hPjBFOdS*ePQcg55Hy&+{t z*bx6&UF?>#%45_&8XB|-CqsAV=yL{wEbZUrI~Q8<5jVHgjKt(Xy%K>g`~?)PP*fII z2~oumuX(5*K*@|!;sl&P0weQ3r;_00e6+4?uf~#kkYGcY&oh~3);{I?I9;u zUmVOG|EJiW@;2hmj^Wf$dXsMyq`lXb-*-)@(QR|X5`fXXP!vhqvXx`Q3UPWqGK38V zbfD6P=K!4C>!IY%vt`6$H%-v(xZLh0#5Kq|N3lQdnG?*ZXT*m4ks&-b+8UCt-E1FR zh0xC9$$!Yb%5KeCBE4;i<4yONb$Jz$#f!69Pc_vqj^R4!I&Ue;!|T zdOC#td)WTCDq@5t^iDNHbloE9{)+B+x-~#VrWmM2bNWXVs2>SC-QduY7ZEws|A4?T zKGv!y^qpY=Y+@>0WJH0yaPqpZFTm|wLS&BZ>ysqvHJ^Jq;$JBxhLeAm(M$b6j(O0ls(C?k?u#=n215xNH0DAP8+3d7$rXI2 zEEKSap^>|<;Mv0|yM8ESaKp;+{Ajz#o4--c@A}`b{ie7`^NOAd1};mc@JC}o95k_O>@jYLAV%H}h74m!KYLy#X<_gLJn2w&QGl2PmVPuHqNU5$& z$F#tKlZD5SU5m8fz>f9D>MNc;Ei7%Ss9P8y!Ilae(0!BCzbih$stw3%Q&1UAL6Z@q ze+_&#>z^{}4>s@ME#L9n<^z4@wx~NoSdr>tg!HY1-%=rU30^&rCLbsTjCA=*v()yk ztdx_=V2f&88uBd;_VEhgG|QiQb9ze0?@;EV1x>8NZr zr%Vdh9Z6oWL==0+R6CGc2KbkyoE8?24AzuS{j{*AX9!Uy>27bZ;UiZ4VfKWzh{ifbmhqJN6f$qd#6q%G*3JrU|>gzyjHsAq7I z_62sqX@qFHBeAv;n`tFOhy$RN1%_#GJ|+2}BoECD)g!GmXGjXi^^@jaHMXWHH8bIj z{L-jO5LArB3=fwdwGFC-)jc2;aVQ*Ef9Ja^vwxZoe?rJ~bV%j%2Yj>*J%MZLo!1q1yR_7xK)iw3= zl4T)3-8FI2BGN6kmT1znhpY0 zkSN4Wq)yXv| z%*z~yzdIe!Bnb>Tu$+`U6#H1dMdj;#2fY+-5VC%78(`vqLwE-68Wb#tzl&4ciEFzo zmfzmF6Cg0Q{V*&hlweX6;~8rt?zyM0U8_$*VzZuCIZsg#vsz9Jp*n4tsvNn@3{`N_zE``cA^>>2r%(P4KTE{sjJ=VySM3sjaixMbF=Fm1j1Hq>8fZ>dI?z!P<}eu^DMjR*1gJuLB46T!Yj40n+G)s4wM zUW$J`x2k6!aNhrthf`MFzPP9tBA=fo!D9nH;QOxa!GV<-e6Q$HW$g|ecubrO5U`Kq zAJO_<9%kjJqn=H@u9P}FMAj?=Ss)sg*784lCuvXa1$6Yf1K+?wEL47T-c%fv_k*_B zGQqsw=b3fm-1F5oV8ck<1+>ORe6g*7%5|!}WE8qF)I~>idW5m)KLQR62zcD7Q5kTZ zHXYAG%m1L-Z6t{u)v|dz4mGdrR5Yxze4I6|23U^deBeHdI#|+OlzV*jb+a3H{8hRL z0#%{dR3MGv369V4q>%P)@U?6uw@|rup>`Kbm4ZC4@wY-x4ms|Li+!o8$`{tTHxf;b z_aE%A^9>QW&|*^~x_eitq%xR4Uq)C@_TL7L;=SeH*5v_BE?^CSTR@qf?54U#4wSI5 zp*yaqDoc+h|EWPru>qn_P}I7}NshX$B)v*OKpY6(yY?+F5lj9OEu4B*V{unkH*3kd zP7@Y=vh&|cWD`H!lMFM^I=?DL|8$l3ty z$YOIR^v3@HPspmHxgj^krPc;LO-Ij!sq&+7rwE>i$Zfwpt#h06up<_rG=klua$Ch}wg^<*=? zamZN&to%Y0U?vr2;^p|oe%Q@v^}q4x6wrqpP0@rlC9+n)m_JLay~ekyf+xlM*lzB( zLl_uTGiM#{(M|xO|50!~rh$TXp8eq^*8`z3g;u>@$&f0Q>`mr1SyHoA^8zEm$9>f{tl_ZI0EmpEGQ5b8B4$Tvh^9yR481%!$1Yzrl$0U_Cy$SPnV(gn&4$bC}TGfMr@G9%qBe&*=8z3H|~zT{P3>(4qTA zvcou7&r9t9xmLE}0=)QIp@X=70~k0an*O)8hIc3io?QHpqs!eVVD2+j|BX5_S@IP~ zM_uS_aR{sGo>hiC7*Me6M7VBnmlj2OgHe{I+Hz`%h#CpG7jH{`mNF?R6PmJN3w$h) zrfT{u1)-;p_;L0>Zy{O)2DjRAlxMI=^R>UY8#B5L+v$hyjBWQ`%h?X`T(n?!xl`dV+^LxF2!+n1~_c`bOp6e2Jeyy-5 zR`kjZ;=r%#4qA@;mpQyBwb4XHY`rO}SWv6}P{Rt8R50m&EvHdw@zubM)~}uZCl9|a zN6l01=y=3_BpSE-KL8g5f07FDSk|zevj8zpAR1AmjSz#-_u;hBb%W0{lXzjy3KQLjDpcsXyNio{D!fZQRhCYIdufI#v#QGwb z*@QD;#|4(qMd0&ogm%AT%6-6vV?)wE&K-VV>%U?%4ul_grC)zb7TwBy5!M59=Qg;k zF%7N|tUW=xs9h`KL%HpzO+SR{pUCEoRl-o?-vOl&KX*)X3JEMFvB|@PqD3CxjJ}&V z!uww0Mz8#6!MUTj$5lvaCr=mw0_XXccuU3OJLf28#$AX_M|TzrtXFKS>s0c5Uy>OH zf3|*Q%$O?RPZOY>J>CAT01a%@ez>1T_3exFMz`vC`R>*Vsi&B=1bW5wQRshH%ojYZ zjy(I>EprZe*nCTR%H*Q;2fJ6`F2VO=^(hLnQhz(z;t?NS%1&)CX*!6Y?A zYL3g*Na9-#>SI3(EFR=igGeJkWZw>^O?MvCJ5bc-x6E~+%e2JGpRIC~N{3%j&Zf_L z5<2Dm-`PwhdaS$V{;QUhVg&S&3MX~1-igVwHpK4ne4q6g91fL%!9p#|-CLE@z!gf{ zQuH6E6#cEKS<45Yq;I(xAQZQ&=eBVC?8Ox0hp#a@u8niP$8u3y_kQKK3+KsBDK323 zd-!Om`PG|Nvn)0p&v+v$8Eil|s`4uTsz=`W%@s-E6Hd>B!DGJbye-a5jtS?CR#p`g z0HZRPDN$obJyi!$5EoLkEODH+Og?G+p!FO>LzHClU6X%CG=c^kbGU$)Jz|RRlT}JJgmnI< zURNRS!m2%0m1)@~iFEZ;vY&S2?`jus_`@){`iamMWPIG+1HKm`kB}RDpkR?}XY$O9 z<}iC-F8*nq`KxoIr8`gJU;Id_QaX{mCw`oGhopHOs}}Zma_fg$t@laCjl9`j8{xyn zmr>Inm?6tLxA3c6c+*2#zeBxhNTR(9-u3tJfFao~U(@m9sBecK@!a zgc&at;yp*|5vcXui@e6s#jE)}k;jJ8Z-4{K)&n7g?M(B;iz0eiJGbXN%c6m`JA z_8~8fikLirXvpQqT4|0IiMf0Rb1$j?ZM!yoPKo8GFC)x6UMWp|-#C+PG|!WnQ$7hi z5FhPl8aH#LLy9`_ zo1&z6j_Ap$>W?HZu1-vtP#?)MFEK`hz4|aZ$Fl+>9?iT@OJh1ZK-V)z_5I$o??yK! zXF}R6a~VY~MRvPhC()YH)ZJzF<3yKB^2PS7ZxTU%w>9%iOZtylL@C)Sn*A=8q4X6w z;i!j6^hqY&LM^76fEwrw69&lmQdPm5X6K4OLvk-RwJHB1sr>Mc94ua}DIO#Wg;(S1 zSv4sV6#Uq2Y2~>kpMge<1Z>ELSsx)#T@Zhg4Ze#R1Vj$W=#1YntkiV4g|eWlUz3yu z!L)W#xE8+aP77htKpy)Mm(#IF?v#q4+D9eBv%;v ziDn;8{0N~%_DW%9mhtl0BKpyRKtkNzll&9m_oO7g13hBvuF~{eMyjQ4VSa*Aq{9GV zAxlJ{O^%^BH4&Mg!BvHiq@k5M&NfMs$b8X>ligu*0rJrz>XG==#g0{&$@@%SVi8BM z{<|UaN8ekI#*8ApdFFU&q;h)hDc7LBFK1`KF5nBtnvcm+6i+(2(20OAziZ45z}g0C zR@$RF1R=4f+bdyQj}e`vU90ucI`!evuHeq=Nx~u*F0vSg=fS1PsOJO~>d3y9`{l(? zsy0#F;xMiAf|mb`q;B^0GtP%j?uz{@Coi8!)Z5on4DuHu>c1^%+Gx+nMQeiqC4Q8M z+n4Z1VRvq3+VnAp?$1A;iGX?n0@9HN@8oP)x9(e=zCil)ru+!!5aS<8F9Cy!SZ{iR zPHdazS^rh7PBG}*;vKIlG(ThsZeF{I9W3m$TZz0~dHUtA8xUfN**v0y-IJS?TwVf+ zF~%I>Oo>?+qvGC!kF~R}fd$T8Fjf%P{wki+waIbceI;KBOpP~###PnwM@&yAmO|Sb zE1vbh4wbreD_c9lsIq(o{?=^S5JQ)}+bQ1YVAQm(m7*zo8WxwksO8_*crPiv>om}$ zlzN@hvny-Hd|(`XjI~nP@|kK9qcD|G-1_66vw!%D%@z0+Is&I)Sc zXFOJn!)%M8W<_ko?~2Ru;wPUf=kW4y)oz-z@~1}Ss9;e3C(9dGsNH)egD`jZZPWNb zdfA%-hea;3mm!H@NjcJur_a4a+^}2U;|dqfeA$; zjh`)X6FBQO5$4&R?b+h^9{dDLT4kfP5#0|pI?glc4k?!!O6fY}OsAJp@xGVeGJ;WWXSrNy{Su_5{NE8W5CIk%D7)u)CjEQdg8EY*mdRoG2XWHkq}%VZl`o^>-b6{D zTEFy`pKJO-6{W>j=)-e!c(71Li6l}pp(ios*dsM_zK?cxyxpyV)RM~1cWJ^#h8H9O zy9%3c+t#24Fm=F%qgcSu1$L-kE!Vc|@$1#Si^U&1AYb>zrtpP0!ywVH9M4gY9aYR?|T#JPV7g39SGjgl%)r9Tu}vEMb^*YVoQ ziF3I*1%rG}{K#dIfrEbEe?q?YD4W*44ZQa3e*hVY$-*R(1TjdsR?hw8F#(Ib;NApp zF$c2~+d1q+lqc(%N>s^xfC-M{u6jm2A@L_96q~M(Abn8B<+0Pr>wTs|P6|h5#n$Xz zu}&1%3YSDC_dB!`VfgG*ryoe)IMHGO-#acJ(qJm2-->{Q}2s!fx)P*%cFOdLC1PEn~TVl2z3BL4i33dv7AD6bk?YO({O_LA@^>>evQ zk)l3IM`dXW1KISMk-8p>d=X;PB-3~Ek!EXKjLob1XT)cT9tb~biEsny0DP0{` z?*Xb{AY@w$D>PlXc;V(_B)MK5Z6tmM3Ft zGu?Ji$cVq54Y)~CRomTqf+ZMhzonWsO6#r;d4*D6iSOALjr{{#s0;KmxW72>)|KLXhMTNSDTgfJ(6ax()gj1<}mZGC%_@zYR_wRsNX+$ zPz*96hsHk8?Jw?x4hiWmR22jzAl3xdTm-{m z^{WUO)ylnd8=^kA^CRK;i1tD^NIHxEgT$Peq4x`Ti^2Jh%2t}o2tC>=oeob3QDRQ^ zEpQ^8KjlgF$R1(z387^aQ?yq<%@|BzP|tM4FINa>&YYBJ8kM6kX7|DNUkXDufpWTRabRVYxHfHP*PSMm*2S`noc4-mmm#aX` zeQA#d^&a9vzt8PTiEJ8+&rJV2V;oK7UK(hwI#AGf?R70yRp`loy$3)$CR92N9`9Har;8W z?+XmqMiMl}XJZ7}*j%b~R1{>vn-25zzW6^t^tGH8NtuNOZvWN)0cKaF%y;FAyxYM| z679z1(axD6q4&Y34HaIq9kl}J=0RnB+;gTRaq7b7{|XKFIm3QF4+Q+1&TN_FN=Ntz zU}v5}4korDx|L2MFGRE&Wc&}{G4f&MZrJt@v+5~ou0%1WR>fJ!(X@&YeZ!;StKla5 zj`Si2@E)$Ml}*ZXTR`s>_D0ago-jgPeT#n;93#)V2*JYr?~tmoM`4vvpd%98VZ&70 zvrwh#=(=`xVfc3$#Ildnk@ImbF6cj1=Wo{#;kqpisw9JKW>jqEw*C0t!B^qbnqHj| zvfK-4iJrS3V0ona@^)ytXTozxa;CQ5q4ns!BFM`mbC6!6Fz_$hshd(J3+D@lZA=e|fu)G4%^UtG zyg8-mte{2d+r|X9eDo44LN+Wu+~zI(ewS7 z$>quiOdFDU8cOX^iZHCa?Lq+v3l&i=4{$GWpw zw~E2hlTrK9t5ScjZWR#X`5lFPjSWT|C310PZw8#Th%LE0Epyzbj_PMgeNub;J26I+ zwQGFv2Y=3dE%U3WQ@wTDGTfw9J3jOudQk2GfEq7NTn$YrIKMPiOg^DzZhb{$boXNN zj4bdqcJe{B{>vmpXqcQUlBD^BC1uez@Z$c^-=BHK@9jlto9%w#Y4{a^fHJlg{Rn{B za-1dl&c+3VHDNiM+4;1v`!MR`=uD<#Xys`&4puJ@@_Y`RBvqkw0)}NL+)lritf6_^IpXsBPy^mm0E!G(V@s z!uera;dRQt8~!WLFkX1rgXQHFBQEgx|9(5i_3O$uKTTJKas`AV-+%W4<~3BNB?2=aWz zbX?#zzWhdejkN_Za+|X@SHRL{p)EmW^#SS$6%qOOE8yK;4$f-LOt-bisrRe_w42E{ zhG;=IPryyb0%r!D4vq*-F+}-wFAzvAXD$&<0bi~$KG}O;@2NB%>EYXL@eq4@vk&gz zulhU6_v$An0ZL0tynhgA6Z>#MXrB*?Uy`p-ZSPY+CJmQ6>y#IC?zykpt4d+J>Z3Lvd$ZV%$mwE=+_pBo+ zK#5GdJIa`FFWvWTA;FQLSjIuc?@P&3MJ7hj(lt-qPVMV_ez!-xp%@TYR@jTTh$}>-t9i8Sp&7F0S}&uk}Aum5-v-wZ}P?(h2QVxF#EeAAXB zU|35U@OK>RRP$v?eHxq$fu@J!Lx`3EtR5C31}dS(h=~f&T&1ZI-x|Fva3Mb83RnJh z1wn1Yz~N^HFBO#i%%k_cB1=sYd|4l!;j)W-r84r>#Mp7jA(gF94!AfHC@f_8Hfezc z=<9}db+XdQ!M` zhx@JFJX9blWb67o?CeJ^Jy=ymEaJJX!&7&cSML^@zNs^hXXZI)U2?m>E5jcGo;cs; z4|hiYp#(!{N3p%rnavy%YaJ1mZqcYBCEFK?M`63tL^gvXr~KiiQ31D@=RE-^e+JG~ z#at!pft2L=EM8!uxhGuxmOcJY!x=*Y(C*i+HoCo|P}1oBoM}L4?chkrVPi%Qy2!zc zP3l8lVPgMsQfDlXT!Vtzv)=!lo3T?s??&;5jm*LMP$Ct%zI(GVV*^>5h^@{!t#?ry zqH#V{>nztI$6g5kN1h@iiafTj*>_!6GNd5Aay6IS=CQ6xCZwh*%MLAARTX+c?&>5Y zo-#ezmYK7xuY*G$8=6K`uxEBb_z{|QHl`C@-1FTAU$z-I58|2)8`}HYIOVq92xly9 z`F>mw5@_k%0U0Rm&{{=y^_2q%LPcMuQ-n6%e`7R>Eop`s5(AzBsS(}$g4NVO&LZT) zq4EP#Q=6!=2;W>o<>@>muu{&L5hNmOhNca);`)YzQ(acSn!_3%C%R_ZWpkkZcYBX2 zI|h5a-e9X>O?5A23*|3&183(}$=~_Xl|+d+qWQie@VI&o*QB_8U+1YjyX&f$L#tl- zECwvinI0{q@-(^gI1hQE%a;Dote+is{PsC{E;V%_f7A);6nUWAbB-z~d=<2muZ;O0KyR`+ z!O4tIN=G2c)ff++bM5A9GmKW)NSC*5aGij#r}xk0ij(1=&?tXXC@# z z6V9-RQb>-+kqD)o=S6aw(4PK;t=Ey0S*`|!XKJ2`momeQl|E;o1)i{9iU0;tEE=OTauGaAU^F-H# z@Ji_w8o}`aXN@|+(3&5@zUwDQ-#a%D4TzHdIKu{7*I3z!fB}(W0iN*h&8SQZxZ$d~ zovmdyrJbPLIbJvOd~r%{V{w^yAzLv?#jJ&4 zqdqUV@>?htZD;-_kTi{gxIq|8l`eqsAz{FjT#?h*CJ5k^R zT_q&wZmFmqS5-a?lJB^5QJep%EJIaTNO$6sbyc{KmHS zh}lYKRZq^gN!z>b3eC@Z1Poh)gH80BX9(1~x zWi-2>8 zQQ-K}BQFm{9Wpjg~fnN&xnc|1skPm!qhRn z)&qKjV5c(E9Np~i_xr;h7)Sb><{ECOMNrd>Vy6uG3iJMC0UNcJU5v~ua^r($A-=^q zz=RFcUA|cAyAX6N$%4^L9Ak_&H=SW@t#cR#r(rs7ZzU#!l&lKF@;sL~Gj<+ESd>DY zhMpk(AS}mmG2D1Pqw218yu>;mq==MT`oSr%Gve8nq|of^r-C)b z2}1_Sf=2lP6Kcga0zn1&u_ii^L0q$Dj;IcDBiQM++rC~Pr#C?+Z#z3@IdK^;=Wal14~Np(^f_Ax*#)~(F09+Ao9XQB{q!p2 zdqaqdS*1}C=AT)$;**q1g(mHatoZ~-x(_p0Sf?$)H=X*t2|y-~+k2PB#`U zI<=DNlWcIIzg3;BXjo{R^t;hCtppWBSLw2xTNzPW5EjN-bkK^Y?%x|;$RD}m1dpo9yD07D z6%Shbho5AzBL;_UEjW(8IiyC5*G^`|Qb*{oi>8Gvl~NRlwDA}qUXiPwqxitH z%yp|o+6LZa(;Rfg(cC{&N*p!f^tFbw_{6{yA+Z-)QCj8H!G#FEcz}{hqwBCGSvv5S zd7xnZU#f0R`K`WSk&`w72_G^g3MV^Ha3QMQ;xou4tueln*k{D$?#RA92d?dOr#7GT zT-Xyvk9I?(Y~a+bW5u^g1;b5&X_G%amXpfJ-4sngZTKPcb3VFwzLdbph0jcBO>}@COownv%bb080(-ZiMd-o$&@SF8Vc~piq6T<$ zYchyccOwErEiN~Hd?6A4mWDn zAzTfWo6p$^MO!>Gf(HLA*$h~6>{SA5BT{dg>HrzbQuS^cn}s=jN0Gh~Gn9j$>1iLU z5*hEd>nsWs%tyk<6I~J)>2bd6-^~)VN_3T|SQ4I9~KaLNqTwp2(x%FC!@$KpF07P@OR0s{{MXvPT+yHbZ% z<;KpUDNK80m#j^ zu&si)K?sE)np916Ok;))y;ekjnO>kJ$v;QGB3>lIl%1@JCU+Q}D>P|{}KlNC(E*I0jd>iij&M_*rmHt%PdTA7$8& zeO1sGNiGBF#vti4hYPidiy0q3z?WtCt46E!TPxZ#d2bZC`1LsbV8_I}b`?OnF{s~b zga))N-;kNziPcH$S}mHa=-|oZy-*V-Q-`9?9BU_gZzY*I=2=BKq8o`P_V40@tL?08 zjaffnGZ(J51ZJ=r!WJmPp*i5n%RCW#u^3Ck$Gf&+HXkFup>qxA8vj$rdD%?Ga@-*o znR2Q=5?N9Ub^Ad0lFL&njxE7~i1&&$$ToQ;MzncvK;YmGG{u8h}5B$R-_H z@;r25T#;AwMTF5dG`8*PV0tu3q*CpDz{!N&IKE8Ia|@II0Zw|JzK8fvXq7Fs@Lkcx z_hH#R(;;mUu<0;wB_`ePVLA-4|R)LrL`#Q;`b(FF2I=T5` zVdhB1v9|;1&m8HF)R{r_WC^vZaAn=T!s&wq|4>&qZ*N2II5P%>ZMsK|{(9O8TCEi^ zP7IQk)b!DALC+MMdm*U1JF3suY2moc@TNJ8Hblgkek1Qxq>jXExm@jjMTy5@ zB6zo~y155_e=oINj7x%?9q}4HS$4IFQK-8R)k*Dk%U2ksyzx110QE8%*q4x{M{t$D ziu$^sLlw*w^Kl;e)_E1fK;ShPs}p%^fd=WY&dS0Ku4wf+>Mb4!Mr}0y$-ZVtXgqh^ zAjFES3Dp#E^v;*%!F8&JqQo=`9%OViR_&VFs22rA{~%Z591eufq1%PVyo=EQ#<>`6 z6NXyL3~IH37UcYtBpU4KnTKyHg~cOYO1#D`1wljJij>!4p$YVQVi$#8j>16;D{vPdLH7RF~Pk^t`-TSlv-J z@m+92gHZb8kLa2+=S1^=^a(l!sYo@!H<+f zN*eL9ghv#4%&t+T{;z9>(?l7nM$Oy5pd9gr3(#6gw|@D>@Oj2X1C18I`T;WPUknH_ zf9bozK(+@HqQyz=;z3doN>NsnlG02)gfYn<9c)=k=dB8T?H%d_vUU*+Ft-AOoOfScPld=L0E z#W=O*-`+RXSj0=>2!!!PXb8$qQAU`iW0k#`$;^3CeNhKF)Q?@0+D?nggH}oe$xp^V zLmkEi$heROla*(x|3RpD)}FA`qVGYQOe zu0P>Mt-o_oHEbkDZr1sEZ2?;vOIPV?tiX(i=QC3b87ZA*7UM==+o)sIi^c&iG1$kc z#w2uU`Xc*$k?xka+l*bt8ImZIbmZ*o?>f)B%sEDtWf0zrod{kjKv391r~2x1Byg${ zTlw&kk`6 zZ+K~{D3biS?$o=_LTdqj1$5PE)Dl3hnKj2%n3A=C5tO^OTRk&}y3gz>!^=yegsY|?HFJ`OH6@>9I_A+3CVAzXx`qf3pDW=O1a4%Xv(J=?zZ~CV($j4c@$DCb=c;CllD_QbDw|8ONUAp z*!HzAar)QMvhdNTHeLVvhxGlyjYgaJTaBv_{3YK)dXMPFEyf)Hpn{5`x)iMjd!IT8vNdA2bR{%2~n2*O@dx|2|~$qflt(Kk>?$0 zMo>iR^l5NkB)-NrH5K#!sn*KW9Ax9@Ewn1PuSddW3S$2v3)8;~ENl+P`98hFzqhZP zt?gg_;q~A4JT1sqVUW;Ek6VSEGd+GquB4mnvx5^G&B=C(UfacNX3$5$1f{$)lXIv5 zuJ3bGV@0-)AW7Z7Vw36E2CUTfb;zLll3U1|a=D+((Q!Ng?&PtQHP-;8GCdK*u~@6C zVOc4lY${a3?Q23Dn72sV!Z4fXRI3nzYS@HwFY!Put-YGDV{YUD4{$? zTMS<-J17Oxm>b?&tpE>6;7#Ku@OLj*&e#No8%3M z=0K0M->p<6xBd^n3jvk}%|J8ZLm4qvT5;{emKGj&_A1v*Oys^@l^_D(7mw~B62DD4 z3Kr}CM@ynK{tw`%{Qk{9%tWmRM;{0-v|QiTeLLG+Zk+u6r+|;KT|D=n7g&|Ze|>}k z-zyd+>{TCjX94X_|AN$Fx`%Xu>cPvP?(VzQn5=)a(ey+;OK9M{pO^YH`Z%xRzn`4p_Z5X?ywCYw z6UvKfHsp|GJMC;IB2BevYLPn9>|V-PPe`4JqE-@2MP%%&c3XzRcAm(Wb}|jh3F+ZD zCZc2K31i;F9A{>*y4U6D-_?0=P$eYADx2v=<4kB@R4Y>bQ+bI^y^ zP`6X(04dw#P7%&W!9_h|p{7IfzmbMCJI+#SaH0$Z<|2?cjTSA)k=}pkbhBT&Tl6hP z;N-f)x1(5=AD%P);&fv7N$4NUvnzAosF~6V30%aEkgp(c1S7Mkw)z+vv^nd5?yIg; zV(I*K)iNAr&3nvxIY6bPrWSbyuIQhj8%a*>GUh;pjTAJU2@9BYUgjv%8Qs4 z%%uw31yXg)M|C^Rj!xFzon7C%=dvvV+MLWT}zwhB(=VTeHx!0Y7J{V zRan{b{il!Q@bCP#%k!_sJm0`$l0?q)gRYB*EG5#~xOOG47=nCtX4}2ST;IhvC{>x@ zMz33tzgPn@kRttkmD#W6M2Q77ZukHxD5sHrkG z*NUbkMcT0!OU8(+cdTnYgG9Jy@60<$ptkXosI!;>sS(d2^gEc3$*EThTbq!{z+01D zy0XiOsyj0;LjA4k*_K|z#L#N8CWHS2Al)$OQpl3Cb6+d}O!a}PJD)bF9|Q@542isF zEeJYTMZ8k|iJTC+pWN_w!C2A`=Pg_2{F|uYIv@Z3#HmZ(c=ss|%x$dZy_2_LSLv?{ zRA{hTcM9`}v-HXG08Towv$16bc{7lE4E4(BUmPMSj}PyAMdpmRt=ltbgNFq~_DV zPO3_%6w_&m{+9_M#|CANFC-d@U$(=Ct1qT!)Y{u?A7=#J!cC~dBl~5AbNuz_k0?zM z=h%J6G@D8Ge!CV`dYH!qHmH}*|HZey< zs4Gw9=#9E3+d@pn%j+0w2I2@%t94-|M99lAc>7&Nrf|6B%s&!5wu#7L{K=ey}~d!9gNH9mSfBUu^`fc#C-S2w&fb+YE%WUPFSFz$Ndzwqcf zSZ(Bqt*`fyddq1@wh)A1R{Zc^H13o%xi3UCBPSssR{OulXJhf}DL?^jjk_Km=4svgM4q+dY>HD z+m~J(_0>?vlx^UR^r~4h7X6tUB74QD&n!D?*%sF6?eFLP_?jz3^U1HHW)ckO)$#zn zOVw)yq!ge?PMo=Lj7a_=)|eK~W>AN||5P);W?WUC)rI7Q@RSrwL>gi$9<5-Dx=jt7 z;&+31Ug6S65tvw6r@2-S_g9f+pV$S;*sfTh!f;HtbK~HzqIMxc(Px_qVeQBRX_+1G z$(9)JhcvDjwWhQa=#65j^JEpP1WCn*d68;vOQ}T`f458~zTajZ&Xp+@cQ(b9PJqyt zw`BwVwR07G8nogPcWuW4k*lH-g1Ma7X(3s@r8Aev*2OSGygbTB?IJC!ovCI)Fvb1z zrZ+kFTKI{2*}5|o4Eg9n`716^8yw0}_k{+;Dn5jROUisF`WK(%EbJCK+S9j9r zd0tYI{E@`J3Fyco;CiMHRbqWXu{gg+j-fS4S%ZCjCT)PsE4HQ(-*ce8UQ?son4vA4 ztez73q4U#Dl-LWN!D|z=JiQ2uqJKT=m24^TO+G%F+O^MTA)N3D3cL3W1xs1gb)i%y z>8Ae$Ny%${OJMRuAit_&eGl}M1GfdymblZBLeQ_q0jXYS zX&m3}LaW^<4g=_%kx_8aqnhOMntn@0wOZ*;b?Wpi67+OzI}kF^MueOqWflblw0c$( z6U~`@SgS~{&>Mz zg_;R?#EAM+4fbu$H0Q!v#(_<`HUMtqn|FgI9*;%{k+aGDuz zo!#2f;LY9)x!fET{1D2wKW<;^KN5bW+&brvnK^Sc_q^qT!|9E^e^VAT&o)CPE zUT)Ek^%NrV4yBj&{}oO9xSpl_Ym{2}@RgyLOg>8ps62uR=cj}X>3!^16p$Km#hX%EN=EJ@8*d7bS$Dsw@NeZD|DFU}74h#=S zRd@?;^#_6p=N}9(a=7i%>rrZy()PihdDEV-Ywj)d z+p^d?R^%(rl(~_5>~s7B$IN!Cum^W?TzYpeMbPR9dg;gHbPA|!U;NNC} zIUG@t=P)yPCAtE16PNJ%kJLTmusD(&7(zD1qAV$!EL8moIF7FG6m>)3o^^GJYK+XK zBJeFclC-0lv4J+|g<3^&uo7k`Z{B?OnD}$eES)wqYGK?Dyhc|r*L-Artu~bagJ)XC z99^i{baz(w38`M`a`>?&;u6&uP~7F&U=f-bcrkB&2ch2r{c*iXVe_g`q$Gb9H-4-L zE`VJfpJbj;1RMH&hUbKBCW{;`+3u4z|5YRV$kl-?D%K%y1*u8Iqi9 zGS6xai!>Fe=IHeEq&K)iEf@B60XtY0I~@sDQBOHsme9Sc2IU4?QOY{0a- zH-XhBX-PjHc6m0LpgAVWx?DnQZPPWT*M{`){H`KQ0vXF_h@kRfZ0w3wCZh+dbIjTt z0H{SK2dlFf4LdJAPf##Vm)(5-JMvXR;|95(usSzZIHHx0II^yna$1fZfc4jZlZqEHU znLLn1k0nj0<$zV8{&kCdzP#oELAHqbB_{v&TAqT4NT0q&J3PiYmv#o3P@)z9(s%_V zIPIkqKlWNnd`BuL4uhtfZUv#VtM`=*^}h;d3W6h@D8?h>nwghUJa2?~IgVCXHkuw` ztV{OJ5H`H-W7-6#wKj?BJxh?IW?sHe$QU18hq2Y#db`B;f>;M2&2az4-cD>HG4n@H&w zz%1=Eq^I`xaw83|YrctUDIQtf&dc)qnYoqM?f!Yzp;t!ul}byz=~JC36ZEtLt7YLW_GCkaX!bq z4X-WrW(~u<{8H5_{M7kI`75581tO4vIzSp&+i#vBZc%^#OcPK)tR6p1k+MF~vVKuh z;!O3YNSxqsVDouM&||t^?y6XWXZ0OZGs1t{tSdYJBv_Si$myFYl~!_lO)JA3ePgsE z_`1VnwgELeSTimD>W0tWajDmyPklM2Q%j9{?q`gm6)IdQbACIL5pXqX>jrZx4LW&K zvM%0TF;`C+-PSaf_*D%sC34JzvU^mrSZ;te2|1w_fgHWgz8I}-Zpg1g2?0OcvinUr zdxB;myJPhl`|7WBFd~y6B@VN4>jeL|m9xvw2PLN{LJN0ArQ)Hfg1C$jDR9l;oIX}` z1|cdS0Iqw9lar5%yWHa`D+;irL)mXaRCDAzh}>`D???v;C)E~-9shBZM_0eJ_g|Xl z64#P~drgG>k+PvxKShVx$2)PA0w^p0sLwU>hO2yoar&R8b)h}p_*@^ zv+=19m%WU6CiRFBQP(uw2peECYZ%U2i1NWcjHRfDv_6b{Q>iC)pjcmNAm*mWFDf&q zd59An;s>8S&ihXt>4Q$@sVSy~R>xU2^Dgj(YFoS9m}J?sm>}nG^%fc5PzlM_#Hs+8 zNCzRAPsMZV!ageo4#2W7iQc?@2!!7Lswj)d_g?pYfQXO6t-G`3{9(Z>vx!%5 zRpr{~2874MT(wb6+l4TKsJT2QKvUX_pc@g{E1Cui+NxGxDhaM%`E{(!W1(;0sP@sV zEbFDN&X?2={I5w3;1H}_?RIcIMqD%;S}2LUwqfrVNPv2(X8NMZ&APOeDTN_}LtN2S zn4|R$?mWu(G-KyL-8|v$b3qb8yUe3ASa{&OpxS$TBO=6N1TBh=Isz5RekPF_HXe8% zUQvuojm<}AGx*bY8Rbbt*tKxJ#JM8vI17`aK(Q zAn`I3|GGVV^d)5-{h^^BDZ=FlX}1NORBx>scRk;WG0<9qP{m4r4bkB=*PTMJvLvR zASVJ=3~zHvXZ6j239^Ov2l>1{=E*YGm2bAG_CGEzU9|lo`>ccG<#+zF+W%2>7Jg0j z4;vmaYQ#pjFhUp%HoC;oNa#klbV(zEpguICLl~oc2!WB3V}v}!=z#)50f)krsi-I- zg86%S|AzB9=X}q(uj{_e-F-`e?FQ*Rfp~R%<;{r7yXMS{%jPYV3xsddlj7-RTuoT9 zB0xkuc#bkY)-Z$rDPa)6^7H|Q?ynoz@TbW~S$Ng&6Q!%hhU*bNQ+VU?Z<~5wcsrp) z_ZDm)Gt1tVhN}CXlztF=t$O4P8WzcU19wB7xZAFvaOjo*{1ha8#g@7hi!^Q5^J8PW|$3lMOq1a&sgvtA!rxPy1AA^$F`@#)8vzfk-tS?^yjEFd^VGsBjbJ?NiZquK} zT;tvg%UUI8nFM2n`dDYFEm!{ZwK0n#o)r z;4)VL*`wpu#5Mqg&?pM>vYlBQB86urBKbFE3(N`@_iHkG1xe1wN<2tmic6s>msc-c z*tQEi;qPtjY@#*X-B`hT%vLAw9i>j2v|64s?TUquq4j=bM$niQrT6M~Cc-Qj(jAw` zFTE0&+9=zfV_c#f+6je{m+WU9QgzYN93p(Ku=rD{cf+KLZ9TKQx5WRxTE>YA`Gx+M zkTJdgR|GrCKM6R-gs;7V?EYdCWMgZ1`n(MG>LZRmK**5&D$8kHZkopuqqHm8BLi?* ze)vRdvX2ooB!rofAgvv}hu)lXkM6g-Ib+`@{M8B7zZlQ+UwW>vJd)*N zVO_u6W~FDeoD;*p??whay5fs4xT^ZyWo1ffTX@o+a)v|h3TeP=vs+M)`Qa@;|08=*1VhO_ZYLll zz9L)tHU5tb<%Z!wVsKV>Lyzh9(@Wj-N9b-y(33!;=V|9Zo&`~KjgPoGdu~aI1<^|X zmaQN+_yKP#g|y)$bQqM*HUD1yO=5(xl1=#=&4FLRw`X4>Jh?X#h4NQp>6=F?)s3_J z;#m$w1~_46Qb#yB@~yx(IAoiZpo`8iVRW=7 zt0mXP^?1WEvND+E}a!El34U2 zIXlWk(K_-jb5!B2Z2H=7&amlm5l>;E9!`>*w00%Xg5K z*qW?cvDtW6je$Rw>LM`_gdbVOaRu)4$f)!7hj^MGHR|xtq1)GT@2ZYng~eG~@~}w) z8s{U+?A5RE+vL%yI2Q*9@F1uGb;1Ve4k*>uOOPrLN{e_Av%5q~=uA%kZ6j2PrZG#_ zTG_#$2l@Se=qB3Sm*x4(_?F)L_G4nDIGFCV>LSticLkx>ZH>1k_@1u6@y2`cwdUO^Ctt=~%4S2YOdNUfuHI zW}#&T_jjx?pE5>h#(ZMGd(Vv(05BxWvryT#(}y~C8QR3|*FC9fOXQ*SOEwbi$DCRp zNe3Dts+#9iS<%I8>Am$5H3tX!e4Fm`To)H>afoO%LfUKchNk!I3o_9*Rpr6{#3HUF zb;?&eCO#lv+RnTZhMNOK@y^TMoe^Clc_WzWcMI*EhnSf8X$lqEdJsZzYV{TpWHE=V zU&WfU*6$=LDMDR#^-q=S@q)H_sCA)C;z4$l|1N{)nv{($>mEtaRB{%~5{FC4Do{b_ zfZ?x^f)S{-m4MyJ8nt;1Ylzv$0%4bGb}XBcvtD&>Xb2y&{-&3!#T@j@${P;d1eW_J z+&Tn>Tm8@jm!jy=qTaI|5B9sZZVoul!r~YTJBi0va6ltb^Nj0Vw|^evIZV!^AeWzm ztFZJ16|EJNm8)PwU9glfzgA_!pH*HCYYz4-0_2lfsmp)sV;&8%P;SX?OnZ0x7z1(C zxQCw(jS59&#=w((0{le`qn@Rv5M*duQ3E{e?PRVKft_39kX^2GLT98HJQ=`sJJ^e* z%~I)<_OKV5WBO?bVG#h1yr5CLdL(X3S_ce)T;3zX582G9-+_-Q_Mu2An3uABa3Oj3 zy)q8NR_#jF^n84Vs%Wru8$jZ3!8Mi^q}_(YU+&hrKtD;hFloe#w?sKH#eD%x90A3` z+x!mL8Q!74()1v_Cd&ujJ5~yx{s%x~_?&bv6sik^g914$q}|dx@J8`4bqTVNTxR6J zC-e!2MNto4?bfftBsh;O#=SytU?LK`#?tNo(BH^xN&0m<4KnC)2x3MjDurFJ^P5QZ z(5@X-;Bo3(11}WpZdy1x<<+^8ly9;&pSb=$r%j`b6|PE0tliMmOB{b0l=F0HG7sz5l=>Ry6B3g>7HC+J-tW)cx8e z@;t4`x_xc^Lw4j^u&{eof`5wKcl;ZFnfVh*5m$jZQ9Z|_yq1=wilnN$7B9YdJ%hUA z!?pf&Phk&?6&!X<`tReaS*OLKjf3I2-^HYcn%xkYdwv1@`l-`J|{zB#_LK?>-_#+V`28$8@ZM_;DcEJV@ zX$p*`KQoc#xl8`={e?*lTcy4x3WbD87f(2ZgE$39PC=?)cJYtC7iBZd^3$1onmkdD zZMO65=#X}&hak;6R!wZ5v6qr&s*8K-kND5>1a$X=}^iZa~Dtf4kUe_TTb5jFu{_{x%r|P+0vsur5=d4yB$~ z6b}4Z;UaQq?Of29PqdVE@411+`U~Xx{pUKf*zqrg?Z7vA zDlcM8tFBA}KrJ#`SN}8evSI!k$QxVHkuQr zoI8Q77=yG?N=SnU!6F(tl&4OX2^=9?ls_$TZqssF2_zx37J=t5Lu*}$w` zFpxdGT3B*UqB6GEuPmgU$AtyW^v#?jiplrbGS_0LEuAysQ7&6-f}&$uRH{lbrcQ7G#vN=dGx~_f|rg zN+j?90op+~3K~4AZoB)xY~{0ooCmVbom`#Aiu0`en{x8U}b zPSMJBqkb^lGJv=W@>^UlVE?E37}e`b`cLAO>)e?uaMkodW#H{_*f+U{xQZbcKOTO6S-A0oeQjrnqs&@0a8bnN;VpuPTR7 zJj{{&74;}`aY`LKNR%CL5~dERe)x2E>(Ht3kOkGI@kKy^ZD`F){AS^~fT&o}JSFx1 z4wQDdsHUlq=}FT=Oy>!Aq~s8k2vGodGmq&!>lir;r57OX?hUa@^)pNtBRpP=(!RY;7qzkBVt^FB zyo5HRY`KFD^fWJUng5bu8#ZuQ4kCe zEGyECP0&mbaLgd3inez|seHQ5U;8aW@6c}$IV`6@bcXt~mj>?Kx0i0B)MKCRSO{m4 z71|EC#gfaqffY)775WkG8AR!3>jHI^xaC71lwyXkNCI000U5U?QB#<$!pgssI)4me zB0AFq|I{u2hH z!;3iy)&p_&U;BnNVc}BZQTc`&s1CSBsv=i#*7ygqsm~ESWD}@&GOYhXvFzKejlGU(%anCi2d!W}-=jFT(tL zou%pCcI31G@CTY-MhMffepW8D-q{-5_1sCrr1=91ha<13w4xm*8xKCyJsQNW5!kaE zKS?Tz$htv;HW$@$w1-Yq7A4ac(a)$NX84hsr?+yWGfH{m2AxbgLl1d6n=Quo?by)q z+}j=AGs#oWCV0Vp!edk4UJ4YorrMT??(IiPvWuGBZ3)pMusn}zvYtTy4-m5s>|*>b ziM3OBRP~Q1>!|;31VHT%jKo-q;X1hAWn^H#R-WhLDUaU)4-Y+iY(k|O5?X*%n{-7Z1ZxPgDUVVv5F zo+<){%t={Y_tTg#(+J_=A^^s?2i$rH&{@q8}EFFXwa|t)Nj_l zC|d?T{fqECX|m5P`YoU;eaWGq*H9)>6F$-Tqb3RYG1iD0en;nf#_}q3ID}`~uSqNY zRSb`|k{tRiDKjn$GP*_WyF`|aAi5Hi87j5|PLDPKtyoNK2Et6O2}a}SrqM6{o7T<~ z|K?3WIi%Hk)m4uqbBfokleF< z=L^U^mGakt6^w`k72hFhvo&qc1cz)TFWtO(&_L4_?V{wp;MRCIIrV_!jmx)}^CfJO zO|_0nr5#+-ce0f}5oK-2WNil9ti}*!I>;Y>3?0_g851o-+k9P|V`_@I+D~Os8of`6 z`l1(JuS4T@dL4;7A22ekZuW>v6exXK9x+?`Ac3zWi3cM!!!-uJAGBP^y7FwRwx3uu9+%9@JG7&4|*xf&IWvyRi*zWY?z{@MoR}r2Qd@ydePPl)~wl z!51v<>fV-#rBO!0uoe0Qn+7a601c#Gex7v+k||addoJWzZt&PD@(YCrH-i#m<6sf` z5E?DY-K5E|Vi$S7XSOw~aO}HiIh9Y+D0hV{%1+W;Agh0#(o16jZe!M+Yhp=8Mr9a_E9@OzBRZTce0EOHS=f_CU7 zz?q_v5DOdqg~sdQh4lIv#>=yGO==(K%lLw){;;m|qbgox$stqkCEZ+ipgX;G>V=2- zrM%ib4p1IiH2sGNc&wlhv4IM zX*Z%tAaO6xO9XP1Fw#NSlo&x+`G1_5kX;lN&oV=-V^Tab22^G5)Y>uF+_tWSHZ+l{ z%JmhH+Q0*(&Thp(GtQQ3;$z@v8-0trl(X#gAQ*Uv7-Ktxvw~zgwb*{<<||jC?#$M? zAIjQ@w9!-*K#>7aJXwgHiEC(R`lV8yj!#lE`5ES_@5v2Vrmb(2NcXT| z+)rHs(fvKoXsbpV@X|yJ3yd0>9W9qTlgP_?)x*C>BgQ_%4zq;s?By)zEAjk57Voey z7{zLF>Ey{qlR|R>@04vHNw-BV(ZDKb+fnD6w*95k9Gl;lcrND_qx8U@4$p5MA@M~; zSMC8#OgOC@aD3*x{4YDV0V+NU32pA0B04^IXFHIpv{oMbpDS3)4EV;C>R*RWi?<|~ zo-~YyActEjX)LK{x#|IV0qI%FGfiq2Ra7sUS=mKu+%6AReIayYDT&lN)@2X&Pd^04 zs=utBWZPj8AtG8Odm}P4e&#gQ4dupzAhd847eO0hj|kOyS13+a%0Z&zOyI#vIbwx# zc69x0QEwsmkr>rzepA6@*u*!9us)wrGv2wET2oBvegHMB7EvXclm zUiwlQ1qe$}Kl0rpOFbuxdpNI6##DsCp8}4!EzX%M)2~*9){5TP)&$4LOU|R2*?_cH z8k2@LY2CZ*WZ_>GuKbKp6^q*>+eP2^xmJoEw2KaAx-^fyKd7$X*Aho*th-V^~2bXy$4gTcj!??@oQ(u;~VfQSs5@bPlYzT=F z(hukRX0n%BYjTgy<9G<{kES?h_{g0mRjFK#=T7cDM!vK6ViR0d_?)Q1u^rd`(pO4U z#G{}-o?XyB6Y|*9H$vo?>9L}Trs{+fF{8*=*-fUgxv8VJdbo7$SK+-(43l|%1!$3D z=sv~vAOHP{{%tPpIvXB7MOo$-(ibo`ssz0n(eFNferEKDCNaX;BzodxAo?%GlK5+u zn4+zw7!sTaaT*6NzUr3*W+fH7+{37<9P#Sj=x+A)*F>`~uyVDT?=wPIV_Y`*kPf)f zbYGE40iH`HF-$MCxE!er<)V;#L7`q^?~a6;(pnrO$Lv`oA;^rCvo#^ z>wtT!=QjY?$vwYMvVyU3)A_M#{cN7?Nj4IJ$Aay>9SnbS-l~#%i-G`3aA!?$kZXY@ zHrawl!*+!|gCYlO9`~2{_8hHar9I`HD9XI7Z%Hl~pk8uOdT@!y|7B>_32HWM=vNOqfR8|eMabQAlbCt3Xu-^CB9wq2Y11+GCHM_O! zXG<+FEVO#cBZ_>xX253a1g6K}-DA$)4n0SgIplcD+?#0tJ9E~c_`}XsS2exztPV-8 z>s(eQc~iC)9Zxbar53K2TCbi zV=g~6h;*vleqD#DO9y?RJiL&ga&`YRV&KaCz@&Var)gRY4Y<}JP$mdNZ8?;41U@!V zy-1r7x!OS!@3!YD$;B%@ld+D@jEtq+vsPhiwhbtjNE2ymXpR_HxC8RLC7X%eYV@2} zfLl5Gu2eiH>6?sm#ugB`_(5945nFrV+0`kG#CMcTlt08O0i2fl^-;`xi(=A2tg76 zM~HIsHdps+w_kMqQwu{=B%AZn0pX9W6bqf^^eDT75I0$W+Y&M32MqGkxH;jtv%0Vs!-}farZY*PPlQYxx816EWi1(%X1yG zT#ACMG7V0&jDQ#qL9|`oZ!Fk5d?DD4SY6=d58h z_pDxZ3<_$CM818K5Z8MCsmeHFVV4`WYBGXwS{j*?m8G<9>axm$%-M%;WO$J=;YIpO zLi^al7m;mY4Zz!l15)OM_wliV%F!C{KIy`f(;mL!pQ;QEHpysJ+iSkG0!qmuGY%Zw zU!J?vD*1X{UI5c-Dy{nZ6}S4C+kB`01FXGNS~$-5RUUusvIg!m^)dRe&&D(P5jX6a zf$baV**#HFs1mSaHwO_9jZK4&_f#ffpB}?a9?`R{@5T$Y4xmr;QQ4fYu?_{pwjBPk z4)yr`D&av69_uSy7An~Ap~?fM<+5jUxh0GPVM)b>d27e>DcRALzIZm-IVD09nSG+$ zu&BpV9NSG=6G4_?3~r8>*}hqN8&w9FJ@!kYkxRCWOoK>V`a=-qTdc-n z|8ynzW`1j1?OF6x!U>JfN#9jc-VsmE2;OoGfpDPv z@N9BXi~c;G-X^^@?A z1U=JwFW4Fj!TQ$fZ9k}M`oOV3L0U?d=}g`MBD#4h2xG+pzHzDLfn_c2PSV1gxzCs^ zPpjY#APFb-zw$?j0oVe20bez7Ry7JpM3 zi+ojO;+}a9&B1LnA?W9U^Hz3VVOv@q>Zy(4&mvFD&|9+pBJ`M1s`|B>o531+kfmT;ujO zD)p<$P-(X8o5^>nKF}k5mdNceYC<0rxbYpTJ9%1ii?!Sb53sb)m}@jYM;>rJilZe1 z?cRGi8B49icp5jKvj%(2%Wbi{Yn76Mt(L(;41jX~G$O7(<3_2HoN>33p2)d}^Z`LiD+rh}Jvb`= zW5>V{FH`p+>HiYOAP%zM@AJ!sVSZbYmwR{&2oa*JGm9>TGaBbokFT@SCf6a{IkFeq zjFd(s<^|y?aHA_BVBD|-33fRjuW*;TeV;Zs_LyK> z5f|e@-%|TP8FHA~>@w+^SW8scY9%$F&*~J$(Z?9X;_gnqI*d6n!M7e9dBs)9=4(U0bNCf{M@f=icy|| z(}0hy{H9EJb*3BRe>&8Rl~HB7XxvQFZq7cwMF7)XwO{3{t=IvFC-I6p$Ka*0>4>w` zP%9s3 zHrPr8G|mcp5zuDlFcKB2jc(#stLoao#C{?IHFnGt(d!S%Xeks-9RlKVPZOaV_Vkm7 z77U-_N=)X`mBM1VGq56F=V&~8uAi5eo?s5`MkB0tQqnPNgRLq#5`8_#7>PK zj$FuWgOF>TO*>5Y8r2y7X#DO7~l7}s?W8TL`i zf@@+{KkMUzCXDH>;-)fx-G3y-cPHX&A(tAI5&AflxTlY2bVLZ|F66-FN|Bw~WoEo) zapL~~t8OjV-Y4gNni^iFY!-6=C=hC*2)+8QAcf&o_x^kn*{y9SJc+Q;R;O*~IIKrDW<^Ql7;>Uo%3P0Tf%O37W zXQ-PF)m380T3WpX{jWy0g9$S>grOH4g=w*)CtS@Ev=ob%{>K#*75=b0C|`+0q*4n# z-e}6FB|#~_zXdJiuVr#lhnWyBaxo#g5#8UN#I>PM1G6V3_i= z%I5=FB3z-K&IBB-&7fIdr*`#TLQR!IpKCPRlW&@c4&z|@F!6OJkM)^j@j|IQtL>j+ z=|&?A-pdSF*CkD<=9J8Szc&v&_cD5v4OiXgs-K z`l?K;ta3d5!|ovm4O4Z;v`bxeVu7Jh(}E^Es-R8AdW=+5LU(s4;K`V_#0b;s^u$J` zPAc0Gh=m`$#OfmN5NU=Dz&Ri)C?UldCZ#sJM~EJuL*b3;%*G6zHbUi9|0@d(>7MfL zLsLwBTvH)}BIITP4_PJDi4K6jx|mO+!R@{Mtc>JhRl#FICSa%l^)Lx{%gInKM(I@A z(zjHcgT2v1zT7dMUtA+~#N=|iff{+nk+*(^6L|0lpXyz!bf}!LvHnP6aj9ue80s+5(QxEeanhsU;j2{rk*J>K%OUUK$pXNpR0W%1LAld zY{tkM9^}+^allFIA8aPxG^G|IOhVQj(ONFrACGKNeyZ)gRwQ+-=T9YMMfO zM;L4qr#t}yT)?8&s5Rew^_Xr%mw>9u*a1CTryqj$BZx;iay^m5YNQX=Cj*!@Ic)upY1YIZ5NJZQ)m-o2FsD>Eor~Gt>Qjm3el4(qwgT*egR# zpoN`4RdWEhL2ZEsFMxI_!&|Isx9JK`i4UnVRQ)sjJ6O@j*ZD`ru;0#1%nj1JQee6S z1;rJ?J+3LCY>{JUllugc4)eBh=u*9lCuO8Kl7Q$^zBgGN4r5c(p+sAfjdKT7iz?js zdqweA`l8LzFvjaPEbwPMyTyg-y!TBI!1+N{A;}ArDLgFd@DZCgt)k8|Q0Lx$An5o7 z_&nBv6)iwPIDAcSIl6={1hU@@`05s6S zrfDoQhs4)3lAJPjsyQ?*P}LS++I`3q5KA8h8x=dugufTMcNN-`MGmK~*Q_k;rjOHs zdda!&X+06K=Vf?N2vy$-4{rUSq-l1tjpVM~oZCiNZi-3EWrQZX5thZzoM_x&y7TT( zRiD1;k9eZWvMvO)xsFlGM^EXY)N80JE}dCPdB$9E(!sV4tv?kyTXbdB-ZMXFvHQE* zQ1!Y(o;dkDW*HKDXA02Nghm2a4|$#nO8Jr%Zr|>0^s?WCy@p17^9vgXkG~emS<}{T zi{zR7L+>1KD15Cf5x`t_BvN$CO;MyLi|F39O_)zP0)8)f+-&8^3)x|zcIqlw=3wXg z$pP8*T6f5W;ea?M(OV9$!r}}>GeS3`5j~mBGtVK5W8V{XSl_zKKtiXb z+VOSwrI~oFzRe2}{vm~NFq6g``fytVxnGG>X{mdulB=QyAGuPl*PLhFf~vENpWQ!S zf7`^v_*q+>cNPvUtlt-yrU`|=vZA}p-&ZNDD=``+{Y=OIv0W*Dc7{J_@oUQ$DR1{G zAO0_gI;vYd{m)196@O;TiDck&SD_LIjCgO{+Hx*;3_8^#Qoa#itZA|+`V5+amv~E( z=@)M78X|On?yTTr<59UW>kyx78r6c(kU<(~psX5|NCPyu0=oLaNH(T>(1rjF8QgwT@@b5d5n{J+R3u(MHIyM&q^4u)%K#T(OkB~L6A3~>VLA`Fn}wUdO$tR* zqhtIVCB*#eS4@TfZmwI|bosgZ7Nrz7H`qlfhr?E=b6VVsE7e1Fz{nwW>-M zD|Q)%OxFLIz@q&|p=AjUIR*sGl-2VVK zcPM}Kl|$dpui1Oo*T*Ao(vJ{Rw85lV-yKqh#gXPJkLs{9*FXHv1PD|KbM7ck>en_u zxe>TsuDwjz>(_V~SW~+|t;@@o3QN(?VRm|!lF{0^b1M-*Y_M@pZE*gUrzUheb?&fS zy331`HLwZ$*j`v!$DR8<~vD&Q-Mh4I8rxi;M{3rcd>uH`4!^5WD zp0Me&^)-%Y2%XW=dJ+>y&U z^y}T#E3I~412iw|09^dE+mhNcBVgY%ClHH1{#=q8&canI66oc#JmKg+Pd_}3Gn(X* zfb(78&vn`L^><|jGSp;ODg|$q9Se2Yy!A5hOnq2(-K}ah7Qh?3CMRR4yM&+?UUlIEI(w}-a3c<2( z-W#&^NHPv}36drP zw@hpU`^xb=JsDh4yXog`)FMp}_@Bilr#Tm&osM$6j5=JqShY2w_Lh>d4l4rbT&y#LnjECdxT+A z9ABPgbDiW0*Kf=5a}5o5^~=kfxo<|}+{FENW%_2MQDe0#8HdTQa7MHQiNqQ*IYRh_ zy0&SzM!XAD21jt6>Ur>}O)b>!>>^{o(D}2Z4TP@v9kq+KbcHlHa4+nqssWeUh!^d8 z%#%?Wz{J>p!{5+>qHIX94mqS|V471{Eacs2xp%2`%pZ!HL|W=2yjYPt?y24o>#K_x zw&E&_%9wl<-JpC&KrZUFHt$(E|A~NewCens4OW{N{9eUQR3gX1O@teRO~878OTz8G zA8IS%a@LjnSKEkOIG^JJvat2D+++7-CqyM2@bpybbkjK)>52LLi_Az zg)`JJPlheX8es>jjn2!~Z0tn=?&nY$`D)iOOEzZ!?)P%Ao6Nq2&+9C=9`PT=a_UZ^ zIZWX~&ncu9w1t2D{GqeY9sz^df&n%aHxH$1eD@kLlNXpqZrX%U*<|{vh0+~rdiD%T zvTnPG!n{jX?d;>SUV%>>3ZMnz71liqb->qnZegPX<~>m(FiT46c5r@>byFahrgBjb zpHcv}E8ojY;)cGp-6qI%WhqT%ZP$2PLS8}8tI(`D5sc^SjyXH9<_(;ekPI?YLU zMMkn_oJmGBlL7YFsPE2tZTC&%HLRtaT>88B0r5rfOf<*1bvL>pSfz`&knoA2^RLDv zUafIA%L#M`RnMM-58!%Nz_D&7S#)!{-nUQ$Q{?8?Pk}15igBg4;G#zl z^oHyP2|8kGkmBIap}l+|@mdDBwo}2iiW}I-!|m{9|Gg|foRh#FT8qx=6l@seFe}TDG&AGI$*IktHUsZDnpsnwgxrR-mTnQxyX~r;hDqR6sK&+ zXF_=#@<>ew0&e7*okn(9+Y=}ZfwzWShWhFoxMNmvFgUGK z#(w^d#?^uk{{z%9Iv5(wF^$`U6dC6pT-gf{T$bpLvv1mhJ8g&4P4by_x=NjG!>3=S%(v&X*tlnVtspUp_M?``W0HkOG~M5vh;T6NQJ zF3^KHR%1W$#PQ_tSKKG{3UWN*7h8xsVwceq4|4IfA2^h6-0^CPw3TXLSG!1_k4!XL zf?xldRgLi0X!6TR4||p$Edaf1V^Mz@jcuq{IJj=A9+i|EXZD5GVPJoYPWJP?Z9bx& zAynjhPoa^(66@c-KU}{#DG|A<5OSq39>{cMLHNU$jVw7S5o$zpVV$Yc1zjdVx z1nA!W58Ky22*OmbovHtwfo*5lCAoas*$|{VEk$ePlzrHMP?t*sg?^H4E?{8$$2N4jNRwZ>RLC zpp~v=neL14k=k|qH82#Q--AX={|vCJQnf2Zd^l0pbNDv%QzO^d9lJe`G`nbCxpz-| z%cey0PH;bhTHLr9LLrJa76yqA9JRQ-Y=Bp$EIVaxp#T%DDoP(By=CIDUdzy@x+;-Q zF104uY^)GdB0G7iMpHwCAV=_@q04&p6XK`5<$I_Gjj?(eE8)yf&uaAYo?yaegY7&B zT%T}_+72_F(9a2muDnq@kPXjNvt+so;G#BMVr+=kbkn=(fKy!Zzwe}U>_bi1Za!}k zHDWEXfO+J4sI^~wkzA%c(z42um`Ekq->Pc347p-)1MLq~tZUJgzE;>{>h5ZV-gOy!VwxE-Z=p&*EKb+*I7n z{4#p?eFVrj0VGjq z^SW^7#L?R|n+2sw+cug>d4XX5nsL>O{Bb)pe!^$afrkpfBJy1F_vj0Ym9E(p^Q{j9 z5vE_-s2%z^+g~(CQ9#aAuDUtx+b7@`ZKnJUo;au;kC#5a_qWtE%L8YOnM%+T!nR61 zBhY+9SdO*+wr>!*HG?oR^=Q~ZyQHN1%(qIF)stDNrKJl=X(@}_ZkYS$$=ShIc*K|f zoZ|eM)xY+HPdjCz@24coh^Y-Ur_7rOY}7G-t1c*18)HC__Gd1v*{kf22|~9|_zi%x zx*P+?*P{+(pW0l%P8NMRp-zOhzxu6rciP*tSIvmS=uuT9X;kh$>0BLp2tvGaXb0!r zzLd3gJA0(9N8a9G({zUw_+MO`8FKzeQa=JNd9R(HVMy3lw3qOo^a{5SAG%{%7x1Q= z5%BP$*@L|!6MLpp%Jh>`7yl4WrGLN*L^KW5lesqEMDVqGacIi=Mwsts|nTSShU| zp#27|znUFS%R-l;u46eO+K<4^mmRR`4_T57{WsbVnK>z<`(LWwH}OA->yM^MVF=bA zIU+HEbZ1J9som_?aKC%=RS3zwhMtNgB|1LEOs<>2Dw=dR`e_p9xZ?Fix^$qY#P(DW-XpK2wa#R`L0 zUel9chIGVyB5oZM9y`{^jt~ksP|WIF*$ln~ z;80bSB8w%PK-zM+9U$S3M%F7Tu3T z0EYu5b4s%Y1mqs632=gZ8veY&KQlp1*hi}vZPT(@Jt;5+Y z9kFu$L6oRthn-WF9=46nGDaDi(bQj>M$z>A5B#;)gOnmQK!^R7RG!1PAy~alR{H#| zBQ4%a>gSqUX#JI0oy>lUT*$!kvQIUyS{wqdHM0M$QI1F(cKrw)hBNj*JM-+)+Dt%y zHwy#iT{Ein3V`5v`ouCekYbTApQ1&jMgWor${I?45f1s z!#>2Ybqa4<>@vBuV(1%c^Hpd#-5qHYE?wd8nW`N)%>-lR%pc!`74pheByn)JosVx% zu?Q5JIasKssky7U_g+w7`u$H{j6y*9&5`SFueGDkvIs9CE*CAKoUFo|#V|XFOy%-B zy!&;ZMkpqB-3|DNgt!uK@$LB15&fz-Ou`eqPUDic5RagG$O~noG|bP+)ocCN%2e7v z1`3x6C9yug?z6Q#s94xlCL1qa!DdPSBa=k;h5gl$+W z?Djt4l?)*V!o*V2N-jP6nZ3t*?L^r-_lZL%PtY(KuRTCc>7d`7bSvK^o$Vh>G9OD} zTlU54szNb?V%aKJ3&1{{h>w;{BXuSC!lV4GazzK6?QZtRa059Ht`UYd$Z6nF?BhGy zn4fros4UC6zS>d?J{I&m+Xjo_LMYkgw|z-Z;8d&qEa*YVn=D@8*ar=Luc0x|5izaV z8s8=7?#@QW^}NZl-N?yI#%gKuus{#!%W<1JLW41xu6WszE$gdB?6DoD2RP(QA8R!6 z2_k)!%6Z*BSns2ENxOnc*(t4ST#EP7vV-CO0M=&EE3a*a0HM(9U&dW&?Ld3{Yl7|bA%c%@9{0l42CU@QGh zRYcxpZGt;Rxr1r+i*c7zex}SrSSw&ViCZhQ17EnfovY{KQ`#BaX#+@aa4^kP-XbA1 zUFDQ)B5MT46#zV$oOEK~8ZD?0g&0VyD>@VkTNR~CW~#-s0Q|thBB(iCtZuF81E!1A>b9dU3pShj-cc% zG{bKgrr`3zMddA7)+UP0w9L3m0SkhR0NAT>*h@=f?Gqv=WkP8lO+Xg+bZRj|DRM>W4Tfm|YK~wOA43T4#*!*rUIO6Oi>juf zha3WG7dFf;3v(T5`Hct+G-6YdXmfo=#|ny!LQ9Iaqw^h9TeWSZqa|w6Tq077Ky97T zf;fxNL5y97VHlv{7Ao#20ktO1$Xul3V=cjKX;H1WWT8_S#i8_Bh)5qejhCrTH!V02 zX*-L&faWt*l}sX_k4$k6ZBcUky7M`0J>6+j?%Ec<{GPM-VELby-nX-xw zc!E{jiXj#w$r}Uf32^MW19|1s6ea`9E_APWA)wWC+{k7;#5`Ize9E<8BTJ24{uxBP z&0;uLF95TxLM&O*;AC3Y2*QZl2c=yV4z!1NH6iR7*!{)LH>Os1nWTXzxx}pQ zB}%G+OA%~<QPjCnyv8(M(Vl97y>Pv;#aS_CJjrf8saTGVz z#|c5KIhFwo1Z^GP62gn|Dc<9TFO;HYEwAxZ6Qi;PfD+24w+uElkJ?!R^AreXuNNw8 zt2`2(>RKEzBirI+1?7|3SLPK&h0vFl5qMxafngR3G)i+g+d}{d z7dLyE;G9IPpy|x04G{)dTbNu7>5GNZ3L%^K4irq6XLFq+k5 zxH@5zR00f)sNFu)V&JN6Q9|JHENbX)F1|p#c6OS%$zGg~23Pj8CZPMB87@!=_!>xBH&a_}>&V^iU`Zztl0uwj`PW z7EMSL6@RG@E`S|hvvF`(151vvMd2&zTesFKYxOhgykGj6!?;Gj!d7|Hd-hauW>=#I zww6cg9|d=Sz0CHEUrEjnyni@>o~f8PpkCB>=jEnl37MEUB+H!R8Xb zYb!{qE7%!#_H715uZ7DN38<=xnQJyc%g4nO%3Z~1Vp@VIzT*)9<%M}k3_zTh3Pbr^ z$}025Y=_?@wiD9z21szDxYhyg;i&o7702FgDOSGH;`^;;AklLToIx7C3vd%ipu9fj zZ=g6O5TWipV)=^Sz-5%S8fBUooHYughm|b`^pzPe*$Y&q<{7xRej^sEbEaOG7dVS; zewmxme6gJ^Xq(A9szekoGP?u>p`3))Hi_g|t;8ArVce#?O6Y##RK(s@ih*BIp+?7S zPF?c|ApNE#vKx%Aq7bY=A;h7Or*9An)$tlzUqoEx>R7)1=W-C+XSrxX50> zwMP=#t`6u-1gn%t)41adDa5~0m_bag=ZjuuOv%i%ED${9wlU@^yT4H_7>QR=n23m6 z7kFR@-A`Y|$v7Z8#>^5n&}j{K#21*%%t?9JT6+Tm7SIVPXw^gxaQFHJVC_N zAozs~1MXiVt|x%0mo06+t~*W5=LPXD2uzg#+!BKU^B3}JROps@mOa5-sE8bEhGf>| zWTb4{_*`U4A+Rr+sIRIS-nnDQS1~N$7fTSma)1yzR$)V#<^$$+4n!fVLk`FB3amqJ zBXWu>U)0crD)B0#j|@!!kP8Jlm@dLz%v4yjP+5MTFj%m~FiE=%Lr6nG?pU^al`0-l z)xdyDMdEG?w{Zem2(r~dwhd;C@X7@)@u;ktIga43Y+D5n60m4Z%MooY`<4ZF_bjjr zEsL@Q+LbOwDaR46gT=t}1GUQ&FdM172loj4A6_5V_>pavU7oHh5kMKz1P#p%e3B71P!~xzV(go_NFb5e_Tsf5(7P`55A;`&wHhS!?m>dsjz4HWkN0eNv z0jA|0715X6$^lU!9VNsTFbi;&4j&OK)ho|T#N_k`B&xaqSHwUObQe&O3R8vpo2)}y zgHhTulAa=|dlk=%l$tq=%LSmL?5Ls`8or_xHeP(oRiW~eYpzMmAz&V(Mw#|XgjXkW z)NS~fMWAmrETOg`UQ?%0mWWjxyvtT|iiovWge%E@;+!Il5k|1Ws!N5Ms0t;h8TMdh4q-<~Y*In-W^fDY6fmAr z)iW;{Cjow@5apH{+$=+EqXCv^CgR0R^KcR+tN}2pLlc~9nOzz4Qps`Dt@wdWvYT06 zSkx7MW&tbsmc7fE>+n6Lv!cF9KaHyj;ixSk5H#Fywo$@S#CQoU#%X*&DkczOQ^co;*4xB%tNB1F z%iKCHiAEciiduk9SBYQ@!UEZrnFyt21T};_3L$V47id|!$Keala3ZE2WiXzwj8N`z zvlW-b3uSKg9YTo;Y`=20V1cbi=>T=O=!trjv14$oN?uZ^Fcg+6!0syI&SJ=lJ0fl_6HD$ZtOx=w z`RZEB$w5Kil&_!uwLSnGwolzcBodzZ%(UAVhzGA0S)0dmHDct2Vl?hkh+~T~&SwWhA5upAn$E=r2)aRbV#=%KVbnCEsvujxtZP0bm9K zStSfscLGaKCY~cVyt)|GAgvGsVh^#q41w;a(yvAJ{V zGfx$$(T7|;aePWJs7xLhb`|;Xm0-UBC1D8eo?wa!RCO`rXyp7D@BxBsS!e(r7@?)b zCGIXt^btiNCB)e&!WmDp0MUyiMNXJ0VVS5YIfJNd2Jw1_MZ zQq@(#pxjPGQdrDgjNalQ6n8VpY)r1hiIq}1+3_v1R5lSa194Z(7zP-*RM9Ec3~pD5 zQDkmVSXbgw1(KL*IGgHPUSGJ{u4L)+2WR1MDQ}o7APvFVvb-@0%EwGZQV}b4EH%U; z6`>JQqZ0`c7T-t;R6I)!ii%-Z5jKaJ0w8w=rePHxkvPW8 zIWsiZk5F?pi6r8^PxHDHR5A)G&v`aEw0SAzVzzMZ#IA+N~0W{lFW) zsmN+lvQyJDp|~xwhhwO_ORBdnvja>djv&_@9S1IAvYf-6)N5wptfeKiS76Rtn6SFO9D9=Xp%uyZC$_p0fNy;^AIa=>}nyR9$?JWAp=}R zZy<$gE5}g)xe|`dxjTdb3CsYjgv&E(13sfQ0?MDvJr2R4)*_hN?44gx6Pf}SVH=ge zp?c;b4c=OmZgGn+tg8`wkC`!XiD4d6AR)nuWzPi)3Ss+1P!hKd1zs57S!Sb9djn?j zbe!<5NQEPE_{9Me;9G2~BBu;u!b2voy5YO?DxtXcU1DnU+z&}^wl$~|#0b<`<9F&* zLsA$?wed;|Y91F+S^;ZEU<&=aZP2Vv^f%1>mxmXj?lP zj#*f{n7q4+H@pY$6>+ zm68CoA2RM@pr;T?0AvO8498N`@e5(8Q$jFV3~nVvW3RaE(-RV~Umt`PxWZ@$$q)_b zg7BxCg_``L((gnnV_pHIuK*3pvB-UWI7b)s!SoIrgRlAl}plE^%oR!t(66C^|U_j$lw#Oh-M!iWix2S2JX|o7}pZDpYD;JP_biC<1wb zYEuj)m}^!|rlCdEOq6Yui>LtEM-qX0yB9282Fz<3d-6gF4BW>QUJ8g z<530$(Lpwio+7Zx_Z!O3sM0#-;|*S1l?;ZGiGh2V3@{9^fV>BVmP1d>6c^OMpwtl~ z7#Q5-U1u_+2~ncgGUF)4-X%?O+%StV5RE&i@Eab|Ff-a3sx1XI5ZLM!$fX!vTqp|J z+@c29Hw6%0ilvzyokGkM>N2vBRRHUnpv0@V7JM%`2he1t~QY=o^)LT?eS7DE!{ zjs;wh{?q>eHt>I6;@sPzr#n2F$AhE%Te5*KHtX_*TL`w6TypyiL$X@vfT^9T8HK|o zLqv0^lp^aSJjyDYj;h*?^|^j}@hoz9VhmL;c!HI0)SS8&UDIDk(Gpjk---m=7Kh$L>whVcbb zR4Wu}A*+CL0iexFqLq3;%{GvU{{RzYS&LoTgQ27&-%saHW6=@;Rcu`hB+IJ=g-5(pD zq;V{2;uP};6u1l;CFt>p(2CloT9s-stz50B?K?pf2$xqI7lu$lLkR+YMS z4I`?c9D~F{!(QQ1);OXtggjIem?sryai9&1T`ct-!kogizL*ghCLpv7u}V0CwZNn` zQ!b`Q+_&Nd4&p&YJj2IR0Vx*45aDCYt7N_2K1sZ+ilbo*skkaPP;FmD^A_BI((?`P z5pco8dn{9)VD`}(Wqm_9xY~Zm|WH6Zl@}y zVq2H(1a99E0IbX7b<$B%2#a9MF<-pERTI33cu05wSxbNb3dvHYUqz@i3%q7l6@98a z2z%lrm$P>+%(QL6JU_U*DAtLLygb0lh1%{}FxDz38UTh9o6N4zIv~pd=A~&-)o~m^ zeK0tx`$tOynv8~C_<*YFZC@&lZj|{Vg%_!&MfAonEMnIHaxUN&7UtuQRL0P70?}+O zk=!|iZJGOEb>cJ!2=K;cl_O7TocI1F8ikgBoDTQmf#zYSwQWEQug z&+RrXhEV>J<&f>zl>=*I{6(v7+T1AIbOxocR=!SSXzg+h*(>lbBbcDE=+h8W=zpoO z19Ix9#ajakH`5VsN+CywP%bgr04k)(1$mZKVKES}tMPG#3V4l1M+~XAzZ!x}EM@{z z%%W>vIhMZ9sAZshkR=#8AeC}lpt*Q}q#$%ln`qr!zI?0ON(miw38;$yzi@;1UI)YMSUng)vYBV$qMPrx=tQ|rr zwk3w(8iY!t#0Y@+VAUb7h_G$(A4ox>8KIVs9U(2-pe2w~79}w`8mNwd3~DeqFjdk$ zM7XD@6|$Wyw9e7DZ>e$o5T_U060V+^g0z%$!MJh}(H@XQ$8}Q+(J8nFn)L>(8}q1w zki^A337E0*9$Wg9f6^;O+v0W|u{ol|wWtGhhp5m--L);A--%^mopCA3_=zgPUBoLg zxX%%F75O8}pSZn@%;ML?uq}Kbh`HpH8!fwvCEE2A#Ba zxquU;fGbgVaBYWA_f&2oU>k5W{(p zF=|o~r)F|Rt`XR0_bZ2ar~8j-KZpB*c6|Z<=P7)j?E`sAf3y-EU@`lZx>3!4v`AoD zD}K`U4!`e+x&j>0{w84w4{5$U#>Yi@zfg0^*zz}qnU~4w{^NGvgb!-MnPsb4-!hWG zY^6Kgwhibr`IY+(qSY2h)sps~NB$Cs-+$_d$`)C!>b$`Tpjf9?Ha#-|0HT!n4~T-X zxifyEVAvUM{-J_8f8&e=0br}?##NZU9-Zjkeq1Vk!G&$2VR$QeDTI6Yb1tt4PHBX?@YYiPFPdhkNH$$m$DfyK}+{m0qLkdv` za|AU|A~p}EXhEW0tI8nqfdpMCumuY5puZ796#$hy5R-u{zC@?YypRsBmdFl?cLaG7 zlzq`+bvbY>j6j8lCUOj0c&GkTIRFkF)!jjXaiZ{zvd92QP0VVpip4|#3<vrQ=AXD>x<`VD$mf(-r9t>(^aG-DrC&rRyZSJ= z6k;iCM6eI4lF+b!>8Wh73UdDdQC6PH-~C0)mm~iG8R#m*`hc|Q&?O}<1~aDl#J2kx zzjE-Buz#^CoGkrYyRQlyTkp*%4e_ph!(x${%})3;fz*AB}(}-E*f;A z_pjE}H4I^G<*~rA?&)3sCxIW@by6m4@1bBu`ENq=pRs=%V*ayoD z8%H$*D}0BUh@W`1x93uqOLnl?yNPL4aJ`5tG@I-*Yk;szQGLvD zk1l5vwPd<(*C=>&HHm<^^0CNYLBW!m=Ft=71>t`lD+qwEgJL$Oo*dbQs)q?Nep=n$ zViX(7BHWr*>QN?jmCRu=a}4r*FaVCdqCtD^*=8UUi)G*sv?!c6Y_f_L>fi$4&f*j= zp_!&@mQ$H3)U=j!S7^JIkzJDVgWSjwURc)$VrDD@dDP|U*_CTmzi}7MU7LcbUw0Pb z*9Blb!2z_q))`7Q)G1Q;#m-#cI_xlAZjN3OomwSUXpRy4P zpscq2u$-_;X-DkWz zza~HwqQv|RKnk@Dy8e&C#)=42An*7-zyAP1#cP*mpZm+);5Eym{{H|6P_ZRK4^r>X z{{ZmI1qx|RfAUz7HmJ3q_%HQ8^55ztR&59`C4wHK3i_cuKxh(c#8i3 z-2F|1f77Y|0C4`GD1qPp5oZ-am2HZDrvz9YpcMjmh80xKL{m4>KkMJr+dsecPy6Tf z9@#dPB{YSPk|~Jjf3_|rE~4Haf&>PGQwKm1U4JQ>+sUitfYDb{22oc~Yv6!7tS~4_ OS5a-C47vXRh=53! z4hpstl=pdkpXa;Z`Of|G-jm-CcJ{1UGqa|y?6rqOUs+W^SP%x{SottK4kDJ601JUV z5H28DSuhBy?d*<(qn+;{?ZLvBTRpI(6y~B1wgd|c!9>8KlHy?N3pB8ZlmtuyhWV-j z784Q^5yE`a1!FKkP-D!Pn5eKQ7ci-UiC z1G|HWFF{h`l7EK@iwQ~n11153{R1W`Df$nXl+-_9Fd?ab&=V0A6Z`vI5m5<@LN7jl z$%oOLgwQ`=B4U5%AuJ>+`d`4r|Gr*fF_`2(=!uD381g^)ii^YkK~G#<^zV2uVJWG9 zz+ghKzw^M@Tk7xngGq=8|6KQ`s+>~jlA{C@iAgyFqJK3?S$YGLc%a%I1-~Hgru0LgdI{0 z0hbaM6Gw`RiP&HJ-(y%~LI~vT14sM(3Irh#2ZxHD>aSn|{o?#r+#36PBEe84xDOoV z;fN7HAMS|s21|fL*aNe~3mV=&Xe8VXM64cZXKQPVpZgP74g`Kf195V7l;SU8_vu_QlF^BhQv9A*3Svx<|J5|@#qeM?d>^Rsyo=P{Z*_u3z z8!C^(D#j*Ovo8`mkjxUtOL8}04&NyuNeA==0^PGCVp!vM>m5kniumg^8B)jfFyF;q zTikqkhDt)(;~V1@e~;M})Bu~Uo2Tpq(JnfIy#rApAc2?f1MTaU4l)?P(Jirz>42v9 z142&DAEGo394ft9o-BKE`(oqfC8*+tsNsfGtKcdT;Mx)3Y7yXyBn;wEQ-NZgY{|W_ zYeQ2~QUbR+$6$=~##TYO-B;R{a7xXBM}RIiyV=r$QL98|7a$ME<&<<4VjdSepvkQJsG2Vo&W3fmr_U4po)t<0ykW|^S)oQPC~ z45@He1?q7k1AM+TvM6maHX?6&KHqFF0;~n2aQBOJdTE5q#QNJj7k(guNj(2bx0tN` zmyt0U`ImECxWq5dKqK8Tj*E%p-)@Zb_V7j9VZ22O6DYqxN*?Y$7#wd*)|bKrHwJc* z`!E+_q2CTAEc6>JEcDwqgfDXK#kepgPJdm0<6V>r9i+W8T+!n;hS&vtF$_gXQP{64 zqJ;MF{I5q0J$x{ph(Z6ehWwK6FOSzpd)OHveK2I9n2H958Y6G}{GzIgksgdGW>8fT z@I}7D$OBas155oD4XP>*zQ}gJpb}uI-_ZTEtjD#_3 z`@Lq&4GeRGNj?}77r1`}*DoG_N%&jszr?|05nqJQFEd;~FG{N}+zk_C7{M^i+%Xa7 z?CuDbx{Oz-BHSDKYa&z~iMoaKakhgCD0-mm|C8Ir;{MOLUDW6Q5x4(jbRpK?82v>B z1XXr+a6n?5>cWRG`vfo)fysrKwb{YZ9`0bMJ;s)Hb{9T(@fC&i_I3tC9WMS*m_Ib! z&d2!z&B@o@5svnCL&1H0Fj8uJqu}07U?}SH>gMe3>kWo_IC{7vUBOWFg}R^?mn`o5 zzKTeJp-5v}zx|GV% z*BSLo5){(mVo7=`U|~_QOD4ZyzvVzXJ33*M^Gk9J_Tb z`|9J2x=7ZTf@P0%gQGF3@%}seOA;O!Er?z0=5E+KW6X82E@5#|%sPD07sm6)++oy( zKzq0%-4Sp!<`$!}zfhre9-jWcDS@CKXnO}F#v+)_B3Kx+3xr~d4#q`M?wE=Q6~z3v zM>=3^gmiTF#?%$eUJ~jCxBKl3NJljCA`N+>e7!Gi>f`6(?TgW%vj;}OK2DhNf8WCG zd@*?d>gEd;#uT#)Jv)2YUpUh*vLL7(CcB_eaExQP|1k?ApBvoU&KLDdz>5lp8TRtU z)X@tYV!k`UQ5XS#@wx#vv{O6pFm0g8Y*T2IK>PqJpP!3@#BAC{d=~(^DXC#fbzIhlRSa(s3i&|)QS-Y& zSseTH3zgk_23?v2)X_U%91|E8!`V?nElmjF;D^)-%`=Wq8DqLvKv$^Yv4yk8AEJ8} zyo>sJj?XFD+V5qQsIr|8?)SUBX;^0W9xd+o6lF3{trh?&J`JcFe<=N`{^s*pCQUn^ zMTz^eQqvLAHL>p*y|efuud-E1mO^Nx+*Pr(K?$ z;Adoc6uib;C8c-{8?C_H^ohElmbT&Rq;)(Tb)IXKw#p&oDdPKiQ` zR{Th#k%qPB8N0>xQZNJU@#q2f8pol~WZk0K{@oNw>XnFu>2r%%xQIBRLP52K4D4CM zZT3`M_C9K^V24IZ-L6%)trpJoAB)fo{QC!dvqUY8R!X3Fm3TI^lIN_5HXZP{afFz3!(*pcaK zq*S+QWqyqmhr^I1Hn-WX26L^?2NG=DBu}JCk$|MGMKZ5fNauk`N3H=Gc4C)J^=Kh& z@PtEOjG)L!J692|5;0+)CcH+G`L&aBPObqCTHl?bo=T1aMCinW6w~E1e@Mt??-m*+ zwb?bk_JG~xfWtsTm3ulgzR%Lpf?_rLZS%|flT!I{H8Htw!xITs_#Y;Af2r#@!*eGw za*eKjncbV_O)~)TiF_-Z`Idoz(#ZaLY*Lm)Y4gNt3ZAmjLz;WkCAJomK6UJT8%+## z`J?^)@it>$o|gItzbV)peOx|>R~b=5TQD*B*xA~m-FzFSaVQ>#YW1V8myBE9S%@3t z$V*XDHRO06m04OSubZ4h9)%{#bXwRI4KZR($rds+-Sw z6?B^w@bvk*oWA9G+Qy>Pvop4M$1*+0c+At$q^Mj(V!i%|Aj5z%elYjBTa=NY2!n{3 zI5+=(LH|y0m^?K}yKoU$LH|)|t_dL4-Ux!Xd%G<-fDk7~lM_N7LLN-5MCe5x9Hc)I zR;>?IxXlA7hEOvv8PX|cYZfju(oO{LJ|>)$7f@RuXGb5Z_igG_q(T5V)85xgRZIZb zLQKl(^{YYh5@jc$06Ys+LD~~0SWSZ+!J`VV=XIQl+YyYj=4ry2@pu9@XL}ICO(A%aOX)qNd4k z&3aol=nY`GvB`aNz8^wf(jZx6@_5vkH%PB4cHcrpwS|hY#JHoGxb%%S>><{sY3ULY zloJ74#&RddO#nxNJ4kRs^lZ0jBt=qohiP5L0qXOjZIFAarbklN*z)cGR(&y3na_G8 z98W|~u$tLqa-xl1L3vEw@7Zb|Q@zopBgUrY!6PrGRP^~ zxv8w$1By@AyBN7>5=+n1N)fw3ax@iJ)pETs-j_7F`|-R_ z(sz+~Mvb$^7q9j0unG#ubHzGWJ5MR{*!uUPxoRY7I@1HlOoG8ibLagep`ZG5{9bX7 z3u-htZ7OOuI~4MpUs)J$HA)4YJebBB_6~K@djLMLYI{QtzzM8s6K#Y{XgWTbw%AK- z;2oKy=aY2>j%eJ%y3x*1%C+F5TVDj`XY)Km(;J!< zeJ!@IuS()P?btkjSOCCQBXkWctGRJ)obVpsBvQM62|J+cl_|HUioZheqEF<5be9=pWS)$iPB z4_ucZ>;XNxF5h%E*7XL)qxlF!WRDyvd4Qxn!7oclbqNDYw@^KJWLA8Gg@m!Wz1n9$ zp;uo>dE3d-rgc1LW7ddJH-pgVvc9?GF~%9R(m3SHa? z%px4vIrE|*s7~8eRD6VxSMhvCo{%rfA90=ZbVkq}g4)F0CY-YCaTHR$KX4OoKX;FS zvTIilS0H;hwuF?wn9$9BmcnLeXLXzRlqjKxSno4I#mKsT;8H>;`-=2+{g_3)?(R=J zhjF6c$yDr@YT#yO8FdP+KaLniuQ)rN`>L}ZY+6ijUU89baLyQ948 z-A{;h^+59aM8rR_2fiGC3LL6%#M)mY3RD0cHWF9H-EY$;j9F*Od&+GPKsZdwaS+@E zi({8}nHWoDgR--Ea2^ixz8#)~CKZ0K&_1fvC9c(=`9nLCATsacmCT=`SS{JOuxx3r*u51bF+QozBV9-YMl4vp1X1_xGXe`~N zJS_F&1W~~rVC&i8SJ}^iqNb@})#TKhZ(B;nZ$Q=wdCdTeGR#ZLT-!|d1vg2{HvAP z8?iq9pBk_}-BuuXucqjn*X}t37Egx6avGCyYPU=!w9Jr^D55Za4pkC<4?O0&HlQS! z@PNB^pF=Bve=TcIuS4AXNXW=bC~8is_Ny>%DzVG%o~|yTK4q^V@tJ!Ce{B7k+m9Wp z>IHJbSnZ40Y(^Iph5IM@Gtf4Xi09V(SsIhTj=P5u=6zs^Knv(6))PcmxtqbRx9PJ4BE<2g2ZUWc5*VV z-AZEMGY)U{sU$MxIw!6=H@(3l734WgM~OJWNQX>8KG3jlX#|)pUloxgW;<53mqzNA z2IMc@N}Br_v&n*$_0xOy%6I`u+ZGT!YcfzGU6DXq;SQh)9y$r0^2oW;7CJPPO{xtB z&{*CG)CUF*n_%?-8*JxHO22@IKy7`%l&E1EC*ZIR0PDT^NdZB%a^vptT0-%v#}Yex ztWMw@K%mvyE%42!#G5g~AkwHo`L{T}6QBW>fdL`V$s>V4c_4U+lqPA0=Cy4a5GYRB ze#&R9y)$O_13=SqW@|niZD3BmZIPyXKGJGst6+z+pTUwJ%3lM}#LUn#kpa)_-24Rs z7fF};NaZa?YFVm^lzHCrm(Y9!Q0Qyc&)(Q0vYothCQiA)&pS;^JSRT_nqr{ES_Cc~ zPB*FoD%$a|c0n{2L#I{79TU?HQ`0nu3*avElUHZHU8bN!WJ8!`d6lbgg&obfm2bbP z8{9?AeL)O8((vA9Dv`JM#O4t_Ps*$#YSI>KcV5S{y&}j$v*|`%R-d2f6jnQEYG-~* z!X9j3CobhJp+mZ)PkJihi#2N3WKM|Mnx7_vPb*oaUBkobva?KsRVYt79?U>Q-KIzZ~f@kqU20w)A_6N7sO^W(1ucShT&f zycKdsNL6pT%*IP!X%t3^)gM&t6>y8fJ`Hd?^|OyD$d^vZ7fT*=G72|0+@UkD^F5rO z7Mc+>UYp>%Rf8bSUgdZ!1J`-t|5=~Zw6U7jBf$2fDLuI2bBQzOlRK-s#?y7y;8BmM z&c4S&q~?YT5?@Hox&oUT?mim4CE#W421iJI18(aBm$n1VUf(s__WwMqD3%SNTbq;b zxZjX8jnxh`pERNS?nPH%Lq|tg7rc6UYm4p--DqYVbL5$He?f}4EZ2B$BMD%OOp5JC ziN8%eBXd0Q*}}@wlW3cwXX5{|(o0TiX#V|Dh*85EQ$biY1X?pJviH5Z1pu6(@0kf?Z)6YVe-UZI&n9vTI%MXF*UZhV} zSlTKio5VX#&Q(963f6Th9oY7q5&zkbO+mG>_4$2zMFIRw?Xh_S3tihZ{p7QX1Q6Et zUHM+e?1g#Qx}Mh~RpYnU^NeroXID}Ca4=YvwuLDkEpsk~6M5_t@z1gVGp-D56O;kj z6zw6dbNsjghwa)Qn(|dXAb9zrUK4jm@qKZ1pbzf2kB8p~b(uq}@y3`)v&X5HDB73g zx^Qmgvhf2&VRPI&*<5ybld;Wl)c`j5Eomp_ z8M~OS))D?JGhmU!=~T)Kv)L_%_Ab`SDAemmAztWIud+7?_S|hZYOu7fC9tvYFqP`8 zG?`~*BzX6{J=3JUs+MPkLz$`>IikS6Bgxe0_Tt5JyVRCoNo%b2dR~`WI}U9{c~jCK zYNFG%F(Jf?DWz?3GoKoXW1|Vm#8tLMD?XmwDLo}xiU$i~ohap>vl-x3I!%EeO6#nb z4&3TuY~2d|Q{hEde5llR(M~sMU89d=OBZqRtqXoxovzA< zW1m%N=+Nw|gZEHR+TK_aJUbgmCSF_SRhqzPnonzW4leu#stS+j1j>MfHD8FpS*Nmh z>%Tti;fB^^B=)?>t5rW0Ewbg6eGE2`kN6<(2;7tZA%@ z0n2Z9yGN-VzPDvg4#v0+zSWz!VY*iR=5^-YupoAEz=Ik&oQHB)JDIU9TAubRvgr22 zQR#GuV(5$cyR88wW0uP$Zz;oa3$;K>ubED`UVNq6NNwX*86kiIcM4_ExPIi zaaVY=R8HvTKUf$l$2oETGiK9LPAH$%OW-9&Lim_Zcgi_6QqXlUz*gcLFQ=@79_f_i z9-i=d_u5N^*5JCn7fTR(G=6)6X5oWZL#`Fy&&8kqEK^O2g0y(W{SYr@?q;Ey*$NuF z&&+|hWT>=*jIr$l0ow0<@3s+tcuiW(QIThcf6PX0?3&hc{*?WqBqECkd*QhIC8C%G zcdcYZc}mzY$S%6q^Yeksu8qSB zh0U&YqBJti*Rr1p*ffFxO8VRmg3Lfw1a>I50)QDrfPnr4VZoNmOCTCM;QQBVxy-`B02cinxL& zHI}2H#;3hiq$O632D@#o2=nyR{&WGDTw+!)A9LcVw6}mLRm6EtXuqrygpe&Ej;(Y^9mQNt z#)Qud!C_P7CeC%rE|ZKCN%$xgLy|==%{yKQkS-0$Wx#h>meaFa z_`*k)to|kkTFU=DPkqjqshViXVztA0rW-&4moMiEV|8+(2jJKmGWD)x8!~Hb^1bDX zIJD2CmPc$9b6^`%Idm&PA@r;2wUYWggt?NXoRFLdBrgDV{D><`LAyh^Jx(U+V|b+t z14t>tUiMqH51VLe*qdrZOuj}f@xDl19hG{ik}Zwqb1mlEFPg9cGAeb%3HA)e-W8g` zY7Ht^bPP>A*XdigT7I$#&hh?!MviHOzS3IN0i4n?=pqh2ZJ*y9C zsm%a7hVmH>L@SwP()~E(PlUAPO|VJyeR%1V-sJ$O<4duFsZ2~F$6MZX=`r;&cMWSz zolcX*`Z49?WZQp~@0ko|euLUi2S*{ylen}ZWzh5=ZjJZaDdfl(Ln5o0!dFIwS{c_R zj1}p~40ZH9nM`<^tr+Je#$WBfuW?eKTQW#Rj~2|x^e{>pFpAl7DAZEcMFq=8@gNMN z^zxQB$JcZFHpHR};3i+&vovr*&twFsI*ZvN{Ar$Cdq-yi03zMPmdW@AdmQLx`51Y- zAqs_*#n&^oQ_VamroME7XPXt;JT+syx(YWZWja0dNSZR7c_{ljUlPWPW#q_KyT06W znjp(CbYc%EK9VyMF>@lGTp%a%{36f6uNguw6G~qBF;#!o;nUCuXMLA$4{de3(1RYX zgzsm*7TNKWlX8p3x=jxrknT936DP6 zvc9fkFp^_4KkX@bFH&l7Gk688Y)kddC?6HomX=bLvXVtWd_zAm0++dFjO+$)1D}j^Dw;f zYG8I}jN3^wRn8X{|^m$r`U7k+zh>1SW!{=A@h@Zxf z+?Vf>oE0vJxe-VDL{+v4FXiJLSbB$zfnvi$DyDOTgYR3LGSTW6?95FGmljCY%p>{`-@L(qImP*8$gufsG#{9qiXz(#9&LSSHC1h=gqbDV0P0Ujr%FdYydS3Tcc-cCF?oL%8@ zGfE;TraMHzbI1yu5TjcACU8lCH%%)XfoscMNX*@l$F5PcATJzD$eO|(qsylkqnelU zMLSqoJPj1y!HU&mM@AppJx<&_V+CU#>NaTZS@Snz>crQVJ_+vfpr3+FwtNb(hSv;h z8)F%`3&EITH%YH>BE0Zg15o(SJZ#Z<{CW$hUJ1I_oe z{LAH53=dg7z3>G#R)iSBDPDSCd1-6ZyeGQ{_vp6_6j^;`3gLh!R21rbkiIzF{l z#A~DXL)-1EcMV(=7_4jLS|TT31au8jT0DABXXClmJ_lN3kkE_c$upl*jW}AkEqIq; z)ae03Xl+1z3spAQAO_T6FeO>`h<(Q|HL zD1Rd0JYGhf@bQfEcus!S^k+s7h&0vJa@1SCZl}p)y|;|#e$&RWTTCFkQI}?2*4gbVj>zu(ccmXH{W57~y3zM(4?4sx=b$NbFKxEL z@MAN=bE!92X^D6^hP=s&Tc&o-{{Hz&G~xAV3Avo*%Krk&1o zD!(4|^rpkIHIlv&@XC)qnP7Z|9qcR{pL(CouFV&_99Pxj953lHE}k|avAw<2PHUZ^ z%lnQ9|Jbt=X4?0FrQ}sfZn2?4Gs9!e4~KVxSjcnMI~}sn=bnOcKicb0=WH{tDZiZp z@OS8**gRLit9r$;b)5|KY(Ww~0pKPRteV62WFo5gNqzx2+R6|}t4VmuE5((o+q>Z% zjwB{)opBjhiM*=f6%dmoI&nL0MoOlPSSH`RR@Kz_o~JE$5~bnP3w8#%gn}%*)QN!` z6Qzx2SRbwFU@R4V%CLy#EK<3=WU4G}w(x^qcJ^8eWj+5{wulNV%EnijdXPdjqPFA2 zVwlS_?PBTmH^FIN#P4aczq^h{|KnN5sa*ngRqUq&a-|SsRqW0P9c1EJj*)4&I*jS= z-p9_DKkYJe5UeIMTb5u3aRZ6RChdBlTGhhTDZYD-Ho^2 zjBo}FmGwzi1J7!~b3av=XT_@~w^sSXqdgpzjPra;D#r>RIk}yTah~c9G|D`4Akyh= z`5e@k7u{r6aq{BjY5O~o!&?Vymdh1Xi>EexjsZ6(n_eYyQp$1 zk_RM=r@1A}hBZZ>j~JO}RCq@K%{+AeE(-El?ur$z5^V>5zwh;<=+=3D#5EsNt>jzj ze0NUEEnqIz;>;=hsTx+3*2!gTqRh#moO;w52NH1BPr@zx=^HB2RVQm@GcTOFM1wVB z4C7Y$UXZ!>uX5ijq^NjY$lpm{w4vxQl{!u34}gHeN>fuN6cZbOjPH)P-5jp#@e1eD zQ6D8*=DuI9*WW8h^SGY$^2z-A1JCUZbgKCM4;o#A%t^bV@d*~j=Gs4F#SkxWp`V!+ zUbbbhbb(sF065g=v6A<`N92CS5|`uSddkBh=}7fjJU6l^a{u^XaFAkCvF~eMQg=d) zB%;3H@O{`WA=@bRL+cNbRx#5rPtRQ;*^Mu`?xU~uBqJ@KxrRqS)S7zI-yb3Aw(Ife zgdh6uJkC?0LM_tw#9i}tl<|JsQcy$DgJpx^hw!;veBNjHJ1>c8U2W`Y{fZtge0^~1 zKyD>UYks-nwBy^yMMn=w>ng(UW_iK@)yGo!{>At5^lePc3@F};?SAjhtBJdpLmzSa z>Lr_TpM~#o)6JN;IB4AI&+BXAZhk3=pOep5Z6gD&mm9S{rJl%o5b44NKY9Rt-XO3Z zYPUPzbwc-!KkLQBU81Ku4P5Px_d`qq;seL+&&`LqE0M;<3_?}!9$)~GISH4&>BF#w6i2XT%D3) zPr2%`V!1z;O4j~Eo%UgOB5|C{oRGs?0f+#(`zMbDkDeb<)r36lN)&r3U&CI>Mr_o^ zNf&tWfc9ktHYOrAoTh5%fU*1;qp-M9@pxYFI_NG{1P*5|_lNv6JvMVroxa%vNkIqd zg?wr?7ungU;>7kF^u(PJpI9OVI0rqws1L5t1tUTH%$$n7wB0ly&hDrZ>L9KkC3$!F z4E$)<`$X1N7-Jo&)n|cvH_0ftm?Ef{27;S{(FAOpOgo`!HQ5|1FKu#*DD6=n+0Jds z1`-Vp1ysaKobe$KA5f@>TYa=mR9cZ46#eLe>WwW7DY<^dbuFa?y*@aX8o5zYDLr2__FNhSeN_UsUrQDo^-h`EwR%8#0v z!b9cdp~~0J=a60Q0TS&G#Ii-s9W>}CAeidYq&&8$2Ygz#N07xrSm_#Ndm67EEm}2a zi+g?0w1gJ~(G5RH>MZmOV22gvXetR%rWjK0=NqymHn!P*;+P{$%_ydp8*U7e}NMNf>R_(Riv zB=D?6~xHy+%(c4+rQS#yEOrg7d>i_9nP+&%sunS9j0=V8=vWn z&*Js=rO`j_^b(WVhIEkyvwN>SgLcSMrLyZ_izFYuI*gT0&ia|xB#Nt|Fy2$;iH+yO zC6=)C+}jL0|J>#n{GfeLx?WOnTS~GT(vfI_%}LuyA%|6WqQa)7H~{;2%z+7h`Fc9} zqeN05DiXwwKl+4AIP=6Xlh1IJu%4x7Wnz;&mH{XG{sgd(V;DM;r4~!vPhKcHR-|5- z3ex%Z%%?~IAg^9>|E)%a2+?yQyZn(M1#Q&276n_s_cKy25NUmG#smfB#NrTHFq;mQ zE-*|>aUT-8@RB=_Q?N(SAw7b8BRNS#jfR|*gx~OecEgufvzNdeVPf7;W3&SzXoyjW^HIb>D~d^( zuiUjX5myIT$tWUh3wnxAI78SvEI*Q(rPd0w=~&UcuohdkL?X0zKUiy~$A$OWr2aHQ zj7UcuYfTXumH<_Che1vmx@+Gp8gfm&_N@0Cj88?`g4y z3iXLr7J5s;;iO#RR*4#ov!Qr}g;-1X#IU-^6F`ZEwHn7wnnc%fF*lm;Hx%28b+qVk zhR{1I)?$=amC_S=@dl?&<|gvyx3uxwUERb)d1$m~C$Ag&VWA%K7^nTj^ZEPyeam{ zPm$H=^CQ!aG9y|_zBKJ1af-X;i=w7i0>p#)+Z_s=%V4KnBH}#~bWReSI&R`J_x$#d z-aLMNJPuPT0fw0ZQ~rVC!CM*z4je8}_AxcTu=|yvSx`oZ>D{2)>_Jl@&iTloB$E&h zG?(A^z~HJ7a|NE6t1f28JdJsN5}(5K&3x(Btv|!>8s#o|O`3~C;SxZ8Meu1ePq-cS z!%{gr+%v9tEqC!#F)_>03mzmsCGmOr1$#70rj-i`KE_+|-uU zSX5(sm3O<_9ATwyf7|x<*dBsKb-V@Ap=`~TXEmW>>5Lt!WyAMYEN(ldt$%>Se8o)Z zLxM767G6Zl89C?Xa?JL0{OlFt?Wxy~+gdk7zo&%x@>z8~q0wxkkv4~W$6ZI@%77ly zvY8eNkP8MiM2&|S9=gFTBYzzETRS+%sXj4zL`J{wuIBXoj_;!x4*%QnA$`#&QPN3{ zkGF)KRU6<>(gh&CX&n2Uh|TmH=HD4^rkpmUpyN)wHl7{Rcr-#IMabg9q}&xbG!R03 zeq99amD3?z_6*BhgLk|~b8kLb5fl52ChdLdjaTCTKJwF3+N~$fPQ+87u^b)eCmZ8c4{3^=QMA?ZH%_yemm`x;=Qy&T4Cm9|OumhP+!)NAeVZ{R;ci0By}873 zi{ROpb`_3bUjM_1$0>=cAGY{t(lz0x;L6#20e4QaFkgVl|qlRr4yh=Rrx3t{TDeLLZfC#?iW z!wrebQp-quxq_F4BgW>gS@dzaO-XR_>q~xwdd*0CdNhe{~i8$K$l$n15sS|JdmB_imd%nr;5aEjE~bmVfow z{C}jy=HER|po?ysKbmcz-kxwfOux+kyyphf-tt$|&41r>{K0Xi9)(bK5~tuF_c~MH9pvq_OG+ z20RV1VND7Y0P@D8=Q}?`UM22JR)D`GtrP9#RlpJ! z?01Ne?)5gWYOJ(Gzk9yLmz&?>UUo#LFr<`{L8zEbgN2XX4lW2K$3ieP5F)z2=PN|G zu@FZjg=dE0R2%Yw)G1G{w7}Dk8O7i+PC>c*=ALiWv-KOP2^DW=QRidJ7gI;)W~Vab zd({c)+V>Is8Jmke*@=~-s7(_T;YHYh%jT04n)kO8Kh#z zGdG(Kls%WLcq8N)@Q1<9`cl+e{0!`x#qJU8Bil846TB7bsa?MJ^b_$@E$q`ddpkVW zni9K2D90lTpE|}tH+|d?oNMl-*78D_eF1KpQ6^iH&fDTOK?dsBiIFbpS|bP%S$x$rF+52Om4&b|aXp zASSbhMj&pda|8I~ZK(;_9%(FVk8?c~{(^YKD)z<)M%9^b4AYwSe)&_8oX}c;Gs_4Y zYkJ>Y0V^#d6vr@>jxVHHAz@|SB3?&QJtZ{J#LvkyQ45aCt+Y@Aw1M})GLzl)+ohhX zJ4k)Ljz7`Mgvhwxqrvrf$^J+Gh?rV}cKMTrYozKtW9)qghcLB4oFF_9qFQ$2x;RYd zP2CqW4r(THL*1VdLZX430%7v%K%H!5Vth~G&+UzBB)Tb-Xnmiz5Vq`=ILtBM!#kY$ zBDAkqnF;QJ0|gS=(5Z={H@R7Ta>CWGP>hNSx|JoizR9Id1@~Hkh%#-l8GjusL=KBXr7w^UqQk>s%1sVa zeom<2`6!tJT_o=)__4m)s!%^)2QR-^3ql9+971ZGC^ll`XI&NkKKSIRC>N`@F=eph zv(0XsBIC9QB&`QD(!RW~zB&W8S;xi^!~u>z;oRT4N9i0dV}91;9x*Dx9)4(On5@X1 z|3jaq2=5D}ydj}`>U9nPPRf86g7slQ8@M(ukubT_r(XC)iu6_Lr**0p1_5zg*`}MC zObt$Y)`P);7M~N7UZr~UK}L845g$sB!krb}^tDiR+tCM53J_NoZ?5&$6Jw8V=J-kP zEp?I+Azu?Q$-0L#K_m_8P@2cFlkG|G-vl{VM36JmY`kXb8$`&OOq5Qe`%TNnHLFsm zN}edSnv?~7Eu}=X4^NF=|A#xb368B=BOl!A6Ttx_j_iwC9V z@ueu1C>-a5)OE_s$nR|oq_?D0JgJojxYx|xzNTJy&#tzxZwdF#0tlt^I%>D{&GcO# z2keG{%Bk?VXPa(5pS&J7O0eaNx++_iHgvo=O^ocmD`g*7kWyhf_K9utyTeZOajoyI z<;q+}=Pl%`;A7=ulQpZ@nD+D1sinO)cl`p>O@0{d!@DW^b&Rr~uL?d2KUt=tMYuOC zu!BAm!K15gdVHM>|8l?G_9f|<`uD-tdurIGUta|8tc^QL;0pF+7wk~&E4x`brnFxuXK@_+ zbh&h;=qgG&j{_RswT<-EW_H|9w2Pjki|cfok;$3wgyHdjI(qwfTrzPWL~-0r zKn=q1Y?2Y8)R#By>C8GK1CCasyc39N!AwZ_kgK8YzZ$*8GbA_h7$@ty(g3uojj+Qe=Zmx)frsV~O-7Ve2*hYMb%$8LH=AxYu2lB(v^s`sl6-FOx7* z`}*?uI{c8yll<5<%jAabYc4OCbY8pjD^xVZP7U7W@>$uDkQ1Z0J^*uspj`W_*mcWW z%|Dx?zP@vK7FRYszpGtCw0_^k$559>i9f~U8JDEPn2D?saDS$tI46rNMgHCWcdbEh zffbGKX0v#_<~}#i`D8ZAR7Docu0Q``oL|cHAjJH|^nk%^+~TJ~Q4LGEx2p?JAD;cR z`Os<&B653N_JWc=N&0P93kv*ZhKJQfkgqt*Mgq#ZI3s+T@ZPw7l^ ztr2%O-SU^X;?I)3*|6>A6ZiC4)7xt!)>lhUGeZ`g$nMV2w!6J~^{737RekiOWjjq3 zU40CSK`legp4&+zrUecNm)gtdFq*r6IYMN9FLEjIaB3CZ-qhrLtLk zRbQbbi&7ugm;9OT-)VlAGn&e;b1uTQasCE1wx#ABWX^-9A6rOuZjet9X`UeEXM){H zm%AC9?W1$F`?Z9Oo$uL=KEki&z)h=ZY1S73qB*Xj{*jQ|L~;gIIcoLq$MaRbp|$@Sxe4?HFSpO_T%f&aZferwz?y(VpM6I@od61-Vo^*?)={YLx-{H9Dtz@8XM5| z#s>E<$@@b)o=A{7;*)+r`S3U?@$NSzUWB&cg8k|$9|gXC`3Ip##9FAgWLP6YIUr~^ zU))a|cf7Don+(GDzyZAby}6Gl*xqh#JSvIG4(?0Q2!GVGsNg02bo4rvy^t0TOWKlq zd4905^G5n6f?ploh5scDSAbUaOIG9I`LNQY5}#EOU8kqSFC(? zBG{7x(!IU7BpBsZbCp&&biZ4Hyy6(FSqzTWFC;`vDRT0LmfsxhOe6=VtWOdRQb*hj0V+F@zIkUlQKKAuf*E*01y(@fvzULbmZ zjNGD~m5nb~Ef&q~HO~~^wwJ{DG^BZMOffd0I@d)zZA|Z~hX)X3L%?QvwYo=$;L9nc z0%sLxS;cEkG7i$Do1C2Y-=VRuR^KHpk<4%_3?8yuj9d;rf?GD5aJb#*J7*jPrRvR@m{B_~7-7_L-S&%9gFRX~5_ z>bfpa=?zU98I7A83LbeV^c70k%Vm%bj^bgNHd@L?AN9+* z`I|fFBnplj_SH?OZaa-Nn|QlQQH48oEDHAUe}!ZTu@UC?XA+P(c#2eRcCr{FV$zaZ z$`vI|>NG7r7P}ht$Qp@=isS+6=8)rQD)_0_MD9tp+k>c3z4$thK+0AyMKy0%j+m_%++r#)O+Au`+*+v8F{`rDx6oiICC@~P;we`pdyAyFM%;v( z$_+}?W3Baf`q)mCh}4LW@2cSy3Wy%FBdEYfXRL}s=^Oc+#*D%~|BJD|ifZ!<-*w^Q zPH~4qf+biIf_oC&-6`%+yilMm!QEYoySr6zcbC!@w^Fodf8AYsfB$dnJ;pj%XYUyE z=$%J%Uh}!Hni z0z#3YNos8tEw8!+)lM6bqZ$LlC!=+H)cKhX;eUk}kFcm;o1*R17f%2`^Of`hwS*=c zDTEY;uun-)32hi`LYfwW6S9XPIzD0q*Ys?Al|c8rQ9?XwRc%YcA^IjE%gWx}WL3*n zrOBfnD-KH)jnaY)d;@I2T9ehw-!Qq0l$SViHcfBy+BrqkkLb$etS%gDmzDHrXz7dp z&>NUrLM;m09V{=47}CVkm!1r0^kGZ4%r}oVuCoID$+A!$YQIUOZaaOpVvA5Xv97~&F7tqUI>tt_`c)S%KaGz$1y%(KJ9Sh>fZ!)IK?#ydmXmn0+q zx2fj}t=q->u}m57Oh=PUA+KAI|M>;f4(dVy(|HD!}meM0SCJLzSmTP4RR`o}H(HV&l=7wHJG%?bvJqF!Ro*661?bPj7n zOTSYz1&rfGcXn7R$f-HTM!e>V8@dh-(`G#)4}AV;&#tZ5d21~AR%K~D+4tscmQ#E+ zp}+h$C)zvXln)^|rM!M6@9EBX{YFy88g%ZG{#F>ucD?{yAA*EOV#FlDU2>tX9#cJ~ zV!8xgIpd`d2FcUN=w-9H+|y?K_Ktsjl5FIbIJ{?)b&yQn<8ev#a?cwO7XE^h#rd8v z7U2zyT!sQM!;KDa?XDG(W_H(5)+-f>VYH&&_k70)Q`=`irMJ4PA5N*a!Gsc&e->_zc2ujqQY{HtGq)Jjh4-c{n{rcO%j3$axuMOpWMD!cWr z4*B5SVm0FGnQxHE;vv;!^|Rj_v7cGse=p~HwvYWRhUgiU0ZcFH@)|KCY4+WewNN&4 zrQb8`P{?{XIFz|TQRAq1ts!SyLb<_WD; zh#xlU_OXSnJ2xut^h&5Ji$#H+i4q9AHi_&un@in(Ndb0>h^`-Am{&NAt-;Y7-Fu~i z)}dXa0T$a=#v2AI1107?Ivlyn@x`{pU-ZICJ%R$Aasu7KrS2jq|DbIZ62+CIC>`D7 zX~p+B!H?fO1C!z~^SfN0_x`&c_rw}A=5`nJ^_n{MKX!BjKs^JONDq3}f==pf8rD9%A#8cJqMkPmYH-_nloz2ckzMJtvrns5jS!c-khJ{!W@L zX?>&temUqb?U?Xd-%0Nl0Hh9IZs>lcU+5ig=#3s_IvRiMG*P`>bi|lGA|F>{T-wy` zU5|lo3~2`z4W<0;-l3j<+-B=31a2<0NdSdt*f3RMC9c{>Ryrh} zf)HHrz=>?9=L_DVuO*gcF@qqIRV!kdoOx1I@;<;<@Ga9pSX{}s5;j0!Nt9Y&7gm#S zL+w`)GpHT%Q{XX}(jw%O__r;YZ+jUXxX*_Qh~^5<cu2E$9F&%m53~Tnny`Z+Sj$ zLHFl3c7mk7O86i<^cSXig_dPi=zf^W-NI$-Dyw&MTo zL1&H#LZ6UE)n-C9A}-a0-iSr#?(o}$v~gMs#tysN7B7ap ztf6!YY;T}Z+oQ0#1ow-4mH~;z1|8wd>aTbTLR-hbO!;n3bbAmRqlBt$Z|Ob z?yh>-&N`DND_p1|YPKi?0W1!1L0dh-MKF3$+nxAYmh1JTyyif*+Q&mdWKozVsG`XP zAcQ)EM@WQ`TMN_6U%J{cAow5eS=p*~j$p%AqHe6C&bn`Vl@8&lVyaif)(^y5mq*Ww z;n)?_UGd}f*%L>DqfESV)k=HNX*1XCxu1_2n@UBk$E!L&83d4#a1|?V zUaJeP=b|uoLsX^A?^7D0s+3xqtTDS}j*1>gy(Hl6^8z)G^AHQhXGKBP;<8&8DP&^V&b!c2NT{rOVjmP%OP3?KLYXqVyB1n3SR%H#- z{po8(4&5*p2$&z1JBu1U?jnf?VinAef8(PgNX0LUHuxkVU!=y53F7NxPC8q+jBGh8I|tz5p?SCr@4#N|S{+FtgK1R0GRO-9M=Rt)IJktqZ#BK1;pgd}j;M`!{k%h2 z(4v3uMU>KMR%_$1*JOp#+s++6D*nZ|pS|B@p~Z3H-!`FgxZkVKv_BO}PHcQc_Kq}I zJc|4}dx_J}W+rGiC}7TLISE8wmv?rq#GxQr{KQ0xzaP8yNbefr- zMUuUF(eZTtZ&u=)Nr?(LdyKa%4B3Zi7Bs_m-Q-8L|H4^8WM4{>y$zm~YI^J3fbr+E zBi-LopXWvd57+>9C53PF&Ouemyu9I&n0ZBSLU#Ea=_6PFS~|ts6V$2kRNxXDuNSZ% z-!Vc2x8!HW$XF+$-B4&4u@ck-QNGvK8tUGrMG&C42d1RKch*xz_j!Q}usD39GUiXA zC{O>73fN<$Y}gWp5S15$luX`>D0$0paW3J}%;&&ed^S%xOo&p`zjH)v%!M|Fd^3pF ztW`J;oB{n}jYpya@Rh^9aq4V-&P+svZGyvg%e1(qWq?Km54%;XpyT?|=28I^o%7=z zc(~&_vw|sW&ji~LdgKA#2(YQ?h_ZS!}}c%`$ra->X+a+{8XOr0y70*t7#mHvK)LHs4*)$`Mmjv zRqa_tcJ})BZ96RFuAI1%td}uY8}ZwSw7nT?Tr-sr%`lXXns&sgU)k83RL*8+(Swf! zRw>4)nItmo)+eiITZo)bjF!55c@2GSQ_*t*CG~*8er9vpJg~D5L>?)A@Nk)NW#GS< z`j@I=n?vnt-*D=I3YnSz40MfOC;w0H#w{vb#NixXh>Ay6hTCbxlV>fx;ZM#gV@$b@ zNu+X?Qv?;~lt#hDW-$Ftz$JWapp-z-u?xx3aBAcsq);D8ym1IvWE0ZrX+6ewS8U0b##{~;=G(aNp0H9Qdc*GaCk0}jly+0df>m#u2f`=Z5o5jf$BDV z>WN&!@>XjKk1~MptTtiyA8V^2?%;64PMVY&162)_TfP&}-e7No$j!APzYE}dT}QTb z#i_(Y#F0UcHN*ke=NQ6L)3dB*3b<3Q5B?P zp-MHXAkx z$yjrl(h*oX=A=!P%;ckrpRNm=6LPHFbHs=|o_qW&ate zqnlsH8V7^H>C`_-fkDGsPmRN`y0%i=tp*SJ4)I5mVtiiXSWUoFxOYsgBUSj)#9 zr);rgXu@Pu1$iJv6vUtOFDli8{P&czedhf826A}h6ud-e>0k??+L@%UN9~m#bbmQZ6ZPUlV`7=-!|}lI8qok=}_oG(&EyRW5NS z(6?_wwa=@Y&x|yT$?l_@fjBM|FaMKP6&y)_n{U{W8+xQ!Uhe54o;}9Ikh(L?nx7?#o{qNtt%R3IFvax^rxo;^rD`tSlNg_ zBrgBeT>m4m^)REsJpp^tJiUH(flXqFvC9I-?XMZyR|`#fh5k}Au8N~Vc6+=I9^_Se z^$bAdgyFv=c}Mti0)m&Yuf zoe+``^Os+vyJTPCr&bPvoXpK$Cr!#qCEPh;pKDNg?a@&Y`4O7o#eDHihQ9FLx1QYgDv(ValhuVxH8Kbg(O=)cppBN)U zD~#gN#AsR3o!`}Xw)RiJOmHqAoWkszw#P4UAtxVL08{k+Box})(w^}w$=SsHwVYvlml0R7ZNCfwk!?#>IER~>ET16rI=7gy`!_{|7XxGWewMLop-j20PkL#B!m~S(io~egtI0Ir{{r7qdzT*~;;-_zN99CderwqB*KzbGpI~A>6Z; z2PLhEllVT0wN*gq5Q4lC5pR)TNR<<# z)L0T!m6+?o*VS3Z3}(}5H%_(Wzq0jTaKd*P5yPU%;YfOYZY2&*G0<&Q|G8=)e+S$* zL3r1{#Lbt56z82!U}&{2c(aL}v*Zum!&=B?>;z$$MI=Xs=cOuElt^hGa>TEDu{0@! z?oYYh*3GLMaXj3W>NKeRH(9`%tUZrxDavI5%zsT4jhOV=6(++iZKQ+Tus^Zq8pnliS8qLvhNs zw#(qBsPh<%A6#=5f0M1a5hmSG-DTmRT30=(QMIlSvTfx}doqto6a1GY8J_~_CTd`_ z@p5ac>XZ2w)0tkkFKJjuwYEB67ncB5G~3|ACCs|KybDxZvcz8%`V}-5Ezazo!_#qu z;@AW1&1I>rq_){~BBFkVGRXOYRHkJG0RPh9g~e%&PHr8_H_<8z8ER?m&K34BG*CO8 zH(H+)n=n+Tjbi@>s(K?mr7vL`8mWg>rKi=QmretsB+OxF5vDe%p*E>svM9oSX@JZS zrQY?Yer1M3htLmDy$Le8jF+X3Q~~stBJP#@)dk9gs6eL1Ve`S0ZmNjpk5iZ^-{ZtY z)>$Brmb?pO(%Q}%#~iP4-giXxH|Lo3JKO&2{bhQK(gofnoq6(mIbmgt2^Wh+Py1pg zt0hG%KSMjUR}Ra3cF4*^{308l&o-48HaFP3$OeaHRlOv3IMpoo?^Q=`VLSG3o_0)7bc=gC60G3yZLn{aJ(JjFj!EPDV`jTRXP#rF23#v67Hnpg9F;tZhvyKSla?tSk zlxD*siqcg#nDyB^Q!?0bwS~Ht79eXI+-OD-)=*2LHjb)`a@`W zy@sfg5D4acg9DurJ{Bch#`_wPhV-`{i1g<%&a`pz6jL`6)ija`4Jzm-d`7k&e^CV>^Ot+ zJj+*4=e1E{0sf){{%-gFFIWEl`#yC1lSvQh9r5v{`j&|%bp?9Rg>U?SAI6r?F3LaHq%zo#heB%*Ynki5MLBxE zAA+mbLo39K%GiOlaSfSo@+(cU<5u^@YA%C*{fsZkEdosx9xFjpxf-$jkpyq+m12pz zD*24gMQ$hp?b%X0a+?AfcqZkGy{MZ2#gJy*EZoyey!LWNc2mcc?ErFjqu)i0lG3KS zJQ51>5;{tcJnfi4AN&u%CYOkTz*I(0sJmS<#b)H%?m>&f<-K@1Xe!&ap9ANO9grXk zUFmpFpGYyUgoBata5lJ6R~3ja6P0rBgKU!M1xobAmviUjKzQeznj{=~o#DQwEgy@8 zG#>p?lv`F^Asw|)qI+m3_fcoSY6&lO=OeGhnnPw_iFe?K)LzQm0o`u&qS#)xmV`nE z6IX8lw1M%{-}%t4$nOJqzVSUz1EsWyc(gV^1$NZ36(Vkb;GNOxepYP@fZ)BlriYaxx*#5l4X0+IC^q5?^7<=?AhF_A?xwUGB zHctIu)a~hfiHTD?AG>CitKT)DyEN>-vKJC`9)bk;0!*9`fcIR(&smys51thDO-?y% zqPY@aZ&vL5VWBJ*p@BxzLLA;=ven`Uo|WSCw7F%iDNdE`%3L`vl0bL)qb8dL5<18^yz6r zY7q9(3%MIUgVN?ub(vWZoUx5j%Vz)y{&M{Dj==$I^)WwPs;>C# z)tWTkn)|D@Pqb?nuh!M1*3n}d)|wkw%4-$^8*LLmoQG!gBNLF&ujW&Z`(@LAmbRoe zET4Xv`@s&%W4BWOxwD4_u}M)A*YZ-WGKbCkN15~c(G;Y~xr5n(_5P`&e490-3?peUN~+_8PwwQc-H%-L&4O3v|M?!`&8@S%JNi?+$W#D8 z{BLpP|CG}R!36&`WBgCY$^Tt5@_&LW|DQIG|Buq)AFlMw>U4xN{lk?QUpnofjQbPGqR5M<_JxyPbaz>Qx4l|EQHq zlkAxdv`$b8%8&rFQ9BE=hiC8K+}%ZBzp9=}u!Yps4Nw=io&B)MwJ4t#2_?SK>%ALw z$cgdd)Q!ES^X!a4DoB0Yo2DO$CF$~_x0UH5+dCS5`x#HVOdTDsK+ABz`FT1%fyXDg z4EIZMc_>8hKbdi#XQiST#l=M&R_Iv&xAtgkXZ%9O#P(lw;R6(@6g_g7ek(oq3cLH{qVjfC`Vg%4 zr#c3Uw-}dLCy=yh4k%mF&99pAx#}qO%STY^Gv_o3?p4=@NutHskfNfRmvn4%#eDRt z+HkL3wUmVhZbi+-&lF{sSi9xoMJf8^s{Bmt(Od^$sh`1M*DCUvkM71gd9 z?Sy8Q2z5oL-EB{Fr>_}fr5gEopZ=)1^gOS|^eCeHMov?uVjum|>GL9d|Y?pkv|~rgG12qhzVhu)~%0MG`wj%^Pa6 znFyNQ)5Rfw>aU`pivsp!EmELyRByEC!BiTe!%Vg(Y|o8%{WErC~j+`XajiD)}yx_ z#=xIFkzC)rQ6g15<~S5bzeW{?$Gw`X`7FDSjxp53?4Q{-)$*!ak?4D;ssAp#>oKW$ zpD3p!b;N9+e|ATgCpuv%uJqJJ(44*ISA?{xNU71uD`9bdl6O8jccH@B1%?(D}qn0!o-+f`f z5lKqu7RQ8L#Al>*8*39X_&m6P-W%OkxFBie`cvd{1cNVW8teO^ACtMGj<$s`5;?8a zFQ5Fq6gDnA+#5c+F(OMlw@ySP70$Qm6*P&m7%^NL3w!yHyqdFK*Foc@2|RSL(HGd} zL5?_mpB($|k^=Vxu%Vo(WR55eJ@%K4`9v}$vc>o=gTY#}e_7HKH)XR|tusn4$t={W z`7luh z-NlvLbLs5HKasG*fD9OC%BwOPYU(#$v3>FWYUQD&qPUKweRi&~)@lsHd8(z@Q}86C zWSu*+ceYhNSt*T7P1YGm^b@&b5VmDBVv+xI%Fc%6-_ z9E&8FcwClDSLuy)|5D>Ap9kfQq7c~iLwFiN$Oo^tx{l9?@j|40GTt07jvG!2TXA(1kNeO8lqBob|o@~&6wPvjCMZB`TlzUh-+6w5NZDRoi4r?`* zU75*_7pMvCR|&2h_oRG}e=}YN&P=-G^M$SFsow{9(lWKH#{Xw)cmQv=qh*W&Q*wFW zU|4TcY-Ej3c@Kp>=dbs5izRG#|7U0+Yct30UjW6ait%>*sJuxz;z~i4i8kGea$M$=5l;NX~P9$+swVrZ<%VtmevH3 z&|~JN&MSq&_c8wf#XK{1Y}MGby!}V($zO$exV{S$KqDTez&a9xspUHt%h@UTzkG$K z6*ucmDg3h@)K66!7M7P~)?+zi-@@l!6Ro_k6|ElgwknEx!?UcM{l$FBG>Ds)o$dU2|+5g?#RTMS!{<cTQV)w)g0uM$|>Fnm7ymXW3kwX-Z4*1uh=J4!zJ25It( zOJe*_O=w!76ERVe_OM7LCyVq%!U40uSW7tn&wzp8Y>2sT+)al%)^J-6*&a5~jBF3F zC(ZEkNa|PR^-tduodzA$SfrS*m%}R21%Qs!&D0$eulU({8E){lev#gnjD_%~+&rioz)`>j)GL2BNju7?Wga42h z)@@|rWM|QyIU5HsyyQ}gB7=x>n1%d=JMV-6k=GODr7tzW#;)_uYO;wO>ev9@FjBDC ztRXJMgg-20%)WFr!EA`k($aSP-SqF_p#rs^3RjrexS=_|sA=y}Kj3Vd9pCg9Rc%6i z>4Nh(pu;n;M=@$zdfT>8rWS3@8^obNK&)DPt1v~tajsU(h@;hMf405ds7eYj;>T`f zXBQ(zO;49ZnIqplkH;UL`AQNWG>C(*em;1kh5f#Xm>*X~?D!>7)tSCVF5xJ@Mr;)& z78B1-wM!FKarB#bkLm@jXZBb!&zySy9(Pfb^6G4l%RtGZ@M39zFiu;2|1MCymLMPj0OTZ3;}!)+v2};$4@8r zqRY*)F}AHxNN1z_=KMaAT*H5mNbX?wWkS|4;bN7Z-0tB;5zhSgC(I{9sFg;RvcV7)bEdhaL-p|NqBkl)ax z^Bj37Ps4Q{5QiJFJTz7+d_i?mzCUYT}R1K%V}gJCWSs4l~zI5dKuM}MI-BpR<4nhk1Vbl_tpeab_ zHRok87c5j@&Q7?$jXykNqd5fR?cbvQllQXpFv&vbvfa>?nvkM*oN(Am&V51L z3J;^Z@(ZFgaUi=jmq+1{_9r&SKDu31!C^$dt*Epj`fHR0*vE=O1OK>06<2_R7VcQi z^`)@1ND(OTLg|-c31MLxf&30cR4FXmu1=8?p-teogT_(7^3ld^_3D>WpqLa1%a`$~ zelIxh@6n;^a0}H6m)|jK!_3&hB~T#t5s6t`+9p%A&Ir=@ifZI8OfZ)gT!&rtf{?ec z^F%G~Z-PGDZhEDlJti3iMiv3MD>Ajhd8`hs*N$7*q2) z!qZk1lw8ynZ4~x36#+jLJ-k&~gOy@3;Gs|fn{Dfn9ZjEIC2LCf5|Qc>Hdngij;G{^ zfIqaKk8(axwzb-`+;Y@036742C4?)4xAFJ$EBUsT>c7)XYj;u6mQweX-sZjLifbce99+yn8k2&)VG(R*XrnRYOOVz zjiXQsR(QmraRwkH#=;D7_->nq@95D63BKAcIPq!c+V576)9a_Iv0WOMhFsXz3)@q_ ze(BzWtGoCz&GDoJPvdG?ZR)*83p!GW2x)ptV^H#0 zhgzVHwFf|!p{{@Z$j#gTJ0j+?5oSFag)09B?+mwacHpyEotCt>8swcP?*ER2& zzQ=Euvsv3b1KR+Kao!IOxnlL3tkT(2Qdnk@F!?jTF(OjCji=8NB-@2c*t~l`b%{i< zSY#2yc4feW-`@BCr%g<$zK8FZVWW_R4RSwFNr)M$5O~?z9$iBjF`XD$ofbj-Tmiiw z#17{f>R!n-j>a|gqpEo}qwdqZ-+X*2o;k+cks7pn6f9ai)Uo!St`1uG_D1gRobO69ah91vJ~7g9%XT}b zm(GuU{AK#FNTlQ1`{L;2(0XLHMJORb)a^tX*;!`uM-zHIcH^jhQ8iPw8>p>AB6dD2 ztxVWjiO_&?7>r*RLCe*WVA8UzdL$Rd@BeEO(d18*XH&(P?LX{GV@+o;9MCFUcBz8B zY;^w?=}$OpS1OtPDv?Oa966@BN^7j>o!qtDv+9Rh8*)7^kQB2Y_1o?|_?t+>6M1L= zren(|YPa@Rd!<|)ZpW3keHg`ATG~kqI9&(XXQyS+EG~_I-t$<=W|a!yATS1H$zNuc z>R4wdY?U~3TibhOTbO!E!2&*%?p$U$edjbu8Om;W-_=4}5*zNWm`D0pWJ}E%(^67Z zl+PPlcr)>09b4@FM}+ag2k!Thc3KFAERGVVGVhwy0AR@*H=}_9iM3Yv$w^8Hy*m07 z0>29J`WW*@&*?tEcc;>BA+u2hppBc=OhFKZ-J>jp4*06tC^M`b%IPI^q}qwCuv&d7>!({1M*!M%Zkm zOJUGCmXk2q#lj{xB)w|&&v0AZDO?seGo7Ov;Ytkq9#YjgN1AJV9b70W5 zm)O&vr~zxCI~~`7&Tbk{eFhh}Lw5PDbQLdj?TmCwG?WHFTK&&a^|_zpJ`dzkurbk~ z-h}rJhJ9*yGPN0p4Um1wt>CW!z{{7*IZ-gYXFdeEqDpG8-Z2ZzNwnZ9Ai_NkEYY(0 z)qBU}eVA8FdAPcRtX$8pBupK-n)nF7Jm2FcYeej9R2JwD?tmTHNfmNt|ASjeyPI`1 z$2Q#5K{ku`n7u;TZg#Yqx*E{XdF6DqsC(qY&Sb-v87`K_#~V@faO1SiJ?hv+%>(D) zjR<;5PYpJQhlLs2zrCGrn7oJA>;NnQqCQj)UI0=p+#B{$HIs6$I(de$!3PeQS!lGd zo5LSc>uE3M#u~t;Td5a2X#_9MP}gR?7ffpuxx+|4r)+Ql4%$Byywyb{O zBB|P!2pL5szOOv*zMe3z0~u`G&uKTXI+gN=D9ra|Z+ z7KLB-UTfA8LQA61kI^{lMo*8A8>;OwjNqe>#~BF07#$}R#EihilpI%qFyND3vSGmG z@WYXWUUXq9+;F-vJS?_J4{OnX?9;{*qn$^&avHRRQ5%uW&OquM>g?bB8BnV`?Atjy zO=wdScMN~<*fn=2V+3Co#m|rVF~wJiRR76x;QjpdS4U27lDlwIockJEU2&R7(za8Q z2`b1MGPzqjz-T@oVb8GO30*IzXi^IG8F5q10NEol+6O!F4_s!{P-|5#QE&KwRlSbr4Is+P+@G zbjZ(Qp67$yo5Edq&rurmV762q>hQiaa6kNcE38YP#*B&{_uP7y4o23z%Q%pfv&Sg@ zj}N8ehLl9*fk8}^U|iMf%KEaX=DVQ*uh0Xaq=sI9Tonek6rHqJj#3AxX>bj{-%0lc zErN{wIHk(fmTFQiTE`P_JTZ{0PIUs}hHOZmPw;DMw)k6|- zwbLilZ?I{dVc5_H3g#klYhZ{-XFQ+Q*O%%q!=0=HJmS$3O6T!ihucmfUe^}4E$F8V z=xx=f<0m#=OC8gzLfSd6c6dIH7)AxlQal)nPKAW~=d! zjTgPCU$s3PCoX1mTx+GGze@f_Cyg*Gd~Y$)9v-T3Q#PwxVse12J3gn)06Y;oUSw7I^ULKPws-tWpKAN)GNNWZD$B#~z*O{Q9%X zGSW(_l}rP3;>0f4`~H}U0He9dAH)Ve*=l2``9|e`&j92pFz-l)Dt6u@E@34_Ipk<; z%ldY_T~fBSZ5~ylQsi)ka&(uTuBKx-)vj#t1ak51xv!0s_35B`3fxhQRVw=3I2P1;2d+9%0~}D+-=JkFGYBA>8;4+Xd-KNeLxd49mq$Wr4Xg0!nGR* zr6)6AVN(i+A_V0`qbZ&TT=UCEC5`GBGPB?F=rxbC$>HppkYjSBve%i9SsP?cuz?{u z1z1#_yoDcJWA#(98A?;lVH%QsUKfY`AYsDQ~?>z^HFg_uz!BlL2}81Ic}t`IZX-GRqUuVJDoQ` zCF;U4c_gcX1N(??BBc_I0~#Idnp1LA-nQdlbT>8gtYxdE>- z{aw^72P@KbM{B7CdE~!dB*4yRVtH-1xToD59qWbas^7|FyEL}D<&8-QV$5iQ9@HTT^CwYypGBP}2`6fN z(`!eCyme$Hw=T_)VONsIpVGwZ>3{-wwioLnLCpqP29PZ2g1U zar$!up@i?I{a9uo=Eq#U0^?+6SZ1db?^a6lP3$g{s#7v+b$01HW3;ysQGBlgRr%oD zr{_s`@fMbs<)Kum8Y%?Gx0!Fcn{yey@bc(~wA0wyRC7L8QNhg?93?C*ek9QLS68p} z<^8s(QfvqY>4M{|NPc>WNuRXoSf-|oKKI$`yTIaSqpy5ZhuPc_-$mF^@wSNCdyUC*drbc|kIe%BtirKPJXT8(6jhkA1%eOcKy=|@<0dz_b1^}BLX&($C5oLf5z zZ^C%n+C^XnC3#lL)5J&(xxB?`&sH-7loRnfw@N#E^~1YW(O3ghUxMl$X7iP<=@*=R z#cNLUuIH){!%0FflS|`Vkk(@hpeQYak$r8hfS!jZ>VlsLEU7NH4H71s6~Y=6S8tn^ zxUn{5uQ^<*Dz0dgr|L9qe&gaw=~PefPaO*E_OEvjhr4aPAL7V`r1o}Nf4m4I z3D?UO)M;E@hl!im8(glG{R6-?#(tqT?9g3V7f8gP^dXBp-Rs3+1Gwc=}D|G>i;$v&C;X1sy z_QR?fK0n%1h^ttn&u_0l~!rj>9(e3i}O~qU)I!!QER4@ zFuQTaWQ09x-ZWk<#0(>!k6Qv)*fis24&XS}uS@v6`GX?P>Zrnq8}$b!vgo67DYiQ{ znyBNt6MeKGJ1NorpAoGq4ht56yQB=GgGGh5Pkc<3*8!g>I;_Yo2!?HB@E(HIl9yLL zvr{5f3_rW8k+a#zD7JyeeXL$5Fa8=6%lhDGSyMUb$d!^6s+5UxZmFirZAeh4MJFEW ze88$60R?SGXB6Wf8;>;WZy%;`>SO`8Dh4&&K|1o$!nbxBC3{p&1g6iB)sry~;oRf( z_ULRZs%%?yUYM-JQC!f3S(t}&frke891w=`Ke1y)1J8sxuse=|`Uoiw>Hi8*#D!@hdLfjC3gD`1LC z#wJytFYgk0b^LUU#(@O#B=IPp0(r6PaN_b&mh4XTK`so1P?kou!$5g{^pH=xM^xze zqTTfSlCsfqAZw#ze@Bcp5Eb-aR4$bUOLdw4bH(9%#I9g<@2T@c9Is>1IW+-T#}}L= zKxoE)B3=%$tS(^>LozAzSQrf;KuWU}J5(_6GLK436qdD1;!pgGQXX(Rjm=YijaI!% zXCuLCNtn^YliYU0Vrjnxm+*AFVFIVYEHF zS86T7I+nPQPHQH!k29&RVL{{i819&rVxG6|?B=U-hiRHp1N4A8qAC??l3Z}MyNf@(dSbP1R~$Y8THM4)4+9>@H;a1UXQ^BjwSP zFy~JWBAD3O4mEHwha#dnCP&6*-QiW*C|0-jzPv!+PrGbp_5NoIiZt)2s>2VO~>K1 zEE5=)9iKE9f$PI2`$lrQw(Yxh)?m9_yu;#gf(S5IJYT4eir3cG`M%cqc}=Ml{}rha z?j!#$EP2*Nz$u%zgeoU&RSnw~+W?jgWEqDdR-K*uaCS@&l22n8a+317}bw@jp zB2Y{!mA2B(wxAN79GyIA`8|pLK`;-t=0c~|GdYRv5=r*=ult3C0DY1>_$s`t=wZ%# zAc55BPbo(zli;ia;!p_0LE!8La-ROR7gi}b zbRp73I(4@LRQ}qiOC9#fEW#|V?owLzWGR2Qm68d;b)_grWF}0d(LAU{Ye#;R<{}UU zywhtXEeP#yX%+t1KW+_TLvRjDChE+y1FW%Nsyx~dv`!lq;H@r!)OHfDy_OMNZ|(9? zA|b(ku@Y<(1spch#Njm9(N|X>v|3!xPwO=w3X@h`Hq~1kvfG~I$l?(=4bgd!Ct~?; z0Smf=T`b^_aMba^G8Y&8BOc*TjC>`Cb_A4^E?kv*E5ChYFT-cxsA^TJ; z0&|41R9JHg^4k~BN09Fko=u{BNtS+_N>iF^KMj6}K2ov#*8tON zCPhWrRM*~db*Wk}!=rNK$k66iLElJ|#>Vh>IIHa+bJU00-qnWM#YMVpeTb6pPnm;!Cy+|@wMoZr8F@{%e7Uj5uMSI2rHo}h{nRn!Vr}#ets}}*-+&f{V(grft~i!ji%wmHkr1V`zw`FaoN6%R><(JIam9kq+>A~yW34Z>G8J&Sy?Cf_w#1=l8+ls@}Y&Z7*MW0TqN(!W7_P z>;leZmI>gGuR@LXf_c-a^N}?DN+J8^p~`m6CFfR1B+$@$PCqKWrMbj7A_m*&;PmBI zYBW`2lrWVp;k;gRmMspIDj&a{e3V|8Y~LfK!xC$xf&a*y1=G<_kHU|>)U`gqD>cC? zzA!Sv58g0^dj*2O&MlY;OqVfLPBPKh=24U0$$OPXX52cff?l3T;uTSL{tqlPLMYX! zc1L?0;Y_Z~lwdw{CN>%05Sj1V`f1J?M`G~a?V@-G?Uf;ntFpRtF8iHCm(HpOUbrUi)Kp^4K2Jd2f!L-oH(@67rxP(=YWX>@&OaCz zgd3t4oMz=?(BxT&N6YAC$sgF7QW&Q7ZckRsAoObPYk|mNfuHJ^+xOm4=DQtq2S4;6 zbhxRga~eNVrhz8~ZI|VJMKybDPVL$ zrRx+dGaonTNsuue^ruqSY1w^NUCwMMePM%J*UXHTyVes}Mg)r8F$2HR+$5VO;wByh z+4r~o6wi4v*JXG1tbCHzYQSFNu^~x%vdxETFDI3%Z8H4~$?=~C&2>}dqqwF@_~(8z zm_k>pzysdhSyjdO6f5Bvd5Y$;3!^PJQ-w9d9W=Lu)9*L(7R?6&eyeG714%D4i$fV$;dmQpiG@0n=k;?99R<4>$F zZ2(^UzH%Q1G{5jElMofHOJP)hiNbQ ze0SPdo?P?yxBdF<8x1bxhAbNd3WJ~l?(g12dn%AB+gr9<$240TS7R3oJL1*>el!hG zWiuq3@;v4AxIqq$UJdkG|FR??^Qt8e2qp7`(F zKQgoK9THx2wMTj6@}P5kx_Uh&4TMdW#*lc%5ach14lj!_Z>iRBdq(*)F z?aOn&Owmjme1?Fq$nej9D6DQ~iZs?j##j21AbCMK%=T-)Ce|YPo8(^Tu07>^`9p9P z1+htuA^oD(Iu)5P5?FrjEiF>N^nk_QB?U64J%I7Ub zH8yCoRm+}NbdTZVxyGVnU&yg#-}3!o%{FG>nN4!nySIMH`~%KY`-?_c9ZFvrFLAym zzxsGJ`GmJC4Wd%qtzw9j%6$BGfro)mwO?p0fpS>?9@SKs@=cgN|&khR}roMGe zZS(s+d0O`cQ}Tts2Yvm`E#-!x<5x*#kG|@Y$E5FR*4AHSor*GEuYNoa!P0uhn8_z> z`~qR{oJhsa+;^{f|B$4$gm>tL?CIi+KEP*JqukMG=V8(}6=dMqQQ3QAwqjj#|P zZ0!dNPB<5N5B3@F?j+He60_Y8zEBKquUBI3e&jZR1*{10F!Y%??vAsmfj z?$wSQlOdyz2`BHt={6Hc(-`Qr=nfoY$suM(Tn@FlKxkDMvGE_eHTcVkZFK94l@a{F zIR`oMKZ;r;by`Y-zjzYiqR2VqHhvZ5l1s&KIMbUPI8uZ`1F(QOR+BH7BF}TpNh9S8 z{cL=wUk;gc5YCF_unbD8hNl>|u zlR_nJNYV2*lJ&7oL?7IzO%P;XJHET~D2w401zpdEaIeh3egqxug8R4kc!sZpR}a8% zKC+lPh^8#G>h`oP+9!@kZ~RZlG(Y6u?x@1T525U@ox-A;w$Hd`v8HpVf1YtdjmZCxNID$Z;f^DNunnq43Bi3$i zi5);Oa&@L6qtH+@Q94aFn9A*du@t>xAtVTaQsQ|4wu|V@X07;X`*)e#ni@2)v*Hx5 zFax&=#ppGyzL}^SIa@zgVS9w>$vbRQ*~c4_6nnD)3B~8S5FJ9rL+A(dZ_0qvP-p{5 zu^f9-cOM}TUqCFYfRA5e2%mHdpZr0m%P_B4lr*kb0uny-YRL|G%q}uO9FA}{3NaMw zQ)q#x36ks)tXcBMb~_2<*_;@~tx)fm)hv%M!3*EN3)zS|>So0V#rUy2Y5Cci5Xh1;I4DD2eMOL5 zpz79qvdNB8IRTGi1-34SnLWS|PFR>69G>_ah~h8nWCn6TdI>m(#~0!KLl`>clx^{E z^bR>Si_pKnoKKh2f4&eX9OSCmBJXQgQ|o8dn%=_W<7gzFt{}c-P)+SS2u%*=aw0Os zD8B%YB^9Rv9jV2dR*?s-c4mL5@@E?+vpLnRVIg0>Kd4v)D=pc>00hbov}j$Y9vNwT zh^sN$$#k|Xf<_WsZqni8-E^hb6W&Rd>xyI3>gze*X6VT{y->cYdsd$~mw+*`{`t47 zY&ESd5-$_?=as4~GL49nVwv&1zb40C?qws*?!7M;Xm}zFL1mWqoW<(a{hW0t9)`BX zK!)mYz@)fW#A}{iedeRB<11A)NPhGo6JqDn5|2VLr&lbFE1JITRP|lu-0Y&g$ZRuUr)}9pwzSyws=&N;6|s(mvS_9vR*Wg?u;S=Lf|LVKo}yDURZ zXCa1*tu>YFcdtp;r>dk(O^@|1&KFE(HU28Lu9*ViBY`WwY+Xv4Mnn|?F4kw9-$XCH z=PH4Ik8zxQe;%4j-;h%SOcXJ!LPmVXKy(@eapDp7r7;N$8>#kAhNw?PboOHCna^x$ zM~mW;C(cI4GTOoSX+|kM7{(r71(^Aj(zBkJZW^5--K{=`21|Mh{Tpf@(&-6}bDt6}LQP{~NhBdBcLM>koYtZG9?M#^4a@ z7XnXAtA2HMDWIgN#v6)C@$8-fb!Y;mWx4OS1Y9{0S?)7f+N?9SM z0M5Ic7c}0cOCKpvTZ}7MnzC!61Y1&KB4sX45{JvE;ylFdmeCn9``afkfrBXhDav$+ zNQ=sKV8zDT!&^!k94e9}SAiwM4rlNv?sTElz&2DC^BkLBHDoS0R(s^B&%q~;u>OpY zr7V0M2OS=RRC()c$ z?J`l&U5Pc3YL#trxBIyJrm0XXYQB6G>EU!fc|-H;upcIU9Tu`0zAS6~vbo~X7NKGT z&`K>%D_fxvkp7)Y<#6g9RDtdn^{s^>}Ybd4iODelAWs$awvMh#~NHxZ7uC&9?S*xEz3*&XGh4d>& z%$l@_AINWcB_6BWzBHAd*Le!Fzjtx2)19d^stNZhcT1rCfgjn)c?Ph|rGARGukNS^4^#E;iFO}?opZI` zyqiP*VeN@&ZhV=5%k%rIb#=TH!Pvc0 z#XDnue$KfR$IH6ImPfF=$pq_BWO?Ua-_@=frq6qdShB93d3~|>Y`wI#-rxC{^IEX{ ze`OhaZP$-U0!vMfM;CMXtb41{dD>&IQZ=$CY$+tB?SLCZkSM3H^hIr?|ubIjh8_$2b8cvW4jJEhWw zMLAP|#`hC)c5Y>}&N57_;Mf>&38M zhE&Cyzwlvt?vM1=NsqSluHuCVOMsn-Nul67g-fGtHMA`WHklP-i0GIYCLT^9?2j;q z;h?<A>$|_t6gLCX!adQcNbjia&r9S3MU4-zb;}TwP}1 zX*k&AJJEsZ*`d{# zJ%~kd$(X?8h%45OszzWWfVWbE?L309e1h$C>Dh?rRZO@Mk&)kpIaEDaV;4gZiVY@0 zzsAuL1Te=8F1M!$Cx1(1J2-H4w`UAD57kw@*l#BBU}ZbJ@F_bOWm-=dKnPAUoYh-# zDE@d%alo(BVK8KthS&HUP|oDS_Cj$STD(NPLw7n#D%sfd#?8u@mx(oO?zs&%OURIW z^iT5o&wS+63rP)*{Uy~`H->`DdFpQ&sN%&1Qm80z*uvqHD28PtsHcfDjZD64xOGeJ%}~Mx5#k%cq_yf6%T= zE=ttYI5AjA%~iru;SiFXWIe{7B2+?>F;*q-RFY|jAjMqhvYKL}^ui4jouI(m^~=3y6uS}qB^o<@7io6XHI#EL0O zGNd@3omZb;h=sul<}jGvTs28|#E#tkXwMO}Kd2 z#7EPZ$GTH63l%8MpxWa?ry2nIPS4c&R9{?y)VV9hcE#JRp7M z30y69Y!0fNlIul@yg~sf*8rJv7K_njmm&srvK`_1wuBaD{+lEUJ`Rr3Jf}*GQLYX( zg$pGOU;n0WuxM&mYevnKj%2PfS-Hjs!&gCo+OvkQ2y*7oU;@19tc~IlK{VJxpiOY+ z+B~(>_99x#fQpB;OZ}$8QutlOj;XuSsv}n`O*#?G>h`5Zn0>gVW6`S?OZ?{vd>(`u z{O5EA2S`ZO_ePwSaw2$7tE)F*fyZMrF}|$l_KfzuPIJyh~A6Y)UV=WpEWy@zi+=?16^3z|#=3_tZ&)1k^<$=NrQDqOSS zXjW*j!l8kA&UEY5Dh5&Aydt}_EOTCyVa#=R>WWkX#r=;)8`LjgrH<=gRo1_TUzA?3 z1nEO!geUKcx=uz%VfEyKycx$^L#L{B%*A~ zc|V~Po&?R^mo}`G4!Sm5a8;qY{G&bL5+$-r6_*tyIv$1R@iGj9At+FN<}?VN+3@6(Wyho)`SaD*-xC7s{mP(XRc$Y zgFfbR;Ya!$=FspsS5$5#cNv1)&jJZv7V3#afn|+kUpk@gm1OJ^=?PkPY{W@ImqTYu zex_x)Bm51eh*KDC$yA_*NO4JCp*Y(PeFuMILpjD0ji>}BsVp4DEGvOZubLjF%F3Jf zRZJdLVe>zC44^hg1Jk9oNUiGe=tYz5Jj+YxtkXOz#C*T!E5OMMG(AUYnhMh!8@O|w zUF`1BU!>ij<%On8g-+x05sEdZJu5Q4mROK>i$`J>QCMkg9^iup#_24DLBF?-bx{Fm7)7LG4Gi>J3bgYe?PR=5Dn zO)5QtDLp1{70Ma7&?6tNTny5)R5A{3AShDlqTlU`D(L!sg(=HT!mR%#l=y?tp9qOt zaJ2_J!JjY5;T8C)EP&p59jxzTH)g?Iuf|&Y*{X-D{64bx{Wfb?tCX$gjqB4hi`C>BlT;kR zso;_HbO$~hefOJz(?POJ~1fn9DL7BlhktONrw~ zADcZtppJ!bXPENsmzbv`w6)`R3|3~phrGVW;gDp&agw>om+@8G|0DtAg=Rk|v~YWe z7J@@$(W?P#i(5;ox%h#oL3T2O!spGxs5_~_yfHQrAQzJL?Iib^X<%~7GD>?%g=D#{ zB94Hm-<@r2Pv`lknq~fACZO}olkn;%(Kt`ut`!Eu?<$l3RB^gqR$Iv}-2EJ^!RG`< z$~{Tsv|HB4O8&T($mkU4%}O@)IVtNnvGV!K7GVM5OTLwBH(#D;wwGO2W6Zp7AO4a@ zi^x*#%)?4pym-5w0k$A(FIS9@R@K2@mTLmAes+#GfpRz`cwEU!(kmr;BQ?IFy=3)*5A&1W2_FqM}5Ntk) z?+-xd^9bx^zP}($*}>214tc$UF5j+<`*Ee%cI1zGPZ5ZFE2bHDNf8}ioZS7Yjgg@9 zK`3+dQTJ%9m*LBV#N*>?d*drD)zr$?Fsy?0_g?oeXF=FWh)Ek!auUFH5MmJlB_|0d zFBsZz2T2AOkTbT;KOqkyQ6%5CGIyn;jbq%V2yC+;CRg4DZ?+9NbEL)YKz!AQ90anZ zHyg`fi|+g%rxXjZ8Iq|583q-Z7!gB`LZKuZmYjj;62VH60o0N2x~>@=%3enef5TCQ zp4c(O0x3>m!4SLG@+)z}mNX`#Jb1Gj@SLa?pQH^KMo$O<8Z%o%VBIpQqxdA=mE_B< zM8Ih`p@19c1}`wKT%55hxtKHJ2{J7RE%p9mf$RXVC)9z5IY>@0xjs$tb=Qm;+vO-T zm|5VX>3{$iYi}m>ldy%y`-llwe`I8B$swdGs2_veaTB9-(+~K?K{q!E&X9Wf+@Q?)zP;i_L$ltat~se zrk#HyI@#ukAEmlg_sPzn_)cfM!-$><_Np`ml7#-&1|Hk_U9TfxKuDG!N=b$hOH~4Dx8Ys%3}Q(dCa(fs4b@ zSpoonMZ@i6`(_zpKqjd0-E2KtO?o_!;+glOz~Mn~%}b#7kpjReAT`vJ z1P7nUG=fKN$1w{JiDeRJizvppV?EJ~$6T2V`8^@OqSbj;A#o89tjE%F9u34-vTh;s zi7YTn4zV$IkBog4O6v3uQ3{F3R2FSV;n+zcq*XkgKwpyhL{Mi28pXBqZstY9qLZi_NdTZMNXwmDEcqpN+^oa=)mFK+Tb_tddcuH@tdT}89K|2sFJ&#RK(t7YnjBtV=jW%3*E`Z2+0q6p~FDUp_L~K#N zMj9ev@AcPm-F-{TkAEmwz^}QksX~C`c5a9TW;A;}U*;@{oEA4UDY$;pbINo?Jf-<5-@%PS^u#!vjCc2uCZ8?e zNjzQWRBct!v)yR}SJ`u3ljhF_J~@J8a*o{33kus{GQ^-NB*9z#vH1Q;xzQbs+J0lo zbl~PuGUrY!iNq5Z4~fdrH8mejQ|4~QQZGZD*hZ%)$k_L_9u=cpf+>Nz1p>XoCI?#f zZM&5ZF{mI^>0aH`n~BRYndSrlAEHZvNA4wt?Y3V`^G#TOnd193R^KnGW#dF0{8m=M zAs*|Ox!KLHl~~F?%_aNO#?U&P1B;l`vK&<;Ug_@BERpZ$-P6d~gw%quXi5*Uh_A{$ z@siqmWQliDhFe@AVpdrw{M1(|XvN~g<87Mm(lh1awdMu^H9+Z}@=HV}Lu?R{MY;3W0b7WL_F;sTqOn6#I$hE$E1RUG5(W;u*p}28 z5yhR;)#{RxB3OAqh(^FRyS_H{P-UMFyN=~Z(G$#VtN196_FzeC=2PW@p@c@-m?XJx zpq&`&oDxy`p>+9_Z4Z$*=lrGRvV@9}eM-sud8U*z+kBIPbE!5XQY8knvSmO)Kowf@ z@y@<9T5dabAn#qJd*typ-6glGQ3fnc@l2WP61-FYLQX{W$fiM-k)I*Bi*=Cb>uReD zQLtswg@XpQ85b0{Fgul#{oGKJ7E|wg^vn;Z3Y|Fl47S7w^1)2?=zd0Na@_E$lwZBD zm2Kqya!?m%lU$NDzIaI?#)LnPmyYg0-ceTqKS#i;b&q^u$IT@DD2MR{f-6y;cccb| zO-7CsCU$zk;MAjz`aBC<@KnKsPREhlN8Nl-(oLt%6?vxvxSWeLWo?>6o0kaqKE$q3y|!$AoJG*tZpDz^tQvn%V)Bti6iQ^FQj_ZTlq_k-tY3hu*gNK@Qwz@Y z$xCRFAP^w(379$EM(6y7@WH)Cq!Ocp9vBhLa${rgDV?g&TgSDTsBVC>`*j0ZOnP-u zr@Af)MUyLcO%`|a&rJWVp)CFS01IZ`9vEdm7Cv?x2&AYBNJRdTAo;u$uQeId$3p8r z!(A>stsFYz)wI?a^k%ShXM*w0?lW&{Too6fS&@?Ub==Z*4(A$Y%9g0tR7Xs1A93E$ zx1)JjgFc(a>~C(n&Z-aC!fL6wXSVG(rr`dS1>AI=;ueR98P7a!+;xZYSrS=N1YPIopYLsXPn^mzBkCzt*nv4~98C21QEQ+uNAHh@->S4W zQ<}VbEDg338#CJVr39}O6MLt~6ETO(=ETgC-fqLz>Mabawy`DP_r*jWO|gOs`#{uc zNSij#l67KQt3Xozc**yz*5IMjDE-n_Q|b``s~+;??RL}jvk!`vuIW4|WHalPV&6w? zwvwx6^~=+V=_p?NvqV|pc;*Z^hHZn`w6rksjKE>^fVQ&mbq-Cm(f$-#SoW+g6*-$bK7bS9|q)tUudN z<=yzP&VH?_5|hu9oG)wIXZvh50h8auh$`?M@#fxwP{(>sFmXA;)2P0PPp>{odLoOK zKkK&e;?Ej(#i0*s&5I!ZQz5@|17o3{8* zXEVm>vYD)De1!PJ;d8T^3B5}Qg2a*V(GX1OCwGOvZU z@sRZlcAo#tv#QD5QR@I*sXDn+zgj^N2FH6Ua~^zmmArSsaIW6dhXAHtOcP)a zz2{IRyHzlPd3({@G84b}mk}ao6`bB!xFxntxXSGygYEBXdBj;ZE5I~V&d!i*1wn>N zxeXv*!2D+uDOp{7k-S2foYZ!cR^pmAzY<9Rh`h{x^tr5yOkJ4(%mpe-Mj$6C?C^cX zriXM3w7Mg{7v@`0la0x)_t)M)l0PyG=0RUT#brf1iG2UCI*21t$7y4`rA#oXGd5Q?EAKw;>{TK}G@6$N2Kpvp;C zn_PHoXyDt13yUd2*+kWYB4X!acRBRC$f_D0)(|d54*XLOPV50Ml%A7v_jOS^BBC!8 z;!vQaAjr(a!^tXxJGMjNof=xjl=NvYB2SK>muTotwm*#$G8A72GUNzf>%Ui#hX{_) zh+;9^$^V3e9P_aQKlDfPpWY}`FN@7MQpxq;x&dn&19;;vBJEfW!Cn|Hdwe)aG%Bch zmcno2sMx7Np)JrJ+$vaZ(MdOkMEein-Wv|xNGR)qMC2bLwrHbZgRd?fe7NJHy+lF^ zG1P>}ZmQR8y0L1uqKY$jd6$C_+>OkluGh}5z_oookc#jo@dDd-$|=qOSG%`6C98+1 zUzN9@8S#X^FahO}Dw3NV-YiSFm9{|osXe2TCjlqhyXRBV9!eh+wvhMM-|dvK#s(GS zid&SY3>E>L!OF0yvAdC))Ig@LqiP)+y<$0MbozN;P*bi#7!1tjp?*b6ol;jDEyz0# zYeR>{mH6N>1SM#-@BIzOyqiB=Eh|`^N*i9fO>moJ{RoZ;ARjYw>Q*E+zX1RQB zW31wVf|>pwB_xBT*KZT#uP#bUa{~%<`~~xGMCSQ4Y_WRj?$TqWyS07tTRn2)>FI$c5QF;%sEi1*j%Wl!)8F^4P zQC!6GpBEuyGQ@h*3##qC zpKtY{y#%&JyJ=P3y_pj2vjRE9D$m8b$kO}?AkrKqM6h_4iL3XiD}9tJTl_kvtS{t} ztoA&ZuV0HZ#y^7xvCn%DJE%p7kOV#&o)-^LS{)o@?u&UF$P>x!xX9&1@@}6}&0`U! z)<`gR7dsB``Ecppn(&%kD*_Ib-RO+d1 z4EJcz1NzQp*z1jB$FyR7Q0G++t@|8H6c+sBEw(A?Xo+{aKVycZx(cRqMB#AJ2g|nI z;G#zN4B11q6G$~IxNI$OY&_g?F@`XC%`?GENmYk_ii(uq0H|}QA<=Dqu z*xasu?KP;jGcj!av|;6qtkU=VqyE7SlA>?@x$nRGdQivqSrnBl%iGLmnK_C|t9Rg- zFPlfU!Q!-Q928byaUJ7u;sHVakJtUxl)rGEe%-8m{`e8Nx$XvnTE8(b|TM|=+S@#n9Xj{ zB-mofqN7QriYup_g)2+e{Zk0tylB@uzxSV<9R$a^Hj;k*9Cta7BtbB9@T`0ZhT$FbaoV8UEaGag((H451Ne&%aZGZDEYyZ;;n!rA* zH(SIM+rG9pfUS3l0<|Xx&!*nOO8;7e=3n~Vj}^bH8`8}cSIhEfXQhHoJl1Gj?u&#k zbWpcR`*-(GtK8niv8DFZaPBzjOJp0{8hSO@bJwPRrd2 z_Wfm!E(ZPms8LWUed&Y!I06I-vt z$M37i#Si)T#x)%A-7qJRDPhZtHMtfuCIEy46~$-Gj?;|D%CreQ+0(e}SrLIzRjfhe zHv+za9h@uu)qDAP;ThAr>2&5DX#AZu`Z7wPCya3OO!mdCnIoTz%X2AMEl{p8nmaQR z#eB8csmPVls0rk)9!#pB!cu7{cNBp|{g_94uBR-iu_DPjkq!5t zvd-jiRRq`icczqm!u1-v!jPPbF0VA>bMGC4_t3$rh;*m#&#kTA>_*4v$cu24au2&@ zK~R@(rexM=sM+x6fKku36u)lA;DlSgQBm@-RTLZ4=W)N6dY7uiX)>hegDmi5a9_9a z-o3LJ?>$(OVm3!(%4*_o$#Vo#PB1qqnP*MFz>qm11Va7&^HQ2oCOqn%s3n{=Wg@AH z4I71i(!XqSg}r3XOEM9%*Sps$N5TP3PjGJVzF)Vk`EGi?et}hy?fg~fTFg8Dmj9{_ z4ED{v{7B{amk|*L!j~??lzxSe0yA%vv$CnGGHd_Lm(B!>cF5ja=1UU>JN*aC!n}bd zHJ8TEufvpX!NtfUl#ayD*TF5U!NoulfO7EvE(<^CA24zV^Rw^s5g@E!3Th^ztps@qE$S|`VfvsX70{KR@@fG~N)pRAs({&Z5ssNKoXcFWI{U{-I5z$#8+B1~ zrLt-9e(ortvee;k#;5O|kUxr3=#^<3k`{SPT=&TLF5Fr#d&T@BS^dJ^JaSzzL=D&m z7aKMK%i$~JoGX@%Jg5S@t91BNU$Pr+b}zy~v3phXu`F7Y>=ii`-?PSD8N?pHIuBkQ zGsIPFvuicx_91#76l)D#H74$rahyB?4w#Xo`!8fY!(scumc0>dq& zlfn205GQK}fA>pJw#oho=4kX-IJI&)c<4e`w21I<5*`{I3DsoA zWelz5V}-s^=W1#U&3QCpff1ng8@}?E(7th@7j7=fe~a&_4`=&chN7Yb$#TSyx|>>B9g7BqSyT5*37kUOwECb9Y7j z&v$fO|8)!xjKzO>%70TC|2i?4yQ`(HwdX@sKA5~Bh)>Vj*YjUR6(2SLdYHneC;$@s zzq{g76atC;BfQsn7!?7D{imDte>w7hmMH1+gZTOXIm?HcO1jX8AO9KD_g{AMU)d9N zYZqHjJCHE+;lcP6?VUWW-9dcnCWi9XmabOTp#PU=HhFYlfgjKT1dJpMH;47Xd4VK2 z*!Vf%XA}>F)z)TWpo=h_5*~Sx9VfdjE_nwFy$@WB^HX}Q@M*D&5f&->K}|)N9>f6} zH+Vw+Thg87`C}9LN1e_NB2N+)k(O%sZH}*-OsW%ysL5jmLS+j=f;@w{s7I`ew0kf% zYZkEAwBFM6jLc3v5VTLa!C)5lwiS+BYUup}LFhwf11VbV?@Lhmi z=zRFkLVg?tN@;%R(}XWcCQ8_h$An7cwT!`3bhtekw!nshEce{OXiG*0KtYEGHe1hF zAYEM$PR2+t0lqy|C?yEq5J518patldwL}80#AShagug2oS}!}L=xcPqh{uo=&xg28 z-3+@n3F5oZAq~5&R;ea@&xRvSH}NmT5*-jQI-F&+cw0hA^lpF^t z>u9D286Ocrw)#zHmYge0vr})SF5=5&F87~4N@so@oikKFJ6hM=oOYJr`%V1RWyeUZ zmVlLtSs~>qne-TUXvZn99`QT$c;p{Uv7Y&D645Ctb0@kUDyuLhO^Y^r&!U8|teT>h z&h98Rm>!cLI;esS(D%(zyao_$RUFtjUf4!EIVrHO|2mQ7l1j?;^r%LM+8gU0>9b*B zW$6h)+>KWJxjMxYZoHzeyOnxcvg3$0`?{+R6|&#pQf0~Lp31{2W)zFGH?~-Ka&$Lx z_*4s9IAO?E->v{ji9YOU>iaq2kMyT&pcS>Dx2eAg_!;g&+WZU#B;3qDoM$NkzTmW? zXel(pdizBAXiF-mr}`Ude5b^!No((tWj(Dzr6<|bQSxyIa;eRjt47PZ94>5uYvGVl zghlM1@lNfp&wYDn{lz8Z$8N3lP^t&Eaas#0k{?5{Cv+M1oqj#h{_de^F((TV=xy|N z@9LydML)K!1HYoW&L2Nd$XQ-*e9q6~BH_{cbQvaabz#RrG8J;=_oap5>(W53sH5)w zXK{rr>bYUftar^UzJCLX-nqYWJt&V9zjHkQSt>LDcm<1DgsFGOm4plan3Q>M&6k+{ z5NJ6`+ry~AeG*raP8ykS@$yY+;2(iT_Mo976Y1Il<0PzseHfQc7*gyn=dOtz9X%dz zlZPs@wu=SV@b1PeI1W~9y&1ssS=phO)~S!E+ajR>dF+%UMJ+Rvw6JBi;YQD*7{NrJ4Cw=+}&XG*FBa=4f{ zjJOaTBAGgNf(;}CwN5;-jI=&s^5+Sxz!%lH}Hn=HOCy2}@^GiYRh*~(rRx?;4pns%BlLq)Mh=n%Cw^@Q6xe~M0RSsM#vPu!DLwJ^PD;^^$( zGPkuFKI-GD`W8W~Zzi#Klt(M)71{=Ln9g+Swi6&1QE4c=Rt;#PsV~uJzcR!S+kQbb zvg{OY6n;VXyB|@vMCs8#fVL|>^ls=$Of4pVq|K2ly;sch!IKzxz?Flj{WC4dZGaZC zE4BT87BWlsSev#1qS$1XGnds48BNr!D!YKr5Aq(^>p>As=r1!F@Qq=!E^lL(q1jt{ zB~{afit<}_+~m)-`W2!B#lLZUv*)F;YCx1}K~ zOG%lvE&n^-LSnPhIv4T5WWj&uxUi9gfL{3cW9rhqacO-k-;2`}ez(uGob7$HHJG~g z9_tDz^A>7GeYUAAnsFbzulrqq?@OJk=S(mG=fz&VKBrQ(6C*z>N7`d*Q1^!2!q2cI zK0FbmNJ%xTCsqH_p=EZRUD?x6Bs_=bf41rPWnF>{d!c`nf0LAQa7gl6Vp=ZpH4koo z=iJN}fa8}vGoFn)ys$G-LUrrc8bNnX2M0GXAKbhuLnUhix0`-MY?@1x1%!*>06jN; zoDAEJyyqF6&n8{^61Us>qilb%UFx+;^WtkXT^qJCWJTYR{R`D@9t^O zMLu*{Ki8N!N#{Df#X3T~^tXP2S2y;1qB)PyEVq2%!(n2^=)oT?utZqR4B7gnD+Co! zmqsRzcB$egRWwe>O5SSuOZIA%jINB3!Rv77Lo{sdga(6H0i)L8J9B{g`6_oEFf|@n zdoG%$wv#j5R;=P%NP-ii0A}Q(P9xYUjnW(JHW?y-*PMh$az{c&LEn#WuOXcF)eoQc zvFs%DnCCb6n(pI=HsyytxMb;*qp&ggnw=4ERDwTyU}*KpI?2WXI(nxDnt~zT)b74$`whcf=5F=g*55dJ{({UFU$D%`J?pJ#a+Y?U*syoSR z*(h0HyRcf!T0t; z@!`>rDn2SwtdiQu-b?>JzT`s+&7ZNFmlW~8_<{G1$o>=UM{cZN(K3&@)U^;sO#B~d zs5#?plM{4|ZwqBCp){%mV|9W`wY*!K6-}e7u?=6GciXU}dEJz?| zXtA~-P~6=LA-D(k7BB7;XlV-pg1c)WxN9LuDefAe1xj%!?o@!bm;bieclMdtd-gdq zch21XB_Gz8to3Ehn&0z2@B4U~m0yb(9=V}mMV8{g<>LbB>z3p1v?LNL^LZsK{N~-B z7a!TGkS-CuEsy%~4vR4VH@?08TTu}{N=Z)O1;g6D7;hanD<7qtlmECx< zZ3M}$PBh;^P&v-H{3&ePTb|0kgljYR1riLHfq%{nfFd+G($zdbSj2s*xl3U#Q!tBU z-49_G?-m-_RvHCSeHBq5e^F9v(WnN|S*s(k%n^2YmD&&vCR?D^{61tP&YEoPOP)jR z!gh|a;tLhPlW1_-RH*n3Pekt!ss^HA1LP-A{xBU|YIOtk!`U_R6lvMU`do!4kMuCZ zrE=U`zaL$q{|NS}_>dWI6$`{s;U>A^Pb?IADy}%S5`aDx)a%I9_m+Wc)s?%h!8q40 z#qdyWU4`?}UJac@&A;`^#7!d-m+-N3ggSY+;_PcB@R*YGIoX9`j)+yog$~EOv8Yoe z0oYB9)A>k9{U~lvERE?vkX70Dbf@O8M*LHrA$H?=_%G_~um~A;&SI7nf;9a?QT^g0 z_35K}b^&yk$m4Nkr>}akyoxmAL$tCLIt_Xi_1XHHnvKVFJ-*Zb2uQ2maLiDwCZRikM&b(yTw*dVRV<8oc*uC0IEj`_m1pP~Z${kn_@c z;FUyT;w2tsn}&?iY?u-z6z93+e2I(7befaim z<2N~-LuTP?K5~ObPX7?_LEK|8_7To|7*grc;f$yuL%QzE$F+P)Vu`{6y%XjsKVHMj zHc$933tfBCaWglW5GM=LzF4G+Mcwvk(h?bSRKNR<8K9&?ogR}1466agR*+a#sEd>D z=T~x-)&Og(xLQq}I@6=gIe^0iI1!*I=Nk2en$a2MJ!{ME9Oiv(O`sS_GdR5>HLrNO zq@c1MvHFhXQQ-!ot3jTY6z+5JH$BH>ekTd2i^MrSgx}`Q-hN1^^SjXMZ?djO94$^> z4Wu6J&B7UYr~X7@pGhlit8`;pqe*6>P0laHAM%;a#wE$eBq?`Ejp>fAOyUwh z6JKRl)EcYBEi&F$T;aa{k?zVJe-sYYjb`a!r)PXbpODw|iUKBB7Qi1-%irTD;iXZ$ z6Mh4eidb8BGO)AKa|vq~C2?0ZTwxD;BUA!1EoRQ~V`NEYLm`Zroxa7m@{k<1Wol?C zdZ6;KMnCR28_%bS7CjKpHiwH}b@Vi`<}VI9B@f+*w)RHMIH|YW!!6JeO2Y3waw4*t zxAx26^cVj+^9kBm3Rd@m#W<_QYrRi#rKhQtpS{XH{2b{rwws=ag({EQ#gBgjJzbnA zC~&Vbwg89?BgEN8S!Kw7@}({_KJGfDJFA%j@lEDcgqp=|n=SL!w91N)J?eY4ZX$8k zWs9+n)$Qq+ieZy$0qOTI=1Ca~3S~EriE&-t%p3bPZk3q^(slyqR)ykiBG~9I_@utd zK)%7szoq$Mw2@^Nc?rwtJ^1Xix`^TV@qMo8{Zzl$Oa7h+m66-Q?~_AK7SsFWGiGUC z6U|)57CnJ^pPG+#1mY{|=o*Zqs$j!@G6*{SV2E5lVq&mEZLwg-g zGxFNsp}^ccW2GJVVWXE4?}UQ^)a!f*lGzlF{@tgVES!#~VPB0s_*Y0=GoPgr(#EkH zS*3vM&OZw_g$9X=zZcTploiEHhxImzw)jXYAy$>LvM6W`Mds{94V;YhpBY6pJo2gd zTukf%m(mn_E1hpBn9m|({9EF~{>DwNpQAKwN^T_x-^_AmPP9?qO=s(m)A~hMPD-DI zMdAK0CaJIbo8DLAy=QNq3#7Ll4u{|7zDa6T)me-1Reega6)LU$`4N?_oQ~T!oqBk- zp)i7T2w~TXXdSa5G)cY&_+^eADo80;m0B{5552p;@{DQa&9j9!jf!s-+Mjw*Txops z(=ilQ+oiQs_Omq#x-j}F7?d~bbM>;_?e!VsW>YQEVa!9fw?;qalV#)prmZDr54$F= z%6_Q14CJSbO}yTelMjgdJ^Av?<73iIl%ZAd8hML!aY{+~ZO3y>yje@OWG@o{PTkK? zj5N!@t<mK%ssN)!z!E668eJctWa z?OxDJx*~MAq8)mX1;yiaiNP*WZgI0U-gFasXprT!jsm^iQ z3$FpxZZ^%vVJksN#Ece+f$sH6C4}SaHLkTD164QJIcHyyNghS6T>Y6kXWBn+cg3FO}4)`4{slUuevK3M-`+`ABi>4lZdZ_4c;X1J32C=0pT{BBfP2i zO(QjQpD&3Kk6DKp`m#ls-2KpqQtOZl5tIAc}|+3dj z9`Q9Z(#zLi{}Sip_XFxD>cg#<%0qRT1W*7TZ^0adv%pYPBv=22{Vk1z*a z+{Q*Lx!m^jLD^asUZBiw_D)UFx^c9Z-9fl0`F)>8 zl#vMYx1U|lM6&{=cEQ2H!2e*4{4dbdf69OU8JgTNoqy#&{|s6FPx7Dt7vuWh=Rf?) z5)eUwe=wy(2Nndj1>76J7Yryi6Ji1q-eDQva&;sXsy@;{z((*s8B|arPqv7P zfm|8A-mmCEc^|==>>SzcBc2;glCnEp;3`B1+HLmKy+=eM5{au|U61i$sT&;Zi%dh6 zF(uq_+j6TpJMnlc!g(@@Q7CWRkRn~R{R#8K?!MDd`DRxamhlZQ&#~=mWrzI#1f!W_a#hB!45wujLGx+lVOeX1(|gu_1~h9egaenKV13d zPI`dd7KU_tm!qmAybrq)0>F$N%mwx!g^-vtiVo&zp)18|i2LskUN(~W1XQmmuRECQ z9h%Ch>Kz$SXQ{zWgFTtfp?7@D8LM1@V|6*gTws%+QfK9Me^5SEh2cZeZ73@Ww-4vL zk~R{?ShY^@vYd#_XD*R2q1Z)?^WxPcQ^5)PVaXH;*@5VYPSv6yr_Z#L!UqJNl4R%E zJoSCVz9kMlVKvKPldACCd;W=pIC@^a(NLyHtoMRo zPQBq}#c83)4^C9|w3Kcj79X-eic0FvP*Uy`%}d4+$7;U1DX!38_`Tdz`nE)zR2x?n|T zUHO%Tp@VDoS&rg@Caji-TUM2RcJX!~Z>Eu@aY1guBH^7vO8gVAff>H+i{-%nAK3?Y z?jhFs2LsE?ucS9~T~!A%M5KM5Z)U7}9bNge6(e_E0hwF0Cy3!{Jkt><$4e@lWASEM zR5ztDux25EmoRK)obk7OlR}F)_o;c_57ZC; z>By}1J2LDA%L5KyV~C6f|AYgL2>AR=fBo>So8uU_$S?@1FJ~o~c|^MfuSkJ<`*LtZ zv|=`*hOO~%RV`|h;Ovixqm3mZI};_S2mME!_z8$&vvG<9OjT74*hy)X!ePf|@B&rd z;C?WH-U6rxrk7$>z?QPr48$WVA_)$Ls!QtmZLJvqAiW0ng%TAgWG>UK@~jpt6VL)5Ds9vaLS4ihQrxZ&ayr;QPYqR=5K~+doed*W^%x~crFrzUH91fd%I^p-;KY_9j4}fak zqkUjfLe@-EnW?k2=9uNPDpL2M1DescLX>_iyW$S^H)LNH z*#*WlOFFH?WC(M@1NzF{m`x(zjgodV-sP4-!NHt_X153hRiBCseU4F_Wx732b&U0A z{s{Hge%y4tK-|*C<$a_gWh6&K0lE)4x5;^tcLFH*^cxv$?$RqH-=bS6N13&W>|GNQ z!H5&B31z~^pn9rOOaQIKr?i)L@gYjTZmdGBl}|0uJqz+px-x7kVm8c`QlPK3$W;Z0y@?SZ>cOR5gzV+nnT*~2IKtZR98JZ(wdPv%A>`M!Ue z-cA*()gNtp8fIV7h44O78OI3~w2K|f`gZCLD5UU=koua-Hu!cb@Z8LUh3QpOdhoQ# zeK`0x2-#d4HS;n-#r(1F@8;6;(ONSC$7XdqK-h9s067}I`1?HQN^DJddht zJkKZ1ml=)ucbJCSjvqP^ODh(jAXs`I*8=jCvP>^M&WZ(+89MNyiXe6}((uoh#0#B~2?kG~uG$JsGi)U3I2~tcA#sa#%wE8* z=Gp~Qbn9fct(~)RSHaVV9}HjV$GRAnlH*2vUGiW6;>$&rik5!-?Bw*+*$^i^t-a)> z83D1ZTu9COu<)}21l^kw(USRC%2%CA-~XiHZNL9`;KJ``E@Sca+$cWt^S*O)_wY?r z`%m@$%DU0=ah}S`ZVv9SgKAcZjg5JzfJL7ok4@Mt-xAt=NnL>e%D2Xi9PG16FUCW^ za1CaM!J1fO0i?TG3EnBunJPz4I0=w5uAR)i_I~v=z#5_&NDguaH}9ub?5CDGQxH#S z7r<6->+J~eA}iE2XJF3T&g8|XM(-!B1}7b$Td}cP1}2Un1bnh_q*5%E1T38CQ`LWV z@PMmskX982Xgup0%xabo*j-DGb;nuP5?V*2*Y_3Yc!dsn+2)P~5?PHl>(z_3GPi)v z{n~n;jy1PQ>bIR>+qHW20*wo<&O5Q5iO?nja^Fmnjdy$jx5q&vz-V&cKAk)&yZ> zkbM;Zr9oDr*#L^X#?uZ&>4X!#N5oH^Qy)mwtxF6fub_@Y+5B=)5}pDdvI&kFJdK$yY73PD(NIkS;#MevSXi*g3B zzlH<}Pe9knaTeXUh~4-p8sM5xa`w~jbDEFnyvVeo@GLz0xa~?e_xGrdEveODTX(-> zk=rvOe2MP`ZJ;}~LZ0k-WV&jMg4)BevEPo$M{26S&|r2aFnhdRw`b0K6XRsAJgc0#Bw{=Le#THazoZ&rk6D8RKsr zI1fB_YCrXuU`lxTI~h{o@f|?5bWwMB!Bu3@6w^6H6p=@4RWjc%4J!ElZh=m2Wu-qW=U;ZW>PxI1hY0f{A+ubH z>1zH@r@GU>M=jZf)E@IESDxbY*OS#GQrDc`+t%OaR5*lga~T4~Ser>XH#|AiX62IJ z(f7YNDh}RsA5WSC9!IMI;**s37e*40=U^`uU>vJJ@W5!*J+41L_qVDI&}aRzYx)v3 zmqPD-5e;hH%y`P=*r3W2W<*r`pJvHNK!+W&!_bIQsR2Y(#Wo{#@9q9*h5E)h&XnSw z<0-9kPUWUTf^3yRc>~TiDNPm)l5Ma*PH>!u(7{KDHBHEeTUY~MnA1r(aba2*(W-A{u<>PUkA@3dYUDUlVL6RV$3*Bmpp-vs&j<@)b zBra%}LNk%@ROyEE@+-qdHO8mmeq8A;Z{==W(>m_2Ta_LnSA}(6Vue^ zd>$y&J&2)FNEWLSkvE|_F!4}ROMa}R=A@L2uY@I176K{zy6|8b*`g~?DPEkdeSJ8J zDrpYaGqDODDA1dXn>U~Tz6F7$F!aH# zZ|`!w%>A=Se+TKv%N814&nj2wx939mrSkWTSSzACV#+%XdNEeXoz<6Z-A_MgEK0|$ z?BIK|Dk76MAI?|23Kwhd8tHEjouT6aSZI>UI*enrT{(#L2}Jilaj9>QYVX`vmFyMq zF~+K#{$VDF-5J4H{v=~YvJr2%lE67Y#t!70@dP;81UN0EXf`sW-eV_f8Ms|i!3M6X zBzNK^7xGrt=bFq${e?}7BMY%3lIK|<&=QK#T?8|4f0tu-V6p3m>_oESGeLfF#{8lp z8PW_~luXEyv6#+-DN!Z0@g~zFTm#k80tHkn!c*EPQipaD+n%Rpxg@a=^01MgOFm2b zC74m%EFZ|7iO5w!{9z4rN|X#x>+g4$1Z7R<>Y+y=zYMboVwne@rv@e@|EfgT)n%J% zB(Xe5+6v8Tle?SfNoZ@zrC*Sjw9NShQB-`Mxj06$csOKwmf@NE#xC`Vxa9 zOv!aARWQrqeEJeN@+D&ui%(uwNPd`mXZ`=ki~_sp&u8f(%)l- zs-GYqGD|{5itm?%vZvCExL>Q`v2Jh%3L#i^ic6S_zwIy&PjkLBQnyRBSwiXoZsnv* zj_I`%%Z@6tJq@$mWv+`#ueHidBXwU!t1nqry`(5L`9-jrRmox0Rf z(!Q9TomIZ{oU|>e((H5XVox2z+cL!smPpY|hJkk~P4!aWsunNR2Pf*XeHu6ps--5% z%z&&fS8F@i+@%RE?AmQR=*t;so3b0~+9iZ&b6LJ{mn;buW~N3MyaFvMJZwi++xWwz zEiq14s&>S8lC?@;4Bng_qv@EleDVGc8pYB#9R{D`qHvsh^}3#m9U~ne4KmFgm=@M6 z0P5IwDtC0YS2IgbC-%o0_Q&CUS?Rgi3`BBvAt~ibTt1i{Dt=iyTq`B67)|+d>uP~x zB|{*UGy6_KNbAe;tjv83o+QTnki%xulXYRJBAH|+v*%_=u4AI_o0*lA1WTZB50h3s z6CE^&iF31QAnQ-8Y6%xkGRc>|!JI$nAosyrpOVD~1Y->_1rZr4Dkosrf@&Zv`btK;GqsWb(YbCrDsc#-*=#Uqc@TeLN`12|c`}#ws(NgS~w+%kCYR3mnSj!hVQY=wzJBOWbsjdV;?wSkD6-nZS0s%@Vv% z{8jY9?)SK5+t6>NFTWG!zNV#a;dUk$w$}(xn-N~tuU;i2|f1$9`oR#^B zEoNE&ipTo&@dne??H~ zal+AS@^K0r>IRPdCO;C&Flc+rL=Bh3%%7sgesAo_gNkKXjl)BN+GSq_W|U})*u98v zH8S0al=xF>VpoxXdMj9rj3eMbfKwvj?T~G9vwl`MyW0eSTp>%7{o!29!-?Hp(MATX zm^WWjUj}JIm95yrcz=zgcbdUj-segBCCYp}&eBTGk92;z6x2?!r-Hk?vaGoFt!2Y4 zP9Y>NnD*Oi`-DGgyFm6`(^5wnb39}_&o$BKRRp)>NZMP|wT~>RA9cPz!#|^hxWD2o z#N+$?*6-@qLvALB?Azz32uP@0%nyQM_~xUMX93*zt$%$C)_hi=^!Q0(=f|#oteQAI^bTca#7+;W;-ouNRQQN zrbRYFEKMFV9f=5b6kxg=9Ke*1^3%snvg?oJ;i)L(jtmJ0T70rpEY&YfUc^k>O4EZj zQ?AL9y-e>LZ6>$>=CBdDPo{z&NY>~^T5VR7zW>^6ODHdn2%n=kI-H5&Y2p1Zuip^} zy;o4VcOCu=%r|ITM-%C4=kumc&(qYaFuex@1U&eik-rg^uJ1GXQ|>@dGlu zH?L9W=6BCpMc$H*vV1_?<+X>3bK9B z<56B35tI%X98e9#R`9qH+`S^#`5VT#Msct6r!?zBnObfEX+%4zOo0s(8Yz3+&B3rJq7Hcg3q} zp|k0Wntv-OXptAsQR-R)3>w-~BJJhp3^FSi;QHep=2Pz{oMSO_KsnX97hsJi3!mUE zt=!Zpawd^Bq06gcwatt?MrqAH7A9A=taM7rc!P9(cO&Kc7NSb=EvDkU^-P2=zPsE& zI7eKdSw=~X?HAnl%?v8TN=kafHOUgfEqL9q`;i;@Fv&1Hj(ULE7$ZYi!TRX^H+}N> z#Ve0VPq&0Z6od<6%W-jzDeX+zG(VF4(l!E+L-d2`G#huV?h{F7|9%qdSmWHzJZuBJ zPgsb&rRzf&xxeSMCJX?G*1WRS*l(G?+mb7{>1RU4q~*u z)F{nr1olw0k}kI_@|&eo;Q5LD(p^B@<(?l?>vLVN&esd~ivNbc{PkzKaO%l1$5nVe z;%|s5uOMtasN0wg2;VgzQKXvhE_oTmv`qHq+i#reADC0>gEbFDnNYe})ONbFFR_kf zTa~|q)w_`QkJskEasd=i5^lZ*unMF~DPMX5U0DNp_IB_h^$u3`eZz}4?&qo6+|F^1 z1Wy{Q$`si2PP^oxP6JQ+-oK)F+C$@~-SGB7X7shS*<}<2`#9~zWsA+LFjKK@x_1=(*8w2z&knFNtWdi7bgEF(R^gLQNk+YPypQ+d6}V20tTn z*gx-RC!_O z@uU1XWovD9XX&ydbd14%s{zBQh^;{Psu4q$k-4S4OLaFxQlz~Jm!XN9p)4i^DPoss zX;k4ySfd~P9sVrGt|m>awZb0(rwaA2^sDVC**~8$8EKAF0j9TjbK4*KWfyOunkmoi z%qxTqi&@EMk}{n%KM(LXeR7E94`tM!O>bx!UjM98=E3+R+AV!> zC{wr;RB@G3Z^XB)OwtK5`7r1}8^344m~OwI$5VgbE_Xq?RGW0&MX?pNYNX-ud_%vA z`7^TqD`Sd33yzq$i}RHQ>gAX000@aj^8oj!D0p@_NO8ijs$_{>xV(X%#lfo8^vmJb zxnEk%CI(?0)q?6eoRlI99ss@D2P%lj(B590)CUcf1(G8g|Zf zSl6{y35#j*PN{M=O%{SzPkt>jddqgPw@>G~LQ}#cG5nIR%UJcdY>eKo0>KQn=R-x* z%{}}R5gBTb!w|I4m)_yH13fb<_bU+w^t{Qeda|*$*$6I3@a1nQZB9{v9+*T5R^snO zo9?5n(A#ur1&6UL+a~h8+e9#Akuer;o+FB>yG9y+sm^k1v)J|b|`-Y?8)jBww7-089iDm;IB z<@xIEAn&AF@;&IT7vY!KK+^hel5#0@$uG>j&Xe`B-@H7CQ_9GbvE+VH^lrz}xPIyS z9b|wen`=O^GtgflAa`JHN36G{@fmclI78$B+v`b%{0bZ^KjZf!R9qf8lYL|IZ68EC zL!SN1+;f!q@Zs66pP#e9>njd+rFU!1+7@$&h$3MMYVy}Z8dGniHEzjR_U0quBO)}f+#3jt%d)87*H?#_{;$kJzn}E{UUD!Z+KCg&BqZruG_Yw#hDUk48Xr^GJ*a@#r;q74if*A8~As52g!fA@PE#C{l{PY+im?f^A7*ePyd_2hJQTJ zzb;k%ORzyiQb^*z7;N~zQ*veaAIbH9B-j6uT>tNoT*Etali(b8@)~4xj|4He?|%ePZt|Fo4rh z?{QcoF#MsVW}qugk7j!Ypw}`DuNW_OP8secNPdhmn za<6SGPXJF8kl!;WPAIU;;5hw{0D=px6jxAB#hKW6OR)M{DNRorl_*YE@&pp%S=^8( z)b!ezDziA7gtZb%4a-Y~6)^U7Hstw2UlDQcruV=U^MjX6!-2L>LP|u1;wi^wi+G}z zvsj!5ym-{{&ZxJwlq+!4%e2>A1mLfpRT&)DP7FEhZ(*Nv(8L>L>xJtgH8J^(hg4IF zZ~W|EhY;Mm7nHgA58sspUGjnni;X0Ejz)+;(BsFuZ*iC5_u#CEATKyl5Lzy-%9K5e7Tj{G7q~TtCqQf0H=xvKJ zDxc%H+4k0_&X!dn{;m&JJH-=lR$Lfg5^wKA!HvPG3Z_BS9}JpW+xzZi1%vkzl}LKD zgS3Kho%zoR+*wsi?nMo_KGz1eGxIKH4YWKqORPElcyD2vsEDjq4jQluen9Tvk9!OM)=-N|fwK_=q7 zcHrr`;7EWQMoIL9hcPs7u-z(H!XS<}Q^QhdL5fFwZm%MywDVYazc_bMioa63=v2fI zJZBmsY6!A5B22k=ZJV{I>4BKus%d-u_bAC;#T##*v0auXq z<-PQ=*4}2}MW!C*tp&3aBKGuLA5MT?dan~6-cr7RSWnR`2%x$b=oPFWYxgKZG(NBg z^nIl67;#9#YKoWOmu8eI$qqJZX;fhEEpTLun@;|gp$~lVm^>RN%h5^~jZYz;VP++~ ze~`@BJlIw53-w!WTb;u^Q)utxii?1L#)c?u5&OoU?H@lW+x}G8P^_=t^lFJ_cOsOy zyTyL;bXNWXd;(g}(L}}1@LjyYWM?Kg>e+U@7Zp5d#LR*5&4t?kgaaY@5d(^Vtw%W4rA~ZSkkHcH zj^dkY`u>^iobqP!?aaRH+;9&(t~&IwJEbcf>HePS#ZHY z?D8o(;B>oqY3g3u6n2PItd#T9hpk#p%BOiJd>7EtuTYM!j?Hq#=PsmH6H}F=wgRK2 ziGd}k6$*>7DQmJ5yYEru-={p!qg*Er6$x;{c09s%$5K1%;uQwS-j%M2sN*@|_t!uW zay6q(&W+1j!(WajKUQgf)lAkS*d-t=W)-AMrGkuV?O$$UoV70KhJNegZBGfl)Ww!+D^Jkj3PMo&|hdU-7V%6<-D2w|m zop*Y>ejhA-i-vzYmEHAhUx?=hMBDAf=YFCz`&`3AjyvQR4n(Uw7t6&CV3W;g5ok(HZa z&fNoqRiB50$LgVbM|Q=$&Xd!00FdwJMDJY?@(^coAue{`E%D?ieO4o|peMue(Dhh5JB?_aMdbFW2Yy^vyo(WO3klh>a3@I|1W51jcH0Y`VwmM=d z73Di=0PHV_!R;PUhK){jXHhyG0=MwhxiOg3!R*xWEyy<}JtOkqArK<*J1mZM?}#K` zfoa5#Al@+~+39=iLpee^?AD zn4h#&tMAkL#3HD(u3DSCh-jbHv6a3#N4^pTzfQLgax$_pV{HPHHP4oIa>8ngGP0A!Moj-O{?{9+sRCVCAAfIV9wg zOm@My^TJk?e~s7E$KfcuD|%IDQ=T1E&9AZKt@m2&NVOj83&5#)hJ&~Rg;`c+e)|tN zD$2(jM?0nit`gF0)GQUquhc!=3(1|Om7tw9hz|u}pvxllU|k|HM{*o_pOHh*57?x3 zH(3qe$&nziu{UpRxE<%n3Z^UE0;%`sl;XRbw%PX=+L4Dp7WDxZCN~+5~ZxCeu4gB7#`qLV;hquMP^QmZikCJe0Lrw)4B{Gc&*F2 z?xh)`TPN{edF>KD9@?zI<#P~BUCT4o+?xqvHr}p9?fdqLN2Y zKkSN)T}-{X(p^UQjV)cZ9=JAaWk)};u2gugrKr+GW10Tke5{e&pH~@nPJnatBC`y? zk~q(YYd`A6tjXj7LY)BT#Kp~zAG{1)fM4j(zuC@ov|FgdH{ug4zf9TF+vL%AE0sp& zU+SiIj)S{4e|wUC4kfYR^my212$U-M?WH!CDXOA7yC5e>r-?Uu>Gmy=_b73}T!Qo! zO5!b#tGM^!XWsimCax+G)gRN`<9J$a@mn3qGZgS+2uUQ8XH3eX(nqux@-s}(vvg%7 zm-TZ=e;qWw*t%-OWV*yG^u%fq-AQZ#UKc4MS8?vY<1nkQOtxQ09K69Cchg<6YT{`+ zkI5SU4tL&547bfgKQg4JzHX!U*f>c#S%YPaPA-OjM&B^)s!PlKTWOVFoFFtyLmlUv!R>ZCi{>fNEpE!*LR9P-YfR zDjp3M&o2Eqpy?W#&ktXd-$XNq+L?yJE#cCVG>)#>gUslRDiqyHQ7s=}j{CY<@xYrI zZ%FCooGbnt8QWs}*g6IhVM+Y`k4q=@nk2QNx|N4yP;(ltZ=$tk-GqR+dQz4KvrAzX zUzR3Et?NUHyP?BKZ&rXt6Iw5#gVQ%Q3piH#K&TX$9x_Nql)`Mtn5b?|Sh)<4k4PAPe=R)J4)q9>@#Zfsg2` zkDCb}ph99gW&%h)zM@$EPO3Ie;qDyUo*QAVTUMy~p|tr~_aTle9v`b+H&4!bvtrjs z_C`6rF(wGq%OorHMW&9)j5+6B80`JmyZXJ|qt?8Vl8`YN#`ZB__I{tDq(a(T|X&_JKrNC?ao~1861Yy=eo71zWAUf%c>mGH`nM(df9k#a=cd5_^my{0cIN+4zxQuG);}!) z{hux5`}bq^cin*hUpDgn+s@Iy)3C$@B>$_YmH#^$mhS&(SpTD8{f~zA|8EV8la(nf zv01hlm<1LBGIQrDu>FjM7_gQFr6-@l0!9je!hpL)q3~!GWPv{~vu7)yTTdrLm4kpf zgcsimL8)Oa4+^iR#|z%kiLK`VtrgR$Lxy;8iyJ{b?Ff7U1igKmTn6(rxJ2?TzdX#2 z02gJasTNDj#w?d!h&I#oG{bCDdXP7X*^ExF3*lGK@KHw!5pl}%DiAxR1s90pkvtdO zK6S`xjP}x!tfY5z3U5eB>|OJ-Bi zpu1pz8*lQC1H#G3Z`%<<2+n4 zgVJYsBx902N=9i-rv;zX7Db(C0mZYE>62{%y3z6_cFL4d;jlT?2`iqKEjXRIMroVw2~68o2av!-)8@KQgO9YOnX_jG4`d1~B?$T<_%YsO{FVtHK3}4w=DAVv!@xG+Q>!>Ck>>Rrtdw?@>sYmCY%n`fLbxz}DS+AX_S(0YmJ9UQN+OB1M$!0f^NV^lkwE|&O;vg}e z7R8;#={YL>C^xzQGO2_UhXL<|&pmx=QlZ&QQy~E=l3=#a9UV+GSiEg2Z3o!yHPHRU6D|w9zb<@{Fg{Po^=Ux355bh@riR{&EeonIl-dH8r2uaK(I);e=*ocfbb zU`~kdx7;}q)UPjzt?lJ1fr`x$8Xsr+-cfJevN?LK%__H=crHFEdW(7*&3Mf3wvtB_ zmRTD!zDMV=Z{Anw=Nw^sJ+icFLJTIz_nWzyhL9IdNUOYh(b3efQ z`r16nf3jqxZNL*?HOKAQz01JKc1m8DE27y&pV;Q)BxqFTNhIqEbdBUV*~VI%>hrx% zRlebX%?g$WdXqafDRRhWScOAkv@g4wXQf=L=$?oesju5knd-~#AK}~%zsXh#49FvR z5Y>rq<~px%=UDy@&~f_%$%>@L@EuJFL)Ibw}&diWLl~9Y8j* zb2Rz0(?eb4z;gkzkQw)gdfx59H? zO#+O5Ro>|c7tP)ux+$zez}Ex2We>I{%dRF2>}=Bpu`J9Dr4tQR5F_Hl zIwY0xStf@SfN=4oj)B_T2?CtJw9)}Wl5o z?l-LC*5()ac*EYQ8v7-qPr{lvZ7Z^LR z8FkC5Nt7{6^Q&J`&Psu#f(izATjDJvaB8XHPzL~QzBx`|D%hn++;X+NNIAc7Y}|*j zyvG~7b3iGYfN6l$ic9ctGMCJxQ_)!2t}mmi{c(s99tWujUU{WVxHC{Cwr!O-x2r5n zUWWz%>VffO{(&!&=7zGa??Ladwd>j1R){!!%#@l4qt!&N#-Cl(5}?{`kC&nzXKWK^ zo;BYG#-lyQ6@jT0w}55?@HR7c>|ksx+wPHAiZ^sx0gg7=;(c8K6n&#!BT$!3_pK>? zt4@j|#i>f13u5&$;$jJkV+_y{GVbzC0Ed&309t;7sH)-bXb{k&<`rPV25%vGJ+d|a z?5GBxyW0cYtOaDRXueBk)XH)mxJ%hZ@(e_L^ENaWFzHjNgg?CH*t|_BXM@xXUF(jy zbgt{$Ty8YL~VY3^7<-D!8Hl72f;ezWf#Inf-~VT6rLnE zO!o#gHR_!`y@}DqkGC4_OGdpA)>tL{=qFeb-Ei9a&24~{++j}eBW-dcNu@o$VAzNf zo@c0{*=Q#pV%c(~9v?sl%h{CiE>M&nGm*PfVe4aY&WySnDxSW4c(29S6^l@Dnm^u)3o;2h zuxkiZQNI=8NBgWDzuJpy09uS?vh;z^&Irj{V2E}>$5Tv=5{t$TSB%rHMkTUC9DpZJ zSkpo@6q(TV%1<8M&rho!o6bGNJ6V)&`u4NwIke+&L;#v9tv8Rq?|`lN14&pSz=>R` zKK+Y0GD`4hh#JZQuYyN9eogpc_ntvP@UK19EZ=_`mK}lg{k!74Q0JiW?d;kGXVPlQOlH*Ul zioZB#i?C6boGBznuX^eL`?WAD3aX@>hlwMLQ_)Yi@g@}a$>t^~kkv14{aJkev&`gR zT}4sGG`Xht=di^(y_xJtKh#$(SKi3kVY1dRw#19g+rYUximXSz1C;!gW{@ZvS6G2j zIyOa*YEn6r*|8WtQvO}Vhnh+-Q=ToJmui{4obXgN*=9VrjdBt>vQ@xyQk*3cTalIF)Pgy>*>FFm>Ny$*Wr%R8TsLO$PJ!@ik!5@=K>SdVW=M@%AD|MSrs~)7xM}&@PQoa<$NdwiVfh{@}`Og2k zy?M5mD@^J|)Y$LLL$Qo=e9!SGx5f-G4Inh|J>k)i2fHZS+>J<8y^P4k+aJHQv=b72 zpo4eXCjD(fRUtt&cb(AyUG%Mh!8}m)Z!DhgyW~;T=Erkla>;J?$x~(bDrSaDoQTjt zN?IF86{p_sk_xJiG`R%Qw8J<9*1Y7H;m|y|I}y;2aQDf=UzW&E$K2|xk^5B#vOmiL z*`7-yyAt7S@TN0I5ksN%Yv|Sl{KqQHlM48}-m^L}k@{IUT2M5_u9~8!3l$4KH-fR8 zJakM6@{ItHs;(ScgmB%Ur8z#UyM!2|d zo;vsPE59>Yo149A0pT(Z`s^xQZ9a2l7|Vkex~REme;gw1u)BYu$1*)TtsHus^^}_JP+!HFALMy7RQQWVCy-26i>Cf8NUcSl({HjgJw&V2vWaJU=*g$ z%aGg-l!d6I;6f~`Ie&lD`1>&_LB|7#0mZ|hYxRqk4MJsE)MNG!$rrl#8+A%))NO8=5n$2pkQ_@Jc9z7>dC~=*``a|X4#0rIOoLa5cQ*^ zMZU2G(=GL5u8FwSbC#n5u}2G=V95={G97=x`epLW?l+g=at4jZ9WuI&IO^P_*noFm zZ=Mq?lD0iLYceA{#U@r>b5!xwSZvSNd573GJe}h+?Whb`bf4@L{M_lgS|=&hMGvz} z@V@iCOMX{X9;PkVJ8AeduQYe^b7eF(%Bq#5VXFusMMZcTYor!QiE9XhBIi z(nc6S$^OksFxN}Rw5X_L$%8ojtl02~mA0tPBk!*CM}yK4EpvDm?UXE#zB+YQb)Nzep|w`;zV^Lw9g5x&ncC65 zBBb8QaM!Uv;gBq`u=u``38ocT)!DqqSSAvst75wO!#A+@;%k;=MbZRo&ycF8R&N=y z`u8pPJ}#?dC`oWFE%k}t6}VWOa}F<9#01Il6gJ1hwRZhD^^br6aWXN^_n_kfNx$IY z_fHN;WNl0u-E2~r7Y4h}Sw9oqnzA=c@@Kv+#3W zX=4tp_UDl;UKHTAeGs-^bN!IdWm8G+mzbQOR)w-eSfN3O((M#flptwxWzND?(CqcP z*z0W}IdL^zaY8f>3nQqGDF1o73roQ{;`(|*OaGn zQs8oAOV;9;B4VNuGsv58^fs0}q}Iafyb(+Xr&%HB6*uZXY53U_Fex6L^T%$Q6MZr% zwB~wgmBzx*1s9cQLwi5@(rGVxQ{S^7oVy)9DXG!ZZlAm@P#wu$=bYUHa%+ftv^^1%TJ?=ZI_i}_+87ne0pKD zq6u^kKj0&J;(x?ODUOKv`6j0h<;bFyaK#cxya00CVbGPYZ*7=CLfm;Lc%R;<@%ZGyi2z5e(#yD7PZbH0b4a%$~y%O^nm zoXXXvb7uvv@)-@qZ%em(Dkza=5mjoNUd`2dUdE!raPfgf{-hQI$%lv0->8v;azx#P zhe=&!qlpj67O_h~e?NdPpyJ@7!KUX<%^&$Z+>o7iPK9>?J72HQ?O3zE+Wx`m&A_!4xe8CcE6Q-5#8){y%e zC)uW52h)8%H8hBvJ`AELwRC6r@AfDE$=35fj(7jN_vb(FPyT~&_s|Klb9y9w`q zj?w5J(xd;9_7>q6LHvI>?d`8Z8yjJjlv7%QUoq>v5%u#6hu`9I73Ls($DXv-^-?5m zhWB^of@NNlMkVxn^p_!AIimzgnWwZ6s7Z17k~Qnt^5w*H^-2@H_#r!Q(;!Lj?1R`k z{O3%VWuZ<8XWoamy}M-K_%xe05joLbOpmp4DRJ70=e+(3R4aP|+O)h^|2%eN!dvE! zoPaRpvo={>w^+t(-ogDrN;vYxfscQ8t1toIlyfqnS|fO#1 zRJ0~x;vt9w=v<;1k%YX@B(2x2qSY1;7CoHQY`OneQNQdbX@Bx(B{lH_svOZ_%V2x0 zqR9yfCB;Fm&ypIR%pQ?y$wIgYB*jKevo`s638B32w_AjZE`n=v!7Bb1J$QmYnxmvr=B~sRds&FvWq+h_uDOx zdB57ec3CMOeRa4)y(^%_CbqXQ^lszjMEFJD)rTxqnc2msuPcNqs-fp$_gg}2H(doj zrnZI*-oS!vz6Fvuyc+HYI@rs*3L|P#+%ck>AO?YXq@KlHj&KqO5_H+%t13cr#M3&Omz| zH60VDc|46*nM(Is>zeT)J1DLb4O3uuq!6(2`77iVtfHdx?-6g8-e_D2KBCR|WQqtN z-YN{h4Whz-ZU`zsaRONC3PKSUKs+p`+A0?L2BF)Ii}Hb;w;=~kwdsK`Yyh|smVzwW zM7YU4gf&BS1Hm>%wnicVT*$Mbt5^oxsYdXmuDd$66KNaboHlXEq)s0J#7{0G49@kS zs@A4!S3gRx9YRK^#6I0g9!zU*5*TufL5AR1W_okI){Z7YhL&%F1Q-Ga2B`T9DITRJ zGeivHpy&z_&p!xYjI^Q_7#<-kb8APUhDtRgil^^o2?RHygMTXTxm4#NI|2tiR!}=E zyj%d~cX&7^<>RJcY-VgLn_L2hypEw?mZmOQbnf$>md6wo3+)7~K$*M#j7Is2L9(30wv8>dDeh z_23RB{IJ?PMU0agP(i|(nIY4UPGIaUin)YYx_U;pMvvIi(&^M5gbfynaQe;DhcYY^ zc$|ffQFu~>g4GB!3=QHe5pi`ZW#v%ijUuw16}h&{TjZ{rajBrn5pEx6RO?LN%kXey zNn?H`#i?_ZArFL})?2<6GYuTKaSgA`)9b06TwS!-4nQ_M5hXJ<9TsR0|K9AkeWK-D zFL3njX%RMecrnJt|BhPNOpBbK0VeS5-`$Rd81kurm~SD8_XMXAkzy0nPi^H(ecP~f z11)19?jt3KCwGy56On+5VGAL>33CnYQSIdEv##mFCc5>1NU{VTW=3x!CBH?{Tl1Yi z&0VWii^NOwZ@0WNLLtVDz8MnK=0K9wR2Ef3L6?|!4&sE`n+$SUziV;hpINdo*&uO2 zM#Zuw!u!VT*f#Ooo1A$H!+fPZ$9fdeL%9WL0DroFXm#-6q3wf%0 z3p^S(WnoWfOr9ZQ;BoBzsw%cjs^g+zOsb!KpZWA;jW*NOsxT8YiUa`fx)C!BM`Kn* zRrfMTnvERTV6OF#&XSRtk6c<3D$!uJaf?R9N=Z7tN}RHWB|?=7!Ef_FzdqfYV}?EAM^)M>M&}hX$HiN=-m^svbW5}7#DgI?EaQY z8G+g+*yfza`_f&};we&dJ$=49|6SuWeW8Vj&pr?t9#?D1Z4G6EMmPyBgQs zcQ1AX*V2keBbspq}d>@@71+kRED=>??Auh;6udREYjH2vA0j4*2Q zl12JLPGCpaJj{1q%kirsVMx$==NnD`QLi(FUCJ8~d))~o=WP1Q>3!?zQR=amkmW() za>0nhZj79T?}8Lbw|jJC@YF~)Tbffrvb+PvO>u0m+-xL%xHNLtCT--6?oZ8*G%d5k zc#6@mN61_xrz_DEIueLVDgz2OC7@T_ZY|c4o{D{Axsk*t&~}T}bLg+@;O%<%^53d; zOCBsshnLb_rTy$*p1RE>Ctyl>(lGGAli27LjcYvwm%<3%3E6#PcZ+YlbidGdXhXbp zEzE@*F93qfsubR+j#$yWzKmBKjd&$KtbCdqc~wPqb!>CZ>(=h(vlBfx$op!S)6VIk zHUP(A-<3`{)~pk8Ib>bj7GHT&?RR(DMoL@+wHa2+FNnvW#;!Oe9UX8D;4(az!)+-= zHGZaT2sCntA<2NZX`jdzPC`jRJW>P-BWC4k$*$`CL*huVetW!VTinwb9$!K*dggd@ zRuwv1fu2bepHo@Qh^otU?V4xNo_B>2wZ(pSADY!D#HzUcAZgW7cbGp|F64>d7>Ha7 z4JBUxA|&^i4X=|XZnfm2gW!H{Rryb%P=2CO05M*N{t*drd@lu=E0EMKNrMzG!OhQg zhlBu3qVcO zxzrCSQ2~qFao$l4g&~XA2=L)2n>H$lVP-2h*>tDUN0I1xdndR<9z&*$(UB6@Msdl@GY(F$vwM*+wF_tCw#O>o;V3 z>^LUUD*hDJH>60L12R8U$FYm;0}g(spJz~!QyAhfggauHgJ+v)#OhQw8e@ASj*zC7 zg--#lf4L_wduR`<`2u2@23b15!E>xCKDCsbW7@r6#}8)_f-9 zo+ETucczdKR#}8phLaL6l6t9;7A^zGaTWLpGBpx#ItnpiM32d-MfnU5^@))PcJ4QZ zD(k!l-;>AjH9<+f0zWtj1gf=U6(qc3iGl~d_a{gW)%?&JdXGW@Nd|^0<3aA_6I{2J zHmE&Fe6fmK#vPBNzqAW$VqO6wiK@~drB;*;5LjPkcRA2dKdm2okE)~!>Y5}wAv%f` zes7UOKE8SB`9y;B)Yt3LvP-inYO@}NI^(Q^U>6`{+gaEl9*gz!xVGN|^23w!sPO@v zqaTkqJW;YA?jsom1t_K0hprF^0I{Q{O1&m=H79WsW;I}U&s+bkvwlBT457-i&D0;P z4K^S*_(^S4kYMOa#-1BVK>cc4UY)SsXU{eIeWLh&Z}S;6Q7&Rt+)GZMXy2 zyTQ(pVz0R$%g)>I(<%~(Q`v5jK|tL)$g-j*Fw#QGm44?BG7wI8&L^NAf7Z4H-V54R z3A;VA_z@k+Qod%sA;48z0rF5qL?kF{8I$+Xg^%~Kby0{}$*9y(C1`8|Rhm-X8D(IN zYV*2!@XxWh;0Nb{3<60Tx^J)T`fC!-nu(l$e zk$4EIr{4EEt7enOS@=wgEQ3s8Za-E0+_883Qp5MZwijgFJH`p3A@Z zu1>--U>dSN7^j;;Ty}LBTiBOTnvqe%Nt^nbv2a0e8Weyg)nF!LTO1?l-uwROb4gCerA6;>Rw5XI@alnwUmOF@|pe+fNImJMjtVy-`%#b!4I;P`%_l5 z%vtB{SLbh&Pj(7Wm1k2>_TGoZm|$6kE%y#VCE?O3mf{ypzg9@~7I> zYC2mT(8Rs-_qDYOG|`EGO7JT_=&PK8g0D|kd94O*dA7wu!;=<1C}|LBw~}B&SnMAS z>nkT}?KgDcj}tIJ5EaTZBX!SG`!cz2Cffod9k3pm3a{l=d)4lB!XO0MRvUoOQL5^H zX*?GG?JlA3+4&~H+R!grQ#C7|y|U9$F|w(!$^-ppg5JyZhqnkFXK1avJ4QSn1NZjT zjDHgbX;Mn2BySY4$=ekn%(OatI!zIsyc!0ZbY=y%bPs2?Bxn&rK~dS{I|y18vY92u#m&~GJWy%$oE5m2 z#mOMavrQ=ElVQ`R76Un;O0%9i!*%L9xdEg$L@w=f9T#snCKoK9jeyfI3+9B98YxzY+SiJ=Fphu7*^J?_NE~ zggY#j)0Bk^;iyv^>0BAbA_aEMlxov`6pu^J-ZL<~&+D%YML#S>B)(25ZTC;+e4c6^ z9Lrh0j;jbu95|dN#Q<0RU`O3|_>;anYqS-&5@&O&aD=7R%i`;mT*`w=r*JP96F& z&sL+<>?|@1eiJwu)-s<@#^WO)RcVr5XL2YINcWf4q`xN4;v!j1vlzgLZ}o`~2@lm= z3D>CGdJD~i*YjKmYiEOHRL34Ed~7m}Y5UV0raWK2G!k1TY#<-2-L zteInYo4#5xsF^UB5hYaw^@ob9CILMM44dkTc?G}VKRk`9a+ z0ew-8s8w)+%zVkhPDZ2Cug?;bQfT9oi7e}~Z;oom2?f}(&j~XSi!tzl3ZHu5k5Qcz z@3ccs@9vfQc5b&;_(`G>ZpZ~qp=EY(W5-DeIn5;N&z zpPl65E4ke+k)<`pt{8>wlthhnfvzk*B=XlMah5F@tJMWc?;VZHQ8q!Ca_{#15dHk$ zEl;vavai((heZXJ{c2jmSylr|ELI&~IMvRc{@C-6#O!^nHYGOS5|n0R{R#m*ga7>U zfrS@P#TI&Oqk3NSy4^!vl-1kS7|ZACw8yKVAFFDgy>)Cpu`(dF zHlPa?GZq)Tnjf3PNd47HG4fvI)G}E#ZqbAIaL zU5cS^Uwr4(`td-FQ(F3aKs?~VH3=GrlPXm;46FTr3qHu&37}Lgbg_!EYhB77Vzi(f zTR8_V27P{Xj1~GhNSB8m0w9pV)z;&tV%Ah#b1AfO7f`p2u*9YCgd^XBI5LQGpGi_N zXcX$;dT8@!g1hnYHi7#5^zjM=i8w!Glo%M5*;+)=Is~EM@Xo|KaYmO$qK6(aX%@`fl5+?ZUVHDuB@z-n#~6Xz!o)=s?=9b$uRUkMRB&9XS`?1YXhH?v@Yqc* z3su&|fIEu^kQ}&a+GXv*dPhLncKpM*`u-rnJwl>ZDJGPR$Y#2jTe_-fGGmD7*Ef4bR)paR>Blb*QLJxB31n7+sxB39=jo17Q3-pQ4Z_rOA|1jbzB}=#^;HZ>@oJ7k ztxoTiboR=(;$l4&`B+g#lMz7Fz6dx(wHVo8=~QCL6@s%uL&%3ShAbiz-TVu{Z}Fsk z@?${H!ch2g1Qi4yuH~k1Qd68YuWDXlH>*=>fZxll=dvUV-e47YnnGr-Bf1vs7$jG- zD556otF6tMz~&Us5B~Z6oM}o1g+(k7>l!0KAMGAdf%1})m=u3G8CXGLh`bnN-HDd- z;yPI-#KB^B0}6??N^vUUQpsoL;PGmgvqIdmHpzJ6HXFtQv_r>e0tu)j7l2o(hf$J+ z6$1zdla?7R%NG6ybvV!6G4C|C%Nr`zDvlW|t~X-GDk8tMe{^bTLNQGKD4cEKQ>R%Y zmM2o>E3eW;(g(Rl%JF}})c^{yy6je5bU4=_g~QJzpZ;xen|Mvq!irnE(v_FGfg$sN z@z*D#xGRGj&q-5OQTCQNPv0E{_M9@*DHR_t zOfLDwz1)_m`AN9U^*dOjfn0=6<(}EVZSYALtw>|qJV}~}TX!piK<`hSOm$&rGWM9x zx@iL1A!#>zvN$PiEAZmvK`0C7IBio3F40>-zC+?x34gC`Xu0|e$d?ClMoC~ZOOmL9 zJ(2FlbgHGhzwaI-_g$xZ2fB%HChv+YIkhLXw)TvKB-0lbr&Fe|Tf6Z$w<(L37j5K6 z1}Ofn>Ek3a-Pn8;Kc1vg+qcKSF6jIY`EXY8oP#Of%P`?wQBvGk?S6ay%g9~^b`{Z~ zjEut%ue}C@2atR`Pvst_P(&E0$xrfag*8Vb3Gcmaih2Z<%;0sojZWiy54ThECM;r~ zMH81HA7+ko>uS376K=8ho7RMZYpfd5tHeSwG~&y)At=27Ia#p`VtG*^Q8@&2Um}C@*=YzONWQBj80v*p)=XZ1}C z#MScYe9O-ECplM%h;H>teO5R0iVJj?grxK2p~U^PQ931*efd$9yoM(4)wn{3o)4hI zrgf#w)ZD=#=0O0`?QseKU7Bu0q=Cq~K)En^> zevn!93!S#0vLRkB3I2Fz7BIQmv(WV}Ye+HKA=l$~a~#efe0zW8$zq88k~&Y=WMuR%*;Vf<0=sgbGm;#$uG|Sge+5N3uHY&TXI(^QvyaTshWQSiDOm!4;CiMBd zM1SrWyF6o$Cx**FHRJ^#SMm?l72;l*spBn7L+ zr4`~Vj+t_>j$j9cV#rBpG*$48KR#vdjT@GI_(UZN!7G)cXw9a%$QJH7gKGC8IP7YD zEl?xj{ptA=V#$vGZr}Q!j9CBkee1t5xc=9^+J6wX{)zk6{{{5*|GKaCPtk_)|0lZF ze|WROBiVNOEspa5F`E8R#fNnK04kpkp-xIl#nYg4pXg_J6KEnl_WC?Q zD@-zjo>IFjsIayaJu0psVe7J<6Qb*_(y_p(X48dDF4fkKW)$5^5y_%z$<{4R(`Je&1H&xqB3uD?1@6u4=tKwfKPhT z+(9N=4u3!9KzQjQCO2;FES9-AJ{w;0EMFhmocYFm@t?i7l=;y5*iA%&WsupjU{2P9 z`Jip^gkU|0{w2bEoOK{u*A5;WHUBT`PVzc;w&~Bw($t%A->5s3yb?;a9*$>n^EIE zN;5OPlv>-H8WF?ur!GjFSze1VKU340fE#}*an%WvHS+nJoaa6xU0?6*JX{_)miO{K zgQjaeUN8HO_4fTU8-AAA3hE3|-L|PR;&XDkoy7{c)y@W3OeEID`HGn5!bviY_A|S! zK60v0&p+{AYUOPC7~cVf*|Q3g$;6%0nAvN1&|*bq@6194qfRr_{7;x1nYR1B4Dw;K|8BnJD$g$_)w>c zv%x^#=^4hnuj_xw=K|NS)Xi0VE^`qO?#J?KPc34(6^3_PW;MT?;{g2JgcgKTokzdj zWIT6Un-2P$v-3&IuZWND9^EAE~bj5L9H^6M3&;0wm-fH8M@0$R&BsH zZ+pk1i*=J-84t^Svb?B{cpN5rtm!wI+c9~%`AQpR0S_~m6@Q|T+e4rZe>nBJ<37y8 z?0eZjy@ssxrsTejJ+5tbpmW#u(OgT1pxmI-LB|LbB%Bmuj^E=mHLL*nC~pJc@~vUm^+*qi7himn{rU=CtYU&J5P_y(wPGaOM1L&0 zJ`F2;R^jF=M+8Kx0&vO!EX82iUwp$ZABz-~tjN9>mCtO7YU-5Zssw^@ngBT2#BEIg z{(8pfj!jZW5I?+5ZhKB1Jx-wC3sp3-zn0a?eh4Lm_BPXxe5S`Q)`VgLt?iuoCmG3i z81pWLWjUFkBdQ)OEbA<-iR4g=J?6wEqPDpENN!g04CtLp3e2m2F1b$33AMf?sNud} z6$%0aASCiC>$%pIWFzGQ93AWOXE7{iY0bK(OjeDL@DOlvNw}jvA%!cW1(g8c2g@$} zdt31btTqug3tCeR!y6IbvS!l##Eg0eEqcy)j^yI+^0OUNIz(Em-&)r^x-+yCCsjPu zO<}c;M|LGA6#208iLmQ(eZUj+AiiQ&dCAqE59cQn-?33fPLxqp@YEonUV;Sp_gM#> z*a-4p$&NBZecs_)0C3R6=$Y(s49~eC)C+See+=J@4~^yLrxakE6z)W>!na9j!_fTQ zH5SNxqQnK_bB+7R_DAc4({7PNW3A_I@?(m4g5&6YJW?|4>obo>4ew|a+?#o0%q0bC z>f`o*j&A=VNfCE1JsQP{^CbG6@aMwy_@eTs)Y#ig7YkHJ6@}h@51F#rXg6+|->x?A zIsehU*d;Ru(baA)zrj%2!9GPq7Jx+40-7gFCc9k6Ea&a=({11n!sU;Lr?k$A_{e5Z z)q5g=!zIcyqBQ}-1qwQq#SlZsxxGR5O6KS(5wkCzZp;@ZCA2^HdPG6ZMtT3Z*g(55DCa~-`ma%Ge9 z3D494p6jaIxsx67Q z*N$B;vs$h#QHAbN34sotxGP#wj3Alat6I1-jKA}-*;b*j9EM}PTnE4Dt*j&)bktG> zY?-Go&>*OWArsD(vg!=DGj1h1b!o{LimrPcyA2<8yXLD`zY`SM#0IMq=!)g4Y2 zdq9o8F-?l#x-&xEP(`KPYWY7HCiGXf>J-0MJ)`F%j7sQtANg)i zo^PO|bhie7cgV!X(AX3F0#|=(gSf-CuFZ=vVvk;X_eM$|DqlbJC%;)4V5-GvDg)bO zr5ySFc=Vg+?`Z78H05oJcw?JoHQA-`LQu557F;JZz7LGpaNP7#@Eia^{*s3%%W@-u<;9A(^+%mt$Y7Y4L%hFtpyDdyAJb2&mEBTCFg)$FdFek>(&XshOI<0OHV;|Eq?At`@d->X(@5p0n znGH$__$3xadoN1swZ|};m#!JVYiS&~NKSVZ+qf7#r)d6Y-pr`Q?4vDdghFI9I59>O z-tjxh@QXZ^)H`&YvKU9q<(401%FbDCvZg2$qqZ^aDl!blRHgOxNl72x(M z7i@{crmq0J(F&Y#281wzk(v3R@vApsKbGY^VDb*#Y3b5&?rZS@FGKXdy8A*<^Zc>t z7F+)Q(!#&Q`9orD@s{y|S?51z(cL6ADWnO;qYI4FnqF($_S}ifDs)-q&NVX%P`6bHudCt{U>P{icX|?LivwT^} zh4drC&IHFWYVu!S3+@@}5`!hn!%f0onGCNb&7{~_a~29WqIbs{NQu}R$DPDqO?sI5 z4Ca|$6q#v|mw*$COe}sFi9HX!=1vePHpGtAe=V&-{fyuIHqZV$ckKhs2lr;>DcIOq z4Vd1Ordh+89?~1GKuWE`%5Xkwo;S&aZ^mu|4Ki^Qv{vTyRtMk|60hnKmbd`hqJgs8 zoD3@!<4{)oLwR3!>CXEs=Z;({f1^I}@q&Gh<#{9T0dE)7nTZ?Q3 zKUT8omN6z(HzN=EzN>LBoIJU{Fp>zA@8AJ#zH$wF$cMZzURbP`fXes&1a0G)*h)b? zYpd{ec-H?`g&s<$?vPm(RDPT`Pi+2plTuNLXV?ELww|%tpqA(Tiz?Hq5~c3sqcxG-o|9QPMl0>1g zFtTmVYl`jaQ=2wX%?$rS~R?-SL+XcUoWIq#&|&Zf~Lry_@Gg5`}?sGQ|iPuq1XUTZq>{3?8rw ziQlaBA*7W|KiyvUu7Ci+#T4Rm#hJ+YK1*knbsuh9(UVcI%*DmD-eZwbwv%5@4be=} zG`7Q*WF8dO6#8TI+%*SS6ag$tRQGh_f%vurwUll)gMqPR(UM&dy&x(>M+bU*DU)&( zmR5}$rneoZn4UBdHr&<=FGV=i{0JOFN1uq`H`!KAMZD733$c8NWw|4XRiGE*Wbu8g zE2hi}(82w-$F7Rt3;FwV+Qq<w744C0Gyh$)xcQheHG=>U%KBk z1_td)ZI(JMa>oZH)FOGi%f7VbE-oEN_;d7}%$M&n68s&_KHe=}Hdubs_ljfz9&R#USsjPh>OA9=PJ9x%rnCpmpre{cQ zjKNSa`r2GlEs*D@7pOV=ViP{?cY19Kpmt|8vJNHKF^~j_jS1Re2VaM{(tzp&#kYwQ zGit(#2&nTBV@ne{`@Z{SLhHYFj1%Q#_K2$UsR}2e9;%htq)EK-`IDF;?7M{n`>fd1 ztLy!+WV9p_+EPsR2r#7FkI(&XGdb6G@10pyeAJf!yCSOv9$6~B1y^L!( z#v$3E5&mo!KpMz|hrg>%+)0$PO>SQjigBfL*5ddQm?YHt!q)2N&NKk;pzO~oL z({E^Uu9p7Q*eFT4H;jR^R8X6~wr7iqO=wj}H*T@=Guhx%8T^vQn@+P|?%egxRappR3=; zKXORz^;0+M*PnyDHWtTZ-ntZNRn5g?@o@H;xXoW-zEhH~8#jOXPH@{DFzNC=)+?as zWen%1_~O}De0k+ZAG@v&-d(&eYT+V9Ze#M#-jE$+#60bpkjXAHU_jH0WvK!f*c6^E z^?oZ~4y=@U(?Lkq#duqw`)M}#BlNzCmL#DI`1VPxdRE+QXL$OkDM#!@*>6$FUif#v zBDi`?fli^2bg^(~6yfQLS%Z*Q>E}>|Yca$5mtyjt+l}&uNTU#V0a}+Vc|>kYy@z7< zb?IVdtuJnZ=t@KW0&w3bJA7e$+gND!Z%D9LPLw`3C)=CT8)8q!mcDAS6X0aejVxrw zdK4B1WvxdxYzEa~=fE*e#RJ#_XngUY;<{}1XwhRZ;Ss7(lGTL(Fyg8v=e8i%CI+k0EC@$wNZ3B}P4_tPRG62e+0nr_VI5S^V z*;}f^x`)NPT$73ici+c8A#J0^Iepw~o14uQFcODtgQqP-<)-tjfK+o=yBeLPMi5+KP2@T)x+7q((r>sCoPUvi$Zb7H87`jI(PoBM+EQy>@K2$y%Rh+|< z4AL&H<-DwlOPkYT=eqwsZVv{84=A1kGMVC3HNLWoiZ!Aj*1aQBkFUfBB5vRZ-*FZP?I`&vEi;)0A z0LwKrI=lQw9#?FdDm>@l|6=d0g5rwaeobf^YnsMg0*$-7ySr=f;0_^y5ZtYCX$bDp zxP%bg-95NN2o^#hIr)F5>eQT?xtN-nyZJ75?b=mq*V=3Ei&eYc_j!JwL}U9GK#IAn z@P9qYpd?9noCd?VE*Goeinm>w9fa>nu{`R^bs-D4IsgQk^z)w1bPB2Qsn0y^fUB~j zv4J9v=gT6f=}O!DWN{N@L+GoS1xhT-G<;Lf%++j}h4q$A`C!Q454a9eydi}B{~Ek$ z;Ar}k$ofE0v!Ng3Ww{wEOqMk@Lc$s)!uS!J$RvnU+`u z4pZs<8@9W2-YHv^DKGIm`ZQ>!(H((AoWpA3Jw&VRw@pIT+}@~4Vdb z+^b6Nt!K7>s8+}s( zZ(hjs1ePT|J8Sj?3yE81v!3^h3m5Kb8j-*kZWsPu_xf%x;z1uv$Uk#i?GIqXc2mZR zMg41{$&g$~lCm*dpr=-qKJb+>IGKo!3xCpic{-7EY(@?hX8V$8-8~ z81By!9r83MOJV_=jI(b<*BOvwxiBx0DLDo!(@!C9$YTSJuCa|Bq~u(^{e1EzWAh}h z7^GBEOT2pF`jh;GXwa4fHJc!MJ57x=TA4Ux#q=V@sQ@(x9>@6;)pS0te*f~i!2zDLr6aD>*h%-{t99EucB+ z89bJuJ9ck)MC3hc;J>YU2w@Ea;(dbtDBe&OlGtV za)ZB0z_oK&A-fh*Y$At0aEyRpd7rA3e&fnS}S@5#6;_x6^ zSC!MotF!N?AnL2j+$>}M*&(s!-SO1=R+ zXxag%LIuUk#AESo&mClxiGqiuy4yNw5Ka9#kBNxy`Iyb{^`Ae;cpTPL*YHx74{>Ow zv}EVOC1Kamc-azkS3e@SFDh1U@v>T7#>Uhi2A4`OR`z(g_dlv+W~p5IIE|a5MQ(~7 zPV>fir;`eaEVoCp3bGJ?h<^xmg|a9QRj!gwa_WC`Gt6^~kxW~iNYb}u;-i=4@5W}A zt(*(Vg>q_p{Q$n*9?^Uk;QG)VfKa%U+d(O$kTi&yp zD7Kac(0b)EmNCzFdbi%yHd+(I?->DXY&mblw0Es;l0jh*?5iQRu#O|b0n48rY}vUt zhU8r|L+N!t@j|xiT#9dS*61GOjMErIR1Ur@bz$H4o_ff)O&sD$AN+2VY;~V7O0cky zUGC4g#0%-43OUbT+uUGRKi?ldQR5%ZIRIk1xjcj?-x(wh%F~rWEi|Gw1?>Y_hMclj zU}&Y@ZXqlIGio9tb{Wa;Z;#n>{zj|*NG(-{q8@&j`4k@aj@t7lIZ1!Rm|xNAGu@)T zaTG~$Bu&}6a^mT?5)Fl@KAHVCQ|;$q8$z;VWmy1ajlb1sKS^@2heIXxS3H9egRizvVUkp*GJ~LrU8MYOYBl z0M}#Hf=G1iKpUMObOCN@(`sT`x3%9H>V(|8-W5*>ye|9=6Eg$0kG~XEjdlLk6tt37 zeTY+ZsMXA~grXQ`n8Hf(+&*_Hv>Sz1=6$I4$*eGJt@%ysL8ksZPlUtL7b`jfPtvK! zQNS2&5pAaEX=ipPdK^rAxK6zN*!vCbUtTwoJ+bT4V%q59DIW~@QNymR-P=tiq(Q!U zcyW29%7)(QSYI)y(BaiFJ30FA#=U)jGoh??_nQsginm_Bu?H~ zE>T{|+p?-^So*>D$HQNZi8pB4FeEOgD1Mk&6V?8fyE-cEioMckzd$H;QlYcyr7P|y zGA%^2Wc^k3NANUPz)SfI`1(6BmA(YZ7o$H_&ez~8f{&2Ta{|(1Tcnd>Qp`6XNT+w# zTJ&BvKjV{@uO~3enZp{<^ZV?H!~0@FjOqgs_X`_K-Vk_G-F2 zYfASdVz0;I`5WWugoq0nZ!>4sg$naT!7hzs=B>;-n(X>pUQ{CW8-uQIRaJYjoq{fG zc&x?4>2mM{1w&U0i-Ict@4Sat7#r^Bp1<}f3Mwx)9pE|dQ`kSGz1>44;P~47md~;_ zhsNbx&Ey?BLozEzva{M2heiT9%~E-fRhXjEpS(n>xsxwnPhQp_9|i>vg3~D3L^9%z z&BCf;9Tpy2o>yWIVO&tJR(*2S&fFbyzNHF%l11d^8GjWk{twDwr0HTf4=IlN4-??y2Ldt;ipz zP=Z%kIPI4NjRXJwU4Wmh35!caG3X?i+=qQT7_rQ~x7xczQ$8p?4gmi{!5zB&jOSK%^X@v(> zv7Ck+%wijO`M%Gl34~!7ZvffAXz$}RQfsRQa`qaby?w?`#=|ceD2h~Wv69w?P4B-8 z_#|C+O_o$6@F1JN#>5fhr%pVV~7L`%2f` zi6n-TJ)OibRvlbe>4xGvhcQ63ayJuG&Uz=bC=epZR^!VP$Jk$m3yPkVyrsR zLUVhTxiwW88)-*-BbZ99J9H>VY)V86`w_Whw9KPy5!G_a31Va-jR@`fD7;y1A&hwd zHEEQtY-Lil_B?K+JRYI;0&N<-eyl?E#R8ecxjG$f1U|DZUF(#ta_ztblsiWZIsGl0 zOzL3Eidy`vj~Fc*a~V&y4xa|!ke4$ygj4%>nb2rW>9Ob-ZCE4Mz-fA!P^EQOA_kWX z!UuCsM!Eq{W=R&tjutm+t2faiI~Ylav%xtU#XjqpkBS@vCs(zOYh^1+J@KSqzCXW% zHPL27+pIpQaZ#;V57tBDVC0Qr|7s?Conr*)Ep??kx@qR-XIqoytnp8k9rHz~o23Ko zqSZC+S?U**7FnwkZ#UoS^EcG%#{DF)FghXxQyKqu9k>l3r(d-hR`MlTBgL^J%f%5_Srtu= zmx#!8@A1@zj-&Nj_Z2#qqcInclF2DUe-Hd%EnGzfS!tKl)W;NNqC#^gxnmJ3#rD<)lvt1Lgjc!H?o6@-RCm ze%;z(etVwmd*pmi5>o*wmT%5aykxa^1yWj5?>@XCld8&3i|0TK?b_JnR9o>6-|8pf znm$KHAL{-k#a8_;sq+}+Z7ukf%Xc7-&T(TjnxRZdU|{l27j8c@`Q});j|b{(w~^{E znt>zEq*nVBVes}eYnu`FMUF&@v*Ff4w>8ezw=lHYC~Au0j~--y+S~us(}1>FlBm{t zy$?*FfC-u_fkEM77NB)rE)e21i>EC7`0egh2u}WV@gDt+ChHAHsXT@3^3x01Ca?4L zVwhY&4P;y2B!Y*uP97iPWtol{Z_i0jWQ2GK1!{&}>oWIMRza*TjKWev7DSg76Nt>_ z{L6HShK0?acf*~+wC8q)$FPZt4veGc9Ld<*oAydW1OzyFbvZ~M^$laqQgAi&6`+`C z2N&+q_4UGCJJxhX{Ag@U5S3vS_804foJ1|mJ+-$mrUP|Pn0J*K2R`#}M$FJ$-Sjx3 zJ+uM3XTW;|uY2#Wo}c#VWO1Imf7DmMG25^4vLQ`-3mjx&A5mrq>ss=QW4Q4in^Wi9 zb~$#g5Xw-s+{vYE(`jpa$@Dd%&?mc%k7XBeV6aDix}oiv0+3;(|FSBuS|%h3OC&9) z|CK#&LpGTsqUhj9QK;`9nN>|8c#5Z9nm!$_(N0Ie#6cL2j}2BG-4J7#l*(!b7PlVb zk?yhOS8;XGhkIw%Fhl|kM~J>uJXQZL{~cGudsnY0Y-|oM;o`t^A4bPv%m*T%vI>A%K)JtIG%eNGP?{o=pt&B{rg#-zPcJR zd}`sAncg^esH>~}2G(`xRIlNnJ^fJEY}i9*cCO)mGz2V9r|!$hduK9Z{;TG#d;dsG zGH%_{CSp-z(nMrI$8~5n`X+@^S1!)7NaDahUiS4jI}@$Vp_BIR=WHI*yXd{6UWH+8n!1k=8 z<{^JUbxlpaW+}99ynbkB0_ELzs+7PR$C%dTuY6p=fG*>kOa09^vMct*2ovtQj$*W7 z;!u-5&2Ox$Y;`UtjAn9WT+G6?pYR=BVuMK>7KnZl%8dHN1>C=b91Re`LAIy}lh{W* z!c*6qE04%`4}GlQ=KKts3b{^|jicI<@bNXsApd8A*HmQ5b-Q+-{1v9v&GML9;Kg2R zyXWH<)_9Gnw?mH`dKu$^Ebx-Ve($82X~Ca>Li)YX6^v9(%Fg#(cTOH;1ez0Z-*NWg zfpemwH_|r@a=y+rhPXj2$=8D5OtwgGK?z$Gmd1++)4eesUil4o=edt07ZmPNSJDa^8V^a@8 zf5s8FA32}REWZl4jFH8MCu&ddvk9VY5s)ige47{5TR8~I{FPAt%nEy z%SVx4pD=bZd{Abd?Ml?N^RgO?pE6amdguO3T>Ne~V!o*|x});0d-~pb;{5>Im29#Y zm&$)=W%zjidN^e7gX{g5#-pYheJRgWPCgeYXj$zkwq%Wd(;a}u7qvSkRT}HC3(KO= z93--u`YNZdw|9?9MXKZgqo9kk(`USg5U-FgOMDUsLI{xvt1G1VE9Eoyb$+rCj3w}S zM~v_JGs-V!N=n|4hF7^2y=kAT*GeIH(bB57pzJRV7wEY2^o7nIGU9;#wAx-d*3fRc zVq@|adD8xL&J1ya-qjvN$Xx$3PN5yUj17vwAS(@_0Q)ZvfZ!(zGPFMNK&vB+x%F5iSsqxLhp@D0VAOcrA?9CGDLV3vOHdYJD0~ z6AV)bE{g(M?V^-{#XA$n(2+u)wUR_65(}ANqf4POuhIz>(_-;o!7fM_&hm>Iv;|`yc89noI}D33d#fclcH2GDY$Gk!E94{Z>z#wkHYT8U^PX;Ku4OZ zPQ1Qus-3>jV_TZ3KB+Gk3sQJ`r(*u5#b8J(3Di0FCMX@T7S@QTr0*DG=Txj>!`GQX z>7+!lh7$%4l=o7W4>ea{E5oE|NB~BS0;7k6^e7I(MSEj$jQ2psq)afucoCuDXQlj< z99kMm#x;^8e5EAm1OS3I8EKMqmJrZLsZg0S)H_3h`CRbS*+%|Z9xJj@&5*QnjoCCt zx~d)#WLbkz2Y2dc#Mh;3HiVk$@dK+{t+k;9thfi2aWOr-@G`h-bE>U089kSPT^nz1 zWueUQ1U`3-dieNB9A8kEvUn}IGf^U)yeiCK=v;M@WlipPOW!T>gq|VXoLb$kv?8z{ z?pj{`&b~&qZc^o!TvZIqK`)4ZCVRd>ZNpCkYN*zPF2p`Hk)YKUAEqqcDc)i@71SaG zbWOEe>QC5AmH#)@pvK~k2A+MEXo31bXh~_gYTnO|h_Sxr$jO$S&tglH#oevu6Vonw zij%PE#Q}W&{fWn2Mgq36h%c1tJ;IYe)WFO5{8!axA2s?!#V9u>TnaGKz-s2J_&joB z5f4+RqFQ$gT51`y6o#5?Rq4-<)9O!HC}h*mLp;FaHu`e}<;8T#5|ii{i+o9wXcDgq zY@lekZ(dx7Pz%n#(1c>eOHtV1z051C$cWT~_4#kvo_m+tT~{Y8qXi%>T#siBQ4w2| zBe&GKr0v;Y&!M6eCpe$W$CqqADcku%>yoSM1qSQwOCHkb4vdTF?UJY8&i(d}-~8M6 zfkualye}W~vjS*3{@45m^6uKRK^eS}J5OaeV;vBvJeaGz8LAkOJmN;fQdp-#4^w(< zN~{tZCo3*p?~+Fq>U$BMw!5aZOQSQN*3_WJznh(*P0+B0(e+x4lt}saAsq}l$kK_ATYwB*>x=K4UJFp4^0B3 zIsnC2$_-o?p+%~xF|-V^2Nv{85!=fS%0 z$osK6M`Q!x2^cY?upBBMws?%{;sd=b?W8Y#SfuCvWVn^1%_qidMXR@|WL@uwT9iy! zhKP{!vqEsmxx1N2mq?~4yJ*6UPWj24s*M76!8&uR@7nS{6d31p70pK#gxPlIBpPmpSQy>WFT&jtTRv`bYvzUuwTC96QjB#TKm z)@XQ%+ZxTwOzqmheA!4`^XLW=`L=O!{7?(~`+Kt+aQqxLR>t1OhZ&2qVK5THGMzEe zqG3zmdW_75^a~}t7(H$<0Na49De~c)!jDgGBkR;2k{q2`oD@1RiNvcF_{ipnO zYj!4z_4R^DTx^Sr*g3K69@5uoLRR(UI~MA6q~W^?W!7#q^IP56=9;{t(ZsY-Gk>5x zA~s|;t*=>$t#2>)q9~dkn6S24cQf%triQ;I5m>w9?foIv^b_0`=-9Cm8g$1 zhg+`cQ&0_j`-5wRfod7jkN<}#eco~?gNOcGSLLgfE z4(JwQF8C?}Ux&?_{+Uv}W=m0(%=`^D4f&fiE$zpDi$KLe3;wh{uj1NJ>(`@pe+VRS z5+CJZSeF&sD7qe1hL*|D*RM{3SnB5`z1!+7N6j&9J|~LM#Jv%qeIxH@3-QEOu6tAN z_7xHYz2Z(?c3B6MZ)`g{SlSWv*z2-A+D+SFEgd*W`W}vjYgUgP8VsJe+nSEirB2f< z-<-$IhQ<{nQIDOxcFLpu7d{)DELxEAEpFe5VZq7dVAnGJ$TpFb1w4;Q!ljuUmzm=< zc47v&O!S94dEXUWicX0u!Cdi*oWN<)Yt+|Gp|v4{>sDEfzKD=GR=0XQTF2M%f;wY3 zVGQ0z+=$RA-z;KC4(*BMQ52=77|iqQ7#Yd8PA#ka;GM<>Fy>oIk^RO3(<8f?(22U& zP!zNM_e7FyCvEK;1${1$v7KV5+uFN={-hT%OIZ6OZ=yyiei2`ck+^Pa?SdWKY9#R&*g zy|3>4y>aQki5!~J+V5@sQ*uItYF+nJ>g*NS7QX!?(=l;{&QH3zgS20%vfB=9SBbSx zMi6q0o7sKa#03ro^zn9{%-Hf^gVOuEFn8FENw;wb}TZTVvz=ocn;wfmUF!#$H$KW>#p+4I~RLEnA8d+W7( z?}~x?s4}a)`|tYqP+EV25!OJcx387|D|KE_C*hN9Ou5KFot_&TItQ_ov-A;-G2K+o~F0uqnHas zZ$~_Twp;qkeoBBA+rnPr8uwR@UEdlvSvt{U{cF4ah#uM=&Aoq6?2!;KxAs$ams3-D zH%RMkl4_z5BdE#gXvOy7j}A4CRvvorp-c-0fxn1SyuTL9!<+DL6+%V)!ELRdZ>zaj zRaOzdCiLG5ku(${a!78ANb#)@Pr=0ftGjeGh#t-5r_P^QpNbqT>EC~^{iNc9ZB#RQ zjTqxXdwL@ls2%I+(I{kpiu=98n&G;nq3Ns4&({IfzfqyTcfS1oS$Fof^Y_o{-%^D^ z!eQ^j!+$$-1wPApZ`~G1oVtceo&5NjUj34CEdEVA>-l)nr&Pa!X0J!n?RCZc2aMef zWPa?|?k|#NL36`pe^;MkV*=LJcjj8MNO}f?Ur{{H#dqv&5P6y$RXG>7;t0pSHTjjU z!hM#5hwPS#txP<`xtrn16cz&g-f&1{u#SH!N+hCy(MuZ^zzA@7#CtXayi+8^`w>%d z-DPwUR>=y8eu5Dzm|&W*7FiM+6UHEGFM`OiqEWmmL##o#GFI2H>;o%KiUh?yesVPi8h_v{vV~%hGpG>_$Xiqqk-eUYag+(QmO&%)7GOTh%wA+}Mb|5_ zt}e|hSBc{ywHq@n?K7=GGv6+R?{35 z=!3SfR9w!WOQ)2(|Eu3U@v95!R32SrH>kqW)G(X!K*Ul7aVhGDmp{>%X6@SagX$Sk zt}z@CCS7Ihk$vnF7#39(7ueG$z zycsV}k(L>6da~X$Mi* z2<`LP{78O?6g7Bx+4=KX^IJ|8E7$!;X%uLs7R7_~sh3_;2l*Ae1UpQzZ-~5N-F^n^ zJqBd3VwPX!{$*XN5{XDa zW$?Ups!Rxo_tLARc_A8kIZ9OO zplJcGt5=FuZmhAJEN19d>;NQ7y#72thyyb_B_^S&%94lB~KU&xFvJ6iE~V*;d*_-l2s2adhSSNOlDV#? z>wW>~@}a8eq?p;BS0>?R3XV9wkcpMILOFFYH7v>H`LQuUl?zrMSn-oTg@js`(*tt= zTYNN$l%ue_n0NZA&S(fKxK~i{mISzIdc)WhGx+G2Uf+ zD^}N1p_qm{S^rT*y7R(D?)H6hor<)81ur+jvzPLkDF2(3tahk;z*u@%*G~pG9ad!> zXQ!}yT+)v%=M{fvz_XRTO%Hb%nO+h{oTgA1NuwEHm~;Uv4}|KqvGKz_fugc~g>_`} zXH!Y$Zm+Yu=6yzlri+OTqW5PsI&%ckyHpUjK!6KIO1gDmL*fseQqBtUCP5?5HWZtf zbp$ci(+C9BC^VyfPxjXE*q!RD{Zd(aF0`P6j8j^y^mJhn?bHXP>RfBLZVz+lmBCYK z8D+}g!(G(9)RdnL5F=aQFjZ)GDD{ zM}g>=A97?kZLP=&q|{YrE$=y`(_MVgf~}@rNw3H2pW~<5!x&pEayLw-^PM|kDkIhM zdrTZYO{%J6uUHtm_X}07v59ov(7MzDqjhs5zmKj4kLPZv5jntSW0yR6ZIHCf->nak)wbgM%-kYr0YAaE)`19C-le50S zVN8<5(nH)4SvJA8nAYH(VFdw}asIhY95SYEDF-hock)*Ki0!jbT4rjPA^4rKLaCdx z$-&ShCRqRjrF@j@;ftiV6qg|C>OgjZPG4uuC7m)V{snugtNI-ChiAVp%}Mo6Q<{sK z92`gp-3!;Ls`d}jE#D|n#`sP^w7qD#;gmL#k&vQgF?8qba_k}%Sw)*IThB`Z%r6Su1i8{1{^-`CSEJ9M?o9^uy%Yl zJ(4%1FRpW@DFdJ$X`f96zi&eseqt?+mq;%1FGxn-Y;oE`;H(eTdK*tN%dvZo42u4-!S6p8l#d+dpCxy93O2Lv61Rht zs=8OlzPBzORv#~|Kytks8ZE))rJEVGL306C^S9b&GPx?gt|_!a;{{@ULr!w+QHATD zGJ0?+(~bLnRkuZp%l$eu`r-HyIxCJ2^0heKYei%LUC?ZB=^YSBUEiC=J#$l3-AfXU zK&qnx`vp!4qRo)5g)oeq!4nyI=tqZHx|EbmCV*XiZ`-W=0+udKM4Vwf4+!@doYLWp zMLFhh(QyGa$4dC%abpf_Q`3fu3`%<;s3+Oqw=)^w>rhq?D5`nkugvVwHiG_C@mAPH z1E5|61DqPN{6D>TJ?C@{5%g~x&41dv{~2s2j8}irkt_kdcRK8ypOYRM?|A<``v;X?5ZiEXPKuhtNbfZ*cKsu zqS9*uv%2-RW`I>N@T$@BzM{tm$Z2ped17RZQ)a8gWRIo5oq-!u)cMeYT$L`kO4DCi z*Nq|bg7H;2%R>QEgjjhH|5#xuer|sCa{;)R#XFU6zF(x?^OH1T?&%Y^y}9}jxYQZs ze=)%Rf6c`4^8SO_{ug`>Gl z1*GeP04XfGA%(1CCY<>6vjV6<<~9^uZCrZ{W(rV9QDtc+KLWfC_$%hq&&?J3H#&>s`I3DKI@MN%LSZRZAQSpx;Fx}bbW^?{?Pn|qa2 zzyQV59o!b|VcWXUvZiy+3_8cdZ^Lth1?OifvDhzh5w8mb>V2U*15O!;n&9@^g zo8TpTg(V)GMXxA>x34*jMLCj6zh|u`k`4ky3$#QUX zH@#1?q$vOyV=!Z`G^tzu-ZMS7R>@QlG%YxFm%lRqEF8-oP0SK19+z;JJ(S+B!om!4 z+;J?KFJ&aY|7pdBoz#-)+#IbvO6Ihc21Jg)Rn1co^unfWP0JRt`Har$+9@;4n@qGD z2i10ycmpJHsIwTxd0Uy0++@8xf=)*i@7(2_d!I&c5^_Ls!JakF8&5f%B9m~=@dhFt z!W%_492+YC2m5gn*QT2x)^3{(a!)A~hBRb!!EFbADA3qT(Z*`h=$m{J8b9x>9PkfPd8@Pe@uPSZLm&BBocv29I2*@)?NTr}1Bl=v@4Q z`TS7<8`5zDumvfaTjOi*hmx_2^%e)=O z{+`pP30HqP?yXSY9LgR3>QOIKFPG`RCWsXh6;wdwwq@8%nw;TD)kH3w(KtXXMCFN_ z7$LZr1-=(dCW9c494eR|nyv(CyJ>x@i{d;EtN^Nuq?*3B%PrhwoHk84uWenj0%wY4 zpj$*PccQ&ne$X<%h8s1MX;4or`PeK?yY#$wXxQKn81mL=Z+7n4o+6~ETK*6_+H{q7 zbw?`fO7$ht1-Q0u5FT;XA6_6*Aep|Zx_URgV!)u~Uj6ixjHVHexOq*)^e3$OGWTt; zlZc%|U~{cO(9HII)XMi(AFx~g=gdn(rs2D{8QvG4o13;B+D)=HTwMPh=pHM((ImbD zLy< zq#2;n8vRYQ1GDT-5o%6n#^sQ+J>Q}I`U)=n)U&HJx_V}sxEH|5i`K(S)TGium+*eE zB0D5Q>*6nJ`Cqm4zoj9#32Rp7zjZc5WNF7@s_YvRq_ipZSmMoPcQt z$Nx1N^&U+*byc0hOI75-Rnm=E9_|?Xml7hZ>bo9DSk44_1sgkf4hWtt z-bpzn#9|?wo`tv=p2gRoez)_|phm?u9P`L-22Wq&MCEb!USkJ>t9$$1Zras==Nz}B zY?K?dabxPeGxf6fUNzuLw48rQylTn=*vvH{XS-aL@Z^|AE-VU-lV63>7vs!(N$i)n zxsx;8YdHLq9DA3L98#JsQrF(g9|?$Y@f*PUqigk{^!770>=lmd!Vkyn(>G{91vKfw z)G_(J>K1IyVYIku@C|cQ3eA+2!*$3ic7p0xdT-@^=6W4x4s7yzw)&Oc{$sEA%siFr zSpRaFj`qidaL>Gt-Jtz8!ReYgl$Y?)y5e=G4g~vWGUxi?$*}QyyEUY<1@G);Y;PbA zIk-Wxq_G*DRRnZ4x;lZs3Cf=5qCt!`{f-A8r}{DL5~q2Gq`7VnV5_mRc7YoQZ^qlv z=QUY8qk_f{wmj`l6AVXVg+ON_9I5UZ9Dk17v-jA{C)~MFA^ast!VNS!obzv}Cp(5c z5CiI2?5A98&f=5Ki(|aN+8d0fhW87NiyJ(Zk3QsUcsHXw5gW;hjq{9Y?gTWvxwQm_ zBhov&eBgA=EGl)$=4K(5NzcZ4ANt~xj^1Qg(@Z)Zu8ZdgZOu^MgvY*$S!2TelN z8TiDhBWHk6v8vQH#~l+KF%gpD8qZoS)z&!;YVxP zF_VR{!pDlFrWmlshQG4din=6a&hqy1A;S7OP-_W@=grVGgG&;4#1|mDm(Q0d7}L|9 zkjrL1cZ}+D+$O7?0c>a!CqBZN1@l%uU3G9bw!eu_xp5LP+7PXBtHCq3L~~*I`x2QF zTlgG zI7>DZP+@gW=4n-us~s?yJo(b{O33@G%$+1Ew(2dxpQ0s6R1g#{P*74hCg(L`()2^3 zrF@a5zv*;BKVCC^Ce3K>m7Y6c`W)ldoe(ZtljzxWZR=#3#-+gmJt|9o&mv9gIJRNh z4@(2wMb;XlNhR8rk79>$s@itgkls&Ch>61GMs^KNND*7D!+Cn|!D8WP6OOft9$F3K zcn$eIWARXvLkv}_!ydEl+s() zZC^N?OFQ`fAq&2F-{)T{Ur>N8PPP~d3gv&?t5t1gnN$pOG2s}jX67N8`JQSXm`J<> zhi=PH1+n3%D?)EzA57~~j{R|Kkp}0-8&s}x92WFPx%1SL0TaHf)pwg??3b+H)1R7% z8?ICjH4E}NbdOvw9HCL`ql1qF02+ z2mY(U#I}uob#gRPy2vkM!^GTUl3m^zc1%~vNf>rOC7tIPoJB=S!R8f-VekKR7N8q9 zlO%i`9{XH~8?1jijuA3HDEtZ>vf6STWLy!NfF4pW>zhtG75X?%$NeSs4LK^vAW}L% zk|Bz&lP65owDwU~UT!l(yC5%i9NSq!GJqQU2cSHF9y5tS!B}|0s_-dYCp<3bEaz41 z;KwA8IT{&5(vEZO*^lr9T~(EFj9)@g9)pl~Z_y80Drgd=O`IXu@C!GH=uoO=Csj5X zlv#u+TV)~@^{6B#CsO!TR7hPeD7eIKuR@YhHj;AOvdDDM0^B*n zF1S3ol@uZbOA77j^hHYbx%$PGWQE-5GJX5|xx+i?jhIsy=2K8YD*%IHQ8*}F1|jRl z5~k)NVWd`1S(Qi9!hn`{>)FV%As@TmR6M!znMPK~MFiUvYKRt!$O-&YIfz9cS9Fb3 ziB6U6d)1JCD0^R4W!oW8K1o+`$M64SAs1SY;FgTmq_>}UdY{%XvuL zY7NhYIX+}Xdg=lY4)0--k!jV%j2L^an6k{+av*LSMaX{A-i|buRTtE1lmTi8qZ?#Y zA&1ZqhDQNtPM!^hqF$_q>5B_!hk1dZIBxlRAV5`Bk=T@Cl)v~@_x|k{xtT9wy#z-15OdiWFqCy3gouP{cZ1M_)ew zu4muara2-tDQ2b1Bg`)iX5SaaFb6Phgm7!!_lq;KiZ%BSSPTGI{*{sf5av@b*)x*jlQ{Bl0Vb%bs;c ztE1TS68i!7L-!n?wSJD{@(phWNfj%N52mZY14k&g(aO1B2VOG+sDp=CrhmVT!Xd1M zGGnMNW9AcM@R?!Rzr$fn!<*%@a_n!JI>!$XhGLWq;(G1RLqlJ1`r)&z@TCFq`(Tu@2Z^Bu2USV-Wvk^B&| zgN4LM?t_2p`@{Hy=YhjD%a}UcZ;K_%|2uTID*Q2Y3 z!tVYq!kx)M1S)X^9hV7q3EB3DW|()g)TZ6%XAU+wK{{R#A6TJ?U&!}6$PYZ&Qdp%X zI7V}z+h#j+2vbowJu`n$mW&a9N!;xDykxJiwDI?pTC7i&-~cuHz(Q>7izIgs(;0oY zM7Ko6aXD0M0hJyK<&`xQBkB=-(AoYYepGg?EOK3NZ7R0^Jpy5Fi~1vk=;XO?D2VJd zF!}O@;Pi!5!}3A)_rTU*+4Z2rVCl@XOB#qvalvK5B`}z__4or7LQ++irddASZY5m*Z_ynVEuy>(c#QZA=9V8ts_s!jjLLw z<892!sfsHHwbeo1lm-b;q7Gmnrj2AsrH5x#aYIJPNE4%OkdY>>{*&h96&uN$j}s@m zhZ`ERJzEOP~__4Z8gYAw@wLbXagpLh0O z)0dt3c{vjOr4%Sn9Ny0j9<}I=P$?rNa}0aUziC;aH}L?742SL73V?SOz0j()_dMM% zo@91ol33+Jv&eCIDLsb6KU#ooxWi?|wm;#z-$*FS9vs!zbb(+Mkm{#Tm1pD_{mkrq z2TzhSY1c2TeJReN=$vrQg8{At;m|JYZ0BiSH?b%3sL^ibzUsbQ!ls8}oqQ*&G%juJBPI6?NF369?RK>ugJvz1Q*QkBnNh1;` zE)>``KF~i!BaSi7Rhr1f4wdwHzIjaJbmZ2eQ+??cJZLcyT~*AD0j^|k&=A%m2HXqMJn&W>{odtSXo?47de01ho? z$%S=}(4FQm8KdHH=aP?;g11R=miN;_mL{|I;f|GUQ2hbRB+AdgJHONUjC?})M^-qlnC1^j?i+n%Id8wN3uU>dB6OU^8YmY z#9bKe*D0ej5VDX0jzWvv1w5|M({E(IYBiq(BJ?W}$J6%{^yKK=7W?80DnMF-c|LW2 z)Gs7S4u!PC>~@$txk^94uS&XtXP1;~R&YnxV#B z7xxQ#kD(*jXm^Jh$f8v`V55Lz{eb2qJsNJ~YLvyJ7dO?<@d;o})Hi5CXSKzM8xsHs zTjN)^o7%!dfosd2vVxOi9fdn8cc3kn5eyQIBHp#>^Y7j#^1nKDV5_1aCU^Zx`0zx6nhl6WR;sI$w)ti^$e z5)efH1#t3P3b<$E(8cHckpBmDZy8ia7`AER9Ngs~0S@l&?(VK3xH}0RIJmn@;Nb4= z?he6S0tA=f$?|=(GCMo9HCsDBcK>!&*V|85cmL@3zOK7~7D;Y4OH%bfqvOX=N_#S4 z0Y>({cycPf?g)O#KTT$+L@j^*oQ7kCq^b;84cn)b$bWLh%(T|asRXL}2aIQX)3o($ znP9*V@=8?ADq9yK+z(5PI+YvEFg>u7R~8;ieu4(?JZ}P5MH2U>qh9+DGA1LGJZ06l zc-PZ%@{@OR#wHEt2N||ZV&uqkA(?XRemH`1Dgae+UKKvLOh>}hB^ejK=_kt)!R-J# zGXq_#yh6aE<5B)m_A?Vigh??jvQvb_=<%0|fYbLIYj?ihn>P8W4q7(kQL(gaWIO zcQ=nqs>$TvXV&0f;3kGaBW%t9W~0R3j76ja2beD@SQ?*~Ulx0-+|{aQ5UO|SBEB$g zC6aN|)qBK*5r=?dj)tNtnjJ#cIceplj+v$`!#T#PTK#Su6l&B3R?JDv$y{^mCTa&k zxht=n?l5K*tAF+mULUD$@x2o=c374426YmXxKv)aTYpSb5Xogl>SLvZ)c%IF&bp3& z^U-8oR)%VE2P!Wx2x}bE*TgFL2lGjP(>yy=(R6$$n|HA`WSFM&CdaRsqoO8NQ4Xr) zA=&0C?Z%sTTV1USh%ZR7s7t@Qs5R#PNC|qum z{675as`5dKY(E)=y?*icU`#xojD^}YE8O4^AoKJv`&;NOx0*Ix&;wf($ zK1;?IwPnD-SR^0kJv@6J4E5a)gIaQ~1S@oc9VwFq9}{Ikd$pr)GqF!Ge~u7Pv4CV6 z4EF~Vi6D8}OYczVH_ybs31>{bzn?jMYEf|{L=7)EI$yA|pG1?d+ZZq!3>Ad!G0_BM zF*yhOGz<9~9GW?xdn+3S2X(~EU+EOzdIhK&vJT07$HWe}k)KKv7fqYTT4*G_uoXGP zBg(MZx|)gzY3_lI*aDRYe6Lpz61s!6}AG{?wr8E-UHaPXUJvwe!$xwt|aFlQl zz50YYp< zaEm59qGj$>2+7yS-afvwPVElWWJO_}Z;CO8xuY(#@*Sk(8lA%_S&}*Vki9<%bbUQZ zB_eg%(!uAGhSyO^Y;=KRaj@4B*l|N1y<#0Ty<(W6TA5s$kD$q?WI>jGx(3BF#KJFs zCpSk@`Y}Y8poZZ_tC|KyD&BgAJo`PE`s>Z4GSsx#J*(! zl*Y!mf_j&hqXJ8&idG}F@K}W4CMT5(5*s<~Sz@-{lijivN_ja|v7=W;BZdekesyU5H zjJ-~RV2fVA-)dCQ$jR2T!#F*6w=HEMbCWJAIj{3UhFP&lGokpDC@!s*$gOl0EK@J) zi=N8E6iC0PcztAe6QWv+I%i`JW{VM8=db2ZN255i7a%v7zw`P1nUO&l?dstZ05IPW ziAF@!LOWZ0)}=-wPTROA05rh&z+H=zg<_sm<1fhXwtx)JuDNK?TfwFo-NFZBIT!uC zeW;1zoN3hJc}ZBfO)Fz^$a_xUq%gRz!%%slAyaFZRgF3TrWxxgV#N6;HVn zr&ZHZQQNRdGiZutu)gL`0hoszj!^)5oft+mX>csI@BuPJo$Qgh_Q;k&IO&04>yv?%n#p^hDGX~qJ(vV0*S zoa?#xy0Mb_Sr<*DY0JA~5J6X(U-)%jQ`k=T_3)?EfmX5oDlUqSUt1JvdruK;PdBZW z#X`}3cb#}XC5|20+&v)`w8%R_-%z`fbg)k1-Rj|wK2oL1H8M&H=iatQG~sB**mRV0 z7=5-G%uQ(hN_`3H@FJggeM;gry|6Xu+|{i#8c*LjOI-uayXjo{HRixMWowcq6@B}5 z8hdsF(#k?qoGAt>j+FiBLdKacd-OLV_3JXp``;=`_Tm@h8%#h$A&MGe>lzOE0g34W zEhZhUXX%`~II5AsJ8@>MKMklitGSjN@1#;WtUswo){TQCnLjEf`d6jl1|7%x9QY`+ ze})89YGep!6K$5=Miez@JqoFI6=hD?hOpBvLW zoSRZh8=wqr4}H-}rCk*+2Tdh!Z#l@r`7Yhb<+1adwhb$Hflc1F#wJyC#SX?wpcWlM z$Ev>-BH)^$iN?ub?tMjHj`cMikJ`mY9%nW)P4P6Tru*^}XzKK7*Z*9RNO!3QYkXO4 zhbn*KFWR(4^$Mx#gi__Q3bI0?lv%Z%S-1JhxvPQ`lkUYosjfop>i0>P1MN$8h5H|S zJyc70^yXjT42cU(hE_#F2IFFXs4aDhTcpkiXNpETPo8NqOLVUdzD>UksNfDxDVG`G zB~J~_8dMiq;O^4#g;>BBO?fQUi^wTUO^dMzi^=dq@G9m1Do_2CU#Czs7oIlpST*9A4(gcT7(eKfjir)xDflG@>za z96XB=i<;8$0&Gx=7^^^W>8jjzteHY(_$$kQ{R}8^_=QANq2fWBqg{)KTY>qQ zaXF{Op;o8Z!u}JE)*D>|y%> zY5Si}XHwAiKP%7Nq%pnQ&(f+EQRuO{BGo}mkXzm$DEwr$;)p*!DIH9IPVJ9OA*W44BdlZR zZ8*L|oB;Wkgqew5_ASzBOe{xEPG^@re{dCc9K8zS0f|?7xG-_=*U#e)?W%>d*xGR(^F<#U9gOBZe*Ec()E+#YAP8*j{h1VIO*xx}; zqR>}fF_&LYoYKym*T$m|?wu|lub^<93DB>YQ*TV}<6E(>@?Nfb-mbQ9A#<5gq;YpJ zg3w|>7%|+7;He-n!n-jRaQ?@?;z_5?e1aJi z-eV459D4a5S~WdowLPtM-TheIuJ8fj1kRh%t~;jgWw@TB#?C9~2`8J8c5M+M!9(K; zc@~5@$>9~PXin}=AA~f@)3Wmp%_U}33bCAZ=06%)b+3*{|A8k?a|B&1DDDD z={i5?dX^2WYSqdX?Dfm$evHB0TM11Mzjd3*V^kSBJ{CJZ?S?h}3DMl;im;EBpTDnVPdsVvH(-wCkBH=w*zWEId3)6 zU-b$|_%C||I%|Stx>d`pZ9W-gdz@-M8UyCOD!CZl=4tMhKYDzAw40&zEQEZc^mXW5 zU`rnz+FfkMyivsJ#q$e%jyIkY4j+rx-;gqF_A`Ab=hv6?8WMvmNcgwlk3AoeSP?tC z-t}+!t(~wN7*xJS=m(j97y6#|Z^(b8ch|G*O?JwUWnn!Q3*+;4=9<)Of%lthRF;r^ zLhE=ZJ8oI{>R@C^K=U zx>EaR8st}chiCfif3ck1d)zU{4uGPAAoGF4Kzo5xW}KL)6m|11hmP3cgE3ZfYE#aL z#Hb?@&c9+qy9$PLi3@C(ce z<}qW(idh*+{r5OZtDflEC#+$o&d;%*>u_IURZ+acR4yM3Ly1{E*%eIdyp&iNF(Z`< z?hO6*55P6LMYl;zI(rNLR{owYDqOZ1CHX@21D_(^)4+C zOj}ogDu-(le(Yn)WvQOw>e1IQe+q+7ZlK}X>qDWtGKGejj-f9e z0*zf~M%ZBzw%3*@2;+oigc9so!^ENUQkgZ0_>l}gPJNDn@@<(s70q<^yXX#B>8&-^nBg6w{1o9^0#z6(Bi3|%IN#^P2)JUn%TAnP=xta8B$^I zyTjQ%X=SwTJHO*=;-(TP1W9C@uJObr1#Fbryh{{>U7JPyKtL`GdlQ+dGrNV~=K{S% z1#@0^chLE6@~jPTe77}-Jh3C5zH&Lf<#*Abc?qrVGdt}l5VPZnU?sP>qN{K*XhDcD z-=shS19GS%AgQPL2)sHNWEHB8+w>8TucYqe7awG5{$A8g7_`Ky8b##3O+IcIMS>|V z0F?SG@eq=)sR9qn}r@y!EM)17uq{x!<<-Ev#UH23@UwZE9pX|askrZ#=Lr6WIS5@Cr z(AM2utX(GPNO5xcX9^I*h4cfIV2^J9+M)T>y6HMyvEsB2T&eX0cO?|$Vt&!@-)oL( ze91W|e5rgU!AoLeJ>mLz9FyitUo2R`1h4(=L5iHnG0qC8s8HIIR#G zp^kn%0zCA4Mur6Kf6}ri1wVf``_zv`wDK+D{zzerXa7kvGDb_h=RhCH4gu1nK%?a} zN%>)H(_#jH%H8slNG!<|PcRdT2Ax|+y4e)aj}7AdjLEjWj$`E>^@=9FFOQ|p2q7yg z14HUjsO<37lOqb4e~`3Cs$S|TM#2*pt5fRTgz>j>;9r?Zjnm$C6)1S!kWy=qI-qMiEwKCXJdGKetHONgq(>W+-HtQA%ZQ>L*nNMnr2w0eglerkE8h^P! zKX<@|vjWUzJYOK8RhKYp;4cv;?m9r_({MsrjZ1XO3hyaVg(zTd7)EfgUObnz;Z#V1 zi~gAhk)||}mtl!RNg<0hzuBopOfD72#}v7 z(^i_;Bu*Q+&&s)9Gu?EpyBkDNT*xqvvM#4jp3edkxJ?oSeOPAWQ;0>BgsygwgTOII zTtL)=8BeX1dnn+w44(Ls1eC9gaB3$JYeUxMFsD-V*kw6L6-Cea2aoa`2v?Vc1LnU# zqTp`+fy)P`hetD44y|v|nN`i!7Wb1q$pzt`;FV|IR6XE^FL^N4^}FrU9?9h;9wlno zS6y;>9!@e;Bn_yfcIs=T{Dwgu)a!?msQZd<&YtFhwk!Pu9<@H5D?trFFDcz|-w+Yv zl7xANlAwCwf{D&;LkExfl6 zj1Hp-$e>VU^Q%m8k$#=DU@*t(B1^vdgE;Z+3UI6|bW`v5Jjge*+5h@G*5r?JuareB zY1?((;cJ&%NJ^uq(vyb#rnmGsT|q@R5`sMLmisZMM43MZg8rvyIv;5EU?Z3-Y=h5> zd~h9|qbCsROy5Q8oI%r}4oKwBGXA83Ss_yLcWys0T|}proiePWQ%T5T`;|#a`2%qj^x=*$NlUt`)Kn92u>fFhgijFqQ^R_wM_ec+&L)phcL65kD!fO$n*~!hdGqvxTcWq?7 ziRl8z4X~wd8?Ok@458Z-OvIQ43l+XHMP0=Zs);eA4A4J}CU*1V=-!EgHQuC(y8LLisqu0blXdB=7 z{l|}gl)kr};42XrbdmwzomZ)-)!da9p-aV6KP{0zvy7WkMu@bz?Jg25p)9G0|8BDO z&5zJ`M$0~DfqQ%~7>=X3-Y!2sG#^|&Gkw{}K}x0A`M00_g!X4Cen9PGYg$yUefNDQ z`?bk=Q?rQk{GaOH{pXelkJ>11X~|=cYCdlG`LA&D~Jz{&D*LmiLm;-@Os;%QEX1qncbkf0{i18PI;i;bw@KV`EVLQCstOLS?% z-XcsH^-F%kfwDo66f*(^;S7;8&a308%cBOiVv~zwyF6o;_G29Auu}!FJI2x6K;t7( z<4b6W6kZXa+`!*_rQ9z{OCX_Rvtvlikv)*2m-ypcU#51zz%XB@>8eQ>3?wcdB;Ie4 zgK$aRVBx_GDBgfs*niT;p|clPl~Xn`!u5b`04*0Ke}ZR>5q=R`>-_?AlF_XYD^`9o zTW@pyL7a#}6o&o}69dS?iI%tptnVjR^&_`kt(gp{IM!+@$fqo%S-u5$uE7NNXK}*2=UmM~$ zxIa*_|Hm}kf8oo}SS6jEJU)oI4?ga{pk-*R{}UVH{$r8<{mTA7NyGie(Ekk$$Ir#f z_df{3{r|HojxYxPfFk3=tXv`X+jISC-`_cM>!Z8ysAJE2eeirEkMzJhy4RX#)#eh% zIihR7wh>D0C*?e*Zs=e{S_8#5!Z8ot9;rM{#GR>9sp~ zSQWWAO+(#;1d%i}T+~vL{FECILBpb*vXdTQO2T+q=e8@0`sCCuSKendpxl@vPd_6L z**$N(C8pq}jM{vfqgYt10Y#DKS67Uh!O<2#;Au-1-$V zHFLj9$~=EqnGh+ia?Dv95q(k~)GSZMuC+wpRk5ajUu&1ji|7iZU3R_=_APPeOK5zo zTEXChP37ZY3(5NIoYUa$s#|sIU-fgDu^a(1f zk)KcRzfmzpytpIso(l+EIIFwuU>vzK+~E(_3okMaYUhi+A+Rn?$4MkKq0cULATstN za9(j;jOJYI!5xjAT;MeBrK%%U7UXe?Y%|>kU}6YMdFFDScuLPJ1HWq`iCy7Ot|<~7 zsxr3ur}ad7v2F+PikR3t`Y0`RwYE9d9Qxbr&Mpq-Q}K#+iXEK-+yjo^V*Gi3b8cc3 z4tJm~K4`TaYp3`Nh+xkth>|LZuWXoj$Cs}#Tiu4_bslmSIdaygcYzgOpZpKEe6U&q zUgVK&tfd^e*eqlw=YluA^Or7xi*#VXnW5Nhu09sb$S+Jz_0S>#=!#`M8zLM8mPoHX z%GL#A>>*}P<@}CMga+}e;~wU7&BDx7J{=GIQ&WSlSQp+eP_z%-XFu5Hv^iVx_U_Jq^ivuz=lxjLui!q|u9&_@J2 zT8v%N1x9*cvAuvQy0go`w{^CHmd-^;RY(dEz%cl+hge-X69v+=l}2UuC`4PU(gjDF zObD&l;ex_4qEV#OU#zIUGN0_o>8nsynQ*CC9C)j`+wIwQ&( zoV_$BM?R@xCB0jMK9(mT)N+^{ZRIFH*ND)uE*=BDDeo1YQt3nVg0vhZ1qc8}S-qkq z>2sLi88VBf0ID_=TR7A%NwAk!-vbG*nm~BqAk#?^dA`uDk7rPM9H7{=5RD&G4aF&g z`HPiS?bMiy4Vc=yZ!B$gCu%sNLRuZQRiZ}2)KbW$X{^uFh$9^HjL_v1F4|tl-{8s; ze{6Nc;l8yScqMa{Y5%2WUdXwKd#^Mx#Z-Nh1rhFs!v~5fnVR%Qo?@qhs=$0)_w_|V z9ar6@5&DPzw|>%N`(q5{sZ)CLKo*Ta=loK%#g2BjpG3F>W{pT~vuQuCH=@U#CUSoj z`~gCVPaM#=Z*8ZfGv#J!gmQcsgPYc@rPmwZByiIbCJ4TcVj*7@+aCoi;sai-6&JoK zSoSU98o_HbHF9EsCnvmh8K;Hy(;nQvJK+t@Wlqz2G0vp5>Q9<%$MU6P5Tk&HVbvX@ z<7tkx#^&%KA$A+Jg(^3nzgW5(=$c_<-YL~1_&W6jv#DWP;f_v^QSz;lay#!aCW?d^ zx&n>ItIbTT;h?H8ohH=|o$7Hr5kjLkg)6%qOCGuQuxpRKUGMHo zX?fWoFlbP!O<13o1wQG2bg!9y`$p6pL14SSbvpUbvfA=+UA%3Amh^X~?(Z8-yaBb` zAF-Yxk;%Od?fo=?-%ffeYErrLsdeXld%q@++?~Fg%$o!k_)v4D`e*)V_b*(!sL6E} z2zTD2Ay{}-rFDI)yPI&dz}!pv+trJP?jd;fr4#TkG6rzyhU#7r1H`N9@Is$35P$rV zFII%Als)BEsW6r9+||qEFz6sKL@tJU+JTyXIF9Pk0TpqlFoDXLUwI}navlszE_TO) z1QS(O!C;lGlvBr`A}W z6foOIt{A9nrRbCflWes(YE3KCeDbP67>*IQaSiP%_nEL72$(T-Y?FAH^GHPsb~ln} z^Q_4A%IFEH=w~2F$qu$st$IkrFXX1F{LR!Tw+>f#!g_uJ@Kk-28dDuKI?Oa~-8f;w zEH-AF63ZU(M<>xFB_@W)+U4JM5T=|ZN8O1WgjFqNp0KuN^%;GOAf%93J(U>pgV1Ff zeZCf(76fr^p;DJ6zW#-RjYighNw0=S&QkN|^6d6EBYr((7{sIBCk~)9nV61Ro9TOu z8(Kc^qJ)|HqHc0XuXMwraFaN;7`DxYyggN`vZU&CTn&Z5tbtH_j1u*=QcWb|G%s7t z0Up(=%(*WU>b&o2hyK(hTXhi~N@JKc#HC70c8Hn^90@<+4;T5Tf_$6V>>P#z=)oIKgU8Gr)Y1T(~8cI^bMO`Zf3ieObKKKW7hGEY_Y6#rd>yWC+M(=-7Fvb!PAOf3s{oDt5;jHHQY0>xx;2hM4H3$K&bCo#~xdN1MdZ z6~LuUV!gGiV_af=9=-wXZlcy9-)kZVe!Wrk4B(V+$v6$ZLe zVr3@fnmo)#aYlv~DynKPWb7=^?h~r=H{o!-UgJGZu=h_aKM8s;+0V>EagkzGeb)o2L|#r(ma`!?7pciAwO1=FQz;l!uCQ>Eg&nw3@g7On@00AQFRw`{DBL+bn}*U zwK$GU6k}+jKT~OPp;l@Vr$0w1iy?fE3^l-XDTCUh_t@WI0kk5;6DhvyH#fjz4+fE`Nvoml~}QgX5@a*nu+JH=_cAkz4d;)9IDLQl&n;h z%&UvQ(3HSPYEI))7aB$>oML{zhgW*)%$S2L!=HvG(@oUchK#G#aW1LSI!lM7s$ohx z5M|%+!;ylkwOou$_JrM#M@R+v0IHUv&6Kk8AFt6lldiy7IEkOADJhwFi%e+)y9^WX z;JCcySGtO7D=ugip3OlQvmp;e9UMU&b#y)nrfauz5OZCvST5iJ?%(t$&`7q<1UDI! zx;x%9V!k>;JkO9@y1mEG^8DTG{IS2L!$?fSowsY^;CS#(3z|?DB%$PlP(Iowpk395De@S4z~_Pp{UT6XY|1`;Dt{e)>%Q@TVAcs) z9xS@AIYl&~YbKi&Bfm75FfvzPITCo9=Dpjzc9ft&Ag-5Pfc7~K#VkxFMB&6u%xg)j zkOE@KnJB;_`$EK#g##IFk^;Nu36RBS$%qqXooCTVuX51rV-y+~hOCNRt}BPM8Apk^ zM`e+g0=tvJ7|Cm+Kh_HKLG-ykNRj$FGAU0^{P1ad84CPH_Rj%=BV)<}SsI6k8SrG~ zVXT#6cp0m%nZWc+Nx%W)eCG4Nlq~qH%vD7LlnVAkcYgTNC;c40>@x4k@aNeS@5Qng z#2CHQytXWadp3QagS4;~WuMa@62w*QueBY}(Jx!&0{JGOZ3iDbY%>v=RKSh}Q!&D- zl48>ziyYaMTjme9?%{x`Rgu6D8dyNEkg`e7Oy+CJUMl`j#r3zN0`@JcHSdWWogq!M$;4jI=h<^E_F zEz6uQ8^EgLuP)aIQ?mV37xg_d1?=gInULT_Ke*O_fmbo@v z?enj@ld;Z_g&vY(cL4u0fLF>}3oJNl_LmvfAk5$ofhv zR3Eje*|jFX!7tvQy;e>_&im3qIU*#|{;SRhZB9DNGW#K2qPK1wtCXlM^qq7r2PxwX zqk#k))WzTJC!E#3G1Lu@I2b;##$EIL8mNb~=<`0?xwZI?78%xKYC+l4iBz{GohWou zCiKv4th?B;6A7A<08^P}7dCnwviTzxy@Tug!vqe~o7k{Lwz5}?5c@jDt6n!YNI5{c z+6~^NgRk(^h2oMl0JB__nzjC=mxqn6&;48d-3NL?`i*PCC{6mnqVChKE*22UUAK^N zf7g5WVSr)rzG;XIE#K(4fccJ4?rv@Z=wxdTa<|)^J8fLO@ZOx6FTRxrz1*>vV1+Q% zmc1h^pZ&&JE5zIHC%Ex0d~kwnWY)V`slO*8nfD1eZ2Z{u5;_~8Tiw00JklMC__`Y0 zBemh5lxHC!w0uI-yw-!!TFB$MReI9RSQWsYncI30puL!87p3Hf=Lwi{ScLVqoBj5m z;>Pyc?^PqM(v_zlK{dUShkxhqNc|rWmz2q>uRdW-yRGbfue~yUUNL^3{n5Ee$>ZKj zx$lDq5yfoIqM&>ce*Of4Rz}IIzu)AJ_-Et`F>UV2_%Z`UruHIdBr7jecm4`z*&V(hZ678Cx^m`W}Ep)+sRjH%=1)3M`ka6cp{51^|=A{mNg>F|)K zYRc)$0gSNh5kG+#+2aMbXSjzpoQ2Bge-gA`p}6`{5t0SYaVUanzmU7=zcB#OBW%pR zwH32udnOv6nVkxs)rJv%0DO_dS^dI4Mn~=`;IU)nie+k2-i-Q$55 zRL??AUsuFhjX=1ri1^~8Nxv&vJ5MH|_<8}p1{v zDLVg*_SR}>{pr4n0t8c_!BEW)06JKfgisn2Zh6p+kRN%zWM97JD=)0(m)S9P?Ug4q z4s}VgXwdZ(qg6p;QlVuZ*1*r*+f|FjO{}-JUY;G73Nb{FtPKA?KqV^Ni$Qqp6CaWq zt!JNe3-802!XF*4Un+oW2SsLn)|H5rsgQaW@wRYz8Ai1GkbBs}lu{c0eV! zC5NXWJ6K;FJ_aR5f#Fz(q&xZ8d?#Yn*^ILoAVocXjGFf^h!6v(TeCuQ#kzQKgM<~Y7A#(w*#Al-i zY0S~b*4`-~i;9Q@7^dgtM8Q9-8{qD=|Cmz+414~YKzyo&

    ci7!v-K-iS-9Y*&K z%624HiO6*56!b2(a;33X9m~jJue*%PajJxw>6@k$DGU#d2CV0^w~R88m#b8S@X`TWwAHtUHy z2`gIo-jRFZ%3PiJXc*lUVEM%d|69{vJToZxS=;ZVh+VsZnrL(}`%qMnI#kuu7uCLL z;b4glck(?>KUJ-DY>pT!i~?>&W-UTkToEfm{yX!)eXQUNg|6*|T13pEGl@ZgWTi4T zD%u7h!;{Tdq$dgFKstgD^L+(yz7@2BFh_c$4LYhkCe>~`RI<61qb{Eo^^h6^0vj$e zjF$|cuZRJm>{DfYzaV@O)7sE(g!u|IOpI#^1svZpF$|Nu97??!_Y+N5&V0CVOj{y$ zY19!=ADxyqb&hYCEi-{C+_i`=Q?5DSr&@5iifX?XXVLANw)X;;qhQrB`j*dJAQz#QimkWdzlL92(rzgQ45Ehov0k{-Ib4xR(4=LxsVIO@g zAG~!s8inpxFW`>zb)wL`e}LsY~N$bXpr zT@3R%%4FV%7}iY0aLgYG9(o~C<}hD+dn*KcB zcj?f)HMxR#6MhtTV5QtJvtSwmRtHSNZ(|1}535-G^?;3tYRgrABY#|?mAPOv(i3U) zcn>-J>L+HH@ywF~te)> zaPe6I^kxV+u!I=ai=K5i9|9*fK{yDYM=?a|@q z%CPf&8GKfLz^c2LOF{HuBIhM$g0s(KLw3_;ieP?cI1vp@+5NFnN+8!i^lQklfN4YKn6*7hnIgF3YMd%} zE(KEb->t&X|$cnh4IYEWXpWS$bL2`sHHJr2|`5vyMDq zr|?i7q0g@5<14*N@O1f=q&`4DZHVUz&h(Q-!x96#bqWz!hHi3ya(rlJuTDNmEl?ss@*`nHA7a#9=8iHm<%_4$)Mug4cpPn=snzOOjmM>b zpt-wVDa1|VKjhgHP!o+JHvNt$sAFis+r_CmF-_Xo6gF3ep$INt5^XlzuRRp%Fg->@ z>Eof9xBkkrlfZOQu`B$?m!b-U!@W~TjCxm&VMaI{M9on;C#I_Li}Y3_g^t0S8Pdhb&g~CS#g|Gf<`p2Q3E*VJpLy zV3P-USfPBWRrGbWVrh8|ZX=Ex8RhkBq5T?6v?=7AaI@Sy+B%18f#ID{KO=3cPe%1v zm2KO3BYk9Dz(u<_-YqVpKk5B-?-g6O&9I(>n*SV#18RS$?Y?xkBn)l&;VykOJowz% z`m5FAkAkPsVdKr7-y#2=)D6zthO@vC)} zmUrVXBzuje43isz$%q7`82`=;2iLhK(s_o)-hUrdP9JY(5SN40S_djryl8p7?9M?1 zV8vw25n{f~!6HRDv^SOt*KoXx_=F|pAlox4m-0b{d!O;pQMijkX?UU zaU;j0q?oOw)By}&T76C4RE;^wz;;7tmOqFB)D63mN(VQVaUjI_Fd}8zA^`(1p9ZD( zVsaW-@^?o8_W4p`p=sXnG74~6>efRc@?2jKMWKmg+HyI)WU`O?je22TUN;Lhn8KShJW&EYvk(BNT3Z# z;0}fPwYXj_)!Ilk6bPx03hai@{W~BzAr;1;2#ei8;57(yog%2e41^7gVoMj+yOs4w z=7x%b5&X_y72n=36fZ8E=H^vWWkCL>&Jd$hzvoUHNh@v&maocZG)bXS7MNYQQ=#x z&Y@_Oqae|wn3aLu8AG!dPJ4sQckV=@PLjA;$4^xycP~B;UC-1Vr_L#IUJK?P-*06G5COdvjHo$EA>iPB2E)>jqI^B)9Hf+;Nd^ff zMr>F)VIrl2XgXqCw44ctQh<|hoSdqt!^f!wB~D?fv_w!jw!EYgT0~wbP@&UmVycvj z!kepb^JD7=BUw@K>l*TY{T0?Fk^q0V}8MXHkZt8Y9mVN2!GDMEKY*Xze4xy;bIPmpnkv490&-P5{2s zsbpJ`4*ecar6QnAjrL@pElkh8znt4V<1hL-=Ub7Ncul_aI_qXd(bum@ag|r}x?of9=QOmGQ@ziYcO+q>3ns~fV zr<#-6Q>ndMxz$g*K!0s$=&I@HYnHO3Dx*4oV~UPfl>)srDR7J^3WL7j<`b^Q_gzvq`KW<*;-{~#(sL9 zno1Sl=V5aRAn-#`LDNDr%lESbLUS48l|=l)kwQFmhQ5Vz8+3g%Fs8WO{+fML#A2Jl zkfp>NcY34`{LX@}H-#dSu#a#WlEpayUd1&~!(BHeU-v9yw}IE7wo(=LUW0N1YhHO}eQI~vQnkX=#lFy;$qjoqAqS6qp4+%`bo!m-#6(KK-g%bR;NUTT=- zRA0rLGX}%wRlwCsPi#DFr02&+k~(i(?2#L*M25zGri1JVeAcBpnA|fnNk zC*Grw}4MA7*Ek2nwj0w{=Yfb z|0^*&|Hn!HCowxG+y8pxe_|BvKNk7FkD{^4JAOFiCCH6G-0CtPskr~ts>^Va^Zyr@ zJgW>hIY0Yss7Ay~s`EhY*53mCB9XJTlPL=}I z@ez@@BA_L|G`Y!|5K4|>F~Tbu@y3(HN)S?~_-j0|FZCA7rC-*tsS)!}$dh&N@^ z4r+n3r<^u({a>hitERZZHqa86MuIdB0lIOA#@*fB-Q6WfpmBGX;6X#Vy z=Q-)MuaH9JvcK=^GgtRYG}SEshb$KCq*ggG2f3muX?(K58FhONpp@SWb^rQxFFt|19KNduM^3regR>mI z?1*GW;b1XE4o&DVx;h;sC7{B_{Nvx$sr4nPda}}+pX0o24y>ecxPaYmQHyfV(s=ia zc`9A&J3k8sn&%|vc)|WlJ9aSK}iR%Ly*8ncC2Y1(9i9ImRbBo$OEU(;?j zrZ+tkYf$?a-mA>Jb)C-&OE@^Loa;f#$n1;`CviRlA68G}WyEYGr#j5gY%5X7hd=e4 zgh?iE(EOYrA0z5GbVxGmLo)1kiRJE)KB{|*;-_6T8MWbC6*K&eHRPC!nHv=_#PQ*G zgR{IdQ=+u8hzmM(8}C<+z6q8Yva)%lb>t%sY+R{+_TJU#A%=Rmh$Cv4``>xYwTpqt z{HcFj7Vapwv^59Qf_+odltdznQ^z*IvNOapl7>b#_T1yG?aXwq-;-T^UBuE;Bcsj{ z3OU^v30~MucppVj*7AELUNpq52nyDLi2q=*@b@ z$=tW?@}cJ+Gd9UOnV8z~b4)EYySj2jpjXTLzrPACFrhn=W?|W0b1oO4=qtJR%q4@2@yICI*_(tNLcQi_B1XjWh-5E1{1f)Y5#T^165NYIX(;a9w`m6=n4?O zUE=hDMbdk^TDdkX^7C&3P&O`R$(ey}Z02C~&3mJTTq^Nc;z{C|kfzK6S$B>?F6lx3 zzlEm(V!sxua(&EmB+BZg&mPzd)4HM;2XUy`9N;>n-)~bjVp7R4{1-XPf|`LMQ~-r^ zU+neZe}D(n(+c+ul?LGV=|UN%Gx4MirqMX82h@eKLWfBt;4HCd>qw!Yvm^sbWytUu z0{B!iDCw{rb%HcM0FYP2`-H$yr4Xn68jP`g(c>0HlM^`LTW74{-UfJyUD_+1IpuJbypN56PQF= z$h3KHPzue_q3vRqVeTblU&$~(q)AAlbG3jgR>vQ7X9R@RI2KJbP=Z3Kl22?|Q%Mi_ z(J6e=xKtI>i^qf}felFT9efLTk#yE00?19J73(CYMhsg8wIgi-29A2x^*k&{gx)|+ zMnSUj?c&x9j<$_{2?eH9$=3eTRTOniBb!8P>ef6XN(2$cRW1V#UOKmL0;n8ky#WmP zFg65e$f`q$SRRChu*6-%JHej;Bec>{F7NLLX8^T|j1P06<}iTqPhe_f|E&ZwuN*$V zHd^L}&UZ!!gYk+{5gO;(aBEG^in~-@pH)j`r%pY|f~5|lpQo5PbLm$@wd-Qw2yfzCx53D{6=3?{w z4I>|1r!rrqS-QOtD@AX^D4t39d)NBLSNf(kFB86)FFN46%FS~1G== z{0w5XPD~Aa=M^uq(4cesc0{sReVc^@$G^j-3QA9$7d~uk`YdX9_OY0u{`2I(#@TV~ ztrW zFn-k&nf2C?lUBj6?UzMk3W)S>8@h36I#^?LQeXaM=>8JEs0AxH&$ccYN!gwMAz}2h z#^$fX#BoDxuyotnJt#k2-bkdFz4m0+WqO?`?@3(X5yb-N3zJNr)0prlh6+kwJK-MC z-FUR@!nT~7^o#m1T+zKpx}=Dv%2LfMR?8QE>YAsAs^cP5<~KB+sd;d`rFe6{-3GgQ zD6sVy@)2Zj6_@32VL$e{5S}z2wFh}pI34&j+#lIs$hxg_T)1vb#7keY)RnL%lqaLC z{#wH>p4?`|+;aYl1b2zGdx+)Yc#U~;LAA3|tX?Q}x==rQ>3lxZkoHr-@j1fu1UC<+D=u26-!XXn2d&sL>x-%S)S|(4ort}KxrdmNQisU4IfLD*@&y~KsNHpRgBAPYDi`6j zuB-aE@S6B4^zxtca2@;k+LQqiv-r&dGXZ*OI}YAv?T7ENrkKf?O{aC!;RL`T0#Zgz zEX=%W#;U%be{buzzF<6@!8%xRgQljsHk0P(f056t?ZGP|A>r^(vJzr*5MwQD0+Wg} z`ZdUKIOeZkFIbvx)a8gc2=Lk2kZ__2C!{YpfqxqzxC<)8=eiwg+1N;?(%@1K8ycfz z=zzNAmn&Oj97jfW&tAlGvr=Kn1MXudTr|!<@F#}pxC|{xM?`9CE7u$?8ox*!gg|&6 z2&y|bwbISl{TIxP)GqCK>TL5(WCRWz=dR!1$7)a%p8-|Y_7AZI2m8aE?pCn5S>bjw z5}pcE`iq#dDo;!|6NjJ?3x1XN;fpMgin^E z;>YJ_yXP74+!>0*N9vngm}Xp(I5ten(u~LuoaT3!{^`v^Y_QjS#TD+Ps7AM19*Azi zgej|K#CPZ2oLhUDTW7_?R&crx4&F0_g@i_Zi6(8AYA`S9HsVpnT(Z0vCSxh6ctD_Z z#KpytO=T{22@^T?f*@}!FUXvX)SulLGLe`b!R)mU$_)N{Q#CzqZ`-G*j7!2yUQyJDr+czt;!2n_rEOWw zU8wz*$h0(NiXocHn8E;nBr7S<7h=8(Z_R(EIsduxqVJ348 zcIXW@AgT{wLv$jIJtgX8dqo|sL97;n>Adr$3c=`=37d^OQh@7JJ6|kftuwL@B z_OY=p|76w1XGthx<7=tjW@1HvjO|Nlt1D*bY>h2n=xxmu<{NEY*~G_N-s-F8L^J33&=tgMXSX^C`4FQfIpm_N z=Zc8xjD%UAHD;sxOZ4GfS(U(5=0&gVToBsePK0jRmT$8Q39huk@H^LV#*HMp<7r-s%<%@Vd02i1M*7u9 z~ zm`+(F@fUJ|9gnFUuS`d8(ddz6Yl(zTqU6JE(Wj+!KY}PE|F`i;$huV6ItWDLFZE23 zk;5Ll4oTBj!69DC;t$Sz)^H@S$?`!=$)(|P|CuNd94g?N@C$(%6ksTL>`OpY@+_w9=p%Q7oZnh{x0Bom`R^AHed!hpf+xw z%Ul0GWc?sU>Ix7L9lRFn6mUrkLX}=AVt4S6k)-ntw+$P0acw9~^HMRX{>WFlpbiZY zM2eyRKqn53l-=JzA*GW8*vbB8O4I1-w99}(dE{#@j2xd*%MzS_W~w2qtJ1oA=b5Pf zl1$@k7wWQ7aS$p?T;m>zt?be$UFlFmnC2^er-rfL5N>TfLCWfp%X|BGK`OvB* z2J`_&jkuyKoo2?S@kz)H7oo`Vj_eI$O!2yo}IS!tBD~*qP>ABI)#1{9IP#76n6MV`JgQMxgj%D6u3pPb7684S%*uYGeW{SErLroCz9f*2JX z9hfThUNuYF25e{DYRqf5Uc4G3l9d$wf%h>#~f zykfwaMGlb~hlrbL^Dp&a!^mT190EnsBN0rBR}N*-tn3cF6%h66DYf6&Z{Xz4Mv##I zYmAv!xFB03hu>ZAe(jjoexU!{ zfbnE+P@I(U``*@qm)`^adhf=2e$5z^`;{B`j$LVU0>Dag*l^S*XlVnOpx@34rMLQpS2BWWusoX0G1 zTTn6BP{L+lwNP}lSE!@VYh0#3*2GA(P*9@h56r~0zYxmp#{;lRP&ns%+fP~P{j{v} zYw0-Cdq!@pEpe$1N#RfXx-a$8GwM=1*~IFr^>(&n@5l@v;maQ$Y~wA*7UZSpBY!=) zr2~lt1NP;@+nr6F?-cN%RfGypQahWCTiAET z2+*uB-m&-o01E5N5!&wfdT7e}Aw(*2hhSoB=DVrBhAC^?)}NUWR@4wF>^`7#k=;*< z&7Y=HZ9z$3jvspLV_v3_)BCah%Gfm4;#7YV;zRV6`=ILYrA|#VPb0*I-iP;^N}ZWz zDEH;;F%TW^v}V2^@m^!&wHCK2$_y%!x+qG#*!hMXlE7X~c^MEPpYL_P)_fx8^CRTt zc<0|COZ=}L4nB$nk3bU~B=L|fN=FhJKJsz-{6B~MiT+YbH|rN3AGxH-Tn3)BAs>bL z_;~I_?NEaWvUgBZ#W?hL^qoJ6E160?P;#h~7cPI)8e|wU{KxsIxRv@(F+eo;@t?$# zB&>d$u752efj04;RqE+*X#8J>^6}7bdgM=tvsPcDe-A48Z1k?amwWl{HuX_)_hZeT znWDdddWx{gZGp_wN%t+~P69*bU)}7#Nt}1h3v;8j$6xtTL2zv3SV)KxIrGLmBxqQn zB{^$e2vCVA*jstt0s)$^4_hd(#M>2AZlH1Od!A`5D ziMspo#8N+HVr`fEoZiV3bTVUWzYcC`r@(YAl*het+n+*>N$zWL-eyFJeY5*u`65bs zx|k#zDFyMV_YiVf+4Z2m(Q;x-7>#KQ!=*>L5pCnGFg<02 z&e$+4yuqtP7DrxzwaJQK(Wsa=Rp%%uJj6a!0Ql`VN4wzy*k8*b?zHsr-SAr9J`4$n%3TW%BX;wtUo`5D}P z2fjwm(wwr{*cJ*>7Po}&qEgK_8KhM%NX9XB%@tVu3lM~;Eb2Nz2-1SX1r4!gIzsUn z>_nPEP%EZY1TCscDqERuSwwPQ+agjsHmVk}M47?E#D+|_F=8uM=zb|%P_McSyJQU$ zFr>_ahn%zld(bYb6j@aq5;Wu`HqTX%sA

    #rNq{Hd-pjb(+tnHIYQ+la}E#(Hbsy;_vIMldf`-;4M2tFu+F93N%a} zA8%W3tVqNUYd^YDl77Cd;X+&Bh%L5G|ExKr&>nS4I>n+ANCwFL6*lAC?T(vGVZ&RJ z?wX@SILAURaEb~0!};31(K|)tPA~bE9I5R%c|&)9>)UNI>RTEen6ljKT&a8|cTfI6 z+$>@g1oUevnJ79;h>c>9Zb;CvNN9akbI?NYJ!~Pa)BCHOfd&Z~QK{x%L#o@EQ06KB z07u!@c0KM57dX{F-`d_}Im<#2BtG(Cp!GpQB9%lqLJ+?R#{saX&__3^O(KOKhnXu) z7ZuS)hL@v^xwn8uo6;&Tr8R_;(Zgi#o|`pPnPN{V&Ezm#L(hpAw6duw87cP{pVI5< zXaZ9&M9mYQ2z>QOWX+lo(FOsb!iAyTq3L;)^5Gl=C6+jODDKV-W&aFD1P5M&Jnp4N z?+VcqwgOl%(?kb|Pe6*XBzzvdtoX51_6n1=NtlLw{g&=yXibF4n(m1chXU_Eal7lL zE}|){crj%j}eGrP9opWjfEP}ku!kZhO_ed*tyhB zuyZ5bBd8dQT`Mz$!Sij9)QPO0G3yk0`2LyGkRG#`LpiG3j8Cfa7qmZ&%IOz01j(TB z_~U}>^k}L!+0c4IG~ri9=P2ilp%gu}q5W)h`S5l;cf+(UAbrIjPIXU^Dn{KD#M@9H zb%IKE8bMF-^YFAt%b;~NH3OGAgTAMcmOlvOl~c`M31{)E$<{G!2Qdu6dQYL?-kB-mbdyzR&R}+w*AF0@u=p65S5fqQE2O+Lo-kc z%anlkHa|>|*HK|HdWjj4p$l00=|zdN%rcc|ZR6Z!bqRHtOB}0t!-Ye2$VOg& z49BzdmeTa44Lx^8*I(&Prs>NTLOlyld6maen(T_Hpi>e)CXfn$^@Tk6NJm$D^A>~g z;uYm*q9n5r%t*@@iDg;ER2Q^1>X zliVpYVYrsWt4K?}&P1TpvRaHN-zTdN>nK1qXYHnduIg)J*X!8Yc?#_I%2|I2Ajqnz z_Fm6>!W8}}rY`K6qUC2s6H~KULfzxwsF`Fkudy9!rhRh|K~8SCP??QILJQ_1l$cDB z2dEQbheWMvSi@#ET}ee3bo0$kE8I?eeUJKE^`{yHp5PCF!ireS{y9Mp`9v+bva?dG z`VEHWIwz$QSya(9S*fK7l@LO~wJeJR(&d3R+C z;S0hSC^#$2;UkFeJFFPMy;feRr;LEnlrcKpEMFbe%bVDumyf%VkW$3zBWl*R@kN)6 zhr)&LPe*A$^j4b#W!=05Jg@7S&Stldkrnoz@%*Trq;-m2Li3h~8-?u@!I}4&-AR0h zj2yQIwqHj~B+%9Q_TsFfofl_6n}+XuB(e-OAY>en#&DGOs83%cN!g|=8JY_PrZjy9=9Rq`64 z>C&vrjE}0+_FlChY}o9Fg9!5#hSP#Ox$b3d(Pg$R7qq!&cJ_} z_>o*-=T5Wxz^Yjl9gnDODRwh`*zIC{<&a3DqO7^ma~3HR5esD(yxG0z%91PmM|02B zX~SF9;$QW{-=A9f#Fp5}kp67`)1o%6#%--5OFxEZ|F@I-xo zrnXuXF$654JoFV}U|1TRG&L%}x~^0ci{>6g%(`4T9v^v+drdK)3ZI#u=~t?Jcq{{8{h`>o)JRAq8=sf9dfWAYQ#BEFmsszGMShYh#b3zkH)iyr_?J+ zauBRFlhE-pG#Qh#1O%ka*5&CDBFuob%zFS+6?xNj8_sRe82U78T+2W@s&&?s@PywR ziD>JYaHOo0HkB<(tg!GAbU`*e*Yv!dst+%0hR&Zge@ej4Qr9`Yk_KdG8#4I6WX4@o zPDSD`l*yct0}-ODkSsoLI#v&>WPolfr)@q<#()61{dZ~r(97^fLPK7uY>5?tU^T<( zpV6Ct9FiT?QiwH8nJ~jP`~XP+SAK7!dBvRrJ|bpqd=+fJTCN$7{WYM{KS>CekJIoo z8#8`oY3(=}QI-`EV^>plw^Oa-2YF{1`9Lr+sG7MOTxg#p-wk43(i-0%hYzRa`Y>9@ zol3Ku#t_fUB0o2Q`%D5a+;qBESC><#oZAc-ZJFYgXy%20uj}DMNC6AYMuMy#@>t>K zTeO5A8!IXCLUrMnipu4z>tQX#(UbX7Y^1x2gFo1q$Jht=akm;2C4cmI{^fbjXxTWW zFa7@c<~)xEsN|&wGmmdz>14LN$^+}O8)?&C6@f4eV9;mcC1Z9kld-c95+zf#u0QAq zwsG@M)Aq0YLP=rqNS)`CFz2apFSNE>2aE$3N-##3@_c+JTI@cPAg<1g@PznKz=RN9 zs!@oPWjE8GJ<%o z5UrxlXZVcT683|)%Wy?X&yqUa0?tr(SH7@dFJfw$XjhsUZU8|yHIb-OYwG0wdvIk?D*4I}2?vh)z?(1(&2 z>EQqCEZtA7PU>`46Bh`VpwJa3sniL^AvP+AVKo#OLYBVH$`x`foWcEMW2R_SNq}sY z7YCmbuO+QjAAfH{QeDWyC5w6YarpX^5Fh>a$)V~*P)_9ODkek@WXS+$cw{K_ZPqK!8z#?$sFM%nqBPhp$|x}~M8iR- zC|MWaq};AXq$ou!OfnQlMqmw`u7Z?kz1MSxjp^gSJEF&-2_P~c8(akHjihcQref~( zfxNyD>10h{HNuNC8j6%BJ9Zl7;25LN4VHZct7L6dpTwat8O}tk`2)l3$-`t~*6vgE zE`r05Lq@0zwf20C)rT=y#|+Rp>5jq;+~04d%;}ee8mA<|ixVT7p9wh8UHe&WPd{f1eX)Yi%@MeKd8N|rt~r*K6boX*Gw^0L1C`xpjb7z_yC z0o=A(-|DR}=`ErVuN>IkF(d|>{Q0u+@@xLwL2!~lok?gE`8e@9UwnMEf`y^X8Sitc z30mvr&Y!E#1*+5cw?^tXW-9I9dLP1&D|cOj4HKWM!hhqozHA=-F@|e0CYUk1$<0E5 zpqb2Xw2p2CN1Llm8n@;f&$4W0Pz)Tf8m(fWeL)P9eFvX~r$0|@0SC0;$v0_Z`tHAN z;WDxemnh4&Df5i~|P6O*_TrzY4~+xCiCZfbSr<$U?4rOX)VO zwMYPj3Wv5P)|MeuJrZBO_{hU#f`PCE2DK?~Lo?c#JiXkMPCI($JsK}N+8_gl_8t}g zKY?(N|A1ou3pe|J3Bp1C2Wa+xak4=m{{_qbzjU(w_aXo5&Hi_Evi;erJS#fb|o2_XLetCTJBe>*ALa`!c+ z9A3YM;QQpSjfQfFC1(IRTy|F7vkrE=}=Nw7*fvU z5F?uXas(cgWm7czwELTs&A2TFK=<-yy{E z8ZoY7zuzv}g67?HI_skN6-$Ld1c4Z3T$u+?MN6`qR@AH5K73ZW>?u}CVKDDZYoI(S zI{Mw=t>!WgBwDrGd$TFJAGeU@uQZnnr>5rR+_~y=}0}$&-IaP}e2k)}v#Jn$MZQX;hO6tb6 z+_Pad?@(pXe#?cR#93DWAu}}{B=hq^Cpu;+tk?K`$@iyUG=bi4(sTcj)WrFLp?kL4 z$Ep7*WqbPYe@fXthjs)+ydxO_XyWIfWM)x#>@+NYNj;nwa{PnhqZ>skp?sM`rmR-} zSS?plOP!8l@pdV)OD?wKIK#b%2eOg;&9yYN2*0b85Hh3icY?r`2Z^Y6qLA)aVs>F*5kenA1)3SU0j6giMHPudWo1K844K8a@or}^@ zru!1*zx*cvGVvrXe0M!byH>J7DaC#CN17I~$B5pF`OHJOzM%Q{4ehR2!0XO)Kw|3| zWC?Hedz(<|MFrwlHSCa-ZDKKSejxSCpZt^bs7BGi^m2n@72}AL$}>E@_=icppVUWx zb-1vcuOZkC%rt5oR zu3M8-P{FJe$PZm>@y5;;%w=i=?2mDsx_KzjfvHkce)*I9Z0QETwvruNbQD3^4{j6q z@DR4dG$43QJ3_0JAR1SItk{-RD!p7G(w5?7B<3R!ut^!?etg`8aI9HktQlJ^$%U8$ zN(QX|5l!J@92g_6E;*~yqKo`1$76kfKhz?ARz33R4k21W0g&9q^J-sOA#oS8jQ*1xn8L;1?&T!>aa`Gj> z{6lJfQbf2R>~(OuXF=hADKd{Qym;cxsRqZAL@htn7VQ^tQK^Mg7$q4ZMXjR*JUDF~ zjga9x%EBEIDB}1zkb!iusEjcH#2MV2fwnls-^BK|^Nc8Ws);ChVp7#SSjc$ugyFFX z09)nFaxdL1Xy}w|70nj_yfJWbH=o7cBe3*tCsK3Lg!Kf@xvXVUZwcL)>?o0~{1)I! zz`bTPF};`ODLqrLTAYbaQ)<4L(W5A^A|58L#jtx=y5Rmvjdq+0EsU@g8XAS;5HW(m zvy7wldkud;`K?~3Jw}2~Dn)osUaPh91&YdHkA+KH*ZjAMPq%?dk*2QPbI?FpeU5b? z6T5T?q^GTrP!e9~g9YCsw2^nfJKzGrEDq(1M*l+W5}9ATTg(@AW=~R)2u33O;b_D$ zOkTIQhYX(r*%UC>WOyCz2rFG*t+tU4w~#~Oy%PGy*t7OJ|??FzUpfqJT-c`2z}F!bw0Y@txhRpu(u($ z$pf}mFxt5lO?Vk@j&V>i40z6|fm!Nn3rGqoVu`pyuGPhnx^W+s>X3K4MyPO%b4@}V zvH6klW&arergdiY*)RE~&ZX=*An2qpr@vn)_{W17|!I)G4_}Ox#I{4*eHR5}>($EM%7fFN1^JB3Pwd@XXwlV$s<-%<)6e#Qqnu zdZ}(#wqaVS_Fys7@bPi@y1^fVBb}u-zqrqPJ%j+r;mG)6x7qjHPrR-Z@`G!?(9BtV zV85__PPT_@E1t>u4zU>w^0k|42tq>YeVjMQ$jnV@J7I3zoxP6$=kJX1Q1)$b%lf|a z`O5~eCmW#MpAXBVhc@`ftk3L|-@Bi2e}o224X%?P~?=ah2LHwdUX?(M@^S9fA#vnCyX=h|Yi40D!!eYe#b9x@r zf^AEpb5=%@(G-&$+d#r153#GoB34Pl2^z^?v`g-a}iO91%MBXo@cz*)d6<-~LU!E42edo3t+RmGf&z=l48Ge3{A&xju} z=qv?Kfb&C2RS!SZ)@3Lc@2Z2Q(NRpwgD^%0|JvLFD#^8AFAggt=1fTAjDt3MV9Sn? zq}n?>ERn!Uu_HNf^__&W$DFYNpDCU**6lqx0F=f;om*%Cs ze7F*zR5$#HFG-#hL{tP|n=+6Fg5tqlWD{G6yb)Lq4~(a(uOWwh<(-xaq$zKpU6ht- zvLcZ#rcp1WYh*DSl%gC)rKis(kYc89(qkwcp~uN5ktSfcabTpWVBG)8;F%X-Uu=+8 zMmI7qidPHJv`(D=%Ix`(MQV&`z7ovo%(XZUMi`>0{K*37P_{Q>Ev3-}BQvHgYSM$T zHG^5l4*5OjpU3c|7yq%{Vz8?fz8lkF8-#F12(W2h(%_1wU=|2>mq1OkOV43IR6uqdWp|K<0P$P=lgjNmDjq;qUn(X5QuqYNTEr}sqX*rOHL4m`QlNPZX z4C#x=%{@8qSdk$m3!TcQ_eo(l)7hj9>-2L?s7m zg`qpK;c`vxLZJ4k%rzp($&6~*ab!z6DK3+bb{bczt?`YNdW$v3b%3(Zfrm&Dv^TTi2hbYu~pgSCN9h;T>tt*L8XEAf&xPs1DK#1 zPdbB7r-@xUO(il{d!Zx1Dl6)K_%|o9LaTp)2eE7`n?o71ari&I$N~erYW4D6ZP#wYxZ;YJEvOFp{-(iAIt8t@hy1ol2m%c|H&>?w0IhuCGa6b=U$v)W?J1@ORR@r<1g-RB_ zG@s^NZ<5F~f2Ono@Y{ft@7Y6|ag{X|IZE$C9?U|*@dNQ*K2`;XX1axh?}95p%$YM`YGq*! zWn_|>rhG8wY+a|8f1GH{AHkwZ$e@UpN4ySk>8508bb25nOh+0c{vX{5lGACaK3Y;^3BHm}u;yqVvX2!aG5$ZHIcL$f zoF8dd{M~CcWxJC*eA%C#o`*i#ksziFQ!9HW*g!Mo3E1BlIIbLsPSchTKRsmtE89I~ z8m&pRyA=hGAs2mCu z>sr}hG1aKv908def8umB3o@B)jHn*ZXHUgJh+d~-U}rjmfK1-{XMGZBi-0ui0I&S@ zN{avzf!whVw~6Tn(@yIXq|G8;QQe6rQ{FTml}U2gpZ5%(!Jjx;b90|l5HEZQ_KJaF zMfg^qR%M+=y0bbN{XIs)4nN8-z1Lp{mr6>QXe?2Z(x=nqXKYX?YP1O0Y10t=ECU6X zdz&a*Gk^H45OD*qm`4#z5MJTfp}m4%aZ6mM_L$@cR^n7G3Mb>I2j4k6~Z0ZuA;uD|`P_q$2cW7*F8JQ#YSh5+nX#HR; zFO%3}`(2;c*dTJQt=mwcJzDv$OJ3Tk#kae)I~Gkp;0 zz+-&uTH4)XTYk7redcA6Hyg=X2N2hCH>a-s$qcPSq9$Cs0R4`^M{JoI8bymklrRNMf$P;25CwRb<+l^q} z76jJ2o(@LM?FgJ#D_p>nBb@B8@#8XY%k&SXwxgg`M&POO~WEFZvNDDB7%w!MT&pQn72zB^Yo@fsyB&C*6{?mMY<(>`&fKaH@~s@f?2mr z<`Rgfz&~)gMb??X8vFavH51J z_}F{Ysj^pO>6n%++0FQ4!`S|jCXPIi=Wp;j(XfGRX z!*}$M9IZS4e68;yAvp(+!yix0Yzl{o9?h5+fkuzkA3sqhmkWwKUHeo2ym-(IcuKiOP)&0NU{|eD7n(tplUde+-J_XNEX5RJO5`WTK{=TMWHZwt^@#lN^ z_m86}P&iI9jA+C#xMh1TBCOFtM0*B%PI8PWD+XaYA}n5nIP+3mbpk|kEXyHyq&yiK z9L#92hPS>W2U!dts*u!vl3Zm_gf}E`vB7*l=uXJMoDo__hIso_m3LqkOQVUNim|Jh^ZL_CCTIFY1Q0i-Gn4q zG(#F=Tn@ZA?!Wlz=Sz2aR-7QY7jR6w5Hc6rykMoES)O0rwtoSMf;ZRgD*e`l4JG1l ze*8T}JRtjCEunA{yu4#I@_;gNb<$)w8pqIEACwmxwo%SCJRn6kU3-bp5B@w6SR)jH z4bC_fY~XsU>+PixM^?g@Rkk6aZ3t0U3`7J)&|}v{f&}b)Qo_NWq;AYoF`B8g@KQM1 zDN)zQ;$#(JaQ5xUGo{n{Gi=SU`SNr~KDR*Cq#CGhmcfn>G~3Dv1x zJriXZ&tDmjc@-MRB8G2oflX^M0#{W$9#|gxRaYnHNSPPPKnA&Vo^ftI-azVE z=Up>#-B=YFu_;aF9uydjhs&fed`QpBMhP3Pe3kbDU3?JC?wxV}9!v2j2&9$xFJx!7 zE(<0SJN%uz`FTBG8ix7 zX*H}ytw3k+RGCW*rx%Zex`C9Qda>$*5dV++4hiGaW$sn5-jvSO1;exQg-f1+z?$ zu|&hwZa0_fe#tH1ekkpo2pIi1Hv(?WdOhDTQVC0r2n$Eu+ESF@muHU*@6#83r7S}g zEZ2@rPvhQ>_2+P7JS7y9=R-B)#>79QGDEQ{eA(VS=$Y4Kp59;?QzAPtdtM1CbSD+P>1o1RnY z)z|7g&1>gW+0A>G9alVGdH4$P;y z>iokgw#QZp3J86-qpENMM$4H_%CPNCrT;c986SYfN>3pmYM;w!lTeqUv=z_cp!WWd zJU-eJWR|{a$4-m!H>+HjTG1hyj6~5q*c0KM^0Ob9MgSogiBx%fO*pr#r7dqVqowDh zmb=UXKjzj^ouxxW5Iz?rP2(s>m2sQ5!nnD>j?HAwla-9_wmD7f#$hHmjNR4)sEkkQ ztM0!hQ%N&j;+5n!T|@O1C^-&Vl2ySQE>Nu8bT5lT@llYCB*=JPDdJA!62tQW{YYg| z9;x(^hVxQ3N7{9+Hq0RvbYopgWvNKNG|N1{P)?JUJGCJt%fK>LU0xGCU&G>c z$^#5j?0-c--Yw9TYSEv_*-)FeR9Uii)xQ4Qq-*u?STjzA z>Fx#gDs-V43+?#lkUn(i-b8Q(_8pV~ezz0x?wX~g2J1fq1bhRk`TQ-yc6Lxde5L3 zVx(VsAdzH9KG3N#v?98Y-isY%MKviGh%9Seh^f&lncfEa zTj81EV9CHQ} zHo2nArxE9_2+t&YjsPc!kut-5;Kpl5<);PJ6gMqXGfB^GI&Ym=9e$rTdFd7nW4VBT zXwOt?I&CZ)QrEXD?Dl!%Wv={Q60&2Z>$TPmoBge(*⩔(7=5B>IWUtCLZSAS=iLf2q@=mLAMbjY zl~%xLusrWQNo+&u=@1&?`Z@ih7+Z&7b(47f|6uN}zTyb~$ByHH#TIvh%i`{~xVyW% zyClJNfyLe3VR3hNmjEHS2PZ<3=G*f-y=Z&UbJ~mk1v7IoGiRRpJnt7?v>2?7gl@n% zLGB)r%KphN_G`#{=h_WVJ;-m~BeA;WB-Q5+n z77Jd*Pa9^8Iv1&tLfPKs8SUE)d^?j4mC1wJ;N33-5%HNCnO7mY#0}>pKAC^e_uW2) zqT)2ZZpr(n^QzTsDN-U`hTM30e>Mr){|)QP-1s>7fSz#kr1ep=tn0J=Tuyxlu%qCy zN3_G@4bZalCchuvGREg>^ACrx?DDM%E_H%=aKcSc%EjuzyUW)zsviQl&kVRrQb!vW| ztCG_6qwn{?!Qk6c5#K5DgiQt7r`9GfEziH+HkW)XoLSvXZ$-v#ZmW(zY+Bs+$b#=? zcLhz<5E_-RoN54`3`+lCbmSQ-^^ut6YjyG-_7s!k4rv(u zGqmz4*4b*f`+Gy3h`?dAyr8;FC_3>MOYC_%T6Dh0eL1}fqVHq?!90{dj z);Y?oITmKaw_)QuTADoYstj{&A%5M5Hgety2!%9YRze0I{8fGA@l)$%d72K=NI42e zBokPQ3+y9N3jS-NPL*tCSQ!9-d57uohw;S%FqXDWOZtm#VcS1PmiOwjbexpw#wCl2z#!Y`hDoj zd`ubdXUb%(Sy;8oN}_uMDS#H=ho>LWyx_zi`SG}3#UA_8FdSfkzk2T(C$9MAe*BfI z>uHP|>=Q=p(?aUA08-7`mh-w63mKFXH@r%>Z%YQBs8V8ddKC&meiBM+ZiL&L?7l}uqHg?0^kA1c7V5js)I z9jD68eJs5SDlK=xSMC|G2p9MH5a$s;^iyB{4LZHeC)RpZ=e1mi6j#LctL)ghz?+cH zry|a96R3iibzDQOBtK|ys033TLaMIIK9~6QrUVJ22VM%;G~gpq&7x&PEiRH*bd;_; zGDqZTY!H;wJ1ZU^XGsr-G#jVp%4e^Pa`MX+>U+9&N2uv&r;sXVkuYbfqm=T2U^En! zaQq1xt+^7iVHNVZxhg4V_u03w;mA3KxpvePp4py`2~h$iNT;gKY~1x)6+RW;*vin` zxC%IIsMT>+CV4_=K}B?_8kRKFw~!PmJ~xdty0$Y{Z<-XjHM7euo!*3May05$uR2pO z8gj0z!ZOOcF`F-#?6a-r!=X-hGP^rHhSWcYBwihIJ^m|k4BXITA}1I68y{mv5_+h9@yJqdqW)Eq?nz<7#%*yJOG8vc!{e>lMOQX_f`eQxeI9wd9}O2s zvZM=CXH8aj`JQc&hEu;g|Hh!Phofmkxa^7okg;nBu`jen;;eUKq8=|khzCG2#iGbs z?*GaI7Eu$)C49X5XyCKM5lNk{q%iuYeo6GDog zIScbbAU_EdJP$KSP-q~Llx!TDzcx!SxjGxDs9i;9e%LA;zOAm_&GAuUiE&I5$11ca zij#eb4LG6+@zkymT%!=0j^`*Xe$a_)r)ABT8G1makkMvYB%N0yqMlV6y~csLkGV?B zVQM6$0!8wxQ4=D#cx&-YvXgmx#I_38;{#3enhgzGqhQs47>#jb-rD&V1%j;{Y8+#v;8k{y<<~PS3O6UVOe@E*3P;IQK>+^Zd zX~k@^1y)z+N?(j`&ca4-f@+wu!1DpRhpA(1LAtGYYS33b$=ZM=Q~=0EO3zPL_cHp$eF@4MLOByDC7hW2QleM=g^7hWu_gFO+E+}jSi+^ z&h5sB%PS$sn=(#1T}=jIl-WW>@}^mTvvIJ7)&K zJMh)5{)oScm-yAz+k78+Q#Z131*Tf@w~ju_WF#a5GkDmI!~mag@098w3Ac`J`CuQo z#Wi}+!*01jcQ6vM8N_eJPjZMetItoc*THJg#_&}Y@^#x`y6yQunA=nbdt#c?_?p9- zE#LAF*TNr;?PwZUP^oni&|*TsDgvmUglIh>ZY_*$RWE*&QF6F`x=c~FgfH_sXqNRq z$p99a_PX6XM&cDu^}X?(4eVG_GTaYHItG~{CYUUj49DT`macX8Hk37zbY<`=WD9+>dh=H_V8aDzUW) z|9!u2FJyGgXy;r6`+N#f5oPry$6O)l9WU0pq4GFvANkXSxiQ(#*4Ordme7eK{)P5| ziah=Y=b`V^_9ss27pfzmz152SsZ%2WuWaeQS?VmaC5iYYit}S6D1xQA(eWHzLW|^g znbsdJ9Q)E1-g7*h_d8svWQ5u5p;T8gm7YHxK9ilTNH{vnb2j{32aD1m(!+$o+lBee zUecs^Ol=4r=cBC5YDGLE!sQDEtt3KhfP+h;7<%r&R=-M+v@kBLh?`b))UW|W3E@sP zb4rtGFMicYER+bME_WuC=Xi(In#J8@jyR(+b-vVg;pksBu7kwKTzR6aQVU#udAhtT zU-9SNyvPck18r=6cH?DQYQ1b6Kz z6Q_?oUA;(e+TxkYFVRH0Fn%_;NWnLWS%zR7=k&{CpfXDd>sBrD{wQ&$D;#qXb-IBI z-SR_b5mTC3$f7%y!FyJ9in9)?Sg)^P41sxR)`E}txv>HadDO0+&PH`2yo7pcy-fl& zF@n|d+}K-LH4WnS&q3ac!b#2{5Bq9460}qHd|scVC8=|sT@bJ7s^y*RPH zzAOj$2_LKFowW3;qGJ6%|DrrG9oo4UF|0H*GZ4$->*$M->5Dg^w&DkLHLsVPn^i56 z@+_B^>VLZ}U2y%FPJr3NL^k=t&#lWvgqDl1iUOQ9H7o`4c$*#>!LcT1t|bC)ulW%* zoi6^a0x!wI&Gxqb(R2OufPQ@G0L8Qnk_`^W8vpk(G+a*K(=VI7*k3Xnnte9>z9nIk zsV081N8gOW%5D8lL-d2IQMxy30q*{XaK~#p7xCW||G~hl14zJqQQ#ybVgKhY@cw&~ zce8ywR*6$>VN8Hu)KH)Fjx79QzO0dn5#9Nvnl6wOUg;}S1VF?$)=}!GC+X63z)y{g zpCdbWMX>Y84HLtF0LQNGnO$0c*z1}FXzOdU5mDZ>HYNp*pS$O;o$O_zgqNx=UJzag zqib-SW5CC9vWcw^zr$w_4P|Liykb_8(axb`s-1+ynDJ z4Uo|o1bXEyzZ(vGAO0pVFb1%Cl?8eWLh~h>1f);?m%rjo$Qi+8{B@6`$3TVxsBj;VBfCdc%i9{!f&T@4LHH{H3R}M>|~x z_MAIjg#roYMT#8ipqi(WxbBIIqQAvJRJb`CULwqBL`(Q)d~VXj@lkl_u@nL^di0TJ zJsrLkGT{Wx`P@ftPM{*)2*NdV8l4n!jF>W}V#6IimRMYx4Pqe%Qv4TJkseF$G3~Ko z$(@T?xzxoQYRMI*ZKY9?A>*tKl(DzY?PIkO42Rh9owtYc@xU;nxj@xj9z5-dR1unO zc1PZcYH~U_$ni4#k$Sf4DP7@i+{B~xh@|H`XgrV&u2c*L87KBuQ|>KMrq5XXVWrn!H?P!<;MTOT!z#S$HoFg!o354t%YG6xLQoAZYi5r*%eeYS zls1e`Ic!sMyNQTcS?iRUy#!d^MUit&>Z3H<2Y7$wYTjHdFMTtM!^NlL^ZsvIJ*4$v zQx;V%5D%|CNi`Kfdo=5oJt~atkbAtxJ$?_ZzrHRRNkFPcoH*LeNBDS{6Y$SY;6P7C z1*_HNK1qFpm?IA*0KDR(9S=iVBvGx%$x$H6g}E}Q>7m^UPM3C%C<&#+Fc8ejA#4Vx zjg+fitG)=XJFM92QV}NFcO3l?aqvT>6>z~O#sc+b=}xoB4o7kFndMZ`Zo6k1j!oYU z8^x6&VxIpxc7(5}jsG!v*%GNON%c|ZH(BQhe#rM8r|X5b##9h%=W3jXyG*6maWNUp z`#O(BJC?QwvcxAV_)R>uLYj^iOKF}#{&^QSdx_Z>N2k>f<4S6y#Or#n()+~M0Hr;3 z+(GvnpP`k`+Kn=={xdzE!YXft&MvrEmrHTg!O9^MMu0T!M~9>9sn3l1VN-9mvnRtz z=fS5joOQ!zQjAdNGFQNYDiG-z5TXewSCAK!U_$Z!0amirN!0ZnLAi{l0Rthnoua z2Z#SH|0aSZf@MdJRho+WO0ns+A^h^^(o?T+cH&xr`%jcSHQYi}Gn|f2j4-LQJdJk> zF5p*RlQ6+T-*92>d~5Zl?jlSKz`I&aCoT<=l$iZ+*=_};t9@&!5ROkYYK<(ec)FfF27(BNEs&-1k zZ`wOYxME(?lOzNYw}r%)>hj3*B1s;Z3kHG|o{5OBX!i^rQ)iS3!Tj3z#37cpc*tslfP-i?B(%879B|$NgDvDewJ3W&-9%ML5b7_K3 zEY>1*6W>t-8e3HpyIZ~Xgclq8!9!Ni9-fGKJqGX~&PHE-2~`Qv8~;N%@*LV^oz0!% zjJ7=Xh)z45ZecicV>fM3M}LfOmO5)DAqT#vJDQXJr0nU@$xM2*De4uRLJtSqx(=~o zg+Za`nN6{+l-qF}P_KIkr;sW-R2v_ZI>5)dfrqEsS7zlRSS$NOfaet7C;>7fHP6)1 zS}{wP<)viM#6wMMXme<`$4q?8kTX9_V~kYK;pmKp zEipy;Y#d-hN9VCrsy)4W5z#-OWF(oIje&B*RuoGJ1WzG4_+yCM02?>WDUh z+MSoZJCU#_Lc5mQP&R364I1NRff-26uY;6I?ns_LoM0#rAM&MBKTDqlC|L8Z{tA4w zgn7>L7~wnCJau__j83v&d}7s!W4+;yLmyHeU0b6 zeB%E2ri0EJh9Oa|XQLwY$eZjd?0vTiDSPErqSxvyPs*6EYh{~c73=tp?K<3iA!>RK z^e0ef8J<(Y(IMk{eWh;`c3R&%?r~hO*Ns;=yEWxh5!Z9k#rL4Y{xi&vrUPC(OFTCl zf~7#rlgvbfeNhs5~1>=e08Y8i6ansu)1!sCOD5~*FE!mglRLWIOnq|{t(^Yw+cFB(E^MlKrM>XmSz-=Bv)?v{WYHOW zk27k*ugCTB=&8NjQ{O+aEAPg{Jah=#3jBFL%V3^Mf1%U>u=3-H_CY24N8p{3#&uA6 zy)8=ev&MY<#QP+8vXf2aRADcXmkZqF#4+q+>>A_eq0+;|Ib9B7QTq3&+vUykqRZL% zl7aai<>~apT41|O24p*>8Zl-f01?m0>ZF|0)0snfQ4;DZe6lcv*Xr>kmbKV4+#8fyH4ljj(nr7jZGE~4t)=br{^?jxOOVx*kWRY*Dh{F<#P zK+qg5vvjj-+!Nm!&boebehhY&a9YSf)>SSFzApIP%CW#JKH)*U)o>=ZVe7E5r<0No9>tX!QHoHRajg9-E_VRI$2+q3Sb&+6ORB2YU;3+u(ZAIRo z@$hIbZGP7e=X4sR{CG>8GtJPU|Mvrk8ptN%pD9vQGJoC>OVHOnHBkiL&Hl5I}8cC?R>O(X))x?nPnVOh{NK7 zN!Jj5N_%{3GJU=N1$*}D9sYw2eLR-^+NNIiGWVtH>5c56{fqwaz&o@8pLas)2>zm< z2Qq|_;R$f`k~Lc&558bO@qhxB8nFIWo$gjxpnP-KIOY9&Bp7|e#Cekj4`5$L$A-J2 zD?L(MhzWAQB;N$mBVyXZCwKg=LRxq4>TtIRjTu()$WweYt>0*Iic%HFVsMXEa^L#Vi`(VM^y}W12|-J6v5%B z{x4?q&QXYhl}UX=Ghs12FXqg08P58?pA1=)aQWEeZ zm-zK9T^raPcgo?A-4wUNkLGR=<8F-IH4E*Q0~1*U_ZG}Sr%1qr%8GD&d~dWfuCsUM z#(W$@>@kQx<`LN-ji2Oq!$qv5aeM>Ob2yw5MXkKSnqvI+i;TUmV}hFW8!ed{lp1Hk zHx_n9H^gyYc#*PAE|<@hG84c)&=I(8>DgpK{3J%F{n!RL*Z{OH|)22-0S_LR;PLh4Yq+G7da`|)r zz)T-UO*JNyzR2{~^DfJsi*f~-;hPW@w=1od6a&-KQ{xRyYBa|K1rAIu1IEbAG30lR zOaVM$9@XN;RgqZ9w~fF9jg=r{sIz#23$?Vwxyye#Gm?DE{h?(^sb$NCUBl4wn-yi6 z?F^m;7R-*@oU(#1y0Z0hL%{m*v-ePlPXq}w0TCqj?yj4cYn|s_ zlfOk8_nw8hSrZe7v>ovC?~=(!_xvnxh3H35!4)~#RAw~qJVCSxR@-}_dKs}A9AOWa zVqgnnl7po+I-!-tOJuHs_mDfNl)*~;9V$>Hnkh7bL(HZGIc7wIXI(6OlF56&Z7D?p zfT4&tAQI25iNUKOBO@F!EHR!s?fp|$dtVRHSKJDy!TVmUl0s^VR`PMdBBEV%29xRZ z*i?I{Y5HjrqfC^>s;i9OSwmm+q|tgjzYWJn2p86str@NeaPEhOp(^%8^?&#zRtt6>RF@dT>+C)qr7yDYX~0q~7M?7XIZ zg}!aC=EJ1n``Za-R4 z>derAX+q-;>FAWAu_R|{l+4i?zUj!_so`tDfHo4o)y0^Af+Gw0@{(1j<86(0qopJ(;JAEQRtJe^s{_20wu38t4`%PU1w`G%1{ z){DLh7E;Q_^=LRkIMDCBGc{o>-~zY<&Eq;Pwk~{ zw!nsI%5h{0xpqJ*Y^}R#(bf9gA4K`(VZR&RSN>|DbboOZhoMJu*|qTcJ^R5XB!=Mi<@S z;y6JN`O|Z~Z``Ekw^t)UqL1L6&84`$I1T;`d%LL?r<|Aw2oHK-ylh0sv`}|ZgMR5F}6~%{60E+;4d-~ z)f8ub%}Jt_A7g|HZ9`w(#a7@fP0DIBXfg%pv>{j(?wlOT5x#6;h+-``2igiw*1%;B zcM58`ZP+GAeW#tO^_}G$K?6+7lEYnj#Bf!5$Py6jd1#4toR@A_hHfvYT{h$cNQko{ zXP=C3j`#Gv)jtZ3M|Tu*pbPpfuG3B>~78X?-HM7gM>@z`qSqx=&_#ngj^+NI=@8P z3yE0^39{e@l|50PXNOiD=~ZN#J5YOepXH4cNL5)DQCSx`dxZQX6J+Y?Y_#JP8ZSh@ zh_iuYA%#03`D4V!Llh^8NM+Q|^UBNdm2ZmTA|+$av&wVYdUrJF6uK)B7{U>-AUk>- zMqcsgWf`#&RX^pcNS*R>#n8Uzl(F0<_N2cVp3oR^zNugq1_>rZtjhtB(kK6JdM%@) zp0S@WwrjbOAkW(IOex{sN)?F)VF#h6)`oKbYDEUU9mU3=fuP9tMm^5dpzi9P{iG=6 zN}+|6IqRC9jg2PrTEoLkqgox-mHeHt*h)F=U^*$4{t8lXb|p`9kV(#UQT=Ds9F?jj z1uEf-F00($#)}Be+z`lTuC`viyk3^JsjkeOf|j`X=3la{M4^9()dOmd%aNLS84@AZ zTT-WaT^rb(m+!g}gUX}VhGcFJ6(U#IeEg7z_%S(RdJD^fE3ksY%Mo@H$huQSYHfqL z3Vs&#E3F0@8n$3sh5VXQH@Yu2zUY~uYzH=0Ep?sP2DrX+BtQblCA{;%VHrHebp@1Q z_Tk>n-3=RDU?q0=EA=8K4r5y-y3Ppe~*@QH7 z44k$Qq(7g(^y$39Et)(p|A+ILUZ2f{8yRI!-`38wM}NoTG7HZFVLp#_u}1#pV(DY` z$$RSE=_xIG=MQYn^mubGT=M=L3u=FKt>_m@rA*Ka$xW5UM2{AA{0vTlSF0{l3lLX~ zmNz2pTj#{n#LzeU4VT0s)_zkjnM(MDcw`Vuxr>H1;bv{rhve@`&ohrqe$bwu8`J*K zvf#MxWO7r;fK=^Vp_1fXS^bao4uE~SxK608k$AXzg&P}b{(_S?`3A%GzVF8 zGc8@m%?jY#?e-snmwS@n^DYkZ@$ z@=!cH?{GkxpI_H}fN_Oq`FNk}#IdWu?jk*u=NRTo~aYRyR{`S^Fcpu&PXBETz-SRlwoL<;X$V`z|*@{iZ`&5zT5oAzHzq_*--Joj4 zKdVy>!mJjV@_{o-kjAW(i+yxtHeqsugCx}ZdJB*=>h`V_YNcfKv$02)7jNS5!)Okjj&0h^#C&LJ z!7uoV@Z9_DdPs~29!a7_cf8M>J9s*=QJB1KCYwJNv;8wX%4NS6`IR7WfiU{!(~{BS)n7MTgD>+<(NJrtTY{7{^5q#kWnHn<9y;I6;~y90CJ_0o0CW4?9vf|V|P&F zqA{On$Vx5a^_gkspZHiRy;kd^QErd|$VNQs*=xYCwiY&}G?QK))u~Xg>S$`n36dJr z#)_2Qt8JO7nmYvC#b6ov1%vzkz$2<0pMvn~+!{U`Yn6KF=Qa8+grVaXgr^unwXp6k~1BTz{dqo7246OEAR4zX>u@tYD2= zeBOXh(P&@q4cbLzkc-}2PW-CO`2EGww>a((Pllbq+~Yf&Zj;f7uN#T2MV9D|Xq;y} zw8sO-Yu8HSl4(vA&`T~o%A76X5&B|l@A_NfsX)D9wK{$A1jp6J(=cJz#7b=*;|sHc ze;bOA3`MvEDj%CGgDLg~ol7$B!c0gfs!G3vDA9j%G=96MKJaWs0&+rlfMhLNqS)_Y zVbRK3ikH#2WDI)YsOPM_`S4^DmWY}f&luODuQR`Sf2(V)YuF-4o4mcZL7^D@MCtQy z)g2|E?cW*;=K~MP(W}CzEM%v`f{xm6-ea@X!3iq;tRB@7Um5=eJ6ErF_M7Bmf(fu| za^}3(2E&iw2e0mr`#@qKW==cXSiB?@_ow74Mw8HtI@KVRwiyQh8726c9x&Zf4m6v_ zmI4<~Y%#sEWl9jb3!+C!z_hKTa(rjPB5fVX){=Lwj{-Fz6g+K)j54WJ?V+E5I8~7& z81))U;*bn+;2#GJ6wxxT+mCYG?mhN71~n9DWWVwNh~s4S0=fhSx^z8(uY0r%gtA2Jlg1iq{0PHFPv9nw#G?Wa}F&MZcxRfi+ zNuSsNnmQYr4;PfGHFeCk_1ihqPTES(30!Bqjjn7AaAg-M?7A`~g_vukjE8+Awp#j; z+Ix(PIacwp;bSUzm4>)Zs0DE*y~R%$=_L5=;=}2`8Ps6@Lqa#QrmXXf__izTIYZjj zo)e`!S}B@Bh}0XV)O_hUtP44_l4oNRg>5sR=7#VG&vX$hKnNVUGA@pn@ReSt1ZUk< zLWoi&OP?5E2+%16R0a)x>hon01h``o#~+`>bh!+c1LgK?r05`NA9GhCn3a-$lyg4k zLa43C=m=yj3VD2ms1@d9oWszem!*9OXCFA6TQ8`p0X_8Pz-;Dc_-x&w%c&WJdlI%d zcKmQdnQa_%0!$Xzi^{yF6TPsmrgih9-STQIB2NRZMXtA7m*J~2oFn%|Bi~ELXAyD@ zX5`vVX1mx`LmcaKQ=x|S=>AQHd`Bv+X76&EPX;8$%=;twdUgEX9qE6ZDs0;LBi*iN zx??P+UB9$6<3m&vs-@Z6mZ_iroU7!-8!%DFXLU9wXvj4Fw1IzCO3OkWO!OHmq)-apdkBkHM69-%M z&cXKtqwHI1- zkHh>8bM#m7Tp@ptXSB64WOl?1A2LPYzpc(qm>S{=3LzpF0 zjwOU6CGi1>4*&3TizA^0?qMCT@KQwVNL0y@l!*0#(0L%q;QY;DE zt6%J?7Z_j#F6OTwT_Tk{_#PtsuY@4ae}KUM(I@&pEhq8)S0_pOpWA2a=HpGp!~g%j zob;cA{EsvH|FWF)pNsyV%Sqh)|6)}CXXT{-D>^Xye?tcna}g&*;}GYom1xB#zT;{c zlW_+UY1pJXL5I8r^5fCc?qi2E_(?EOo}46^5)dPEW#InJM+?z5L8y;nGoq{6$tIKJ zG;}zfxXBJV5uK9A|3e41&|sMH|42!;@UVg}{*1(za@t}rW&84%V0E(DFofM*Bx%Z~ zw4I`Ik*jvWndgNfwD*1as%N`kqFIpTXfl@j`Z6+ZGZi63E|r9G-<2stnSS{Kvfyk= zgz>Eu^*Yi$GBt^tHl>eohwC*K6S@s|Y!MLyp9UnS=GgKWMzIXXgB$Vyk>2zxlHI-y zI#_s+*GknckoUUR9U!CJZaI)hdz@R1NO%Ikqyx)5sAPlHSKC;_Qb-o3vef~0tyMT( z4y4idc@VPt`z|}u;=3cr%EUCB#q+dOu~o8sGtiY-K`LF5Oj7eLf*u8a6doRhUC;}P za`|0~vX**m4vLao@5*0`Z6!rf{H>O5);8|aoX`NjN2jwqqwEemsfhMHvnlJ%2nz}oC;q6(>qF@xYbx7hc$o7VDsY~W6 zs!d?0Bfc&v6RUY%unf3nZa}b`nz0|nVkutwIpikNB z(X*Hv?enC|WtT1KN^{2XhmW@S$0$z9SYnN+vKXooG{_a+5 z`84YtFBcYA`C$-N(mcY4+d^UjimnwVr{|VnW?iMAU9$f2&VrMHKvpt&eJf@(wgDyb zfV$ZePQzvEW_0G)H8RiFX3AfTAWOJMAjc{?+T`Ne%o~Yp?9Y)mx!EVf`O_VBDcch< zhK?qVg-ilo&-+$dywjwe6G|D3M349pLiSg!KrG()spviBrG}c6D_TCg`j`(p?$x_F z{X^ncI6PZ(@x4AAtDyczTmRPe=N9;aw07UZwpU~r4<=x0ibz`W2ia#aOLeL60d0)) zFI=Ji*BC$gs=SV3Yp_t5rYVcoVuJzk>awVze=F9j5!DUH?o0g;eevgR2*9gH}2UIZLHf_4<>X32_dt` z7*quVA)vq<^wBQ z1y(Q#khCk0r;ez3znWvh>cxkQ%UP{P2ce|a(kM_?(zz=l(KRVbjQ-sDN9df@KXe+0K#zP z6p0K7nCJtW+g~zQes0@i^na{hXYEvg9+Qn$yJ3WeFKa1#5l65|VSP>KUg6YsbJLWl zkqi|?2_5x5k1DQ~?YS|LoHuObov7xe0-{izSVjoi*0=e!eug%gI#k$U;*SB%gg4Dy z*40}H5L&e#cF7|=EHN`)?!pt^DUGH&H%XReoBzDGP(l{3>+%bj7ey5&B-g!n zEv9#mJXWCx%CzcJP2xZy8tk&y*>q+MZ>4V=5A=G`GckAkTxUkD2m5IB%UY_L?`gFH zUCW_)w%*Ovq|b*D@oEmQsp-#9f6l=1wP;+eR}y(2VK9YZK9L=wc<^G|FAqzx&49;t zf#zS|sGYlcl(}E>T8t*~Z7G!9oh7ZX+TX@EMWd3!P^y^>z?#t%aiyJ!w3u&BRktE> zV^{peZIf2Gi&ut{Hicy;WKDom;g+UX%!36%R-kLMW~W!8M9t?{Faq4Sin@vq0~=4= z_ZK6ioJ>8S(s8~w!~LJMmTn@tTO!zlw{e(;bPUt@&v*q`zKdGB zZ}8Zbxb|1J@mQWsk%nimwSf{x9IP?tpa9gWL-7ihMzvWWCEzVo@7E0OmipY?9RGas z)N$kC;1%%VVE>DA^l5Eh6Cix1b{`AtxVHB66+m@|t@S#>Uq9rMiM3MiPK1US{>3G~ zQ#GD#0i#;Q47pTNl18o_vF&6@9OR+E22x97o~lxV{=tN?EjD6+c&l0Bzbn;Zd|O#| z!#xfi!D@J^ORnuwiF~O|$6QPTToq<&Xsv3p;b9x>dGfzF-Qdk(l)G2f+@+V^*(^bX zzub7`S{0{hVqu|kzNwv_CDVLyH|uhSesRjISx7=|+&`WPABVsdB%e<>Aw67U94F(S zHfC08RG~s^o9d$LMoQ~P;@PG@-f-?9182^zw;G@$m25Bd?!tY|U6tQP-)S5_UH!#% zy6y@+=&s){)BJea*vF)#cCERDbuR+o80tyU_&QHGf4z>Zn25o8iJ`Q0huuq|Bzf#2 znmy#O!E7PIY>PDOg$mxv)Z7@VZ(L2D_`?3Z+(jmz<8)26R)MR2tmS1K=Vt@jzu_&x z5vu5}c)8=5rEK<<+?k+S05#D(A?C7M<>k`xifDf_0m1yxKmznQL8gQql+QYj)wJ^k zPIBtt_-o3+Q0SNU_oSoBbmbl+<<8JWmqGd!s>T()mU!+axRGg<>|J1EBh}b^s$K)` zTe@5B{(Rv{IM_TvZ^E6mgS)o!zT;+bsL{RffTa_FO+2>T)5ZaZkEGq0c}v>U%pwxCKh?xQ%HW@botYkJd4HZoc}k$2x`5G1WOq#7b_(YUs? zI#Q~gef^*d|EDp!g;zn38=j2jY!o`x zq+~8L8`)(VfPI-tpJbJOF3h4UI~6F#Q`OhH{Yw_6JD)a!@RR^`GShNc&P|*uB{G+I zs_E)#p_)?h?6(+ZK}c2nV=lDeqF`Dx^OL!v5oHlMVM8Brxn@E}RFaw62J-v9~Zo`n@vq zZ#b-+pIZ2V<)C{z3r#(p2y4Ou3&@__;r8CBuVOL zbT~d>+`lFS^Np_c8XJNSYE1(+CVP1Xm~)N0u==OjkOya^f&f%R^SLV(pLsbv8|(z$bVF=WK&NgfWt1U^3H zGpW$tQ@gOijJK#+suJ6%P`U2tz7h}xN%+sD=e>FwtSw{=t(4YhW3?gsCyz0)ao$`Z zI$h?^vu+1n`AR7jNJ_&7m2z*Mlmm1$gDte3UPomw^HJhV>YG9`vuaeIeQJgztNhpf z1?B@;>->kROEzny;%~Uuk=WbOybc+?>BIISaprkE~>OjH~LfMwTfFw^;LCZ ze?ltWXg7`cp`s5kPd^0?(v6w7IYNxJjwAnh6&|Kaz!y?BZL!s3ChgRx0Ar^blit^B ztn~e-yIXlHA-B69T%G{gRiv}?<{cj-wV_Se3+L;<>@ny~H)(BLXD#ug%z5L? z(FScE1ss%E?6O<1_=`K+KRa(k?m^qwG8@@u;w*_5Z4`OpHErYLtgV8n8OIL;$Zx_n zAi-UKZD(+CNfrJ>h}WDp(v&ep;_3uj>i-ogOE!XruH$__(+30J+Xzf17mgolv^lI+OeJURcc zfR}v&g%D)M^XQjk^fB`~(bJp3tl>LFvsQ0P*RzXoy((i!xW-BeF)R?3o`jE2tNW$I z&#WmsO$}BXED^MxSG+B_{jfZu2k3R?%gyx`td9N7`Jpa_wUVW+6VszV8MR!K+QRiW zNM`}_-shi8C6%(a1yaIkvyymO&hpULSk<5ziFrLtKXZ+tZdT62 zIqWiqrEYiQ`iL`oQ8k^&hOj&4nmQxQY<`_(B>Xo0>@s*T+L);O@fP}acTmX#=wxd? z+p3+_Tp94M@XAe<4V(<)KjiI_l#S@FrCF>E+&2gTnwt#9~cOYeF8_d{Jd%vrn~yK$akyP{;sS&AXUm}ptyD9zRtTZ$YuZPZtpO% zt5=d)IKvIeM#)M}87yg?=f*;&$4Ij0)6?LguO((|r>q_3sUhVKC|n7SUk;kZArq}J zT78cJIG*9_b;7-K7skA?5^kJBk<20m0~C1d3Z-j0;3TCOOFX7b5r;JK^|rzJ?(IuV z$r07&r;);aAL-gnTRc1%ZLlYN7*Kazn0Gxu`OLZqJnf>KtRWEvZ*jBaiG?P93aGzk z-$=0ZZ#Cr$rqXWW|`O&h-SVNn$7UfG&p zC52ea>3ky1?akpklC%(dgphfGH0OB}-l9Iia+av-`--9NDLJFOI@*Te0+Cu1>Xn_k zRdSyq6o4w)yVX)zPl#h@3JJ@q1V#8B_fmEfY@Chzt{h690~OQ#EQE2jg)+1!*u&W~(~Y5yun)5O3a>(0k_)AO9KGovP+;Vs7N zFp=%%&v-n+2kawvDOC7MwkC8oP@f}IN5m$f$SIMsNE(YIhM{zt&^2mA2n2+ zt^>W40V7U76LC#*UDH7cJu^xK&XQU;OX)gkQ}WFOuvUUxr5 zV?Q&l`t@Rn;1c1VLfFAlig$6$>s%^!`LWAme@@X?^IFD_MuOP56#GVeg6in?W?(|^k^!o+WX(pd+QXo^sktjM_uG{lhZlEV)aM2>Ao zg;C9R8TQS1)c>CTwf#0x@KR~~a>)3~jG#gzXJ1am9V&JCrLK@q#n1`)@o(}IIPY1) zi1p9ekLu1Jhs<~4d6y#tW~bV}C@1u+D1*(TnTrzMETn!g@S~K%QHjLD%L6rrOoYfG zlL+vUf|6WB7$}IA>0nChf4{?NW1&+vTtI1*@UQs>Qe;V!$J|^)2PRx3i83fdnkx93 z5fd~t2qxqv0>oGr8VbBL1Q>-frKzzYcKkfViL#@Ll5^fVC9&^l&YO27+2Syx@uXAI z@xl2EQ%~?+8;*$KOU#@*A##ia342G9CD}7T?#`(zF0Ok1vQQ$6%8aznM z&#Ylx65mf*Rm^F6i>{4dI`FeknIvb?8wSZ*xr!0KB)c{hCr0Qdec)Te;KZA2Wz!L# z?i}Z_YjkJGAL`=FouYY_R}hEY$$8)yHl)9^v9h_f*_JtnoqEHouo;;~HLb5-Ei?%R zq=UusO_PhNQ<`(Tqi(ip`et5W=KGaEns+^pwrt#?8JU*Aw3({n#5RY9k2}8q#d?uixXR_KIG+N##Vz4MzZ#`Hi2RrWWddq0DNUeewoWPGLa}ly+zExM5b@FT zoABrGTVC_zh<8f7M61CyP6FTyUB^P2fmCRGX>h(}rOsY9wQ*I;>j%THcFlLMQs)6M z-&D0iWb|}T!%_tF(rWUKJ1eWy+|~=azw2#?mCza&(AyVj70)_Bi>WIbZ5Y9O%@tJh>Rx*2cGG zVcu=5k>}CM4oR1ct52U~+^*+3U4Z&anLgTi!7VX^PtmDBai+NQ9^CJKdf0BVY{p4- zo+_0ymPVk1|9xNQ1uKtq`IV56c*8FrawTLPSWnO3s|(D{ad1J<2PW3VXlSYr-It7{ zO8a-TLsOOJofNGadYl{ul!XPm$2%8g1)bT{#qFJ-w`_@i zg@YEl&qgPK^C=Z1-rHJocytIAnnCh4~4j-IhwwZV?jpZ=0n9Yu=`d)I16p}J<5Nt^hTHzA~r@|*ew*_D%^pmR4?VEmJ{vr^nd`$SfbUbvO)+;Zr^ zvBV=k60nA`5|&@r4xJLgH-us0eh(e|Er&xpI&nRT(7+=lxe3W`+(O>qyE-O2vJqm% z$~U@9M{I^VXH9iG^W5O!-wMq$KjkI{cyq)00$(&SQ3<4o${ zuFGoBr*9tF!Fyp&)Xva|o>VYs>)>|Rrr$_*DmMXg@M4KeiS_iBGs9|PB%e!t_Y}|J z)pPKaoiZ41XpF2U7CuN67&-9GeouZkVmCW(<-HjbyVUWto3rfyR zLoN`RtLfKBlR!2CHHhANxEL0C>@_xwT2>mZjg#x}-VHTEtW7F!;q<;v?Apw6CCh7} zq#N3%Z>_J@ziJZ_Lo~PS54^I{-#4?Aq1q*=r*tN90tu;>8`s%e8zW0E@zll4<$oka z>BRpuHt)tjEjds(-3^7uCoy%9y0M8Yxy}HYq1s8^_O?N%clw?DYIopVz2>t_y0Ogl z;}QEG?h*_mw)%gztnq)|tM#T37$?KO4L?Wj`V5?j3~NRLb?7gR ze)~#&K=O>FHvbikb0+w=^^4*1vymG}4W1v0K6ymDM3N0-02p=FWSX z4x`Kd$z|NOmXfA1gu=gNoUIXcQ|E)4R92!rWNGJ=tiP(@uIv(N)zUMFm)s*Xagd3;DWZYC zb(;fs33sW?!kBAL!d%K`Rlo?zv&AGzbFy30NY14x*FH!o(LV9{qWotR`fp&A%!e&C z>{Q2h12+}7drulMi;%yCxkv`K6WlpJdWb)tVJd8|{3$uzUf1$@)p7ElOI`W?y|27a zjhFdB=T-+TaIuee%%;~hSqFl{aB@t;*Jt?cm62dC9;Hq$%%SU17)@FvX>(s^RJd*F zgXGERo1^m%(&O%4J(q|6A-ik4&+P2{OWEVY&)Ep_B-xj`=~S=uiRTzOju(Ql2wtK2 zlP~ao=6V^i-Z7Y+L=r&R(9CS2_jbsU5(@M&b=Ze+kkbG@CZr@P!uCmh5L?mHl+QY^ZCpunfIi2|jVP~e5A zDVw+JH_yQH&n_JDm}`Dcjiy1iYH^Zdj%l)Vjc}ofuR@3T0#&SeYZT79f9=ssyGfV)@? z>-Qbn9ny$^R6PSNI{gv79}E^LO&{7Nc|B9hanp=J_-7c6-|T)bqPWT;Yn%ODa~&Rv9gXJrKoUODY5?kXysv9T%5VyU zPkiu;_?8=HWh*9>NQSr}cO##)DT9H7CO5~v{zh?3EPW_3@x4QP<5a%PIg50I%Q&iO zf?DbrzjFqdo6wQIf6!1A{u>FY8@W$;UW{8xtH8XQOfF0_{7kmKCq*>;BZyRm_^iGilk`Ede|EJXmXxKAF?kkM=FTNsB{oT&TbVAJ!_v zqE3S1H!oaMK1ZU60Q+tg#|au1Znf9G?jK zl9`fbQKkD7YZ9^wA?fE1<#|XpAqcFj5TZ(28ShIoxcnn7xHaByiq)fJcECclfo?7% zIeIc`0nNLc>9XkMRz;FQMRVsJpGDjB@Fd)+a`#I*7beDcV&3KA-tX^ObYF{NkHtGi zSouGdpLx}6G<~)LPqD8p@?n(^_LTL|luqVV+jIg`NJC=kh zjz|l&aKa6kr_w{$3;822V&ZPp$CmN=O>Qed>Fg}!sW+B`ozGB;{6l$r}`G> z=dVu2ty-X*Ehib}^1!$*sfCb{jHeoX@|D&okq$8lLk^o7*GJDPVV&-H4C?^|_f)*U zlDwU??rO*!A`^Qxs5fL1W8}96lgBhakj}S+DX$)tgu7;&3oW>g^!_KZ5LT2HS`UJz zg~QR&K*MU`P#ECK>EW28*2>57@{^NK3gPlW`u*Td3D$w2u8}F&9GFT}UkK}44re5; z0UMP;X7C!(X{_C_3}X;8W%%YJ3#?R!Zb>IdH7Wj6b$X-t~sLwu!X3nq| zqaNaQ6`th4tTGx|7%#8QDKIOfGMZRTeG9Okf#NPuUTBBuO-8lv1fcFjuDlN^#SJAQ zBD)ZF%}B2rH0M+WSCIa`zls3kXMuURc`8%-E_P8QLv5;gGT1f}3;&BCDo z4POWIjE1U+iT1Adwp*5WgbueN-@-TyQ6d|Pzqf7l)4_Q&ZtMc#GC)u-uFY%`Ho^v6 z)9?cdTvQ+=gpM2DnkOrk7uL=?+nE1=n^XUi>%ggLE1s9|Js-*?zd^VF*?Yl*9hM=A z#4NfLJR~s-VNNIo4b+?dz&m5jSenk7Kg3J+V4iO?qk;7tcJPn-VW8OIk%|?P=djD5`oF#`vIW4rD0XD8<+i4OFN=y5m4bOm}o~&;_kZ$|?d=&p8 z$!2di#lYqtPq=&{8_&+ju&-tH=YYg4o9Y|F_x|Q#+eS$AQN(Jo93uo3vimdDaIN~= z)}bhYVLFM8kKnJ`;RBeR7SuI?6X-w0XBXh zt!-}fj?vLBw#_2-y(VKldo3cW(2c2WAExD+y>I)OGoa;e(R8}qMCtjPK_L#L2NF;4 zEgeT`w&WbY!VGI~kEYVWnrOJCaIZJIkc&M7E}N16X7f+ZDVBiUZszJ#Qa??>w$hee zZ{b>tzrA=tgEsE&3otoNf{^-W+EGKr_rE(k6SJh8*^BKL-)$~h(M^OgO780=7s_%4 zuT}#d_ZU0O1h*Z-xTE7&qA*v#t@WwwP@6={>2s69B9Wo;)~<;`&Hlu11%_-F2!4xL&>Un1=hXu4_#9_(XOo9}2vo`mM*)^D%ZlorYhhQ3#gi^Q+(2|V-$ zLtPm3cWK|cd9C#KR=&X7DAhw<@S!x%SEVnV%6xS1`G1a#pROjI?k1+G4l=@&7(9aD za>wAjX^m+D%x30eVNv3g(9G^HgT;_Ha|09t!gXF)d($cpy%}$W0-}C=LUZLn3Fluk zWr79~Sm{0{yPDYR2q-Ohul-cmW>n3%qa_NlFkz?!OvR6aU!i zv&DO-S21Uq`9=Fz5j1M$M4idQ)0{`-*lQQe7-guI{CI?G8=tp4{85MT;~sqh%qk|2 zQkg}3;Uu*;%1QyUX$E;v3n%^qTm41zgH<}E&7EigIdTL_^oS1}3FvD= z0ln%Y6+FsCV*#zZ&T(|%SjI;i&9u*ck8M8E3(Dz4+6#f>F=zGp(LkRSJ|I7~F!7Kq z#QCG!$upk34@4P|DXWF-R5tyWu&uQ5p=_3uDYDS%8JOu!*!_6pfCj=g`Pld?W5c&C z%#WuP*C5I7T`28lz3*{&cVEg${}cJw&aX$Y*n7)(xUT(14O-gW(>S_hAl0ANCdHC`qa8>12?A1oc&I1Oz+y zr92)pVU6n4GqP_HLrt}jH_877yNF=tQEja2j%?l~6MLH-%gie#{OGGeHND>mD7zf_xX%0G+9@~g_4xJMyW)LWN- z+4WzWr%!H>sI0Ysd6Gz&AX~P7RPt((LFOE9?sW;XVgL#YDaIJE+>)OXn=~f8h^`|R z4=sFXpGqvU-w;9)ZvhW+*|O#dmba#YZSFQg$eE;;@acz2p%I~lhwxo&1oMT(N)082 zb_Ot}kJ7II(xinb3S>t;ePiX(@M0F|mSb>-mswN zz0h5&z(K;9mea6SWU_>jt3$@z)t@RoCTtn#_}IV0hn2^0Gw9Z=P=^MO;4@RdN)*LP zNDpNw7V>itO%+3b1P)s<5TS>+Z1)}^7Ny0y_}T{++YOVVw{9xlJ!H=AqMx)28wq63 zm0|F8^Sg}2X%~Hb@|$_k={h33xNnH>t#YkCG!N(R3S#)~(PhkDy@;FJ#CXoV*om&; z6(Pjr2l~?(vjsEFsS3|~AAYjvOGsA$RRwYuOah3Jq6(2QhU)D3gWIkd=^E=8*v#;T zqV&a+My}Pt#QF2to4{e)M8^=Jat$?fS!`^>f(Uj1N=g~FWE2_i0@#AAeQ&y$NIufx za#sP>usMpZYTAef8QViKba0VV4^xD76Z}^k2!`t)bT4KE6bcmKN6;n%?W!hU#s9SF|lscX= z6yEy~hzj+N2S<8oWq?*3PU4e_rcf?{Xdb3wGGbHHM^lTAq*p_&F+-^&6y<`i0UeYX zq6uB9Cu@i_c0ta>l{z;oL8Wr>WYCTbY21V{yNljM3kHV7oZazKh$c&|(pBO`vI{IDfwB=M{d!@R~m^*}HLtrq|Y2vQE(_@hk};ef-98F%Zi1h`i(H z>-fKOCDtZQiMzJ&UqV)+0m5l^ z^dFTx4|RLLEO>4Va}|X5ypw5>B;n>tJSW?bY#Yr&s;GWQ5Vvdb>J`L0yeG+UI5gkZ zP7U=ApcR@v2pmJHohA4}@29-gjh67+hjecI>NeeM$~8lq*4{7k+irLS+hxebUU}i| zX&VOpYe>ZNGw^&Mhz(=EyV3gf%h1S2N2ZL<`zhFHh9%JDX$`zvPUwz?BaMqwL-!*F z3i)l7WB(2y$>tb!%_7Ie8TVDVg<}5;$xrCJNjTxclY;RDEH%+!Z&q61qh>0X3Dd$D zyflT_gnOI|#e!XSD9xZ@%C2z(UZ$|F^N6|nU-PQd$C2^EqiIr2cIscL$%WQR1ol7G zYLywA@aXY#&$B6{P7>h5;eL#}uyI57R9ng)rQ}l{sH9&)QczLJ7E|Vvq%?`CZ|;;W z6$27Z5lDb--bWdS87V4==K?+~&fo=PYSF#T@xeTOYW6)bWP#*Z2hK6@6mTTZq@X0z5a4Xc2dbm|LPn5{2)wg$3W@68ZfJUi(MA{ zK2IsANSS^`fKUrQM+)CfC0RQZi*!5dhvRo8z3zvClqk0*v1ug+4)G%^vdE-~8(b<( ziP;|r0?VRnd^hhs2L>AW>aIeU*uN0K4i%X6LvyL2#hDACY~`FcetFLxvhWOQG(*Zb1N%c0Yvrm?e z13UFrUb8Ct!+sZgqXKwtRUnY5EEaWnL1eUh(sP?ejT{{f#a7A&dx=wUPkWV@e-a3k z`@w1EL*we`(%Nq=3udPTm$4fgUGHOaXQS%2U--$}Xc#j492v3H@^MPu{Wav>TUm>s zfWep@k1G+_zVK*-CA)b?m;l^go?NUiwETCSFRDJ3wdHC& z1)+2B^EdRq_2F=Rn)-Ka;~m~guXFJ@!j~geef%z->ndlpepQ-q-kI3q{8B~>iN3iE zc)<~fuvi!fi88dk8=Km^r#xZp+tNkx2W8aM=u^dvsS;+KI+ zRov>xX9C9fC^ChuH~Ph{%k(TsgOMpqBAe@Tpc(@gp`u!_33#Z92w3;n`Nsmj4hV9RDoxKM%L?Qb5@L*@^nUg1K3xygg(z z{<_{u_*ilZ%t%KS;6se>DF)4)K2` z|9km_@%K6#RE;5Bp{R){XZ71V(&H{FW|$or>b5wSp=jIV6T;pBn6c6u4ftJGL+@cIsKHdIJ7hOs_mmlrGlzT%@LYgb+=zB}E z+q@SAR|s|reM#uKip$MIjScb|IU9sXo`3*hs^(Ca#;T>|P3*6-p*@nS8aR}!0uE`2 z6dXP?a>w?L!6@B)4X( zf(tY0cNK5#YmqzzF`uW*l|m(325qtn|7 z(wlNrMUGS*u1XZX-u&rHZ*a~?&Db3#Lc6VgK#V=oyTwd|ALHq8Uu4|G+Y`#z+yjS- zYFD2Kn6LimUyQoCZ6nAmPIDO`yz4iAWm>zVM-#nxRVi?Lp|B9SjoTsQ8u1vL}CcPhnu zP6&lhCJo3YHNnRsI;Jg~8`ypf7#T^;i=*G*P+2c3Vc?NOZDcpad}tk~$j5mHu}Co( z>%6Z*G}>(x3sI;loZyAiY$ef1@f6xx^$8CJV9zRd;fcMO zuZV@!B3c3N+4`QjaM%K0MJN0F#Dzg5vtd5|;brtSbF}NQ?@o!s-u@oujh^(XJ%CZ% zBBFt5T$vKbKZWUX?T{y8 zHSiF$}%y+hd*d9aCQk1BeWi zoXyNjqGC#MsHuvhVpS=JfjSfP1!nOrRn^?j5^}KLs)CN{xDkxB6(&WfxEjc{50MC? zi7RSWPKJrx7_}+~n(Ptcs(QKFgbo zYd2{6EhXT#{HoNybE#J9vLR}$6k9TPxt6=)4uQ5nG4(fg!&qvo`GV^H4QMd1I+uUG zZ3YK9<5V-oRslNO?abMvVg^2nkmi+GH8sOl|M6C||T2*G^m6Og;2T_xuV?erQ zRQ_CtAD!k)iOrg`s}Esl6t-crS?y6^2a*4LxucF_6HOZBT1^L5jOQl~qramM$Uj_0HU6$lBkQueG=D4g$UWRL!QgoYhGwkjkiaE)e^$mORNTR+k zwMnrO&Ym~J>s@I0CRJX8b@yw_mg-~mwxrK3%3+KhXxc}2gEO~zNoyl)k|UN*KHuC9(j)Wukvw_~A4@$l>}oqONt$*io7>1j!@Ob1PTx*J`W)#F=;179 zb*~ge3LR@MKP}FFuf?CfIFz?L^Rcxw0X?6;S9ZRy-p>HU5ZbaVqcLI(2h5mz{)FG) ziI3O`^{>s2pUmSbCG(2?J%ly+J*L~@w7>E3|4(CD1Cc$!mi$LChE;f*(@Ik*Z^dw2kpbnh|CeG=y0>|6QE8^Njth7 zBFAax;3&nq(H|QT;qFtRW_UHKjV=b8m#ijvyfwj%B1|z!ak0e-SbQ&m1m)BQ0t!jSnPiM`1fEp&Q6fC(2MR3Yui=l?^gx%M+4-E8O$qd;J6)%ZB0(*9}iGC z!v2Uzyi}iMKHLT6UBb5_!Bo4C6N*kz_dCX>M2!%E+Ta9=|58W(mPih^z+)j!pg$jbCh2iwmQ zrbuo8%82t14dXjb{%k+<%i&nYe)t;!eVLCfpB6cvCxfg)!=>gbZQyqVE*9?^VFA@H zb1MCR7=pncjBu-Oaj%PLPD;prQbpa( zK?~AAS8oT^Cr?5_AS;-EP(nF#BEi#bn?^w4wZ#^8k{^`Pz)<5264I^5vGm(MiO5THXxjq!nW^!Z^GXOJ&In1~os}$A2K(CpIZ=Y5I5dP%aiF!R=;uSi>Y!|P zcN_#9Erhpho$ADMc->^|Y1G|tl;j_*I2-^~=QHMG^x^t3tDW{4{u}1_m|9(ynF0}N zwv8AoQ6~nu9~B~XvdfsBM0KjakNpp)Q)nS|l$MX~l7k15#cRtnBaZ$Xz`vw5{wbEK zt<&|7hvDo+uM(-qlEcrV>{`;es;%lKVhda}O0uORDwK!t;t1C_OU`1~polkP0Gy(*Y}m2KSrf0{fMhhUU}K zlE7_+{Ozc`#-zL?;KK(`MVvJ{Q#J0!i?ks}L>MS)P$o|_8P7ozFLg8+^jYCao#vFfZwPfvJ ze3T4*bQwa{PU&2m;AR@ia)s`8wbZf~!|Q-3YG?e<(k2Yp+}c}wWSBNK6MDP;d92nj3;q(1vvBjYvaWW!7Aapp|>LnT+|Al^zcWn5*%<~ z_bQEkD2iwXO8tnY8_U-!W5&Tg!xatSxvJ?Pj%mzJGc}3=-lo-6m^GK0jx&x7R;JFP zbro8@c;P#%m0R7b>4B3Z(*!DxF)3TFzxpW`Ut0!2i0`Zw<=5)K9EWLkX^|s1#WG$7 z);|jDxqGxdH#Sy1X}AvPD;+(d{+wKW4jym5n`jA*TfMZa2mFmVuP{bAYPq{50Wg3) zq?*2!l$D!O8J}8NixvT45Oh3Yfgoj}VT}kAiISgz0*Z(BtD>0!K%q4q=Xafg1yV+nE12wfU||%6MMxq-k&Qj45)eT zv9!m&1X0Y-wFDutsr;l*&gevA(hPlqt3z5t%#x>Ja$TOFjb!8>NKzx zR%HH2US~EOH=EJx)$68@xspjH=7O2SijOB)*`*!~##K9L*3!Vx(8(75{6#b6aE#!KOo$-%+*DzVg5(2ourpT*$pp=asJ0;6JX>PIMA z{3t;QmV}x^HFuhqb%ArB9-9k2_9B!hqBxEg0V;LVl2Y3^i#N0*jLMW15Qx}<%L7x6 zl6-`E%jz>o-1oLhI8iK8-s@_eeZx|!*WisqOq8yxv^TE2o^G7W_q4~UG;A$~P&8ZK z8A&}f)x|#&Hw@85=wb6cLRZ6}{jA8_p%m<&$#C9Gg_ww?6kc$6nVFnvYq!LBKS;ty zzcH@yb#xdJ!Dt?2$=#Oj5gfBg?U}DHeBx`3{h-j=8Zp#mG2UIi9$?*vXM4hz$HQ^cVY>oE6}#EnlneKS z4+veEyElAGE}4U)s?n)%hBN>#J~}UEo)OCffYneezl1;Q8ACbf4#L1wHx$Hbk(k0L z!xm}IH^E!vrzn`M*Cb)fW6oa`R?h=JPC@bCt6(_)sU-d9n$&-=TE+=^yXn8Gmc13k z{%z!cUA63Ai~Q%E{jX5LKp+&{|5oQ?m4|^Y`=4^b zl>h%OnEyR47~1$~QIe`X1mE%q%zvyf|F~cn{<8~4>CFYh)&B3gU^M?L7fizcdtESu z|6>q5_* zpAVFqU?W2-kQ25Zk5*s@8k*fGX_UkcurE?kDacB`9dgwX*`UA}J<&Ju8fc2}n%rW` z2L!%~%kePHJtU(3T(K&LpD0QeLg$9*=6EqFZV50Fr9*M&H<0OYG*rYQkbblG<4eb} z(U>YDQmS$(KHf>uB&{%9i93wbd+W(t_9vY%gif$~punRzGl!wD!zDT~QoGOrH0t9O z#AyWhBy=)o3!LyD<{X~WjUOck`}K5T^C2UBBVoV$xGxRx8m5(UE0u7rF#`O ztqw|BCyvo(&;=mkahGjXmMCIOjCJCH_8K>tlxem?T2}mtSAiC~gWs*{77OQdEZb~n zi$77q>_+gdpNoH;?k_%!cTUMK$7W)hP6_Lbo2<{aaTu^ifEAD1OZ>u6+^>m9-D#Z) zx6S`uS2M%3HD>R&(V%RlgQEDoY~n~^MrEvjKTS|58$Ms~(GGeqiWSA~yjt`m9(Mgw zp&}A+oQSbQ5D~i{Kb5J72Hx8lfk?Fg=|zT-eU}acHeGu*F^??jl>ogUffE{ZMjET@ zJk9H@r86Z+a*OFO(-FZ4jcr6jeG;E;rDZr`8plC{KFxJ7(w_iT|0M+CJK8Ap(Iu4C z!k-@)=Y}SS61qJ)Cg0T4+2{%7-p)FXWbud}Z#AO8bH`7OwDZBi?3&+7SD`n{dmPV5 zlY|HxEUd=@kwm9zZM)Oce5<$=_i4No(1C!oQR5}UxQ6Bm@oCD*Ib@^ED)!c*mEUx2 zw!SNtJES?x7&W*RjusxS=zoj*6l%njYw>f=+GyvO<%T>?2hu=jl**z!p)!&!9f{OA4WERz+Ol;xZHdH8d@NVu!-EUy+-+ob4 z@hl7p01F&8<~|T)n)RR9+8|s^))z1h;TZjUk+H)uicxUUmE7dcb10^6s0gA}Bz^P? zgvK$%^k4LBC?^7tn5SP1t{+!HqEvd+5L_RrXU_H(Jt1PjG8Xg!uc;$j(#rGs?+jS>qL=7vzp98<*A1Ubo)DZ~y%mPXSRAS6)>E|#XU;g>@e zclh}dWf8BfdQNtu%ztC58-W3aj3PC9(#WXMgjw=PJkVmBph?()7yYQ&qo|X^fJKJx zjd9d)ATGELnj0fveGxF(hXD@4aMni0Ud3p+D{*5(4Gy4O?3G2nWl~RIpt-kV=cHM% z!A`nG0Bw>dagoof;LK}E-%x;13Mp>jDM7;{p~Zm^N@R7u_w&JE>{-L;F!~!i$T%hA zqGZD0G}kSKdy%5!z6^WPD0@Uch=U!(A*$V2!Ctt>rryYZtHFpP$h*IX3lieAeW9b0 z;o28;aEE=5y_zsCM2Bs_g)J+jp~ImO!O;}Larlt~$A?k6jU(k9w%h?Z7Bfo{J1B{V z6-1A7LylN<#v!@%W=R#m=~V@jQMp<`)a)QBYG~3L+|cI`_8Bgq6K~2M(qb1MhpB90 zn()aj=dG}5@(SNFq9~53c1VRVE*8CdudUSv*aJ`O4ugk-l=lvoawvfZ7^|3K!&g)X z;N;`ySYgqq!omI_fPIbA)W+(fhm?$lgiTAKfh=__#dhTtzYkEZwr`UclpczNS|DPG zAM!_C9Y7G zo?OwRLviA{W85&olaxN>%4q?g$yAlDQulAeFP6egmVG|*o4db@Pl$~y-ba8Cu(SZ& zzAsWZFT@A}Z!Mg3p;o7c-@?QH%oV0vU14UGr&QgTHb ztGrkj{ziiC`eJ|7gNQ>d>RAwLO_v0vftU_f#0uEm){>SZQE-gv)1Sg=4M*Lz>vOCM zCA77sS;xqzq5GB_1(z2ASbnNt{*hnQMp85{;Wb6tQXkWH@2 z87xUn3rUq)GC**oLegsr5(tVdO&I&UF2l_+h0-ITy1b)&s6#9e#9J%GX*qn^UkLY1 z_ol^Z7d$k~R#>yjt-2EL)J$#yCD}PpEV22--&vtn%6Q4~91huPogm>oCW53akBb1` zC+x~X9ab9ETMqOFBki?H9ERR#)ksc6AuIeKS^O+v>zt90WGby6CQ^*ngU_62-%yv* zbz67UT5$kY>Y7?jXi`$PMJ!5b8qSEgOmAnjgBCl`Gnn9=Yk4vU0SE%mgStv=^6M{Q z3N64PC-Q#RsEwEtFG`;}-TW)3u(^+m)vliomjwJ*X98nc9xhwJ8f%d#cVZ3|HN3%5 zqu%O(6-df?jLb^w$qJ0>y$q3H3x|CFsRsA#H0JlQcve|^H-V1Z6J_1xrdD2?kydKV zfTz#qYo5ulgn#m1i{c;#S;LXgJ86P7|CLF%Qix?JmoX1B(pNK|(-M94Mm-ehw`_G7KOXzZN*ZOWzaB6=#gdEe52xii+_fIBisP-*Kxm9 z9TfXWtFOBr_f}{$qSEd9qMjFA!wG()%lwIrpSVl>qqogV8+~~wd~DFrIN5^QcA*+K zzff*WGLltR9&K$6{1zl!6LT4k)$A8kMOOJ$B+DW#A$q{kXr84&!Xe{%C-AQ(#QQnR zH2bUnoVK0n)83*Ji(9btBCRX^QJo}`wzNlOvl%a|kD=wo+-Lqew2 ze#U*iq~V%RMHG~SAr@dFeedlQWVHChUAl}d{gaSXd^DG(NA;FJ9?&-9dE@@i%xPg? zP}hz5GcF~{G}1#S5L?bHyconnRrF<=KJ14u@swnEK6)g6%QzN?KG}*Reu;m|wkWl) z(EVzh8CWXKmnBaA`TL99tlg?UWihE-6|iCXZhQTy%78ct=v zD=8eq!Mwrotk#XPEOUfwmC>Or))mT+P)Q#RcW&2sH+1eRo9@ex9hhaQ(3T^a3DFsi zD8qY#OrzQlKeOKpkVwQKJWIIgN)Z0qmHgGKQcRD9fE%&;qY{N%jEeC?c(^#h3|2X7 zF@L+*Mo(p_TIGHzgU)$z;b!$Pe{3g1sdi>XIM6>!q84e*jB2Si{KEJ)sv_+)sB|>8 zDN&5AR-Iow_`#`CkFEEau>l!fjGNWt8j0~nSBz#3Jwc-hq6sm z*UD_q7B#s#w-ZQfp3e}@&aHI$2K@d9G-UgnUGd@jUt7W-l1PxsQx^lA344Q~hTo$~ z0?n%4HCTADOhb@vgm<;ScU%RU!SG7;7B%XAQ+-4Og!lRko>%~OoOr)2GZILbAzA~S z&;T}S_5SZ}tOwcuVuDho?ZZ-@;Qr{PEBh7m2|yCs&!_Hm#n~S=-HK zCz%Ptvv?MPeYxYYHh*jPt`^KLMxK2R%d4WXZ1S=7fi78aP`4%ct%1dI2$(gTDEPU% zklANsuw!!tAXwHp98Z-~$9||X1}~P7SSUf-H|{?)5k8l>faRRkH|^h1`?5X++H@yD z{@{i>Yq>Dn)*=Y4tIsB9D0?_;Ln$b-KH(c#|10b}iPnUUS`*JUT!H=9_? z2gzUV8n?|L_x5moAKG6kWCz!r++Fq%Ov#f%_Vg|%Kb#D@Onn{HhO}y`v<7E_y5A?C z4Zb3oHzh(nC)~^G^cxL~Ocv%mcaY#M)upYkf0YHxaPOJu@jFKN+h`~TXH7HeLo0}t+xOWV;t1Gw(m=F63tRkiKXge z@)EuQ6TD2KmXO5QQ+T@;Hh0W&bX)k~>YWs+(kYNCeW;-;Ey>r5B(carE4jpl!!*=b zTL+;kjA9MHmU1)1;u$0=g*!93@Z_PIr<&E zJt?U>fN-)Tgg3w66}&9o;8Pws%MOXRDGX5%j#p+Q+H!+r{%N(78L+hEer!#k*PN5} zSZ8p8ariuELT6y_*ws?rYh`y$%890tm!-mKY^zmfm6VSj!QL4LKopr?NLr;x`PRB6R*_rF6?hFS6Bj$D5EVq)D5WD>6bxswiS*Xhp#;A}bju zUCifl6u>SCPplphLB?^wUSY>V#E}Xg*Mub)m6L=?2fKk|B-JllIEghP6&=Ky$Bi%$ zYEFIK6^lT#}39xT3?( zNQzgK90EX;UB0V&QD_!e;j-qOE#FRRran?JVfb$AY3eI+d~1<^ve~~ZO0Z^6^}N5X zKv9ca{pAiqCoz6S1-Chv}E3(28O1M+mpy>!oBIs=>GwWKy<%0LX@UA z6-&WeDjGm=w81MYaPKPXUU8|0daB&7YE4#^rzoMsUZ|j30wYC8n;yK8LkVKjWkWkE zIR`7&m3wl%8at_Y#QVwzqvMg*{E90$PRAf*+s_TRrjgGSjHVP`p?Go$d<(QF4E(mc zwcCD%p5S$M63?lc!cMPHZB17yuKh|`)vNt$s2WKxq9~g}Nm7H`B`B;_sV|XptpK1< z{{7)o3WtiE?++du<+_?zkxSVRt)1N_k)?FXp>cMl?N)wT`IG8O14%`PFP^pYR$ofG z9CRu=D&h7T8lCphP;>_+?1^()N+yt{sHYVF(!mfkh;1#JM0$wLArw;xo(3DJ97NMv z34wN z5X6qnL7L4ckw!9}SkD9sv=}aC!Y!N>eFUS5NsQ&GDz&EX7R%uiXA$uQz!obYAi;b| zX4*5SG{Qq9L+p$)G8m^fJs3&>BSR%QGs#$j%VfkDPV0&=#bf&fr3!5|#(460`Z$PejL=p`VtSEP7Wi8C* zS2PS#s6^+UGs@#ATPDi5H&V`SvxClBV`21O3088XS#o9;StcTdIy^wgeuhdj8J=V) ziBDs4^dbyCaAcZ(z#0JPPx?Wh@nu=flZ`?R#R^60gqNHsHnY<^1ti3s8cK>RYnAD~ zBTv=@N5V%$RjneQ<|&0t)(=Mx#1SOawu;jErpFYO6+8@>$R>JkNa@VYFNR)J9&))l zsthZE6%h_immGGgNxe~1Hm1UZ@QSCg^MTYzp;7v=2I|t!nXKvW)RZ8S>j@gHaR!hd zR3xE^d$p^{yy;Drhg>R6a%9NShE+qb-%D9bFg5U(*Xs1xMZ46)@O^~Jcl<~q+*Mx8m6ShzNe_q4qElXY+J*{gF+nB7=aBD+` z<}j3EX0?hH?b<~UZFiY;UyK%<2w#yXo=>LEQ=P=v$89E5FfuEU6x9^R3cBi|e2|br?WI@qryBElXLk8PPJI1%@8t5NzGZ3AZkvzkcY_B zNP>S$r^h$By_i7>dWS04f&3K&7J3d|i$+T#3l&{1<_MA0J}ua~6N08yU0EqmY*ecs z6xdTDp%G=Sywn_LY*|W|3^}pLzn6mbyN_XHFb6I56@`#uNfpvH2k`GOrNW35F5EO` zl?fsi)WTtruunT-+eIUE=uQ$qJI4-?rk%aK&AG|F32`VKf>B)3;aTV>VDO-)$x;ou z;7eu(i=gU>gV0iehex|2r9di`5`gf~Z;#rE}@g8I@ zhEN`fPu#BM;cV?A?09gqQQ7?qxj?!Z|Uko7~ z=Y`B-xq?bdOl-cRHaVbKIViz|sW;JtUF;JocfyRfOefRP-`d7lx!;kgCD9>k-0kl`_XC zdyC9wB{!`${lygfj;!C-^QrZ)>FBv}mh=B4;_#Sx)}27M7uxVE@!gQY+ZU+SozdDr zaY?;%lO_Run30B_qzjl744!f7n29hSk>{2{j0$nG9|>JDpozgkiNR5ioKf?#L4g?H z`X6cZ7jcrG%9I#U#~skF!Q(fIIjkTw5}eV`8}uTY5uYIX2Ag|%A&L+>u%n5o4W|3m2%i1!W@(txE6X$qBFBLqQ4>ZIUC|HB4dY& zIl)81DZ?1?ld=pp^VpoAl_OC6nyC4X%s~kenuFC)3wARA|hWJ{i0 zOr#1wu`%1iatTEN>kDws#Uk1c^M;IqOr(5Ow(;YLVoDt&Q7T+nq}kFQl1YfFGZFHW2KShp3$zLlw*jCk&0?BCF%PuqGQI1<)6H28CpCytH33+Y@u=ix^ij? z`X>u=dnS4pM=EBg0!2CbP^Tnyp>U#%G<7m6HX#~;N1}5fauq9Tc#b+9h|@}@%zsAG z9wusmp>a9B5{5Kl7a|(AsBCr&!{{gxVaSlID2k0inlqppaHh#v%%6zXHfCT2~N+z?!^9r9+kwlE|#WT$7sX zEfBaRnoGY>wakkUpP3x3GS3Cai!m*-yD1iU-q5osp`*=EvoS5;<3&R@Atf4!T^p4# zs4i(*yTu$)^2x2Z!m{H$ESWdOlQ}KrB{XT%EIISZXr443D3d)Yv_nUheGL(_K@~bS zF;Ph}vrRAwuh6wJFJ&__<5I8)-nwyUn4LC~B{!3~fv^bUFdI)x>IW4thEY(*$k|~` zlO|LGR4{c848se*V zD>R8kbQkE5v)fBA0!Ff>c(g5fkdc)-FscYpm{q&hw6%Vy!Icd98Z=ngoehE(BSM|R zQkUqO3K9n!fYq5Xe$$M z)4)rez*Ia7AE z6ACx0^N9HdNTLz4+j~WV3J>trI`Ry{ zntRnM7DEvKB4RH?W#AKBI4l{2sRA~l#6J)52vQ0!ov@v*+DxNcz{Qe_2$kr(Iyeer zjSn-%BsxBl6Ug5RM7*gUpj=vCIGbNgT#?w`raDu+@P)HjsvB$3k|lR0LvWJ(?_b>E z2~*a`GHQ|A*{J+;k`vq~RCp2+Tc@!1w0M<13XkA{T`ti>DExvxR756j4HgUMsEmXb z3+mwvixkW4CY+EJYX4zMiIj<6DP)yDL1CvPmxzdt7E*O#v-uX3qMFfCzrwc3=}f=s zph_`xzz!oy5nz{8rCGsuKvIKBsg%H?tIFAT7$T3$EDRWCEh|J7K=ih&v<|@*F+g!w zH0-$!91@rWzGGQ;L4xZ{92}^+@ne~i8CvTsxr@Q}JxoD&8NA3|>3Tvs*-X48nkGR+ z+$XXYMLa2|!mzc?S+~N{&E%Xf5+!LaD2<$%i9g7-&7rg?Y{kGlF~dsV&FQ!0I_pk3 zr$fG0FZ4F$dit*+x5NbHWvQnea>t8Y>l=JUPOAH5`O42BcpUsn<|%;0eq=C&^u)wo z&qD(pg?_DD3eS<)NAmGcvGkq7A~5-kpV*?Y(-jjz*hPxsP)>6hTMW=4*hSkeP?6F` zE_fCmc^>l`vElT_OBd(K*dJXNsSIf!?GWe;Yet@eGQ@A6qbpH{g+}EZ5t0hWOEXc- zbPvlgh^(eZYuk$`qsuxH#FEjR*2@)I9%zH?CE;M$U z9Wy>tLLii|ue3g$jUl1ZH9b>`Fv#r-Q)Z(b{-jgfm7X&i)2as}LO0YVOvws5Q~s+- zsEyH8Mx^YY)Ig>p-mp}H*2&1lTR5Inw4t`WPcGi6N|k)n{;5y3afnskr5$$IPP^4o zPNgQj%|yCOJxq^%T1)=H)f#x!{J+bETkKL-C+%L=OtlUPQC1yg6Q-EeZE8%!!qx81 zC7#bz&2P)hzs!A6?IgNwJ$J|oVoarR*H+gO2G|LF#>@QARLyv8u-r`%4A<1@4Suxk z`ikxiY!4lUs7Z|1&f}q?DGGIb*o4y~EnDu4)=m9e*$R=)C4}zEl#nG>iUhw6#OGM9 z@T64f*wKEP96+h`?$z$~&XnvD*`zPZuTMDy@1toB7}Z6&q!f_JSsPVIzz|t8SXPac zwXK!0U6$}omyvatl}oxMU7EH*Y8OLhS>wHp$8jNzNMiHn$4aoKeEF z8+06Dzd$&{h#Bo6ybE0;dhw0clv{n>txyR2fL-)GI?+2L+&Gv{o{5Y`3@Gm+{D6$NqgJKxX z>wYCdoydTA zlJR7_tI9I#Wec;ZjGxD`;4SnE^xHFW$;h_ zcHbFQtIl+i5Y;Z_2H#wnG7Su0d6#G<*DaZT(B%`76VhlyDG5{5=%$F0`GK?L8WG+B zXvU8daeT3Uk#^ZUQa+R5Yu@R#C_Uh`(tAXd%j0)W2(LFJvgVzXVKubMw~%pA6%{xq z@WkOoHR@~cVPh^-EAh~RH0stF_$h9fOGHesg+Fc_>ntu5%lVS2ZupU4;tsb|-Xa{m zaqFA_5q7%^!B66CQS0ZDKrX^;4k#Cfz*TvDja7PVek@wWE zIoMA4WwBX&I>E%8K5xRnHjw2UPsfPY$ecmP{HFie6I1|DAp)QU0eB-G0GooKGc|z< zHJ=2PQ3{GUjEN=;IB*aGqhA3)A%RFL15gDHPXx86-H)M(36Vo0&)GHc1`XIM0~iGX zKq7*7+x^eg{YzSYfDj@Opn(V2azEMd5ZDSIaKK_QiWtE~u|W`$QxuHGf`SPMQyUZ$C1Vjm6jEI(5~A>^z~pNL7|v&6*i0@k zFp0wk&?uZ#6+n!P29enKptf8Y4ThqTXvA)BY6Vg1qcH`=qK|Z^Y?zcTu>|U3$J`vjXVeUOh}04C94Ey+nIn8Hxl0A&|nbGvW#jb}6-#Z)hr7 zuLFUNK882tl?Z^LL0>~;vqv`k;c(<*%i47!oqPvx8HmMt!~;CsA1M*b#j`oOhJUxG z(%h}BAZCd^jGJ1&BJF@=>b7p0DBPq0>J;7u4iIAAxIt)SojA@zxaGUxQ=aItD9g<2 zI}XzZ?kw>7AQ}d!nwaPZFFUZn#V>Q(fia-~X!t=8A}|_0usbx)Gr}uD6u*p9`5^}Z zaB9gfY=bQWKI}s}rL!TEZwCbsfM}Jsp}MgQr0zOsjB52qPh(2=N3+Ocfuc${YJs)#EAu2jZ~EZVQjy?kC(0~b|2-)$vtYZb z5PRP-1p%DO9L(_Jl;%7L9N`)}F5K$xPV>z6f-eDpZ$~{5vk4qfv>bUKQ7l|vJxFi7 zjO*kV3Sdy91EIkM-i!uH zedYx?3OiVxqS+f(5C*Rr+JWM@`V4`uc{W5EW;s?^8Rgj!Y{&-+er6D4`Ri7v9ORnLP8^`tKBuJO zp_pKZO1cUyx@st%m#VLW!{e9p%T%H{1C@v6;>A5}*@bY+s zTZ!VhzA}tsd=5XtUWqdBiEMk!dhnsS&UXN{T2Ap0GS;roxkMb!)z$ZWizUA4L6~s1 z2J(<$ng)DlbJ&L~pONKx>+gk%hLz-@nRz52C>DY3qTdr$()yrKXyEtX zY7_PE_~qfj@VC2R#8RkF7slWX<)5I0V8Pf+3q%kFM56~d#E6>}U~XB5u@}Vwo*}A% zBoYOgqY&rV!x~0zk&rJyVtAg*DnKM;r@nIS3L!B^Da|SonFqcNS+i7yN#+;BLJUzM zgd~P%;v2%lHfo+cJ%&UzqB>ZE4q{19fzbLSLg-TaAzVyPFg1I{22@_r{7Y!jabv{z zj~2_qnR}5|FUDB03}Gt}hj1D8!-&5d+EepzE5*|y9A-3~d-Ev0kp+(?>5RFXZUSz{nZX|y+~i;8mB+yHh{2qx zM1qhZt4^wl(`TqcU8>b`vvU;9;Tafjk6~V=V_`88$sk+BWV@1JP`81C6Dz>dP}Wj< zaF-cbL~J!I9)-M$N@0yL2|ljgmG(OV^6i_yj9BNtrJ@1s?!jR zR}-)ctYUUKvQB|7%OzOO5-Cq4K0ev<>_Vt~FK8txQ=PTh9-KVle}v`#R0#z-Q^XQt zX>iCC;s%Buz%~ZR%sB>GwVjjKX zbs-5ct17Kj7#Wt`feJYimFESh;6$EAn*+&kSQ3TKwyK3w)k(UDxY#v(HlAK2i%&DJ z2avM!e_^Rko{WgC8n#y=(s}_#Y`oyA99ZO9thjkMOshjBH;y7AypW1CwyG>~gIL|v z$qXw8pqaCg-$`f31+c2$*l8nC>s4-xRX!DD@?G(}B4>;5X;0F$zN$gMq#F2Q%@E`XtZCRvo#AwcTu7| zM!_Q?j*&74iVd??eEW2a$dpZ0)}0)}V_zmOWA{Z>X&a+fS$-fk*Y(?_7_i6e`a9DK zBUCdKtFO&lz1F%Q&1n*j`%Yf9Q&#(BVcnX|a>3)$EXC5Y@M`Foo|quTze*Qn@o)5s znx*WCm72TXrZ+QS$qd^_mcogsOSZEknqr0Pyteyq>|A_WxcH%&3BR(o=$a;{fyif; z)pLV&C-e)2W>V}yo-X|&2q%UX$VZ)+j~LrjEf;V#ceELPiw2ku>P~_kuG%5d$Q-LV zGsz8t`AoCoL@{L^N(IfDuQ*6t=+wIH!>bKWm*;&8p1U`nz}3b>XOQEEymAGSx_pfz zTnwYId>?PJPW|gNf0Dzz*UDb;(C4?WFr7sGtB?|n-t-GRP;#q6&IbeTErQ?RZTjSO zJ1>ic+n4vwB|6YYX+ysumt#LYAmlfmFK}PIKi*X^@TR_5CZ<`qHzygZRf=P<0^-bApvjA z0n6-DtrGt41p!Ai*Jc!7u8jkV(EqFA$PhlWJr8i?;!;#v_mLm1)?N) z%oc4XqC&4-2Csx6?bOUFG$G6$RYPFeE2#(#Vr?ib2&;Zy3(Ey>SeTHF39Z6yLXghL zNGi}_3aQrTP_XI5GV3j^2*g_4O{On`Dn$l^z)F7Uc920qND=rbmp z2+N|22Iw*cGU(9WVCRM-MLiHFX5gmuk+BXfu@Y{v7LbZehlb`U!ZIQ8B2f`0L=h@A zFnMbDoDRwT#=603a=qN+ZPFDJ5z zBCHzZ@!(el^&@Q%#&P*6@t(>;bso$tdGTUHE~d9;W;`v8Bq>HVvEb`5P;O&vAV^;y zlFuEo)HpIodj@qGEY90$kO~l3|=^s1t!B<+~bI|@;WbS z|1o5IZPM`LPo#Ka+#o~EACoIB=3_HL_LOh!EhRN2^FTZ^MK5znDGAs*kz#pcR-Pg; zHM1_?Db*VBWO!*9`cl6(?2K-6baH9dXUt1C?Aj#LZ8&iH)iaJDvAi*{o=8OW&$F6t z%=%r0!~%67EI4gZ+J>hXVAIS;bAHhWw>h)12?Rjo^4BKE z#^mvnKBI#^?@bx)wn`8lopbznbO76F;)?F-Zf(-+)0;sjV?mMZ#Y?L|tlBK|n*cEG zF7Sy$M&Cc^aM#edR5S}j6UxyF(Lkx9*mOiyQDRCXBP5JsX3=`i3ww--y>$<;(hM+^~ zAT<3F^pd+(1}_C0OvfV@g?mvk&UV!pV99WILfoGcF;a(O@AOehLsVe14DmEpmlZyE zbwebGRx;4~X_A{tPgsn`=T&l%D8t7N??AIOq$u@iRHtWF<$Cu6NmdV7byaaR@t!T~ z(M)H(H^+BZ>D0KvOAKo)wP5&+HA+>f9j7uuG3;u?S2*=xz9|n|HNhD#pIn7{ zdJ&%b&Jjvg)_8T>B#gcxXJY*FC0>FVUMgi@0x-An+WoXveJ+HcUk4jyQY zK)egw=+21NiS)j$B8u|F<8s2+4ZgjIvcOhdHl|-5C`RZGBEbwISgfl|h0*_MZW?sfMNcoI9-i&fHPon&+dZtp?B2VCK z41EBF79uTNmjfvSBcpFjnFGY11Ppr75Jv^92jK62@S4T~${_)#tZ<5E*G^9tvrg5?uTD^2L-wK;=REaa|d zF*8Euhq}- zj)s~izlf8b?Bbg@lfvh!RYF*tJ z%Rr9&kk#ElVlxTGpu@A*LGK##b8U`qC`6Oji0_V6!tyYqlEx8~3atC3da^NA_^BHz zc~C96RQ&J9O(ZJbmIF(rdrSFh{&k#6k%nt$X3@0Wm&UAz7<{{|^8WA#QxxZv5D}Sn zc>%IxOkyEV@3#VVol*H}_=I1Z2eX?{J6XqO{g6eRCea0OPn@+#Z7^j|wLJU~SDlre zRn2z?qoGiF=4!d?7gc;V6HrXhiBffQpU{RQdIMOw^9mIQSMaH!HJw>dc?>kMq51Qo zHJw{o^9=PdqUO^V>LsGp&7UjckQK`MP=%yav0f1L@xNet=NGZO^VSCa zQ8gXHGfE~Kue#qI`h11doHo(*9>`Ar=Z7AV_PRu&OGja-T z5;wd_)P1A>53Ih3d(a{R-Mw#9Es;B)v7axJFx54IFM6#nyXY@-T`?Qbx-w-j^qaXu zRNHdi#F!O2JQFhf7GTUk&a*DTuTC{6aa=O@!n>4;^4~RE(ZjPZ!{Q3910yigUk^i5 zMO;rdyhvo4fYXnc#Z8Mn1@Xp6YX*~YYZ3#;4IwzHwQrUp|O;bsU(6lazZ>6b(RB z08jK>bX?v_i}F4OeICuypi$dGDb&dl<3hAK&(1D|^cO0JE6bWuq! zS$4fK+O(_N9P>1tboUy1E&abx9mCQ?M@@05B!V12{n8*#(s@*&0y z(Q0EcfdP_>yf{zDSgHCdtx)rJ*TwXYuDSI5VHGd^EC6*XLP0(R|5TtuN=G}ud# zV_se^{adbp{MX>DPrRrPU!C>o5qn!4FvaHt585#S$$)slI;2aU%}4 z6vh#MDtA94Mn!Fykhk|r$FgZ|=G?qYqR6njcWirb5hENo2+DEzNIRO$*Bv1Dq|481 z#!(+4jOU5cV3aUnbF$?p4~2ADZoyS6&%5aBY8;7Trrcx;6qV_lw%TwjAnzF z{NQ9YhYkiKnMl-JFrv{0vDhUpn@^DqW`lTTP;fFCR0e|KrFv2}k5XzB!Idh1W*b^6 z_FA5N(+IBP? z<>@f-gtiY37~p5}@y3rg4jYbUP_dNkW;4A&hSe&b%T2Y-)^pLZlsZodkwOK-G0m`V z!;eBUbj@bUJ4wtRp`L z;~880IWO7{)hv(raHA-W(hQS0N@5U+BuHXv83u4-JQ_jh6e6uL$U17*!3m&X8?x}~ zV#&iX3`XHB&4WlAt0+8Hi^Wib@~t>XDs;s|E|6r{xv|Ve1VOKpgpvkfgeeFvOI(cP z$uLA%pE;=_Amc+QDu&rgp`5QDB!QBU;U(>~T#^Sb)W+ny5JFxWtEk+Nnzt$=R)aUl zT;v-~6RLI`2Ml!eJ|s#*;{(qqbAv)7sDe>MEKxkdnnLmW^s2j*Bk~&1DC(U|AXEdB zP0lFjYVpvJY!^J#wLL*p(b0NoF47^w>7uJEYl4GhRH}0g!ra2ib$K`5zGyY zx%UjgeNQZ6F>uYxoa2(y>t0bxrQyu}oJkY%Bb8uq#%PD;$XIBdsL?!->ap4Kd7!Du zu84;QX|`u1tU;y8sJS|7DI`*QiZ`jy5!{flu8^jBqpEtdM&!?#>N>gSHM*J_Xq!}v zvaQViw3;R|Jm+q6Br+bM>_us$`uoR_U}_-L%~yDtvdYfB1sifNPfo1XGAhO8L! zwSz3C%ek6Vn&TPkrOlJIz@bOl9#CzP%V5-txeFrX0JXw=g^Y=zgj!hk+O8Io5=%h$o>GO*aozmc?*Cb;2WEB^ivL5%z>~y z`#~d@01hM7eQW*=EY~KFj!Xa}rp+s#rZjfpBHx7RgpWd~82^a__GSq}7@rts)svE@ zF~wngpR;OF)ieMmg<>Ey!XFUeW3*wWGC@ljcE4enrc^^Om%uibBb0X=6I1^YM7)!i%frXfcGn14-l5Blq@&Uuh zG)6&6v(jj#VR9?v6wKsnOn?NC7%YhxRGn-LlG0%=$)^OH%&U`eN5w!%Sw|#~Ts=&M z(n&kGY>4G-Cv(kS07F*t?Z}J57ct9IRh-Ek)g6Li1SJ#GohN0o zPHCV|XzTcEvv9MeGEV;CJk2Ny!j!4HR&Qx>d`6E-Z#b$^O&iTOXOKL>yymSvk$l~! z@dBY7snTK`%{Dqz9;G=tFrMaS@g~5PD+yqTj1WcYK;~Gd z$n>mfp0Ve8ku_vSQ)v}$vdrepowTQ8Xf=F}C#JU*lTT?0t)@d{8NOMBvT(@RbhXw7 z*se=IL+p}gK!s|i!~^9WS6!J`&P_WN=LK;f21 zE2KU1m8-PwZs}cC1!%D_dzi2z;aVWBDj+HnRcKQJO}mAJ<<~priNcr)3Lq#L0sxKz zgNO<=%G`}#`~C|_+Tvw6AP@#H+kq_;BdjD8)1AyBOj5~ptTlPZkwSWFmgp@{Wl2_( z)Eb*HBMEb8V4kLTV4l%p(q^3h#DB=#h(Ou$?S5wn|lh`Y&xyme%` zDKZ9Vkw8O6tPKgPG>{POBlDb47@=M*)$HCJ^t}j*5#&0>5VeqL_JqkT&LmLnEgaMG zfK;;CU2qWtGR1O4ToNTi`c>LG17wRSsuPh@0V*W@21H3I>^4b}Hfd8-a}$v^KrK%j zClYp^^ciC*x*D&k0*NVE8ZT3}$dO7@UZ)8vtsrjfl_wQsuJ)-H((2`zZ21(u(R$Ka zgbcgbNW^T`)7&J=;cRZV4(g+7=PC^T&924fR1GqFU&ki&6jF}t7-s%JGqp;wGN4vo z7P4bx=7(F^1Fy=tYS&Dxs9gq$y_AfQFRg2h7$P563!_V-g%y&tqbiR1F_kjyZjX?2 zJ6lVmaa&ZfcWg%1Yfp`Sl&#>+o2L@u))hiHE?kn-vJQS=&qi`bM`E?7OqZy^+G*NC9c;vRRrhpV7BlJpdfX^QW03#=qHE1whH z?*&Ti7f0TR3Txr;7HgGQ)J4l*qS~}qe9u3>7>%GYw6*B)s`)qskk)Ebg1zA;K}7up zl3N3V>rX-6Z7(*xG6+lwiW|ajSVhxMug+*eH!ws;42>nnVRM}q`?UQj;ABPL>J<^l z|A>HY>pop^Nn7YLA+co)O!^Kl>BN<2rlIUvuWDscL)g}xReS*aDxmj87~>vPBE5j$ zrf_3!f8Ue>>7Eurjv)7aj(E~hKm#@qbh)?ng|JyBVKqpF_9SP1vGr^n5&4_u+De#A?0qGqOhQV^8oeBRd3Z#n? zrJ7YgCnJ{w*Fp#XaTt=?|5HQQs3~-<};fgT~ zn=?Vy3nLH^Fz1Z`#1^9$#}MW;3>>f^j3L1(pSr8D;`=!hPY^pE5zCo1AxN?szaes4 z2@@o?0d)!^Cl^{IHq$AU^ve}t0K_1ulnG;&5Qd8qG`;z6meVaM>N*vxL9+wgIEphf zSe=N;R3DnV+<{ zi!kV-G~3)fcIkJ~T6P*ow7gEsU zx&%c$NZ+5rqC|-RjzHx_1Wr1*=_Wi-jy*Q3B{Po77$AI7h&b^ls;@|Zu1NR!&{E*xT1Yq%|(XAp#1qPYl=F&7Or9!CnrkVC&KoNPQ5 zF)ZY9JYg6wEOn8rhDR}rlJd{Vde+B*2ofUD$DtQI`q{_x(V2~1$H@c|%!0@h*pm!^ z$TaOA%RFL15gDdRgBqPl?z!A zh)B;uy^yes*@?I+0~iGXKq7*5lUYTL*u{-lxDXOSjah+&h#i^Ak!+Bqn}AR)fj}*T za1^m1838~eT9~5&KrVquE`p#XFYpjq_=Q^&1zQa$2uU}@+6|qlqNo%DUDa54BMFt)9+XE2NS%=onF639S;#Bfb=D5$_lgP9 zUzr=>Ts`sOI2Mao8sAOz*oF654T{3L)ZhjB-?$WC&F0_Tu;Gw_3lU<<(4-1h z0Rct=Sw;h0`$!O>#R_9}AImyoaH4dmIy<=~u#U5+icV3aVa?%;MYVy+Qd9yNiUHw(r%+1Zw$nn7@Aov<(p|AJMOgI0%HKs$j1zGqmm*L1S!Yyn-ObC=UQ zmoSNGeR;5pLJDY!3MsV~5!>2EoglWJY8Zs-1>uf6;N|Xn2}YhK5t(XMn-IvA*@ma- zi63gdnraC;u@rSIz}5y-FY7T2_%%MH1x$EMY3j@#J=*E3=I?Uvtc zZm$TSv5Qv7>yF}xHsNciq~~6~u#V)Tw$E;EnUen6Zh)fhRoX5K6YT*7<9^ic#^YU~ zfepUu5LqM;XoT+;?Qc!vG3Ir|@waW(?4!2%Ploe}K>blBy>5t!Z{2zChMC8<`fncs za2E6;K#6Z=`*2el?)Lp7Yisak|L~Cg@6Dl_Dfw`x4C0Rm?~0VAKMoDC;u60M2#ve& zF7~eMO>jp27pdoQ9~f}I2xaM{7uOCxX6A9JpA3fufL8x;w;yo+nVO7}@lrc-HiGeX zr(-W9Y>y{$V6mun_3{S#?2jsPAqDOR@$5*7FWrXUVG3V)f2DH=b0~!H!)@k(5NNf{ z5{1rzKp5Q^qg)?3-9{A+Jn(an$lq8Nz)`x6Y*9H&kmbuQ1OZYR@mQAP{LV z?rA}#oo~Oo1%+E^jjY8TM9(vdc{NbV&{x_VwXr zL-RF`_XzUNo9Xvrudx83ar(&yeYCEo&qnvpjs^EX=rpSf3i#Tii%k-*V)v6|#$S4j zt7NKge5E^Qv7cg>`(BM#Yj_nCaB5DA2EUE3gweMMyEBk@M%oIw@%Aahx{%^{wUAQ& zf{m$|IvKC`fwVP)<9U~K=m3nc!! zk@srw&f$>G!SB;zckxhfkE4?IvT({G*M7!&cA38SUK@VxKR2UCeSjV(4CveZ`tJb0 zu1=svPMAebaLz!M)V}&P2EcfS`$KL(#@Z6-w|i0vd3U;(4!M%+3m2fl`mes350wlV zwrwX2{3Ip&i7t{nHJ5)3{A4P5tnC|QEBdb?n<>cpF>4#0kQJY)>$iXj1X`PCqS_h& zqp`A*uK&z5N#CEb!h!GVlIf*hH-gydciWXNCwsVWatOIAzL)HpW8ld3+et zBaRwUty$rwgGEixOm0Z`d3QtpF>?klu~C$J<8;iB$r2(PTJLI&=JVZ0#c3T}KRn?lKIC^o}Nj4KPc zXc%sgL$C5Qrz^1=Y^_C+L#*m8Z2Sos#tCA}3Z;-tS01j&V~&V0iv&>dMvU_70!a~r zJqJRsLfaxKQ9KDLMiQ)0_Qr~{B`B&fS`>!Dubig4p-jXHGe#3kf~7xTR5LUtlX|ZZ zF6g*%J5Dpoc_6>DJPLwP6RNdA$#AL_2%-?{1p-lSr5zx_6cZInPxL)60wVAlG#jXG zR4Y!>RSd`*!gLc!0#!6Rl-)w8934-z^^40%R-wW>N7LBgD;ijpSP%8Aj4M{nkd{qI)sm;z_XN*z!7Cbu#|I9y$Q)gE?cHCf zbKSb}UH7fed{^xAsGh3p9sO>#DFAS#EH@M9WMLM@7hkDYJNt$&w-zT~s?S=-1X&o( zv5r^ay}J$MIUBQ(vspB)jpa8KO@ky#&~lE&v)#CjW_S*4)n^gbV3g)r^?smdw93b# zA_2;W0fu?Yb(~2{ww#p*I*JdRx`E1-N9n4bWv%K;{u8960nWv$Y^h_xq|AE0)W-)q zZkoU5NV;s8yt=0CtFgPTjinY6@&Si!tGayCSCZt<%|>Z=KdIybiAWb6$5j>~!u1n*Dl@*S7$1VTZ&JagV1y7{Ul| z)5v|7Co2zfpNtdv(SfJzgMM*W77R|~{00X__&^uX=F&6uGK5jkK$q77;5pn%Z|Q=; z7aIEDtF2b=)rY~QX8+*n1z0bwiN11W0gqcWvC=6Fj&DclRI(5FjL(+_`tHnTL6pHS502FQ}@wsyg4^=8I{}&e~11#@@N{`1%^W`Netz;6-_T%S<5;V3Y@ zNLEZ2%1<*zmQN)-Xfni59?0v&&&h^zsB{ zW<61v2d09k8-vlZCWf#u?McFjftJmYSW|9P08QieD8P3SDM}3NU)8i7w>{!C^_n0z z3UI2|8PSARmR+YP?n~I1irs#Pcq^t?^SP?K$YG(X^IS52LtNkzMGF za_K{~H0xl_`PTtNLS!Vt<`2z9LiTXg9_@|B$j`U=9KVN&oq04huLeh0X0*)BsTQ&B z9unqnyZ_b4c;O1hCB)wdpi>-S-QT#haX-vVNBu??9#}rW6PhP6ccLC3frrbfT6Efb zl_rMg)R(sR^hYbHYt!@11XmLPhJQGU)B|GRWkD^|C7o1rbG#=yW=V{irzwM1WCH7v? z*)n4}r34$CsJ%oVCGeH}`M!AGK-~}ggdWjN!;Yaft0pR$8ZUy^lZ4X_gyMU#-&?F2 z^Vt7Q0tkdox>>~ip6=1u5qmd4^iglMU02jMbxw7^}0Im_ZvG_y!p`YexKWE zch*Mpg&eg``b1=MNSDS{b3U{&zWWK{Cqdi8{MxI70X@ z+mWFiH4O$=^t;{CayJv=UQTakME7e zWG+@p-yh^9xSH%vA)uk5qSM%7#qcIgGD_FeU zOEtTX?`;6B7Z23FBT0w-FE3qqZ(gz#OD_Hz75Aav8~OziyICF%#^L^K5~+7vd$*D- z=0(6XHy3P_AXfDH_xhx6a1BZ21}r*!J;iZ2J~C806IjF$c9YL7iKLLV+is3#A3<`I z#EXusXc{)B#d+j3mBov1IptBJJ;s`pg2v-AaK_<77MH^t6<0oia+45GBL+scc!YQZd4y<#X#?e*eCVVIQcX7_DVB6d`67@HaC5D|8qZt2 zTO_F7P0w2?!-u=u4X38g7Kl=3Ehc%+4T(X~IJE{;x3WcOyvI_tJJP|srxXauL6#q4 zaVhk9ijbEIRKTjP(RdHlh96S-I);~ujiDXgID$KdaCa7BpwW#xg~Eui8o??g%Q|!HI2v}=8clRD4H`H|j>zeRRrk$K zX)%m2R2fA)q#&V4g9aEXUR_g~)X0*eyXyrWCT<(0Fo1dz%vu&zzdU+44;}KVMdU)pez_^6h_4@ zWZ6h+SiJRKgvt$o(&VFq8WJ>V=$h8wy8;x_+MV>Z`JLNRS)jI#wS5@9SOLOp)*)4_ z*F^OeC_xJPCnv1cI7`xMVN@mglsywVc5WmI^RA)7lH`u}O5x_siWv`K$e4^aa~O`G zm^r?B7cT$;L8SaO2nT5z(YMR>KN(ta@W#uF3z~+}h2)pWB`N^1(UCEibDkzFAslCK zF%JJEr@5ypaJ|Xz(&j?RMa^JGvc=c?2?gv8P3+l zfNGn$ccVA{M~B)!R6N;lAz`rVwS6)>Y&u8W;1%sD9OMeS?Lsu_9*uJoRhs&Yk-7|S zm(|=`jhZ^XIsvZk5Tsd;tMZ7=*7qM=vFVyQ2u)eyyM^4_A0b8VUsJa&uE_HQ*&|LRj0Wt@7O`i1cwr82-Yr}Lk|6U+UO;i zl&HB=4>N%_myvl4y=M-J{=B`$3mO6v3>kT))YQ06GVX(#${1!@`2inuA^5IGe$?Jp zg9~=T`Ngg8GKvk|c3=70)Xocj^)-$^DHf1fY&L8@+Nvk1=aNaiq-B6;U2tmfsixMh zipp=sn*<8xpR7RaQ=JvTw|?x~d%eNp>lKXN`Xe5d(S1&f%1u?6N29b^9q-n$ zb%KBYC&k)-hUVo8zadj`N3VIsuu~1NRh$F~*-9Zp*40!G^fb_RuErLcS6fVzCcjmw zEB`~ul0y+d`aX~Qg3Gp{=_B^J(idr<|_e*$2Pz=i%BHID@_pA(w=s4Q&oXh3he!+gf(>tV{c^s04A2e}^jMT4z#AKf#(yWr|R_OanKH&oW&_adpntFE1&+|2z?K-~jAbTU}D+`oXE+ zLN;92`3%C~(y}N^3HIMje8 z9mnS;*Wuo?unZ@LF1(j-Ny}ex4)Ct%@WIs$z$5&G#{^k*% zglfJ&SN#dkS$j*(JXd9dpk@y5{Cum^hW*DATsnD z${7ScdxQ~_tTW)MnCUX=gcZUHcc6#(W5&q>FG~uXD%0JPnsJc&m33(CO@AjY{F;b) zN~+>y6HmVx=e)f+97asW!2bp%A=u!!`w8<3^%Y22c;5vw9N;y|x>!LIbyL*1)xG8F zNj*VO%(Dbmb9KzbCou4;mIM`S)nCg?z{7RT6NAAs_3~H+Z<}{%QLs@t3}^|r$C-LN zezt$1K0HyYS{x()5OdzG2C6jQt(+v^osgYv631eFeD(lbN-ghCj9oiSIMvcl5+6`w zgYaC|82X{l1>_Z>7E6vJqw#OV|Td7 zfv_=U_fNmNxC=3+!)S&BVQqDd;8`%tN~#5~wz5_J5eYi7YuJ3pRnOYmFo1*DPQTMG#*P^Rv1HhTUGNGf z6ttui)S0Y?Od${i?KJT4-f;kgd4aOm{VDb@eONhLrYt z6@?h4{xs7fC9b`uh~~S8Pie*_mW9yJH_#y_NXZPS6arGM7lNfQa5bZ2y&HpAV5G~^ z53xkRQY58e$7$PR{E#DWQ)pO@ip(Z&O29BEO3AS|eb*enDla=?w-_mEjbAbEUeO}V zOgCKzaBs+eZ<=>+s$~_TsN-C?uu3dT_ z14oz**#dU0G58C$$T-l*tWAzy=$(of+B`ntDd@$1&%6(Xv_+fT$D7Ir0Y)W z9Ox`v7?NEWN(-COOIozm_lyS{nY$aceNq7tw;=M3(j+XN^Fc?lFy{{fKZLvcy1KW=yC1Rp z#w`r}{E%)QlkF*z-jS&JGu0Di$CbXuuY;k*sZ>v}yj2g@qTA&R7&jIep4Och6PWdG zUsT-B_0d&u5u~!_Up=wv1Dsh|QY7)VokPQ3*pCq^q2X@c-tT;Cd4~i2+4+M7Ul{-; zgG0dMQ*r^8(E%-T7!+T1$qOtF0EUkczH~Q!igN(PRUT5jS2X=cOOlTrIzbc$ULD3C zD6z$U+PY9U+hv>@WL$#bx8Z2s;p$2O6V`$FTK1icu0y{=mIVDCT_gnfScOEO=XCD+ z(*E9w{;NtcF80Cq`LXFG)#O(s6mGuWof`zJlJ2-5r9&%eh&IoF zcqYm-XhkcSiZO=5OMAimf>k4?zl@O$UiA;4xWXQ&-z^#`>-eaIW3ZN%8N}gR5n4W< z-hLFl;+{cHmK*maqti9xu@RlhtAr4xIBqTc;a6aGc>Xd?R!5~=hhDbs=j;;pkRM7+hw{bbIa&=g=?S2+ zJn;G=xc=5K${bObUy5F{Pn6QNRvEGl2@Uf!?5nO|jD60d3xykG5~>Y~uv&}NQ8%~3 z5I**&%GBW%2c`8(ir}AnrX#KmLy0vogn(XtV+O9sb9DWKV<>7@Q+G|nK8qp^zv&mf z=F&Ls9ALO3x;7LVpM5(mp$tZzZMnW(QY_%~ zw+#Lt8`m#-ew8KMuXX+^LN;por>W`lKWRNu*5459FlzXeo2kag-;*2MvvKF;|Eo9m zj|iN#^0>fL#4=P#sGW(8{Wy) zQAU!SL2dmojD{VML|3=TG;|K3%^g3L83nPA+K%SExPA)7b{Hi1BCs;qPd0{DN#6(b z96e`z`wT6^mAy2v!5h zzWmbU9n4*$&w!OFTO74}+cZYL{W;rb0}=CHDfzte8)w5LOwNQD)E5&A!_R~5Nny<; zfCwRFvsGJ&mHWG z`$#-Q3H48KIo?!bSnG$>ovoGiK=-ZvABU!7CxOx%`L{FnB2KS36j+M#bSrT3g^vqF zhs0`5#2dChwCrsM&V&|U>;5F?&b@iy+Pi1B{}h7>*mwm^&4WD{iBP#i-pP0vkU^rroUERId7GaoQF+r zi+g`7}ejq5y=FNW;cp%6K`j9c$b=He~_^%BlG- z6hb>9D=iuKnnQpF6TLLqZJPxsOeF~eYQRX{(Q(n|J4r<(u_IJLM08_g#sbu%mLYpZ z%NUr{V`0ShFiSno%&B2Czq~wmRM99Ve!o_H9P}{N;&vjmJ4<2e*gBraxfu>VDohYP zUF)2iVAsagzhXQ~g;tAx7+QDdrY(KlicR{{NCJg*sG6*_sQxT5kSeauy{R|I23$Pu zNQMRPwm`2@VI-?QoTL`YU27)k5zyjvqQ>KuW`T~m<8^N#ZZ^07h5$eBdJDa9z2S{r zRq@fq6j)&-=#JYjbx*jl;h{0Y0I$kMM5?AOdXuS`See9~J3Zgz#r^1DiXVI2zd{W| z=Z%Jt-U!Zq*F37Wdz`7pQhDEd-gMpKG+shi+FKNflgklP<$TD|$HfJ9#E+Oh|!81e`w`|I#E9;cU1wT30d_WbuL^4R4WQZ89M?8&6Tz=Lx zjbOU0y+zApYT~7J>Sj}Qv^y!i@klEdQ4c+BQYRQj2^Y5;b4gW7dO^AFjo-Ca>8vU&lnYnvhx)<41WNCU`@Wgw# zkwbVi4F)Ft^jGKxBONB%`-ra*a|>HG8_R=?AjP^L?+@u}Ub?R$)HkAPO9@rTFXoHU zXqPcqeluE%ELM*zZ7Ufb#_>7^IZ#6J?lhx2I!!8!_O9;)T8kkzY%?q~sQhselv^4J zdz2}h5i856dVxiWL6Sk@@5th@MRfplVg0keiK+M$#0CDG_%RV^nk0$8(qy44_w-r5 zvaFH?FZ!6MnmxR>#xl=)rBHaCIkIW2ASMzo^QPV9czp(~53ZSxIqIzE2{DhRae%_hme#uaVhPup zllKn?lTW_ShjuiaX0dqwF0F*XK|8xBdn5Wi{&hOiKL-ZQV9>F>a(l#td~(frV4@2q zQAY{hPU@nf(?9WbmiWJb5sc#?IZaF~dlAKA0xV9x?f*jgZTG!4v)b>6WD3K5O(bBj zqzfeqCcMz1wB4o}i*?|D&8Tn~DR7Q7e5ew|JW4?{mER;#gW?3N6`6cyJS`tl=} z!-9p=hbL7^WOsg?gfls6hG;Nh!z#?eC^(gy83nFwrEN(%*Yzg&@q&3oM5!fq=jw_SQV*ETon~i zb1qJSM2i4X35?Ptryzd%q9M|@cGMcuJW&{F$>ik{Z%E)7Ojg}aBKUnuu{%a z1w6s&DN4zz@2)Y(#YHJkE@~}OwMg1y*)k9^c?cdKGwnjbb-w!8ATKqGRCHvsa^3XP z5D{}NUMY*AXPu%L^u)L$zqOrhdhPJyq>Q3qo+)0v1R|$U$xr{|*;pMl%CH#CEP>r^ zSL-=Z(*9odpDrVMUN~O{F)bW0V<C`gw(LU^jJ&_Z62I;2$zSS-s%fPJL_Ppj#@a0_ScgXa z&xlo5=a3XKZ3A~OZXW42Sk1M!`^K5tP}cl;y@JP)+@zrtk)6NJ?(D)j2kyvt-Zf_D zb~iHlqTpD&BYE;H%Sp(Bshe|Jz^;kOX|O9B2YGo@S>Zp}sEJuj{ls=LAz9CpW84NE z{*)r>>CE`O4Q>Bd>7f0GR131U%wI_6?8U)cOn}};P-pqrTU=r${7-_?m>Et?@dbV^ zRpv+SroZUDkR41|fWxzhpIKxmjHQ5%v5*6wW#ilXQo%LM6v<2LNBI#yzd+SZ4xh{Rw4B8{bMJ^K+N@7j&p~&}I7@VCU=Uj7bq$cWGYRwKkiUiEPM?DKtsDs`Pcwe?&sii= z%{fcPim{%#KybQ*(Hzy26xPF03rbMcb}H3F^RJ~(^v(jIY0^ zB6|MWPyJnN5;ZC-Oz;_t1IL<4@lj%o^z+7_-co~>ABE|d*Mg_ht?Z>7R&4^P+EBC;31qGZDM2?Ui&69rqD!57g-ZK$q*b< z+6$|6?)y+Oi8MkK%1$WFhQo2;A6zT`8Nn{?#8GGA2eSAR1DveG9!1Flg?OnBI>`u} z&yerdMhoRP_!|hHpF^`~ql?Qbk!aa&62V?HPzG8VLPVXrW9*u9-mpp?t?KY2XhiD( znx^cDUwj-W0gbNKB*5R@mQhydURcIS z#ZFKRrTmH^JH$peq zs4C~4l=&7CnO-AMpFT}Ps%KORa)%}Z+hne=eL+-}eKl6SV6J_|W{GqfVfGnvOHFVG zN-$1tG)Z1Lmn4_X@&PLj5_NFEu$V!<~1P6^B)Yo9z{g z6@q8~-X}{7GFXbksuau-e zq~_^SDkYa>eMP zZ~~ME1ca1`m2)!~8Fnac#gtK6rihfL7}nEZLoqqslJI2Qxh@V?vM~*o?zaVyAgb|6 zFNMS5@w-E1i|3T4iNejMj^114&Doh40;R<+q9)|H$z(N8DV&ywSsnqrrv+8{Nwu-! z3B>m79_3W)reeS|(dDY(nO6!ldAcWH)_`r;8%Yh;-PL=V;lbJ!x1Te7khA%FPJL>| zJWJI}r>&-LEbb%jYTMk~i*98#8Qi$^7M&=i(5jnoSj>qW@9kK~%e+)z;l*{`D+*~+ z{5Fk0^LaR>aW-`{9`p2AQADB9tMPbofT22yAvz;W;y*ZEoH^fs$S^fcxRtygN&Hx8 z0)!7AolU0o-55W|B#ae2LSqC}iVSpu6PxTAj;!ka7==)iix0 zb)Gd49;UO_wCN&QD5(+tC@`6{zsKF}&lO z7Oo_c+LkW8rZ$j`gSA<=Mhezlf|-a-pyPm@KR3OEu9fR-Tuei&b9TN=7q*CJHThgc z|6I*dQlrW@0{Tv?yroZwl2k+d2GQ)UjOU;;$RrqbvxJ32LWH%9XTzRbphZUfMFtYR zI$Z*1Yhz>wiwRQv@E?s6f!#$6<9dnEMP{Q${ew1!c>D8UmBj`(eHDd%sSOw$J{5fY zOJW#C}vZ~RR0H$Q@(GX;$9Tg^7;FC>0I9@2-Sl#p!LHwh{U-hM|2TS7x zD|B%J2}PHf+JnoAZn;#*(zzVZL%ZjLPeXY6GB^KoUh8XtSO1-It{{Ju=#>UYLG(6@)hu25efCi@EMR;&x5^7gToOmv|@z4 z8Lg8`adjf=&aN}R@u#e4CA55IJ8!ZKsAfIl(=Qjh7(KAwF3qanRNs^~956t)lWSH+NES`AXiC}JuM$yRBGd@>U@cxv8qe(W{o zd;(T%)4vCE@>YII3UWbN`5W`Qn6X~i7R*(yC^_nUc1Kl2KLPSZ^=)j+5e)S6z&Ycq zlk3*-bK-3bTmWL#ayXO2b7)P|9Ok@kL!_I3xQo`8niD+B^tv?ntw92965%gmE{ZY~ zUW=g=crhdKaL=KBshBPaJ>7{>?Xo48+0hkCDJ|h^_&S4!*ql>2ku{CV;qsBGw%J(R zk;vp`x+hXdhX2Rh+ort~JRLPGpH zn#q#4x|PI^RrW4r_UfT$>6FiKc1pM_LHM*L z{0=YRG@x?n6|V0;H&2w-D4=J`!W19n5ihcDAB#aQE1fC2Oc+#pm|&r5#9PgYn<@oQ+b)NTY5Q@1Jqb{7X5$KDCbUoSjG`g3GX z{Mz+Z_vvrE6@cc|)x8@Nat1PhC3^%Uo>ZcHlzc>nm+VG$(s2#j2kYIqP zu?i0hTr{`laYd+~*z!Amq)bjZpJisiZ4T|zqwBlr6q4e(T>R>s-hC%2VDZ@DUd4@V zT2{tY)^}@5PrmGlA#!)TbKaq#9@OEnM|by;`To`1$Y1umC$c+1uK^c+ixqOY3ty3g4JYe zS{`N})7cyE9@hL$TAUNM$(Wn`-=#gDxqh?NNM|JTk?59Jz9QO|JAWJ~J~VStilZR$YctBl+KnJ`HVhjfdl$$&7K8fTx*0ig_DGrECKW z@2)Ro3K|ZYLzljB);WTKEb(`+^Lecp9gAZM{%u?De5$>#)N>{X-B5&Rz z!z^R~@>ahpJ3%;j=^U1`-okr58SzVd8-kQB*b-b;143Zvky^?lu<}QmVz`m5krzmL6y$v*w|7j#g(Ldp|}wx z$YJJ^q%`rPmdII$He9svr8hj4o#y_zZfYJ%vt<8*1XWy|wKP#3(-@FvhGg8{h{362NmBTyy_u3#O5gWzyXi0MbUP&Kc$(wHg4SZ zHdp6?7m1^d<^osu@!d0feWQE)ludo6W4+*;g^S=92kt7i_Ml%vu9!(dsU zhHQ@+?W&V|4R~PO%FQ1FuS1^LGn_mN6vyLuo`Ptj0F2)_DW&K0o1q@*$m?U{h z7iHI5Em@6CCE$1%E%`q~gp1Zdxx^cHELmr`Q~m(h=m+`m z>>U|Wvr8zVK^(v3__D{fn~G&*pfwVyw?SmNISkckuC1)Z5J89~KUkk1M&Q-jD6Zvs zenrpqoro0hZ5K{kk3R<;X2;1gY=K619zufEcoA_?=g*CG?5UIc7qu;y{m~H)D9!Wg zGn$4G96Ai&q?(X}Ji_9G)GPRuiqUp!=*N3+&XrORT7PkRAz4eR2M=l%L;199o;CK+ z=@ph2`@Cvr?zRHOddSctb+nxsjo>Wcr9NGW;FJgoK&HN=!E$SztMN?RQ4{Be_U}*$ z&UAJ2FBPXG)iqmbp6cXlvH7KnV&o+aj(Y!esn2Hon0DXzGG&pHr&1wIIhAbkmdU_@ zT2zCGtOu!J6CP}CU)`_X?#imG0rydp%Ou?q3}B9bSGj>HEL(m`v0?=a?KYlB)2`Yc z7h9mNFR^VGK7R^SGJk=VL4QUr<(zt^y_ki{dc#n6R{KaqEaM-RR-gEHkB0I1sV6C* zIEBQO!J^k$>S|+9fT|>`PPxaG5PM`Gf{foxzwNEc(#TbuY*1IN(Pr+4qJd^3olPP^ zzFfxIoZ%LR#t!KjH+D#%XB8IcVre+I!(_Br3~0OTlUsp1=3^p$_PO}&_m-7+D#}gm zU%OqHJ0Fw8jMLq}itS~oq$;9km9^x1XPZo$AOa8Y?^l8htbW139Vop^DuyOh=mYW`+d)n zIrS@+N8kgy!aMK?WP|kJrx~HjZ3naXGZ4AmxUu$A8YbbI-h1cZ*m09Vnda#nFIE5x zm3t9|hoUwuwMcF7BcDEWa0ek`NdO_`Zbm#S5&?ns@`>e?m5IoywFcEwlx-pP!G)6O z?2I$$tdJ5FJV{4p*iBMON|MYbkqxihO?W{_B{m9`Dk$tFHltZcE@~sk#4bA~jr7}V zsWp7eVoK6XV)OrMItY-w+l>83_XGSJj~*4eQ93vy0>O2m$yETkNm8VME6f^MV%OJj zH(5*>p-O4^;{8f2B={x+v&H+)H)lvfgLoiVyq&s~lHrZrZU_-yB^|+=Db|m!Ss7E| z0GYK#Gz?z&;V5|@p6Q@#=Dcu+L{ury8@7mC;557`BAVAydp3uA*<3|oMbPYUC+O>N z*X)<6zePeq!JIkh<&|zf%k$I&G!(HbA+7?!hzSihw`J-G;+N&x$4D;`i@|$Ec?RVT zsG2y8?d}`3EeD>dXYq|{_3I{bev$%ofS+=EIB(?vUg^Bp%>t#4{Ny(z#6{qKzn!&Y zajC5sfjfEUzn^UjG2S9|@^>^LeuDBPV{#EAC@`jAS)1`1Z$wB_k-`9nF;P_lf6|}) z>;!y=iza6GHKys4mlN!60f?vRn_;%c9n7ao6IGO+l8Y zcVE$%u&PYyPg+Nx(0<$$r{f>jt!I4jb`P6BjdESKG|*CxC|Rnk`Q)|ylz$pRQ6kyu zgzb>y72wdsi!wx-Wz0?nYj{*kDaVMLDjX;C?nBYK@OlZ?L}jS9$Y}ugE<|9z zbk>GQHYq4RguH06g=g%)c6pyB@X|p!XcD&+t{BA$PWd+`-})XI3K09ka0pVl>t^`M zniR?)RR+@L^oL=_Do~iPBoJw!#2NC_l%ffIxP9^R$(aC`gv^(=iPx_fw&9%9h}A3N z_bXtr8*;=urQWqS#O2tt^~NKs^AQ)%yC=#qX`>C+;YZt_Que@2*n*OHqIg-=Y)IBf z$h;O5_>Ai4zm+n<8Dr#DdeXg#wk#7AE-g0=n<%qY?27Juk#;Ie)C#w*>x5JXqy_wl zQ)Om0v z2mq>vXyTDKBXJDl(~%qvWZu7#IN{M~94oMbEpinstwV;rmT+pnpHl?_=&L4~ZNj@H znVAD!Aa`Om!JZeVCjmbVNjkfxg?(0djT_pDK8TeFORIg`lI7)(?`S6QTbp z&-z<@U!TIB@|o!DbDm!Bb>oBDwz~AClUkAc^>3s^%9n=0JtT*}u1S9)G=E)3J#n2r zMX^{DH2x$gu|B2z6y>7IR@8R#X$U7m9gWoLx;GBS;1QL?H1s$un=~Bfv@xcI!n_{G zNb2a?&XnZFq4+%LK-i`*rKj*4kZ_(e2^z*`lbldxv_$~bvvgVJKyC$GQpYDFi?OEw z?WD%zD?jX5JS@9I`Xd{B2O(#$En1v%p<6X0qXjlI_)ED6v(hk>@X)DoSOI*138)w5 zcs`!HiDxfL#X+PhRi?(Gu?;)OSk|WQbM=HS&=nzi&Qz0dM%m6VnVqNc(U5`wwHeVa zY2y%a$7)1~9b;07yMhhlu16+H37Z?obF3V}aWFPL*r42t--?&~rnTnO@OTKyVhI(X z=OqL`H{8bb-fByZg;Tf^VatM1a6vTD+^kF_SgTSQZ3g=&yW*%Hnx5kc_zlRK9~FzAw*62~=2P(&IlF@MIm zaMi5QYnWleSC||P@Yu{SZy!rA_?YKA`EA;8upvyxxE1P_Ik-4@PWaE|94u~)@X)j( z@TswlB@;^N$e2BxHgXf5?G$SA} zPBNxj*xJUC_zeH%c~r5$S+R_j!{wt|9uy^LfdE_Rc9VrA><7y@xRT@JS89fvk3a&i z@1C?KR{F=9KX`b$VIht83-?&DE|S`wAW6G_N$U7R zT;KM4bsEBFjAi_%uzV0 zB`-U=RIBcZmm#xPqQrHk5dJrruyT33=4dYxD5y-4Yf9ph2DZqo1S6wK6Iw;#;0xo2 z@qsT{W~9A_8_QzY&VDO3FoehQD&XM5zAV)8ExWlSw6yBU9?7a}@5z%cTx(mX-Hi!2 zbIK)}^f4VA?2c22Oqhy(M@;dbsY0jUR{?O`%NZ zN=A=pRyWi@cS%)32N8>q4=l6QCTq~Y`bc|UE7LTiULp$*x5iX599-~JIMvcd3F4XQ z6hsZzK0r2wWt(6+8XQUMf^>9k(PUb_8S8k2BDs0%bm?7rnq#1wkUcZnomqH(GmvpM zsQz%>wjQI?W9$Pw&Op~&>XSq8TaZhdSLpm}EX2-L<|Q)%6idWfPyy|{hMXA^$HLl# z?+-E@m|GeD8F{ysed31s_NHZQ?j{;luBFso?>HM->0&N_d))SlrU*0LNr$>Ru*(r} zJB+8ox#;A{8@KA&9kfFZ1Z)mSURtixE$_%|bmbTE-vA+NiVwMlvQliPHQEnuF(afg z?Xk9HvTU=WcI0t^ZbGeYQRd?k83b%oq;7OyK2D37Mo40=^DE z1>KfQJx}J{xNWs5IAF_{fQMgBUp!qCq6HU`H8a$_$lBPr%M_MZMVC+eus$(FW4SVp z4(J8Al>Bx_Ls=}rqw;x?)|uc>{pKJL>W5oxS(0kko~GVL&5|+gPyff~KF}L*=C3E_ z7>@0(SLZ2vX1r3Yw<71qZ10{qs9vF*l=;pj^K@6x%2(jTKSIX%;lRu`uL{kWmvNCF zaMw_S6I3eY#-ob}xn!!~G{?Y1#ApkDp$myb^9T*|cqE}ru4J@Dak1YEBUtn*k-zLz z(3av3JD&}eQV5Oo@Nv-O&f<>HH$I6i3z1U^7b54!R!5}S@ss8{do@H+n(~&8MZ054 z=s0N|B1yy*hO@cS#v4b#@H2vuUrU#C@=-I^BvIH$YNQ6-4 z#RM20qQ(_C>!Vza1t~DRi-TRp8qjig0jtW`%?4BB%q8QxDDWyjx-qp+^4YQC zn<+=K#mx6JNA*WhxlMBUW_0;wu;WPW+GhzYARAzCtYM4$5hM#6w>zS6oHOZ?!Ga8! zI#C4EgCtX_3R9y3wJ|{6D6$2Z=sD7DIiZ9+OW)sK80Fy0s0`}=S~$SBl6}jN!37R+ z1zbk%qb;K2u?b%aTRJ3YUYiK$I}7iag$@*1Q6O91-3+~DD(5pOWdnD;B;H1rjPev^ znV;qe;^4W&fnIoX7^Kk>$5dHS_?8*`F6wZta#KpqL1!Hu4eSPThw0T&)bhONTCuYB zqyAi)eJa>J&N_$_O)pUcr`)5KSO1=0_xw&MClYz^soV)F*}YOhea|(&)XAdXX5Ad2XhHeNK%5sR*ZJW(i z#v({sR8D>THChCd%D?A0HO_JxlH@+{Rvq6+C1SFu4D!=>`2Z$6DpL*=B-&^cS}E60 zA|~6wnmeV)U6%Ltq6Pc9I;hoTTJK3+M04PR$vVVGEwcj_^N|)b;(YQSx~PyKxu(oL zb1uJ@dUEx8ZmQbj4+yl^q{M+g2P<_sNN7 zXh`(k5Hdl)d``V(AMM&;+_?YCJUZaI>}`K+`4_JZ&%RmPS=892p05q`cuRanjiMWa zeQL9P(td#?y-s8uV!ZQ@u?uhO1~JinUnMtfl*1WV4Ank3vSJ<5liQXpvws zFQPBw+7wDPTl$uRMDw9T+Nj{TeA`l!nVwf4H}hT0X;F5?d^hwye(B9z2MuhAU~tga zd=#m`%l)xV^B}v#Yn@@j{uSM1?Vzy5)#l5Bgj=74?2XRNPZ6u50~DPDEfy~;ma~#0 znp9roA({PDN#0F`eUkjQIpU{M_{ZC3QzZ)G!^mRgHwmV$hp7G;f8J>q2peTlZ`lSKp5Y)dB}5#yoKg zUJ@uGrSy0ohYpn)RYj$upSxCz+4ZItlO3^&p~f|rwy5lM5~7L1HLui$ zydn&a4z8HW7_ep`0Iz;5w>uy$6lbp#E2Hpg_#OgTR0V`gS%W@cu_ zIHs7HVrFJ$W@d_+neD_7r~dl4YE|{Fz1WKx&1h$()$VBKo#%0c|2}E{fHwse;=}`( zCw`vI5@N!W4_iRMO7vnVQ~^0_bzM4&7Y-TK`2aiog}(PoFqJ2V*=mKY6;l4s5b9vU zP>~Q*$AI-9dfD-f2Xm&WsCRbi7v(5;CKy_+Pemje(%2E#_pD2uF+G@@kiYQuq zkV|OJ-YGxI0Y^0<8Os6|AfXzeH7lr)a&8PY{~6z8nmK=J|2af%#!@5amIgozR@Mt~ zVqY)!@D-libmesMHWb2!{J(G=IR1Bff||FJIgnAw*wP&MiBd3jF?VnSa{W(;gNnJU zqq~c#xhs&3^Rtu6XA@CJ2e;2QpEX?nqvro3*Wo`m`G4m+{4baTqXaV>kdyhp4^%+l zbM)d~Zj!2Q#%|_7K0Y9$q$)Fznfbr?6rTl_&%%E_`G4B}=Q4LN`_FLx`=kCJU|k4r3kE9Log~s8Ca&UIK!W>YnKW= zJ_;-}X?jtI8ig?Ypq3aIj~Op23>_~^Azvjt<_mNEkeDMHu98`zO?;m#XI3Oa(p`9; z5(hpq+@p;Ubrf_+h8RSC-3B*VZ8YgYcuH6q1L0`w=bW0!hJ|pS3EC!>$Q&JKw;qIS z!10n0XXIo8nfD8ZJ>j@sYs~!lANJyCowgr?BX8`OSwS;#$t-ilj4kUkabV}yqDALs zt{4L!lk!I5{DwkY3?I82m7JIY>yMaNCwAa>veXG(jmNC!)_K`x&B6$I4w;xa&15 zd{L)UllLK%H4}oKc~*GB-tdcclzvheqhs+hFN?-f^foJku)EA?!m7JA3xd4cgXo2k zaR{TQap63ZILSJAdps%OWNAVn=J#LU zmGDHtXaO5c^C!M@SO8yC(G=!Ys>#YGytmBnr;vN&SQUoX!sTxEqve%fKF0qYlqbrRq>8L(^{blPl4#%gkjwjx#i*|k&@BXt|j+}mr4 z%I;lfiwz#FyOzzhylayCxAz;Rn19cwT4t}Qqi_MgfHQkKnnx~i7HSaf5Y$Wi#zTlq zrc8^DIS~B__v12&oHB75eSsgg`_}#pa?efeOUHHw`@C>IpOn+TIlHwhG%}Z-e-P7u z++cghC(?!ZhL9cy2Am(BSc8VcUk7p|CLgtD3?XBG&Yfx-$`INS+x!cidiS#%8+z$% zY1ewYc6qeCrzKMX)okhZgl);rRhK7Rkg**^|M<3XbGrGnr;p?UopTR4vNOn_;`eli z!Hwq9=d2rW{d$BBO?KKZ7dudtb;eCBI9ePCFkw#-gQv&nXqDEm7o_5f~ql zBI{Jo1`fU5+e?H9J*^+zspcIirMZ)xv)`^GRD;_Sn4V)SO^t8v!;xsz(CS*cP&Jyc$K8x zB`g?i0o@?CbXbf?4H==S%Lah`A62m%_+nu`@W)Tr%TXpJZ3Fc9RBn>%MaC{;z z328qT$d)O5^g%?=^fDwN3M&C8f*?o*Ii8*s0w)=`MfV*h7cMZ53}+yOz--+CdABV$ z8H5%u3h#g%7!(<7q%46lRaNL>M;H`@W(Xt$E#b@r7$v1RhNlc4pbsMJHmj43};#H`}Chs zo0q1fv&lw=5Dr#bpkR;WmQ*AYo5#B_8+SCS@raAbwNY26AckSle4d+iasu4%H+=yL z;nRp^m4s(usS4>zS4CH$K!)I?i6n~6Oq`P&D}it%jEt$3d8(F-T9#kEi0zuwEBo76 zr@c9l`^7em`tm53UiI)Uy1i@NpLex=^|dSbHH+EWSiaD*AVN1~R<<&1sBU~yFe)|_ zN%8vJ#vHd37NV=k&&XYC*fOnTUrQJ68QpvvSG(~RL2f>7*8G*}dL1*;9NKZdV)URA z9BAGJaXzaUTuyRw7{G@9r@A(1q+Z1zE-y7!Dk-lm^?SWwcwm9_Jkyrn1Z-PTXhZ61 zM3njj4t4R+hw9`(qxYS;EVXbv>k^$dEmbHwHMT{$9Qa3UF~0!0I^E@hO}4Ewq*!sk zOC(f=u9-EHWs*@rtQnJO6n!5jQeY99awKsojguz;UkFPN>688nV5q_m#x{J5hNp#- z9@459KF;ipXFkjDHl#=Q64{( zuSQg%NljeDF~JTMmcWJ6vT#g6uuQ5Ha39xz(oj}yjBv>T4+{n3>dYNc^{0xBc`ZU( zRk^CUE;EcaLlesQ_G^?d1Se(S8ru<#Cro-oFK7IqJiK2|cF9pMS~p}1j&5yw6i1!r zRb;l1n^J0&s7xb7$qL$_@?|aF?!rbDvYXRosz6y>dr>r(nf~eCKr&mN`H{W^Ucz?^ zFk8@2m9W-B3`6xU2c=VfGb*&uI^~B&4B5?A(djjC{-7)H`LryO;A_di-PEKgv~S7= zdtVc~A8J4M?gYrH)cF4`ed$OtBCJ;XJ^L9Nt*Fqtwlo7jB~fbzove3E7ehjroTS$# zuYtemnhS88x8T^Q)|4AL&{G!iOIB;4P2l-xbflz#72Nr81AKAqQogSmsq@o#RpjWm zxCwFo0FDnZTliM3Fv@PgswA=P@vOF}G{T_`Z+2=#wDsqqxyfwk)_gNA!_=96$y-$k zMqw{;r=7feQ8YmEj7LruX@Ej9E;=J7Eppo_(C90)_R9Q(VDyEcYZ;-ZV<i#}-c$-W-7#$v;ok{aNWN{hB(Q!sk&*XndV zXM32c#L;+Amj@G{Pb)KkBteCU0-{yfs0vS)D_Q{!l-y)$IorkMr>= zHBm**+(HG?&t-j)c^u|; zfW1p{!XQDF(awB9ogyuvau!PTJRTI*GFlS+w9=5XF&fR+iMq%OXl&1Vz z6q*5e&y!n)W3-ThEh2M3bA^oz%%>MhN6#xjjxDa7YN+rhP=uN-7dXk=1w<;1%YH{t zIPU~5OxsJ`D}7YRHaylY%({%gpoHzZOybDbvp;k^bT<`0SzPG=fbRrC$5r)pRQJd9 zF?WnL6Jv|4P~ap4RkZR(R;ULSc_qa17>9Ah7m|iic2yf9Lk9U#t+kpYNNoA~p zqM&#kDwTPHShymGqK*lj9fp65d6xj-NSV+=Y1|4jt0;oq(g*k_H>>C8UU5s_NEK>& zi`*j1qDQ^UK49J!vajp{?e&H32g8FfhfFV*eLlN4oVIprXP5ESnen-2?-oT@Ohv5-WTTuY^zC{ty5n#&!E8#=1o8>X-T zT!jszOVBz@kQ`@bfFvqPY!v!su4%R#0~t~E$Pkpi0YKpSYF!G8>niON#(Fbe%R*PG zY`rPVdvp0zaXm=JNQt^(A8vUbHx-V4#gsn<2p{?L%UP(L$PdN>GCKEFSsO`{TP?X*Kel5I4KFXqojw8gmY1bo$EALcrh&$ zK)qjZxGkJDgi1YvqZt~xA9uX;NTV`4cn-Xn{f8qxO&OTWs3z=?B5LjG|mLIFz3%W&9!{x>K(7Cr$bJy zln5ap#@G@@O(`%OSkjez3Yar3gmSK=$2?RrR~0u`)rLd4a7qbRkRE4xOg69~E+WAz zLJYHuZ4E2~NZ;;r4D^FGNc*gqf}6xO`wo~Dy=>5V9w5r2`pzP|nIcPi?Fm|HHvzlb zHOlXA;Fe=qsPV*+>MYhJY;`oOVx}hBSw^=@Y>St-pOjQny%eHI~p2DkB{C+tYJv z6_hEOWd^M^ptQzd@>s6bCNWbZwk0ED$k;BbiIP?orkXl~$_b4d)~YJmzUSD_ zZ^7p|fN8^WJYgu2?@#}DM6jA_SYbsLnj<1RDzeh$(<@Ya02e)jGJ4(52I-Y^R1ZB9 zwx9xAy40rqdQ^cNMG?T|@AN{xjLuqbN<_VKXD zn>$k_^{W9lb63eus|of1CltAKZGzc$mXjBh;;DD!^Volgl63p)DbWHq=*7tuK`7e7 zDeW4?>%PgzP{^r`4j1T(KM~aB5Z_~XBks&T5j|6D??pyqrKqp4?Lnkym{FK4@Lp}j zm2RsnEDXC?+=nGfrtmD`lw>3QG@|u(g$BH1&)_~G1n&off((GD4O!ncDHJ6_o)D5z z2gqoQ0;CatFh(hjBX#6QHqb;4Qvw3X1Wwy50#_hKm%fSYvV~py zud(ohBoYekxI~wNbsYW82|$N8rGl)1fVfg7YXaE!d;tkYMjA_kpMRxHOGR|>V12!)l(P< zpQLj>>M8?jtp*PnK7djDp5^|yEf^5#RKGhZZu9_6&j_=?9osrk!1HJLj~?A22}`C| zsvRs!-VcGkMI>yvzKjSza+HclK;S(LL=|9n4JG-kNwfkkunLyvB^M5YN#azc z&`$~^!&XM=`fHA9C`eGpz_thmL;+?g`q^#dpjBwy{d=_sh}{#s)AI|1nh&y1w7lo& zRyz0*S;vZQfV*c1`N!LG|M6na6aj4)Wbq-o?_0R27!=DpSeS@`3~oYb6T$?Fglto| z2kv69v4E6McSz!*f9$4VV>bLy+FwtrzX(WY9n+;bMrO7U=NC?HhE7ffFDm)N4iP5J zFN9&>%TN}GjEIt%JV@LtCC@aXTx7!#9^f;kM((3Hc_=v|swXrc*`r57I{MBICB(ot zUXnFEMg772zB&`KM((#uM+XwrGoC(ip51)t|20AS{)de0=Zx=KXy?_uDCX>^EzNv} z44T9cnXKC)rl*^J%HpCY)B^as8f!~%A#-ct=wYrv1?cAl%A_AP;Ua_9izdoRCwLiq z9$bY%AL9E%2ZOw5BCGXY*cGZ>uVu1aM$^le)X^J46rz#NQd>he-|61eQh=kL#B3j5sv_7mQ19=(%; z4-SX@gJUA>(;n+>5$3)gP5T`BGmBlb?)HO#pC`m!>IbWv+o30eJDWL}=Rw39JxNDB zotOIgXJUZ?AFO9%x@V?jB|X-AlKEEwwin$=hXKBWZ+M0Ry%(v|`#I}-zwkgL{2TA7 zR($oBRv}U_;&vpZ7a_bm2CX;Zdj|s2=Rv}=XkTym%)$&Bk8)w;{t4a+qDb#RZV2Kq zQ`w&H@ILM!T^q721>D_#`)&$oY34^+iJz|3xN#ToN?K{J`<6` zL7nsylrs}vbZN2`AEgsl@c3!{OH-t)Y{_yF8-BElDtpZg-2UD)lQ3Jv^3gJB_(rQ_ zOH}o5Ja-TdL(o<}#$J;~ze(n{qr0}b$)shDOtlC1kVb?}jnRHExJU-cGtJ!PVRLEU z->IU%wgb`)qxDCcl{?4yP*8;N$nJ=bKaQ+A*Tz(n_g&2mv_kG=7>j-^V*N0I^Rs(Q z*{pcO_zG!*buLIBK)At*OEYTQp0oG+7Iz|W!HDzpnJ*XxRk4v`=0E^io6WIyI@E^+ z*OBlK9(VNQNAC~hi^n&iI^OfQAIM0jn_=O3b`_ieH}Xb428;w`X8DVciSFBIk(DZ^ zA?o4oSlx-HVJTL`>&81hqdU%R&$1h{AtAXvgFFg z%qSv&!|WQWpGms>M^E`c-px6QMR(i~rusN2p0Dy2BRDNQj4wmo7`-aZxHo1mQ~r?g zaWxm?qJXQ`v<%9fZ}K%4qTCyxCYV|ZRfyzQ2XN)Cvcs~=D%e+qmCTZ+gk)=#lRrsw zVY5RUx2Hs(=x8j5YK15?LM>Y_Xz2ACDQMi6>M#5z*s)xZrkGb>IwQ{)o52|%RQy!b zuXL+Fga%7QZBy=@Qyca(;p75Dzgv|JX&443$vhaA^TyWJt7skpFShdJLh%p@TAb9F8+h@ zJ}fTzlfcO7YIMr3p0eBDQ)+AIBT@9C)of9#cELK*TWY{d!nU!}+S0Spts_v~GM*6z z-8871LrkxuU32@f$N znQ?uw5uKg zUuk{~H~?Y}TedhoBBgO1LqneU?*TKqIUTY`JK;J2ffx8m+qnxZCcCY^znY6DCHFyR zyPk$F(i?C31X5S;(jNA7Ghj#19^j9p#ht;+_uOzvd*zj-n;_2QN? z^+!zzen;CT5eXVc%GZwN0My{%k3m>$S2ob1Q&JN&5N+n&JxA8FEt35ZGmSA5ju?Zz z5E;z5Fie=Cy|daGNiT88akGaj#l{j6adOGNq>G)$VDF}mHJYg$iar^B9~H9k`nnWq zTi?&ny(SLYpMSTX4}9)x)BbuuKnYetK}d3gMAatB{wBpCK|6E>Pws;l9&(K#O(RZm zxQ`i+w1qN&FD3q!md!le=xFRwnwH{HKjm=bixlQ%T=-!oxzr32JAMkas+xwa^$3H| zOJeMi+(^nI1+7?-T;dV(c1-zWd9cr{V+4yvs3|VDV{a+Wj{9kU{AuA zTA8?&YQ}e5c=BpdVwcOksQEef8l-rhLR`^I%&Rb=siD>WQa1S-IA8oGZH;Yabg7Wm zY+^J7hDEQYqpZ?|A-ceTB{OH8sL+HH7ND}Xs%?DkW38B3>2EjZ!Jdh0Sm-s04D~Kr zRxfCxIZ@Q1J1L1_FVxadTA|fV@PjAm(mvlYxy*PiYtimlK~k41?+$d}=$>lLG}{^5 zigiqgTv&V4)TA9OE9cN2)uDxSx=N~bgSScOkal!jk?*NSxeb@RXYzuCa6!%k7i{4c zSk>eKxr%cxwlY=K4Sm;ZJ?MY;5OQ7aK=$X(Y(49?jxv>Z^LO2h9QFOu_Rbxi=-~V~ z=;Xq-*L#GMPGf}Hk$k?APa&gXl6g#lXL4nXFbHP5mT4LK679+ubT-JdXYVA_eBa?wMYxcUV{$}Ry|cZr*@f*mZ4 zjG2LM_A;}^3qE|qrFB(zO2%(`)7Z3TFqc41ks@Rv@n(%^+&f9d{VgPGx5LU-qATd~ zkA^gqxZrX6xpnCYdk8r+S2-XwIU`r@t95k&yMtFnN1UVzTPRKAhkq76j=jWRx1_4= zx;e~JF@;960myWwd}Oy~JNr+`!oH^&3@Ai%*Rn}C1qQ4Rj9_}h75)tA{X%uM!UV)M zXx~9^Vo851(qELO9@$CaHHdKd+uCIX%Bk?xXt`RJT5Gi_v&sBisNaz8cxHqy#zskT zeAVwl{Dz>Z$7@aZE4StM7EI~8PSs)$d%IU5Zzz-cCtT4LckAS(47`pKEPkHltCv&C8baX45Ldi@aVSP+@;!krQq5e+P z@;`&FyKkMhPT!)R$v8K=Kfc_3%k_8#u@3jDytGeV^kM#K1HE@A9Wx$u^}0d|UVafs z53SR^08+p6%lHc*9W#kO_wm8@7FO2No^Y!o_AWjMlWo;wF7?YHB1WqB;k7rZUgo_q zM4NilUtkNdA~M@ybiLsTAEY6Z^|W;m_iU0z^z|0N;12Ne_D^C7z7zBl0takqq8mTM z++=;>qt#1v4N;3ML3I79ls#hTgIFj`1vEl$L9A?WqN?=5YMdeyEd6hYWC_qc7(9(c z_^cTEgG<~358dR#uLBukne>f_OnkxhZX=h8( zp{dAKTd*jo*hs|+O<326s)X<$Gc7UByCJhYxT`!Pe0(#}6?<7NBkBD4-W=hReK`1h zr69hKVR=dhg;X2{kAcDx>B2ZOs>cwZ+MT6ai-M&Jean#pN9}?*&v?gzgQ~@Xr7jXu z>YAky)7zpWdf8Gqr@l#5Mvs%lbjrm_Rz@;Sd5_Z=w5f%TZ&cH$C0FPLjEy_Dhonlr z{Ty%lp2n9p{+2!dnAtJVDdm^jK~x}ZS2-a%-{F?YV>KoXlbtSFJfRmNlX)ho_o*iK zmPV?b7@VDStM1gx7|(3Q?+Td2Y?00?!QV@fl`H4Vs+fZAll7gSB5&toiZ$6U zWUk{v&Xyw_03^3`b&X0kF7RDO3$jgPn5FZF&`;@%cDpr9O)kkZ_Ykp7Ok!@x_5WaC z8<-~hH6;|fAtd7P~bfUy2s7L`j7>W_$PBcc_64@xT z$}?b&FO#H`NWmNTOK)*mT=`d;B)`xik;`ngC`KDB2KwnFi&vSu>_l<^JUS@=h%ec! zs8)x!j2y%<6`@X@sxCI6u2(KauONFQPi3wx%Pifhm7(4gR+g=@%om>IuY~9qM{I8N zJw}5DI&gW*EMwYy9NlZhCB2;#XC*3Z>948=LB6!Up~gh6fVu*FQ;>9u(^t{Bv}LPR zV$2g!so1{MkU>kJ?_j>6V74RFM+G8Q zHu-jCip#W|H}r^yH~R_n3P?9Y&h*N$HeGME=zRr=z`x`jdVLu-)DBVgM+MF2C)tHr1CEx?5_?@3*3%Hr)@j=}vW#H)n}+N1GnR==Z*Eoo;qLZ>nb*G>>Ul zAscjU&K8dfJ$0|sy>GC?=2h>kT>jJRhTc$r*1JdVkEx#Bg4js`1EVlC+~M?(VCVd& zwWGi4+y79izS60I+=K-y4PP%%0CuXVn4eC_;Kz1Dzr zY8=J6wmFzp0XZ(3d=H0Q#JpIn24i2VZ)b*l2RnaZ1YzNtGH#20JB3BBOC=74TIGY@ zl-^R6@~av>>;9tbE+Bo;f^m4cX!D87c$&Fsg-rtcXrY_eWSQ>(MaYC-a1VuAB7n_| zKXHUUUyaUoe_7lVMam5C4T!G2OCi<#L9dp|CaFID{bx)0Qb>!stl5j&5wh>Py5bSN z_UN$Dk-qM-f+nFfU2=kerhrQNmPQ7Sx;d=!XpEKli0X2`dqP;Dse6DOf#02 z_O2E)#+oFy$FBvJ5~`Z(_8KL=V0SyFVmgY7wk z?LD1Ivpp_JcOSHsp8gtEbd-HE-ShMKY4lPfX)`t4z<=T7+O4Ok$qshPW~5SJWaKht z-tKtfjKNpG@8Ys&{v!EIP@>&xdEJhq{mP=4_PqXfp*jDKJ^!z5l!aW%COe+j znUygI*#4`Mfs2uZSvFyt>9eb+V-u1_p=2am66@`mvu(dWmmjBjB$wCnn z0Zls^_l6}sJB_*yO?VEJ2|E@yJKc*8e^Kpn+IQi8E>L`2he11vfpioqnR`}_pFbS* z|JsneiKIczQ?~AwtSnFhE_+b6av_Wb5!K+<%mopgaFO>EZU+s1sg&T|enGb@;FS0c zzgM$tkwfG(3^v|@+PgtzRYYU;SUFu1y>QldS@?bBpyN%H@5{?SUelsU^jM$GfT`!*!6pz0}rj3&zr zvaC3c>c#qQv8v0Y2pHTDWAaIjn5N%Lbe28kY_qi zM(r({)G9FP__8QVU;d zlYgxydrtTKsp=K*d1;w&)id-eKG36?>&1GBQvMwhW}lHN#yxEHHJqpx61n#4 zw@ZVKSG^08lY&1Ao8D=q+ngE-)BSJiThAq{29BwU3MVh$+P6y!4gO_1bTN6){Cb=H zaecMuZgK0IdZ(l4xuZGcC>Zao59JjH`EJ;M6QlD^^6J$Mc3kb4i&=k{fb$$B+*KUC zot^b#g!cK3LU=P-;DPMZs$e=J$@kOGU#?5si!SQFndzakxkHdZc zy6@%3{`L*>E_d^8H1_Go@tfeu-A%X2`Kmt-mCKj@d%?|nO$`@I&yRm0_q_xEzTSv? z9v<;&T~Xb*ewj9n`)xY=?5g<7Re$s2S@0XB(6=vtzu|~}+~UJSv{|qa!UF>H^Oj5) z2xH+)Aj{^g81RsRb*^k6Y~&weaPjrgXhQZeB71dzqUkI+qQ;FxA&Y#}>4Ig$T;Xr~ zJ|m~H#w=Es67GDt5{D0@ZehCk-(!tLzefwXd}dF>puO?Ha9p?mICb=Dg$}kV;az9u ztN6r`v9%)%gXe{TVfsBq%g5 z^xP~wT`|}|bj8U1TK!Fxcgtx%^E?geUsro9FAn}HAwMlHz#WHVRWM(XfRhIb}0Y0a7+KYZ^m0%fOepkGzd0MJAIVl)ktW zNo0;#{K7dlO`8cZb!z5;|ASe`nSYX|5-+rKv7r)eTIw}xm;$L0WvJfH6*$fkK8a6X zj7E6JO^H}$FW*hgkC;6*ler}VD&O2J=T1akl^4Aw^OP%o!b(vDSy6BjGa#Ey%J;LW zt+a>jg`$61-3v8)lvdN_Dq2s;=4CG*Yj#T4_CO{ku8+#_HCE=(X~WxanzokH;bd?4 z$DGfU3a|5-zMu%Ou0*))I53%C8`s)yC-ydu{oQLg~2erYHA&sXoIY ze%(El`aolU2QDWaF%{w9@e(Ro%~LckDDcK!*y_ zZr{nXp?E>t6Gnl>**o8E2uC<(o!t7psV+^=C%;+dDPGGlv3asn4~n0!?UuLaJB80K zH>5WlEk(C_@9?ytCC~x|S@Ju!N&dIoA6%n8qLIEPpb_s5ZkRm%X&L}fQ36un4@U!u zHIrR@LbXWC$SD#zg{?LI4IZ9anH)MuN;>2Sy`gFy{mcynvD`T{R0#nht@NxGOT~dt zLHIQhsuJ@!-g>`jwS&OpLG9cuh=BtzVd48e13x_A7V@$8vJ?^OEYwClbuS0w<;Pr= zAn`*C5V7d|X>&eoqyA31TIEDrKTaZf0t+NQWj9tntN6JOx+NM)PKXncq(eZ-{u&)c zx1g;m9f6$jJ*&)X+Ch_fCZwq{!S;JjF2)9H!wE79+f>1tepazPpWYbLLCGF?RHNDMxLm@d^c ze5|tC>AjV#KyD*i2=58KxRmaIBl$h!PrQK=#Mjm=6?1M%^WSuot|&C)9qwbVjH0Iq z0>wq!p)+i$b~J9&F|qhms@w_SF%cE(aHzxc8gs0=lqFkze&;Sy~2C3WdN zRb6+qQWFMywt_lXX9Lw`1e2fLj){mS!x$3}@^-Gf^(k7XSU!K*Q%Io; zVjMiQ8-8e&S48opx)ID-LrW={#}};F|67KSRIGMBZqsOp&qH!{$j5I8Rg2_+)>4Yg zg9X*2f$-oG;L&%KvUE4gJP8qwX7EM)*<6ZG zyJ20C0^b)Cmk&P5g^0&Okd*lvqrG#=x;Ef#uTPx?MA7Y@;H}g);%*>V9lr^NE1;vMM z`j99%dRZvC-IbfiROG_*UzHO|O=od1mn|D!r3`xEh|gxkMfUfS=AIr(F6`g7{&7K8 z$yE~Zy=GXPf(x9 z)S6!u)@*MF4y~^XCaoi;tV`nrILPYplMzxxM!+Y4I*Uu;FI;r}iF9 z=#_hrhTGDJ*{jVN^esz}+o@=B%IQd9g|IYfgqUE6-f}Q81YIIgZYfEX5}^VU5k>NB zAZ77_9YXqWa1%|cUTR2j zuuSzcYa2XHIpJKwXPE6r!c$2(OniL#YRe(>Wy$(WWwqZ)jiN!)Tmo1AEtEVKPjB}NdtQAHW0LA*aL0Rbluj2F=R@;k!5!yG6-jo0NO<5V<@$T2l7JkcLe;HrP%pV(R=8(;jEGs?iWFGreNYlHn1FuA6T>rN{238raB_r>M02ZJ{DQh- zCTa9OQH@aR`Q_;TG@hW~wqX&C&XA57sx}k}+5SuXC=^=i35we(!#6f8s!iqIw2dT1}brr4D}EwG)Lcm zKsS#U3|kRTpunVDM>l~N3}2HAN|a_6$C#}XUJwt*63KrpJM!$CesOR5ryZ;-ZbPMxS?zcFu~2mdP7jC$N^tU``=G zPr{qm%e)ofPjJLBiNToU67P215b`3?FH^-JfC&#oEre9X~_1(GzzB)S7gfgWMLoa zQ+K2YW`hZXAR1lr2A0fYAA$Os+@m53XSVozZL%|+>;<$OGGBHBe zS34+GYEzlI!z4;cq8Jx>ynRh76;izYbou#4U?D%a0hj6vicdWu#X>t7My%~2hOu2H zjU_5wVlgg8MVd+r8TlLx#5D{AmX$1%n@Q32JSjs#CBt=tGROqDYZ5wAM+-H`2x??f z@Mf&S11~}{#NLAe?o7j@%$Pul*h=OgC*XdMdm|%@85VgG7r2p;agl(s_%hgHkr9)I zjgH#0&y^MGgl&=Ir{x6)rhozvi$P+UT~(G<)s11%;R`D&8*4BJ%Liq{GlNJy=YT0! z(HYl+B|}6Ew+T>(p@Ei6p9emV{aTz`iIQW`)+)5{4Axy>81Y+D2#RAR6jznO;REo)*!$Mf1U2i+a z{b3qZLJSOtRO2{{%{mz<(+(<>bn_LDzdRXCXS?o~3{-+k{tS8vsXrJ?uT*|1T*@j7SN4t@;5{sE6iI6w2+4&147a%CD0n~`)O-vA))&*0LI7FPtDft$T zPC;(xj!U;N*dQ=Fl_J}UUZ1ea{h5+G&Zrz{IPuggNm8lOS9#fr1q)0+#;C*!pe z)aU>Kj>&7i#KGfJmFy71_IH)Y1%OvpEl~c$)_emmk?YVAhwr zMF8%GEvR~_nEJu$hL>hc>Nf@&q`FIQ#&Uf?J1EPbFuvtAdK4PdrhZdOooz}luSTgU zsCCmYHP)wAc=m71kD;bdM;7d8baKL`EX10W-fA4`mZ>^{DL9JkTikRTP97c{9!?A% zN%|ppAPpz!7Iho!6ejY2${H+sb;HaT9~T;p|dHfqpP`#Shvk8Kk14^C6qw%F-gRV?k=W`%o9ns(s> zi|Jd`?dNdyt)TPUl|84#gVSl=<^yNoDuJPRuix@-7A=BpP;U?v(0E_ew*@)~B`HSLRM9yIJ3>dG6$9Y9B^JgOFP10uB0|#`p^cc;Mu&3^B;7_8nZ%D!LmX)~7`i4I!POl^ zpB4p!=?FM+v&mvg2gTvlTmB(K{j+H0wVUph9sBvo5i5>Z$>j37mB;(-qROwtp>NRogxsLYqC&|w1F3~GHv20Cp{j1#zdXhJ@{ZDi?t|ZQ%Vq$pN z$!&KWn$-0g{VAH0tlqkgi0!V$SDM~1LijdpnA~w+D1vPDnY3ZkRxZ*p)v>hT4OZzB zkh$G#^@i8cPPrpsJ&lfoV7w`=GQ80&TZ8C1W#hG5)8pLJC$U`dBivOf(rjQ1)@S*q zD$~;pv!Ud@2FDn*=i1k`byyvm7wc2G?+2{s3|9Sfbs&l)zvRlArm|w^ozLd8_2+74 zrzffA{}EMwPy%X?7GO5AuaC@zZ^(!aC{C48;+%^Y3g*f=Q4|&X=_pKesEAex=gKlo z@~Hb~n1*Azi8k8Z%f`!Sp5@9oW{ZXSH9E{-azqqhmPI`jrTs1Y5t#^6#BAY3gMd)H zQCJpdSiX;`tW%T36JzKT4vuWDTtO;JcP?ImuBxpr#gnWM0R?98F@f^SB9AgoeIbJQ zYcljj2#)(76rx={$c8Fw8m`Ks{*|%v))lcA|3V`h)vbGMF3TjY_XRH4vli2w4lgnESC>{%nf#@4!(p~j? zm`!H1Jy9Inds6xmWt(5dV3y|u!r$TdQbBCUaTG6LbEt9`@nqOMeiuT4#Jo0N!c>>Z zL|2%zkDSBE_vs8_j;9`LQS@SyRYkt_nMl0hAg~@B0~R6*+Y4g~J2y6uqsUh^0IR|vHR7%i zV9|Z`ujiU-L+E4?9q0Gygbc7|)O6$@u(s>y$N~?j$YX23hj`Amduerlkhkjx*Vf() zrsB5iUm@2(KP(tYm*3h=A2jSx*oYNE zOBL?V)S7A3q07}3{MAck^e}NXu`S$Mx;0*DG}Y}{u_KtVZD^lrD6yklw$0reVcped ztT3}R()>?8i9o@e2J9z#0ble!e>Cjle(J480V)1D=?PC4&Z(>>i)j5}lIKC5CLGYZ z9-^kvHf|m=0w2Am*y|P_)t4=H>F(X(%j;Ggai%LNaRz&4Ia}5qaWPNpcC55F9Q}5U zU#;9*?KysWd9d2A41v-poCV-+Rw?)l(L>UR*jIENIR3igVh^O*(FJ(B^px_fIzHf% zJ;-T3a_91_es>2|dZml9(Fs3kw)k^8Gv*p+wPNSsE~eu0d#7FTe%1Z$`3znyFL?eN zh>PvhhW-;x@_RbBhDmP#p0I}IbUjlqX&J|@Al9>tdHi&kLTIYhQ{b$3UMuiu>&tJWhS6)htIG> z$%qjHJ}O)uv;ma~3tnW1Nc;d2B3zJgZrpK@G!@JLzF(iF!)nDqfF{8#l+$9s8I)nn zqRCqXPfLhGrWoIVYy8!JR9xwJfZdHXdyvu?aS$zlflzVV*wJC%lrbuXdJ)NDm60?o zGGx#5!LA*TaD`4$hI7J(JvVBvAH8G795!dI2yhlX1?vz9E^yx&aIpS?Z$J{rrLpu9 zoE!~@6D7}x4@!u#YI=qHO8I2_vZs@38{8cQB{`{r|uT_;z+V?4y` zx#3)oQ0x(suNYJhB<^^jzEen@U*mbt5h^=>ZIR(d_#n9;O@-=Yg2rwtG0dAHY?-mp z!SB5W79;V~K>OwPP_yDbH(uY~`nSZb;Uj8Q(K_$uj5@DvWhbY7AaK7QH_+zYLd`G2HGNb&k#1H$n@3~oKqRr zA0ZU^w;w+8kC@B_%JjA&HNo8pBV7^;wehC3v#>r6kVq|C2u}|edkdBlB=`&C0ZuPP4{3JSMRDo$ zy36YLuueHo$g@u5mawbYI^lFzX2pil3#C*XyneA8N(Xfz`qOWj6--aaA9g)0fFf8p zNQfkW5j0rHCuRr20)Utcfr-0x9EcaSyp!Y_Nm5N^9tp=>Vwj93LWLEIAe@7_v8NG2 zlCgh$r>G5Q)#J1t5$*@3f(7O*z>?L$Q5IW9Ix{T_pPd#2=5iBbVj`rACubdzz`5a8 zPci(=IkpTuO4SGET*4w73JTIk>>evIL7=%|Ma$lyBo~(rA08E;s zDV9iXmQ*V)Gz|o4P`o|Blo7eo0hmY`O*fsinc{u7Hx?C+!^%wE5De3&kb)p~Ocg;JaOH&8-;5FKALjZB|J6?eO+y z@hN;~9%(6ukfFILKdoM-ICAPHu8>8w78(ENiyAz;BTIvT74dY3jvEst(;F`S_?F7uLK+>THiS@CjqReYUM*zvInuPiXS@j;$iXOIW)L;bt zB(vO$i8pI#g7HO9`8f4zZaym!JC>EMO|kZ#)aQ8FHU3(mFV%tNwlas5KX{M`dW!i);I6Gs$eOc>b>T)Y!g|S*|fh)T?`@cI>Ea&Ava!xtj#22gaLNi=G$L z55L)?O0+lu|CW0N`lkClbT5Fi2EqiQ)qMo(c))wu`r2_t;9#v>UtjzI(LTo?#*5Z@=-mzDAYAmc@jLWy( zeGa#wruX*lt<}cuCM7w}Y;V_h`J-BI;U8PA_PaFtnzmhN+mGP{nQt-AS?&76Z+c-( z06pic%Nz5Pu7YvWZyYI?e@+fJo3BlOQ<#?jYkrIhto%z)U->F-lkEk)3tC?^DUwu1t_T8>dkP?GCSbZ`_<9U3suIry=kmI)`^qvg z@Xju}RWSL(#s%M@dknwV=m4s2cKE-l#6vG|d9%i@9rJJt2<;8z?jSVVU<>1C3mF3V zyyG~S`pYq4>()s!q3?tv_t;e^I%uziE%vaCn}lZ;gziZgP%s+`DAL}65d(C5nG~4i zuOeCleZR_ijm5DMVteaZV_hJ4*Gafo8929}uon3Hg4l(8s7R5XKxDL{to(hoFd~Ub z!ho|5Oe)bR;D8Dn8p|c~JW_dwEQXC7px$es-?;X*3sj*<1_ChiDx-gv!{1fu-(~TP ziI@Yr26;6bTvP|faRvu8P;Y5#q3s7c^qJ?$Dr4Sj;2}bb zjtSGj1(Viu`N21)`fdSdvZ7>7 z>sx$_9MhQNZ(u(hf{NBD5L?r=dO``tLYk5`juEKA2P3Em&0F40o$mZKR~ zoekEbUu`89QpQjchW7M(ctbd$oyKHb*a|E-OhTn(e~hU(4hhL~H^dE!{E)`fA6w6B z%TsAVNg4%(4!!%N-u6npS8|$^wdKc(XGV{^BuI~!aW+_wleJ5~1xG?Z%Mezz64s0N zM-8(kjnMTrnmBO0_sCfPY>mpsNX(Q8s*{asAAfhPUoU8V?-?h}mo=o8Jo4E|`~@V-Rmhkv?meU0>$GoaRBgmc47}ap@ZrT^r$SoSbjwy$|6@+Lqy6p0r-- zh$P+$x@4Lw+Jf1q6m@>KLm{{ZE zQ6BSJ>z!!hQ`uC22<=n3VvnW%qJ@oF?^%6ROqiUFQUN~skSRg zv1l#{l39rg)}W6XuFqbHN?syL9+?bSIgj|0=`{))znrKoSLv~|khl_@+?eInaGt!9 zuTMXirp3#jn|E~?0algIdetW1-wt0PU4HVCA8Z4_ip=~p`=2JD{+B0DhyTn%~TbQgBSvBLibgZe=DXX z{NxB*8!&oRP+KYjTTDvx4M&e0=(So zL68FCgo>RfY?q)5934I&s%n!`O{rqzq2k8LuIXzJHnDyZs#2iS@&j;tkaW|H zPDusD$Ol!)HgRPFbuNaH;ep0bj(+6Iy1nZ8Rz&<2y$3uw<8kV~BD zMpS>!{caVwKL+j3gPcjU?xNVA#XrU-ZnCOGCyNZyrgYo~Ff5{tev2wH`gcWr6#NHo z-Ny7<`e>R5XM8PVX3QUkr1GYuCMwNk%Sh>rYF=H3zwDWn0f(*RW>pr4+liX=mi1MZ zkv7^(LpEl2L@izB6?_h6ZKsEePG)W%%eLXS{`S5sV$*+P%SP3zSVj!l0KXObU3q_CpJOKdt>1d0y- zO*}4)J2JC7W=pF48*dpCV6hqBuNJTQBmFQq{Ujxc7GaOI%crh0(<0liQFZT>-Cl|a z&k{TDWa{V1kk@Kd>Ir80(V>+Ed--v;Glgocu?p; zQzKRfYwIaB)^Fi#MUm?>Nft{MoKsWh;!WC@ap!Vl=XCw2*s1GFk=6%OXAf%|a3kkL z^Oh+C7gYhtm0vHaZ<|8tc^e)Y{l zpW@nH_4$hZLBHtWYJBSQ(p~|1+76+~KyS<-^U1z^_S&wH@e;;Sik14#UQE6{f=ls(lJ}`_h@=p@a3u z7hbc;o%%=nzSdbvprP&8n`{fkGpFN6lFO`w+n+>Lk7Vuo#Jlbgx6~j9{?c2sQfo8j zTYH8%2`Zy(dZ%m#CwPWEc+vt&Tqkwl9)I7S0?Qr;%9Y2saV*!}GTmLHto>tjpDdnp zHlOnVp(DfZy(2>7J2fXXVdr0sF7^!j9D-&clIBCD`}**}%Gt}Q?)z?5lcrwU--<4J zvUm1M=Zs*NY9*DT`{PZO2SO544(^3Z?S~3pNAU|3HBDD_y}K)I)9;KAA-c|kdcsFA zrthQ=DMp9i4a{&b?x##h+j`JQiw;dvA1k%Y>bW0F@68s}^A+sP)nI6^9G{@QQfX`- zcekFDS(nIu ztg#hSrWR<)5%^M+^2F?6rI(Jzk)p|w_#7$c(fr$^ucqOj|I6R(C!AQxo?SM9=u;6j zPqe((!hu)DG_UGHEn2=8ifS#1)EDu}moK%ic4e&HC1)I7o|}Qz-y?0uXhF+v*2#pwsLm)m}`#eTCUoPp?Q|i=s9WN3NAP? z1gc-(T{Mg(hBRNf@X%cp^E1!<@o3kWq)-^2kAdx{&7Rh8JaOertm?`JX>1sW)W%n7 zZRp%YcC=T0;b2Fqw`9HUpcI$};`a@;Z64rwdNJd9U0H=4PV3^GDV1)(9Z3 zIRRK50Zl_JiR!)}1Mt~*1~9kzFkrl~S#O-R;Rg|D3qrc4lfq^srS7ZtMP}9uLZlqNw&8P2 zrrGr~&jS$%CoW{%g5-pvxj6l=71);KIg5Xa*9`97!kVr9oJ1a}Tfr!>me@ z50e=9l6Y`;);ah}E)`dt3e!Hh+~h)0Q?osWg(5QIb#vju5_9-&YeLS!`uNg|JW2Rm zh*T!OWmWQj>wr!!JhIBs$o&F7d6t3OmBk6nc=BoGA7LwxSV_}OOSXZ!f21}(uV^mG zVy+dAPqcP9V1lP*=Z!jdI`#5~m58!&_GuovUv zscH|gJPi=$41ZGg_DLR>XcQj#wKe}>gQbaXFpLdFRNnGf*Q8qxU*|OX;6F&&r0Leh zE<*l!pqv=~T<$?cF}VJMLD*&4K~?r&S@WC(<&TId|Jikhp$T%d2f*fDqckh z-JPl6znOs>Kglj(+9hsH_4i>U84J&F_E=?!c&0cnD`NwVHU6T3R{SNl*D^V&>`7>Y zd}nO4dO&G^w?S~E_Hv!S1M60{5Kol8B>(I$K0f^-&FNdI@t#)Hhz@`uX0IjR{T=ib zMy^63=!Sum1J^Gof#)XTq7FTGXzErGlH=zM`d2Y%1#IF02B|`wiop_-E`8_+v6?=H zbeCkjgf{W0kx`rh4sfM$8lF`{S(Bf&s-jOW-J+` zc4xHFKD(x{RShYugA7VHX0)DuiW)}yT(p_^(#em*MLz99qNXEqf`VOUZ66tQ%#q2z zI@n3Jt{1u$~qt7y-lM^NW=zgtR$r$N~!{$@!&uR2Wr^01?H;`A^jxH|`&!1GtoiJjU66yC-s6 zVtX|D?b)FZ25LT#y$XXto5UA!>ckLgR{7+4_7h}_4>5-+p`6)aW(A61ey7O31g=qE z37SUHv?*P$g$T(@NuMK{yCT;>G+zm-QzIcm<1WTv@kyh&c( ze6Qc-C6x@SSjT$0f=hLN6TV2884awk=IfWBM$$3C>Mv7XY3tW_RNvpXh|;L4Z7ulL z-;;c3o+g+fJ-C9fl}1*c=_SgOPzsiuV6?gOjI0YoYl2@9*UBQaDko_`0O3V!0)6rG zBogO}m{y@TCQCysm10UHBW2oBMKr`_w}<^{ZX5!4l7f z!)xya2HT+@_qsK5OCi(B7Vu3I*CgjDn4D%X`69@3^aCh#6oVrUDHB`p1$Bb*$*w=d z5;<9HjXOk(@UuY!7(a0-gTKu(7v%M)4Qd-?aF#}?WDSf>Js4G#daEV_YsUhq%3T)3 z59$T=@O&NVT79xqSvxc;%vt4>D4CYnP12M}*?Bw0nhRoV^_-=grK8|!aW1_wT-}tb z!h8Ke-`p~j!ncpe5BpG-T!F2vAvIZKLM{adMqXTDU6UAIzhnqY@f|bkC<03<^A=`g zOTteY#?#F^@8(|ue9y?`@```4xOu_rfK!U9AIOmwwYsHk=mm=KeQ{R20wR`C$t&3& zl$SGD;+jzvsx28DSCWFOZ93x?*FYol(N&C>+NMY`H&o^sZ9`@9-56;c$r(VhA0}jqRbCouWnl~?IHyzL@&YPRIbiK}^ zu8?~B(vQCHOx`S7@zq@8ecP+dm=192jIE%}5S`B)R(IqF?|EjNMYk6;EO%KqpNG-` zud+6r`NJpO0+;946be=+t2?(mIk!#LN?m|E7A>h#KQ~C;SEn4SWhcKKx{b#io>~8q z?CjXy5NUMnr-t8l}cZkfr85yAV*lS6EzTtmEg#EN_0e3oPNh zl)Pw+?|rBT>L}i2J^XeLdEe@}8||%iy9!U~@REPPf5Lz5%nkEuo_V(XA>qERev|OF zfBPFm?ioB7+Z7Rd-zLXtBJcU)^kr#Oci4VRZD$Phex5ug5CGt#(-!iFhDR;QIyuoH zrL52iNlPBZCpoOr6`6qha%>%YK1Zeo2YiPsYGLfdH!wzMj(wgDC}4qh2%Hk;=x>4p zAX&%Q0l~z4U8Oh_P#lrDzXp`4hI* z8_|d?sn8uueiV<{A9t4-le7>i?tLo|5AQk{pE=Vk9)wq5Kt@`OT{}V}>z7NOjOze5 z28D%tFitc(i5HTKH#7TnY7uIns?xDyCccl(amA0cX$-;{m$@4-+yA}x0cUVi=EnWI z2pch=Lp_j&$HauHHWqWYpfA9lB!Gg%T%TmO8Yfa8*7ym!j+GfqPo4)$Y*iHP#P<#8 zPZ}7D69Bg!QXM3d zn><$T>e1(zylzQQBv(TI>_7X&Bf;~x~3TfxcAudelhJ}d1*R4jYdqzpNV14?V zdgucH38`K7wJ-WEIYXV~PN+9S5E)}!4ujKez$}H!mk%5#aq5|E=1>&MS`51YCl`!B zXl7HUgOSv0WvJRYYgl(yaqjXsH(QZdh|dd!WlKxq)vQH}h+s8qC|I^QI+B?zXvX&( z(|8cl59ZiMx!VI!Um-`L97?^o!JdQjohuOq9nBs(^IkJ&A{X>c*b)$#uBOGX^d|>X zpO_f|?e+C)A367(2*Z)rjCmG{@iRQi1zkNVxAG!NnE^lWokV%U7g_?~z*2+?Co^wN zIe^8#%LoDr2;30~9B2y6N(h!xP2SZ)GvKS%M>Qx)Gk^#WW^IM*mo^|Q;GmCb1E>M8 zJCG7|zyv}?;0vAYt=#mJNKw8ROum>M5Dw6xh5pyX#fRcv75|`AY@m~dxyhJS#|YCP zbs?0B)gK|0K+@D*+KEw8Sw`9^%&Z??Oi?xz;ef1eQF6~-`nDMpwuihymDC(aV)0=qQ)e%4~*+IokO3zRqr8n>%SsC#t$j$!con8sYc$$J4^mu-|g|%RAQP+;0!s=>1NY37< zTMtVYP$@FEV@`8PNee}h3eVw8?r>bsbkN~78tQ}~!*wQOgXM2o1q)KAwRK%%h$FS0 zI%4DinNLfBZc*(q`B;_)NSKPG>^Llub483MxlflUm#_s)CHRV`7y~Hunhn&|rXBAx z;8U*ahe+Lb(LG3q6r6C#$r?TdXrSp7V|;eJdbrB6KYxIeVFRR>aiNJZnXYoSIxL)0 z|DJD9gPUlXBqE#!S-)WF%y@n!+fxzy?mo5qzC6x}*9_#3Js;`(dr1!@7IA$Iju_rT zu&nxrfmv>V#}{wTuYR-3KWtt7MHVF8uc?g-eV*x<(kPis2R)vlXf;57Y5Z!t2O?xU z?BqWv5(7iNl(8uK`&fDhQ!09e^Mtq;qPK8J7lsGPut_SD2MVKxIe9T-V>dTw8E{1V zQYeI_C7l-WNxFK-!?<^nlL|b7_u37)bKy7@|}Sxu4eH z*a$z)_~}uij@d<~hU2TE9m5$N89pF_{Vnf7TQsF0r1>crt~-wN-4nXR@5V04QJ)+* z?|?i6KHacX*>zgx&wbEgI2E@u>UTgs@pq>%F{O@5m35c$qs*H(ez3-cnzuzfOI~(Y z=tFhp;&DCu1$Qi5g!qH~#fD~FHVvza0jW5Rc{$BpKC3|ZCx=>AOJaHyIoY^WD&kr+ zp4-x_D78#-8cs~5XUhtreg>j!%}Zy>6$SASgTK3YR?SdDkb8QqLb`R1F{(mV>(XRW z*ZESGPN8e2b6U;wZ4g7sgv(2ct-nWWjLFTwPcBcra*JtHjy&Mk-!7DSUu$!xy{VWb za%@xndG0q1!ZdB$5PRViXfFQV{|(b$7_RYx7&tPy+%fj@6A ze5e|s%|3A8qF5M_EOp)Hx*g#Kr~=+ITn+TZ4=rsm^nsdGo6+_i#HDW=G`;H&VX8%Y zL=Jte=XS&NbT4C0A4Jd^*+7RO_Bv;>QLI>!2`N(ssQjtocm`^+lc@hIPd};F>Mow8 zk77ow+B;8)*GEME9qNrrHj5a*U0anJ`O`fZkB6jg#YVx>64>H$1VxhOw1X({Lu>lnYwc&R zrjY<$qLqgShbtMo6;3>)n#h~pzi<;lumn;=Iz7igmsqIdRMEUNjVY&u90H6;tc2vZ z04XeK-@e$%bB7s0%5Cb=@4X8ts3i$-gMlbPG5EA2vXOF1yV@@i+Q+zoctq)j4q;}b zj;ejPoQnsZZtvU+gzQ!CBK?Zp$SJWj_$)vj%-Pkj+Xj^m7ZC?g|2~_?C$|62riMomBT;*F&XbT((|-MzIB>%2&YPqe!Rs-9 zlx36WU3Ij*dB6vps`CpgVQZqJbyOsS>%B+J*?Nd~jg5x>>v|+e=W1eJYd2$K47zDI zR%pOy5v1BUcDFa>LqC?P7{qJJaWgqf1U<)VI|D3b;Pv(FfF$@pX8K^9Q_gVZ`=(%y z$`p_65Q;k7amVyLI~v#Gu#h-tWyUF8)Ew zRlLMZ1OjQf&t%!y`od3j{t_h;1Z9kN^vQG*EOy3x7sbzAz0X?q<4owUTJKk+Td@ZCt7OQ8P^dQK_t?!6BTr@uNUutX*1LlXG&F9g=#Z3m8j zr>FrCNVw7BeLFe(cEosSa7H^}Lm0NOC?R|P4%<##!6GEHkdSq-FoD7_(9A)YHlVP9 z-CB={6nTcEJ`(^Z-8T#n9-6^iE{rtwr+ieb+*|N!4a}4h&nIyhG2{F_PNj5{#r|6{ zcEs#f3cR4hgf~=zu}YX^Z%`{<%JygEkPd|VhbG~ayhqom2`a)M4;_rjfrBP43>kte z6wrr2>;_r!N{yNy9Rw>GH)JX|mewm)E7)T|9fm5t>+cq3!-0ncmlvOw_dT!S#AT02 zzFNB)52Z;2Gc;#dTZV*77N%uKFeO(HODF*vxL;^P?_&=Lr3W^xQ7!OZ_RgG? z*osNeeO2azJMS?Xfyd0e6IB{U^33Ga{QYq`XTydkdl`q89aGIc#%7Z1OP*lyuTeS$Lw62G&{H4x7 z7hG#U*NhcXOoKf?RrBXb)*_73KX^lYO=tkpx;@DW*1Lkeo$O;4=R)6b`|FIu1YC*_ zr~l?$ay6Q=dP8O9`R!+5t@NDi`%Vi+S!M%*>rc;M}?ZK-YBOe_1vi}EvS z5L5ZI8o5$L5t4&p9I;xTd(lFA$Wk?(w6Auf&FkZSgpGDxK_W@3E}&2R?eL(xr~?VT zw*on<;j&03z;MuvK#4%ok?xy&qlkZv^|%(HIolKkqS%fIBM*)2=dlr(jrj|NZYgfZ z4+;GtH(q=?{EKs}quxzjO81$9(LM7Xg)IBf4Y2k;QlKquI$hw4OE>vZ!H)#fBT_1k zfY3KWQ>=s0^&Zn=;CCb*%lC8z1zB|gS!jh9huwpxxmRv@oARHe%tW4!#t*54ZiVl)=Rx@s*$dX*c~D$% zFNXSv3kl7gh1@N6w)~UIai%I+T+P>z@s2~4BDq>9NHs(oe5N6^@TYIxE@nTH6De7rnw{k2xO35IUuB=$M zi<H1Dt z@x@4LUC!$mX-4dq<3zyTG}u&5C$`L}dh9e@OaA7~eKGehFBXaF8J4rX-}-xr)hrYe zFq@6(J&RrXuX56&MSvUTokp;-_P8{t5)jq^90w|IB6%t{sRXG%lbDrL)2Jrr5vae3 zXjI~n8<=!Ke{W;60;rY&-D)S3M0s71vy8M;W{Rn;X6p&NI(9@?&}F@@_PDa{YedVk!d zmu15zTA_4L|7bJj4#FqZVLvAXU`rcJ3`vPrh0Y?V!$7vZ)V!UGgnJ5hC1SQOd9MyK zrMI?gXe4x&uPAA@IsBy1MXaC4a=(t@F31bM#!wY$`Ami^ zgr%Va#RN-9$VpAT(oO#)iH+upLyI5VCe+cuBYucdVI3A^4@rtw6VOu27N;a@dKx1v z6_x{s3ia!{)VU18)OlxWFfVOP$LUpQ^4v3%SNlxIJCf7cgW8bB&O-jYh%hu}<)r)5 zCs(wFm4F!9S*ju;klYR`*cp14hmt1gkhm*Y$?8!$8`Ihx6KdSPmt@ks$4}O=R$GeB zTYdAEP-E*oeT1d`9%yJF+gLq(7|FDD<~%8FtKC#%DTWgsnxJaw#GJr z!?n6@D(~&U9F}J54#|(mTJM-dfABl=R}&H3o@bTMM5jCU>4_q_cTwRGFZ{lqpRMc;%tRACjp>k{~GXf*D7&5bQ`NiYZ8R-hbda{rT{o!v) zfTtWS){n+b?yePuKF@Q**rw>$wT}!km7l7~YLj;wA7|=_7r7C=kHc>|EAtlV7{}Sx z|Ikj)GTSy&>K^CvBasMBv{(D6~Firq7Y63A0G*eHqENhgkeq2c;7N8X4*G9~_Ow=`v$m}hoAf-P9 zDO3pslmP$=Kn*1cgyIASqyeBjC?R1qvB|*xlDJ}iv#2oO070NX1a$xc#juHs1QQLE ztcO@F%ok!%)sHYp6@{{g~seFCZf z#haos{trP|Hm1)-{|$s?`%h;TmGS={2>Txo`9G6^o$QU3Ocd=7Zybt31((GtaOx3%IRid`u%mO*H-)^E_Nj2poOM1el<&;|Ot1khrR zndU3@LTsBc;4Y6PXyhunQQ^kG?tO~1C01pEXRfQyyT10}8_gW$2W>l^tNrI|*+hGu zk=^F(D;Aeu?ZY~DtLq`aOQf>^_2B-nlsXc3+z}U!F41gd+LWZddc4e~tuKT}?WFV& zn-b*B(GL9)7DvapUA+)1ry-IaR=C9^{l{-4OoV9Bp-j*`u%Q4OglB$*yVLj{+uN3C z;+-*Jf<_YPYuv7|C=v958YjYSfm$O8=-e|T33Nu1fUo$ly=msSb-C$IS;G;=B!qCe zZO+iey%T=;HU2PZWBt?!mY6UY#71?Yh!YNYa7sE&VQ{zH!np8AZxw_s=aAy3;8~;ciqpDn2M&xDi=43^`@tc5;5_9E-py z+5G2l5vjiPv(F#Hn9$HK`*%tU08prWyUSW#Xb*Z8-Ct1_TV^Gt%dtVKDP;o#yamMj z$C%lhwy|mjkg>8(9>&EN!3nycwu>qilpj-*VIPoOy^njSoU>mIZ18(QCczT&hAoyZ z`LWUIRryWrec>`-9dgzw|FSPQLe>P_Rd5j|g_t~s8CF$rF05#;s_Og5KHM!LA7152 z!9+u|{UC_Uc0~W2n8dc9t#tO$Z zpiM8V;?VZqzMD{73>!uYF!gc;aPt^-5-Cp~XsmyDf<~VOc^{akJf?FOzl&ZR5k(iNJ!=~C6lKfJmK1qD$k%6FbN1 zAvRA2d=FxvwFw~=na{^k&Xo?H=BFY@m_Rc~=cP4&z^s#WyTTmZ`AOA<*s@1A8?WN2 zfHn(VRgC|028oEA3?(4W2}8I?$%ZFH=e!()MStX$U!uTeHrq)%RY zx9AH8>fj-AIAjb?=)M;$q=MwN#VPL%Je1h;)`yJ*ZzkNRUbI zun>J7vf=eyl$j^6Un{VS5I1?2l0^?Q7n6HqJmfr`nM*Mj(*<*|XDz1y zrcvFfb%Wob{08YueCo6A@P9%1ohU+zZWZ_BIn39L5tiZ7ryGWNz>V3f$Y?m1f^fM) z1^bmn2(Jq2AN9tsFH{dvj?kQT)xXj>v!5JD{94?tk~P@>+%nPpb${tSc5)tIo-*R(vbfu){K~c-Uwhi53Wpl@~Ws+^02lt0JvsL9Fe zA1|v?KB4PIA+H)qMy3=}q*BRMvzwY}BXFh)YDmY9ah$dMX=#Rq*Z7s9WG*l6Yp>iK zr%P9dT4bR5Stn0%2_~irgf_VBGpOT^bR=h$t5R#K+P!F`v85TUUK74wq59)SEn<jA30=H0O%4@jW+FtITn;hU#O7Fc zUza{q3k?ipOGp3|_yZ7P?qcrhF4eI{q(I_}ME1w($eIfMu0;B?*RY;)M@%##go&8r zM;|;#g@<50CLLr-Ne>W2iBS6yjMvcwL#!ef-AhF|Y7=-Ifu?@%hqQnqBF~=Wr9RI^ z%9x&(!JC&+<_}k8T4oVT$vTsiK|7OG?jOZHjX%AthUi!O;c?w7<>~QFsq&(-g?eZF8kPpK*V4D+>>G-0Guu2Vjyo|3S zbnN8tquI46=8%@4Fx%{VWg`k3*u6%5~bc4M=J{R}F#(jL%I za@k{=E^$a}o<%iRTh}!xJh|v^(D}}Nw*Hjotb`vB4XH1hg*t+dx$?6OJNsZ#*ULsV zcor4$^+K1k`iWg;F|yc$&Zocp<{$jncr57r=wG9q@WXh!(B6gb2&|j2o!4kn#R?hg z)frTsg@&OYbyl&_(ItA0>y8ES%f_Y6k2o_A=tefu={eumw1ub(f%(@i+k% z5_p+~W1}6iwz@;0cHFvcAbryZf9><3x(DVKq54W_oqiiQx#h=bhpzjGt;-EW-y1qu7B{@mjKCsGxGN$R43b* zHhIG9U&LKa;Nj4y{tY0##wftUSiM%i-#ds4gRK$JJjfVcZ!6^&VB2nLqIQB3)@zh@ znX;d%@6RdPKrwNFQ?*FPuJ8^8SJ(E)Cv<0*T1)cB4B(Ly@HAp<$zhDmA|p%Eq|RNA z;48Qq7M6J4F7CeHYoU^co4#1 zKj`~QxGK4WxEbi}=NOyiNfcl-zz?d$)q!wpuVVcwYTaJ+Ocz3~n;^`9oNE>uPmdN^-lF zfvNTNKGWZ zEB-G4U_hV0gCqm6+%e3Qv6l!^4$?@fC0Ogs_f)1%BADTPEWO=$QSA}W{EiLlBQR+lWz_F+CUGrL^9B_F4LJrQa#@a8_N{nF;^HgjuP;T6 zBy#%|&|ZS+Cop3<9kUM)#Dy?KzBrGTI8yx7;~F5P43Xj)D`FBNf+;h?5OykBBS|DA zizwAXAW*|hvqB(D3>qkjlMH zF9JZ?~8b1KO%^_6O}uuroa=F zoT*(rL&60U%A~W-4)fB-NxZ0q6!`k$(}q^g_^D~g|~Yfd0Qz-i{P0-a1#Tu0&$OvoobYd1c#Tx1D2v%ujtsc%3G z?Lq)(31xGX-1pxCwlz%vsDmL^lM6@#C2Gk-&&h3;yDfC4|f-5vdh=XEB zMU>nmv!+WETSxWshwgmv8JXJeOV&J-KqMxbPJPIFEtD#e>t6Q}dIq0skw2ZSgB9!YlQnU3z6zG;9 zb6_ejUr+-7pR|0L^OO#?Lt+X0 zQx!{8^OZtYCP(yy^Mt@Dw9P(L(@BXrNn&qE>paUTa=J;X#fqM~N%9VW%F4^6o9uMD zOB%u{p2dnLx>W|8_KvD6&X!B0mKJ~n7Nco(qztRuRbvfRqAOM8_Z_0P&vQ{kBVf#9 zHw&!LS&Y_Y!;Kmw2-?Ct%>wlusg(6h00Se+UmPDY_&!CcmC=F>mJtNkXLTYqHJy)iHK1}d_1Hd=q;@d;Zb3i}| zZ0ga2p3(0fDK!VYgj4${Ct(-%c{_kDLm zw9vP3(_{wSOv`!aRxRg(M`b)xBZw)i;Ld9)M4~Bn4Jy*&8+O;WEXblEE`NGx<9)=0 zLeIB&R>C5;(Rl(gFE^KcSF}cV=t3?TenkO*ckBU82F#-1Wrf_?7rN8%1T442Er73l zm(71dhk2KseV7Fr_z8YDd4Tvqfh3xj*YkY$j%%0taM(G0cSnL3-+;JBhF9uvZPkHz zVRq(;1LvG~lRhJuDgjt7&X_ZWSJQd8cYAnxBmz5xMdDBxnrm0DcsNmbm^2G_`FTJ= zh4=zWSf`2YWq{bh2-vB37>}JI;ir*@r}{IV8qJvcI zqqVNvxx1yNdnKXUy|7v*d@cb6yAQPbgNYcuyW6>?`@OfDsjgYErCOpPyWP3_@ov&NF!CR-luFfy!9k@e?YWl^v z+R3#Wm7{}G!$K3i{4yhj4Zd0ZwpyFI`k|2-n1bXB#QUGdoL9kk6{~!3M`XdUd^#sP z4Y>RXqjVU&$Op6*a6QM!OFb_%N%v2 z`>oB|?U|hm&Q9CWytAR*A`V>#ja^)5 z`^~t#{k>cZ+T*;8ea+p2DveyT)En#6eaGKj@x>km-<)-gJ@4S#Kfpbw(*5g&{tIv0 zbK*VIvR(bb-G$&j6XIQT)?PQ_yL#xRN=qHzojv2$ULDXFlr^45M?g8f55?UR z)Lt{<2m$6j^XDWh=3Q0UzIaO=q0+ns&;F0%9mwoQ-NE1fZ`R(!6MggTs3+>!8SWm>(whs?-o369JVo)Bp);h=UJ+0y&6g!^B>3=3v-w)gW0oXqU z<$o{oyEd24k?y{K@jpl89vQUTk=ng$^WRV4pFi_F+2*~)@?T%#e`D@Z4WdZDxAoo)UfG2ox|{hibX0st-?jE15C zfPiBL5E8*fv52^51se;7@KWp?$O;(_27=*;&}JYT3`RoXu;M~(jYlKW0RYliHXM#b z2Eb8F6j22nWpUX2glaO9jD~QLfVgBg5Ddo=0i-14Wd#+)2H;d4u?mJ3;^GL*ZhbD1 z$z<}G?6yNNj?AW$X~iA~1%uI{aM*lCMHGuhEc5vkl1(TON~{)%e8y)ro6cu<*>$Q- ziKEhKV{vfQW-<^|YIRz?DzjUz*X5V%9tO>Yzho_#t9%Lx3c6PA@hi}SM~;x`?6^JF z9@mMtV<&?l%ukI#8&SrieAZ5tL5~b-6)L4#v!RCWc-(=`?*YBSap@iY21eV9xNL59 ztF%LH72IDtzF!5C1>^5paUPk%bmF{@NI;;dYAOt*DN16T>a(uHK=&(;qy+OfkIFR) zKaLChtF{Z05eT-c%jE7uEBo-&#LZ)b#lR55_Vcx_gDT6jEZeNlJ1~q(7(&rZVHLxV zTt3;o>%u1zLUHTE3%;-%g4Z#MIB3c!@H-|PIq36Z(Jz6F790l=J5b+A(5#q{xNr(4 z7zRP42<5WwbPyaNE|QrBy77DMF}zdUp8m=)bL%$E@G6ljh105*riN;KZwF8FJW(>m zR11eeyp$`>7>3iL69t9=yz%ua)MQ2%u)3O#@7yAcA-%UH5E`%w0(xpSv8_*WK0%R(PmcgU7t=<$~_lQ z)O3Z3Z(DVR+hx^}(h`PSR$aSUOjTGFFQQ?{aGF^6<=cJVs1^YN1`Axpd{I*nYlSc@ zRtCK=z@Kx!H-a;4vVz?mvdlcw0FMjI_^7Ey;z8Iv`aWH>!` zmInd4&20v`?thSENu!pI=u>_-Wnwy3L6qQCHQk)*DL`BpVVEAR4dP*-Yph`jfM>AQ z8vet8()Z>dic{Mj%VceVzTLG3HR1%BF#9I6SZ?k<)2`*gw#XRYTE6*YaA@}pz~70I zA++y$)jAyV8m0J(q7UaKSaTtrT-N2&t1n0G{6+cEuSpD{)Y=N+B&2-w=(vy9hx`oQ;+~2n2QCcU^h}xfPyG*b3I=}anl^VfBc#pmV zCf7iV6LFR%Pu=nZNFaHiL6{&(wgjeFFb-hU7k;Kb02n}P2w-XH22ZSrK!@C!VNscc z<|w3;HwOmHAq=Z(9dptP(^Jan_p|P2?Okuf|qJ%ll+*Z2}4zb2M_wYPwA^u~`(T z?A8x6E^O=pqowz74pV%9BeA%V#|UaogD@&eQH?D|Xv#;(RBI#VSR1r;bVVex$R-4s zku{k89!UF@28!xY$9Vr9pVXsrpv9s{2{k7is-uwLDo3`oros+faA<@*z5>){X9G06 zb<%OLF@~1f+aNSk(3Q%QIda{b1ALlJ;LI^FYD3lJoFky(ZL;Rr?Iu%@mon|UO!Yi+ z<`6fTt=dG#z+hzLYin!?gr3{43~KulEXZs$ZCwh0VKW)fnUh$To& zcI-sSbR{y%@t_hj%7GZk@RZN6fhTG{)@3oxB8)s7yE$7$UBN)5W?p;FrxapZ5tbu{ zX~dB>^3ak)@^DVfpgP)e#Mz29K#T$0Pjx!kDYZmpvg$}F*_NS!#XqM`I%(80It(gc zH!@(sJ5D14&Kz@Kcy!%GRT`*Ms!dp+^-PnI3ddJrs>p{94zZB;2PvV$JS40Bkd)Si zQd8h5zL%!VnmQug=DMr-m{5igW3BbXGt}ak=8kX zP1FexPW@SMq&;9aGANwg5hWri*c;P=g2e5m3%63-mon>rYYu5mwe`i+6n2PnQbKcC z1hF?-+Ye@3D@n4}(4tCfXKyPrg}H?S+FQEKI-0#;w^vo5I@hmjYV5OiMe6jC>#cd~ z6|FfIq0~nU?sIQd%5basN8jt{NKu$Aq4Z6DQTS7Ci7C#u4)xkv(n{Cv`?r#ZHL^mS zFE@}m&AsxQ23DAHgfIaEB-dvO+trZpUPY}rl>-I2R|aODb1cH{65})YbA`($Dw|i9 zsju@dj`5BW#)#PFlyuu!o>@nhR_MFiY!wCN?DDYvyrCc=7vym6=1 zWYy(|epFhBZ-S*U$RsA-oOw@z4n#q9Xf|vKyN;>eKKnPh@Y;~6=5x2@OF91|<)mue zkR=_=dFM0cyHll|^$pHBl{h3lM^?n9v(10V| zQ9(HhF5*nGfSa6$lyx`_+8Gundn~hsBAw#}%>1vZ4l@(Q)ufNRi|?FsI(9G?vFm6p zH8)B=hu80kgt=MkN5iVP#b z+Nr_g&a2^W8(NIL!TP~jyCsOA9s;B%B25^4A3N+K!E`9F0XV&D=oNyFJ$i1qtRcDL zk&q#~9de^Blr0FDlsu#}J;XFNN~XO`IEZ?c!%DP0!E=vFwv!9o2`k+ka;FvALLn-n zBZC_>6hTAkxv=_DC?UkE+vkmf-zy0_BoPK=_&tD%IU=}$zu#YFIdoa|7T zOJ@pF;x1$G9}4fQn!=ca;zGPkMHF4I0>79^g`Dd?vlB<9d(E8Dgt^M^vEh=%5wJU| z;w%hfn3O)E3rEEiK%AOQjf8Kc^U{)$CSvZOJ*J%cMsRJO9KBFk97%L+&{VdqHXwh%zdNRw8x zl${;9Q4=Xq2=rMq2$IP`<+lm>GYPU4o7)<}8%r|!GqXxbF}=mQsWlnwN_;@eLP9ht z_Ne)ip+Z75WR6U8VTgM~$mGvWgF(pT(k@e1H=8hvgSn?MtP`Bx2!zkg__58o+)3-* zw+h~~4BSpp#mz+C&Bah+7wRI=*}DFLCN!?Q|pQp$4+9UPQzrj ztLIJ;T?wR!I|!!LWqqE;yXYp2~l~00yVREkb9v|$P@v96p1(*R$5s%1!bA|8`hm`)OBbGT9j}USy(q!sVV_LG64mT6&Mr(WuDd8 zFo8%I0YE(2g=GURmVt;AS-o*rC39DKwv(}y)wJI|Lk>IGk3m?RSQUfVGL+au;uD#Y zED9RfbywK!kJ^oqStXNMKr~uSnOUMu+LdSlm7Q5&kK5=sS_Pq7?V^eJ6k0{4T4B3d zb*Nk2s#h&@h!gUUT==BDtJjPI9l_VpgFqe=tQ6^UX)wc=U^4{(EmP=y0g1R{Vtfdzr8 zInSnxrOvH+T{D_IV9bzcAtZzEigIYQE5MA>X~>ORDnQO-Km`(@$&8_1D9l+UyO86O zZR4UyUxQ8w4QzlvoVTEP7hGouD<=ClX zsHQcFS!EVih(WUDmQ-dYG^$Zi<^ECTSiELwNM$k`o@e81K-=3R}O9l;#d|>7H=oN*oCWjc2xh>E2?T%2cL^kTb4|5I$OET*Mh6 zvK8Z=jMir3K4>R?qw0bAYF4V)9$u&>r|M3XlK!q{GBJ*hq-u_3>DIC6My{p2ed`9a z>fW}P!2D}IthoMfIi)b`B&a#YyXy|M>Ry^MAc*V+xlg9OE?i{ z>NjhS$ZOWBYi^@x8I^0sYUt*^Y}!taKF7HJ!E9jg=w7sJ#?b6u&FI5$Z1%9}mbPb$ z)M*aO?LLxiewOJv&TVGA?RMK~rk5XH+3ZHz?3QAjd7tXW!0qPZY@L0ne$!`mZ|&=( z?xvsaM$Bp!=?TDu;;4k;zAhNPo2G#pyPi9WMm+CK0t``*?>;ZC@ZWD>p$?8PHb(Ot z*7_7v7H{S+Ha0hJjxOoCls9PKZ=;Ln| z3|2w$)p>D>tnl9>Hn#Zjrmy5PhY40ki9Se7b)_|1iW`CClaLO zkh5fZg_JR!3QNZzqaX7pD(|-()7hbOFCnYRJ9Aep@PcXcpFHztJM(@+@3%k<$1QUY zCh@l;@j;8~|37kPMrFS`@{dGuG+7C^B6FV`^N&6}FGF%4Ib<(MbKXL9sI{!__6rJmS7JSwrgtl6AlEJnTof&#h<87Lt!m+Qv}-zO=K5y%`Tt}3It_V0 zhxsIWvM*(Ls?e;*j)W7#LFOMw`vHD4BcYm$<^$B-Lr5x8~PQ8qKfzR@@(USjd zu-5qSimR;(jQAuilXro8kDqzoJ#Z&3b(5;&-`I`UsuZ?#pMx}4EsehUM$AEiR zoF`wlp6{8^m!bC;x&9!edbNT3$EU3m%KPDS`2Xj!prZSCRn1I;=fFhTEl;-Pq@rH|pwQlsg@Wal;vBJhiUWE5|zW z+4?;==XT$Lii~3Zs)l1{6&a2EPs@UzGf2XAojJ%F;u%1QC~*V6ueuiiDsF@h z1q(lHYv7Y0(9?8=u8$_BuG>tHA1}ag@8ye23(xj#`sxoGcIF3WA;;eKOe!(=Wob4#2O=?dOKT*oq z8n($QNc}fbyj~m0h&#;T(A4q`7E$h1BTUiA9GK}d?8`*#Q|{eh^E?x)3ZE{H3Wgay zDCKoNqLpjl&@)k!psPXwyN>;J{u4P+!$Y@JW14V-kCtW>fMkEx0pc8n}2GZP~ylmt?OhdFAQj%8TzV+qSj zx)l`6%e->0%aKasI8I8_;DyPqLgN?dNh^@OWm+v(r7(FNtQ%)D!x1NIIn4btW(lG@ zLNm#lQ?j&rzI(6dO`-^sycn%0+U`i+D^0hHoGYUsbrP`JxfFtDxz%raPee;KVhtg2 zPjzm~u3?I}=;|&{Vd=LeI^6$|-EM>L*x%^XTV8jUaa& zb9r%LmC@YSc(q3n2G2A_hP#O;hWB?C2X(iGSOrIGTbL?0W%^iDm>J=>=6~!V(dW5O zc$a0hYwh@7wE{d0QoDt-e^NH@27jB`0xKb<@AMJAfwd-U9vPI0MQpUvR;nM6d+$Mfgm!H6#f%3o@L1* z6|HDo%~EV1ge|=(v&2&5*W(~)OpYEU_K?b-+e}Q&IwF^bq|aguI8JPi1;Qe5o>^2; zFA4pTk)($T4CzW`QD_)N#;l^*>{egLCAhQF8Vwo(r&7$SHMiq-L<^hQhotsNFddkss15iE`2`zZ@9^+9V^+c4d*lEaHgiOB;PaE~J^4 zSmf)A9HKZfUQaydmSLR3yLYCZR=fi~cqC~Qc(ToWoEcW+-09GI4yo8Xwhb^1>kxY{ z8Uv;{FqP5b%p-Ftc(He1F<(5#GvqbxK$qPGPm2s;Ori}Cx3Z#NqeMMZj$<&%uQ#7O z;6R8;_Q1K`LzK}124$80BMAPfpPB+jsCqU{_v(HpqysA}+51QJV?Bt}{eQG6g+~-r zL+FVPIb<;vu&NIB-}D`3(5)r|Mp}+Zf;^3p{hC6PmJJqp$VlwMmJ&x}2dM=pYOyS^ zlj3<4l9^zHwCPJWw|NKSS#~cCHWJiW#ZC$2f2c8@C&Lo7ky_!7gXPv*nbR!KNrYjF z?VS|W*u_*!4OnWf5frP_O2`zcwnD{fwYR9~&u7G3I8JeuS5om>D|^p{2hvHS*tUM; zGd+wI+C>⋘k9RiZK;s%~&eDzmRz+j?V4UM^vdN&>CoKuAs~&8$P#4wU)DTseG3* zl8zTS%_pS%;LGx78tF>^UP`8sORG~N%2S87Qk1sLC#qWR49lHH6sSje?K2F$$+j<& zP~27h02O(mn@oD@tavdyj45S)cWjN%kZ*UM^Xa)4T6WMfIJ0MoL{w{m`Ok&YVjJ`i zAk+NF-&?tQ%tNd&Gu?NfNziQ1>OR0%xri_c^1GL{6^)O>2EmFqf#m@Nh)bc7qwUrp6#4AIM znlEN!n-HTRVa#yiv;#y@l51^5A;8v2H@15-4h(rkIr6>7(!-qIb%DvGxDL{%*70N*gnsBuJO=WAQoXCse3nZH# zE3I)ev2#U3JT{SY(Hn)=Zz|o`;9)IKrN2*RIs~ihhiA4$#=OcL#Al0)#GKg|x${Wy z+B>H;oZ5rHmt$_uOZO`i9Ri%v!*cBA8g!iG1Ke)c0#7GQs4$kCm;^D1Pwnripvj-! z7f%V@+NU$xdws`zAdeDvpd0n=qyyUgZLG_)oBb5(nI=zlrHs<#ENRqkMEjaGwrkgn4b zQHmXnF$WKB3*rL5hB}D?=(GKbHByqpakV_BO5-6kh{c#twm)row~JFgw~lfgIEz%9 zEo7AhukvH^IWfN~WgP<=OAb}+WRtiQOty3kj!VtwV=Y1a-rkPXAI$n$O!(~9d%P?q z@oR@9NAzo)v%9+}W+yukiBC+KyWjL@+tQt5+)8toq1swyQs~VQ6p&R;WO`!On;5I5 zQEtIyY|qh|%RFHNAYXrPmC$*JU0VaMj7EWVbj`1R*kJm_Re>|5=~>R(&W zYPwwc-06M#`Q98Kx2?6C=lpvzWj@x@kdsUpW=KPJZEx*+oKDeV58m4?n!0c9|E;`z zs38EU$l#+sIBUKp>;U3T(E-Wr0FAKdP%Iqv;@{a_^F3gV&qQ}g3tL)PdY;Hb` z{O+j=&qBiPFIG&+^2!B#uFqKWZv7CWh|4boaO|q`!YuZS63fdbb)p>eZyq;BWH!*e z@@X8>Y{eA^oRp6^^h5SqZ(KMpm}G4LH&3q5Z%jSWcF>C`MGuD2DQvdtxb|o;`|o!6 z1Kj;)V)v1tArYMTqKcXCu=!2Cd+k8`FdqJB;AvVHe=A1#J}arm@Nn*i(YAt|7q!>V!5{DTO<|F88QX#W0l@`%I=be`j=c@Ap|(wPSittc>LcFLMC&N^{uOz(r%<1q4bkhbJ5 zYN4z#EG$YcFp&z7R641-3y`o5d43IA(#tz!iPa86^0Flie?6DaZ#eVH9@>SC_5RYK> z2v-vAJU^&Q6758@uKMpyM6@pR6N^bS@hudKP}VVU%j7oJtlbwS;@6_X77@7w)7d;t4?PQ}zi*t1Lwf&>TO4vO2PB--t@3B_)cSBAKCMF|Yvlcs48V>8*h;e_ zg{W@t(%~uhLNWx#De_2h^&@T+{tPz+lsf0+sUow$H?n6$Y!XBA8!D*nC9abPQbNQA zP~p-_#ImOXPHRP!VDk=a;mzRbFrNc1YA>>O>eA0hl5Hr|g9T8>Dv;dTGLHstI66{< zcus2$a-j!~tx1A81<-<&Qn3Z%*!8YcEh29)lNz9qn@rOqO)f=C^vNr-{8g$iE+b}S zf)6eZXe{d9LelLnMS3if^)YWq=4>M`!*McH>~(b$OvD(U^B!emdoj@QQKOqtk1!&y zg*1p6@El5*xU0y1t{LlOM}_7_7m6$m1s4R8YfF0Ch2K?zQl z+O$aO^iN_CYh+Y*>U3J_(w^E4yu=W{0g_WlLu)8hX=G`_-IirXc4gLOof8&NcE7sQhqgZ{xLFz1=9yEhmA|31y16XOBDw(HoH$_QEHHY^9>Fsl)*4& z(!&swYgHFbvgJ`0-%oE?!FJf#tnUx;2s;UHQ)syy0&x)YJo*S*a5Yd~F}rW4&pTCI zeT$bIwPjb MW9Ha8?VNK02q?QwA?y))fdlkXoFKOgnh%~g{BXNK}uO`rI%{Dav@!Z+awduSg==I@9xD!%uvggl|J`Il{!+Q?Rp(4($Xm|x=(v^QQH0YQEXRBB@w6A6*6EE`XB@NR} zV-N;bb7s=KIG56K^8IS^VBVIkFcyhxR@F%M%)C_`GI%C<)}d=v0c>)KFllK@7U5|n zz9&>kQYb(;*ms4vWl62Jxb;^YkI#tJy4>?Zycb!CldFieK|YJsbBo%Z^?O_m^){7m zp2mxElWSY33vv$zp4H=6C)+`lg8}!4S(H(E(}@wJn6mA5qJyGZ zVT=$qq1p(1_7{xqtzp>I>e&~Kv_iyJOG4Tp^6rUynC{w6lVmvyVtF@@*-EX^iDVWd zq>xo*x1U`uon6myQdV)1>uW1dk$DiE33_3CR)Ld`vuHv$W3}^WqT72}jg{}KVtRq7 z)OTpo3aXa1Y*}-fVkAG)=cTBEAe<*ONagzB9|RQH_k^M{t>n7Xe|7FNIa zfu0!#!TJ57OCO(ClH-hF5g7-K`RM4G0gAfr2VtYfSu!Dd(Ed}@?wpcG} zdT(NS!CqQ%wl8s;cte!dC#w8GwzkWfI)fZ@2d$c)oLF+O#G$Plx5o1uomBgj@PnoJ zjYs$6uG(r++tshSYVVuY=}E(|7w@PW2em+JW4jFM*?qA*IOm%gmRzGXx}ft_p*Ofm zw)_XG_P>r7Rcl$X!Hi3{Tp!JP$ECK@tlT}D<72fIxrRB{wVRO!*!Q*)zpi*5r5ntP zmZgI`-EiEm%smw8xC6=inSz=fxL4cC$RBQ-_t57<&-XJj8=JnnpT##tx>z&M?=Qx? zu7zlCQne-3Xisi^N6g$mO&o>JJkg$rAH>_%(DP?h^AF9Klf~Tm(wy(heJ*8vR4gh& zwzzxG{h7z9xyr(*I0D!^KyaXw`dq^NFzn^q4ollYf|4RF+vGf2g8nbWm@IwDMm@yY zU9a0R9om(`KfTH^tG*@O#vuLdvHj3~gE8E$sxQKZ1|k^_>M7h^=sS*%*k(1_ryJYp zoFv4v+k)HTB?UYk#y;K3T|y__Co$uL+1(x9&RBoqW_8?s>YV0T+jYa=epY6E{2-() z+@=TL!iF*W=6pU_JvT<6f|NhkxmP)Fh{TSY99A8PV*1gXg{%ONXoCo?YOs z1ulNcKOSf>r?25YaX-HPTYdfRej-AuQ{H~BK>WxVitg25`O-t z^9SSapBYS_98MzqBSXvQNA>aIG+BUhJw(?6V*D+Kwcm&l;Ud^A-)1@ANUDEN0)DsJ z-y+?dsqXUW?=7MDUU}S-P;#CV?Or06p2_QnH~9ZY;a(zpB`5NuGx()QKfgX-J|cB~ z`S4%Bm_L!{-?*N?7AIcJElls_qJ8NHm?0hfD?XbkiL>)X<@JfX{~nk00BRruaj3Xr zH5rHvfTJ*|6f_x)#ls<(;6ODSj$$JLkbv6_hl_^sc&uJC8-&B712N1{YB(7|2J&e1 zU}7?sOQsVjbV4O8k_@9zh*UCAE*#3Dqk)*XYBG(-MuS0wW^YTT&W3{#bh>W?9Konn z8qn5TNv~KW@~Sl=TUMt~p>WZpyk<5W(qa*4JwoO#8$|CE!Mq+%4i{8z^3lW)V}!X< z#xg8cGaXU7U9dN+g%D*J9E~M|X?VnQPmff_Lmdu}OJN!4#u^wH$3?EOjkZutte-nZ z&_#4mO>8FP4!RBWBWR2|IUbjebzBZm%b95!Q!oQtv_@;LrH*BM2*##$uNOo4JiK=l zXV)BTWOX>!njHi7&%RdsPbhP^k-6$ST;(ol^Z?4es@vd;KPi*go;xACt{55X#!0AiEiy{Gn=GP{PvPTd^OH3Z0LQtcKqAf~0667F@dic~qQJQM3D@x*$sin-S zvZgGlY<`JEYg}Z-$4uJf%dsiLBKj|@`fkytD+;2B$brM%7P0CuaGT5044$OQ@M?)I zBI@dlurG)hVE-knJc8vn5`>n<%P z##G32-AldAZ5;biRnoTMqtE3!hzAs+9Rb9y)t_j=4}EE6SP1%h;4xveu}2~a3liKf z(!$dYr^?fz4n~(^jXr& zEc3Gv{526TiF~UQV#>p=8AypFBOtr;5=M+-jKb_t7^(BRP^t7ZQ$uCoK!7uJ6-+pB)Ar|r+@ki-J>B#uw6MF;V+N1Bt|}^ zp_b)bP33;#Skf(a-fE6LkUH;bv64l78nNYJL6`DkAmLRhh}4FI zQl@DVfo>;7H4OuVpn%!rFGq>_Xc%~R3YwfOD(prZ8x){kT3jzWT#6owC6MyR(#atfeb?S_zeBU{q7QI3#o8aVST#Jeo8Ueh%!YwA5umO2blQ1?5{9R4vx^bwB2ipXFuyaD z(G{FzB2JRNC^6_jp-RkKhUr3_!4PQRfr4CzRCN0p(vwqSvUf63Q9wlllw)GdRV4K) zrbGx+9s#OCDoU|PMk2LYO>=IICtY10Sm#*lbHJ=sk-MJBAyP~`du;LwG|fu*tfW0- zmWHN9#w!aOE6sqFNWN3Y0wk*zJYqa^Bp+JrX%>xM8W9+Kjm=Q#qMr184 ziy=$2=^|T}L#JR#o5r>YZZ=w5SPm?0vwR9Hzgh$;RHjwFl%^GdniVrNQX-xP7FuH5 zOMy}g>2+MxnaDAM{O&Ha;$!P**s?Ukcc^+!os!~TPWT-(&D8TwM&#Nx$V_Nuqj#TB zC3{w=8$c#~@1S?Y@lLCQLNEE`OEk2L8aZ_w6ax<+m?i=pya{x$GW$Ffb{L)m{=jPO zBSuF|8N~`xNbvJfg?MKQ#B4am*cZ&BEe0!N`c%Z$0+KWdK-s}qUSi1BRv?gSq>`5~4jSB6CV;{u zmA8SFDV|rXDu{~NaAh5ob!W}zPCGeh+)E2l)UFD1Jsvvgn}{}cw`Up4#v-O`})&edr2J%*P-K1iP7$H*;dspY5|>-)DgN+pV-8R7fQ^OTBQwYwS%YY zmZ5gj0MHOg6u8~&X-RS^bsl*bsy>gl3 zOr~e4<2uR3K~GeeS(Ax5!9sB6uof3Utm=<{tG&x6(*lTaPi|*Rr_kW0FBtG0$pud} zaEAE$XBNBxbf_(BG%dp*)^mn(Bh3M7dN|ps2sVH`vY$P?3_pW&)`WJ}Z9@iAlnCcBb4yQVoimn!S5Ibf~Ax|>34n8JFbG8?fusXdB;!4`oTIx?=i3!ypt zw?k?gs(dt`G9wIF&WZD_Lo?`@pc}f=y}ucdATlUCGM6A)C_}LiL+ht1K~D*b94!OK z3YhRjdE~sqwVX)Gyi?AJ#7sN@sl;=yM1hFBm>Rq}^PR}MMKiz;v$?91y_6cN#dFJy zgi?x0pgcp%h!~c}a!! zHa?5dJz&>9^EbxO{k3drv%8Lnn61UCv9#e<7h6rVaqYiL;s}f5KCALadr8LJa=uJ- z8ZfcF+QGr=c9X7E>rKdqUj%-UN%zTw@in>xWb4W37iZ0NURUY z!}pTRhb$zBE|EU0%m9nxeZX6963i4EtOChVPsw9z$s7PSa_J0NF2Mu{pxXt&fsdUs zj|>}iIs6_1rN$MjC(>RwidqXo2xHEksv7i*Z7fPZj9rJ;yLxIY4slyDa z!OIpZ0dqLwq^il>IJ`KXtC7MqtT|+{LkzS+EGNShM;Vif-=RTm~zPo-&1N)$~KEG6uxS_1SaVAPLG(cR8pp%V1 zvx(5#13+VtsQj6}qNK`;8%b)e%47XNLzki*8nh~zNyMc?Gn`4{*(yx3N+X}k4JJYw zF0HJkLkpe`1gFBHrNJtEw-cyAi&!@ks#5YA(yXaLG9wS;&X!8=v1B*6Y#%!*T}x~s zJ4_xqjXA3AG)pZv!wIQVOOQ-ac}u})yWtE=?9{vw?72laQ}dZc9MDW;&z&7eJOtT8 zgTM~_K1{34wS7s%WLP?E&k(9-n=Md6m_9#w0?zl}a_+ti)W+3FO(kg;5tI zP1UsU9J&$2>%Gq{SYcEkIOTGElIeD2u!F=GJVcPF(1Y*uT!Bj+fgH z&n;va$+JB4X9+mLvg~AxsePTS@mDaqBAYR;l!LvTX)X+b&!gH%)dsy>`7g;2J$iMU zjd(_}qd;_gP&^4gtbD+;hASK5wweM^9E}vkfzRX-L8OJgc)m$p2P*6sP>bwQ``%EM z6hS47xayB18}hZx6`g&P!W$PzCxO*`GoOvE})tmoA%Q_fY? zR%#fK#6dg&&q3iC)pcLpJEYYLq(afe&HZFVlefDiaaQ$U*HH7KoZekw!PX=ZNs~d> zjFT_5=GX-k6nytHq}ql8_MmGsf{O4^^{Um8_1iCT5T0b?VqwGmsy?y z*|jr>B38*vyE0`U+ho7mB+FXt1=009V6~jk(4r-9?NTDXR0FkITu%uDu|VX>TlN`X z{uJSLxD~{&Q!~3wRV`ddrNvFPyWG>_9kSuow;hDm;ta>rQCmB;OjFgsJiOc6&BWZ! zD^$GFTaxk3ZYtcIUbY26Tus$NwC4%5zDx{biLNol9x|U)Iy<#sV}qRtY%K|0&f~OC z++EPTzBj`n6rU-N-Cbk~)o0zkQ&$)myy%d}D4kb5Z{%nkIL1LoG|s~17&wIVLp6=h zt^lpo>a$JyPmS#$-5_3#?_oO~&&4A`CHUE$CEsj%s_qHjQ=OZejsAp3`#4@)P5XH)k@+_*wuxv+)U$2 z&=^s&ZetUHXg+!8{W9WH#ON+AMLo~k`thS2@YA)uW1fv@B~)T^6pq-3+(l7VHj-Bs zLri_hS2bzlO~$;wjKY}W)^?RTHE!N5%^En!WMS1d9!N0AnB;zx+|(u6#BkbW{pCcT zI>ml5iM`LHZ(sH9(iNp&E%9JxSD~(GWwv1E)>%*lF<%QQ+iqS*_G?=fvdQ*v$SNAM z1q#ysvSwZ!yT)zR6}r(Bif7$PX2ngr?!H6%z1dwyIrW~|)x$?M8C-JJQOf!jN*G}a zZPeSyXJpM?9jz@yWvL{j(o2|%1O?}`W430{T@drB=FPM#n2?Sg+Xa(dwuj_>*622b zU5?pjoz7?hh}KBSX#on|(w=SQ;EVNd=Q^sJyBzy4_6jF?EDPz7Ua@7CzgSo&nI7glbamoV03ec>mN;ciAeZN)x2 zHcjURwQkmOR7UDzK6GBoH0yTfXKuf5PIznH^x`J|?;b5;7b-j6esYd~3S=H;Tf0>K zk<~p`W;db2?-BEfqx6RW@Ha;5|7DDpXw23x@&7SQeoq%ZG;Y1b_2(k+%dvH1#N&Tg z?P!;E*Ardb|D877K2KbCRfE>g7SMJ=lHT?|4m)w0JfmLX^t!R5*Lio(Ue+%~cI9ge zW>0hEomH*{mRq3;Hp*52?}7l11ow6CV0`2fTD7mV`IxPlNW08u4@02q02 z5P54+jXG1rT5m0-!N~P!s}qHvwoN19&ilkTL-PA%l20 z1AsDvkPre0IRhv#fk-L?Pz3@nmHF?Nn=mD#IMj(?pdA|E*uqG$fP{jb79j#LcrxIq zjE*MYtX^YVi?xO#17!Q;4SSb>qbVk}8Uq2aisV?|4r>poH=3>SQi>P2F#1aYslbh! z(lGi$e6^`Kn-85*q`(0PiI>mtx6T|8$eZuV7@yPp!rl3JGk{Pb0>BUfcq4+DvjaFe zfdC?czy&#osDS{{N?;lR0x_fi!~t!(fd{OJPz4HTo(QMm`F0oq02YWWn+T`ogE!xa z=i@wo;{H2Fez)cR-|mQy;SEUT{-G>>ybgXZ^L@w#6afMLFpvH!la1HwWLeMtU^0ME zfEj^90R$2ZAT@%BMS%dkj#C*34CgTc6tD^yjK;#@xWsTE8VsXjL9oz3F&d6V!!dx= zKrI-Ih63qCnB-*zK%nq)Tnta?6*HphRjSS*$}C7RGPwMQ+s`;8wHmB(H3H>{p2XO<9VFgegsZwZE@ zM{zP4_{$%7$zL~vE%wH_nXt+5TU`Ez*dW{KcT}Dq7a@7Q^#)tqzaM91+VpVxYDm;+ zD;vam{2wozXX)c$1=apebHQh{h%I_NFG}X~Jr7fW)-R9)-iWqKB6#q$j~k|qBVntE z)jJJnZ3x0`ljhAVPFwKMKTQ*%2DdAmE*C;j6ISfP5kxZYK~VF>60sq=>KX@Fr(P_$&w3>IKRwDa+!t*$UyYIYN86ChRSMg1q$H+ z+=0d|qiqsNL7c9ihY{2V7ER%l;`q4|B-JB7aU1&wE_1B!9nOiI?I|mwh!rYM%c`=z zP4ddD8->%o4KRcg9LqDi>>SwgP1H39IkmJE5j?}Q+w(rjH3dy4N0kiWQ_)hT0FTEG z*kY2)ZrrYj(8>)pGffN)JwL{Y0;wN{X*CGR*EV8JAw!N;RS;E_`=@AzmTh3{+m`b& zTB-rX92~0Fjdqh#l(K(eCluX_7})K^m2lbBCDUcwwwt{TzOpS>5mmO``EObG+qZtt z7bR|x1}}CaUdy%x-%Q>%B|8RL7rrAD2N%p&Xk+27Lp&yO9a8(xm?gBN2AM8TgyIiA zO@C1|UCVRbIQo@#QmVCsj7*r+()-}KhGmgLx$bR~+L#Tl=RcRT$(ZT-W7(`^8Nd}q zV{=|RtE5r=d730C9eJqFS!M?$W%b6&l50`s)3a;UuxOPBA*FDohSv6ncB#D*NJyPWS`9D0>4nieh@uD3GB z!(MP+#KUoW??1dQ{TDo>^r>G@R9f40v6oN%&l|h$o$r;>bl7fn-*;XIqrrx8{kx)G zTMNbK@!bcV)pDK}FO_(_*N?b)Jy)5?dYqqw%Uph+1MP3?uL6^BdJnkKmNOhM&)gb3 zw;t$VBl3DJv6a52sGp!4*?_L?=9Kk!1fWyqeyZ98qgPlBU2#AuF2o!=HZtMh3%4T> zb@02$Eejtj^k46w`#|K5=ODZIg|Jo?!H1y+jyn#956sRnaBl`2EGKrT$p*s5-vS}? z)`$nn8Zal<4kC01cd-ry#22Ow$k;Xp*s(j}cl2 zo+%F?B)pW6adp&5INd1UGuV4kC8Eg(Z6~D^aC9De$d(pEn@PFM=1VcxCxM^CR}Q95=farDZcoOg&Srx28d6XY_?DBC8Lu{ zl+tQhDQT4}J@9@Isfifus7)hs5;?DpZcK z2+aQ@LU*fjMxoj;acOG(uC%p=JJRWKKI=8Iv&@R!S&G+hXf2PGbq20jD|>87Rj;wL z2F%pkHdHP>dbk!QwXM_9M{PZEOL3;i+*)IGkA1r}SAyBtn`-85y@9*$X7Jf-$#Ul< zi@o(;;Xqkf_iUAdzIA^2+ea}2Z%xkzSMI%E+o@u~tYJ&lzGPP`xjXBrP{AfM1=p-5 z4U+Z#ineLwR%|bYX+{#kL`Kl4%s+`FB&xpG64q4wPi3)w8mW}O4&p2*L~8yq!0ItD zqK5-%rah>HRnG`tnq!Y}O6jjzBOl`{Z8on}X33c=5X_u>UoqKG%6HQt*$j(!vXLsu zHd`&^>(P`j7F(u%LQk=jBgYL>TNwWWT%`U6+T&10(4ezn;zUi!Vgc{8jQs;m13 zDcsy?r#3#**?U#6Bltv2+_Iu##Kf04mLIm8v|2L?FdTzZL`kq^sFBJ!EX>Wbur}Sy zt$Vk3$c=DJYDn3itfZcumuV~FR^I!^uzp}0Nq*z#rzESkct ziZlf%JH;>WGHJbCqYvYWR%uWp$H=$zAmp=J5^yz%%A_Y9Ui+$ynqFbUNaqkzyh-eX zo+`Y#!_ntWk?}uM0$9oJ=bRjRj1isO3<1oG=RC59^}j!|7K%81S@Ze+LjUMBvgI($eMpXRC}WGh5nDVBOhEF zQo?0&WFNmZU13W7Q@U|4WdJ3gh{zRESNf>IRg5PW_5C9tQGvJg{w^#W16q>(f}sD8 z{QJ-H=xk8{0z^A#O2r7eCVwWCF6!!tx_&Q@ z1P8`jcPO1x~7#kUl!ee2wn9p}=7VF6#!+8m%yH+rxgW58|?m5eEZR2ut8N z!-%;n%LtF#2~dtJYzDoMmj}zo3Q(TL%rOA)s4@(70j${xjI_*5n*J><0}xo#tqA+g zX$%iu*h2IsB)%$0ikrvyAqNy!$V6r>++7DkB!!q)0AMbo-bzLyQiN>~4d`FSB0S=N zZ|&So(G)j=zVvYRTtadMM-(oiQxFZ@M-ciYNW~BW(El+A2BKyykwh&qA|*s|5ChH! z41Vw?{6!CXM-e*)6_H{i1SCBKtS+Lz5m8JuQ2nE=nEGyc7y_GT+c2oXW+tOLQ2H2OHTp@r70A{5}JT+dvVVZk8vC0dlaX# zBgCy71^pLMkln@1O3_9!M|veM-j0v@+tCOyu-_eVUQY4P9Wes(;?V06ogb0BP0{{J zQS>DS_;v_JEpGUQWen>QIw$e~@G=kvqEduy+)N}8g>oV;abQIRn;h~t9dbP-vNHgu z10aQTRAqKo(nNLw&;~MQg>e{U$VMh?$0X2H&ySq%5_C<-M<=o|7jOzn@_8Gi9xda&mSjCmo{GD{OiO(o+y+-zBMc6!N4% z;y4C!`1r4wJ>$<1G7m09YCXa(Gb=U2-!>Ae zl*Dl|@j4_arY32IDKHBiviJuQ{W;SQHBe_V(K*cDIY=yo zq){*O5GFICEfb|O^UE_rRVb4$IuUL5aB%HHeCqm9SIvcB`E%q z1S+$lJ7XI%@`mv&`9I7scvHZhu^z;f3|zzo9?;)FqBlBIqZ3cMGzHr%F9RU5^Feb2 z?-C0|v`s+s_A4ULEEEde!aYN!&?bUhG!FkSly^BpK;h34o5Wp26Vpf(YejT%MG-Fh zW42q=d_X69`-96D;=b<=vM1x(ShM!8W8YS_c)LPjS0;!cLx?r# z=@xTC2k+e?)qWR28G*8FrX;IL0=WcU@`bLC7U}mogY=wXf{h^<}8F3DoEBb03|3)HaMCB zBF*jVTBJc|tOru`g=7j>3zR}W1)D6(O9~VWW;SjuH0E(;O=|Xq2?rMj_DVu3S5uM@ zi1x2w0#5CAcULLhTM!C7*3UEPmtxI!Cl#?Sl2|&G|1Q6b0anBjUyif(1tgge;g;B;vq54zxV(vLoQA zDej*R#ITG2Knj4y0YFeqz)}i;)J+tkS9o>^n0!YVgNR}YN-^RJn2-eqV~Kc(cu%{E z7?K89sfnUjiTI*hxV8isi;DQZ2AIQ%*lCO4Yl?uW6Bwih*v?D>t&13>2KeJMxQ~e- zagCVVZ+NK}?0yBfUnqiIivvEO7jZpizz)CzF`%lweVhc|Vc>bCIG%2ALKGA~IKCP)j*rDOn&f*=i*jA_XA23V@UW zK#PP?%3{#v4kjibf)h?fD*Lvq+s1%S_KYRgk%i>!qox+XVvC$__wM z{~%BV0E3nc@C6zOBRO0Qxf(qnPz*U>Te=UTIXDdSIIz9yeTm%5errMYRLSYbB^0avii4&Ma8R5A2udSd^3xa?KS?mS5C?UC6 zJit&2`fsVZgeitB1`%*e11FgRd@DPp z7;mdcD%2}d0$(8lqiwtVuH+xXi(kXV4GtL{Mydg4rc-i+H*&+4EPK=}d)dAPgG9Vh z8e*O$hgff~FBS8D$6OjRhq7eDf5;5_;g^%iLygItHOPEAF8rm)Llwz4`DD}vQXGg* zMq*98dP-clK4SUF=^QEi!c-j4JG{!z+{P~a-^~29JG>bI)0|5a&B)yG%QhG*eD8-* zAr^*Ei&1ax6=v}AaznfkS;C(|VZyVfwfg3V}~GY2 zM{N=AO~LHNMb|!M!Gc&oLY3P>`Ox0~+1@;sci45eDXw24cAdyg`bjo}XY&e!n zqhpA~qOn?_j7GxYklr&%f{m$C;jD5m3AGI^mO7}yt}d*{!BQD@lyEV$#_aS7Z3-$g z8sO~In;evHUb#g^16yqh2syN5=Gki=0|j!zW2#Xxl)?lx&JHfPeLOaJGaJvYw6TfF`nzlfRuinVOsFF( ze^a$(+wv>D9@x97e7{8^)&1O5Yhu?=xPBkP#HFc;uxR23DTB7;B%!MCguuvaZt}4& zvK$vbFOsJLIB3Xnodzg-tcj>Wa)$!Lu>1mzhOtx{h9S-RWScZ7R9h3oFgq6p#L&7% z$RzAi2pF@>I$oHjZ_Gx|DQX&ar?|nhn4u_*&}}D53Tirx$npx>`GzaHo}DcWGPs7m z%VIc#Gwf=f^#-l{g1AkKG~Bx)&|+yY%`GZ~&?)Ro$sw^Z!f8Lv?1LoPK<)Cz%(HY% z%Qw%eI%OT8O+(DNIMCv^OEwElH3&A%!*m<1?emb}zpa%_@;21Fh#S-ttDdbbETn|f zI}~$f&a&Z>%QrhogL7Xz@$hkBsIM#ATUV*W=Ch{NE5j#IZ`vDGH;GJNVZe_H87f!M zRPL`YN*p6;IF{8HJ;O8`#P!4plZ_HQFI8I*rB*v3c0@5uR^8v0;v09~^r|kKN6>T; zd0_#w6$Zfd3_^;A&je>8s4bFg8$hnb@R?sw-X%)P@$hR+27!&`B2tJ>Q21p_9KzVe zNGy_^tj*SK0y6nDvy|m?+`T(XHUb5fE3dF?qq6HH)|6Yia^8oj`jqOcW%_NNsp;$t zn-SSm3N1m(n+}~rsrLqvB~iOl^|mkQY-O`3a^sy>r5dHq*Ff!#I*wfR5^X7@p`*If zRW2ZH!*LYrl$vjQyG>>;R8=^GqSfCn^1JndyTLTpGZ?_v+^rt3R&bKjR&x*KVbzB?F z?OYq@w*y^X_nC^~;lzQ7rc9JAr~y5!Wtx|X$(+Pp-L?3A0b$P&&W(NhfmF-HIo#j-aAAq z$z~@eqRy5`VJ~WF#h1l+c9|k#3nI%Yp{XMjTfvFjWr_(b#%R!zn@cY%2ysRq1ssvw zV@`~W+B3g1lBNt&<9O!0)+Lz$vfLq0Fp;&ykX2N}%QT8{@%S3ZRQ9b7i8W#J1zk4z zGUrgNmnX)N(H{hS4W$dFIudcXtph0nTww(|t6lTBw;I69!mv0gKp8GF(=Ju?t(KC( zgQIsy_ufMFHco-&KLccb9COlO3i3(4Wd=jroAY_7`Q5u`@V46^#G_+6`beI0wOor0-!4Lq+s3Qy_aM0TPj)V~qV!GUQh zmKfD~l+)s@M<>zAB{f5$4J!*ys;>NtRfxvi;fjrk@v0-&10F{dL6(t?Ikz(PQywdI zbB>YmyqKg?YfUqLOEwl%8+!V6AEnB+_gV9a3OTgPD&eA!Fr8vR{`fxDo>lB!$4fM#KHvgl%YOx2uW-_e3r z3f_Oux0Pj}F)*Mv)utl*)~^h!^PwwHK;@7R+W&kOKN(CAUH; zvg$0e`jj&+v5sbIKN#A(opar) zuyvWTNE;DYG+c(UjJ>~9qKhLABw#g~Q$(mJ`DSbC@^dFUM7` z6|SQ=QS#mxt0gRzRRLO8LfSPH2DqKeR@bYd)@geryKakSw08~Ul7y>r9&>s`bkgSS z1U)q^G}xJmJvq(O;KlaUy1TQGlozzQVo8I1p<95{vFIy_vsUhbr2lKoM`4@zG( z_}rvjAYvl5C-E$kdYqdTQcgCdaRjDaSV9crUSop_4mvK6wXmD^U`y9i?u9uJ@bf5L zUL!mYO$u_n6r6d8*X(6U9X6aR9(ebWEjc268EoQ|_RXARCuPPRrXD_}kh#7drhM^x z_E=GlOMhR-thu4pe8`G&S#CsV`z2M{-ozEGND>X)SoxENbFueNnESzffnVm*pj$ zKD$)W(bpET>>L;q_-G~B+s$6{Zokxse*KNtH&IhR&5>b=k>`=SHH{gT0N^&O{h!&z zqcdqgnZ>`+cE94Me- z^L#h^mAKHkH_8$_%n`YB4lemqsX+A~;_^X~06C&}xSODh(|(M}Js_MIIJ1MgDP*~6 zc_RU)I%#AtvzwC%Uylju!g6)FNjEv)L0+nd7Un!@{{yF(N@Oe73QvO}X1Ik|p1yQ)Lk|2mSEJ3AJ-L_HJPizIutI|zxy zK<&g)kQ*?XJ7Sm$Tt>oyj6|eH34npbc}k-?Iym&(V{!i4KmQiOVbUr=RCXB zKG1@V)7G>A+eSc(v^q+q<3~NghMk;mHAsO7EAq6P=?{bOM>yL?=!?EXcM*UX5tHa6 zLiZQ@OTOt4zr*S^X^lWDRzArT5sU6MA$FDmNj0l;K6_%33cBvGb9YJd#nwK~f5HZV;I%|I{Bb&m&?7=*mjO-UeD$7b)*t-)TL5YwI zS!qgKAB?)nmHUZ80w&6|A;G(MO6e*>JFzf?CAkBhL%b!zlrqF5D7jM{y0k2>+oGRD zCAuTA3dAnDBubc+CAtK|L`*ZENi9UYFG>KZ#EB=v%%T&+Xv8b0iL3jdBtJx|4uQ-- zy1<$-yd}EhcL}J6MCo}P0hK!hNDov?p%hGrWJB&mnfEW80O&cCOU>A0l+U`%IYBi%;v~KU-(Vb4QnI^~a*@ zH=9@_gpWt$fS{a>P<(OFM0}|e3ALm6xa~CfYFEj_ACVhxK&2Q++zvYJ zB1$~VI@GE=bEv^osJhI(%7muULmkqIN~6)HOF=+7%r#2eo=hCFOANok)UwkItHL9$ zpoF+WYq3M*zBvgqkn}3Vgwo5zD?|9x)1UM8)Yhlu#Kd75Ohh)s ztwTc`IK({I%``gHOAO4Tr%lMr&6GhzsDcgL(M=9UG6h3?E(D=4cnt#|^+(;{oLKP0yy?3AG z6T#z{NPM!f1sK^Hf7soSI3yg+B^|;iTm3*x_>)`3 zR*XvVRJl4-NS`^#-OMP7)zsa?WHei7$W?gV)Pm^>Wkb}&cu#FW>{nJa>d0+=PUMJ>fq+*D=3Sg)IK>H9WRADxdrzE@$urc? zS^!wQYbsI$oxS8QP31}SaY*c$P%Lkt1f5U~=-Ewy2)vhG!h}i{1gS)$Si|VbNy1pF z6xm^(HA_VdtbAC=`NB<4*#xZ8OpDO-9NG+twhfsGef;#{W}#c zS=|hi<(xUV%3OQ@DW(R^-JRdWx!QCjQ)M8x1jR&jo72s+S~aDy&JbZF$i&eH%3FBE zWj|V^N|^nt)NIg7Tov1F!_xW}OxqDbwOGy7I7>?D3iY@_+)CU|CQB|M(>@_pHM5P} zxXoozTsWZG{5%ep0*(F2!dxfS3bo?h1Go(4x0C?Z6jL|a1XfclAx+|4RQI{zhEW5{*7fUGMnTTQd)BoSQO)A8+m>Wy8bFokDU7M!Wq4!-ie!6?QSI`$ z?I2gxBsul(;7VQC3*b^?%h*V%xx=R4L$N0{`(I@&mo@jx)UQYbROMZVy6m^CjSW*M zy+D(!|=$c6rT4En7s^T*f3B z1}@53U?62$#N6GgG9qIs4rn8nSiNJo?T2H;AmnyB*qT39b&El6HoQWbh~bOl+6mtE z+9&=`WHpkp72mm9m1FZcpq-XiO_$$xOxVSn*L-Wz7~Z;WNkPD~Ahw#i?VjG;`^yrN zGo{47mtSlpZH%=;4xh$Q#SX=EM&7JTzMblQsA>jTV0KL4_={roeM}Ls>HK%# z7PMF`0b0p4Wi5j`&KGK3y5a@($F3Tv-d0D>x?8@mTW-SdRue?NtxZN8>rIa0KKbk3 zC+mg2?7sZl)XrvHL)>-;*>yzc&b?yc1LE+g9Ck4YL48fn0Pr;@>GqI9Mpy8rTFYhE zZdBdq>zmSsi{Hj&X)CB&P2my#>0RCn?slK;uMu6v{A&DdJvL}m7Mx6;pVyuNVLszI zzZmKy)N6jKO=fXwSej=}>#9~MYL2z$uOUP}ci4V-iZ1h5$eM62uJ5@r<>F~wlj6#Zrp!9 zL}zgAUVrspL3J>Px^EL`GbE|+1MG);Djzp@e@Gyal`zUPxzQ(z*_8;W^8vqsw<(ng zD6E0P0&E$T2q1@edXspGrrg9Q)ZC`(U_xvu^ueop`Q>nMa>^I)ICognKjy`YbG#C!~0o z$o!%)c~8WAeusLOkNDFddbpka4J7-(>H48^mk+S3UyUChu6+Xm34gVYc!&7ZH4`7X zjBnfK0JexQvW@qRAcwqr_rOD;{CelM{o*_Nkg|-|ucUvGh^WZ^fPwqKl89fMp>L+8 z+1>%qkpADAes|0WRkM6Qfc!|*A*Z={|AaUYrhWIxcyQ4szsm|o#HLrIe@FMC=)Ze7 z83+K#a3C3t#)9F%%yAWw zNhHDu)IK8|mPO%H08yA#8yUoBE{#stzz4(IaDcl&%OkwcBS9`UvL5bGQv`bz2C)b9@(Hse>y$ zrsyuVN$jIRoJ4PaxzJ)3?102vGOXoua`}kPdNj%mY>9rzXx5-*zU8sZS8j+F{cgnutBx2e-6~< z#-o1+ikLB=#Ui6?fZ%R2yX#>VpNHS+G44dW;hVz7J59nM&7=?lMv5~KDkk^AEBa86 zK<}DJ8$WQ0RF)&5q%6C@siVOMw(9cQmM5x1=%R*7I?}eYZ=5`*G6`bf7zVJq9^|^= zylWf7&FY~SMGGVd#Kwq3I~6Vo6pkE2tO7i}rA*Vp!>}$`aVjzqiai#-GJ0~{HcXnd zt}_9fG|o(@^N!IZjiQ_xG)uT<)+tv{ynRd0V+%ZqwM)T_Ed2GyU49~VnXbEKLf(Zk{?heNRlY< zBd9cRjzUj-;u^5&O^_R1EIMaxTz2X;Y)B7!;L$;GW$+hYQsick(X!gB9VM6>4|}{9 z%=0j;(&|{vBk)|8WINE5tth36yK@gp=?*!DsknkeiBgxW#XZRJv{L7@L4+qB#}ji% zts*&wXFD!=x;Z*!Ne*R9&CS~9Ell(-iWf?=r4uY5;jm+w#!x#KsAhDur=?(+bu_}$ zi=6Q@)Kw5kACZ+sr{X;)a4nQvF`ItUu`0gLNn+L+~v@+5Y5JJMP;&8g4RHqEG~ zU0H3v2J^j?>klec)^B=47jJuV-aU&8+~pA>p{O+(>{Wg|4A!G^#$HaJ<0E)8)1`XI zeidZ-cD##{KnlCP+9?Z*-|}iW_B8M;E;~4vIdoD<_(;zO)$lp_`U`|x$)L@nxBO^L z8Kp(ZWt@@Y{{i1xdNynvgf+7s(9zo=XyhQ_kXS6!2=a;~B#e@%@*Ybe0iaLmv5l1W z@IhMhHZhMeP?*NZN}1v~axJKyuBO^VTY6ZBEQ%HbR=*7)d-`uJq^&gf)=^vgfi7_> z8xVAEmKGa?RSq&LJhs~2qDxAFt#QLeGdyM6@mB^AdAOTm?pTarrZ|g?7`D>jsTHZ- zZLvwjMwrO))k30;$6(_bh}e15S=nu+<~*wtM>~S(KHOJ`-AXLkiBB*f2GY2$W z76Am40K!cyW|XoOA%%f?Y4^c-Iq=Uv(y+t*zu%i`^X1dPO~IAd)_Zp2EUuQ*5fe*8w%1d-pm8 z1|dFXDzzs>#(pK}_o8{ClnE>Io>N@3PR6|vlNqHzAlclU241$Ft5;3y^!Y%La(~aw z31`qf?9d7L)*qszg5cr|(Nx;gNeUZTXaPteq$@$1A^?5xt-6p>djcQ(V>xg#8%4%| zVNN@4ZV2+4D>zz6X%q+1uulL-NU7YJcJ^-9&x2cXhpb@2kH`;j4|Y` zrpW~vqeWRNZMI1^RCx`fnb%3gNf2t~B z<2WWA$}0~E16)=8apUC8M6!61mWRyqj*?xP>;&i`x#soC!qmJ=mF~vNwK-oEnFgsO zx4wrv4pv<6N=KZ=R+2Wy&Vn_+OpbitGYXg>oBUU5DcV2=VK!#{24=54rBU{D_m^`s zz|(4p!h^v*-}^|gMsD$$3OIlld>n*lgA%|@HHXL){G~KC7#s>*!q3!erbHIN;u>vB z@yj#C=f?e0{BDI10xcO(QeP^t8 zIF8v0#ee4-HcJi3t(r?JRpKMed%0xz@tq`J-P1rP?H5CUzKaiCzFZZNAoz~ z?#tPLP73)~x5Xx0>%#jdgtSQ;#{NVu4wpCMZ(q)r0?`Y?P_Rt{yxdVr(0QI|C{nt0 zR6Q~|+s@FM#rQ3lCl7LK|40GMXa>&*6FbOdNe;g%#nkT#ZjHvM-Qi>XVXP9aA-RU~d1SWn8834Z_y) z4a4&kbR;(iKUS=k|6q%F&2jw6!mQt2AlG`cxz?j46mego87(hR+qFTx2c`5W5zM+F zrB#m|Uo7lq>O~FW**zv);r^DfNn^>kjW$%vo_#YKhB&2)$yl&>m*q2zSe`U-1|CYFQ;x0e! z#x*C*IwMN}Oa6TB0yr(Up9xg~ggpQaj@n6NBxLG2Vjf%v%yj8<0|F*yZY3a){tevU%n~IjRR!ee{J!o+I0KTY z>k=$RX2xTnD6awh< zQAVZcHq;Nq%Wo9baSrbbvUkHs_OAl)>iZT9m}9L5DZ}9O48pO=IQ;Cu@$Z^xPk<#) zInk3jnCZpb`Em3)E~NO;^&W3*`UdbnAY^n8(E7q0KOzkJuec;>60?#RNow;V zWH}j6wr>sOAusyZ$)tL6JlV)bNezzJWy=Kvmj6k(-feFq>#Dzy4t&D$N>XG_kYbYX z9yBm|+u|r(vSR{fgu-xC!6*un?esJ-jRNiK1aPMWt>Gr<6)5mBXhx?8&K|)mM&Zr| zG%&XI&~*mmLki`o2GB<;&IIBF#8vJu2`2o9hT#bebq+AQ38xDW$*T%7x+B7&E-=aIp^&Fw9i~uI2E;=4_lKlK{Uk)-3L&5Dbj0u-xfuI){#34-r;EPKytR zAu?`z4dpW7L^%@i7O}=&=`2#!V|5P37M-jOl>Zup&J321=7-qQn zD!Us`uJML<84rga5u+N%pl}>9t`~Y5vQ0*L@vj_P;8XU^!Uw6-wP<1)bP77 zF8pM7AP_q?2=Pfl<>`>~B zK^L`UHA_0w=iKWLSk<+45)UddlPNXJ5mzqh70VqOh6NNd89i}R6w~Z8Y_~ZNShZ0( zSm^lph*D#-)Qi)tJft|*DxUDsc0e=vJQc}VuJb&j9}eOW^7GRnk&8bOn<11a^ONIV zr~_W}>iBX081?C0khWMX?;MY=FESHglm;QxUqHyMMpP9*?~!0nOB{&!LWPk+)J-6C zLMATqE|fK6qoW}-J!7<1(vN#YLY+nwOJQQqJ55q#N^l_0$wk&(W|S{wQXYkJoY{~4 z2%-?F^lVO$mnC${h*W^tFr^4obZAn>+Rz6JMf~>Es&J}^>PFEg)a*a@u*5CL4Hllr zBXJFbuS*D-E^XH;km$=)zbX#o%G3ByccMl2s(|`)+i5X*9zB zG>FYo{{l_OiH@~ul?pWoXKRRUZuFyTCn1KG!7@TUYVGuil?2~5(r~vM1UB1=sT*yU z5atV4D(;V!p& z)Kch8?+1;JCv#JIJarn?bEC5)pYZ^^_4YU6c!1RgXe-^?Gz&f0w;Q)Hv<) znSBx+mN&+2`EP!uy>lViDsJcb*Ac11mx^LteXim#*cujDam1wgIh}cy~Hr$Ukg;8}kNcQ^gm~!xvb4pb#J&-R+ z$ornump7GNY`Do46wf##HcD3EjQIDV*CwwNw~GUDboTd8HEDF050EHdZ|)a7SJR{P z>sSpPqm_vDxcHhaL3dRZA(QWq67zVG#d5C)Sh`(Zm79gJW3^8Q zv^J@AxbJNi>eLgJws&cFS|e8)Q@2rXwpf>LuXA?P@ZfK+9m=1LQPZcXlcbfHu~^L- zdxyC-u^ie|c9}DCEJIza@1`{gU8i#inDZRBrKb<2ew8_NPp?5U39F(DQksXV8q>Y? z$-MViHxW2BIVqT#b(7XRfEhQeHi35KPrnfD4raJT&Rvuy-YELjHOAkY+R0}YrL6n> zoA;p5c=w$87IWJu|I0hJ!$q?jeDye7*pqs08R?JOMTm|9C=HX***J{9`qZdk}-Wdp!j?1a2Z9Rnojyz zVY=BRs+wGF`}wA{HdfoaJG{BPwilV&cVYY4ftWiRo8e)5|1lIW>Rat$d6ARUO`KCJ zLi+|lBL!x-DnJ9(Lj3j5%?DV#HZ+%Y#aw+_J2u#n!#i2pX1Y_wT64MlVQLk)`kY&+ z2H}UgiOW20_;s7dQW>dxfZZFniu;3KFjL7C36$K1*ZaRfIu%`-qsh`4Vta{DoZHIz zf;`$sjAS|2mid8t+m0t`V)EF;F7izmC1bqx%zE=h9J{ZZ8L17Y!W=2R3;$+7Xmh29 z*g3pfy@Rj4!ie09gS{EM8$WxSxx~}E)qO49-Q_&n&z}6Hm0dW$=jpxOOg>tp<>&U`P}9ox&D z;i{b+i$GxQ?J|o<4LY6!y zu?aqy&elhsHDcYF=Glp^9$lPXW6xe=>fJNG{nzJP0q2_rw4;32{zI`I&b78f+nxY_ zLJBM^-o}a?A-?2I@3bVQUM_-h?-zO?ht9_ZLGS+QkwW}O0@+$RzJw2sD8Ce4L(%VR z72JYj@k0<;KLp<29xuKJ8=n#H!+q}{LGooe+@9_wVmvLMNOE5(^gic9|0w$-Eg%E{lXVyzsT&^{3SlUY#dC6_DpQz#ap{!vSXSk$Z?me(xmyj zz8q(Z7hkp4JP^oZvea7#HW9$kX`{MtvQ#LwylchGW2F1rPbOY7qQU1&FWPI>3q~v4s<7TZk(WcyFH~BAbbJoDN;iKN4KTqTQ8KewT zMD0ASJP?{eNb>l&!SBQ(8^3UxI++Hkqm13R(3AS1y6j{y*uOB`5}~S#DzMVTk!(5{ zhRzfm@ye}QaaMU>yEvwr4+`w!cStCd2!xtF4Y=jRQ$ms}N%RcfXdn>_A z{IwlDiqvT*plwiG)=6%Ixi3uZtT?|!Nz-{VMa`>(;!Bfstuwi8yPG}+&XkKhI?4GL20Vh-Bi~fy!hWR@GV<7}<5| zWcNW;@L@UHi`wCECP9#8ZcZpIj@qwb%t2k(s331_UMuCddA6us<8Vng)tDS5wykdF zSc`%&8`vR*lXc*9wZUlGbyBp3Ue<-RhleuIV{j}t71uO|NsQ5SU02w1T;BJE&E)9?ga~BK%NwZp@?u44Z&!HH;f~Y$Pfr)c;Gk;qmV!#q5}w0E{cLs6e8!Eet_PI zbyBA-V5&Xp8wQ~TWd**0j~KS3Y-M?!L3%3m#5hbJ9E&3` z#p@N*dHTHvksvaj-L8f}sv0o*_Wp7+=qA$ClFx}l9(F)Ku|GVL^2MPLX3Qf#1=lKg|0&f;NV(|^M0?~fj_7C|CZWO zBmjYF6C#L09Etk}AmPR~MWI%{b0m3YhKpoXgOEFP3^Q0As41vi6jb|1!mj)=# ziYPgC?g^lR2M89TWEn*4tR5(Y9D zxeEprtBh7sB*jR$rkb7$mTGRf#z$!-9+V7xOHukjNGO>mPu!c45w1_h=`|?8RC1Cr z-aN@kEgy)#B}{vdpn_ma2?;XhG_{dYx?;@P9~Wc9 zla=ybGa3}sQzk^+RMVi|OzC$iW2C2=Q;t;J-px5zK4{Fbq$FaF z&B{SSUdjHHfkXvRWBW^&)iR-H`Ygjnf|`%@5~7q^UrtFYLFnBsMAUwO(kf{@W`y*l z^V(`k*{w?E%`&C+I#5*!A5Ew<`-#jnz84A_Uka(K2E=lrQCY=CDotbtRhS#RYGE>~ ztQD^ml6lfBj#sx+G3Wfrk#-`x&jxi^r}>FIMgGJW*)t8Q3bn1oMv2!6 zdn#wuhOD*n#ZU_yU90U1B?u6?o#?OCSQQm2`J$h=(|8A7<(uo~X6P zq)Z!U4GJ}7!L{t+2ZH!Y1Dkou5ZK$^RYH->a?SgOlFqA}d0WPBVXD*f#x{ZG8O6v=3@g^$7_|CTB z_=Q}qMcI5&M*3TPdQI=eRIoU{tm7Pc6bZg3$Rr|y!!T-2O;HFplqp)=D4eSc{cpY4 zhH~W-6iwoL${`BL$mJq#w$;je$aVshVQc$b^9ECi*)`#2e8Uu!xkipQ>hWic=-FeN z1&j(+5GYU;dvHAZ&UuSFW^EguvtE6wdAir<3}Gd-j&;ddmnde9C7&-1W7B!7QAPv` zVv4R@(RqJRY0Sx;^)7q58dB-stX+RJ&Y#aZ!yjo4U#YdOqlRGF*t~oPm7t~THH2i= z-ph-KG1kS{`BO*jLdCK+7Hq;-=OXK@k0rJ?+1lE7JMJ?-sCFGS&-uqaIxg52=-_sk1e{Nl4nYVW8;5quS@U>0A4lbx;i^dY@t);$5 zZq?tKdyVc+Bc$4zV^$!_o#BM`_PO3MC5rB zlJuQ-*tqU@>by&0cCN|AHCGqfJL3dSUcbbA6wyIL~*kekX)-v)u)FXGP?iUz2pGXXU$IX7pW?m--(yNOz7{>slj>cWL$L zy9XZhy}Q2R-jQJ>x3cIy*S>gq$M3a0>(xA4u4>;a>V2Mf_+3kZbZ!af`%k>^er3b; z9vft91pob? z*Y`5R;+Zi({yyN|ztiWu>k7V;`M@*#JRAH#TiZX{cbMz+ykL(!b1b%V z@jZL;yrMwB$hSb7gs1q1z|;S|yQ8u)Yr!$yy&M${1R20%he50sJB#8ttN}k8ov6F> zL0l8Tq!~c7-@t45KzsQ?j3Pmt1VTI(z``{N(6>JH4!;8}K0C?5j4F}D6ggRRnB*`* zoFz20COy)B!u%`3j5b0;!z_yNLmVqZgJHXq<2;ktC44$VEH^_WE5XA`yYt++JQBlv zBSb_TKGH^tnhwC@nzm#u!_*PMj7E_RD8#sdL=%C#JT(@Og~W6tMAS1u%up1xO}lhF zLHrRy6cQ)=Qbf#9kqk~ggik~?SVfu+zr0dJTsf#%f}^A?M2QnW3@$_3q?sVSfvjOd zj9^A&RKiMmKy$yqgc!zrX1}~_MvOScG;P29RlB4htPE?$Tx`IkZ^f)?L@UrnRA9y2 zbVoF9K6FznY4tBFWkS>tMm$Qxgvca@NK}c&lkGQw2FJtixwJ{Z8{|POlnxAl!xWg7 zRD#6o_{990$(w$SVmZmQUn#_$y;G~e^VZ0`azo^y!i=HF>@-OHok{$jH1wZIOj5}_ zsYe8g!_1dL?4?S~rb^7GL?nbpOr^iPrpSC#E^J}I^kYP%j6>wMLX5Uc9D}z67|Wac z%dE9N)Vj%wtT&jMy>s$QjAYBy3QOc^NHiDA#JMi?y{BZLuyUBlp%Xw1aX=(ju;e35 zRBp^;=*a}b%!I_ul)j}XqmS^Sy4cl=h@zpP#yRTJOQg~@izlS;8w#AZstHK8XqZgQ z&P}As$uv2owA{NKz&2S($~!Jj49LzLZp-k3PCJO2C>SL;u?^^h3*h&!Z0AiZ=trWP zOyjaMGSWf9v7{LsJY0N=EZ&$@Rw^p8Pb#G>J3Bo(GEZEh0n4mTTY5U%E>D}cPcqrh zyZz70#ZIW3PQggd+44{f^UxgnyIXc55y8-$1W*&-mfLzyOUZ5yZR#ZR3e7o8s>lCV(%gewIa z(CaEKRUplsCsHLFJX-Cr4Gb_PDN?)jRzcQEv*ZLsP;u zk+_Ccg-x|d-NfBbr0rZP1y+0lDste!m26JLY7n0VAkP5HUnPMdx%wyZn#s9R2bn_rCQUo!n%{|P)&4K8`M6% zR=|~1S9(NO)mFlNOIPAf*ac`rRdFLsMOD0ASKV~Q%v4r{bl5sXM@>!0<%w1#l2(MK z*u85@6-~>nj!z`DBbtULfuE{5T!`W^A(`S+!zv^hbyFbvl8Nh=N`)SU7Bt(L!p)qR z1tyr_ui43vS*qv|u#Q>HK+M0fRZ`xxi6*5~7ny9$@eVZ+57{wMxva}m2 z?Up?jR3dhwg|0jy*v4XZuGr7gBdW*wu2Ep|3JPK+nUdR)4nSRC6~V?^lX_GwJ+6hm z*S&g10fF2Y_Z(4E5dyUsp>L`b1+xH{+#1AF`1-^J%2)9QMq+qW;Wbp1mWtKNSRneB zt+>NnKtQw~7^PQLtW=m%MU~`J#T6FQ{n=IQRF$Q>r~F_}yi~!p%2q5|RiL+ArDUU9 zh?cS97oBL<#pIw3!I{t@8Q>R!GD4cN2DUn0-qIQ$GBZM{YLD@zDlp!fkn5;fs~W%* z2>=v=pfw+Wg^j_h0w4teK!aax_ky5`U$7Zp#rPly;)136U$7cq@t|LcIp7ugiH-vz zbv|F71%bu}h*`#9P!tL#35~u4;253X_5EL~V_?YQV6F}UwhZ5v3oC8^2qpOlRAu0R zrNNo2n)nnUpc#QknBQ0$;lLYUxQ&6x6pg4DVWt95wZb5GUOQMfj~I{Na2A&yJQ%H5M%hg8$Li}fGT0Y8RPav0j5HM04d~<9DznnA)p(9Kr&=bD*#pM zkEy5vrR=uN?dAfymb3hisWl7ft7DLch;};7ei>uVPJ@m?)d&;;c4^{R5Ca}*;utoY z(Y_kVj|j<Pn6kJ2+;khM_vUm=*wUFMfux_|%?zW+#coqSoo`ad{S>X?!q%1s0Ys$NbDd-%Iv@BM@ z9dbN+GXMhy)Z>|WRFyDOFE+GvEwRvSuUz2>8We3wl4ZBYoMSqit_FP zh8AT|qbl-=kb~MeRc@JWu6FApseS7Pxo%eIoxw(}=#VaOu&5E?6uRxx_}*_#v2M*% z9$wv-+T~oHx$m%??>hnSf~cQ<4Y7M}X9L=%jg_}9 z?xm`A1+(y$?e;36YkxD3cVO@S^7IBS6b`y`jj9;nm~odBaPieP&qr~03~mOlZkK6e zA8hkh^7PWO&Y5HLham7rc66OQbl&us9{X})D=RO0@-KBiIUII|?Dek75J= z`8j3{;J$d@kg$&c7LdhppsCxb=oLh*-Q5Y zs(WuocQ3Md#~ht~$Cg)W_kF5)xVG=LX>>7MWx|?Ijv6~{BNPnUx;EepYfN0e2>ff2aNfDdTFX)N+@EbelU?gxDK7{$&&nG?@3 zaGEz05B&pRfC7eN0l2twHyQ{ABY}{(a5x%>4S=ICssu3@i-v=UOfCvE8;yhp*t|4g zGLDWT@)(p{XfB1vMg{3%H?WPN(F-WL&taF-Y4z%zA}JP}4l5%$m8fPos>Sa^ zctv*ofSZh`_sZzz9S6kC24k6)IB}4nLhpCmb|RB_8BqrD98_jeff{d@yyrZ`NS^Ch5YM#xyPCw*aT=)B6Jl z3`^wdzt6jJyP!}IZvqA@ia?RVsAy!-zp&IM8Lx;mK+wKHtQw(2p`d2^MKN3|sY4MH zj=Ug|GCGi;&N8g>x@}^_wK9#1{K_kcT4)*usEacDF_Ka>CZ_Sq4;{#kGv1-2Na7S6 zBO$0})CMUsQ7_Ch8sL~q$%>f}GcDuCH!zZNE}~7d1E)1haLSmnA#P}EGAjzyl87PH z8p5%u?7G|=PRYX5htaHb$4Jl1l)phP&O3J!mSL*uYAL z13%RltI2!D@tg+ryw=-OXSdjl0`$c5D&2oVt(}_Cp{c}23qXvm|&rRj!-wd~Fy;YKTS2{NB0aku>q(AwtdCe4V zmr8Rggzf*VRj^bQo4sEr&1WI=P`*hD!C5R3fF44mP*`&Yg2gfn8kQ7PAe+5pi>-4S zh9-y2^6YDEWeG;N%Er^6IvO~7Qe@c-oD#lI0AqcW;L7`GY3KkB=6xe+uBVdUR6v9KI1nWx4 zJZA*#>=k5O;F!xmL}c`xs}xYz$-I0%#6M4 zBpdRWijWIDJZtdL#A<(2jeSds=UC@4CZ2UqVb_mwh~Ft{VAUyNHKWTbcylk}aYhZmUzJff-0J31DyDQ`69A?!xnjT2DQOrabkBq6!pTno;M^wymwf4*&(!I<>B`)wleBuN)bOsSfn@023Qtp+_rdc3 ztQW;3pV;95!BQ6LVWvGM4?RZ{HWN&!9z3SF_?fh}B~GZOPKGe`gHtMf42zk!w$$4u z(W+|{sdB!EDFm4`trxjH|K+!JFmQ-##}n3EZ8EyDSg^%3_F&UZ zgBy^5!&6!tJ0Td;B9JWd!ZH>(UoAy#j}cZknB(JO>^6?qr%fs|CC;rIaWy`YETL?Y zde63I!`J99V7t?)iESmIX{m}xYXo9V_TV;Z@)fOZ$Z5Bv2F)R>d9Q7x`?}u^t+92Mar=2;$`kD>b%J0E$zgT6#Jo#pe z)kO}#(w2nFBb(74>P<&@E%%nGEadR{(Pul`31IVej*^m0z_ORo0WKgd74f^3Z-*I5G_M0;O$ zf1PC6uiDp7>e|D2+-nNh?Y43&*4WDqAVmh04VI3N_GRf3nZ|V75DM535dCG+vn4+J z5F9&26tnF@MdI{YX1tKi!30gw1x=d+t?;e-LRAi? zn9g7^F23dK-oS9WzKRfkY+S)kbb;l@cTSi>uGDuh-2MWveUiPU6oE}L%XQY6N3Q5?l? z>k~sS6OT|M?1CY028(Rk$8REPh?Gujit#AkYQj?Ssp?JzfwipxmB7cr9e=OY>Kdi9GEdGWT7Z?zPR(o6`z{RN#Ii31xic+PD_951B! z@3ORP_S~=J`ArJk5CZwl10B)kXw96fYOK;?tl1)f`;8{quoTk95d#8t+;7Y_G5-BB z4p&ZAe}l-?t+r646#k7*1?rSPt!)2rVI&Xq+zS$}PvHGt=2z#uws4L;1w{wYhUbfR;1GW0qCp7F=6&o!yG|g)joAs#Ab=7qx-KZdYA}>; zF)Rud3sByJ@Z6+j^ph~g$Vyt}PFU?RENu|hrYIQ*+&W77e2oJ4eqNwkz#Mb3+|ttihf{}4V_fNWhO*#$DEOR}X(j-4ZPzJXBF zD^PAe5X()=Y=Q?*DU!y6%#jF9`%VcVElv!5@cS*rM=?S$zHT^0lP^m!rpQDu3efoM zu$3-xGV$(AD>~KEYL&rUk%})A93jW=JY}~1 zg&!G@_WtjAJnhum6U_9F$6l570FAo!b?*Z<5Zn(g_K$Mh6jb*S(Al;5VQ<%AvEM-C zUnFeo`fwdaaqMccAxI4gWL6nN);jp~hB8mBAT&89gD)V^O1TBOL}O!0vK0JMXJwQ( zMT?MKvMw#kU@F3S7*vC3RKZNta@O>+B=mbEvT-x-@kRpqMUe~F8r5z!4FqMe*}nRm^qMic%{?`*ojN!;xPV(_R(tKaT}F zZvs43(HDeYVNVZTupJ(?!rIakJ+CWyC4~2t?FDi_Jy*CR75iXN9eT6G%1}9bvA)}K zePY&kCbRQq_IZ8xxTm+-C?aWQG%;wDc|#I#HgD#<5$|d!OCML^eb!T6GG|IQWhm5{ zfpV8jNL+MwlUYL)NQS3@Bzu9(zf=~ystn0(5rSv7!x%PAEY$9)ma?ozIWAQO&nvx9 z=-(N3?{#+_F4piutm`kz@jEjEIjuc$ix7{u2~<(iKlc$+*kaGsN}&rRH+5T8NZ&j+ zCTf>wa>(Cy6FCp{tBKb-_w(U4?NL5=M%lNF!*!7W6R$P%{v;P$6BmO(muT$|_{7zz zd~)j*MI$1lGEuj8LeuefG5sS`WfpK9Vl$rH0|yiFcOn!tZ34hH1-#0f*VM;*iz)WE_3~VxHEoKZXRHy=(m^p8N?@@60L08uYgcXH{_)Sk@`G=OvaMhIuSeaXxbog?G zZS^GA6|GTMS39_Eb$PXh5sh8=dvzB}hz+EcSdnV90KM3WUCmXU(Tw}}pE9-Zdvh>= zq+dZdGmB6iju@;jne~gZDPpyGH5Z#9vsV)YUy#>NqIn~ASo5Kn!D9ANH%+%=nmpOI zNp})nLiqT`4Vz;ZetP2YxN*^vR*8N&C4g3Ik=AdOEL9j9MPd49OB9ikvS(^pF!Mym zX<0#+G_{k9ZDy70lQE5R`LNX&MXEXMA_*Oux!6)zM~Ep0nbF!-8NE4sICs@^|dS9a#=NbDce%KA8*Yzs8S4x_NI3**gnojOF+kY7V zceaa!xesWV6?$@Um&mDJl+l{vAGZ>hf7u5+bKjnNqK??bs+tN^TCXhkZLhkqo>A8H262dE7}sh4r~K?~)linmRLJ`;B-yRl0gUCI%v}+_M z+a07orP78So^Yx|*vM&uL^;<2HEMV**(Cia?O;B{03v;Sa7A2+BmdmJ+1Z`mDlK{3 z)WVAb_Sjvp2II@&LwDZ73NRg}QzSRvhLklvDn{Nh+CCCABTT4W)@I%wvsLNgka#x( z`Z>L6--+Zo{wv&_dQ~Hx+-=eUNWS0^W`nzqzN_nrwd#X4Og-E& z(uM0Sd^j)P?mlKCK4990TjqD}s77V)elRay-Dp1T+3DnWgF-g!gWBi1Nu9OvUW{7u zh1*8Q=6;xl9jI;{lkooNPuMD9zMap%?p z^q)cNUC(2-H{L$A;lvyFUHA5W!Rp9!*nZ3Smffc@ zzF+eMa*Ce#I{n;-{q5&X2~0no^Pa3eUK8=1a;qNBD_^j&CYj^}-|{~%;;6sw=STeL zh-IhjSM zLvf(ybx)|&<-+O3I*%Zk%|?R}j4Cc5pGF4}Is`~uG@M$b!s~5tVl=M|hSs6T$XqiS z-(t2~)fPZ8zu<6kyEUT|b-T!BkYS7@V>!h{EU-I#ByUB(X9hQk7~?eyuMKdSX&BBY zijEDnnC!-VRf3pmQq02j%zNi6{iqS<%fNs`? zftt=6I;zU_t1FSZQkTbpqmvXV(OhWopv{6JsH-UAJoQG*0(z%O=~D_BuS#m1j4NtN z^ua3#bh@c2ECWk1Gcp8{vcM}!e842ZtQ@kvi=5!Q2NRpLy#~wT=#B<+EclMXiS+|P zveR=TIL}jKE{L;AYU3m`>Vtnt$Z@MmyEQ6WKGQHQh;vV=t+gV7r^y{PN4Kr}$fzN6 zqZFaMtW;N7K1(}=REH=^l;o*z!@FO^jCEY@*9~kB0M^Y@s}{X$i`>~TEkmU~sSHwt z98oAc_g>qU^T%gUHoC_L!7j6&=*7^4QtP@AsxFwk%hQbcLy)40d%UldMRmItTg4*3 zuA_#asBws3|3}fpbfPVmG_bSE4>lztE7yh_Hc4|rvk&1CT&a*EF#?j7zNvz70>;wQ z_=h4HWX&c`ISxCN$SQ<~ItFlq+=oa}@-V;6D8dnzy0)@kqrK9`a~`Z8d zU97b1zO<;|a_q9x?EarAZ*2FmP^^y38toHnAhl~71_sME^+<5DhOBKY(6T$r8tpXn zlz1EM)Z<~h@SHa6x^MfVc(~IKyK1+%wY2oRHnr22yQoYa-09eBr##wN{EH*W@%JTr zvuj8@*=kgaj>B)hj_zd&KS=BN&8ywa0EJoIZ&iRV)-DkQLOwGCiKf@96{E=)%1J{b z>K~3vI5{OLvb+x8-Y*N^dXkd`Vh@ z3n~fyEHd;Z08y*;OhCbYwKx1+PpL&N%NU?D;uO#zfH--m*`gxyvU(TtN+SnUm%-$G z|KPjLevoZ6kpwQ!N)d7?(6EV^QVyg^d9y#@(6X1bs#l@QN@%5K89>N%nZdC}Dg_{* zLsF!gO9?AR#X(ahHDXsHiO^`#RwzVhkWW!FR8eikioqtfTvNF{Bd}z=m$tf9i6NOW z#vMaO;A9)6pWyV(N5e1=6m9y7%4eM@lHY zg*$hA(qB1#Hg0JpF;Y~uO{4E)O7+}D^pu&>YtVY@MJYs;dh}pCCPiwR0heZAb-}#? zo~+crPv&t0pF*9VP*Gn{^HBleQRYx5@hn0W_6#V@C85W@h%wqP01#{wh3ml*#kg$A zL9*V5Y55El<-HIfeA)w`DGDTxgQzD{XhLu(Q)zkiN+Ny6hgmu!E_PAQBjUI5# zjjqIUNcIdfYOcg#5GlCvUFO;bYLZ5mt!a|oRJn<RIF)blTF6bxKpJkk4WTA|DeC{~di6N?5MQRP5r0bd|FVigVvo2qX)qDxgNzW(82O68 zb8-qB$XpcJEv4B!-tu6Q5sTnaa}B@i`uQS+G0ka zRx>Fym3X!qbr%>h_^T;Rg|WzKQD3nhFgQ0Nks8gC63#To$Jv9X%C%L2iA$yRWR5sg zJxwCBL}g6MBh~YkOY;^qtyIq}T{?kFiE^*GMCNj$N9Y zvX$Adw$mJI&51aIt}f1*okZj9y@4aG?BYL-a117~%2$zyk{;8gDH^+Z*oSIWSIvCt zu7hzs4pC_y)~~c>>$Z@JbWL?Cuz3}Yy}QfL99Yzm%l5*YiZeEOA!b$P6m05ix$1OGfwjy z_-_c;8qd=09l5kY=MQpjbLYtXvJH=X9Xg^F?2ij3vF*u_C#!TUeEe4IM9Q!48|B35 zR=Xqj?2ywi9b$RP@J3}k-M67;oMqa1tUArGB%vZiqnwN3&F^)D!smn%nqek=Pd*sH z$=wKhd(*p~hqS;Dyv)YC=@6DaRhjrSAz7)Hzox9(T)SUqDuZ4eH7#vhpdY=opbDK|6;7syPOHhz-I=b4KbSle^IWez z(XfH5WS+@4qeK6l1Np3gj5cZRh~ikRsKB<6gNYgiHmnC1nen#EZ#XhP54yvyxRMq# zaKNGNimTlXD|(sh@Rc+Yw^5oIBY2xT2PeCB!Fc&W8-=$jgdd=YGvekhNuG#+sIEfi zFd~VidxDyK0=OXNI1C*Yp}axs2{PG96hV;r3k`0m3}C_&T`IZEKV2ypJ?bD@z#PPzCFR6yipsy z0;-7e4!m2?3_M`6L}Vu65D6l#GdyBIKpdf4)Ql(_KMJlP!_W$;l}9T(y{pYL8g54s zSiS)|CCJ7{jBu`Mu&X(5N0~6a+z~I3&39G={fXV}CN;A8X%z7J) z6Cj%fK~r-IObEZs88u-nLm;{vV}O$arb^r#3n1Yu>>#C)r6CcT*O5)LCjn|xeGz6 zTa-h38Y?T5IeVc*ynsWzIwFM3xg zo+2VTvP?=7ELOZrsKl$mrGr0;BvC!=X}Z%UMbz8QM9Z5y(L1bGJ?qY(OkT6RZlFuK zM^tRZ!X-WR(PV+2gpDr53qJGspEG|+46vtJHNRW* zQHpr0w3W)Ejla|cpql`-?3v2c0m;0o$KpJ;8-UV;mpJilN-Uun>yg0)GBE_Wq?2t+ z>jp}7HbOg((;E}ZT8qm|og*`J%HkSKF}uqw$&@V0)B6xQL>s#UuEKO7B3mI#a-}-V zz01VR2_(iGQy4k@M4>>kI>Mtk!D4WY|N7-)a6jRTeZzx&AY=w z)qMRk%+W)`#0fQ9O+&RF49y_;-Mk>6&IDpbydp*v&r7mU#BtYM4v%67&lL#J3%JFr z!Pivmyo*yV4F1p@AH6(k&+xfX7>7@_1Qq=g*f_aC(wo>7eb&>{nOiZ?#0bWH14nHH zA$!k9OmK<01yF?qSd9tCa!a4J6cUKgqpY1}P+Z;C=dteKG!h)r(6}`;Zo%D}270Q5@pZ$ZWCw{y;PwpQzo9Kr({xkvBDM}Rb(lz987x5 zAb&yw&Mwl6TwzMIQ{aW*HxjIj(~mkbj9gbJQ89HleWu=R0E=)U`UB>goBK)2uopOm z3_3MLUc_FRj$(SU1Tpk<;0jrGWqG#ut#@Sm$@Ml>@lcK^cDx*<_^AZwY2cOMPa>E4 zH8{7nIFQ|4obpY2aDui8&Oiy_q0%%VH5RRkBQ+Hp%|Ck;S!-T z>&MaTJXU?K$dm0{(Yg|t4AmWSEKw1;lJzuHrcX7F%0)I%*N0Jm;Z@)w$p!+z`q`3CaR!2I87&$rW50M+APaG7W| z9N%REZZ-Bh<7$6+KCW#36sIG$?EaOBMA|Y!D4)`1Dkobu#7Zp}Rm7Ju{kXBkkXUgn zdc`3+Nt0zJJ96x0iz#~{nMbAW;S-MVvHC@B*=|MuL+JIJj(g_IUsQ>4%!@WB4MzL9 zzb!g0tzUhg0%#iRuc$G|$t+6FA8+p1C*ZMuo3fZvF=>6dZZSdmd#b0~603KoJ!9dn zL9KY7J{9S8wxp02n(^S#qJhgM)yY(@+O8QbT)tf@@p5`FWO2ve#9;3mw#(+A8^dR# zIxExN-S~|rAD#7w_IjH13M}%wB5aNqdG8%E?79eVZ0b^lO)luA0QoeFH1eK3Kb}16 z$Ff!ksmmR~Ic|Bp?2I&vEC}V~aV>?a;2X`)*J`b!*>x#f?&2?Igut&p?FM02=~4(ve_uN9oESV-%WblE zGP26Kf)8QJFxBY3!-D3@?QI@8P~F*o?`|le`^iFO@P>G6^{1Z7h)@ZmdI>G<5NIZJ zT!w+Pz=%yBKtoeJZMtBjMNP4_K5rUhzrGi=ZJ@bDwOXQYK6{$}hL~QVb5YDMgVIk| zWRj)F&p1z$!WQfML07y5Fw^Wvc>5-L-SBjq>cAq7`^_cYwldjig`p<(wh>>7+T)RJ zwbuqm<}hxx?V8IGTYHx0-Mk~Fv8>{7n*O0GR-y9f0^9FpY6WA_7Q3P&bgMsygO8u7 zRRxPPmW}EK^#)gWw>Ltc?A8{yiHF*~f5?BHh<994?<{ud;&YkkIgc%s?Gik``rdfM zXg%~pf?>AvRLm8Ab3sf!_*zk4+RQ?Asq^IEWJug8EOgT6(9G+*=o_W|5*6FUpIB+? zr?TpLf9Vb;b5D_nca1XS(n>GW^r@|mR^~MDOWB-_4Be{#Sl;AIoSLM4;W9*!x=_hG z8^3UVt?Q1Pt@Z>sx_E4G)^He9g@+C&t8UT3pfx#Yva_V_@Br7mI^l(~?cT|#kI&2~9N8&bR+RK|1 z9n+*a)NFri7irX!Vk(m5)fb&FduB9nGhlxjHZ;`x`Mm1NXz^=fH^QO4_ZA9r`b&RZ z^foGgV*}W8rWmg$1cBV*qe(*{Ru`bTLU_aku5%4ABx6vG_!C2?M2d>c(U}9(v7_%mQsU(A>*4}aaRLKCsKy6@Nc8XPK&Wt# zUlG-7l~f)VkVsGCv(kNH&mc0AASx381=PTMUIMXO`)kG@7y&{@YO>%UjSYDLVPXnl z0pI{pxm-qEfuym|GCyHIM3G70nJX<6;PDcpNsD{I>8;E` zffq3_fcjj|Zb(c%Oh3ovu;@&mqsZ7$KXAhjOOVfNjO01hcug8-VlEg;E~*u{aFFD) zQ}JGqJh}`L{gQT!E_;R5RbpgsO|X3CuwkZd#8RhZ#k!w)bEsc4)9C!8op&&Zj|A6- zgn};qmEK597zEj6<#+l}Y-MYkXQim**8`Ssij$>y;t{bb!N%Ds8Q z+U-ZbgwYjPw0+B>-)@;1@8^TC?Bj1zmPF*=!ywGD_tDF3*Y?3SA#b8vid`=`4f1Ad zk2^R2pmKEus}Ma50jJRINEuGXl#4rR`>!ht%7X5G6;BfmHHx1d-Fzw->Eo%F#PQ`v zbuSoa9KrKADONMT@Sli7`_@gPB0mTO>`)bOVvyHO*OHT3$utfJOnHhz{VqAv2wN5 zOtEliwo>yncvLfSk5Yv4btL=s?6f*Lj8RWrG@~4}RwHKM9 zWqaW^>+U+V$ieA5y=jiYI@+d{e!c7qCk3^%+%Y$sgf{WK7}rHm_3H=;~9P;v>*7|J65-xt&8|EHLKHxt(^0mIXOJrG#aVnGgzSa8)| zJnyfmsh|lHPO(%%;h+r58qDzB`uOw^U9ZT}_BsD{Y$v}}$slQFg*P;E6e`?&`2g!M zs0$3E^bg`@$If#$J5zFT?h4C6X^S1^Z~xm*lb^Zrn*;MOg!}~)ulr!ld#as}o)I>- zyCZy^Q-e*o*5QtZ-@=_Y8=h#nws)z#(m>ez@H!A+OQ0kHdplj6HX2DIB*qyVOR~jT z1yGjIgtT@KN*G58EFWhqQFssve=-?W2JkQ%B=ztgnvC2(a5|p^ijNS#0Vi_=qG3~z zp!1{3Q-T7-nF0bZEuAdfJ=`o!9dVv5XEQq-UKp4Y{Es0b0>*)A`nXtvp>R`c%jW^y z)Xmb#11!Mv?16Y5BP`vWJ>ASL-NC%v|DKX^cJg@kd7k;7PAZlbwx*KK-eCP_7fvv* zAn$Vk7})T6y_B1?%YXj~!r8;r!}8f3=k;swe=_@LlmBD}cXKw^u=IEi3x!L|f}xt0 z-X8xIRrWar@N@D|S#Gf4KNo{|HhIBm!t!Ge7MW&RTyD&y@Tr}1B#{j)?)gA2^X z#rrQ$ISttJ;9uAAkMIAQ%0ILJP6euL>16F;0~X{L6nx&v*1^Nl4GdM*)0Vb0cebzu z|Fi7hUomC?C@LV|htvv88i5&R0^c;?dq(o{Y0!kgVDcDX!HjOXhq4rdJ9_{PF_1}Q4j>*x#UFY8_x!Awhf0%P)TT)6qf!B88Owp(znbJu4bt{69+8CPch}5t$ z4vOJ8S-2*r9gJd&6V)z`(h{F|vz`{)i0^k|york$a^5N$Z{l9P&WPpB-{15V;>2 z8OR~vmH<4wlc27k85mCh##cG=Rp6OPQ01LfP?snlU?1@bOf>@PKwEzk>EJ&7~zW zGh&=bcDkXg)0RLzPD`&`@;c=g>j-Cy!EYU!PK&61%!kXe?r8%qFr8aPJ(3juIu;D* zwbe&Cc>a8tT~=LC0zvE@h`Z$uEi}AZu0RIl9O@1H?6j5V)_{k1D$`#&<^87EbM&^wv8kC&jH%z!1VLWq(cCdSM*M+KQS-*{od$?#G}Jbqy* zyg#BE7_v!I=ZXY(SUTw+y*~zU|EQzBXJj!&x&lGxurP+F=0_jMWFr3bJ;;t->rU*g zs7YAviZXnzg-)iuEg^$~O2B7AUpqDI2jm8Pr96OSOgR#oBt zE6!zW8J#_^kMOyd#-rLjpmQvpSC(%Z7kYLge|%$`KrWpE?u~CHk&%zZ`4U9%a~cxi^s@~o zp$`oup?998w1EW$*hrY%N{tzka-KA6gpS9|!xqz20+4huNi5UE-7SKkBsgHADmbwB z<|?5C9O`4@N24JX&lADkTlPxGu=lhOmB8VWMf*M}o6|%uFoYSNX+><)5I)6e`{#1} zPr~$=%A=cOY`x;{*rqA`ib!xOdN5b&4_vjcF1yToDc{nPpBW(_7HfZKF!;EefqEZPw_MQn`=(E@g2#w@^t?z!dl-MhBeK+(Pt?b4#De;8Pi*iAZ^s#kgH zx~;#Vc_R_CDmA-Y4Rc;Ki1+o!AGxMPiW*$K4&4^u3<(>FdIb|PkarCU9vbhH7ZQ-z zzYszXROUbl_ym^_SH%h_IUi0clYw3=yq6A%m)I(>I`gzlYRU zV?M-D^Q_l|#RnDRz`j@dF7I;1U+wT&V%i0q*F+t%lu03e?2q3;KWO?3(9X+hm(ALqzMb`|`b$RYq4x)R1LRGIc6~Uq#{bfPak%3w~0w z$dW4}j;0)b^93hSBv7?zym$!qE_lMdImwBNJK%SOm|Hlox#t;=J zuq2NRJITwkSo)KA(7D#pxD0ykMr=AL#`IE2*Evw2OMCX-IQrKImK)@FZvYhPMr-~p z5Wkmu%HzlAn6JlBcriIcip^LFLDQR#ROEK{*~ok~x0iD`SA3c_TSpuUn96+zO4y5r z%yO)%>6mHeCK}Nf>{aWt#e8qVUMTU79%Yxdc^wlO!}qDJZ%Wb|j10%7^^Gb!)jnzF zPW(LiG59N3uj^$(!;t|+_2K;BXLljHRrd<1x|HG_Pdi=WQ+F=rkD?XGAVDB`CAUTA zBH)Ya@k^YKjJ6{Ab;P8wAf(9oc7MIHB;&U4R5U_o4yXN}+m#jcnpJF7J}^;;bB41- zz6k^denl4ALqQ5RWBC?~O%o#o)Z5^{ABtq}ovqky>oDw5pNc=2_-6EuQ~q?6RIrFM zGQ`b+AR^C>vt(L0A&7xc0!bgMNErscG73xR#iuIgMLfWF$BQ zR$U1|EDmpP6?0*!K~*9!e_@(%OT{92fB*k7v5kxBhc_%XrLZi5Wd?y zB3vkfMT!8tf1@=b!b{79?Sl3c)FjVXU_1~Af;<`RUMlWMgU@ahcG74VDIsQ`CHhu= z)27>)tG=zhk+V!=+Y9R+;S)mx8Sm_cGx2diR4rVsa?Ioa0|byTzaGm(w6J%gbam29 zu;IicZK?hEaVMrAQ4(`4vXJ*)p*z3mh=dcHfI)13XOh%QN8Ukv$O$lo!VA1r1?J=Thu1AY;b6kl%%A_tPrbf6D&B!W+q7$Kg!-b6;vOlGE?%76a5Kg#arqUTIy!W zl_czV9zRSuOkl%eCLj&-yMcEX*Fi8lT^g0y`od>Ptkq)e?p7ai{!~_;ZGuTfShN6i8vKLUQa5b@R zuhY*IJ<>k74g~!*ThY-#hlJvD0eU&i#bp~os^}cLz-@NPJY!cs(XD<;LeK};9?dL1 zMUkqmH9~Q3ogNRNB=ZphtrNSz(`MCJ zEfOX{hKCR>nj#q-5rs~ms_nm#fjtH9a$X%UhRi=%v<1`|DAT90sqKlC7UIvgxd!pT zG_Fl*@A+%@{x)Qx%3>jfT!|x0(Soa#n>gZOoswY|A#((BR!d5<3z8@`VrY~~h-2Bp zL#LuaDQQkxlnzD9Q$+oy!C;9hfefm29H%8WL9(daOu!oyzBh<@rtq5nDV?VJVQR)5 zJ!=EqIe(JGOM7!P_M+fdb8Yq(RW1!A;E_kUpT>#}q~N_T93x}OBY$WC26UR0w41IF zHYaKBYxW|5ask+bJCe?V_Z8we=u(3;(eD9$jH1C;_Y)B>xh7UE+2;eu#1MEeER#}>+5hadL*OWI}0#aydsuwA&ms4~=Q^khfHn^rWv|^C^r!RI_uZ7&8*l6jI7k>30Adn^QYZe;OdjoZfsF_DL(}` zgEh9o;Xk<%eyV$hTv&5Fm%8eWZ#ZQz7zb$hYj1f|ei{zv@Ll=nSkKX@X~^vi+)EjR zxJLyc{JaN$lkZ>A749{2=Y2?u3ctwLzf6(c>1PPLkxIINapj8=s>%nAX(q2Vb9*&4 zDZMU{6Rh8*bPy5Lh!eK`@!U9?FTR5I+)zKHUzkvs(q-QOHl=FKi$B;-L)alO_z5u2 zqCWEQCghWJ6Q2TcJbB}ilBN{fzMuT%3+dr)JLy%4g5cL0BL)NO)BppGp)gefLAiNP zn=Ns-`b|}oW}6#bS*``f2pXZ-<0q6z=9>Wp$uA1m(Kfrcs`&FffLt5v4kZ#4E}{&V z@NMdss46I5s3Q1@dJ5=if#3pM8d_p#5?@CUBWJ^^2;5ghk!S4yl<0cd1%?YdbbUO| ztL$S4EjlbT^YoNn10cC{fXe`qvyiuM07xbs{6f^?6*nH|jw6TN1ri3MU-qkdhjXnNl}DmxA>;kl^UF?|JgzSe1QrvTfj_ zB1n*TKNybLgTM@!uRJ+%4^{}?0^OtX3k`Z~NGeXH3%W}&hmeRs22?| zBG_A)ghV(>y4|+yK5X2J9V4msPCIgFxHS0EA5#!sA}!!x%#Q;Vb&kJ^e*tIQecG!Se0xZYZr}F{^^*eph!+rWDbp{*$E%z!t_&BZA!@AHrYVES-xI7>1vNOl zo2eA~!9S;~iqhn9fm%}_D+z5C_D-$YjzrRm=#(;4;PU3l-23f|SDTVdff`>&K2txA zRad7_qj&!MU4DILX=OWsSa5BlG!7DJY(wyNd6qa$yNnN2#vK&!|o}Z4TU|c+H*elHCAWVHn)wh zWfj*`KpR)aYTm8;=qpr`FErij`QH_`Qpj<(?JH;AumSLq^nP_vEYvQ4=s=UfERc!R zSEG#2?^xY<9qQSocP}?s+u(afBuw9H|9z5Pkp0{uCVyu}zoWp1GPoA+8*4$d5U9?r1*n$C16Ls-%U=+v(e zBwfmlI&bugLE5d7f38ZD$1J8)%ElQXBbi5MW#W*+6NVO~%G0O};$_@<&l%JNcYEQc z&D5bR8uH@OIJ>n2>xV}WeAs^F`R5GDkfn9wsAgVcG%KDouej&GpF%R9GB^rG^_iyp zJuNkt^0sse(>T;`-@qUH%Oly8jp$U#(F}>_6w1#~PqIYW$wGxSX~}6u?LGHUE~g zH%u=<2M`eZo<2Z)>TnZ)l$5fmzWmh&4Jjby2r_l#HgEDR{>#d0#z`sPxykvg<_81c(nvkGC-MfSnulg-MQk6+D zAT8a$NFab7?~TFHkWj5r!P!E(v(qi~ZQs_7*`O9s!-z9i_OJX!rrJK6+LER49h=mR z*+7%Vvl47!rDXHZJs~tYyl*(nV9sFZ&-fpEl08DX`uo(;`#wcR)5Cx#!-K@OD%}+( zZY-4CB5sS(4_xh8sXTxZ#;G$)9P72jjrIT>p>V?dPw2e!D8uh%ojQL~v$v5p$a`XA23v>5BK0R5YUm zq9E~8paZ1>M_ODspL>+#<;M^i@RDPj1P8XXnDA3RKPLQ7%Qnmma5!<1U=O)Sw2T<=#|+c-3lZ6Q@dd}p;VX24Em5lm zhqm>a`4Z*IVhaflEh-WO$zwNzP4n6?VU06@eu>o4M#*O`$Lr3Iz+S3q!^T{HAUBM= zOXcL!XK8UnfLx#9YBdh!@onpr>~9gY21k_!9G(Q_^cHun*1;hzQQodp@sh!ze$0hc zIDhSE!FFJ_gC$|xPK~>u;iZ%Y^EQDP=5&L@Dn~>hAk8T5$^dh=D?>#Bk=tU44Z&|l-dK(O;9;Ah?A+$s z9i2=%H@Dfvsw=6rg0G{58btY{mt4Tn3WDPl)oFcymg2y|e15vJhGooAypDDJAj`o@SoaW=6I%w=scm{H$ON_CtEmr!TNRkD?Bkqgmlp zU0*$iHr_kLWN~wwFOcmZiL+M6J}9Cw9J9wNw6Cf;J!L`79!J0Wu+Wm6En(2qQF7#% zdS-aK(uAxvxnyb}?|{aharM&G8!dMGk5KgfiNF$v_v^v5@cCSUx^ln1hRthA{)A59B1 zQAlh8fYMz7cy|@we5yOPsee}JvjpdOND1NZ9QYBmdmFXEs4{CJw)GPJ)uog~+hA|K z@{@7V%r2(@QT0TDod_FEm}q~E_b$8NhArH zGLUjzsKJ8tiMPcKN?2Vp!tz>`%Y$-OW2Qbf>QaujzAKHeXisC35ta9ZUa}=t1_#8D3J{lcP*kvuG zy-_**D`m}VnBhfTw^(e>cC^!5-`v+Rd+2LYeDZwy*DF$s^nve7UpLBxm1_EmF){uE zGVL3H?@Bk=VrA>y_i(nE_)`m*T zdy6;`Eu6wf<3)w7TK+}7SS$)u42md5z6x_djp&jVPB%>%4Bv8Y9Ri7sBm#ueV+nl- z77`nQr2)I>?`C>|>1nAIEmGbm+Bv5Wuly*h@ehm*nNbNWS&x~TQP?aUI)ePM|pKI18-xR!btu50Lg zqmAaz69=T^SjjC%tGl#~3>R(JuuLKHHyJdsboos`p4<1we8rE+Eu#pN zSg?2>Kt3CWxMr?fXvMv^r7sPR@WF@Z$u}^c`1!=Y2`$kTxhE2t4OXh*t~`9Dz(8>s zJ@HhR;448b_I!qsmt8Pu?x8He=~2>LTxZ^G)0MGUWx`R+DWqeXcUt_`eqnXgvs`qa zyIxTbdcUrJ>b^ObX|77+vuH?UrgAAC)03LhGYJa3dZh| z-&=xG1mlpmAU%sgvNnDN@_Vf6hc7Se6Un9BYzcE0BqLu(8+>~iV7`jX#>~$Rw`@_HAlm66MWgXtHSkR_M)|IUQSO-WR2$M{@E*c#6Ii#f=(AX z_rtegj^E?_Or0zm`}o}ASMN#uu3igz+&L|5`z_O+u-DY_ZCNR8e#v;dSHdS?o)^4& z6)4aC3oiV0MjoT#!4xex?AxcglNr{}txmB+!gSY%smU8q<9ewcEQ;Ti?;yg0c~>Za zIbe3C2f&@>m;LB=QmE7g!vp*XN;ju!!9V4;%Dqy|bnf~AfMQgDeVJc`Lv<0$s? z1gFKacEti(nc95gm(oDwU@Ybb()@rz0vLE^6;gml)*wPd5DN1BSjsHgo&PdlmzqwJ zlqT^#ZFET=Qaj;FOs#JP8CQ6qBu$W;K9cra&682ks+R#!?e8~IsRWk`{FK#^%ckp~i# zKoL~9NB(=Un1_2Hgu7&^4ePEQ4|W8?(3hTBEYXzfn`0l?QIw$wE3Q(@RI=tjx8J14(m7LeB)5jhxq-G*rsjeg)%u>MRF)Gb0?oTj4-xhIsUu)FsLtEXxreq{j`JLtJF@ie&3q*+Xn(g+k?|-G)fx20aYqG#%w) zEM+S?WHen_n$%@4^QbtI3gb&gM z%4bK9u$apPHOQwZ*D-C$FSiV51`Z53RHXzC!~V!sy_Gd7klUiFrcCZG3W8P{i4|oq zUz@Opyl-MN`#MryP5G?RohqB1R|1Pr6!|2(=AbBPKkDH0weD*z>Yl=EgdAPwSG{OC zhtdkS)c)gYxp#(xT(pYH4Kf2I97`aMeGtdpCrlOvB*Y~qXqEpD1P3XyuQ#C9wmEV2 z6PATqG-4*@JS~xk9it~0*W|LSKL;O_8y7Ozu#AI2`ZYq}d|am{R=l`8VxE)ygrwJ> zWZN{MiHOnu4^LAiM*3|5Y9+qltr+SAW*L!4et}pa>Z{(?C=k&^)_gh^%_om_(w*hN zN(L0OB0bBkrlk?Ooi#K z`RGjhq^${43K{enF%bg6g#xQcakqP$z-&_w3b>nn^6)D zTEU)L4QUI-s+bcbnvXgQkGe(0dd-Fg^y`|LI7ZM4q=>Si+PwWYu5etpqFhh&#mJV$ zVk^RJ_c#6;y%!(QsT|v2oAI0-Z~C^i1w$6AY6u^l7bR1_`MWX6_rKBdSoq3;E#o%7 zjJ#-Qp?$!sy;2k(>7ZTErR5l03=l$DjB_Ht;l5=?>DhY7@-~u2gpmJe%~yubXwuWztWdf0&7of zV?s=8J@d8g-)d9kNB=2&vyjJ(9)ZQ1t4Hs$_$ySK$3QQ&c%@Zeuh~a}s;aiQmYBU# zyIE%yVDd$)Qpw=+n2&QHKn1oe66yAe`Ft+k`y&*^u@^MEI;c~OEF4ECsYGUjhtH5I zD5?L4imXoyOLsBS-O#|CX^rzs>Z8>V=|}9XqSWGGI@qRiR8*wP_qq$hWX*)eg|KvC zJZTm>Eqy3w5FJ;b$*ST9VwO3Q)FlSk9CK<|j*K%8>j`K;lgDycc}|jNLjM~DH1SHm zy4<;!Lh@rGuMlQA253d|#0&!z{E-{8WYw8H5VI}IDU(aTI{7WOqieIy>=*aN)WiGa z!-!SVV8hW+LC-F}%S~l7-{d6Uma+ymSKh(cKZeUJrHE)g!?4o&H_Yg%tf*9^vVfT8 z7)36csM!@o-j9h*VSR2ck3~Np+ly=Z5q}EN#qf$W%@0VOjV2N@1P0yRh?X&{Y4vO!no~O z6Xpec?O&7AJPt|sM7QhelE=ETZp1t5JGS>PRKBKRN(^pSe%YbJ+VPT>Dv=SX5woz3 z#^8{LD`eqa@O7HDi1emms`o^Vq)+5;OtlGFir-RgQtp~Y?@9)Vwy&wR{)xTl!}8MK z3HX{#%R~AbLaC?Qxu}~ZQ3h=d>N5#7SJK9u_3w(H>&kqrHKeg>nl~YhkC`CDrz0aX zVGg3@hxij_e74NfV@{LhX;L8AOJaZ3fF*{+9)uBB=r0v<9>Po08Bg%^YSJ#|)IJ@3 z3ACp6{jLqZ!}F<`99hd^zW^u6^$yY@3RyPK zbCk|DbXIr_kQNFt}$9??2EU|9_EhIMDx( z(B40r{3o;jYiLgZ%*FW+KKKvICkW>ISDsMWXF8AnUtHh+3(WUVw121gKVd$Y0IvY= z{~^t1_ivhSz>I?w2MrZHoAwnx2q$doM?!!TAGzEM&@;`)^uN)3U{1=u7?XUPK4$_J zs$Q9T2~G`MBAhTO~G1_@yFqCG-}1<0if8gb^Z84EHmS9USNp#7Z=3S*YHNv}wgb z`fW5xCm-R(R2)4d#iamW&~{ednhb$B7mPZ-J5f&#mF5Uj4pd7ML`V+kO}8d($j@}k8ICfip#c*a65=9{ejwo~EPiRQC)4$fpvk9J5F1(49V(!a4 zoa-%$3lGOzyF$!^SVL9YHCOAxyDxIs9tQ{nFGEw&zo@u8VDg`eAd zB9-8p5T41v3OwMzmAz06Fv_AhpmCz0g=~77`=^$10{sQyYv+^08U&FS%2UzXO;ZbV z4^Eedv5LpblNWrAmlSn(uc=FR>?aL2c9mhgwwtVq^yxh_xhTanf)Td5rr)RzA4U(T z)lm83N36AM@{0&24|fn| z=K>uRe=7OIt=HMT)mON2?ZRt%U;3BL>&av)J@BZ$W&dp9HJ{qhK*2m7<%rMyExBY5<4gTS8Hk}#gzX^9V((1fg7 z1m84SFO3S@acoH%ZbY7`p>JuxBX=WH;K-q#cx}FJBFfNDI4dHwNboPq?sFSwiWeM_ z-kXIbE`|gh?4FKAZPe&`@#!-j=I70#MLY)are+}32n3Z3np2>TEv{-J2Q%s0E8+rd z1gk?3ZGV5>essQKXg%U3spSTi#5kc`sKXO&nA}T~t2oW+$8XHZl7AB=8(hEsSOr_o zZ>wD*Nrmu$2!b?~FkCqqq^EbJQrFf;5+~$}Jcv_@+q5TsFu?Ytd2?;S?<^dW8R`dx zXi!8FqU%-I(J4VFizvBYDf2^t;~^!QOS;7==!~%CDxt<9V@oqj$k6XsNjwUu=IY)M zIq5LP!40U&1wM>m(zK44j0smbS9>R>sb}eypT6q52;x9Kr{o9hC(mlSMsb5`YhRe+ z^-?awp`NlFnE=+Ii3n4JTh75w#NP3*JTy)+=(hMD8@82CDGVRR-AHx5kr7Bcsp5g9 znB|Jg%>?FX_*C3P9*F{}atQwzsGE^v|D;Taye3f8=&Z3TUO`&#~&qlFh{r;ehb zc!gzTEitTW?j94Rq8P9ChNWX!uTZJt@D#$Oz@E7BRi0I39$7W9u!**GcYV{IT)!&`(qNg9DpmL%AmIDr%Ooi%s!pev05%{i7Tw7T&Zb zAfgsO`EV42s5=*xfe`tWtukM~aFhxwLvr+T!XwLzgjq*GH8S27KKBEB{Nt5uig zvv7Q?Khq9;WF!PFulmFJt4xx#r@3@l*q@9&5!NF0wb# zDYzFjwuYU^?DYStxGKibPpEHhED_{q-O`5|J06gY&U8QD7@|`V%_&1)6vs_8bw6@5 zur=IR9qzHsrEyy+L70z6OE8C1pi~zfts0`A__aM?s>XxlM2R-h&HmOt;OS{!*tRcz zQInJRaBJJD^#oo?W3J3h<_E=Wzw0HOMyn2;x6F!^5*4ht7zOFLt-EdefxlAa7Skw5 z4yc@UY7K6LbhBfQ9^J*7zdN>O5q+|csc&#E!ChJvGgbFvP3BxF>DT-qJ|R-d>in8Q z$$}Aaq<7@_h3NHK+hsR?&Ri>ZnpO8|8{uj7Hk0d(^ZK7)pq9RW*R2szA)Tc>{(%3d zo4u-O{rAbl#GI=9J8d(50$F=iJ|BObbZzGKN4QYnxwdRjeZM2LyigS!Y*Kw}G$0JW zjD1!9xeXzQ7aU?bD%JPPE5s1!kFHE{o5e`{bI;%c{o<5+dh|?Y#~07%sw;V_(I{)# zUpV)IGP1i(PHR)}h%9Hzz2bM*_n*Rn%rx@&5*IaJ_xZjx6x@n7bLN^1k;Z@VinVT* zcN#d&`P8EGD7fmo*W2eSBj;ryx8D5C`zThYrYP4w#iw_807&I@{$8};A>Ze69qMKE z3YOs~2R%sNp8DPOVZJK9_?jQD^0HfNA^OZffzj6e?y+5u-B{?xvisw^iHTK`3yRy^ z{>fW0ujQ88cXv;>G;7@&mrwNR^Tueq595u;K2dNndWVo3Y|*6y{+0KgQqkX6%!npQTtoEN&p5M=CwMua#bz1lk9`<~;elH`R5i>LEAq?u{DS z!(=EDoEUsg{uok>EE9>Mw0DNLjZ*Z8oB%s_`ilbMIIBMYRTZkI_GnAx0i4C>{htROOwR838bHc{J4f$LR*4%mUYz=x?Qi;jz4sU=S2Z0v% z?zE0O7B#^YZ^;-{vI&Cg2U|l2~N~ zX4rJ)l9>cvm4cHj#ixk2s`0Hk4oZ9G8^9KjF;A*(SV?k{YUtU?3H!mw8` zLMYQElucn)HS`7T%;PJ`5T<}g`aClLk~mfXoaq{iBLx#HK!YKyo2Nv9dmFtvS&6O3 zf$3sv`}qbZWRdY1CFJOFP_crGa1txzUWhNUN3nhq?ttu8JA#16`~)tYsT4Jvkf^+pj%Vse>>Xxhm=gjI6emUIoChk|1n_{{ve7^nKM#chLMnlzpu5E` zlOw7$1ynS4>@-4mE&>%mHrGKZZ6_dOLBMq^Gz$BM7q2HE(|vcqu?=YPQ74cHw?Q}%9EFa-cxeRwM!*YSP03xC)TK-d$17xi5h`+wL&9hf14 zKtK){J1f{Vc^HQ$I6qw&dm$Isgt(Rj7?uqH!-03PikHL<*opx2t zKtYtZxm_7mdN-$)GcW}f1C@YNCo$ze0;&N@V58=;EM(4xQr2EFB?bcfmtyQWhEhkV zm6#;Mm~kv2nT#yLJD0<}EQ+9tLslT^LU5L}BPX^ZIjpnUuyyMAk*P!o=|l-i#smP= zIA{zG;6Nfkngjs03!*3tfD{fQ8X^!zBANU)cPb))#w4bmE0Q9-<{4c=zo3R<@a>95 zto=y^`Z5N+HhZrfkS)j~Eq4m^mqEZ1sq9()C zlv&%KIrdm^7&7_*F#;=~dS|JcHa+4op@vpV8Y<7AL83)Bp&Be~8rFMa$C`RLK*bNE z<*}ql-KrY!RXXTD$z7#`K85XIP6EHBhsCaij7mBrE*lg_t`Das8KvszT!4kDTCgJd z`>TSzpd_=PgAOvOTwNAAh5JA&gTPHk&?G`6b>>JrZe(sLjkU!KwMLwBTJAu{7*GV9 zBauagpxB|F(j$~nIsCD;WxOxnQ=Uy`?d|d=QS%P=F+cTe=#5Wtr zlzPBx^p;gZ!r7t|v;^2Yd>6(14t3<%?v7=~rmd}PMsz%4t;UtO=HnDX!bRo($PzJ{ zZa9h>mAL3SM`PfGLOZwvGq`-FBfMyfd=|Teg{@->Xk?ek`#MnkLA)GAb{odR{7s;O zl;F-ZY>27NL~2?>!=(CfovK^NWnv*bP%@&w&SOBB+xfxl96H86Lc6fAE(ktix4=BE zTM>4WjbVQyZW=Ue9yDoPF&|)yzUA=y<^p8owg1r zY#M#tgigth3#8n+*2Y`jr17KCajwK{X2T(*z6akz|Io(3Ykl-(Xj$O{!mL8VX%A|E zcD2u@;A?#@KPcnL23C6dqukrW)WnFTG9( zGKt^ZyhGHfN96t0%|*;lg(8h25A4OF-Nk4mX1-I|r&L2%%bvV8{?e?dQ`KTZm<`-_ zN#Ej7Y3;)DHvSNt+Fnd#eaU@pJ6z;Ua;fgh>&YBmf@Z#4-ycb23Cvwb-26xEo6FTi ze`4+aP5H^7ir8L_&~qbhN8-h>hekm9F!7%fb30++Mls@t=tusbHeI;g#Lw=&5L4YK zXZwHEZz|ml3_u@TihmM6-Y!IA4V;A|*W>&1o=MEU$E&>4mr+1$zUJZLoAnV>&!^}u zJghAv3_MeHKbmp+Mct@B&vt$ULFo2UC@&NI5f|j5QaTI=A^&a8bC#Ts0g+A<+S-&~PAw428ouG&UYC8Vuo(VVsn3Gn7OJ18I?r6hZ|Q zK}Qf&U|=(ahz1l&Ah>cirBSG+Gi+FDE*Qn-1A%bJZy~3_q61-+${aVO4rW!!DB1;K zgp9`0A-ue6Nvey611MzT*g1s7A=jbE#zO?W-t9OD$l4i#8_6y<3Y|+67MIHL!)S=g z-4MV>203Ur-rZ5JjAa%fjR-+Folhs!3KTMnv7}P1yB$qzZ(Z-XdAekr)i4KZ-t z^~%(w&J24Z6)?pGR$JM zLyvy)>3`*2B-cLLLW4I*nozw4fr9Rwr)lbb{wQs-5TvPXvYd-5$*VxGB!R;)+$zDF zc;hjPV%)0+3v4p0z;Ft#9K8%+Y>>OGnrh=csB$WoB~9vH7={f46y>+CG+P`uDv)H5 ztH{FG%tc|t?BgQ=kZ9?vDnhX+yY0(U1htT2g9j;%oFt<{ftYZFBIx4m{IrVP(5Xfd zsv{pQ%kW_&%4o`3iK;=HdYVu2Jke^*imNp6!oJy{Oj4}v#Pp(V zCeN%~c8tlhgL5^d;me6GHE>&9Ft$*OYckF8dcdqK@Zt*%u8ixA0YDG35*p2Hv^P;g z5u&`)I#e8tz*dXXqWPlDGB;j3@}!Et2Mb7K<)x9t;SJkrh;kSpQ#vpj%WCqXiL{eC zNUv6oTLDzTO>7$2OjUZA+t&|hV)wgF`};Sg>8+fS+VeVI8(gk6pCVo8jl8cfQ$3ew ztPuQCnK4++w!d08J||i#!K@E&-VQ3gNQUWZV))H*D!h?fNm8knB|*X{)j>@l&IL0A zP(fAS7vvz?Uz0Fu&jbsN*cw*pV=Nektj3;|BS{s4h`(CHeRpe)YTK+N2omrb$#vS| zv0U-Stc=^sjWC66`h!8XCJc_JJ?YNcq`K>N9v!@-%>r4rxjW4{L8D5(ivA>v`3px z{Jkkuw&6y!4dP)hBJl1Au;T?2N}KvIk47UjWFGw*Q}-eT1thLgklT$V##oQG_x{~jYLQ#gG?%A{O)QEWx*{+ghMcx&TMW_GmMH2N7J?GW zCK-E>#jvQZm>Angd~lO2Qcg)F?EF=1Npvd4CPv_M09Ki8e(EjB%$3Nyj6wN_W>~aL zw~CS{WcGYU{HeZVER^Gc7%k|X9+IW$BS?a$lXLXI&NYDsSusN`hFIvrVyvU+iHC&C zJR80km{^Hj8G~s4hBLUhwBLN)i0{rNP9oUsrV-MhgfaV;B&QC`(WjlpS;)}hBFmvt z^P~+_?nJ^!fv6EcmU95F7**h^Bx=KW%mBJIsTx?10Vqv0`j)lRI8YW*Y%0Vqqmouo z_?Wc*A;e(~p1L|hRy{BVhMmpQi739O3-*bR1{BtpE^uqfbfaXTj8_%Rj0lYTuZ>jz zSY!@;>>Y7Xb1^BOa$ZTRWYTLT@RXKANh4mvI5g}fkv#@(VP)G|FlD@yN>(C4%DX$Y za2cKvVldTg(VDZh{Uul@FG!@Do~2dYevoShqDbr&uf!OHB>PPY6X6J5cE(j$xBgsh zi!L;eiir{eP*i7}aHfFS3>f-qkw`(rvsZdO9$Q{>nyF_>tDzB)>%8De8Tq_(lG)#K zlX%V>B0J4S+re9XT`mNbrFG#PovYn3DUlW=gev@$grR-iwcWYJx_}(QQADE4;&q4J z)=0|#T#tfOq*vWT81>1DOLcUn5gSUXnt?ee9zu+|Nif%o7h23Zaw$4q zr8pwtCT3h@3@awXhTyKnk{nfv`-jKH3h}zkD~rg{j>^wwvk;b6an;$1LJ0|AL+pHS zm7xeTxw1u>$N!g25}M8m?Lr~819{j2%brH{2t%b5WG{0jL=hJQKP;4)FjX5V7tG_` zhx$Q`vS&{>p79^Eo1lui?#Z%{>?5LYZ4=tGiuS<8VHR+u9E+4tjzw+7bxx5?^2a_! zOFyEu%D`g0$VG6`5Up#ng;~1+M~u-RX%)7bR=$eK71hva>xPwx-pG87Nh?K-XPGj> zC6IdNJtDHOcvGgOM>45E5R{5nNRh@!IkOwiROUhRWfgEWEcogLF!FcNh);#-w5d7{ z@5{n)(+3#poK-I%lg+1_n@0oJZK#=(frwG0m3k)p@_HbN{UFy>fRO`pmKJ{RU2l

    vgMTPsvP;nn#UR)*>rCk0M#TWQxjrkRVeS0B_i z-&2bWk#DYt%iR*3K36vo<0*u0#FRS^Do}Bnqs~yklBUH>@@Kpq3glqA;`HgyI=S&K zv9$Wv7CpL2|EQJ=Fir0QRSYgFdUROLP}AA&i>Gua)DBu$-P2p=X4MmEce0hjGb5hf z)p=;pvDn%m@;uPzdwKks%8ET>SZ~M?vXIK*(N}6Kf6%amH^f>m6Z6tt;&A5uYc5G2 zB)^qiJ9)B2B8tF34owu0BN|;Sfp|)UsqB{;4#nS6=n09xC6huQQG+~F{t83vpHQxx ziN6Z!>j`6mozR*KA+4sGxf;OnoNG(K39JgF1*8-D3n3OTD4q_;5E=lYnj7!D>To!z z(TSpDKd9{u1Q-!ukiV)48rp1~a|pSBmL)0j!OB*MEBvKY5kKmMiV*^nllq9#9~*&g zLFqWUgZUBZ+AC2(LI8-au(+_=7(YRB2xIq}t5TIvqM88G0z4Kb`J_R3f{|%mLBr|^ zSgk{W2oAY)kn(kiAp;Jmxjm6kjEp}FJNG+bz{BYSrctLd^P|J+_mZSBvS^Q~5QUc- zD!E9TD`L3A{7EL7rvOsIAwj1tA*!Ajg^KDKvI&$!>8=rI-mdWx#c0hFsZJB|=*2** zr&ya8LJJ64QxZvyl0cb7`>7bxthFprJ87pC$ooVbNI*PE#RO#*xQ!6es)(#Y8e~Hd zghWLO&Bm-|#>7^bWL>+;fX2)8GN^{Wxf2U)T*SlcrBKiaTUHRjlNHotiD5#=VGAsT zKA71UN4T!DJa3O`p9?}DIAm|JxhM-nf*aa$zkr6BIcrFae-ccJx1m3=x>ZP`<*0$b zikRm=$_^%pt`{s39g*LUVz(V~+Ntw~p-Hll!VU>j?1<6lnG`sU+&PY`{{T=i5L6Sv zNDxC=&cSRqJou-YjFrK{(kPk!nuw1?k{~KfP?VsoLBQ`mfDjsrU7102mq39UiFgl` zMkU&znu>rd3_UO;Y>r^Hzo9;jB(=dLMmQ3Env6Uexlbq9oGXi)qv(we%Rj_?!5X<= zh;eAkw3)kPQ_G2+#^92`tg@d$=97Ubh-AwNtM?MH?K)Jih)fwVd{#=qgAf^Xswr#D z6Klj`5Vw4(j6AuIF=|W!T8O-@q5^%x?A#jEZJ?^QN)ygQYD*$p0S^2|5|jqYVQx&J z=8RcuMZq=>Z0IbjdQFjS8^afgjCvE&RUI=>vlP>g`hCnIvP@x07fdmg`TWZ9N+!AH zEIjwHiE2i%T{bMcDqLm^VG~P$^C$B!r0hzRp&v|2Ql-rD8?5`0fRN3QtQ|A16cpJA zyvz;zTFts?&$CdbMBbNU4ko10#vwKygub3B>KcgQldM3%Y}>dIvQCV}%Yop|R2zt_ z;lpAiN>lL(TF1`_xDV9kvatTq2~*EXoe8u?F7w4vNbjwIbef|=QfqERVyiB-46Mxl zAl&JWskNDb=u4?RDcoj7q_Pv!!@_#98$9wQQL-7aG#sSSQ*34qTyIT~ztDW?}-buE1NonhqHfacEe4?sKW3<8c$L2gRezd$6c5G=5h=#x_k ziz)QC4&2Svp|29LST1E7v|UxQU0J?)EK6{y!K_f2+3-ujxY(-b zNc8iPMF%^`k;Y*(r}X3%6kOW+?JL)cV@9{QHlT zO2!dXPm<`->rc~hDGH^!izPv>Smf0D2)B83kS(X#C6CV8mZ=p~ru7|8GI1#Q*~6Pn zEA>|ybVDmre@FtN(tEC21M7}t_9fd6Td57hn2#n!PnI<|5G!}c13|xashZH0jruIw z!wN@xyu&HKEvXt!xl9`Hznr?<)yi_7Y!Q>ixGyXGv2+~cDPE9nJ0)>fL;@UWxS#!x(Aw|p7dM@2F;k$f z9g?}fAql$F`;s-SZ7erd$D2-5W>t#iJe5DymnfAY8~F?6bDx{>ncm=!8(GNO{9I&Fw4I>8NM2Npo)DO_ zEZ?Dl!4@n_u5}FQ*IKpPy8IC*lTG5eo7$4WptOJ<#B5!uG-#=lT9WgnhHWb*5RQZ* z4zi-xO>*FjZ04*;=DO}<6^-0wRuG~Olr3@|4OwG4+Svg8NCN7@#xPlPflM4YjrE2p zyb8al#pIp-z>a^C)ryexu*i~)-n$#fLI#nzm7ZE1q8$9?n3f<4<;jhbG`i~{pyX!z z8^x*M8)hG+b<15N_NcO7=8OcI}J=vTWs+pE2Z34r+|)-4`2GV>%kQp{zJg*%sy= zpovs%mEvvan`D|*6ZXl)*5z$=-8N>_(aPY%cB1K|LQWc<^_NDvB;ucK-l?PWm+V%UpkV5>-pFzi zWQgA7EEysue&gxD@gXwrO=fDIhas80Oo>@PAjr64wlk#8uvz}nCOO?IvOek(2V~iV z?}0$^6bkMuE^zYo<39`G&kbqr)o`XW4zd5{9#$jNrf^WiVeE#?V19;JMD^fk7~ zkm*Jy(ule)E`0x?1ucE)`fIYaqKzZ z(4RoxWQh-zem}|to5^ivUp{UX@N>Tjv~N8dN@np|!!e1Z(5xr z(c_7SEG3bxYpHT@O%I^U8@Y#N>grp-O$T%_DWI8)7`~wrdQG?yi|#5!Bf5dr9y9oo z@vMI3K752I7_8toLw4e2~}3r@T>Vmr=G&RsYt2AZj5Qa&y;T2De}UKz^?6) zgw2Tr$|Ts)Y*FRXNQX0omSZ{Pv--X}|C@`UYAfVkc}v}@keJ$6Lq^z?VFqRN!p$T^ z1$#+dFCFnkKbgoEy&I2%GPd*%ZxQ!U#4j52yM{CPdJMjJEG4YA_s#2EzfcxNtQb z2nK^uh`4Arg2CYiyDeG>^cdmr=0ZIy92pEZ2dU-s(4q#w4?AEuPbLg~YAa zJLITqe}-2FBMa4#a}gPhu7gp$s=YMBXRWxg#H?yFn#wPuO7<>&7?5N$_1%7>Vl&z8 zaWmn-!l4X^jmI(!G;n1y#ma`(?117THp%KP<0+ok39;v0I{O_RLohMHPUNFuq{F$r z1r+F%VF4bRGUC*#in5%RBnnE9uB+*!2r<2HBFuv)O6X$sB@bd0?I6#J@EE>~B48Rd zFuJ(Jv@f!Y0x3ZPn64@6il(Zn@Djq$K3taK$?BkYd(G$xve2Jm^yh@h1xC zWQxCxM0|&)0mDXvEi1x4+Qf>p^8dx^%uNF<5KE$`JFKeOhPAE(XyG7s2bq@z3V{VR{-X#Yjf47jb!&_cBuxad%BwL3|SB*>+2 zgzkn-jS@K0xna9J9MkliasM|T_B^NA(J0hwkVyS9HCY#YN5oT1qhPA zRm5Q~*O8*(Be_mkaF_-TqTy{hH5&zD+%-d$S=;y+Zw`i2O)mH#tc8JcSZRys#I`8P zL2fOTg|e7ZwtbHcF-(Q*(=MUQ1jbrUB7IX$E&9Ke-p`9EeL9u3*8(YOY*$4<70hEt z-j#dhg|dq{Z8K<-s%cLlP9{2-$2mG9kxI|K-2K0?Zhv^!ah$;0Et7qsX7+IvaztUP?bk6g{MX~30he3P1jSmcgaScr<`dv@PbrffotXVBl zmDdM(@VH7PqiM<73wovrCNr2%ln${h(E8tfpk7-ABYwDd22Qpo3wuPlH1dM*thdOB za;&XrkYk7OtPjTByOzzz8{&0O=#~4J(k1Wb4(zBimr;9|rG zh8aTcaT!{`G0aTUDtCznSTiVOa3KvDNEqi`yVFrEasjj$46l<3Xj0F4CYRE1=nhF; zG!99zxkTdW4DxbKMkUpmC|>_zBY=f3T`$0R9>j~WBQcOUW0VMJ$B?t~FXkpbL)f@oy6|vN73@O7$i0Ee-DL&gZb0XVtQmV%b`KDTt=JZYUvn%-jJ&QY zMh6=sae@ZX#s8=^3IdCuYK0E|6-JW8P1Ru)Uu8M&8G}$qQW1S3i-d=QW|8WV@QsWpfOK`J@gaVuy6>}+$D#-Iup`CH4U7poP-gI zDfy~wk9`ZBlCJ*p2q%(Nb2lA z5;^L%=Yu4Xsqs7WlE)+CaxbIfI`Q=fBN2s|8 z1}pA?EEz0C)0I&mcWrHw3Zil8ZO5JVwA@VsIIJv511ZnU?jIr`L#MeInh8Do9~sRY zYVnCNQ&A5-!_8Jo!Qv&RT6MCS4}puhJF5z^>{sHrPB0l4esx7;+VX!xOljasNOZ7K zMM7>!Ip1Kl_>LPnI&4T~j!w9)d`=oFxF%GW2L;lKT!W}Zh?Gx%@tOi7D~m2GocX#3 zu_n<{2Sx0CXGAQRgTThyJ;>bLp3wGidu$nOjHn`S!>Qi%kS6sHPLh#3aw#i`{Ao5gE0bjEoW!RUB^`AFw0{>E3*cCth6v$L7~)Sz>t{Qbv>C*axDdRVP8dwlQfBn&f4^lk;gbgZ~+NB zRLaRA8>OJH@=~6L(D=BbvoRQ18qbHab;uGIp7Z{(JC2Jq+crIX&fz{in~v3H(gg!& zw%)Z9M6YF;OAYtA3RyczA`%=L!gJn^qp0(7&TF2_UrqF!+B}|@gRhr}6vN~!FB}tc zIkpHszlxwLGV6&Wkm@b&UoAE^yD5Kc@NOPkmNzMolLE*N`$nG2Uz`_nueGz`Ks5a2 zluT^+zHE*Y;+O!KGK&qmvt-cGK*pq0T@Lt1r$FZ-`07M} zPldQH<@TfwrZ)yo%%*6-5FYr?T$W;r$PQ-pFJNy5Uh5-r_6w{n#kj0T{I+i9L8Z7p zOM3lHB4zLbDEl$K>;SW5pxG>9z|TU}FPbedB1A0M`K;n4o{WNwqL=LhR% z-^dpDMZVA~+>oZ^zKX;rW=@+VK0YqSWDAhKYyku&PXuU-1CC^t#)j}KdjSj9pU+^* zKQzEYN~5P$|Tt6zBB;0(>Hm{w<>JJ}z)Q3ZV(< z{2=c`59G?nuP~QO#4#=%7!F#z<4QJ3EILIvFL8z^N-pDzq(SHwlc}tJ(VENgbTkH} z`h-;E&Q>EU=*@3kvMWE_${x>$F6YEZ>Zl9+{di4(_+B|$#lQ)0Tsqi5|B2i zD9*@GKMss~Ekk}Uj&}!SoHC5cSW&PgY26bl=xwAPIfhRa4bVa3=OV&lZ_#{1$lNrD z+ZgE#V5PX|QJ6-?@MH{Fv_jhGMxboQD7Ax#K*ySbYE(wfyr)ncK+v)?PlED`6B!aj z@`8I82@JoFIwDYo7suML#6og0eB^RsE-{Z5hEQbhmbVa|M{)Ss5oSt`aMN(@6{~uV zqGIH4l^~KgAnJD??Q(@_aTA39A!g(-t7i-i7$J;wqfv$;W*B88^BJkKUjt%l(p)Ac zI3bK!O0y8@Xe1AU3!KSAp#}Isk^9Tf)E}}^v&^r<4!z=@wfm3rK1RkDDS}D%$Hv;f{XT2h1 zpCm`u2U9#$$HuivK{LagUk$v`kf>#+_Aur5B4T_cuWsydgzjtS9^`tQGf*QlEOYT> z25&;zC-^Zive)rajq2YSsjVUpaR$?5Wu!iTgrPz1yy55gVJVG1$JA8L9OW}6AyV`w zWbr4;w2Q5wGo<%02Kzr!UXMjsL-44qu`n{Dw1e(q^y-G@gE1Imo?(RIsbp-_4Pslx zD>bbDJt+1=Qnt@0RB6LE7)ZKt}DLUk^f_wCI&4no{CtI7>E9CA>7rn^|+bXyQ7<(5O)8fk$#a zJVcWtl;VAjtz5!pT&%w0g6AcMK$o;;I&nK7t>mV)4Bzs+A{FxKPJCG67gw zYV{EzGu5bZ^Y2)S#Abs~lCf|_Q=Wp;FfM2(M8$yXLLFSgGBIc-);4z1B~&ir%LN6$&RSu`y)ox>mNSQa3sx_gPBDr>Ua3`YgErk2>t=>(Lp0rP1xA8z8+6YTSmVhQ)eI*E zRHZiZvS&edh}$&xIC7F-5t82n)>y)2@-b&qZRPbz4zlES)MLySJwpkGB2-BwEpm40GLm71>w8{;FDn*lJ$9g*G1GI> z6fSAACwDxp_ibJT?o^dWaA#yxco{qK9c6RHP{tB;;?Dax9AzWAbp&sSqWn~3aBa9{ zU_%xx_!W8uC|jarB2TlCG+J+im3_^$GlhXxHOk4TXi)EhE^U84Xlf@*SX>D?g+)xS z3D{Dn#yfZ>B?3I*$Q5HbFt=D}EEd%6XN`sQ^M*oFgQhu=B%ooC2(K7Wd-q2%H>*BZ z{Qr4GN%K@+$yJ1ArcMXhVi{Y0*zT^F3p^O+*JCz)jllti&Pd{PCyA_Y%KW*^tXt}# zo6C~NkETe=V3kc?pv7HDmQ`2LAjq-F8)z0nN;X=tfV%nEH)N`9gDkA>yw-@Ern&Z` zt+aACE~r;CSLwJWNi4#7{+%UAd9FT`FXMsbC&5RWudWoC1VGhSmmEH#Px5oe}kV&tMsMO60?GopjMoq>iGzAibH?RliR4K2e(%`6% zU^jOQ0qdCsX7@*8SkUVpcb3L0?GHfK*&x|i{;mgI5FxBOk)oRZJ9N7cG7v9_;9-yg zlyOAPV<^W;p)PHWYwsXY^=oeZVw zgD7!QCc(RiUow_c`R{WY{kh1z|rVPsyWV+NDSp=Y3TnVM};;6Gd zLb(?tlI|_A{9`9*Cvl}_MgXU=n~HW`Y8+)D{7g!iIgsz}!a+7dp=^8G+7}F>5!R`C7~8DCKP#8MqUT?|n7A zu7^a$S8*H4oJE1d$$>*qkSy7cMksSIFsc^UkC2ym8LyDZbzHpURDA2edilgVGnJ;w#TaiU z9ae(*5~TdP@s-r>a`lmdTasyYf5uY=7Sua;%~=MLnYl*KTolImV{nJYL@usEac*A% zuI?lzi`4^J1a7e@*e6^bJ{F)wRK!>U30+Jl#{-{yg0D*#JoC7AhS&U%JA0L)&s;}P zLs)DwLjg$l$)#QJC-_g%okchs{fvFv--A3Y>EOiW%27o)&i{rTH{ z{8F9)sy5U$Qg$onKbA#EZWDllJ%BRacwhcYuATT`R)F4KR#kbbwk@DdCumfQaGjZf z$)l2_3D~^YxV2&&ot=7ktIy(ntc%n=+Kr*&uOHN=aw|eg4PwZ$MT(iV5{L^|asp@t zu)vAnlU8LP`ps3PK{f?#6WEf@m=!E>9@(YC@n2g2(Ugi}%7# z3t&(R0NxJZQU<_K44~u+V4wLwP&A-Y3!tb8KokhSR&!3H?1w~zKX@}@q4+1@1RqiR zZYU&!JYc`TQ=i52ufXJ;oD=oJlF;ET-^W zG8s-Zl1ZS`cRJJS7O@VvvCZ4$x|?2MlU-r@Cu{5XA;T%o^3N%mYXvF6-h( zz%Z;5SdK&qfD{@c3oG`_G6}+C6NZdh4(c;3U_leRfy`tZv?$|A8>XnF9KQz$Od*qc0P=ZX=Gy$Ihdr8oAOOthubP1FW*9(r9ThvXG0u&mpR0 zuJ)}DJEZ(hA)2(OMpLL`D#p{xAlbC&i}NQdNSkKuESgr~B(hCT&`wnu3 zDeF6bv}{TZuoD(L+KYOARW2{^wi*7OA^zW!c zh~D+9dYaF#z_8MH3n?l5N5KkdaIz)G^9>3M3lNrYPuz($E5O*$uY`(F%Q|gww~S1>*{)K zVGuQ^u>1>QWpR$w%b0QuQ5^~Gb_fjzxWH`o)Z{=pW+3xKq~KM|;l(;prBbAVn9*=NK*1gw=PL1)nGlWUyzjy@#B@$NzBL5=!^fyF zNf(l8atVzkr^6Hs*D@}U$KaA5GZ`BV!HPcRqA)H(;Ufs#j*JGnD5&_(TaPhqGg4_G z$7x9H6nTmvW~iPMb!RHdfnIh*u%E65F(yP;QjrN}rLK+?V&h4qkYZGnS{pa^w)SRQ&!!7Z z;<#oPNX+6gTU7k;%(;fW;q@q|g-W8KN{>wo#5HfvS-?@FN_t7F<4gobADUBJFHQSR ztH-W4Rk=`TsyebGb#k&nf|*pGT{o)K<@i?0wpuEEK&%d^_}9AES;?`lsb`9<6KeEu zTs3h+lS~Co!jy<6`3Ej#X!nzo5LrurR3;#xBGAIL>d540Cv#?ePrzeCD06rzM0lUk zO6OHk3^`wnEhUw+Jr#%~aJJO4+^3RgOB=K3I#fkqf(v0)9<8%|_SCDZW($av__)2cwC+LzE_xo-iu>$?`2qJ(Gc@3+64WPf#*S>+Xzc^OmL-~qlc2IY&!fN zeK5sxxlgvMwahbo7uhP16vbq6t%)yI3ZpooIS|5gk)V@`JqJMry15G`(UhHn z4nUn9BcYYxmI$%Pz~DFYQjLrD7AGv=f*1we0gSzzp&>vigz}6NLMTI_mO^hTk`7d9 zU^YS|kcCc(@)ZNU2?&%pAcT;Z2q;|dp|n2Ty%cS7D6T)F7@nOoiCCk|-1I)B27w$_ z#8INWaGEy|jy){5kS(R|X-%4N*<^Cq=rKsx^N$0THcn$&BKRQl8At6Uj7v=>)@2Ds z-(iioM-DUeP^8X8v4tR1Hp2}k8;qzzyPmMz_U<8jKJgtb#kzN^7`g35sO5+?haI$^Hv0D!xAe(fEm*vvQ zTJ@v0`cfkDwSue8D)8LaXrGX0={R>kI4rC1tF)rI&H0wkZDm5y^fJVB*ZW`Rk3nm# zCA6?psS%Pz3#b`#utb+kxa#~cp4vUqg*fT-U8>bS2o&9lPGixuN{dckf-*LNB2Z{>EX zD4<0Be)(Rpwp&-A*}GH9KTiv^_DQ!@z01(-jqFdg!yfcoDTb5sW@Sll8>i$q-13d9 zve2i#gZFQ3{3A4!XQMghs8g(Y!xPS*7Si*I-J&yz=k-ccB|GM?-+imNqW*op;m7hP z9Aewlcpj8s+f{d z464$<(JG0=D7l-Eq)7w2*n+~tbU#ceLg=ct^4vQsrM}B=lrabhR3yHv92Kl0Lvz!U zBs3V)usuWUzFDLRlpnX`8<#mUJ`1fmyR#>o+P!2R2!sQ@(X~SAleyr}7*LT!apn{9 zM2n$t4v7l1i0H&oT}0A@If=;-tWTGaxI~0f3Scw|68wxodO4Ixx=dD>+)E1t938tf zMXXO31YAP2SVc@$51dy;5Q0VoRf>xi#XMiclw=PiQ^f2{2@yJtNqR z$moMbd~leQj7Y4A08~|uG3}TNvU2OG>Z#dn8|dM$yq0- zJeP{Rp~VcE3t2Ige3{5Zr3~p#N$jHun=5N9XW%< zwo{Ng@zz1Z(mW()OnZ#FBR>#h-#5|E3Jl7T+{PX_nMTQj%!8z&3WGQM$2}OD6_fw4 zywQwP+&Ie&A(X$&8jGpJVWs(Oy$PGD`>RdJoVd#H%t4198n3qu->`$KpSXya^d1X* z;=V)QPH35)XuK?QM=X;_I5L2<%dsumdo1W8EmKn!*}W*7+AE^wD#4%-JK>`Wkk49q zkgRt&OvFx;<|hz{w`vGab1bp+!Zs52iU|}5g#8T&w~AE(4eN5xlU^2#cQ+L2LWKd) zT>})$Iwqi=4mh|G11pQ6KMCUtG>EqdAdWO3^rz4T0@xG?5EPlfh=D*H0Z1-_pd|?q zr;6kbJ?hgm%6*7ph5>AmAwZL;Koklz@hxG!EqwE@TP~^69kIjG$2w(;YLmTG3Yzqi z421WIShCW~rzvs(Kg(>gLZlC&dO+#eCX#ASxRflM-=u?ih!nXrBF`;LQY~7D2x^Hn zQv}ci^%#NHLbC3c%`y~(8_AtPrPVM~G;=sjKuXO-z!NPsWZO^*ox4c^&@|2}3%kSc zl}zPDonzlX(>c_;x75u~qKdg6O4n24618g}m1M8DgM%PBCJG7R6?=%)w0ag>+zJz! z$(x~^pyP~D9K+kD)+CO!q-7r21~)+dGiZYh%XyA69zcXijtm9Xu(wKS3BpZfmo!?U zF^nP&W4ls4R{;5moTk>)*VW^g)}>vG0pLkeDGbYUt2l^HeO%J5eG*{dAEMmQ)nJ&z zq1YXB4jGczJKt9ddDrWI){Ea&n{w9UHkm0ZjwwzKHHjF)JwhdiSn&zj1!GuLtJxZP z)&+K0@Zi|pf7pF|IK_Atgq7H%cGyW-(^AK#O32e}?1&JAk=y@|LekTqBB%8`&l=-T zH9pmZ+ldj@3fSGrV6YXKfhBvhu+;(;)XmJi)7s@5+MTTwD+E=2N~*wtTM3UF3B1hJ ztT@FGTHu;Yw9d?>wKz4nut1zl0vXk6;I6Bs z85}|&IN`PI>eF4GG;5EvLzIFsAr;?vUO+xX@_H`si8w8cAx~FTIIwFQy45A= zP9oG!xsA0QX=!0uSIBk$osu{U=xOy0!|L>OYi*}3a}sY%$f8b$AKDOqSS6wy%XGOg zL%kAz&!(6_gZdd(racMH;+iv|Z0tWvPVXnK;7c(&oO_s(Wv@))XCQWh#_|Z4y_f$QjZl|bYEwvJ-2wt4JUiRxZl{V5_0S?fq07CQV<{FrHz`5fpaoVH%_q?29 zxCcS6a;H_cSnSys#PyzxV`*jaD4lThFms~)N}a3@zL_;_G}`;?Il!mky@hey@8hII zsQT-u!i=?1XLU<8!%MZ=@U$yKDL<4Ev4LLIZY)Mv3Pb<|*zCk`kHg9;;@OnNA}qxt zq?oo+3+yHAP4Ag4cvwV~i-{d;8JGpgW+acof}y1R<>&ZToDTUpd6g`*_DMYA=AzEG97$eGAde(u zeyt!TubcdUE@{ACW%&>v+rG0do?J#IR+Q!URdVw$JP@p<}Yl&p$uHe=r1FrSs{MU^{acV-Koj5vZ5&fn|5ilY^-IB8sY^*( z$iLx9w&p?*$DM|ZfMS1U{=GjV3B(r@M?feYyj2*pC3LqWwUksG`1xZgcLxv+>0>LZ z<2F>GR}yjiii0dWKRr^#3IfQV8Z-_4k9&T;u~3{iOBCZt@_18H!5^R()#cpwbCls1 z_UnYHekaNP1x>qvI+mJGVG2PhAF)FS;%1ecJ?&XqP_e)#hNe3Xac8}wKP)$qg88W& z;QLRyY5aPzPQ-k9Ft84a9wj-6zBrmFUxcVY7z!J@C0~TMe;Z>v`LD3ja{4e?Ex&?m z|5a0;m39XMIry(AkOk&LMnq$QB`NXJcz+bg|8LMxcSD$_DUaQ6LLa_XVGDP1$_^(- z>a2&N5l&<2i5-Jrl!ZU=!aw$aytn#y<;<_Kkpd*^G0Oc?oa1H|_u4;6VUM;y7rlKOLXs!5LQd+px5IqQ2 z2$ZRwKv2abwiddEOQV1}sGXSVU_xcchzJI(jM`P|VP$e$a->31lWp1q)niFEs z^9pZWG{k6R6-0?Sit#04S#ENcu44#_;FSZo+#9(zbaK21S^_vZq;zfMfwAqUn#B_S zoyj=Ll9yl4H;&1O$fE3qxQel9nLX2{JMKraLnl@HeSDLFZ-MHkL6k?oOgy%)Ede2Z%!|9hPYEHLCXd;M1u7J9f5urlP%~Ntp4MzRw zMi$MXI#$s|0$LaPu{*;P*_`ieI+y<2@tTR=(alTu7+rmk9vWdVmkN(HkL5 zGLb=BS}mT=+c7OUv^`Z^R$Ir@5ZT7Vg&cEyY@LREMXhS7CX*D#p~V35-Uxt!;FLfs zR4nB>0^S*jsfzIQMUR#&lpS3CnN1J(3T3YOj<`G7)fsmYiR&VQs^_L4O{3l%>k4G5 z5_slb(5TfceV>T|tS+#RO!Dj{r}(s^j!e z7>C{1PQ{}+au9{KinEY(7K|Ee#o-X2_&J5aPwF3P^PRPFR|#Vl7cB>MW*&COrjiHiZ=r#ola5*7ey}0<;V;(Rdq~G_qHgUOEZzlFHBLkJ88Jj_ zwzTd%g+<))l}z`J(o0v=>4k=tDN!c}_0-_=_IVxRW~SyBhzKV?otKpu6eT1xwa8fO zW^k1#oISP#fCR@4h|0b)9N-y>@DYIU6PQT8SR5EKbs%28hDe9};(p#2vHD52T1<53 z*c=!5(AQG~5_ka?YZat5L=?;=&~?&OPl6W}s{}zm@1uF7)B0dN|6*DKw|G<2w`2iQ zQ9}2$h78*2UaEYyua_eN*o-yO&bVgh|FCyrDHREdpejp-b+Ra5%`&lZ5pp}pMqfH* zH~}IXnz6cI17=~l6mJvV{=m|UaT375h#05KvVfru`1SWUm(O+1l4e)LhS6JMMJCt) z%tfsxSns?xD%Tq%KZX>LW&zL*f;t`X9@;TcGiZ8#{>I+AWfYA0_)B!4S>7yHL+Vr+ znKy7*xLFeGjD#OiLbmC4drn2mlgOlgD$0(j>kE3B#fxX{5RygmgE8Kk^e~Ll6y5B} zT~&FpMPTgDps^!x4zyl60(LgiGdvtM9*YCeu59GtKB8(Ip1nU9OzURl#0Tc&XQ4@)KUV&SOrl)I;|7QrELs-RcXG2Mw7un!pk0(PnK zOrc8t{`~zF`gS=cqKZV>H*=`CpzP!4ZlgX=6pfLl4i};Jyf5cIT^DP(4AeMGjElJ< zI3w;3tK38nRV&G_MFQ9(d|327%&6nsDNAiJfoKm;>pO&&JMjlz$(x-0M zbk;(ekebwa=G&3rxC!fQKj<&^YRV9&B!F6dz2b@j%fjiSs1C;j-Jn`yHF+wAJFiw^rOsVBC4XjN7M*#6No4!?E|Te(Xwp4VLP-`1*bQ=cvYhZlTtA z8rf<)a`rM`ymgO-fEUC}(zxEl(;1XGT)=I52s{{wX^h?WJ_h1UZYtgPkZmX0;k)^e z+hq`(kdju$s!B7Bwou{jY-%UkV}2cujA7cvJ`Rbuq8|_JELAHgiMv{%7m4km`+^?< zz5Y@-@%kle8W<;MLt4{1(tB^$R6lRl-e__yiIy6Cl?t#!pQ*B7w?DmgZLwDv&n+t` zBFz}_QK+69j*Pa&SInGzJ3cA)1J?BMOIn_|7%xyz)_UhtB9AsHXE(T=ns&UPaoevm zJ)38Hn!WmK2xJjhqw6gBh}MRd#AW+VbLD!yKGtM%QXE;{(CAa@`+i8V=jE$bKTFeg z!s+4t(3Wz}lhy?L<-Uhf`YgakoiOFAu$0|5=@T zk13Y92QHg#ChfR6bp6b_*!t5<%a&mGk&(7$%5e@k!Z>K8nx3faMH+ zaPE8tE8MXqX1p>{0izBaO*{-!^~^2bQ6Al)CHL@s+^?o{UB*;k2}(y*pg$h-O9)|w`#U?{wN@CnX5)kgejJsCoDa{HN~K|t%2#Qf zIIq8JeH~_HA6|5j@)8-w9aH4K3el0*ZcgU2M{s?Oj=Wv>!aEbVQ+iPk8|+e7?lJr= zQF2#qo*#~N(WubzJ+DO9ppN8?WWZpWhs4b5uSEldG`Lb`(33AdNgVR_lc0avRE}Xu z64*|uXoOzlVi1NOv!yv&;l&?+`_tqM$Bh@Q&Y3o`8*|T(k~B1=%O#SU{_gvE_y%Xq z=Jk*6+YjQE`2*y;o`uY*@7{Dr@-`jTI zVl#yhbGrUJTOZLd_oG31w3P4<68VVlo=r^o*f8_?V&SLj#KcAQgL?G@LC%v_{E+K_ zm`ChCkPrIBuLt$d=&H9rFV!PFL9xUvw~6gM5sHtg0)v;bs5^a+b2w;l{8-oT!k0Y1 zxW0#`!k!ZEA-oyar-!O@X#lRnIs9Fm2^W-xHteA-tRvD#{FRhc`+_+i%xZ4T!{~YY zGn|1W{5a2lM28D#;{ak<*yWlMpBf>72!12lzeZzhPjl?5BZBZAyoUaHr*vXsMk4qi zQLMZoK?nXoe~q*6pT?!NSSuXfoXx{l4!(nxq=jhs1*W6_AGU>`c9uL!uO6MImMYCr z;Vr}sKIE+U1_WO*`7l<`Hignan>%G&#L#~Lb1IMs3b9_)#4KK#xObWiZkpMfgECen zE8;ZAwK3a2a~~N}``=aBQS64kx(uU6YSS{45X`%OnPG|Y{I;yF7v!3l<=6 z<$=_45JK){4c)}}DC#vlgzq2IW#6uCya2E0?#}(qg%_&;*N=0{Xm#75G5EfwH6pUi z+eL&e*^O;h7E}WqP_-l4ePBQCSF6xiPplGPekdz=;m~x__&WYaDn@c?fQHSv?dY-Rck@am&_>+O>hh;w=I?ogeS-EiJO!Rga|fvRNaW< z7O0g=J49--36kn6@JlXsaw*k``%H8(+k;uSB?*+IT~gIrT0t!vP~8)T^x6&V1~D|q zm|%jGU?H@G+N3E3JGBPvGsk|jEMueb(oRb#Azx_cbTH074u7VviZsZ}n+sGaVhNRC zfa8=m`&Q(EnH9O1H+oe8aR>FxI7aCtX<+TgDpgUovmwx=(Q5K#E{pgP>68Vi$kBmT zc~Vzt+H6_v(L`Y-sWl^tyu?ATU`Pd@Ax2prVq#!xfx^}%Lhjg5`T|nX%8c@CE0VG- zR%sm)ZoT1W<<+*Z zIlj8eLUukKY`@`mv&4&h`Z6q|sNKwW?x-C70GnVJ^-|E1)7BDFqOI-K0)cvBofFPw z3@ew`mvbKUtyQl!<9%WAvy9}{8S&Mc9ZSxbC_|=9ItuAa5HxDz9c_(pN0y}r*!Lwt zKv4CPkM5rR^Q&Hw;6MY^Wr=4lZ}U&hnqHSd)M#)@zuSasD{*@gMY-@k};8Ik4}bc*`tjv#O`SOoIFcFp=pCS2@(lmzmn zCGveyDqM;>Z|FXC27Hbsq!GYL^Y(>ELlKzX;iCk+KkNvyeY83DMI=0_`1yX~0NbRz z-Qz8MrkNaDH!#e}=kI(c%jP4h%&6k*ksIyTgtt?T6jj ziTQ(%9S}ra13sI)kN?1Q5YLp7plCkEJse5b!^vmfm|7|CTp2h18srmPb3%&at5u;F zqVg_)#WX1UTWp~m^*@3R&)?ocO<+?BX?^m^t zHKOjhZbRF#d^dmP_#GuB!N{Z5ex$&7etT7VHW7KAC{eMHBzF;WTl=JqoTONjnmA)g zMV1Bq8av`rLgX@eaVypSpD zGxmCN$j$1mZ^`8tsTnl+_XlKnH8X1Lk8^bD2<5G+ZHc{3(yQXds({7OX(Qf=Ru$n8e_#XDnwh-( z$)`eG@_?glqr46s;IvOD8ZBO1syyGlqu#v$>RzoMB$vj!AAPvz13vhPA7cQ|onaYZ zTh9yN9uM$elPP+-vRduK@A_b3wLd62C&qR?{Ec6Pnu9KkTxS~_jGIl#>VJ8P;-$9% z7whxInqw|r-9*K*BflL*hX_^ zaiGTFYT!ZHIhu<6(gG$*d}8ZHATG16UwQ{Xp?6?p5XOrfT3}R9`dAf)=$Y?5Z236M z{aLAV^buGFuMExnJ7`>%9PgX&8eJL%?DCl&C=u#4CQf0c3{ET`Dlh~^47=yr82s7} zEg!0JmFHrQEoQQ|a8isONPUIW_uHrCPgt@{{QZ8+5*$^nKYTu4C8>>8IT3|Z;GzDi z8Wvw>#(@=hMTgXg)aq8!^J79YDBy8u!^-bSpT zOG5+5lWquNHSTbzoxR^qCFxqwBp@ z>pQ}$Z`C&9BBd!ROaC?(&<|yQ?Op5r+^C`Z?XS4DDfU--`Cv4`#P_HhWy{~+WPY<_ z+IkLvYOEPV*dFzUZIx;@y=UGCK@%qMJ$=FdeRG}Tum08x&K(K*?K0aDOvkfs^vxdb zBB=sR?)bGmi`71>o)>D{uY~qrv2K6U{#d$_vcL6j8pD|JojsKXZu zKiW+9-^NS*(b)gGcogAs_*UZtZS?SMpUzY1j}XnQkF0yrY}zj-zxTdRAILslILy@$ zo_g{+%}YA{Mjx{5!gempjv~wXktD0ymyye$#s-l84o%}*nGjCo!AH;gZ+|U1ScIsB zw#9rtf{T7W_Ip|L&MFyxl*}^AdsK(X8wVyk4nG)V9Cu09+kJp<;kiv#>XQCAxicHm zqN^m`Gc$fOCoW^6m_;-_eA0tuuE73|(ZApt%+}2F?$bvDZLepQA>Ij`OgU|s8(nxX+C?T|;NBG0~ z*L>(w6d0P7^#thY`JW4`#i5<{3=*+e;b@Mb&$Uu~g+bJuxo7Q3rwaC*G%Z#y&)Ffl zLUuZfr40`chE2IMlBVKU3yn)wi0kHQL=BQ;R0Lpsm~-?skz&by{ahs|uDP^)R7TWcgze*jiJNk%Vj3%RNW5!6%N28>tV`cXsMC zD`bB5saO-@PqE9IgWnPr^lxSou?6kqYvK231$C?lae>2ie*s1QerJwxC*vlj3A!2q z5Cy2AMXih`T6ZbFRzdH<>*c~6#)Xjleemu3*_(dvj)j@@KkCIT$J|P6;~KF0x&}9{ zFryV8lTr({c5JAgRg&tFrM{|rnn7S4M;TjK;bbKH=W3k``%+GIQOe~SE%Ut0}{Eu?2k79(grVEz8ueq`E8ZGSeS93=SOS`t3H(`f%E= z>g;yRCD*RQ$eG5rKv83uL7n4Irq^$4qT*8Sa^0aDTxR_DE9;|@1Dxyo*2l&49J)nY zK`b%P{c2c`fY}6tjh%)N-TD{qc7ys z3U{`^eXIlJMStTT&}pcpr(B`)>br9%3PyRz(#!@ezasuquffVvVpsx09rr#vI!MkG zwN98JOeXD`d_EuDgBcux!j5(55_gQyx8*)AQrNJ4*@WllPSFI$)a(JyFDN&TfZDPu z_QINIO{(7{%8x{sBzZ&PwP_Yu?xbPaoZNmYz3`$NW@=o}^J>A|vo=K*YjxbOT4i_N zMizPL2ot^84oG>>b4(Vq1t*Lf>!pd?@*Z|KU_lu8z>FMEl`+$+w{|ctT4moqd#MZw zW#1#^7XkHULo#M{VGp^ErEDNPxp>R|zQMy@_RTS&NCcb)+F9$m6t^coqRCO4;b;<9 zg@vO4DM)W^HBVNl6tlbhM2!x@XKwYuy6U!{y57_zTJSXFGislveE*)710QUS-RM}h(9M!1+|-yF#1kZrIvHVksCFt|95tfLTiF*!>V zyqiYOk(iyKXeSg7WWYxj5@0g=a$uCAT>+2OaKlKL=Z@Jt2(C&BI8SFHe3t?NvNm!) z2U5g)d^CwTE&NzT=Mo1duC|PCE1(Wtz3FRXb{Q^D(d+V}zr08O*F140&E*e*4VmMGS2SBPXkUJzEG8=ct z9bOcGs{6iC$#Q%tjIt&E7I(9xDe%>~AJ`6%)|MS%U@)Cvrn_;7L8bVeZeidJ&YB))BW2_#ZH9r+Th+ni& zx|9S+Xk!x_`;CF8XdJvu5_;evMoz}MOA=b-DnLze*y^cGiH!q^MU}H^^;UXCEjLQS ziK%WTl0uhQgtT0;6&c(bS;ps^0CD&4U{KfET`<1rw~R!WZO3q7n%eR5oZNrltVpr+ zUQ=K3p-mYZcBYleve3<6{!t6O@|RF5-#O-cs68EwdO^445{{Y?UiLhtqKKe*vEjy+ zsm45ilsMyJMumS74mgeUh(II@pr?Ig-QfQjOOU#bvS%3)gPKlA$H=?p*&l5cPKMI& z$pJj;RiQn9{N!lqNmtESJw?~!QS%)W>wL|TM$Yrl`tv6*To|x#UJ#4+6Y^x8@Utw2 z<^C`-(7uJ0q|*@?eU%?iTRY!r4=lRejbBilX<22)3X7L|(J$L-#fYU1c0*OV#?PR} z#(Tt|?)*FfrLFml5ro7hS;lhwd#G0R z6H-b?z3b()Ho8Bs(ZhL?VOC(OS6EsX(cc)u5kVI_dp#wLlp%S`O3=t#mY|4*On8hL zPk$^`ZRDqgPJr$TR1~^|tV{701gGj42DW|nEwS$6Iq^9c2a5j5dJcuAO~ijh#aHqL zkI;N^LGhvLfByZq?a>EAlj>4U{=BU6(pcM7XY_RJ92whej&CR~II^#$^gDiUZiGQv z{;fFF4aO93w~1_}T4;^0aZgHkt3h2#xVR-sFq5=MWxJgOxyBFnSEm7MB}W5Rgyi7K z8?9;69qooMdOW+Q^P+uE_4y8R9Nj=ac%Ourihd6grTxe~bt8jPyM3;lHBv11Q(bLz zL-sP}F_CX}wv>$3&O!JIVQR;{&BcuaLZpqq%{Zc~fppCLpV+zP>6zwiwy-UUSxDsj zQGS`8PA;HfW=}uUk}pc64MaQY%lwCGj<}07W+^z$pK#(r#xnCu7v-*Qw6sqqUKX zc};&W9QsPV?SG>DNtB$nZpPi8>6?nbn9TgvV7N3G?XS4J{Lr#QIi^m|#K>TF`fudh z`U&9m-qkg=q5N;PKYf-;(|bKJbPCFz{EEuAPi1mzdek~>^4PaDg_v-EgcF?|M`S9} z*ZoaqT90C*ww-t&j}iTjh;qEXA~+BhJeQWC25*9J_?>QBAyA_Zn?@u$y}iv71-$rD z`+#WBumu%3KM92$cuaZQ%Gy32?Dg*>`F-ezNj-syasCKpL?k2XPtai%Npbrn%S(*O*jUy5s8QrY=B8Bd)WIwxq7S_jf zt-KgPaO^1+T1iGS>a>bhw>rr3#c>Yk6?9@HoOH-(*3lm6dMj}7aUfsm zHCONQl|V)7=iJ4_@7;b6pVBjybK^PursO^nEKis?+KQo(kOp2EZg!{+v6!nK1p-(% z8@LvcMbtEg!k-gI`H!$7D{-j=9bG?UB6OT&H;6kA^|sSn#o=mI-SUpLl*Nc@#LTK@ zZ}u>I$<0FI-0v}`jU${2z4*L^MG0xD)(7Q8Xxi*UxDt}QOH|-ot=yVh{a~1@)K>vj zTbwv}Q$ey?50;#ETxj5A4zBinU$gYkW>m4cUqP-@3lX1HtkDoPBR_6m#?dul{rH<} ziW{F^FG4$WevJ=87z=mKi!S>eU6JW-uFWE7!KL9*vCLCHmp~j|tFPTa!P9Jv$8TG8 zg;B{IIJ_X(o}9D!Cq{zjtM_{N4}Hvyv;JTc$w3#y{PSQ9pM1K?70B5uKxH1wQ?s zrPRWg8$G|n&oF7*Rh$TfeJX>G6|OouroN895qI|(E=FWukX01u>Ydheiy81tPjC?{ zqE5_>_`9H(a9B9;kBAQnjpEsG#k_LHvDb;l!qGGJv2@mC%IwUDEyJ3zZ+bgiUF*O} zGSw7$Ri!y1g#SQG_c_J>KxDEjo9X-UilQKk#Yk>RfNsHd=8dq=C$J#FUTJCqo-jj) zKWX?rjL(}ys{VZotbAvh*!Nuj`;?)G+}<>!zi*b46xeL>$c;kSL*dKl$*v}Xo>zls zx#XiftIlEmC#28*u>p&Hpd(kQm9KD%Xw5Fy+GcyI-#m@7`2B>FMnr?4bnv21y3MIn zdVKvs|Eq@!2Zy@i>amfauXW zI6y?)tc=y#qHd!={3Qn1M7Qk4`?!`%8StUGM7YPdd`WSh>VdBom1nL(L1_lP-J~8=>iVTpK%<%$ zuJeRFP!?5Ch_PkE_!+AC6Wd-8ofuAmHU@umQlSqI%_CMbf?%TBY(&Voo6NIb{~3Cu z4SBip+==|lyHe1w`9w7K^qYHPd(O)Q%LFocc>5HoC2mLTp{%*VWTe5S`{<|d)QCZgRODwH=MmSILh%SMrzXV?pCOVs46No!a1&~_% zBu7u=rH$=b0~pw3;@9ME)CH8h1N^8Ykt@X;p`g$`nbLfBx$%Lx!oBgm1V1xwssbgEyK&Pxt{0sy0-IjDmDTngRoas!KRsx~J(#*@!Y=$%&@ZmI%z=$e5oduJf*?XZxz?B`xT|I3d%ex$%B=1f!5jUOTPeaDJ zHWDwT2BYK|k%STyE!>xE5cC@{qPU16bfj)lfAh~^q70JsUq11X^Ms$G%9C~CX@kg%ZdC)IgGiyGX`k5C+PB18OY)0o2&pIGFo6tl9L-Q`GmCVMC#Ot>97S3>Tkt zNlZ2lrfWIaGmrjBGn|fx2}>ugMhWuk!a5s{9vbJ&|$(85MckweE1u}8HmYS4q z`hQsOEN6O-3i*bBJB=bV<F3)=7E!2-dGQ6|EE)$cON z^yOVlO4b!^B-EAp)mxV}umsX9M>S$W?+nsA*{x20d{R~jTRzI+uZb{8Raqq#qavPAdXO_O1b@FHQ{&g zyIWypH^tZwYRqgJk!jk(T2=;no&z*y8Doe$P2S}NQ0A&;#6k2z{~Nsn<=g8u^6wug zhFv3wEcC9qgjucb*X34-XDPY-^vq@tbm7DKS~g3Nl^ad8E%(DRVLynJFp0}fwksRA z&FD*b+r6Lfx&su9iKZOtpiIw2jCk-cwJc-4^?`lDxc>EyRJD$P}%_+7|MTNJuf-_Kp8Q zP=K}tPA#Ma${n?98^CM!T~?)pRaO6qYc<_@HCKJ0>h`1wMEJNFxZ4ZoiXuHY|z*q2#>$~GCkKYd#wvw$v4bd{Q1oU8XzO3#JO z0o9dXE}%AD9xemX0P_+hS4fN!jLvMe<^@E-5R+kO4?UJCJ)rfw()~GT`Ku-dMbr+L zoIcymFBd~>x`}Ei?AIqP@R1hzu3V-k=L%k8AXG}_m+D%#SzvWS)-()bS4of2Ca^+> z`j)S=%!K;JcDO6pH8-{kU_YT9^K&tc8NX&m{Z{np)o%vvn9-CY70*&NBc5CN9Lady z`IMd4>4#l0z6>|U!b@vQJK!%t*O{h5nfhG$yC^Em@&n0Kd7jDQCX>djp7I;nR zy*F5(p;3UWiQ1E}{H-GuFt!+Is}{MaB==CauJh1-k+-+#S-PLFPs$~N%Otu~T{Dt7 zG@g?Kw1oWA#NmvcYl_`Z4U6eE z58ZHkz2OxE^s~!et!;Y(2ZbAeKIc2dAWQ@shLOG2BBWiSqv{#EA}#%iIlD z-)m@ifTGzmd$|GPI^s6AALQrBIk?l9MnqUUG8l zpc5a(YwuF?#f*_xjj;(fe^23W@`X3hcigo8D@=F1J-r`#SJ$P0>5*TktxuaRPSLe2 z)Qx->-BSR)b*=6KI+UBd33wxy9jcF67%*B~Zt|~7fhLPKzqIG;+u(A<3OS#Ujei7t z;-3POKWj?C=Xt?1QbIB?FRI>@8klMf8$>=CCO@tk&70p~R$Gm<-FB1c$*k>3U-fPD znVT$a>%RZ1bsWQF=!73&{UP6{tL>lv?M*xO8{M+dpp6`dvg(K0ZQY=h^y;s*VsTYy z+p#|QoY~sVE4apBGDCx!ijMp+@slv$wYL<+ka{LNs%E3t_WD@dN(#3YuWyC}U1NT| z&D;N(40sp8ZuS8!%iH&bXqTxgs0{pOL*{-uet>8SESG4&6O<#@pEvQ&ckk_hn0?1a z`c9V5lDO}gk%1$#p-C!Nb#Eh>E^)XvJ-R)xbzeuae44I0!juihoM~OoW>sMb8=@AN zdK5S2?c>ockK;~KTngW)8tJN9QVevLlkfp4Rb)<%m*R}yEo5vU zjPJ}cS<$Y}vQ&PE$#S}huB_n7ky_iRv;8>5U69<+x*u*X=QTpB`>$?thdMZ)?18izI@^D?KYDI$gXBJgwem# zkc!aEHqLT~$nB-9y={&(dEV_^xNbY$F+mr$2a%=ZoQ#vv`0;inpU5e1N+1XYEF`;3 zS)?9NE#l9-1Cx`YL+(pSc^|m(6BNpZXZv&)E7&ionX5Bd3mUGzGRk%;aB?Wei0R%D zPB34P5_0OQl--jvQ4G$vqg3VV)xjM zG=HF@J?yLJr;6ut(Ds4Et^O4Il@aA*k@jw9R5es~JBP^Z7sZZHB<~7Ef#0s7O-E5C zEz(*tgl)bXeSvFpO+n%BH!~bJ78D6H2H%a65El8_LFuqtr{g+h7m3Ia>CbYv4_Gi1 zk^e>#*UUuepwW$9oY$Z!n+ zUD9`*&z)mtVsuGNHnGqRBF6j~#lg5!lQ6RXZeE6e&?W|cZ*211bRq>NF*R0gx7W}E z2}bz4_ljIdvk0+4+o1=Orpbxtq_lQsHYP1eqokc|dsaj_1l%2JZLKcUElNsEocab| zJUX8{-KOTP)`Fi`mB=Q|e4T}X>y4$ZcX}R%wL9BlYq>f9>5satSM95zi4{Ss6(ulQ zZTafpn1k$CPn=@Za#)KGkPTv?{gK~oZ{E=A@KbBIN~L8byHzE6-tn8>0_~iRofY*= zsFW(d#vJut1^sA9_^~(BQ=rlf$p_8gjXjpwIqT%yKN4nxKL@k(`0G+HGp_>PYA-7Y2GWTLKn&xNx5_(GqyMxPBr6Ww{ab zN4$6@J?FG91<(0WZ-Q*7PX_RcGgTt4~7V8NtcELQ%0Pnvo#fv&{5fVjU&9aq;UI;q<`l_R0VbJ{r3 z?%-1;DQ)zKvd@lZx=i(XW=ApKh6GdhsdL;bqf4{j0S>{(2OXD$yjU^aes4D;Y>kPi zRQeH8asgP&_u`|TGcFIg*pS}M{3V14+p@(>O_K60-83ZIw-67x`NL-qnQj|;mi;L;r_f)dd>-$Z2o3#oV(?PjYbKW8AipXejRf=trBoV^He6D9MDhtq zF*WN4EckTj{{a^qyZ%JC-C+L7yzJ9qs1~%R`OCSk7=t*xN_8mbp79bIGd+%Lukd}o z73zh$E)ehrvvz}o)N()r$3NVG`j|Sx_c;YE1z~Puu0?3V;^#zM>|A^JFp>ud(BnB8 z%-=y5e6Z7RaqNcc+Q>=Ot#plrH4kH>m~|qb;ujx-pzP=wi=JKiWIfDU%zB>$LlRae z$N6}S;c2#60fgzLI(vTyJ_ZDaf%CBADFn67gmn8<8y(cWK3_(^4it2!;4~fZOXuGH{&K#&&VIQ}Hgk2#=#W4#lfmp6HJy zW9KD%fW&xM?5OV9OO4`WJ^uG7^9p7bM^Rk5hUu2aa478fib0M zt6Y1h?HQP<2Qn4e?8y)Kbr`agOi5MX)74rzAgWhwMiQg8CNo8JCNR{;%s@KMlH zy{&zyn!!6$n`gvT2v=`9_*AQI$e2}LBaiL&gL9fyi^eCa zwT!8%J9b`i{}{+ZZLa|vTtT9t+ax=x22&&{_K0oKh26-a+%mGd=$s6wdRPvtPM`eL z{7H3l4D0gSOU7EplfBb#UJk=Ime&-XpU7PQ5=@7_0~G3-vkqmZMu}A`e5E9}m9cH& zLgQZU!*V=Kb_1!tpS#scHa2>ESof<%+SHx!Con4AgcW+a)z5VT zGhV*gF}q_tIS)zm#{>W|Uz`1)eG&ksrMpV`!qn9OYp=UxOS#tE0M(yb`1G6g`b04O z@IMC7z4|Aa%wZ~|PBtT+n6bq=$sXuHz4L_~gGy~X^4cCPzUmv2US2yPJgRIjiQ!=Px%0fJ-T=W@uTQ!gZ3&+yuA@~qX>$r~1!>z; zNpq)kzl;^JY5=k|p-@ACR)0yZ(CWP^hIN&oAAT-T@5{~WU4t2zl?o$I&l|7Vox5bE z6VC11y%~QmZqYwEc8HkhcVK+4wJ)6NYtstz%5652{=oFjk2n5YKg7 zyOD?F(p5gm1Kd=r>g=KpfQ-tM$|eH33`ZI@p%1S$eqE3Df8$IMbY2mS19ZBih%s~n zH|`rQ3k&Bq^NXVMb+tv;M(X8KfIHm?XlGk-9@~0Txj0i|TsLQxGG3etiPhVLu33uh z-qP=J3^q3aD{bjzmiaqt73 zT7UJrs+cK8Gb$tFPFMV%D7Rd8uDmerMo+>ZI}zzpjcmE_l5A=*CSPM$+4ng_v91W0 z;)}pCiFFsywFDm-19P<_1}28ZAd0RjX|vdqrK zZtdJm)$AYe)mP{CJ@0vb1r?e3)`m$^P-)@cReKWOaG&Wcd|(wtB*N>FgM{s0J1L7k zq$K2HQP}X3Bt>_4N?CdhN1;^WeI>3|=P!tmU)Ub1EXbbckYQ$*8*fZt3d<|n!7Rw6 zp8FDmCxmNJj81-$$LCi&#>lb83d)Gh`Q_EO@uf-j3q_?v1|Pw&$TnE3n)l|nobqQX z#bUfqj+mdeVb{UM`)X7%(U~&7awv3-zKLa4?s5nb$;`lVwj`E^44@LNY<27yraf1~ z2KGxv_GD(7)KO96eIuf*Vl-vUr=P_#P=+YlD41RKfmP1$QtNa6EpFUG+76C}?jKb3s|P6#%w^D?Uyb z)MBzlVMkVuXp2gZPr}V%viK0W+2~r<(Iil+swj`DPP%lA5m#eQpEHMQrt<(quQ4Gm zH|32~6*%JbNdkp=3X@dW2utZ?paqe;*LpTHQjbjAvr?E6E8h-dsnQ#-sN}750k9*la42zvJ9_ekgsY35;{p( zQ}K^a0CO;Kqi8~8B|!%|)tWZuq+2~-H9ZE`1V07#d_q-BOQRz%9(Rt$6NYlb1X#pd z2wX(o5J2glkr0v8AEcO;Ih@;lk5k-OWw8lA3Br6VdTYa4P3Ms>TS*oir<_YgGm0v; zQytCrHtY0Wnv`Y%6@|3(N{Oa#m}x)G`LSex5n!KK(ETF=Su2ildifHe1Li6Uu z6lG9B?NXqV1Y-2y69-;GSY(Q!_PB6{WPX&IY-FIQW+28m#rtMdEY8Lmh3iws^C+fg zD#~^8RzHK0o9RrCpyiE^iAa^*F*hTyMKP=peWqs#YpaQ?aEf08qGS9Sp6PAOUkUh$ zODC9ltSb0|0BxHj`X_U%Kmxd~)N-T{tUk9MrCmSsmTBE))X7c{-IKC0C%(uLFahTA zubJtfiF^?TI9d-r)fDvEcnq`a`lq{Sa*Z8tRVq-lVY*zIWeq*K(oSJTHt$GZ zvk(M7#D-hos~##(}xnU>bqki4j(1mmDm9sxmQPmw7xg znvg$<@;1$aMv3&5Sze9yRvn`rjrBnvoqAr1Y1*RDh>7KzXR%Rx7-kZe$*e_+)z(Q! zEj5Qo7_SOxw?X1jH5``#JD4(?cgmDX)ci7POg+UNPC^I&Z% zVeR5CpfT?@^b(MDO`{88UE`|4J#~FcxN$PdXi4V=#0k_RP-f`W6hoagI=2eL6&H`n zx!P+(*lw9-$F$GV>D=;YgFQX>U zvJ=v6INT_|hWDeXb&Xe0G%gC3?+K-O)L@bwS*Z!uU|b9Z7Z=!5=jah z<6Ha^JNIeVHHju;SgCrk{*wun_blG&hTgycC-fh0NMAD2n|Vs5x42GG^&yihOZ|S* zoV24c`@~&;QZ!B66n=$?u0m#l=yOCpzX`-PHO596F&O4~@z(F8`0EIi21aHcC8IeS zz$FR}1KAJase*A22EA}D5V?Z1V{1E(7_6MsJsK}f6q&Kkuzj+4%k5Ne?N+tVQ0;ey zql`u5EDl<*SM&K5Ym;T896BG(hjRgHT2nv~B`u|b3WxfQq`K4o>BS#etJ;MgdMB+@ zg~ARy-Z>Qa%aLBqF|5GCTgCIV?*$GnDh<++Q-_%r#1TskS)1`B%25Y!w!Bqnin`<5 z*aH&9gUg_SgQoDKAPs)yvG?`W)hFLGg%WKIdMzS_Y&a5 z6{#!Gxfoo3RO?o$n+OcBmqu5(eV9tKWNT9YATQAC;HoyR70=|geZ^*QL`Gou9>9{Z zU}x&a4v*hn`I%H}u5aec)HAWZWCGqFuOPAlr7R!Fdq#~G3%Z^(q=NuGGR#K=#M9rC zBm&iKzh}9&M^2=&kxF0rR@GcJoSCQ4zYd^q(CeOlU@i5q6y&8)FRMMz2-y!C$`%TV zf7G0gQR!$n^-o_I;p~&IWHJv@{G47A{x%3Dym4A829&_I5gx&_FRbFi85$2JuT<(6 zu}9~=uy1uKsMbw1XDra-w#J&(r=M;Md23xG-x<%&>caof=xA}DaB*-_aDKpW6kN2Fgy~^X zf|D0QRtlNIl3|`0+TU1qT_v?lsQzts^E2I_1vAl(5$VUEga(z#$KzAMLn}(STd&S7 zlXW+CVPDcGvIoUb&cugpb+uc|M+(cfqwuH|Ge2L%wC3svn|?e&$B`s?OzR|s9{pb$8js}0DveOjH=na(T^GvvF819zW7xj zD_SzNdKUUC1WDDjJs0HToI=~ep;0>v2KwQIs?!zjdx8~=GC#UZtx$c{LmB6-pHIv^ zHQ4wjRzOKeebl+74I!<9H0s1re9U5NG={!Q_)>{Ubqt{PngH zWBPKj-_swk`OX=2u>9$uSUXzvH@eW{$ooq&`6p5_u#0HR%iE6*W7MGZyXlY56!g!1 z_mTte4!N#bEy>>7IX``5wzV~z+)MRS+4wk6TJt-?rf=5W@~o`Py&C)oL~ci(!912UlAHIU_nu0`8X=d>(1yaBgaF*K@l^2&7IM1K@>Bf z06wPPW#Ep(3QN*T$zl<7G0U2-CWr#B%3*{$lDj zC{3{z^)!R`Rv*I5m@^{Jv8O&3ntBoiHGg3Slba~u^~Ye>S->`JLZnKNerlBO(uep{ zno-&=C~zlt9Pc}PqR=c8+K7uf6L@{Uqa!MhY{Eeb!(XHO2Irgog+`1!nHP?Ck7cb7Ae6^S6ZP$#U@M0xqy;J~S zfX`A`duF7KZXoAsw5cMAMD~hVOY&k(6~`QDH)}DNG`gf~j7%cS9qQ}K05G_kRns$@ zms@*?^bM18L2y~jqGz*w-DCg>?N3V#^3p=&$-Jf;F#s`BMDk=U>j3#+LUkSM4713r zqcKn%F^TJLoF#{A7EMu^h&f0)f?%|e`JC>&V7gNX7VGRNy}~<9y9E+=t<_SIB>#9d%l5eKy1PRt*KHPz6i@YWh5>y%yZ^K>d= zvpUCcRGg=3yxVDU9xNEWiFrn2)eWRIV5+L@SJr_4v|v{W$<*O)^<-3n-42{ngo7{< z4s||EwPr!wct7XDTc!r%_^Z0b5g6i|stk+fq?;4Uu(ZiB;9C2TYOb@MTk`ku3D&S} zyrk;RVz!A`33_ahdqSOo0OdPw0@SNx5%uEUpQ09Bo#$P@c&zJ`YgNZ%>4TC!GSJyq zi-2eKoejuEBk)}Z;}rtZ~Lc9LjN!eKj%oLo{UE-iy$u1Z{K zyNv^r8I3a(7p46a|HI3?AF^wXa5kHNwP0ssgSprkz^YUxGAY~B!Cz%HsZdzt2L-lQ zz+R&0!scY6TxBjJpQiql&Kmvdg#r$z$`3|bld5^yBU74UjIM$@D-|=D2gi>%maO`W zo=h9fQe$C-VTFE$mFYH^<+J4;L8gxv+!*&cBjlSjz6)hTR^2?t3GS;@DRBd2-lumL zI-73;Xxf@^ROFlWx?EN=(hAdzL~==LN=b|@N(-j7<1Yi8F6?S6+I7F+UZ}n~jQbf` zBi18$BX2_Hu4P~No2iYe1~F>*%Nu?_W*%%evJN%fA>8Of+XuPX`9Ur8K-&tm(ytS+ z5u4J*c{b1eifp_EdQ?A9Q--t&;Yo*}3Z+Zt-t2wBzA(?(B?a*=T3|A5wsfg?Plyl0 zrp3O3vHA;1)4|Gn)9Ba_aoyS7@}N_SoeD{g%+87<1*}mD6#@Ef0jpi1-buCgoOvSF+e zedG%lJeK5#l&+UGVO{ocGZ4I2Nqq=LSN1JECL4!*9NLsfWvD>P6Q1+qm^;WV%NkYh z-xiY{z2`ZsZ8U3=b%@F1X<|Z%GU9V!0$$pT$xl9SEjVV5~jvG^!X_@2?)qN52VZdql3BgI-N zx8+8Q%Xp45phg+k^FZ_`@4U&p*hY{MWj{B$LYyCcB`)|42n(O0(Zu!PZeF%nmQjn} zk)UF0eA(7z#)1${C!;qFqN1iuRv+ntmYX!jj1V6y^?u$vGr7_+bQ_PHCS@^mj6W7q z%KMAXd$yLYg$*k@P?TXX&X6hCLqA7rLc1lYo}%eP#Hb@Ds}>dBBCIb2Ozu$~K|@93 zIcrg|j;Rlw!{O4`R3CHs>M~=$$ky2MF3m>6Y&Yw3E&7;SV(wF=yNz zkTBJ~Ry2bfrrzFfdl(PK0ql$A~|*G$?~ zC*N8W^3q_(?<_mvn>td`QLnv!;R-*QQ-RC;?H}Km9l(}5vrCe>Ldrv z;;g`gq-2_Us^t0VlvkYDJ3OrI45bW>5G$H48#EBhVL(6C!c$c}9 zh!LHP6v<1UB<TD1asTBSd7GQM|omB^YI^I&{+%n~B`x@>GvRJ}b`S_h=P2E*w;gM~JGmRnmvnQggf$9om=f$12=QU;00Yv)n69{|Mpwb zoM(O9Vc~R=%LK}ie5YxtJDWLt6q7SE!v*H<}WEAgG4?lMBf?u`K zgkMfUWgoFSeLvYiBAAu5mObMirCFn2#Oa!42{Il(EZ0y{68b8(O%d`_gp_Gy?PcB$ zIM5}N@_1VRI<%5w%m&GfF`TgCv`z6xE(S4|b!(0NUex&)BRiLl&E>&3>ZFmUMrU(E z6E}q^0#|ok)L&u0+fQ!5ca;`@+Gux?IVU5v*02H7{$Z1n*m_r|kcI1$LZvZe7;1jn z`{ohM0lr4!*&C?bN0S(tFw=}szEA?t9-w*Dq+Fs&($L!{MX!7aTcVf$pv}di7_#x9 zJc5gLTKr1Fh~7K}!`0w4>hHv1WJx#gp!Hlhp60-|X?c5|Vjc@lt09xLLaB+_q*&-6 zF4Bn3k3$N@i3i0oK!|m70n!9w^xq>r^ zumIs+HDTSo?LN>e0+FeZn3gTqsJj?~*-n90KSMnJoG%J{8CWI$HxOtvEh6*b@tQQw%8rBK#aYzCyVyM!9`DrfY+rCWd|$ zw*s;y)!TxuOsvpRZJPf~vKT;<(HMduH>LD5oe?ps1S66!HakR@yMM<1a4QhfqaOgJ zx9IWn#$EEoLbP#!bEHs!4}JmfjhN=)Eff(5v>t!}p6vbpL=`sql4j=lhlvte3;#01fjah_(W-}0RHEn0XZXnmO% z?7z_PEEsAbxF3Yz;JMFgWUUEu1F^|VXKC7Aqc?lfLsEo8uKBEfA~`x5OmE-Q_EzjB zsD#im+@>AW`gU4}Ri?n+v52E$NlV|{DlHOxm&4-Jgg1ID^CUJ`N4fUygO^f7dQT2$ zS=Q`%WrIo*5^bq$W-GM`Tx}KuG2USGF@jhuHTjw;Zn;{df_IMwHJ_WTv`})f_LHSB z>#$Bp3=bpjnps@=LFGE#!B#)D<%+aUo!^J)74s1_5u)*5jXxdC=mJhHQ>+jg2wEm@ zS(+hH31r`OTDfuH_G;ctwxut;*!)P+ADb18C(xWA(yTDolKm%5aG?qoUBhiVXC=q7 zw4YJ%?Yx?5(_NYr@Sk3Do!;#e^)Wr8ZklAYlkA>^)+8K?Q){45AK&0$hRG#^e85F` zDn~1hiTh;Cfg%s#)uay>F5P@vO_C-X^#}7zWQJv>eu_T+zO5De{hTPN0F7niSK)b- z3&+V97k@ouPg{P~q*Qrf-XB^ixJKW(l)XWgJ`CWRCaJ*>f6z(R=>tw+Bx1t}Aq;tR ztsewEoe;o2_C$DedT;G?>IKsX?eFSD%p?rvnA2C^igNxJBN(I@_#PxKX z6l`?S>)=xS_N>kR2`Qf|v3d|K+c7gYeq*U0 zrjb7~`Vg@=B`(EKz?857865k3UiuHUYF%pGG)A%Lscn z@O@p|^$cpa-w}Ka+QgQX7kr21w$`?l#JsHj(bQ2dI^J`R*s;-xUmO3U_Zma5-UtWk~#V@Nr*Nn zX)g&`iietDItCfT_p`E$CABAe05)?N%6n)GE8{^YM}{%lqqf0^&%R2aNUd!9$d`Ef zPN5H9QlqIIhJU29Y_M5jeI}fYH3Y%^WEncXmOsGKuF#`W?9(eDqg*m^E{7#T=k3LB zq!YI^n2*mmJ9(IcC6#_t%Xl$mTz_%jQV+|_c{rg87R`~zje`mO=xD5WYlS|pd|$20 zZfCG)@QEzNv)@}a)n5(vN0MnbmUfD=k8pz`-`m6URRp}{CwSeE;-SI)xz@xX)-CuM z*p>p-t_eeiwjrO<=w>poOP{1ySBUdTjQlgG#?wAK%$zyV))d1t9BrF*TfO`D#9Tx5 z?X$v+DqX&;erB`!n1-j!W*G?$hpv#iN@fUFhWfik?b#`?oj48I9a)&@E>!bZ8La76 zm$sS6wR{FSeVVdMi!-tvc>jfdreM4`P%ok@%q8+e^=2n>#M7?uv~R|T&r^89@n~nC zvBxFQax?8D-#q~>K5oyx+6%~E^hnDPP9eR;M&{B`y388$RcfUnjTi;_5adY?Ya=_W z4__UTf416wPPnei3;9(fyb<^eV8^MZ-c4vPrBCh(C$zoo=JAIlux)D0 zGRb1L=%;oBvqv9*?7-N6!p@RNg9}M&8NCmhG2Ulq`--o$^9v7jPX`EIKJX-0ALKeT%rTREMP9$ z;b}wB1U8rsMhN_M@`GqXAhv6U0c{wKsKxkti745Mico;HeTho#jEXRhEjfxsy^Vrq zfJ)to@ihfeGI~fxRHEoa-8Fy-3EM{&zh8#8Kl??5V${c6a-nFB9rmU(XZ>5wHloRX zLD7mnDv~~mIV#MzxIk*~MaUpPeDV)!Z~*JbQ+=PDn(pFLuy+9Jv zvb~^37A)B*iZI6dQ05L9ih_S|+_x~Ldsj$HQGIp;Zc+9`&SL6uX0i)G-Z*B-Xk|N{ zS>i+1fZ>lywk`HaeJ3f&GS!c2L-*aiWgDfYlQGh?4xocB3DN>QXg~EWN0@6eyuR+D z-CvH~ePs#FbOYxsEiLeRD#iDN&Z?KUbf1`pr{R>&{EZt`x%)C>^Bx=lG_+YJ4k4R1 zhxG8T!i})H)07=p&=N0c54|rf6+_J{m$c%i4O&ZF5Bl=(1yX-QuoME{*xNEbFV!ORrsMa{$b)rjh@uS zKiaEj`fI|KG>NJo@=^l?SAyKI!Bk(p2dX7va=eiwq~#jdmx4bB(@7guD9JljZMfGN zqtO+o+P(%PU}RcyR?UO-4O=(2*Bpe>?nxJoB@`(>;6Lfw{F#R;>*9c_Wa+>1NXlMwT_DNc+1sjbQW( zd4+eThHGYzW?P;wQLj;31Yu;XW1O)gX&&0CBqk)Wq)P}V*3c1{nR%Bt9vN!{W1U^$ z?cWo1rfqj>q@DU%NoQ2xuvL)zhNln+I9%jwuxLvy(7(gg?kr|25ZW&#$E77pzy%`x zkIvwv=c{Uir~uL&G~t3u(Jdsp?>h^UM=*ksDNJkyNif5ah3JV01j%AyvmV{Eo_zUp z@=aQ!!%~zK@T2-CAIAEqr<2D=yE5Oi7sIeRgAz1vE6LLK{Nzwr5IcN7F&xSfw)fP{8@op|n7clL2C z7k5WUgsJhF=dNTFLKD_oFQEL(upt5^kYt=1J%N^kyiD zOHuCIg-*QgObKszwo_ClqHHdtG6g^Q1F2s?P*n^xe25ExIy%Hg7Vv;~)vAk4p3LaM z#*t(`I+5iM47(KHN!D3KjgqR>;mBj7Q^-)x29mN|hsIKaxAoB_jU%^%nflPoHOZoR z8Js0krI>szQ!TcF6L);6UaiHX4rTG71GO5y>h{^nHd@z=JLIspw8Zl@iAQ+vMs17~ zf`XeMjQtBr6~a^y((AZM$xS%Pt#Ou9bR45Y1#zQoQJjTIiq@qe^9jJu8o(=t>uJ); zr@>}(qVn#BFEfOGFnP{8Nl%-eO3^U5d99%<{zP1@y1j{ABc?4a38qz|SiDJ_qWcv( zjS}JzC|I;{l)j)RnJt~*S@M!0enj_Abx0s?rduS@Zp%s${a$y!drqNXF-mE5E5%-H zP1~!!WV%Af-Z%#_$5q5Ld(T6xJZKYb(RxLFZ&yP}>lVU0pZJ#f$+bAMS1k0~79zu2m!!rd4IB{2b{uZC?}Nqeee>T|>~B{~aS!=h#XW25Bso6gGd3%@M7* zJFZeumXu0l!J{EaGhgA;MLs?K%FTRSIiDF5`Q9bIdSvjfE33TpyrZPn=c!jmnO4ZY zxHc|2mM4el?R!1b@+MZMEKVm@^W+rzMm#m~`uRG`b3Cs$B2q~OM?d;_9}#sq+wDOn zIhPvK&{`j|$nllK%|-M^P4A~!rs#547snvUhNr9*S{%xm>IVqad(TW{$h?lbB`f{& zO`aTwZ!I|!q^&P#BU0O!V``~GAgpLeImxjtZiEiGlVs8x^@Mr+nm0BsC0gr^C zOzwsrVrgwv5$M`5P#{5KJDS6o>Q;>_uRQd0u@A>*zCcqMF2g4rZ+D6=`Ha+fP{(Q> z29@3qU6+y5i{D|J5NpJ9W=OgDw3W)FH;P|2rVJ@BW}`JaC;I56_mSgJG&>EP9XoUq ztfpF-uhI`4A3g_H(5Z?^t=Oo+OEQ<4y-)n;ciu0ME}4m``cY$?o3VPoV?JTW`NBEn zO;<~rTJVtpfaGY{arcA0s+^f&oljoo%@>oF!+-!?4|6@>o`ut&4|AONEfZR^Kb0!0 z8?ye;iI%PElXMS=Fq=fAxinOd1{SuGo|!ju+Favz=R79kC%;cw1kgD7 z`|eE6n~~nno$sx44!o#q#eq;QMgfTl1(J7vGc)76{KYUZRfzL1bm>VMgYGY;#LjKW z9)(mhku`kwgCO1Z_x_`DFDk_{T$zt{ah+^Kw$}>VdvAye&t>xGS`M;m#hBEDnO=-;}JL2}%qO|Mt zw=yK#|Jinl5?5^jeO4YN4nCRG+}vy~MJkW^L0Wq@y)-p(g{L2wE@{|6YIeOot<%x- zE)vFYUzeilKDIEDVby=%t{Mjw zONbUOlHr^f4euq^`XxylzZK1$J-Lf+<9YU00#dI*8C^o|~dWkfL%e#d0T7{iX*|acku-D2KzRm?H6mAjf7_EmFF%mM*OA#L9qD-b<4q_R0Q%v+?wUy^0Ha>L$~^}qnW)>i=104xwT}8;`c*% zaT4dYL%Rj?P^)hUYf(_gZ*)$>QU32Xj8icm(Vg8=Hr$6&R4H!VWfM8bB?!cN!DZgrQFO+a zqJVY|k}L9@^anW0Lk9X&z9^WG^_#>G(@~^AKlNXQD-P0*5X4FI5{wW;a=kE(sb;`K zKx3+DjfU+?*5-%^b&&?Oh|c+m<{;z*o~C9VlI9rR7gMFver4;#Q7;LFNv{-?iqR?+ zSy{Jnih}Wd*tjrUSle5)Jz3ZTBVYJCzWtw$BELxEoJcOBe68J%=WL?voFIqK0Q>xj zgrSK70s>%L@;s4Br0>L80isM{TgL^z9~;KrV0#v#Q2EbzV&FX66QV5iy)64pLl^C{OL!q__y`5E4VaY!(7cB=ecZo+@-2tjEfTtXn*aCjY67v+hjh+g7Ha|@ckEDoS5}$~BmpYd*q$!%-Nc_$qzd>8 z%)$pGq|U6Vr6GN8ysAwhWhKH$pKpF@B^9JEh=|Tbp!DpYC;LK1)XyGt%0g>D9v%U?nK6JuCKy?*R z3j{YqS3dCxE6N9fJ!1%1&{D9|+!pLydRN1=df~=Zp4lVg(M@&i)_<{Zz5aMy4z=WOrI~F~%yWY7Y{g zt*_hU$BDpY(5VlVZ4cj+iu}IuhJ=0DKxbHgZ)nk?u;Vxl+c6{)kE_6Eb+A8niya+T zsozZPM-mNaVcllI1zr+LqL;C`6}yw6r5q(Awx2<^@H(6AZ)WFyDnW)lKS8l^b%P)poTd(;Ug8K`fy5uHAP;ed%cfvbWZn*MO@q20%4l3r5B0ujWJ#UZI;= zb{Vr_8Dj~~t(Hv2UH{0KU{t%_546$8*3tg`%Fbif)Rk)($BEl|aj8UI; z$V?fVupOAnrg`2H!9Pf;1)$!+(V>jZ z97A-17yPly$5cz#3}!l+1$M4)KY;A{moW~ZNB3cfXkQO_gfTM8g*QRA9MKs*?h&=I zwX;RX_mN%b4H31`ZQPes%s=xnU9p7<(uW13nBqm3VsTnp-}*h|2t)zZil{ypR%Wmu z_n;z5G6pG<2T8I7DG~)m5FiyFp&Jk&DKa84fsg=zkrZiy)Z2pr42TF|BmfJddbN6Q{TX=x0PtTGNdfNv+iLu8Dv|>K$ueSb z{vSp1KXJzYpNi!F{>lGbkrbll=K4?K@>P-KqZa&+^FpZqCyL~M9QFTIBn9}mdHZc&K5#76WO$eGxv~wW+(1D1wMw*W#quzqOvU%F9X(@Y6q&Z7Ja43$tXxS0A6zJPx z-3OxVkB&)t`w>=7!xViTNy=yjj-P3`D6nJ0xsXLs!$Hn~2MLW|r-^+oH|??1JLA&i zVVqr+B)t(aGPr~F1nS{MKhF&k`;PtLiGwPm=Fs6ncU#h7)pFaMGLO@YQ3aZE*POnS zD=+G>YHBHl#`?rP5Ka2i+VoeF940<<4 z`9xw!asZ@w2f6tcDEgsEg&Zi(crO46|EFiq01)#ku*+o0U4nvqA?PNBm+eJRO1 zWo!Iam;pv0Cl8X?pqbE?~b5%h|#xAR{PD}TcJ(kb{NIIYKhty8%@)MD*)K)CI^G&-ir!uwD`71_J?$4n62b-ll#MAY zgjK!ba>d4lQ}1om;%zeZ8$>KzP+*VvMRo6Mf)0C!+gsM>@xGXk{dzkD8{T?C=RB`? z2tkvyQ(Tt{v<~HKf(v9BNYmOfNnPgQ-_HPH0NM%{WQkN;SxoX=$tiW>gky}VREGll z80t>?U(mQ8hTbZmp6}&I6fcG2@2qU*F$)QfS9dHWLg$1lI>A&4b8s|^Im!?r6yS;_ z=1u5ngr&FUcw}=ZXrSoy5AcRa(w@X(I%qgEl|Q=2SrT-S zG-aq!AqmBUxt!2Wz+4j3DAP|*{+19g2~A1^J(0$mzq)0CLoRH%gAEd~Iib&*zY_17F z0$$}v?h~L$7wAOpcx!q^%)Z?vz-2gA%PpApo6*z+!DSEglMf@+>%)bE`j`NGIz`Az zm$*zD&pF9i@mh^Ao$+#v)cSzZQf;OnC5mRAN|J7QnNee#GpG=r1%U3?N74iMG!*Y9 zRr$EjV8NVEwIDc?4oOr^SyvD^f1g^+Y@sseU@zCWJx;j$O^co;F{?#a7Z=vA3I~GV(hPaHL|suVf?T!KAD*4qw;4*c@&6$g$2Q8fNCWSz{vr z$)QO3?Rnt2Av`F(H1X-9r4#dkXT@bB{ubQ!+6gEu>L=YKiY=<&A^Z<1UM+R?j_0u~4;~qH|S= zNNO(}Ac>r8g4Ayn^b|D7&E*##>dW>#(mWH%m^-{Lf`MtrUZl(KNwfC&1LB@Yrv(y8 zOKlBaqLBf@78M-dh}$QJGuA^Ghle~+>}~?~ex1Gp8;q6^{&pt$s;M>Tn-a0>#Tn&r z*D%yECc+Me2NFN34K0&0C2r)bOa>!k_$$0wr=X0ayG*M!vLZ}W6U;Z@&rr34#+?fB zlMJSifXpDT&3aK z%*ZTRh-hQqgc0q)Y%AHApF0iaa%)LtQ(?OjL7LCszu0BOeZ~0OZeVR^+EVkjipwzZ z`;%6UW%xp=hb@OQLkO9E^Qg5@V!j$(tYY%{gCZw`15d=Cg#&`R2KCO9k+A6!P|g_S zzQRg4&HM}|%eDe6(jGl;sIM`G7t zz_auhdu6+V2MPtJ!EZ{3O`$2&A8R4b9vtfGzKwJT)}iEgM76SwjMPfcDSy~4vuD@e z=8TZaAID<4sU0v&)f2jMZTU$-u`ute)$VU`#791MM_g?tHlepRq%x!(c z2x+IuXO?|i{ZNa(N5|=WB`|_^@(y#LD=%wTsh(mG2Wrty#ew!a|0q2xAc0-tFFTqs z7%VMR*vd;i5}7LHYKmS|q0R1*mlJ!~-S|&>`>wKn0_P^6X1rxa;x9Dpt^`Vp^3_K* zOWmqnG5*GKrrTUTWpZX%)a8Yaf$V(ZChhJ`uuZ{ z8$Xc$3c0Pxqp*x(%LmL-?Z!X6;9M!b=?!qUcC^g;w?DlwglB#QH@-W#h{4#PS z-yMha7ur5^#9$be^JRuQFtmDB3n};jY{j_fjMITW(n#lu1Bi@!s@iF4O`^Yk&+!f7#yYp zp%X=qVOvsX%A`r*`f`MAIHFy0st;=_#3`aquY+!QXO0MJ+mm+X6;UKMhrqOMF+UtH z-(S4Yx_?L35`b`v{KH;*noXF$=6cq+`Nk5DBtQS6a`T1@W#jMxQB5CD9UpMbj?U%0 zbb3j9?MD%|@uH=-?SW^1#TUw;i^I^limPLi=*y0JM24n~7;Xxza%P!e|eB&nL9sr5nD`U$e!9fp3bs#k_^eFF`gd$W5VG1O5E1W_L& zfwjQjYY8cnkSS*xcX?D$r_mX&B|ceBo<;Bk*cK?CS5N}&2zfeRre1UbmySs7qDkWn zS7wf=ViRE~!BcJQqc_W%Uc?6wQJ1gYmRlpCPZB)m@thLx%SE=K20v_`99_IQ_mSD` z__jlH+=mOi=+PjoHyNB!A8aCKezv>tGQ8l0InFJ9Ph{C?EJW9IqbrsO)XGNSsdZD2 z+MT)scxD3TbNQz1C~r!5_i#Y&(ll%)pRCh<3_-WTTP4_ESVQ)}VFeER#m{@%@gt?;A@E&Som+@UveN zT3?Mu_caccrvCc1L>+jo;@7inx=S0w!2&te8%|meXNUYYQLKKIPWKi zs(C76$;-V%y$O-pq^;=_p}gnAa$Z4VdNP}1q3Vaiw63Oe<^9=<%#bj_5obZK5Ct#7i5 zRXXgFMzUdx$ivJEI!+o`-soz#-z0B`)Q|)q*g~SCq(TG) zAs0c)c!mmz`nq1Hf&@bQ*2pxCz&@LpWet&WKMz zG602dWVECGOzn{+F^!Q@cMNn@zbwX;)lsv}g(#$kFt$86?&9nIN^!oNVA6dFBMkFV z@l#pEqSoRyL)PZ@KpAeOf1`ztzqob3Z zc?e-X-vxoXHBxCzK`EVxx~ygpzYx&}XQ~trYy$`}f z_|7@c9b5(;U6EPbmnfd@mo1r1+z9tM^^Ob#t{E3(<>sFM7XVp6roT3}(|D6}WDPfM z!~I`x`u6tbd-V3O1{%GFpGob&eb$)BFUM))ZoF5Id8+kzR{mMfy*{PUB!&$Ya=%Ge zdZ@@jRg`^G*Ep56hOG9nbAls#MKxVdP&<`Eg)wb+4?3zMl%?&_dln2g_dv=N2W=KR zPBV2uB(N-1B6V&l2ZXSS^tBt&@RM>-GgiQWmi>g{x>i*9EH?=y$X!9j-*HrQA~j@2 z1o>RC2w9Y^YB+@Rv$a^2-wKtS`9=$bTNBb&ES~V zPE2TMDMij@*g{BFo`oY*gHuUuSF2a{ba%0BO2l?2HrjW$JwCNNdJm?U_&ZnD*^Xlq zR3*=Z$c{hNWmAjFO9THxYXB`c0RtF`N(1AI*JpH?OIt_TYv**^*o1?P!++DMg@mtK zYXD6WC`$y-g?J};Bb$#@?H=O>VJ~iz;|z89#zAvfm9%|^`3sE9XN}SP!WX9f_LkVjFYcCX z!$9D9mWk~1m)W0~l;Mz8BU$jfVC3s!*Xe&XTL71%P1yrt5#gd`;i9Bc)L7?JlqaC# zmzJu!VEKeV7GaKe80mJwnQ1qqH0*pw{&vflEOYfz0>7O|TVHJnjTiKP`CnOfnM-dW zpyau5nt`CxtX4#@Tl{JQg>liodzl!2+`Xu(LI8zC&Q?)L0rr1eC+J6;lkC)Q{yr?p2n(Q#c zak&>!pvXn51`8%;xQPW0Pi$c0oEB@hL$~+)yIL_*@imIN$WAYQi@Y&b#{l?TCmEl@xHaFIBbvqTIC#x z(%kh>HylK!TL;srEx7kAfeKRQFy~y&CZB{^IYFOoN-$QOg;xQ)z|a)NZ&U_|PzygCm3j+OmR4M0poQ;Vx?L#A{k2@c>=y zgn6fChTPQthC$gUCp~O$)vdBD(96osnk*-*`JKevw_ViD24Bv0bUn?oZaBO+7}~t7 z`>#%=@VdyWQOnLKAnlV=j%f3zS5phyBW{R$JT$< z1SPYVz0PIAhfWlXrpXi)i}FOhV0Lxks+HmA z8OjmY&ip+$e=3aq25RI@6ch8wr%jL9iot+D!a~Z!0<-kmV@2B-JP;iS8qoP6TP%LdMzgbV+`gP4rD9y!KEZp51~iHd zM&nU%z+y8HO=j?+wCWBw8N$Z{kpZw2Q;-6tQn^vCMI@ie>e9({!n-t^L)`b2Eqfiwl77bji>Y~EDk40pA1GYXzbi+3me~Om_daK zp*N+C#!zgm3~dd~3xwfM)NLp+;4Gt^ z^bQjavRR_Gk)5tPACT2$QA)&)OI+$pW%C(bif=v~jP3)B-#*zl!fLkgt~8_73zx-4 z9m;mFaSfgg;~TgVfQ_@^k|d5M$e3Ihq{>V97_=&U47))|;BbrvsY5dZ!7YOJg@zA; zn+m`yBN)R(3~M~HHm(xe3NMQ~aSJ1Fi*lWZ42x|NyiKwy#k9c#hVZPhdVCo#joZZX zBCk83k1B4WSdFUzq6&;C2smoUhDzdVDaewrY8t6+N;arVvZ{A1BMRc6Gb=92Q0=Sk z@@AqpD=Sq6h0wB{I>ocYJ{h2}dZg*As=VB-&I+^bImh#>Eel78z-FONujI8CvNWSa z{Dr}rg67lE^8B{Hh$J@(Pi@>NiNkd?lE=W|guf0|Ow2&q#7Gh~5~3~BV!YIex&#@l z2ty+Vpw=7^#GwiFyH(9mdn~=j@njH;qO2r8NIbG7DFV7mqPpu*2{ja%QHu(Q9HCJ? zw2U>+P1`%hwtHTOUV+SQB{YiTSn;C)lFqUQ&o%QRDG;0FlvXd}$o*ijbTNm9Ptb7s ztx$c7isI=dy`-XEAB;4TB}9;ibx4`5mDL8dqsf3Hkw;@-#2qOiqyff4VNKE zE6V;ac2((jp%&fb=&EkT6GL4}tHGDSFDvU0BX?sLn8TRD45(0-9G>f|S3aXTU2rlL zi!;>fl}f3!oeVIIbY6?J z**;%ZqO6Njlwb+)Y#6rhSZNt$>C|5S2Q(Fn8|Ub)Q_x!7Wjq>1@TLu&BMI($h1?o# z3aZ??e$P0&yHuvgvXDLoluMGM$j^06BAqh^fu`LGddQ;xGiUp+lH|&H^NyaPi0r1l zOB~ZczRaPA)4HeK-`)6L2}i`1v6qKI`-TtW7(gm@>n&&Sm`U5xL&fzoC4>Tj&M_2u z1P#!Tb?9k}S;K-R*!?d;_FCYJ%46!mCXyG1c9dIbYHv9HKxHI{h-@lPK+PJIwlG@T z3QkbKfwHFd(D9*23W6qZxIx5{^NI0IEa)7IJwsAY%xM)bOY!bR(>le>vPA?C6@#-R zNP%BL15zz0x28yd3>~}sBGG0uJ49-K)~r)PgqjAqQjZ#+`wCx3DJhsjCjrg*41kd| zh)3fQ6C2<%h-W#5!ZyZA-ViHq4boKhHWrA#IW35Jl9?c zCL6p0yAIle#Vip1c9PaD1ri|!lB>9lK}9qS$T2!?gg#HHH*PqzV8kR zPL^>Tr8@d`4W!|bAt=3FuepymO({c(fl&-QEkLoIMHX}FIn=1|I|6)X$j?1LWVK7 z5gO9S97A=Oh&l7fgsOQ+i>^$zQIJG7GLG!aY-T8A<3*btOQ8f3f)+ZGlJXSoN_5ql zQUbsh`d(|9!_kkH2%puqd{CyWe1Kk!y)3&N|}lRW)5W74DQzYo1xz z{ktNGl!uTzplOtwU;#Xr%ccQ&z{#Y4ojwhgw&6KM=0l#XO!A6YlDmZ_N`F+r`xC)TNc=OUM-9fmuBwm(mG@s3aK4=r=|y zGBIfKLKVqmFDB#*mx5B0)@BFkgGLGas1Qz~KY-F(CS6Sbs0+8e7m7$;Mx|Y|tcj;m zY?J5;pr@dn^SO1#@x$8ddGxstC|Wf&C(J81>A}WR)Vk6)#J)@^rdcJqvYleJw-{in z*Ne#)Xu#8c?QqhUNW{Hl76MORAASR#6xTYjtqg)FCc95h>tzyqQcs9LARvU5oaqIh zVfYI1gV{f1a1ALh>vloNbbkD7Tl7iD7OEiJ9f6TVxS&>H8{cN>+MiUDjVv*Jdz^US zXTl|ek0y59ge})TbDmCKQ{bSy)T6wo<&$pH$uzhP(Bb}G#w$D$i#)z!yscA2;Kwf{ z_lUO!Ng6q?#k-r*48 zr7PGF=KE0F%f&K3X5C$nO*N_QJBX(TJkWcOF4&S@tsf~a$omwMY1z~r-LsjbZ< z2jw}*zuowy+&f&8GPZ;Mj4It~SAZb$|8}t~-k{8?r zCIkHnQUj`51r{oswoA0FVw%FsG(cl}Iw4j)VJZ@vs-ufD2q9`c%I67bK|@()Lc|%0 zbQ+iw3NBG{HWUy$a*+wpmZ$_czY|i5GjKz*RUm+qxs$XTne`sw+Om=jtYQToxVNGj z$dX~}5MvZJfY8F5RUAYMEa--{WKF=akH5@HkQ=ldt9PEX2|N+&!)s6r-%$1p9h$MZ!Bs`uBJ+dTn9y!tw zM1`YR&NgA|6ZC)`OBTvdmW|8^j^MS#c!4BDTQcGMu{kwKsgIaB^OFl`z2SFA;WEn! zNyC6p!M$+AI&KC85%K*N9} zu`Nva+bO&Y79k{_vqOwbowTeWO{pPEOaw6ez6#^73Or{^W758?-zX^yH?*3!T9?Y$ ziO2)&m%;8z*xBH!)(CAkLzLt{f!#z6w%GD?d-6 z-6t%^zl|HuvZ6qQ%R}U$DHRk^ag~vZe$pYg3<+$_EEmkWx<@S=jj+5Pl?#`dUnYxa zoVbA)z}uL4D%BJlR!ZjChPnUwJBAB@f z;$_Mz4?9TJ9#Hz8LNyr4K{e_B2yGLOsb?_4Ru{?atsNRtv}c1jk^ulCila)35IcbY zqcg}Rfdtdi5{OT*fv0(TEpYUUA<`zm(kK9%v~qH$;c!)xdr`=;rYYGN#atkvtT%Xv zxV!k%@j#3*4ntiR)-l-#V@)hsQC2#1?Q#Ex14*x6cUh{1!}{eg(dwp%5o*ixz)J+0W? zwhmRBSS0RMjkj5qx>+5M*;`5>b-3C!f?MK}sd1v%tOJ#pwc34+S(U>ORkDzKp^5#i zS%bn{fz{frun8fzjTOt-7>ybz(OXcc2+)Y4eZ<-2(V&rKT;<2VScR({(_E$2h=ros zwb2ReA`gYtiKy2nSmfLtgx#@+kDb^HVAoz1yxpjhSP3wV6}w(g->H?yT@rmJZPi&N z-QJ}aUHz2_BI}y9j9i`K-tkf1mF|nPCf+6NUp=Z@kjAGO++20t&hWkwmC%VK6+m933#%go%Ik!^kNz%;l=ge1|Qz;Ac%G(;w~qdh8<#YWa6$1mSxV^b^v10(6dec zK5hMB*#_ce;$0Q|U-l_BW&jQ@AyVUC;3fd#wT)v<{@g}3UM@9bCM)CSFN{tW*{Big;LD=X4X22NwTcTQrwym7Hb|MqL|+ZjVJ;D4wgOZp7pN96UM@}KCCA}) z&|{7^@EdWqwW_#uQ}!RAMGJ<;1#!7U8!1i=NKIl zX& zJm-bVmR5RbmV4-PDQJdnTwaIhvd!qmaS0?SonCh~1Uf<|WMCCW-0Bp6IpIX+Dr?t=Cv?b6kC9<>q!>-kjKOhTby(*+z)o zUFhhhs^Rul>Q&+CeyHkRe`@vN=_TUo#!}f_zF_8;*yfDlRh7jBj_KWq>rQ>^MyKL- zxoSRxS(dlo)}&dzmfLO}>t2q+N!4e5jalwxXVz|6zQt$tnd~;j;#HY!EthMK%G++F zY^KL+9hKH;zUN5}NOmTwF^d&po@8;8SWvPU9&)TQ@L=H20*OIYZ)Ic^W_*5HU%-sKr&Q&7X7E)U33 zyo@@RZGlQyxVpXe58&O}9gY%iZrj|(F<;IJZayPo_TvrK<`ni00lp7!X8i9~Lhnxb z4c1u*9usa}Pb@a&Z=N~he*q=|i!IH>ZWiA#166Lb^(Dz7)FEu&jk)c%1Kh@Jx6P_= z=ERQW-0(hrGZzP1j&<+12k1u@TfTW#w*%@G((m5|=&u**P2=&Fm})l`SzZWm=N#}I zf^s0bVLgHlTj22S(--#%9H_8t^2G4I@oiaCC+6-`9?x)y-R{2O+3vt`{=xC*Dy68D z9GRSD8FwF7HLV{s34oMXu%P5$Hw#}nwwEs*=!#wAJ6!9Uons+gxSm+3$&=F2lF_~s z#u60M;PhLWP@f2NNT*CWoLr|tJ`YQdGBO-ZITaaR9i!-+Nn}ZXQ4M_woJsj2z>OyS z(qJOIbv@RW(4}@T zwKr3+L4$UeP;*h2)K*_`OCffuH0041o!@8Q&txEfSeqE zRXFzji(fyW9uibPJjnC-we|lICIN_pQ z_sD`M9$h?lVtM3GVE>&m7oeyn5_%xl8p4@m*Pr?+XR|k?GKMTNr=j|o&MDSWmU$hG za%|+Q<_U*<@yN^dBj!!7LV8?yX{WP#=dr1mse3=J`p!*yPpltTse2DX3SXQHz1Map zy9-_tdUrTrqx6p_jr(^}d^0n9k@b3Szk5K!{8N&AXT;$D!Tbf{{9nX+_sjf&29K|y zd>7CBwmZLXpnVUc-??`&Z>4;d$IeyHbSKW=l5C?-#^kTq{cp>CsXcwa(*0lGbN9^s zH`#s0J^g3D``^N)@g)8}K@^`gdB4K;AISSB&3>=r;Ya5Fm$d%DA$$Mte&6W*pRD0; z?EWX<{xa+Oujn3Ur?ZAi%~$7oHdTJ->w0Gfe}B+<@8o?r5C{OoY%muMhU1X|uoN*G z!3IMJL@;nJ8VunE*jzMS4~UJ%V&Tjp6#^PXBBBTs5)BuHjijSVIK*!R7!1bnnQXpd zHyI0tqd^2>Ng$idr?dIR4q!8sOvTe#y$W4Krp+eQnwaK`JfBb`bvj)(k3gwTsgo%D zhOsIc3~W`}47S;Bv`8dZDtPA4PN-aEH=Es#*9ErKY4v)P()EVE*Y0-uMkfJ~uTEsv zaj@1XGO}I<*jN^5X`I35?vOYv9{s4(V=DOUCZ1KQvgPF(%~gJSG#d@216Z6^Spwbf zX||iV#AgJV&?Z_e?4yx49_9WHRl~VVvmo+shyt4P^s}B*V2r z8OP!MsgULhHJix(p>jO;KOu9J?WCx)(21lj)BK)?FKU__qKT6H8NtwkWE&!od$x~; zfx74$vrePj|3L8Lo}WYz>M0e#VUs@!!tfAp2?mg({LMR#uyFkbLA&OKhLP}b9!Jsw zu;RDTf{q%!%9NB4Gmw*&60^)KVEDIzAuma^sx*#DQ2Yr@sWf1k#;z57Mrh_nG)-O#J_gXy))x2o5eMgW3B$_1O6A$o?bDQDHtX|FVx zq=mI?8aBtRVVdUSsNtDpa-vCk7RJphTi)@$q{v2dOz?S~1;cOqHk}H(945}~@4>$J zx=Or0!^G}eH0fP&T&FoWZ#-U;bn^WtBhmC7E~&d*^DDOrO;htepGnZKWE#{r4-*sZ z=`SdzK8XASZ@UiUSo`?UAYjV5QJubzyNYBR0x1%oc?!F({l5)ek0bo)y1whZ2z)RH zn{Ts0w#6T!erxdfB+?JvRXa@Qr2?dUq6)Q8X3&Mdz-<4E;je%wY5|ec1W=Kw8%73D zq`)W$Rh85YJZD-0ut+Tf$}w<%a3TUgxDNkF>Bxd4^>!bExMW}qC`kp>wLh|YxQUb$ zFU4{iLbse(AxW-+u+17nXk-ha>>Y)Wb{v=}KM4)FqBM{)7axN%X5rjXHs;LX!^mF- zVLU{I=He{E0wWk8i6u}cIN%XNEe+!_NQMU`9+dEL6r#a?jv&H0!{U=2 z7(7Db$U`*9qx5!@aOM+8!>|kr+=q~o zjIG3}H1=VshgU%2R7km3Cn3~!mNIroM<}Heqx_#hQB2XiR@ z$EqbmlT^r{)DC?Rx+g>DT=<`+hAJ28K56CQNu_f*mC~v)NF`~Fq*Tn=O!DJ9X6$vK z2k7dbiK!asl|X%y`b?J6K0xQR-l;?s7*A-qI}TM)s#BqYpg?5;q#aMGlxl5Nlf*}2 z6=6IzZj#mNqeyF2Qkx`k07)|8KH97*t>i$ByQ;xl6LgL;wZbjRYWZHNtOl?Z$`)8U z{W&VFU!@ZUo!5xJOJ`-0j+E-UR~hMLse}NnwsgCe)4FHS6+cJR+j$#rgZ!MzuXN8B5s6KPGky9E|t8cS<^ z?S1yVwNC5aTAgpLeYGUBf;1c&iEwZ`1*sN>;gPgIe`eMZN^{c-VLPn3NSQ9G^>+-) zS;stZjvm7pbyd%NIfSK6bHb~~4M~h3Now7T#F)^<-Kp4r(e1x67*vnr+S5CT-Z`Eb z0wM#PeQ~lTHLaNQC1gBdekpD@$fjb-VO(%#Bd%7-*P9*XyeW$Cyvv-2RE?BdO-}Pd zKf&{mjS$nFQ?rZd%oA%mo{7`JjQ4D@(5Z0NkI#Wp3y)f^!O1b(C$qd?Cpau>9k~zji~y zD-VKX4bsoOj^|mb(~xn!;EY_f7sUc4=P{jPk#bggiel`V@oeuu5OpXk{GvO~dQ6-%m)s_mlfy zm#h9CW%|7roTs0E(0xC@$EW1#>tEJ+`ETX`2fsnHTB?OC3NL>hSj8LeEXnO*%!vQEpfiOgd=&b~>&hXGs zhY#RmFywyll@74hi4g2r$Xbigd}An~5HP-iFv|OgB=t{*gKnh!ZX$ulD-P}`RK-xx z6_IZ6je3C*bmmcM7%x8%ae#Wz{C%-_{B2Zr?pD-sluFTa7{*N*4QU80;2@0U z0C8^fai-8mkn2&xXr>UIk$oAl$qi+38;-(F%?}(;R~GMY9S(lNq%{@Lw-yoZ%`s-n zOs@)zNXl@{AMBj~?3z(ASi~^g%hD2atj^3)+{zIJ59P}uFC!vx*CQ`2Aq5=t5<4Hv z$ii|ZAaSzCY*1iPn#847Ba%xa5>X>kO6amz8mHSKat|fXa@@z>+Nbb4#0ubT(0O2D zeJ&E*LpXTi+~FiN+ozUzEv!By?tNvH+5!mLN67$A65T}{d&%bEBozNKzB^~O2Ev#o z!!*N(wD)3|Z6eZua-cI}-7MlZxN3IV;|ndKK`rC_ed%BX2GTpCLU>KgBj9Qv(*B>5 z1SbVZRM9$BpyUkT6d}S~1OV;?1x{5bnmm&o6Jl=@u|7Fc`Y00eKO`$OQxH(H>Oa!S zEt3*4a`=u@Mkb^PHy{)YKvYz-F%t7OR&zR5aXi^m3o!FYv%}Lc!ftIdQr;kCF5=xV z0_=K|2q)8rHgifLQ*SpWyrZ)%H$_!9ku*(FI91U;A>+b8q;4mpdQkJr0rOgK#I~}t z%`Jn(AhQmiWJZDW(LSq;1_%&Blk{yW{*;6WCKCSbaIqS625lp>M(qw|ODf)ERz~uz zGL#@SGn|hCDM2J`j}s6=MfX31sX(UEd(!rs^Zhv!`Xh3boAdBD#?w9J-#v+?J;T{X zO^hZ2fVgN-)FLoDf@uo?K}Tqa)G~}ea?DmJh@pbxN8+DIB{DxocrN3XedS~(%{m6o z1OZg2FCuO_vY0Zn88SjQGLyLy)4ez47d%C2N6#3MRIWgjvq_W&d9uuZf(1pCxFQt1 z(lm(KJ;SOyq+ou8)J0UiOEk$^P3Esup-D?m zTSNIv)ip_J*e^m0QRih`ikDZY%|Nx)UIj8i!;GFa);GiJTJ_SKRrOKzuBi3%UbWCJ z^u;n_fmE}1O*4Hn_40TIR64cXr(kj{b*doCrC=4UTgk{l>f>9MDLV6UP}UhUl~ZB$ z|6(=IUggrOb}vXqP@%MCV|EQ=)>mdWS|=4lJ5@QKjX-W2zSr#ip^}@o{g=5CGTBL5-l(egMxkyYRq?L}UC15*4>gp9`Hg)Z6 zWJX-p&1zJ1aKo=-)~_tq>qsILI79$eG=yi2Mgdm(L_!fjERxycDt&c$xM;?NF&98jWDqlB$#ddCbT;^Gmj88kG%hzF zAk$lP(-%85$zfK1RMv!6H5W~n2GQ-SaPo;uS1ovt>RtBfM*K2gQSW4HsbeF+Um#t^BD|ePnQ8%?^A}k_w zuRzlZC719!$3aAhiA2}^e^e$gOxNs<0s-h2z_;HLvN;nu9ju!?Ym;X5!JX+YUBpA~ugErnZV@@?Qq*wHpcF_OZ2jFbmN z7}z&tkwIotk9BlM`0_!=k&B1lJnE`FxdJ9c07!VXg;{b_Biu&hJhj-wLQAJW*<+0A zFn06wcURY!FtKpc=tuLSM)_$zVvh5fs?9lIBnObHgW`>4GGRql?-{@$1M4a)LaQhr zoJfhBk4c?_mNRQZHf4N1qjx{5RYbPwpA=*v;y~0n?jo7;#Er=<`UOOb8JyO~pRV4< zmG(l~23__McUR?l^$|Q5?P!7@Fr^|sxA|-t>!ZWDMS0bsdJ&&1E2FilKjmY6^K)n# zF;yBhcq7J8x&x)EK({(>JeB#Rs~MsC8KO(KrZ6?I$hVAltJcP@wp_@Y5wXhSt(yn3W%k+i zsWv+%JWQFcbiBl@&$IT&L+8xGqcyGCwMdMavewivlcOTSpRA1AtD6`%TOd-TE^(Z8#M!Q279)<>x`w|;cddpr$1nC?Z^>ueQt zU4qZVOBs9BcyjqBs*E}=jLdXIdfBEl~QQ(s+A_K9CZTfsVR!r#;5zn z=O#JK&?dWK#2j9ebXYB;HN+xcg9@q10#T*BnaFAFq9xhN8FR8no<*^z#}t>$L_f@& zW;)!uMSNO|+)XgMV1I%`3sA>VwSORlexr=$%eIRM!UVv!i$mr6f0dh*%ke{$S-q6Y z!*{WMygf~ffaIlcPK5WW>s~M*aQkb3WqU8}PvhMdH`vVSP)ZJt1hSm(U%PMRqEC$~)$o zm-L?bdr6A4mXWDLY2g-IRYwT59~MdDuZ}OS=ivxiFtC%msP4| z0xC!&d9E5EJlIq$2h(GNdNZSVJT!GlJpdtH7ropG;}%oy+5ul@*sn7NH;0Hq3WB@1 z_we*}TfY@@sHVwZGG05yz9ZagnzUb3=>`VN*#k;*TzcjD|yMkhp6-l1)X!A(+HLI2lBS z(lcx#!D6xuMv$?9$_qmo4PZlMF=WzS?Hv^#B&O_++{FjHLax-3%#6hTq?%vA0m1sp+97^I9~ zAmYczBUuj{m}9_VMi{*}2x~d#%sM#CE|TkPn_IQH{Y)dF(#G{Epra70Z@=Kl@I1~u zgOvs2h&kFAU3aG^>aXw9II_E8i@LzC4U@vuB#hhm^S{qa*7l?6s(jwP&J*JMxeu%4 z{4fEUJ{!4Vh-{Cbh~z;LM1iPg7Y7TvzZXUc%NrTSC^~SwL~HWKvxZ^1{I!N5n$E7N z0gQhjqRkXt0;@rrCaxf|9Egbq@`A>gp)IT0x2&N6BB`$N>$#eFHsk}EkRNm3QB zIU}-41trHUYBHRxYFY<7#4@@Z&4!oMav3JU%!rPLP18vxU}%!XgRJsOwSg{5jEff` zxRZjch0Bbf83u`sLxtoClzPE1D<%Z9har{j!68o^lMXDBD)E$5&ia*uy*wV@(8_SW+(<7#u^tmDIL8aGu zFW05Yr0lBHhQ+2K%zG_s`ciw22Fdh_lO^jUhrJ;??EbK;GG_c1O>0j&DdWkU45cX*L-h1GMB-!LbXT;`S6Z=kt zh>^ZW0G;8h*(`9C9K*P7SdyELF{GKvGH444iGeC~4r%~GW^BTpOizdIiXJ(qD+!#q zHe}E#@FL=o1Ier=j1K6oL0Gg}88kDED8d`Phm!arj4g2Qwk48?dmUjkMvIVs7sK{{ z72{$#Xa;fzG6K?yP7H;Aj2)W;CQ~BY{8uItLO7)IK$(&&MrlCZsg>zAMqUJjWDr%4 zNmP=P8u!AKMSEpz;_mO{k7PU*H;iImwLBtgLos&AAACJw<;AnQ^?3Jp*Bbi&w7n-?2J zD%owyZ7FW_%i9%L$ofRVNVuchb3KbO3$2wn9Gf-#PDD~naUuAA?AfcOKd{al$PK>< zwLBhO5T)F!DE}Yf+B=i5gq17Rj!Lw0vy~t*^|FX$p%C%` z+>TNFGS@uVHcX=2NWxZPNfEIbZaUxmEEsIEs6d{U&oJAG5uZ)TwXr({q#J{H>{su8 z@m|q1giDH$@`|SR!Ah>CB#`CqNx3Smyn{o7bY3 zPIF@IuR-b@g1}2oh0n5S64)GkRTMBVjiPd@pNNlrc#hm(qXN(FK)+{ z6vp$;hk!9(XX?Fvz+5vM)_W#*cD>_l)A+#9JQW1-1cSwQ-b+u(+Xm)-G0LbuJ=%Pi znDKSjLXv$S*Sv~AI9flk`ENc^^skyo1zwCY-O*y^>3ZFpA5H9gL$^rYJWCLQ)cSpL zf-Tp;({dpe>|LAhBLRB(1&2-RQXjEP#`O8*3+V8S$*y!K4Rx~DSNyxv%Tgt*!G9f= z@|`#Et`DO3gzoA7&u-K=XXw@U4-j!aUHLtB^82>lLZpLvI7?i=bKk%d*+1K2z%v7& z>ij5D`#@s;uqsH6vqrEI`9O0!uZ#$j@<@xI(U0L_ml+|g=&nHlaTO`BtvNl6XuKP# zPd^y2zGJ(sA>OZ;=#1;LB)l6bNbrfNVu*W}H9&~0;eDLDPdxeXm0TbYNV*t-q7Doo z8{;2~IebO|uPt~tI51VbHR+&J_tHS8z}u*fVs z0IRXYjH2npxc)?O*}}{)#F5`bdk91-IEu*cl`Iwrk=%=1R43@u3&9qYLnR8~eL9*rKM;wi7` zQNNaSg_=tUA~CB;>fonX(GMJSicCcrv`)wTSqW@H5A>3#`t->g2godwGn}eQgkZCA z9gQr4n7l!!Ss)NF)v6M|uxtn`$jBJTmMm(#DNMMCLY5IQ#!J+?i6mt->~ghRWC`p< zMN^ElDoBaxrZ1@iwfw`biA|6z0-pTDAkdBp!4WFN%!|;=DAM6f6Z;IZff@ohs9@ZX z@i7x1-K%1ml%V4%qN&T|SsM7Vrs%n;^vtl(x4r1J%dt8LP`?W_e#{ixi`?BMc}J^E z*p`}`D5%K@Sv^eHqc9Rh3G6h^EbE++L`=xiOZu@(9+->B%s-o{sr5Cfrsg z6e^1hVN3zwPMT3m`pYeeM9B*unX^KZyb>_e6VVb#jTI0v4HOmS6~;Tz7AT3ctM!ri z=#pVU8vH4bf?)`pN-$KEQNXzu)DKVLPl+(cFjA8J%Mx%Ld%o*ox{CPj52`L8knm|X3uK2s)X6jjM~HtZ%(nM zH2{f`_^70S>=G>;RwWRTddtVdKQ@y7kmWCm$sHbFHMJ5nonHKnTq9XH05~M1Xo=VkJ-e6!o4b3O?2GqMvJ|D%C*m3 zg?7l>9W;H-#x>Jj&D6zW)YtvaUAoF%1=}qnIoQ43UKP>TbI-J+2wp|f-Bg`kMc&h^2Fx8G?J(pQLjg->7R{AmBE+}ASu}euS%5EgcvR}?bkRmLm2zM)Yx~kZ;~uy z-^Lyi!wiNQ!;HO6NRlLb+9X?A>|2W@RHE!HQcBtr6)DyGelheAmAg@JNn+yi?eubE z%CV$XyS?mS;-cb$KXI;W7f&fDL^?^%p} zz;^iIa_Z?hSq#C^St%JYXq>&qm9oyVVXE~7_qylCC{<&xXC7FJFq;gf2Cs{KmLE&C z^qneu^!#{S7dzu{TcqN6Z_x2*U+oi_`60m(7qNzjeVv>7BR{Eqy7V%x6LZ?ku1x6G zlWJX%kX^laI+~T~;MXsGu;s#l;BjC3?(AOOhs3OW{b#IuXZH!L%d5PdF1)i)_fz}u z*}1+Q_IDEYjXVik`_4m4NP0~4#o0d6E71eDe-yopIwIm&qIUZfO>s{0fP>R+AGi6_ z^~A@o!m~p?s*Cmrgzn7jl`iSKT@!ktQ99;C-tpo)ndkWxxZOf;xqL}9K5`vsbS3b$ zy3+KMVA^aU?>2)lh37L(bp1Q0?caCsIC%;Nj)pXajpV5ld&A1W_a&M1(1OS7`qIDl zZyvqH;419I}VVOOUCM9bvd1pc{2(g9pzGw_lwYVF>)_DAU>>box#mS`(zpg1i{ab z489Tg@$%l_yI$Im(6L>5_HW;ZzhbmqJ%$cAM`~f7k#Wm^6q>W6=Ds#P+c$l3Uxe^G zgENs~&mZjzeAO28ZEbjwMP8!b&Z|OJYxTFeD*J&=5A-4YxD|Kn(J`7r7QCmXUoav* z$Om}N8oke$?_fN7nwjuq#&P1+$tyVz#`k4*t__yEOBSCVt@WhZifEwj4t@RwK-D)Q_naI8g%`?wRRbjuPkc);1HJt|As!G(p3BwqyJ>CIl%wD)ASaL@>q{7 zWb_H)u^9jC!M(im?HNHYXzBI+I2pfau2#ht==50DXBjtC&HmfPuVNM|*1uP_?=twv zClgzm?jsY&Z|)e0i~QUb6e~l{5D*@%zufmEzapRqr&Z;+a6$3G^R%>-g^0F~++)}K zvt{qq-W#Y!lGnV`&x_0blxA{oCN|aDGu!M+WZb5VV={_@wEQoOAOqtE>mdR{5q6Kc96C1QF?{MiVg0ZsCkibale23B6i_UdZksFJ#+6d`DN_LmnaX`Ur6=It-H7@w0VTGVQCyYS86>?0Zbfx^Es-yQDR@S-Apu{eQUPZBLX zX82{>y%EGkwdwmai<77YAJ=%(L;+AhbMv8ZUYX%mt?yK$@5|gg;1=sCa)|!q^0Zaw zw--iV{6`Y*7Ojgp9slLRmx?;3yzQb@^|Z|GMXPi4E4|-ykI>gQeAR3gfBjwS@i?lw zO~Cuhjz@P(?>zpBYcHI%`fhdZhpiLr`rRLFwMEC-A2JO)Hg{_9J^8`);>X=vRvz za&hMtoLmMG+)nBbMau}Ko(fTv+=5mRCP&ReMvV^ z5ZWs)Younv$n<=RHG~guf$woFAAUK~^f)s0Kw0u(;^25h{Ucg3cbBw2|0PS>KJp@x2B^6KJ0zZ^dLxJb{*2wq8blgnKegWz) z^yrZ~JkG>^Z3%fa&fgSTYb2IAe{fyKgk>JSE%t=X!;I$b;~IbMzkcd7<&+k>yncgh z7hW!Gs(wJSFkW-g@Wx;vM&ZC$zeBN2I*V6e3O!#n_DRfqzr6qTfi;_)GQb~81nP%V z+nmq>&F!xeLyqui%bLjU)$xx|ym++~`{P<)LSfHoM?LW#(=78v(8gDKPQq6lwl(bC zWZ%n_JPGfV2O-ZJ8OiPys&i`qGYkzB)x?Rp*>&f}1V#4pC;H2pu|nJAZN)nq4OCg7 z+vQTNOHI<$dppx^HnVN{^ebirYOvL6!Ed2d(OQ`D?yk{YL$hG>iRlWUawlT*2x$4h(jQ+`KjHlW;4x1FGN`z2{r) zWrIQE^N(xx-%QlM+IeVCfVJz8RN0X3!p*9KwqEp8E&-(GC;LaX6i*af+T?$OnDX}}_eIoUYysYARI(UCn%kG}-XHgf8T9vE!Ekrb_8m@yXo_TWgCc6A; zJNe4F9>e;JK3e0Ux3w2H&R_DVd!dr=y-1Qe88LSL8f5lC{+n}W_#a(9+owH4&i=SV zoDUzp0~!o)y(wO%fqSbUxYypUP_An9&_t#==g~)SjBo+?(P!WmFl^jYd`v}UF6Rfe)UcM zJ2?FAWH+k%drCvWOJW)vx!bArwMmjH&jjkuc9I4tfA5E=JFi)c@Yw}ZtET4@Pk1#t z#XenC(ecju_z+b}KGB@|ye>_78}$75rXz!TVIvnQZhIj|+oO%BV~WOs2b3K(Eqiv| zT-=pf>UQ#y^j*OxUZUn9jhCA1vu*B~XGR!6Bt+xt9z3-5+L!W3N1ScCmOsOhO$(_Y zrgc7ejF{gLDb6kCK@%R^LdR%Wv~-8e6HSwv`4A~zuW4;tn=#7=tEnu)yH)f0twkfR zKdZgb@%G8llYS8|HXku;(3p_68P$D#=*x{g^U=PPXstfF98u2Rr%0ESR#%veEwod! zMZO9rAxiM7u?EkQ{T#G<-@3KWJ6T8wRV69wj>1k_dTh;kpy~0!c$THv5#j!u5t# zb^$*Kq`(VyD|gGTy4us|s;Av8k~P_|g}=nXD)iDZgid*{Y$H*pQ}MXk;AXNMdBL_T zvsNs6YmS1A5BOQ=g~4F7(3_ju=QE(=6_m7IxdEt68KgWXH%0&a&ef-u~ zipIWU&Kc*MY9W&8N;KUE2Z=okd%T|Ii1D-S&~vn?LrGPXFh;h$+RJ)wlez#bM zC8enQ$d!ZQC$aeZJcAF5X*(igct~X`ZEmTQAeN(OZ`Tte^zd+Z&qA)k zh;JWO*9h0-Mb^fGs#=fXYM$?Rdk}%hYdX3=+g#Vyq45We!ryb;S1R!AR66~I{FQp1 zyB>M&8l*Cm$;*t1lFR{o1LJ7T{i-I1Fu|BYd8uu_^WkLlRi6%-r0%;(&#w%IpX@tK zyiBwBKo@`KW0&wC#q+cON9J?gey;1d;cwkm^#;is?iMD$a}aB4y0oF{upMKvs=RAI zPucC#$Lul9vLumXeRud2umn}Q^DNMjMtD8DNoi!KmxA=zV%96SMxLF`N*?AUjqeko z#l=;|QCG0jukO`7nsNS6cRy25BiZL-WlQ6eiPn(GP@avIS$E~1J!B^xrZoFv@*Vc< z9V$fPF+1b-@;|vTmQ82ux$)M3s$LYSATd>4^{Ljh*=>wS6Fey>aQuvRk+yV6IJ1i1pWWcB|CVhQo8jv{A63tqr|zt^*kW&+ZKOpXVD?KbzZ~{ljf59Nbz}yepl*_D|C^2B5&X2ojr-{ zt@rmxxL;;{;JI%>pkw=kgWH6L)*+$w=(%;Sjp?aQ%dUK3nHa@1A}%egQo06Yo%|B&PvYm*c|95oJ#ltZ*zSAacyQ3QGH<+WQ08UMZ8wt zeNU_)*#4SIyx2^Q@*WizD%Q6v-%b6g*OZgm(~as#TdO*8Jup=!$sm>TUL~-Fxb{4n zm6Trr-un8jbwFk#Pq|}28&Lxs(eoBnW3OlX<6XG`G+;~38Iw&(TdZ`v%Pb|ELpqI8 zpW{<~bgql5Ww4^1+O&D%H3n;rz`996M##aABRVc~LbIxq3aY7+=4p3idD8<2Cyt4S zYpHZz3ewOq8<#5?K%A;dz0=*2C>c_nVBYn5Cg`FpPtD*#5X8ls+ayIGQA$xu+I!7C zoz$a8s~lkFCIU(QZd{c@{*oZBw6)M8P`isj;xM<(V?nV>Uam_1L`gw^5~SD#RMJIY z7ZC&TAg)13dpCs3MXb!B!PCs@pKeTou7t{D-k>{&5MnfZ;c#QcZCInI6KoT#E8@M-C@Pg|&p2Z6Y#rV(!lCB~c3Zk5A4&|*4B;1Lm3 zWEj5DvZL8FSY!jLdBZ7RBM8KZeTY~bxN+?oGZV5!YP3c7{!LRuHuYncxp8*>G%M8% zuw=CN=f; zp0kE}pL-fibiYb4IYi10v%x}KOuQ#YzEPs2!Hva)hB%FeI#5HjiwexHoxQc3r0zaT zo^akEaa8M*m;?I7|4} z=Du^ud+&LR;Cy|D%#Bpgd#8?#TO`AwCY8b;dm!wR-6mAhaEoBdkj*(D6iq!$#te&n zJ%+VFTwbm>!62?k2G5)c>Mz%uz^{Wm6oSr%$4q+6HPB)dteZ9q703}v4Q(akZRUBK z^S3$V?sB3%+MZ`=9ME0bF%5M*JB~VlJ$+m!k4%oB;YY6$+^IHd0})c;#`*UdQerVC zX&@*qLI4g@sbJ)??GkT*a9bfz7tF_E8!l(R*sYNE7qOD9aRnKWPdp&5@i>z}MnRG? z)H%U(t59>1M$`R-X1@g22OzFjv63#lGAHc{y6DB-Ahal?V831Bn}l}fZP*^6MxzMN zgQhY!6FyJH-K|fUFcG>N8H-=kOU*AD4>!ZLg4#Zz&fEXe0OjMz8PpO2Wz zq^W*xPKbQ~k~w00_jAI;;dBHBGk|AY-mlYznu1Ye@f0kENXk{-R+=~Iium=6x3x4+|yO3a_U+$nzN2uT1?~v5`R1n0cNv8~2k?G&1$PL; zt$uMA)8dBG?v11s=FwXwv_|hIH~C~vkc9Bp=&i8r_|FyuzPNT1p=Q2JsPm3bVp&6< zgqo9`sHPjM3YA@Nv^8DE$;J%MUok52GR}349=#QqGe;;mP%zw@@p+F0=JP%~?rdCS z!sn9-6F1}CT+Kcu@z;}lRGKnK#aE|}k5w&fR8b)&ngG46xlMXEmrrR-ermHl4s}fJ zc-pzaTn*}V^{7Sq>jI)V4Q)giU3{)|T<+RYD*k)msbjoW#~d#5^Yo~DO`fOX+;-q@|Zp%_tZ-*1|uJj_!@*$*F_udMNHOCAI$BJkt<#kaihPv{|TzRL; zFLM=N^LN>loif~CoKWhRu&I)#GeUc|Xwv71?gM3*+>r-~HvJEH*JPXYirJu9n@~_c1}-nFE7^w8JmOHgfy4{4aXW&lm7l8q z`lewK)MT!<=o8j(1XovEL{e+>*e22<9^bry+)M@AJs)q_Ceb*C=k^IO^VV!xEI}{& zofr!|+22*SfzfcW;^M`GTOYa_FTA?6Ek^mKmGD??(_&eR+3BKZ?MKE2YUB&h6H?|5 zKs#!q1xkGh$lh}%vx;T{OVT2CwArqib1#gs&m$8|%GZ8cV=C#nu|eGjoow!g7s%b{ zi462iE`NFB0il|r?!IqtD_t#WJJQ2xAC66m`g|qT+`Rtck)#@xl%vWr4kT!GoST|Z z@u1K|zi?u-%O$+|H@bGJsIn`AAi+pOQed0CgS}*&;!C;Bc>bkP5&C!A9SH1y+o%Ww z5V=5CHY&m{Lma3S8rh5)2lW8}a43d=f#T6fs4oYZ#fiBqgYfj10s+pnx1$vUN&gCAJM}tXvH+Jm@=;+6slmJ zYQ~+_8)_ie-ILnyW`A2iS(=yU1Hn-|n2^R_woj|YAAU&os@XuwJqmiy&wfN|uA3wk z_NWY`JtGC3IsgKH^+l6dk{OmI$qYpjxoRjgPt3IZw0(t3sw%=HsaRi8K)CS;HJ}hz zI<8k?SlLMmxh%)Dw1YGZZ7=sr0qYqEjA~)!BS)__esa!{BUL!x{Okb`<06x*zpVUskGfn^w|+r~Q*u_N6DO#2il*NtZmPmCP0`6*{%w zdobe^dq4|zXgE&#`XFzLsCG72Xt8yjAzdE&v?Qej@@Bih7`pCAL>o41`@}=NcY5P| z=(>c`)>hVq(slxQ&8Kqn^IPWcw1(9LO`SPE(Q&)I!AJ$i3mLPmaz4W<;2BD9G37>A zxfV!(s)*Bd7bS-xDorHW1$H=dBk93GIPV!-ge$|iOIY&Q&RZi_&8~Af-3oc^Wg+=+ zePH!KY327!QYqQ%;u`$GR+HhcW$t${33XjQx$0g-;{(^wgDKLG!y#MV?qCx37$jG_ zrQTZn?7gYr+?*_q8^pSzC$;f%P_uL(@6>(t8t7Y}JYVUvPNF-(*8*geF1n3Pp36qI zj@T>dTb;}{k>lepy``opzNJ^zsz)GIa_#NT&7w#|r(VOg-ulpMolm%KcU*Sp`Ow#G zn;+A=hoIJ)qeigrklo8&C#9;nuOU}Q)5YBLuvW5^v%6nm%fz#BnJM1tv4V-m_FHfc zdBKw&Igxv+KDSV}KQ3G_F}!z5{e`m-R?q&W={%%WXF7}5&*4GMDAy2SyU*uvPIrXqH~KG>R9t;0lk>Upk#x}G(ZIq7SuY|xPS{GaT*~k6 z^bq_UQM%c8-T1AmA4|se&jiMd9f3TQy=m@V-}vMrJO9neiDp@L=3p!AR&C=K^!X!7 z*DHUV&a)n(?stA-3YJGe9Iu0i4RqHXh4`^uz}owen3Sy1A@A-$hfYy!P5%>8LX%_P zt2U|Z&YDLPOyRQ@0aY^P7uG-NWrff35pa%zwyfvOvq~|=Gp}Wj?W4y{*?hVbME|IG zWZwVDFdh$ocKxA5pWkhxho*cQbN8GVw+k7a(J_zY-=c6kZO=~Gnz;eVI|Ck@tMo~G zHDD6OE?n{94)(<6Efc+`BQt1SFDyK|oA1$WBQ>XWs=H?{^mVFP2s=EMiEv&!Zg*z; zn=fM<`1Sb@=qdYT-ou&WF0bp;iiqhJ%T^zq&w9{`(d1RfpwQ2*_`SXs#Z@|(D)U+) zdE1X2`y$uhjJnG8;FO1bnJD~f3;dyZ_BRoGMdanUmPUxmp4@|}%9@+m@IF*dy2H7< zup7pKPlWupS2{FSN%tm?Z5v?ELU!r|j43&G>=&we*>ZDcLQ(yi*kQ4W=Zf`BE=rVc zr&gAS-cP%xqh8rFh#`z5tR)gJhwEFZU!(9pFmPWX2 zz?SUeSKH9N1vg@3c(gZ%o;N-!`{OmYdDVt&!d>tep$iJv8Uh{f&z-u#CAhmC53cTT zz|6PwKBMo&a1ErwCXWv7F2sY{?=_Z#i-m5aQ^T}19MyW91Z2Lt@k-_mxuixakEI3g z&QX!NnCB@AS)hi5J4*G)Sjug7y?cn70LN>!C67mh?a5JYx#VfHz7(XLMcO@@_j*h6 z({l*_>Cpqj3jEU^4puu-KFP015+5E?JY419d30#dg2!yFyMaOHX>Xh9<8}ep31QYv z%7HI4B%ZXmTGVpOOtHp$&Ro!C4*2AEd6Yqb_7HCx2;O^lZ-FR(aDDznLT0bokwQq- z8Em7SlzNrox!2<`5%6dkt2yd?rPi^j@Vkc6pSG?$U21TeI*;@Z6?%KwsR}N4&fR;P zCe(Th*koAtZ~)$+Kb|kPyF((`?7QtL$z%hhbmyt)?_2JjrTDRgZxG?${Q5#W(`((` z&vq@mD3jWTuGJ{Hm_Jjm^=7mj_#r;mR=hcXt%(Z7N%l~8WtMzx7@ykx+-w3`NW#un z@0%pY0Z!-Af3s8ecM+NP=PGW^dH%Zd-#n}E@vAtPIS=+aoTW>GyE>cUc z^AESY<(q4tlHGTI^m6e1<8q;UdLEW8wt2WQZXPCBSLNwmW7vhu@Oz|-g_;$*o!N{^ z^)7If>}YY{EL=5`da3$Wql?FTNVz>%G9jAWY&QkTWiYjH!LMQldEc>&9m9U zFYxe=Jzb5w0+ZY+&u+RH3+=w>VSVs=(hJ{I@p|cnIEC)Vk>f+@R~dQApFen(g3oAp ze>A9PBwdYAb=a;#j?_~!PnPK^K7)Q#=^nS0VpvRJ&6!W-LI+jK*V-^I%-+&DW^$Hk zpb~1>S_xCCPM?pp7K%oA8K~=4Mmio(r7G`yy0K|=?0B<{z)cr(UlVxO@y`>{PBCY8 zs!1wE1Vvy6(}6}(4{$7VtMjQvLEGP}pW z$1|RMf8w#mHD94O>h7D<$|5y3({K;)5u7HZr)9D zV)kLZ{0o>t=?IxM*%oDTl)9>059RWp(@OV+j|hj7S}t~!CK&DxHc*c>6AchuG?6@Q zLSHP=5QjibxJ~F*6Rgno2+itF z6_YKRGxh_o2f58;znLjMhf60$kTh#ZVQ{yLqF!q{Fx(N=s!UVfObVz!T&RYnJ`>G5 zValr$p~Yip|7t5ZONoz1R;`1iZnjw<0IR`6(MXIG;0j(dlTEpnL{+io<5A>;hAYE* zt+~Rf(ED6)c|N_}+ziFK?no|`1m~yXe4?+F;g1DNOoc@63PnsSCq`Tk@>hYf1w@C0 zk`x4lZ;Cm~?wEN5o+;KPjfe-ifM+JTon`om*(x>i1GC7}p~bsP6u65+z{Sk9@RL$W zsuD>imuI(tI=e#$C}1&=xFA6avhH*wLHca9w1$IJq>sde|I68(x@K5DgcX=48ln@) zTYFS_W>BRPT-QIsT~!R7Ed}?)%Ab0wj3`MA-vs5B^1bS*el~7KJ}_)D4bos5^T)QbssEQjfd5X4#tDpaIi;p2*gkN!W|*6@ib9HMc!&Q2SJiIMtsXVzmGf15wD_S_2!Fi zt=s9^5~~?26ES-&;ecB7hA-)L_Wdi*axR%vz^|a9}mqAR~CHUz8zY~m`vI~On zu|`}K2~d(lj97^2a|dALI&_qKa`asr!agNwew4Bth%{#f3(bm{qV*BBx!NT-dH5tz zTuz2GE`@p_ciR8G81Y+ej&k-fr*mCWp(Hp*!8Zoo9X(EA|^_EL$K6r4FyT)o=8lPkyNEPECJR%}DviVy>D>jI% zG<*)z@1#`ArzJsBll&qY!RL8MRq0xiGHRi2=%!(vf}sj;*TFhve@SEb(e41zL{_1S z_#3km6NIBGz`m;V5+E4J`Bj?iC62>-KzD~1o~ zH@rgl|L9mVCY|!9sDEkr{BOQoDGI$(6av1~LV0DZSX1dS)F@gYS^uB%IK86(kEUT( z%ESNP#I5Mg{GUQ)rFop7`ZbLIN!*`~%Dj;gb1%pOeaTOJ@TF8pyAjlsq zaGWH;ISu>8m%|Fjnbdzk0hTz<>;r%+m0yu!VD{AT;HVIwwKo30o-zrg^6<+}nCQ!0 zO@)&S6K^1Z@3WfADtZW`Wz&?C*2pzTnyDr2nTq6fAzF)Csu5Lo3)`uv6^ZDC{9UF_CUb@Mv3m>p3b$+q?^Vgk4e+6H;_x`iO_nE(TiCiUmttCovb57)z zPq&uO=6)Kt>TC>wK>n|kRuu9^De{AXN8gr|Cbg)!d81{!$mOUItrQ!OV9(6Sl$0!J zhPAZ?kD`v5jYgXAUe(jNk~S**>nFcFoR8mhf)ujm^X!lGZ@b#ozn585`mw%Zh!$1w zU1h)L$^J9$hZnT=L$#8Me;y^SQhY`F`|a)jex~>T?QM}Ki%)(`?y7zK7I1Rf?sSwyp#tAh9E?EBv`K@p&^ z$Y^F1l?{|IIu%O5LSexyatsxUKmqK3-wFVV8Wa{pXR>JF%Wz5n{U;OxqtfZL2sVuk zg;B`C!JOmLrT+j16c$Kh1x7Q1=+rnUEP~343SmaG$>G5Ka}6Z3m;kfjXd3;ec+NBh zrk0Zh6oCeY>8J3Yu%)*wT5t$3iGP9t&MWQ!SIaj8q5(LE2@t$wb_f(kWi0)VqS1k~ zTUZo}OrbK!tS~5yy;}S-3nqX@VMfuZY&H!FL0|w6c~NLUQsEegz@h<*qFJ026AxSg z?h0Tr!>Hi_oWh0$rt&XTSRgYZeu*ap#$-`~s0>;-4cKlVaKPlDGlPL#PY-8C0oMRe z7B!g022Pr(fMXcsz@Lr*TpbMfj2_JfD5KecRZ+1_b~NBb8WZqwR0xYo{e4Lej0Vot zVT@>?Hlu#Jn#QCAQp1;-1_FombUGPe!VF(Q1t`WKvjd~)KQ+Sx1^~AsqsgqFr{2K( z5HdYzS=KTbPy|5$OfX#v6qxC)Ulz|?=FVIu zVy++pg640m-_#UZ42?6Vn9GieUS<%z%pm#?2GPF>}V8DfyXb^*rnyo(pq(?KqHnG1!Q-cvpi%7&0Hj=~F1&Ul=Y;qQ-=FDhd zp$`y2U?egyx1*rI6k1)qe}Yye*46qfvBoc{f?tyGTL2PRaIRPm{6zcD43SGK3)GT@ zs3pFrB^%L8Hlmj_MK9TiUa}FhqzLB!Y~#NyuU6TJSyBnRq!N%1Iez+WBVgix^5GvV zD|6sev?v-goXGh^P>X1Ygu_vA0ulwJe;lyF&;~fMn3U*1prUA*0y!~&90er;X%a|e z0EkGYGJyJlGJr#afPCo6qyw{t4MiHDpq%?K-h;m-g@Lw$!Q0xDM;o$!U!xL89fkVK7o#-lB91{Ky zJRAxOOcKuPm(DmG1`f=TKVW$DKjq@l|CEadoVr>D0T`pzx)9(<>}nYVIGU4`R>}ni z7rB}ifT32?0x%2`m~Ma20=0g%9S8&-4eat)M!Acnb47pkt z0EQv}S@jP*GzP_~WvgIlPTE}s!*XiHN*D?b$8nBNR>26X=ROJu-PLgb2qmCb=>q(s zBpi6e<4=CTPLNX&{*Zwp5D=^8J_-#evzj0JpJRl=!U?PM6$*<$pjP=AfC0~6uab+$ z;ee&}pSs|21mtQT03YFER{0NbJQjF#Qq8R^o3}2vrLfBEj_U32tMBq>mb#)6T HOUVBNmM(g^ literal 0 HcmV?d00001 diff --git a/doc/Components/cursor_encoder_module.pdf b/doc/Components/cursor_encoder_module.pdf new file mode 100644 index 0000000000000000000000000000000000000000..be0b244b900fd95753e87676a1228703b28f0537 GIT binary patch literal 242655 zcmc$_1yohb`#(%e2ug#19HbFAoZ!&i-JtZLyOBna1`+8}q(fy7$1lS zQ_rJ7$H8-?>`6v^eYE)`lAd2t=LG^m{G$yBl!yNx^+BPCzxNjq2mJ5j z41x3T{GDeAFGS!U?eg-%{?P`n0QB!=P$(bI-)W&Rh``@@fx-mfe{UDc%LD%hEdm() zzl{|P0!942T^KJM@)ueUH>9nDr5hnWUne$oj@munkAw-P;bHaD`K)QMSW;i^A_{_{Q zYO;j*e={yZd^j*rzgw@erIWRX4G78$0n6Gtcv!lDWgUDk(%2J{I(TEM~zm17=HNhG!X$kRkkwL6d2s@D3+pS4ho z9WeTM>UjGJRAfj-)vKc0opeQ*ob2AQ;Ii{2J!yX;?TPc?l-O1!Zz41>l(sEdy3$;E zEGkk+J*QiGiYBTpRBbVm4Vyz-b&C9AXT;>5cQDEEr6XQk1|F9DCqdsIuz@VKo_V~d zcU|CmUG(>m2Tb2j9{a^_t;&{S$~CdoPn(&DIcrhIH9Gah1q3P1JBt$DggyDeiK*jn z1SYzlpXx822##W9+plX?gl7(0cnEZDE|&5zqAzu|E0qqUXDK}@Ae-vt3x;uNi znFIZS17`VOETis!>#&rwgR`5K3)0*YFh41GUJ(5E?tx{2&H!by5D@Qg)+P%D@&4)% zSQZ9C{60k5z~f)Mi;99DsF~SWnxlvm93ddYuMPsm>Tb^FT9zILVBqTq*0%Ka03HK9 zlrjR#czehJ^7TNqEvE$mpT}2qbSj&&JlnQr+3s$pdH#MFE0S%+WwYOZtde-5eC_XnAoXdS@I(RjgpIW z*KAU-6b(|9DLq!FTCmjP*zMvPHdq%JM_7XjQJCNFaJ zIn>I`D<~_&nO;A@RzuaiYCWda?jUDq?A4ra2QVuF{rKh7~~snc*01hFkO!Q zh{*L(282aheYMVyG(?x}PH?&f-@TJMF{|h3mPtZT7jh!soMWnjRohF1caQy*&S=(6 zsf%d#F1+zKLNwg9!-2d zc((Uux?9+6qsQ&iRvIaE3XI5`rq9!e1OtcD)4zp0)ciPkf9q?$o+7o~kLie|DNhs0 zd;#M?h&hjSmS~5Fym+wL>Q@<}I}Rz~5GOB|z^oYl2?oj-msdpw?#uoZlp%}8&E~hn z5^wfI6*-A!os@kYh+2DXJO8N?I@6t4%CZ>GO}~HT^LFByqwUyz{bn!OK8WJIPXtW@Y5 z#s&rBe(KZtP>l<^h(&-8DlEI=$ieK2;T1sz_LRs7eh2B~g@X66O>P@V%9#xna@e&q zCb~zaiJQswK+*A1UE^GFpCXl^Uhh+Uml@fJJ0i5->&m*zB;lt5-nbfTg8oGiN#bxT~+yva2G zx%0c0&A=j4mZ8gCs2TjRk$(0p)1H2Xi_>UMQo_tr^842vbkHAq+~&1eV@kLWut_qk z+9=?N=QQGaK@0EBE&D%xU-3XQc_I+Seym)@Gh?NAX4`I2U+8ah#&;R`!GbYrmIM4j ze4_e+;1%=kf`^&QKv9v6hZnzZi0blodK_EKEu*ZIW0pJFn3;uztdCxWKHzc9U%6MJ zRVET=gEOcg>Ym|tTg+CsqC!_qk~=@*vnB?1Z99uaRg{bvGuiIrJ76r(qU^TkQ({P@cRzhnH%1mV|5 z^uIkD4e*DnmxHOPPjnJ+7vb%i-Qm6}mt`I^EH|x%viH-1>hWeBWo9$q?61?XrIjS)GK;K;U^_$&auGl zFN#|Ohd=jtD1O9k?V5NnnhhsBn|d{OFL0V#yjTzYvErufu_kSb-T5SD{A*;PeEBnu zlj87q&n0Yw9;KxTc zH*8GCJRSo~nRLW0*~zZ&n2ATBdMtrYlr$BK2W2$KS6$r3mFGjM3AfB*5_OF}z_v_2 z$-iKeFqrfD3PV5kpr_sOPFT?1!Bb36^x1_SKl`@&z$#iQO5CiIMmhP4Pm?L=$I~h8 z;v!NbIfDET%A>{LP>`!VwLMn(kTXBkfrWm6ebzhScD1sKa?TgrQ6(X`FH{iAW|sml zwT>tFSY)d8tb~b)q6T}e)?dYac-%CoT}n|@zhrKt{!STOhK<0i$o19EU%9=^_4+mlaN9NR@#ys0CeeOIb8vk7mC@VS^C4IKeTaE+ zLFad3$#w~ch)Y#)U`+svj}WW3v6#D8y>zW5BXKabMmRi#YFH;;SxAicbN=H8gZ5M5 zkCQ(w+haueJ)4WJV??tH+hOWXzU%6Gzgz@w-gr{TR?(M4&FRzTkk9*2kJmAYp+nRD z`t78pOso(}Bn7iz({Wzcs&gk4Ct)&ySiLaK=(z`V2ggxFMAW_s8uA+s1Ew?HvSZ{6 zAG0ULIhBv{dp_zu3+`5(W3L^WPkRkt%6ulWQY0Hgpx9^c9?kRgapUYutP{8BJ7rGF zI5GyNXeN8~3bFc=7JSkYnN#v2hxA^!%CMy7?G{dCRp=WPt?lehz6#%w^rs0At55ey zD|m$OVhz2kJ8xrm+U=L}mSW>-if7TqM01GD!B7; zY20EsKdoJaC|_*H4Dj|6lPO;Gvw4<0Wvn>74a0fwx)SeTPSV?Y}u5)U@|+jt2_+UmZ_F$d~eEE)6_+fjP^CF~cak#963FhLhvTr(q8}dztHN zCRMhc(xOxuW^|^3{b_f%R0nyN_z%%s4$F}P^Qm+N&r=!_j9SNsYKA^0e4SHynpbTK zKe4sr7jNZWZRnMr!1<1+o56G+g2-5IWk2yWcaK(m%0VFNn}I}om|gCwnO7Y1N!zO7 zS&TB1dc=1X{2I{iyW6c7zV6@UR4An%rF?#4KlLSw^D@K8%U`%aF}zByXo@8H#XuIt z*N#)nwu_P5JUa(whqfMz7KJ1?-U%fqKvdGQBV`u~xwwkl9+-WKAG&K8hKm99#w59F zau{fiIU03E)U=0V(cIh?NI8G+i>CL4g~0UNa?h1s(_q0o4jEW+t8{qe_0T#3y(^C6 zX_wSwM`#mW$JZ^4nfhn;W5-l)?^}W5n)R=RO^EAtEp?7I5neP3If^^zpc&qhv+j|v zXD{j({bo&bA2w+j(Qkcn$bYzyvzMp191;BN#S+c3@#Nr=gwgo}nh$Z2{DKt9h8_G! zy@jrRxjX7iLZo`b(WD}d9g&_HYfK}&){nL`Fw>YzI2g(WqBbu!7-lZ8zL~HtkMkF$ zA{T|AQxS9{86U7d*vF;FX|AY}O*lPPVs@V`O^3I3Ip|8gFg6K37mTMbWMZoqO)m?eHLrZ+^6 zbsOIDB*hl@qD-AV*+mDpbk}k!Yx1~(HVB#xGR3;9o=pf{r9bu4>i>MFZX{k&n+$)) zUsSXG%lf0@=v>mDGGIds<}uZ{IA@3j%US6&Wwe&1sr>C;8P%$;jo>$Skpp#<;|=l> zK`ODv`blLEw1;24E-{1|Y1u1oGWX&Vt-jzY)}ipw(b{&S6XM~dceycDHDbMttX|c? zjo%LPB`6ALQ!a8e48Fp{2rDwd;?(LdzSTabfLryDJQuRH6&9SA)E(k3zi$igEYo2L z8xtUbHRrRvz%ytCdjD}b9_#ZFnz>aB>P&^fP!k*aCmDH z(W2cENrp4;(aR7a`Wm_q`i!BeBU!Lh zJ&jLPlqEj$l7%IKf{NGFLP*!sb%U4u3BHXdgQ&a2$Zh7Js!sd*fhPL~@)mG?sG{Tq zL(zT7Ef$HJ#NxO_n*H*H-&a%hx)^46#ru%Uj-^6BdXM}wjnDBZACkm7{?Hg6h9N$N z?cNm~8_wk%S^PIAf{KFw=0sq8|Em+hQ`t&NwetKtFHaR@89~)aM%oPuqbSxIPoIY6 z4RmouwaslC8(mq28GKxs&)c26*^8^S!H5vqyN~rH5mR-^yVk+!iC|?Fo7I+noM(og z)dD27#^Iqy<82kewN_yQ{@Wc7p0>7Z#0WWAzMD!O3-}^!=`L%8z-;>d<*5M|vH>!y zthw04Wq%OLNBzuS@&#%k-tcfAphO^wW8FvCOh2OaG`PDg0>{m!=od zD~DVT&@UBXVjCK0L}QQ21Ek%Xi3Lc@Lf<;;X*EXLNDzMMEC&sqH7 zevv%~?py9BpL%abkl%hB5~AS_e|T<1FweNZ=kgxp|MQ}detSKfpDh#9+R9r{+J5JY zV~C`@%+y*THt(=dNSy$ANN$o=A(KUXqzZhg1+arm@ zlZilOwArs18|l%l(M?=;H)1m0OsW!`vIdma-(&E4Z0VA~=1XHLN?J*d2!W#zImVDEFd&-wg| zd`;>{ayb;T^@(Mi?2$WU?Z($Nvmxi?vb!PowA1Dx3yXaJiZN2O3 zov$#7$7}v+bF@ zAdC!6_bHHy+>(>%_whD!)At-j)4T07YyKS#$IA6Rl`b!1q-vDy_)JOVW!i)!vn*|_ zwUu^xo;Jpmm`uQDZAs)k6&KurjhrAc=T5a9x!y>880~h*x@%0kROdmRS z_lpvR)$Y;lhf4Qy0^^%@Zw%`0;T*|Kn#J{_g|9xJ9C88QYm^wl^ zespJ}%cnDBX$E6Ve=YgPKCW1U@4QP1=`KA(Uyj2l~v=3O}ghZJ)~(UjJ4@XO5+ zT>clzu0)f`)4Z6)h79P$AZO0`1QlgZ&A`IjodePZ65V`vzfos1uTZLg4|pa;=9iIv zNGHGDaGP0tS@D3yk&?*Hk#32J`We%K!(DH^b`@EHB#7NItlqeQ7TXqPqLun7wmDkU zz{8$}($tHKqon(#+-AN&u&)TI09$WZMv?hdyI+}6PK?WQ-)yPQ>w$#4zFiE`oA{Oe z`|bC>P9v<{m?j z$M~NZy>H}Xm>nNa6LhPBP1r?^;4Ek3KYuZOn18lUrJrX$oTuEpZfCMJ!+nnQiBKwR zdPziBKYXtr&&jes6zdTkZsV2kc1zz_v4HQrK(5DF>pTaZR~7GBqJvq=p@zwu=Q!*S z^zw#XiKu@BeMrl)A=wD&Q)=b5=PUZcX(GVGrylXysY~&>u1RDRfXjdOu%`sI^iyOE^~ zPeq90GzBpPqdD4omQ2Lzc7;%01u>4!F5BhTAk1e7_V6co-PF9bKMJvn2cHcml_jx+ zL#j;yU}LAM>A&!buH9}m^H8y0oL-cbkqelGNh0$@|L#NHXcA; z!rdIT*8$<-5dfo_M%@4v!@&x`-ixidgp;)cu=nxjTK+Fi{>uYG5d!@GGO7A~I|*!zup6&GM;p!ZfEH85 z*ps6_R+4MQwjROmT7<}9R_+}_iwJtw zKRlB0`YxwVpBDJh;+=fmC2@YUNE#wX#R%GN_&SiMj`h?n;m!B2#p#_N^U>N=)N;Dm z?&|rAC*-#Doe_!f)8T|>$?wP=J&}l*S##Yoj&Gv16pvQ7&JkPB3+pX{*9RiJ#?L$0 ziLt<7*&{*`_zNROT#K_6ehBp6rKO|+f zhp{-(pRo7(6;r0O#t~*l&QW+4s8!#n%sk0*-NsDk3DH{~5a#p*wcN4KimPyiP)hpT z8dZ&63YNOZU-mG|z*qGt#vI*zPWSnT9y^ZrKHEdzZE!BDD0T$>1>$fToJI7SKW1*e zzk8Y=wh_seB$A=eY&Zyu-|Pdf*=$BIJ!8p*`X{e7jD2Oiw9|fT zF0ry@?yr7iiZ?djBS`!-a%%l#Y=+5m%EL+-_JxcRaS+MA;!8uaz&ooFAmLkoIHp)(pD4L44f>-sn zuuhr}?6_RYt%5}5l#gAGy96dE-?`u579iH}iO}q*_Fzuk_}scCARuoPfQjuads6tQ zy)8y3xC6IEQc1`SFATp(r@gJ8X}cg~qGI1n`(5Fn>t$hve^_PNyo`5o+aHl3Q{;oT+7T!d)+rrPn~~Jf^~1STxwC4$ZcnzkBhFl z7jzIwen@OUc=)vR4AB;PFJO*-3xjZ-#pBwRG0xhA_Yp51Ync#yuW%@3k zGWp2NBP>xO{qTl7-y5ItTpzzRuMMV2FPF6|+HOOSXEBoPFyAosl26k#)?Abb6nf~v z+%DN@BSNh3jV|-~?usANr0hO*yZucSI+#x%i&dUy!HyC`HV=Q@=B#3tb?BPx6HA$& zKL|RH`uY4^M-8S_Z_xWis#HhGyn8-_>$x2NVD5Z^sNPnW<&yql{1$gUiGV`IzTyoZ zJ{ghteCdPkbFz1IJ^?KsbW~Mz4+Bo=VwB2XzMkmiONZfZ5DML4|e+vQLL9*8o3Ttx0gM#`@|bVOF2x=_wLQEh`S8C zl%Z{P9%!89v2ju^gdg zNlRVxH}{hkDO$8 z>It)}@a7d&RCaN>55rnb`i1t+mtLOZ_E$tb9!z}~MOtB~uk&K={yvA;m%4j5NtY5k zp7RW9MqAHcID`@9&602(2?hG!e%hZP`#C&$)GzS#+2HV`#Ch5RI;rvv@eIrU%E{?n z5(A}>uXPku+}8(qxCcE_%WsqRAHfrG!$vKc))24ubV!0ahhvjL(kEScQS#(;SBLB? z8a;QY#dB*s(3ln?)rf2HDEI_iRUVxMhp?4J%9nd$*$r;UYneS?7t+>!T;CUg4E0^h zO^W>-u=8YG;vlqqYvts9iuRPWi^*q3571kNqi74!r3GmF)f@9}T!PBUoLgm%-=mV> zZ#j-W+8DN+oeEsWDaKDQ|6xnTP;vetzL?`|aTfF(b4Pl3=lEgaDN;DHNEd6ib7|SM zOW66WBG|P=a86^WIifDULq%O3i;Q`L2;XpFh_a}0O* zvM;_7-}<{oXI<^x)fcB%1VephWdgw|h#O32Tbx&~Pud)qd3w#vMLG=hzj}q%4rgrJ z9f9LkX7uwy!fa3MB9q;Qai-nOv9>p9*oBA~N^?2(hO)8=Qks6Q5bnIyJ_-Fvh;L-J zb`Kq0lz~T0n&9;6=rr4%DbsOgKRih4h3@F%yeC@1e0m0{1HK)-Xvq5pW+V?BvyD1g zKu>a)e6ArQ(@zh1v7)~E@7`A;InsS0{Z8*D5qbDC%0%B1m!e7|cc9szE@r%Vf7-nh z-WGRs*0-w9hTH5vt7BmVmdBjdp4SI=^nKB7SNQ=ccdNQ0vXdNtZZ#oW9d^TWGlOy# zf?j2RnbrvVL5a7viC<2>nE#smb!P`l!!1S9d`^V{FE+7d=~SX|yY$7I;<`HjHkN+_ z{rnHH4FG5Q9oY1r*aiyW1973Sl|WF!2NK}n|9fboa$mw42#cIN0MM5Om!_q)rvvgA z><@@?V9?*g90Ay`j68@B@t1&ykB1lh;P>DM&d>Kp_=76`PxJ#c{om0KSjq_Q^Y%J1D%iMFa6z3?vK{@swD zSIae~d!#^+oWOGz;G1|A;EmJZzV+c?DJL2oTms}=GUje* z2r*F7dz7;ALp~*BUCxjV=Qh-CKiQD&ql)O4$nwT-)1gX!oaB4=RY=7K)8!)uCF0#4 z@xQ_}6*8G;`a$K);iVfzv%fug7S%m4MlHAjZ7)1~o`)13_)J{(iJ=4A)6nT_3dE35 zOK(~GL%nn)>*ndWp_Amu)0cf34h>Y{o$P;!5PwH&0Vu8iEvN+q|2wwrPr>uSfY=j) zie~{}+dl;_C;wl9mvnZp_&v6TA^@d9{4RL_toys@1^ycA{y1IvKqBD&S@4cDTpCb9CHv#8*CIg7vyOGgEjF15<9pXnbBLHQUhX zZF-hp+w`Gs9e-Jl*uWs~C-jcmS$muDY%CSlyA z`Z7r~m1t;ax~;ZQD#rIFH=&F=@m|ZCA=_KoR*$W}N)rIm{S~{1GGnkL(%te`<{v8! zwr=hoC~E=1U|?nBA9(?=mZzBq3eu?!fCYbPg|@SflPwCO3qk?Ye_z}9bL|7fi&`4d z1nb#ac-Wvoojf1_g8dun5%yX}AO|GN|agHQQSe|Y}v4up^I&;9_N0)WTBp!}70lPf!03hK2 zXc_@HTR4Cp1pwN>SRz1BJ^=IxJVRYDeqI1i$`1gh`F<+{QeI%pQSbksT)*g0G$^_J ze!&66B!V9fv;}}tdEkJ&fJ*@2K|lcD2aqGMf`vlTLIKgi`4NCz5vcVd5I+FXL;%2C z1kgS&fHDVw;vimN@tqe6pkx7BUf}D%3&42!Q9J{x@~b`o-H+-Aif2>`2e7}WJPZZ{ z_y_6*Frjb&tNAMhmd~Jk01v1#fFCGOFX}?^gi85PG$=j+o_>2D0fO?g9>EW22@Dun;9XSuOVj>OtpRu+MT4s2j|&c19|8e< z_)wMrXg)6jcm|v+fdlQqfpT6zvr!rk1uO=IT;~0~oFBm9A%L?#yaIqm0}HSS2#})g zfquaGP+k$J3$QGHl=h>n2(TD9pnWjFwW4@NrEs7R|FH(Zdf>o08aUAJ-%=Q04XEcp zJ*f5p)HxL32M$;lD$n=3CBcESLBHMyXkh?Y9S&Sjz)DawD9hmkEEf(~0V)pz_<#Xz z^8$c=RQhWyQBdvwAO3%Mqd)872S(&~Yxunll#cz;CMpj|57jdu_xB4$_uCtP)c4!n zAMgEly??y(*Yv-s|N7*A&i_v|fVcXq1^*Mm0D=7P0L+1vX$>PcLPtB7SBj>yU#|t& z7fGEInkLJ~Bo`Jrm(dTNwX7KBfY3)kants4+}{`V@h$`xFYuX^XD}XiD6;WXT{O7g zYcncDf2}spa9?=%oqy+Jg3Or!q)MG*!#AdJ^G%6Dq`KqjNY^(5l{PbqY)8F0BUqtk z6dLqwp0YD{cj7!wH`wp$yFGyhQs(lm^yA*-Z-%+XQG|+x^}QDjyJ;zuOwT@wF?gN& z@OM%Mn+wGk_%~zH+%0}7OJh}`DaGf6I~R8#EgL~;N00XQ%<*pdctdB#vohtB$5S3} zTLjv${N;SJfO^_#H0F7`)yG7{7bRmU~vwnh}J>o^&4@y*z3BQ ztJ!_GdrMViR)jb=Q$%A9d}R;5j?&vol(cUs?j=(R^YCr?&$42b$~l;3!USewi7Y>Y zts<@LD{%YiogCFS$9cQnL<>1Fv&x$Z>edj)bnz(p-mpUd8nM)dCFi_LXQxBL>1c#+ zMTiWzEp~I&{$ml96+m7c&G`H{QT_Q$fE(ZCkrG_y&@Z4V-q~NagGK)osSIOvpmyNP2 z6>kw!< ziM_3L=1rlr+Sj*PWyEGyu+(a`xy7m)Mn%a6G z+w^8zJhI(!l*WH5$0%^?$bNHKX~tkyE|=X`kh_@qa|Oe_GzF_F>*enc?|(U-eM4YM zafi+FT}%C9!)Ft^@c!d3?}C2PQOpV4t#(zX+EKf(9sh~X^D*Spk_H~`k`;l|lbXh< zn#J#;EfU3Q)mxRE>sT%29m5~hG#nYpTl>DNsY9l>_9?zfsNZ@%@hmeYe@tN|@k$a2 zS4ecHVqL{~pDzQx4l5?7A11q(4#sS=H^_|q@L~QsFqUR{RDDnJ`2b@Yy2@Z~cg)oM zCWkBbi}JsA^r)OC09N{+ z(-%*qiHx=_5C>K=*7GXOwkE!yC$5glQibcy+1U!ErahVFFzzx=l3#eBS9cUez((U$ zE0sp44N`K?FC?2#J-;dbFHt_~^yFW|ga1q>q;0LNEP-QGz!@k5ASQQ59diOcq+l0I z;8+w8LLeQ1oI7y744Bu0T~G(E94xIoe#^O`_9nqV^kC^`>uwKxPQd2Qz%d=LjgO0s zr4y09Ku$Ap2OR&4GH`v|s5kT{6 zyA$jL9Pb6{a&UG6zL{W4S5Kq^2*C@M084_Uz|vqDuq;>(nEQbhP>Tl2AU=MuDp(CT z*~SkXg#>E>$A0+{U|p~tNPrKF1e<})!4_bkIj}X@25bwq1KWcgz>Z)iurt^N>8AQ7pSSc)B~GqFQTUiU^G znmm>w*;fh^^zMd5IVkfVe67#>EJM?N>{lSUP~aSXbm-=;_5RGtpKLYkc5R%ma3I(2 zoz`$~F00_@ykpm81~+R=G4`xxR`)DlPIs4=*4=V4>^7aH6*1xbh(2)N8 z$ew9mtc;D4)HjJ}YA~pL4o6}*5>DqoyuJn zK5y77YN_tDyd_TARhgl#g47r0LIfv_SC9DT*PnJU9m$>ISP0%puJ5REy?OOkz0Rh{ ziko}@(<4kO2jhM5L4l@Lk3VNC-p-YjlUpiJ)eJ+Dj>cZ`+|>jDaWliiL{e-f^U*zN zeQTU`EfsW=olU}Um#2X{j`y&VHC+Bp!BLaz|Jv_HAo%}vzx%%?!BT%pMON@|Z|#K= zT6k0)C3{KB@p{pfLz;_?46+#1rAMU^QU{ZYweS^KR!oJD-FvZ3*gdU(bLw5Yw5R2J z9_(G+<6N7{%{Omo6!-KW+xBC|P_3DwGw+J8g+7;)8-D%nQqrP$BEBezoJEmg{$vpQ zjLuhahJ@!xz;gdda*RI6pkQ^Wn=Qi&Uz{`WU4;pViBq!iVW*I{UQP z+Gkk@%|j4@_qhGS42yMx*Q?eoFS^s3YZ{MMui|zRAazA*+i^PWX2@Hb9!DCx z_|Bia8`!=VV&*&jTSBW5uh$AKI1ly7_{H+299Ek3?8z0of<{&^ViN{&P4%^j<@KpY z7;dt?ynScI(G&BvqifVX!QC!bIaA4XGrQ>Ldh6HQ3SPrpWGlR1^6_APpQ-jgV!o0z zOlU7ZUL6fvJhnU$RzVz)GrbsB)JXg&_33ldtq1&|zQYM0`^;<-md09TJN*U|pX7sW z!%ENY%2e`(Zton-yUONxZwA8r@}h1fe}l(B8pmlJY$zXLBs_fc_$9Nk`5P=}+o6_t zXAOHzw#Yiq2IQEx#2n^b{XopgPiDZjw|%*)zD!J+Un-;8wFwN_ZQT4JS=;WE4< z^~B0Ge!Ns)z`8a@mHv%$G_qF8d~R%=dhP3sZ-N!jd6StETMhAg=(w1d!? zALMtBUfh*ub$_Z7tc*EITf)lKR^(hU``$Z{%bIFzc^<#9tvSb{NigPKr2on%mKZdM zEC!{_(9!tEM>u7)?>$u?|Evs~b^X5a>1+F*0LilG0o58glf{t*?PnVnV3+dZ$aT1GvridNl-hDuwr{MZIxbMy0?oU4hb$^dc($UL8 zoY!Qmp*{|&N_pJwJqZm4YSJf9IKydF29=4~VYH{+UdEI&A)nI1xblWbpxOQ{nVrG< zo_9ANnk_ucW@50`7GzBeHGO(NIWUfz%#fj~z*L@k9o+-H))hEUht6^7oVZic(zA|J zx`P>**mWbOTK2~DJ|rgK0GZ8Df_G!eA@%r{ij{k_9ZN~aB>2`u99OsJwM){|v?@FC z8Ib{;*iaU~bl zvV=d+S)KLt5xPH&ygaUv2{?uIg>vCJ8%rK|gS`zfjk5OAZCxpx2e;5n!-GmHE2b^E zVgrR6d+J#$Sh~0GZVd?Y<()jnT6BvL4Z@gzW72F!Hzg|M}njxDg-vukM3OG(~A;zC{U zw1!0Fs|5BxEwMIWoiPw_+1cIbmMckLKfrC@33w#HGEMjDE4tAjnGhSuq_vhYw=^8B zJmyKg#bx$8oAf8yYjfv1DeQr=>suKm*af9+A}h_0F$akD?nu#Kdq>tNCWktNJko$X zYLXY-Zo6H~{G}=^7i-%=={=JPHI!f{6&{eC=;*$G-4A-&WsK$(1WjEI%EhW{P`Wkx zVsDpU!6%vv;gO*e_?=#-n0|HeGRDP1yi4Y2KH@u_tot_za|9Vyr>J*%kvSdNefAw2 zx&<1ZYQd7QA6VF!#r|UO>Q+9!Ygm)eRQfy0dis%jysb5pLa{$bA!A84HKkoYXD?1J zd?YVu+TI ziT$dx@?tBsYG`@y=Zz<2QrJ?icb8LQiCI>TlVQ$mY+1s&eZ}KE(R{cOf;g%@@Ri$p zmvyc24{@*iU`maQ)61n3ekVGn?oIL0yS9Q0`fI!~Q?22j;4b*`4UxFG#U9_vP#Lt}O?uZs)(@D}QD@N>KyR2ys=L0>ndX_-G= zEm=2Sfun58@AS`*OkZef**-WVjy2(V?ESFZ?J7EdjKJ5GnOw`!*!Z}%UNBH!Y zjVtZDA($CaP4}VT{c;Xb|CYy5ko1qA^cEWnb4xHX75Eyo2q)(gBk!R4;mYh!c05C` zf@7j%?h#scrO(#yc&!O!A`)RtUc`cg9oD7P{Bo>mRwvD+8(KGFX_&abVB$$-@qZkG z7lYXsCq~l_orXeN)xyY_jJ)j91Iym#q!BiBdcKFx{}`LI+2vNde-i}0qv(c@(aaxuwP!ejmU*l zS;XGSO);j4)s@~pj%FWDa&Hg5uWf~UGu$-F=!%pw^45(sb)P&wkqcJ7woc57tpVv9 zz9R-kXZ=4+gW;$b`J%p&LEPIvyGISjDxmi-40 zEx9;{^hADxW%OAFHnQj^in_RZm);K&U6yxU-X!RXe}S@%vx&z=kS2DP`s*lOk1l<@ z4A5BY(6P*`bzr?eV=ls~f`3aonb}z3wbNO+M#}6@1!<4{=v!N5`;`a`@7)k0Ex5x9DlLX7{@w^CW zka+C<xE)fz?`-3RUrjg*3lO+9IB z2`My&V3}F24WIU0l8+T9Plk!qniW~I&6Bt`mNpEypJAkDBb32o&nukXE|yd}vVXl| zkF62qR~yt^;m~oUEq`=YIWMg0h`aD5<>GP36vuRTU6w`aCS{8}LX8G94@4@CbV!nu_hNQY>s*4{#hT{~UO@20fOOZYC{^iU1 zWjDvBl1qz9oqJ=L8Xq(`xAC$3>bnMUh5gfC_)Fy9c8czOj=EQK$_%Jo+ zYj>8&5lCI^tu9K(j`-*lE)o}#VYEu+5!~`ZFKbEZQh&W_Hft^-YNZGz$@~rZtNYj6 zE=!icSxf%&rxAEL%PqO?vPs*Hp9}d7VjXa`5848DUDzMnE7LDRiAD_TF;gC7sfSc1 zXSB4_Z*N3ibhJ%CcyBqpx}A$@L`%?q*>#~K&B-14M@|@ zx2Q|FQW@^|mD>4v%BhVZHy^{Lu-K`7ghFmN$r4B6N;Z9kDKdf#?0jp-c*x~YnmFac zUVbTw*-fN}Z7$rH?#s{^nwUJ?>ZWfRFZoJ7xQ5<(=nW(0Aqz;V>80ew1h%o| z3{!27J09__J;9Eyss5>XZKspLGx@PQClc@FjIJ7Sr+pcY?xD$Ynq@tCW}q}`%Xs|l zydbr&2Aj)skgZs|?_7r0@ZK)pne2mv5w5Xb+fc&BE##p@Eb&cPUg-JF144%V*z*s` z2!A52vU=>bb)ntclyDr4`Qtrfd4wPOnQWtI!6n}-G|}*a9~nN*-smouG&yDEvNz^a zk7{-+D+mnvN1beG8sXn~*vN|SZmJHQqq*QPIY%UBK3|HdwAk=!l@@C#SLiU;dGPv; zsKYl;DngPXU@L=ycG?grz>8Dr>b+JV<&sj>SA02b-{&2)DjhV_N=Yg#!OBJ1{9d(6 zCR2d%Ik){wGQ9bM8KKSQXU(5{$g3*NLrz3}YAqm^aaS-jXX`qfrQS);Mw>J3M^X*hV?WMAa zCZoYBCb8fYKDqP;T`&llT<9~`NcnZPu=`8n5Y zH>aZj=8rWy#Ngw@k{;NWbd?>pc5?O+*bjq7z90Dn8CgEll%biykC%EVIK&(3U%6+e zkGwIL4s3p*3eGox5=8Mvg%kskhL2J1AD>7jHLGa?X~h*$QtNx)WXg31Z>ZBGaab`Q>3tv>UMBDOq-@}$}6#x8as=UzCRnmjkB zDe&x%j)k@P2pl;E^gg*it!j`fLH*w1<#m!JpS-vJqz*tpc?$Ad9pQtVqIe7Ok`xq&%9~%KR^fq??11P>Edb8S+`=G(L?Aa9i?h zzm#7<`E(?1O(~ArYuOVliv`Xan%#cKJq0vmsJMIh%-!=S@2$TUudVW=yMhinujj?6kg%D zQcLy+*bh5E83fsG96A~#q8bh%@`v%mx}w)5Tw`G|Esh4aPB4TEfdL1QCn>o%dL9{D zRS-sRU`stBU2hDrYaWbz`c1V_$He_^lJZktZyI(o*mZ<%ny3g zFOZ9vLzI#*Bws*Eo7IEP<$aEC?18qbed-7E+CaWIWeGcWS-pAg(5tB@@&~93^;{TG z?i?p}AhXXn)l!ZG(c{#fnQW|=spfiAf#R%V5U-Jx$ z3QXvA5=9I?!Wo#5W&t^XX$t91Hu{-_?340&xp*Xq;< zNcwo5zog|!t?`~EPa5eqC>Z;)BxZeZ0_OJ613j;@jsxw_WDA4iX1tG=bQ}ts!#`Ra zt8NufsmK~&cjSt zYWd=47~Mf1=ODbfy-Q*shyRVisDt*&AD2^OuI|{FI(NF#_b{AO=exD57rYAmO6)3y z7`R$JUC(u5U9UP1RD4eiO((^%BJDvFO;M}^Y>-Brv|4F&8tHzGfRIRqYI1fH+I6#g z8ZT)Q`;zdeuHy~@-|PasVhfs2V4spsUINDbHQ$Zi-TW;S6EL=8C;F?|qi&y|g+MF& zBC6M5J_5)p2@hT51}z(4vp-5j-J$3oGf{siAN70+fE(uwS0Be6?LJFkR;Tos?d?|E zq~uYc<2*kVWDCE{^3HRT*rbAMKG8*fo4G~wc#YV#n3qd$I&e-~2eL#5#yYZD4bQu* z6c5Akl#K{YgXHgpICA4}*tqs4LSCckyLgPHr*HFhWr`yWGu5}}R3q6E7of)50<2J5 zr%U5zV>S;-^AZd6Z1XXn5GEmeZ!ne;3DjI;N`HTAMmy!Z5<2GLM!F%ALwiu zh7z^0r1`Ev_&Q3C3;72jrU?&=`1VA|XA3d^+;~~+Kk9;9aV1opq8+@YyBQnjZjiRBOm;8{@?4YIy8 zZ?L4dV7mS=)|6J)HidZ92 z>+Iq~xJ(vQYH#Kj;xd?Td1I`p#- z{La(*b^8fdxI)WsJj8fyu*$_n>L`UrPtVh{k8`5g6fqS&Jb;QeQOuT{>RjD*i=*1k zaXUb#h<02v<{1*BL^|t0GQ#xYVib;-PRIgOmfPknNdFezrVANRx-ORfew5>)0pHK< zN0UYC(0N@@SnY7kifpN!*T^Hpa8O5}!%6t$OFr*tRbY*D|J)M`B}Zu<$cMuuhLsDA z#!tcFrC*SaWcGNt%!qrpB2T4G5BRzs2~FO31g?3pb#aGn;EHLhrF|jy<`M&8I%l+4 z2CHENsONv`aj%M3J4)SLKJmgoR$DOUXzw#PX3cbViUcPK zN?&?S#t^Rqy3lHy1`uB!RnY7q+;5L$9JilGQuz-bpehl5Na1%KKL^tmU{>YZME^lu z7n9$ynR}P^8+7KkqV``HNA?&T2s5*uVyUMe{gYJ9D|5t(De zQ&Gv~Vc~AGZ0T~oZB=DRl2Ks`(EnwnEx&_xJAZhPG@oQvbpbWiv?7dn4V41YP5 z;w=V0vxg+m0e>Emi!lU{4T;eJGe_I3(*ML+FJhI(f)(b{u56#{kx!LWn=gkp8kgM zfA1Y-{f-3tYwP~jI?C`}BK^;T*0t538-b_PGQI}Q(y?29Ry?*Y_Q{eo;%h*7N!L`Z z1bTCRa0CzeH{TuDJpNV!?t{NJh2Q>zgLO)=HbwLbhuWI9wr$5Yy+7G3HI*18r<>QI zXEfh}Hib*~2d9E*S`DJMjepZ1hc}a!np3tqhkB~_p9b^R2C$Ej2RY=+v$;iHi1Ino zd-(9rN&}#C%Moe{!Rc1vpWNONz5hS zP9(H7qd)Y`$!sw#eEb=LaeNnPn=Mui2HdB41b*7&xG1{UCL|7OJ+j2*qxdCOn_FFi zCsa$&_klb#oEy_Ar3LS{+OS2bFwZ6-eTM(0YriqsKdOuWJkb9XtKSyv`&q^Ru5JGh zNARCI@4MdqPkZ}6vFg9%?cXZQ|J7Ceud@sP8-D$}Uj6oE-(ki7ZTUVAzN_TllIr_$ zrf)&{*TT&BEhGPW9Sh61l>DzX^IwMTTb=&jEPsvr$2@)W~gHSf1t z{mv8q-ZvcI`trZVFnyc2e~kT0n*MeBuh+4Ci%nLBziiuI^7Zd#?%UY??f&=nvi~JN z|81WC-EEd{jruK(zrEbIb^Eq?%-?qJI{^D{){W)c_c49vR5O0tb(X(*I3|4dzohD4 zzVAEym4*F#%(s7I{!5g8um2`P|1x^tev|DxL;G(c_Ip45mEZiooB#jm@$Zx9|7raH z#s2v}z5idw@_YGr*YL0YfPciL(lh>_O&dYCTCp=KYr+6m0aBR5`!U!O9t;anvleEW z7k&D#OI;#$!N3neRd0Xh*q^`0s;_E$tjlVu`O!ZCUB48&o6a&`E+Wr_tkmb7I2|8_ zS|bk7A*gJeN#0=~UcZM|f)r}xGfoBFPN@)Uc^tYiYprgeSa40Dj$?MidV{T1Gp%jc15vi@6CP);5XJ8^ zI^>gqv@YEUh(E~maM0iU&Xo3EL5GtD5^`EnUqv8^k1(e*k!bQ|rhRanZ{akl!|u#> zP!HC1f?;gyS5ej;=%=k~Rop_;ye+=A35>Rj0w)ijFj*q^R^q#sV67SK43&PsfC+v6&<~M8=9pBg)zPT z*a=sQJRutJ3vjQv0(olT&TgIuJqkUhcGxsise$!Mz)enAO&|Eoy9H!L!mI{|u%9|g z-AEQ&(n&>wrP28>Gnde`PRm!>Kic%6pqCJc_jZr~ByTdSS=^~?|KRbGaM}-kh3K6Zh;%IuaIbAKrR!=i8Nn5kta7cY1S`!=x;-fFk@P*@xNc#%fo(Xzu2>N=%o zUrP*M1vG$7w2+X0h%BEvqW+Z`Wl1tmW-?W7grn0}WawiILjUaf_A<0Gn9)4M^i;`? zgj2n8P;uO3xf*_$fAn19Kpf}pvSS6AVN)R1Qr9=YP4_dWqy1; zI$103NO9}yWn?=tK{igcRKA*%AN9$2w^;f}D+Q>cX441pKYmC0S7XXQK1P_nFU{~jGvNOvak_~4SBaEtmq00@OHVD8tG5#c zxSx%L)0Is}db^c002Y3z^dfato3v+GeioNr8)l4aqm4XfMaqv^m0ecT*6(#t&3krP zv&D#Us43>majJ7l-+2}+@mJIj?JM1pBG5Y;sz(oLq$K)6=3b+|e(f|7w=3hXoEFph z#KG#qgdM$HMBK!vAr;V%gl=l+-B8E=%DK`JKh7Kr^lE-5x_+3E_I92kQ$W$*WC`#T zYL4ar=s^TAXx@M-CJ3>l#qu_Fp*bY5p3Z0pH(hoO6|g*Jy{Kp?ipaszZQeGgx$IKb z__;NgdH#HHB8&p$BODoS7F6M@GJ^<&#MlxVi@32VGC}UL>9`CERzMSX2i&T&uid2FF*x%c{3cX zebZr_>*zhbkeSur!exBzDm%D6p?kc4Fv5aCKvZnSb1x_gIpp6cHgG_FO(2U3l3W~H zz&cRPUR%w7)`*gkfk0x@i?ds;Dh(>F)WBw?9h`-ObO5g|?QrZZ8qn!=!AiB?ol7nu zRRdP>Y~nBtIJ8TuAlgoFlu3pYZ3QyQ}m#cxB8t4 z#mo>k3SN(R zXK*cFzr>TJH-2T`O$X)+&z4J&;%FzH29MW~PPRn(CT=KzJ8a${;g!Wj#r(3n10?M> zf3T#|@PH0#8jhJ2(BKMa){DKqqkL`EY{4d>LAd(fV;(PU*RVy1r9L$ku!?qqdjL~S zMd9MxfyII)174od%|kq!&e-SiSuh}NhJ0E_+GT+|J5i1gtL)1RC`%SpJLvOGl95s2 z0orf$V~1n!AC`$)$S#KK%@|n*{?-BBZ6hYQ?Xh`>wl3-NL6(0;aihy;Q%?0Ak&FFn zAdAe9TJUISk~ZM|hJnJg{YX>AjghKEHnKS;!nkECQ0^c2u!D>YjVbdXHTR2h+6;Ca z){CEDorkgTZ%TjSS1z;-c~w4~#!1(bBM=nSW<1Qw_4x1D4C+#@C{!3XX^SCn2HOoZ@ib3U3=8d%pNlxCjny(CdebH z>#U@VneRH8I2OG`(o9p!+ierNLKyESguZ=XT8l7ion|jgy1a3VnynqHpV;BOC)rP_ z+R&BL|IJ1+voZarkKq5_AoOoG(inE& zct@y+8MNn0=sL0HbOc*CoZwlhKlL5I*z?BEM!Df>(q~R~jYImn4nmn-N zMra23ngDtXQIj>h-)kU5prKJ0`N34xWGSH-JTog=;0UZJg|sHc{ty}jsCO}#Kp@V| z0)$63w~*YkbNYiFANyvZODH?9GER#@PLdqh7zkNAu4pf@W~-0o(`O)oer8O>Sk?07 zf*(C+7>KTw53=s=R$B`c_r^uHGTWn<7M*rPrI89_uB)5lt3a3wqiS2&7J;@uQuYJt!^Fc92`*E6=+~_+u}&Zr9i_PcAvb~es3+0{G57J?29q9b<#f(% zCUoA4W0{3(jRFH=@bcx2Z=MtuN+AS2^{K^*_A89mS*ZnHNcsWgF<$&)<*DhJY9S5~ochBw#0Qm7pvx(riD#+M$jiS1)K zZS!=C%Xabknw6##7($IFBior*8tD*OABbs2Xl@TwRgYPoAPOoYu%|g_HSuoO(Va#9 z!L3)sKWXU=D$yV?NkxfOHq8orbRo{(s^A-GbQOdZE{Z7WYw6)n7!0t+P_BHoxv7m zB3x_$)5QP{pubRKg5a3+U$fK%vwskr%`pMj%z~O zj;=hn>krJ-)qbB)ZyX>qfSpg4B~Q#!oVOW=#6KoQVAx>NI>D^uZVgoF=I5Fs-hZYm z^_(>atE8uCG5oik&}#~J;-RT|=NOi3H?hOOw3`(Gu@p=Hm``ALX-!5jp+3F3x{>f| zR^!Ou6nq|W)w!GOphk_C{mRQ#D-k5P?2^rdVGNkb*VG78xZKm#oTn8<#8&a%vPB!m z&@Rljld0xXAQ5Z=$GZF?0sZukPoOi;M{ZPMR0HxYKGC;|)i_U6>C`HsJ#o@D_N_@( znT=ju%t_yg{KV(uN*wXqj}}4u+CDi>*7DhqP5*E;@LDikSD~!X z0f4Iv`+5sZ}GF7$S0ghz@s%CbujUF9^&u`A5`a7r1$OSChrr zYqHSpa^G0PEe)zS^XLuYJ-=k|);PZB^VI*17xXZlzr_%VFZnSS>~ z(bXW22|@F%28!UEF{7~ewZ7Pts@XXv#plhqb8laD<=dz1mlzRYXe#q#UanuM!>fXi zF8jN=p^_2CfkmDt&SP+Bz0rq2+;K8$F@(9~ zT*1jM5P?ZzU1WYsv6@OrPh&kXF-4n{aLkCxB(ut-*{{wATJt=uik}_;e=nQvSgmd^ zfeCIv$}C3i+`$M>KUl11bpixC;yvCEik+;n!8|39d-CMaDEr0(Fkh=c%f}tmYfy&` z4Ku-V4x=bs1sSExk2@@6L_L3qjk{^`7URex+nSbb#oLnZ=UgAC4MTMnPsGK zpr;g*$NFvI4`N|XMKH``y$NMoEK&x#N`yH>xe5k(;PZS+WB;*e=k#Yi#=w=t&K|8c z*L>G4@CI_Xl!!y)+EVb=74?RA(c8a0g;;45poh$r8jY%_YBy1;lqgGNMddYwFthc; zJgs*k_mTviT7htT3f|%Xs&t1Ck@8edeldwPCpTJZ@oc>42w`9Lge?cF6tIV~1tO44 zHKWX4dSzUbrHe)y%k~C?F4~y$9bx?K*(eLccwHOXvfc&8=ODvFJ!-4A`Gs683z_4o z*WqmTXen*;oHzIuB8!dogVE%cpD5Xh`hJ0X2LZ*LMd*cBRnf9Hv#R#Y0L%AopX}0k z`%azPd6F}I z%Eb<7>tpa|r3X>S*Bgdn9ZkuG90^{2909=;P)g_3i*o{76fwWWuU1_=vSFs9@m${D zR!4U^_Fy*&#+Nwjs4MYZXVIlVjq`x^>{B;3pv$XrL%sH`NY*>~QR&Lf)}2_N4LfVj zc*73jdX%E``3G;M1(qAXP$rhc!=@Ixmr#&U35QcZ4ADKNYi;Kzo(L9xwue!2k}v8$ zmy4^)t-o!E#DeJcGYbpC;w&>fi-hrKbUPlbTp04VS4SCJBDj^J!R;@(5wMoV06X@r z4?O&=S%}#wU{n>%2E6}uPdajQumrh^B;Nx$CZbz|Y#vU|Q&JZv16TK`w=8c<2BVOW zI!Ejwu`xC^D9Q#_^<#Xgi;c1fT0dv8$rMR}Zf*VgYPg=0RDBn~o9CoC14+l% zg|jgsC3zVtZ96?D3+u?b9hVHmdyj*vMln@4{{@|Da$CikWNaCoyw+qN;Agmi>n(Y;bLz@o0+8UVzRbFE&;W> z#s-_VEodKjB70=$t&ZiEZ;OnbH_;ap17D~j-AJ^=d&qVY+6Ry(~nTXTZ zQPYdDQQ_rBpLLMM03&=N3Bs)-V`uL?SS+0oaZyF)^#_^u5#L*3BSdw8Y&V5#g{gFt zB&tn>4mvAk0Q`vU>YLNyv_5=XYPsaZSs2~aXOfc7!9-|Wm_Lf=&MCzC0CcKJ)1j)? zT>fytYR2qdgohZ+ZG%a034c63!pKiVfoeQ0S!?sV6xF#8gmR)^;VN|#Y2Qv2jIp+M zWdm6lj&Bzd2hrvqRES4CFt^{HY z-Hk8Oi>c;b6-WO`TON>s(H zMsxYPrga&6g9~-+M(Lo*moSN3`&vaaUdVZ2<}AzfAR(Wf1O6|1Ah7N`OjuZgpJA&H z+$!k{s6s5Qu5%|swz<$gjuYoRDrM*(V0$KVXB79k*Er5@$VYX4$pktHhz)pCN#RW3 znLV_a8Do}K%17pOZ|;KB)_s3-OI($s_kO;xGN1x8{D6%;*Q<+} zB28|nmdlmjVKFfuY0_X6BUS1PjXEh5lnu5_xbMORTycu092V7ZuSVU5YH6&JZ%;XMUBvHt2GHV}GIf;P?;|=m z`CE|H5i4mi3m36mAoVE13?8*ibB3li`?n<(@%)IvGh`b0)z9~cf~5uFof3_NnJ~Y> zvorqEacYlV9nFUIQr%UV>njiS#3O#)KpCMo)dh|V>&qf4;XTFvkxE4Bq!*7Z+UTF= z#ja@RM?333hGnFsT=9GWJ24u34}+M93jnC)?4pfQeup(=j$VeYkhu_UPwB$-bHbBW zi-}HcniG-Rt{T4`(yBkMzkt*skl&KLARE1<#s|@5pj?Ec za~YJY`snqa9K>Lnx;lhhgz#uNrz)Gt<6)rOr6MBoNgT@NdCq>NPd!~<(z`>!?Yhwu zRiTj_WnQ@1}y}D7Zxn;DB#@cmYptrr5DHm3T10>JUdv(Mje@N2%)nyfB=2m0-(K0 z1Ob3uD*|kDB2#hrzSJiGU!4UYMUp#zzlTT8))s6K5?DS>BqZmb2H4%UTbUR~aTSQ{ zhP!cA$F2lYF1Gx}u*lj0J|QzVD@OS^k&V8gMHYg1JI=w1^%T=LKpLPKkS>j1iUV#q zovSm(#CJtOr`dyjEyf7g5CL*lw2M}8%3~CRRbwEc3byyPLtO}sD^4JIxLJ~TFJ-_x z10I`+10Z#%{_#G*)L*JU*s*r7>NQ$i6K!S^CIh?OX3qGW=J@id?KVS`4+bR?V5JSF zKCa;wPwi8l$9Kh)YblV+S0sFKN9MCk6|BUtBKU9vwVNn_Uvta7aI(~O@%_@?GN5)O zMyH=av3S^i6cS0*ZH;@_6i;#eNRLzIHy_OVaR;}PhUGKBzYlR|O=I2-x2}gcS`ZUn zVaHHi!Z8P4rG@)oQN9^pj3F%qL-|VWLIs@$8?|c|Hdf4N&YzjY#5E(@U9usTH2VNZ zdqQiV;Cz!_K&9?!s=$TAWSSj&VnW0b%X(f_Gg zAMQ``^`HLF`d41g-%ASL`9=S~dVSOQfp-c~nSIdH6KFc=yBPfG>kUkrWG!&f%w@!F z55ay;qIeaO$F-m4d78VaXuta`&m`k82LWCCf}~zhRNY_}6cJ5#5?y#+1fcF)Bc`vx z+Um&a|G6e8ZEAK>RVs@$M#>9LP*TQ{`$%knH}P9=u;REZuec2TiN$~-YN5vPwK4?m zKTb|bOLwCR21!W;ADNF|E^d5TlV$=RcfWRUP+tH!rkW9K$kJD0N6(`XQmZ7*-$|l0 z(|m&g38bt(uRKfh)mQ=Z1g`vRA+JCQ*p7h!&6%iqtYJs_Ec_W~^sv;Q@Ma8tQc96_ zr2UMg$t}aljKc4WWE>fcl3%3~-j&Js-qpy|qY>_pq~mWdP^Dp0Xz!UmWhOp_+f}Rr z%##32^u@SVu6K$gfvS|R$BM)h0`FfGO^l3*N+?(Y7Vqm)6V#WIY`+vJLEk6qc*0+! zLQ)9p;*95|l(H*n=P?H8oY%A%VAT4gAU9B0ru;;DgQa)89@$lvFAlMnux9bG=RZEG zuV6+4%{>K9d(gHAlP5Z|C&f{C+nF)l>7#UQ0~C;5(PJJY9-+c9CcuN?zF0%|H3e%L z^@%i0Ws%=BG#+KqIa?<{F++>nSx^Wkq;Py}f7FL?fRuO+dkQSS)70Y^Uh(Aspi0wk z-*q7K4~&v<*0l1qXj6d-gnFM=*0u7gFf9~q)ENnp*ey5Hg?BQ#RZd!CcRZvP=cZxI zsd5fX*$JTl%<#n|c{gkBAZ3$t4%Lc;g#C0n1%x(?4<#Cv&a1XStQKh2T5nT+SFR;H zlk+4XSZGEl!?9f7CLGerDuH0m+Ta3Pikwo7Xt;5iSzXNhHfPd_fl;87l4>H(MWWkC zr^g*rKNZG!$!m*#G(PrR^uLlRz+9&mi!VUU>?p-M%%f$9`3=-8pO_PpcSbm8mvVR0 z&0D%gj`eiwuCQl|<1^e}uVdop47+m2Oy^u@Pv}?k_|>G8RbBAp>VTlyYeDTlRs05a zPDjRoB40Pws!?FhO^n7Qp8>s;+Ju`F=-5tDKWMmuM)F-SA&YAZW|U_#S9L`?+;39k zM9)EW$G*hKM*LMq2Guq=WRV@+6yR2#AmFg+b!dvP9D2uOKNm}Ma55TRmFV$fXP)_U z`MFZKR>OJQAy`q^45%yrmuxH|dJl)oYK_KSwR%EKr2CupfQr_7l5BDXaN(d&jP*{$L+hHI#k#hniqv5ASOMAO z?uAFOuuyzpT8)kEj|`K9h(Dg})PDGvA1;gIC3O|@I_(?vO3^&0tqV3p++~m}r`cbZ z8eQ22Ml9|Y1Nux#*wXp47FxL_6Rkias(rRIE`#R`KC$!!V$r$3dL<>`>mi=4QLZ)< zFIt~n{kpq((}bX~CQR8fqsvfS-j<39*nN#G+^YG6&$g`nBF!aJDlX~ZB`8|1AFlUZ zL)b1nyTXs{pLZL)JOocr0t`dsymaV9WlRH5J`@aDA`&z#6e#y*ijAf1bqQk7i2E!O zR!`W3X@@5>i{o#T#N9B2{S9=zV_>V?O-{KRY-NS_aM{PG30ae!F2(@3xk}rb?VeJ1 zo7DwjpUT#V=kOS2I&K-Aq;02yKcE0xW~*mhObSq;)7;9)fU%gPvHo2%}t`);%ru{n)+pi&8OS|yz=&jr<;2(gv~_T1}2do7k_CNjCMCn|{W zKGX0p&1(~UiQc-3iVZWz@kK(`+ew%>BfCwIy;U{Zj%5zBfHb_S0KEJ1jqRrfkqbrK z>CkAjj%@wIy#W_vaQvjkGXk|%M^0O$?Qw#|fa2BW{C<~o6DkG36Y-s}H4Ue}<&St` zYxF{Zj%zcDrMEj|Rlyz=)7gfr{Q)Um;R*gvQNA@CS;x%Bx~&^~Wxl?9ry&~6-@!`B zjZ`Cb04CH88DQAyHnxHkSV`RJ$(*HN6)pV2FOrSspSpR_O_?OW8T@>WSmscSDU~Ac z>-5~<#*rPgKdWjFAqBXBCX2Dv2pwd&OWr7ABseEYk2U4n@l1%_JTI}-?F!Kp=5xDs zgp!4*lXx1pA*faWUp+OJ^T#ARO9LXVU^jBGnNCoP>(5F#6XIBq5PX^;X;|3>Gowro zK1lJ1w-m_PG2Qu9fTSnBwB6d4px53qkAi*zVoGfY#ofdoS$oV5j-4_b9!6=NXPjz} zfh|BpEJ>uqoM~XJ$xwKD=Bhi-Tq-jJ8u=qMHyBi#GDWzcuIxTtt%Quw$+JNAi1^V${NR@-{SDyr4HRnvX@Zw{g(Ci?im6Gko))qkOVA*VDf)RgP-@EZ&z{dmY%r@ zUrkd4n5888(_h*w=j?uAReUxnK*Z?En0!Tj?0mlZ8?gmM_(>beCc3a&Vgc-Tw`^Rv zf$90^AdTXm30@5&00G%+(Sj|_q}xZpKqJhl$$aYlc);Txw*$GYRUs(O12mmPQU=io z%?kyM+-;OygW!>DpeDS`lK9iGvP)#Yhw_X0i60qFLB!uF=A&cSC+?8$Cz-$b@&+;W z$c>cRns3w|C(~4I)lg*27OFoi7Aw*fui}oUT9Nomr+g}DaPE zP%ZoTnilE#F+t(6i>yKL4;Dm+db%DPGpLDJ>p0$8d3;#uSc)Q_evT&n{@pd+@C^D7 zotrmZ5>nuTU<=l+IOReyjyCjo}YU}5f?K}2H8P#3n~u8exyU! z1v4=yNX@IB4u>DDJr>!_XH)Sp5Fg?y`vYhVk_>qyx}#!I+3;)P=2ft2w)P8{=V>LV ztDycLIhoHis--kmXgv)vm|h@j*g$4-6x-gpxCmNz2x-uU7PT!!OHCtrz4p*(VHcc= zb^INr zKOTV1-mB01waQ}Ta-I(8>$aI)qsJ?8KG}_1=S4k1GWHGAYfjI4vVo1 zSkdmWqUxn<{V@V@8Y_#1v}nb=%STLnlxqsMt5)DRT%IOAY6hqTGzB#TTfe3zucFYg zxqsOlx5>BdNShaOMIf7;yY`<-FoN9MBaN~B{7!3#u=7ylAkhDqs@PE+?HaR}mpG@R z^f(!B20R4Kp%hCug-Y>sW#txOxjviQ+ZeD&F=QF<;#6dDW^elPl&wJ^@jMh2ui++i z<$hx5Ct7dtY53TDjMm(G#&7ucRvh=?MYm{TMYyOJer<==rt($NUO^T(Cn2~w@^cUr z6wu`bDLGNi7>PgZY@k5E!pOeBW^iER`(VpRJ{RpRxQ~o{Ul-q&nTH{l!XK~_SGNIy z#k@{OUv{m(3^|w$M8Dd7uQOg%D?qX1MjRe0z;t==H_N*8rF}XGcb@WypPZ$3&J!;I zS`ja3a?jkF5bHs(R$wM2l^yM+piJ7W{Bn+d0TVw=K+_QXF4o445MJTlMKAfwbR zJOticEFPeAvc)Qxp^XUg?P*80bgiexcDBq-mLDz7wG!N>k`>4)5O_)%+<2mj6Q))B z*qoK=oDtwgb6k@3Y!m^05!pSYDzD4O);%Ti?!sovzIc@uMAM%Fg^X!CeNoY;!%S# zR|Ai)q}&qHN683rxiX%u3qN+-$RR?6gWnorU0bwo?!V4_1D8sf!!gMc-u958YKrF0 zFq0H+;P&=Z1=6bXTt%lHu|-Jjxs#QtH6=xTahfR|H$df`>iu;#98kFP+*&n2UMTPx z2oQ&&^^Ap>wadl{Nx9j>%nB|1M9Tiy2O!e;`#=3%@IS&i8JXC=Bgg+v5QT-5g^mA8 zxc;x`TYM%4db+k6VeEt%d50hiT7C{iE zVGwW{eBR%6j+qTm^}ExEuwP(c(cWI1i0`?CEvwz=eyj)h%GAu%U~4UyeJrs8pKSY2J(plX!Y-yi-v|ZFb#|Oag_-a&T~{p zG(!nTTj!Rda|?0~tL?Gz`fJGu3&%8A%`pI&dcw9tGsE;N(Bnc8bR6}%BfD%b1M%0q z54kUHuX317q$yz!f=*-NIF!)iI)zl2=(VGEhbj&EM*6JzXXNKbPUeiSUUR#w<;Go% zu1s>dpF&Vf?@4iuSMUzSkfP41fIk^jN;{)0Np}?PGZ2qg9cEkrjWa)_fe;i$z|LA% zvBN;WgELpV1_3Z)uoqC~o7h?BeaDUWoI`%?Ew8nrx+hf(WQ19=)-;;yD4zCjLVzG= zV>eIQoG}(xyy~OTUD_{e&*cxWz&Y%B2Q2LPQf@c@*58splfvH#D*ycntY!THPCgj6 z81VSMpzioX2OW#PqC3D=DQY|4bZ1rrpxg8p|7OW$(pz1;oZ+Wn0sk;6&{~{(TsxsZ zJpCAfD>!U5w9Yn#iK|!C3IX{M(f~&NuTO$?L5lNMjm_UZz%A1K++A7V+aeJ|OM=rw z1r1RrutJ6zX1wP{ua}4v!ZH*=acHe|V{oiuq=>_B?oWr55}6fQ^eV@8Yh5O9{kJwl zB_V-^@u^FOQW$(D5uQNCIovCD8$2SFNs=cIFNlm&x#{>+oOp_n>W22MNm!Q52V_}! z8v8CvP^d?|25DLw$j(8{-U`>ewL{}}(7JS3W4-l@;oWr%yA*rMGd&OUp_*0Tp`2VJ z8nm4%@gj9aQC)!E{iqoe!JJ_%XXj*LOsIeiEq!fkm9k+V{G9Al<_OS%R~jxqN|Sm) z*BaRdg^uh?qSwdwCDMhQDa+5ky`Vr#!a@lqx??2s74BC}sUIf`b}t}$ z(I1DEHr~8&vkC%-#KGq6Ua{0{4tV|mLZRZ&bCh8uWX`!v$RSB=*OimNtTJ!>wZJCO z)%UEGNW==M{wa#-;Il)`mYBRf&pjtw?a4#IdUuTld~E<0(6FK6daB!%s!i=aggaGh z*G@V5T)tn;UN*^<7V&k%>DC=(*aFsdxM#=e4SEez1oJjM#a7P;wH@m<9;>&`Fb0SD zkKr{j>MdURX1mCF(_zZ!o8c#$^m`F(xHr_CrZXj-0cYMTDAFjqH96E=ycisLT64oM zlq~#}FFVzGfu#KvKX9E{1!8gHutyj|NqaOXB-L7+u5iy5;miE7;Q=6bjJv^vqMVm0 znfiq00ScT*XY?CJ%$(YzmEA{vxubbeasHg0-{Js$IBh!h7WY%%AgXBGM{t90^n@B^q{YfDs@V_#Riyk)t%_hldBn6?S3Sk9cPNm42)bnS`Q#yNZo3=~vIFz> z{3~g{6=uuLuk>O4q1YPJmx)^07P?M+g=KUpS zm?h|?(^&iv1Vzbo7x;E>#QeCv*@j#ni_L9n(5HFbBTcP-gsaAt%*Hb{7zmFE^i5L% zA=XR+U~!$vR5k`mA@k#=By@SnHy+9o=P9GsyjZ5yxEzAH4yUWMcQ5nl;8Dx+`sB@ksBo;-+oBueGlGKu!iJF8|~ly{cy0TgrW`T6>|( z39N26A}dz)t0DzPY=-fF0ZTx%zlQ{Yg&ji5gTEKOIf>9)wrm2#`zbQ&fl76>zcEQY zGTgCImUJG&na@i#dcnU`GbY_Vw)&)*Ik} z=I1bfKSt4YyJT)ZMn~BzG^8VHw+gPx{Wm;pHL(tpz~z$cgYXI|e5_MY!dG*eaGY;r z$#8X=T$uVrMy}GTVoCi^c%@Uqe-lOu5UDh9XpMHqw7yxS@{`0&#>e-l3ClUpf`gkK zx^nakO%Q)_3&tx_RufGLqb+yn!CGOf|DLHyv^$j#w>E`ArBxYB z3i7=19*zkGZde+Es>@y}oDEq<7Bhd(_3o^662cSeha)wA+VU<6*3Pj9W>#NJ6D z+hC2f^ewTF2#Mn%SO-ljPxq60^crY4o6d*IWOv3DweR#mxS>q!)QyC`8sZiTMC<+S zF?%=l0bR`k)WSYhYw3I_UZ5KVd9oITJRw+M!UFNV;E86jfaxm!D*A%5zwl1PG@| zMxC$dqfcFbbD;6y&43(Q58-R1x_IkLvHVVa`}%+wr^6)MNj`b8Mcb;|YK9onT;F@u zHvHAtCmZiThg|KN2p4NiZe?qh_x^`JZUm7`b%e%a`9GVoI6YNCI5_0xkR|@S% zM7w5T+{y*pFRTRvEGJq}z8pOLl2F=4H;&aG+QNh0N~^bbiiRg#H6MuQ-M|cW1_Wu; z?R{bf-=c3ueS5n5*l)@1dguRg{@%*GLcf`*@3P4c$6pen%Dh%;6nbHf7u<4gzTUM; zRst{o`w>~g(&C{x;rfe}SC{`Y-f}-Ka*^-n;My;PP1=r!HC#Z6Gc)hrd~_XLj9>)T zQN!oL<`x`weLZDG8nt&c3e?1!Sn|()AK3({izdf`jI?KP6Hq;U_;(p7AZ6~FAmYVX zgOz>yb*W|6dQ)Mq6qd<{>{VdTU-~Ov_j~xp~45?3p2+VE{S$^>19#j>SCqghdfn z0xhOLz_U=|F%+x~6o^Tz+8sfZo?xL@)}gDJGPn_ zU})OT+C&i_r*qiV)UhB;9QIa{+RMoao^6G2#{|K9&HoP(E_&XdA2GSveg^qNM$4G3 z5P1<&O^Xej=Q>3BFs_2WlDFqY*I9H>wNm9d+5hHO=GBQ(Z_o4Zj8YIzopg(Y=2z3q9@7$t%X z?`~5_dXOARKd3+bSa(8q2H16)WS9`OJfZBh0Jq-Ek-Tw{;3k{$DEr8U*BMUcBg zyuG0ZDO;$n4YjSQ7&4Owy5j0B*v<_w(^WnnJK}%C-%qEP3Pfi6kLZFLwpw~Xz}KnM zzWMCwGr1CbMpBKhi zFZzJaf!AQ#28=O6*ns#^Q@}Z@I#c)iJ4ta%X|(CM19u?)M*#l-rVmj~(_q)Md8E&b zWKn?1UqWWTL`>gB;*r(&>svOhWl?IMN$>ivv_d>|MTQ-{_IjYn_$pQP`TF#Yb}k(^1$Aqk`Qcx);)xact@j)>4;0aeiYR z@M~vQ_Oy8|0*>(jlZ-Cb)|T+8QAEhv^XOJ_$&tLdC6Han9^yes*)CrAy0t9FD_HS4(uHG zOrp(X`M{m*+oa2w_5&%0joxaxY=us zNmTT**vmjh<5-a?D2O%GeWnK1hx9#Ru>dbJSohA z(*bCZq2H!9NB&5zL6J&>N)o=){ETvo;!qd#Yem7WNK3R`h0q=BL|B*Bh-{=`a-=U5 zmx|QC_qyR8@OIEvsjmLyyB!mcO9VH4Wy>*y`5HAn8c!urPd!rnLg0_TsIE`g$Otjo z{BNkE{7iFInUHo@_lRO26hu^lB0frpMU3h(+E)_?lUIp53j~}@J08iH7C*rf@5h$# zB>N{4S~Lj-Ah*ll6>2&;drGvj7O0=vomF%Uibs>6=HZ*yID5o4y|#G|A=tSmu2AD* zQ2=gA`g)oyUEvx-2&FH)^r;6KWoG?oL*GNe)Uq+rR#EMFIlbH|Vzgwnvx26lcZo8b zp=86-qs~56xJAfvU!r$|-x0NH5XVJCCI>n&5>>HeuexH3P2*E1B$HMSIF#WHt0E+X z=a{dhD4Lo3wl@t=TZT$vFp9x80hlFm;Zq~eZzC40UsiMOKlx{QcB0oR^we-D2k2k~ z#bwh9)V!2P_Cv6;bm9q6hi5fSusYJDcdGM5MWqFJLL+P2Qj;H@qfonYm?2`P6V znuXO7?1O}C&`?2W&(2Azm)yRilqD~z5GH5#xDYLHlRr z7|C5=xQ!2H4eQF?pF1%(hMkiFUA}-?mIa>uRDj`)x8ls{6twRUgcC`bB|tC$_x->y z1sczYbZ%P$AfwV4?@iiD*S1-0^h0LO>*@Wx85Z6fPMim(a4KcHsd202zY0a-zMLZ+ zP2auA&l}oL@iuozG4b|`_d9jbBihZpRLSNZhhfPDQ$CJ$VJ(ju>B_(mq-8+189L4! zH8R6x3tvcl?c!B&=zEGF-P(!J!{W?#;~A5dd~2#L%@S>vkr}3wW~Uk+2pB47RbsN>7~2{0$aWGmmghFhGurb$N9zbo}>?jKxs)#k;k@QqeW^Sb#^NZJ_EukkJBu zhC3A2g@5rTV^#-Is~_%`{OU5CuVIFAzBjfLR#)Wv#(TfloMa6cM1X_X^DYZD*ltd8 z@|O@VQg-@I#GF{bV_M2Srs_@)|O4YCODEW z+o?YJue$|Rju{Q|nmvpNdo}B_13ECOH`rr5g+oe_+)mQwDT7ICS||CSo2*hMCmywNR!rKoM+E z{Y30?1!?>f-)%dX0X{tht(aooNwVC*zoD=#6lffZO@K}epmtakO*cjPNaT3&y5Lt} zFab3FlAFu*A>WsH);x5%Ip<0iPs@;kPUgqdIsPA?9v?KgU5og-A6Ezy&8*o?`&bq) zi@^JPd>8#H0tKwzVdjThso)$r)duqR=_x^C|3R*8U44P*3N3gfY!ds% zVqzI4%r$*B{Lwm#_CiB}^6Wb+2*M+OY&PwB1{C|@bJu{+!o$HMO6!)qR=Q~(_0FSG zXD|ue&9?D5E3fYU4M%DA9Ivs*-n(P0Vhb2BgFj@UaXA$$+p}>Bf?C?6YRh_S<@!E6 zYNWJUjK!f>OqV*!%r}B+wl?v62IefC4!*@s3b=Kcvj>$K?!?goB0QhYVez)6Ty*6b zp>GlVge)|cQqX|>LnVcwN#N)+f!^{n-B>O@)U`?S7v712^l)luio}dzv>#%W+6m}l z0>yaYWSj-xOj1-;^f{=ZSNYk5dWKbhGDJ`zl1be`0pCFcw_S`@$P8#`QbLc|IT!N- zkkc+Ik*RejQ_g|JmoLr%BlXLyJgzBlI#;z$>ZLuYJzxMnGTHg#my!YR@3SmffRr$4 zS=PV11h&OFssh9`P4P;p^Gx*sOTwsmLk>SjHK^{ z7$`8zGEM~kY71w2tidy1j(){S$OUyADf8))BDMyzl4$?;tH{JGB5lkB)C<~b%E1ZU zN}8a=zr}%V%4c&fkA-1W7%q`Xt^*z#1`7o=Xfbr_UE6V-*>bT!;m2U-!4Gw;(H_hadKDP=RaFovgpE_CrwdHb!V7YSaVAFPV}V1iJhjPY~Ym zrdT;JSye#(cy3*le!~asQ}HUBAX?T!TdU++rp)*z|HqmI4y~&PFfToNdwwSH)SL8Y)b)U3{%LwAd_vatE*XQ0vgH1xg!24=PoPgO@>Ira@o4vQ$O$q9s zU!Ji2M8cQ8hAis*0g$vX4cC4p{Z6|6TENHsyL!hDzgPc@;}L+Gl0FVfK2l@PhxmhvPa( z^D_YQc0Z>|xH$Ywcdw$3M}P9x@MfXLIZYEi4@*0n1P<-#{TaJhOS~sQ^z^e+-gUl< zJZ{U5rLNJC9Tb)!=kNQW?~3EE3Zd}(xa<;oTJ4z0?S z!+nBgx>By2Wl7L;(BVR(hgpZ9vO6hENvx#DX++ey>JW?`br$JU=k3b!k!#a<)yieO zcL|X}R$;S8z-kQa!5is#Y?aMzY1Q3?>#{s&*$pGi!#1}v8g}!HTo>@R74(&NFXn+K zZ_il%%)Xv=UDhm={F}Xl0ArOQ3TwuqWK##?)JO~2_Im1+-@yg7_ydR>vgKPU+F2$9 z-G!pGLn(urT3=S2rsd?3f#L=ywo@x@MX{Vcx(IWbB(+9i^j*FcrmsU80YWjUQfz_M z>xFXI0e6|S7X;T}M%(!P04?~kxfmC7U;hd69vU^{jUSXE5_oBND4HZM4YxLYp_Sbe zc(SBf#^xZ*Tiq3EJikKBQooU(0gudi^C&XD0 z3x!?bfHbbvi!g&n+h(y*;yuA^d43HobiK@@5tuP;M6YIV0>l@P)1FUt%tHrDSIZWK zqdRRMf`o6L>YD)U ze&fulr;R=6lc85(gpFFTvc)YHiO@4J&MTbm#ZxNLc0c-KDfBASP!F0+AFfqDQxZlG(Eicoo6x}f9P{})^nH;;BRT8 zLFI5smREcgwZn>=>u1K|p(oi+?1|ZN^ZILSzs<#c;eE9iNa8Dn379W5=8B22fjT*c z#sz3t^N=pjpzw(Y_C99w&n1k5qV(Rxew{O3|G~hQ?H3bevgR4jp0bYoU0D^9)er_} zo+$W2l0cq08QTnB85}Yt(KNqNDgDnOwCOBs?s}`2%%(8~h*jHLFTY59caULGGkK{L zzCdDb*jO6Re}*=|KrSS)@D@iQ@oT4Z{79x}SIy*fF%*G*8`{JvZtw6?z?pOt`V=Ji zkPHLK1VIcv1hk9>4h>3Y1wPw3(Vh)n$x?6iYtN;KZRxFbX*jD%h7dhU(v{=K-WCig zosQ<=tQi+|bBml>y^qeMS;G{FKpiwWGEE{R?%Fzq!34Q!rEwo1F&1nTWHir{ymQA6 z(z0I!fJcCOckzPfw8956jO)@(IIS1DI&7yj?l%s@(PH3SYrY?(G~C{z4(=9IE!8Ij zvi-iu@QP4JS)fP-PJ5Q$v)OKSV87(4azRg@2W5p>NISav!0oP=9U~uno0H&!l*!nLuiFj)$q)bU7VeS;PTiA7005)7$e| z8T)X}&^3d%4j3LTWr`cO{j8vE)#wy%&0QDoZPY&&zV?OuLPB1r_}vPRUe?Og4Qx?) z{Sm_as#(ZsH*wFPVvv6RfC*StbT2;<#spF(9Z*2y_TB~IWn!}KEJ;%(r=NZNOf5+j z9e6|4cIs{WDVG96r#R)Wm?bd)Lx2HHJ}Vn*zDPb4Skk{+-JRaPJ|;pA+sq6$I{=3b z8rZO2%OR}g6juu65?+ppi}x+JqJSz)4L=QB+b-9oO3Z4_5}gzVDcRyIS8T_duS+j+ zArBl)L!{Ku=mBE08N+8nv+}Ne?JXqvGUN2DK{pKiREf?!QKYKYnuGpZTo8ih+4C5z zfhz`;yHaB=de%ih<)m;e7%k@>00b`E^8{NOZf~-mK^lW`_+UE_gh_%!Xe}w7Zbm@i zkY<6>k+>xqdY_)vUim}8murebTS0<|(=gJ!WOTHv{hvLRuxKcfUJh<7O-l^5Mq)Hw zi(LJh7d>NYzSj%P+C38dUvvD+iQ-}`Z;Uqh!{3$Dkcm4%FTNlkRe0r@Bfn=ZzeFSr0;)7a^bYx0T_b`Q~*} z3;^e4L^SKtNFhdTCV$(J4!fg9t`DFv|KA6q_R2r5mNE=E%vnET=t8C6~1NefT;L)(!J>?`nD2>G%%%2QMI)oKvfV9+C-s7J2#y(sR zSsW>FTxfV7SxB5$4ec3rzhHdUInKc?Fy+fk3O|me*N>*lJoWr_rx`Tw*eERJ0%LI7zq6p~OdZe#sujh+YGs!#7~ z=Jf~tIdk;bRLNd#hgNNb@mPN2N6P!+0T(aD%g3s0n9)s|gmwOZ-uGN=@3R!_5AGX3 z`g7XR@eXw9+D-&fUcU(hMBpP5{>fy%FL_nFIdzPqGcWZr^o-ZGRZTATE%{gW0yN3% zLO)pqaXQ#^k6+(OdA<*5H7IEqD}lJ4vC#xzLKyoAs5?%j%?1FT-3bbUS#a6hxl&^l*?`A< z1joB#e!L@dF7EjRKHd%7NzEOHb{NAzs*c^zrX{@n9Yd0qp5UdibVF*%$HTQ1pg4Z9_{cz`1WxT1GLK33aM!Px zbKAVOUpwWaca2M5=U{j}Aj?T2GsMD34Xpz@q%QH84*=stnc=V5)zl|~InV)EKaYbn?30vd30 z=ktn#S?`^NuW-{k1OqAI+#}!fa-lfg!bQHJyAb0$Tzl44>gE))xRb9R+cjEO-vfH% zr-vK%g@aO1BeHWIW<5xmw}-(?H5fr*o(OFl*)mo$`w<;$ziK(=5p<}efd<+Z$?y#~~{Nj&ok7_hQSJ&-j-z~+eVFbn!L*Uf&W|7sV4ApdTD0`g9 zMB@hH+~%kgYJwRO?rM$c{~}Ui0DHbRiaZ)ExkZrpxE)C&Pku=5+3HeAnG?v%Wm)yF z=?wpowrBE#=!eE@7CedK4*ma=tSfG5c$zRyrwYU3GLJD0U0bYEWY*_}HS_+N%Kd-A zT;acnGj5MeP^F!(ZBJVU_%><12-)nI_WXNk7EEMfaX(r$zNyVm0*LkYq*^$0>aakn znu=Q@x0U0k!+F^YQ$T4T2<;wQ{vS1z5s%Np7doUf7{4@?9v}Q+GhnkPMD1PFfu|C} z%dImJ$hc_ z1*^FppsO%yNC!sjUX8G+YwesZ26@F`ipSFa#=C+r0-x;F$#%nbPxKt6Ad?ThHb#`= ze8BuTM4m|YcOe!yna7OLC>{q8S}K0|9GI&z#EPc*x>A3JbvYJLXTT%#Yd-2RSvP=1 z$|VL^sAi_ufsXv(1Ia5|*0WXd*M60+06K`>z?4d$>(=B71n3byP>)=jHT>)n3&&FI z2oDCdt8&Mwk+Lk`hE(MnJ}A@`u3+IQEOz3>!IY5eu;=@6qlj+opLwMD7GbI zV$X_?{z8v!u~u&aT0OrgX{jzV(Sh#3rcVPRTittKyRT zf>LxVHpIiDrcz>nlxYo`s9ZH+N@HU4w+XEFN|otuG?d)od^u2xKR*c>kV-$0!!>6= z*+_&H8|!Ed+N+o?VJQb0K`+3^5bov?zF1@5!&V+?FmPgYxF3F{96E^aUa)2VY$yBo zqsPjp_O44%J9Ivkm5ulv`9gs65LFfPG%c7CdfW7wwS{RW%>@Sz0rqEgQstE!=_P*k zs3@e5YABB_AueljP`6&Ron52Q+}5VK9~K)6oAtes@W_Pd4Y<|ydXzWojL?t2CrPQ_ z_&o=~h&h2y;7d~W?oDv&^Fp>BS*g@}B6Gn>p~;#s9J_av=6bIxv7h4YSo}%#Y}m#M zL579gfHCAcs#lK^9FuJ+^(`DjN2Mx?QM16ipi$^GcJA#loJUcgov=oAn||;85sgxc zQ;JjHHEgu3x>%3+{H>p5V0mUMFO0fFJiWzG>n%-J*mpT&L8IHt@?Ofv1DwpXN7u6V zREXl#^W`TzV!lbE4idkEpzy<{6kzUDkQqmC6?UkGZ^$4zL(kZ~Y4-7v&0&f>{tSH$ zGIQLXHoA85*oZW?jyvoXKehXoqB zOx27-3d>t78d!8>duh9T7G2<{j9+GxP_9Lr^Lp~(lN9)ytbNH!V{zhDp6%tD39s6s>&p)b(n!=Lj`@gGFe! ztgnbN{QBcK2?p|YM$#LvdZUqkFgfOB)N>OGYIMpQYqZT zLm+mzWfQLdm@p$W#WwD*h|(hn%sgv&AQ0J6&-QX(~wWTE@nY>ptkUjz{Jr zA8Z3R7srxiv-&-eo$n(yd}ejafbUcFcNQnQ0jUv@)hl$c8)a0{LgRGY)8tggp@44%1xtjJS zD;9RQe0+_Rf4in9G`b$TOE+1LtqoyTPdOE^z4Z^)afOytcVW{`*PP?fl6b!g^DP6e z7dK5wu}`pIWUgaJ>0xzI`@I6S_}2S~s+7$h)ondIQcHKG;Le-^*$1!{vNdqFRmA zZZlj%2MSaf@B_338Qps*Lk3HAgF(i>_-4#h{1ESoHGx$+N4K+xd%;W^wSeTe&+38@ z?w{WLsOfTq2betLC%iERm87PGMv>4zK;C9=2(zB!The@+4r@TG6xrMR*0bhSJqdMgt&Js)m1?X5uxcLxHL$f$Hp zXUiUn+>UOje!-)2czr|hf^=Q-=!3MGzx)^XX0FMYA7X4++4nQ{EeE=(jzI~(x>)PC zvoL#1@1JaO2Tq-cF$sV!8p(w6v?^Fb*r{j=)WI0~d}@qf;_zLz!UB;7NbR$%v3NeE%Dq@-_;TS+r9BpVU!3zdIyl8h$F%k$Ocu=1_SULD&8btd*k; zsWu@xUL&}}JLJz?$|W^pz?ZxB->)!m#yoo`mF|zpE*U$X^Un;*DY826%O)1@j%x!{ za=yL9ZRbO7y=WS(S0GAJp{&(m!i9I117&1Iux(IQuXR#Vb)TBZ4rRT02KIS-!K&pmCd3Te{M+jJn=`Gt z-I(0ILQ+7F3-kS$ElPLj;5|?5 z6veU?abmvj$-iVd?brFMrBFPTZjQ z4bXUh2;l7IKwAsXXOpSG<=P_Od_oozD7ECath8jDmTwGGrB}H6u~I0ElPiy~M8Uxc zyqA!zAmUR(+`c_Pj63x9f4`@_Mr1M8_{qykX7I@+8v{C=e}4K|A8S9;A@E@!2&njE zrdYxJY!pVHm{^&AgcAfNhoO0n$K?9f6_rnqn0sx>uejTm0_k-5!sv27^F^5$&Pz=e z^LR9p){~Hdm{vbIZ+iXg_USK(fjAX-<3_B15iW0TnnzcSVYkvbiBlt8a3 zG4uyY*z8?>Jdyvv3_gu4@%{so;k`BtLcr?D%;LN7exu=(o>b~qjUXcJWSB+zB=~~6 z{*UL8L5s?@55poFAB2coa)E-&SL`BE#X{pM!?a9qvA_q%IaBQE)P6NnykI{weZvt! z2G>T7i4}{l9uEO+?T- z@ebb}Tw8crroik7N7}D9SV=1CiG4%Od(<{QE)BUT>?1F)7hnWUybHE1EFbM)1hiG& zokqiKc4xi934V<@CP2uWot$EjHX4+ZxmhzbW-h}&QfkTRBC2QdFV4{C&qCig0P(z? zM7maYt-w4wCQplrD;a$3{93OZUl}z}Lcd>kV~9q5axJeZGwKWbNSmC(x{*ZyS~6$j zb)xgWpLPs3g5d14-YO~eQwn6*oy&L(U>m)jbujBS!+?X(5i9TH`wvt)X3vk%?kgcpKC77CS8(#e}}uLiJRl8WMx@7@JR5 zI71t_I~4@Y%MKFAfuPX0Lkb~4Vb}NRqI&5>=)WC^7n}?Q@qUThBXvjiFWMdwfEGyN zW8gZ_Vyq<3jio+q@trRi#aiLZ9V<=TKw-IzRyHs%b=pYJvE@U2NR9^P1%GCCW;?tU zv$Fs5YuW#fvR)I4Fm)rSvK2+9jT)FyBs1IUu!JUNBSc5AXNaM_^B4#$1>pWZ3${^N zMQ&v@b3Pi6Y-Za^@i|WjS|sVE`OAbW<`8PkkDFcu>!h}~(9$0-H|;L?h#2`;S^<<5 zl=uwuTj=l;C~Vuui`mHXQtwjOKLjlYF;GJJ9$A5aUdTV&R5L~nNbNgO7g{vT^yTY? zpfsLwKm*v1&#aGd`|5*x+bBH1*UV|FbW|0UR3O$J&3UQcl zK@uw5huw}+tj@BRit@G+dM}6&ZA^WcI84Y4CiG@vt6dpDQWEtIgkWNlnS|vWKOq<8K`ksWv z&Nw1%SU_V2Wzb!J2=Yu{YQhel@!@I9gLNd&LNT1-QDH2>1ful^;5ZNN)c}d)c4s~~ z2hdJD4RDt|$&PrZz2u}uo=NL%w?Hw?aH`mR@S&%aV;LtkJF@*5Zrs+moNcUIETdXy z#F*D$6CjX#){r_}MelPeLmfR)WK1*@F;P`>D~%=zQMlX9Ae|3A44*osa%Dr~=?x7XaQWsO(gI~?aMr3!qN zxqcKV=&@q`6k!7W-n6-{=M1ae@k6H4S+rr*`H-Aj9Va$NXtqnI`}&F>DlR zSSyw@*e#8e=9<1bDoUV2{Jv||@3720OMZs_;AakBw!ys=1@g$TN&!3s2(gxgwf{nKGyN%6uCIjwL}eXf?#qB z(vIVV0ln1~s}qqQP{RjWF&*fv@=ER_ee7=-IA;mcIi2Gt<$rYNG;xndY9fctc%!Qu zMIb=CYvjUHySxuzZr))ml;kg2$9rhOP*~XS~$ph&V@7MAMT$SYGSR}GvhCGHSmn=czW&pV;U6OVqu>CF?2<<9VWW1h~zx$IxxC}A>rwZ7-QSI$QknrPfg6Ja^T+8m&jjOKr?g9$2a&X)H0)nIp=mB2KP zv+N1g=##Nhb9;X2qhDfGXPIccBg)-1<0wP?&19yyDN2i2hUC12HjU1YLPo7FV>O&p zU|A3FR9WXLV(SZrmBwbN?n0O%Zz8Ey?xvuU2*gUGYq4Mm(kE8&1agw3@l;8)1dqlq zW~J=mh8Ben0~4t3cgqe7icf*)aY@-wdT}F(D)O2(ClXccF9wzuCDCOftolbb+YU&b z(^_w>C8YLD@SZKCUr}5aPe|8K|H@JZ^f_VWsxl zgC(7WEJr(C13xc2GI2@OI$4!v_ZwyEtJ;{+@FZXkv5eQ0R}iB>8@vx3m%bn3N9bkU{Jd&qi<_2#UaiW{?zJPaYsAR4TC(avvEn{kr4WHZ|6!7Jv}gG}-^}_SOM+XGD~l~y3Vt{lB*ELSGp%yC zsqUE*JMpPr$jl?CG!1`_=5(C{`huunM#fmQ$`TIG6Fu8ByW#i5z@JpBA~(CXWl%oFT&hnv(g4l zp8%yBIs7JB-=(hD-lhN`$qq*Tp4BeZhUNBYRbqaXqr(qwZ>O$pa(qQ~xV?-jvMuD0 z7r6v@ZT^lT%M{P8d^JZt0TNt^zhWSEdk{c51;0E?TPCHjG!UO4;$>r0`FDH<6L^5P zyI+`UV-@uma2l?VQof>#YwE`BMh6sh-Z_8LkisKJMV)H&e`O!m1)`(-h{M^-DhPy; z27EG9!A2%?s7dfdUYD=P%ck`H<&^QI?}9Hydo8I*nQPeUW{beB__f}ZdsOts+p#+0 zBLLYuLxQkrqVPw*#hSxNE`AcA*(Dq~%ji+7jwV}P&G_)i@Rv7a zc(3;Rh`~h_+PrWlPst)(HZ2l^*7><093$)r(1r0F55@GB$I}hU%8aoxxWMjKbdv8B z?wfPo6_OI5UX!!kgT%onR;1!qR(boXNZCaP33k2^uDuZpE5(Wb>+R%abPdH*#I84w zzS_{zXJTWrD1(Xdd%Z1I{A}Y~JYuT+Me(=kox#L@p^w_I&hc{VtE-@-c7( zIFmgB!F!MY`RxqWie-uhp{2L+LMx652^Uu^Fa+Z5D_4`qcAm2dCKTBYOhKo%t%+Vv zI)X$8a?R2R6t(J3OC$|$G-#?)A~EbgU0zOrj+E42OWSA+YW3Q=RPJrz-kBgM8n{y5 zlxvEvbc=GgY8pd z)P@KJjhxhZFuBL+Eh1TAG{(&MJqf_yu#!`glL>SU%y!plm{>eL;1=&ZPkv`hav5mm zmrVmc?C3+d!rA+<9?%aV9t`(wLsO&}S-MJhCYh&?>vBH(I(=9r4-iPLB=($URe>`Dy%Cq z$=vu!MEt2eus8gs!);849vNlVKhRc{c`L$Ty&d(=I7pl_&O$NEJs>jI&E;NVLplgX z#-L~~o6r>9=&!#{5q#jZIzB0T-z#DgWO;(~{y+F$r%)X=bq_Um_{QX!VO}N2;8K=b zc1p6~GRV=(p)h5`6&&RwG~0U#EZO36=7m!H_vE;>4qS~wAMmczCc7OCxK_vK`{feS zlLci#lsfD+ims%k=7v_Ql=xx1vD#Qd7X@BW)Az`typjI65A8{IL)rrJkU1w_<4Cgr zs9%{>Q@+^ed4kdn3H-I>NjVwv9%&=mg}f|1S7sQ;wCaM^KTG84KNq39!SSf{jJ;!! zC_%HXJGO1xwr$(CZQHgzYiz8sZQHgzcYSB?9VbrQ6E|-E>F%t~%F2%ZkriF_ys=0n z?KJH(2)jrJ;!B3i(mAW=rm<2asuvTFmX%p(o;H)&Xj2R&?|0Kt*jbGe+n?4kcy3g< zkcy0S;=*_BiYUyKjuC6sy=*eBp4Hdg44eoS>kKI|uq&Wb3SU-AxT#u-EKG!4=Tr@M zFize>301g?VKQ$4_lVnpxeqzq0(bh4rCRMHY%j}YF%Rk?gdyz9JPq;=bC>DEOyATZ zrrqbYy~J&iieCsoDX5p&RHY^qX)+KMP)+2g%O`qhTn{p}Q+eBxEF3B-xpiy0bh7K^ zjUi-up5Im8jzT06U9d-~fmkthU=DurlwE{vhW`5x4$P}&M1(9CihN{jZ!@=RAGJ;C zj)aP!L1raCS|P1+*C8m*co+i`{-_ypi)#`*kcv;tbvW-yN?}}Ha(ad2!O$;%9z{iv z2L))=Lzo4)rA>f7x>n;mp?n@z133HpCbI?MbY$WtnDHd(m>V7i7GX@8WoJbx*B9wG zCSKZi^4XzXMod_!(nirMz=^s06c28Ut!t|q#j`a(y1ofHO@+P5me55O8XYhJHl((~ z8F|gha?vnl_T;t=<0>Y-*!~X@%);?JfjbG+eV-ruj!F|k~=pJEM6H6_d6>Q zg1MADtLwf=xDI2=+`)x}aTbXt0FO9(`4gdgx*qAe9-cBJ0#{ez;Kz8vE-rGtF)IQn zvl}uSA?fJ19L%P)#cPpi-PQuWW%WMnC?l%a*6tN_Ss8)K_kJabDxJ03aig)>^Z6{e zoc8KGsi8d>$je(vrKzWZMY~7b)j_b{?s}$n2cu5x{PVrIUDvaxOy_!lQuo_5dXwZ} zy?(K>Yv-f#28RHJ4{V4}QK3@T0|ay;=D6=di*4>xwBMi^ho9&LLKIvt174 zMa2EY%Gs1+l*KG12$yf4_PX}eZvK$*B;5nOKU;xs$ut)9B~iP`uUdW;7YjTD z?j5k7*K{qj_2Ke*epN?mQItf@X(8SE#7AQ4p>R&tF3ZZbKx^%*C)PUyQOGDFdd5+O z-n2DCHIv-lhQkK5-LzUfuj*aTTcok_16kV;0-{yJR$zC`^ z)O~u%MrR1wGEC0TPrO77YyEQ_I2BH_6LJ7+BXC$C#T_EhE>m2f;3h*TUZ6@5?3GWQ zsxZ{LnRrQk@^USp^O|QUS#>*eV&-I(xnBiU%|`RmQ(5o?EGd4#kyHpbc{hhHJGPHs z2nmpAs0P4|w!es*Ghh3DrNpLhnUJhYWBo9}i)w)HryVRTx zfd7!$_!|gAkk$r-Nu#=FY=MV6(u&%43q6eYb9oI4`v^0o+{%+%72dRI*}(kPY-je6 zpu_~)!i~0v_ww|8r(t`U`ja$}s&W#%AWdLfmXXDVx=L7??RepDVfnl}zWvcXv=BKP zia&8`kzNeT3Jiv?;gp2mK1uETg%}~dES+3K3cX>kn$lBmD`AD8s>bv31H>Gtgi_X1 z=hT8vv9jsuy+Y9J;|5d{+g5ddIyiE?_XJ33mO~SHUt0M*oSvWnK#+&HHK-jfa%i3Q zV)F8$84b)?0zHveg;KSHK@6{Gg2`R<4w7W|$KNz?gtC~UfgCE2w3BVr{n_et`R_Cm z14(QHl}_td$20RKwCS&=3P?1PZ#au+HN90 zfrUp?+y{=|Vqj1fbMX?iLVCAG$=96gKiMf(*WVrZ(Z2%|;;FacUg!Pv4i-AB7&i*Q zXF^s*8o`T~qM%UYYX8Jz6dplUBoiyZ;G2{{{u5n-Kq4ewBd#<=yP!m|M}mpXp8;mP z_+Hb!maQj?M#+y4pLnAe3#Do1vS{3|V)F_=+K z6tK%wSvonnKl^Lo0Av8a9$?vSUzy#1dOt7#-^^(AWf`*?t^j1;b|?eC)uzt(hg7=R z>G1_1my@jtSW#BQ{Y5FhgfE{0LO|ZP2_Q`4Pn+gzs{VHv>&-DD1#V7jT3myJ+pGDd z_jfAAn1W#J4e0C`Z2j=&F&#dc^*b6-tUE9Vup8&A7dk(@F3S0zNzL`a$iAKs;t^=SL}wrjek%Ds`;dr7Cj`#-Q~;U6@f1?u@x3x2{Is)@5Lz(bcy|eJ zW833dfTJF&_{D)it@VJV<915&3`G4d84BoA56<+d0YE7Rcoj|45DWYxBjxBq?r&#> zWJie;f3bykXHdiTKdQ7X+mceKOyIpxsJkDzsC&W{mh0WrR@Er@jkoh~Z;~^&g1`*l zOSH7~a)cRxBFncX*x>Di!))?HXEIl8^dKHFiU@=FW&GbvBXxKdNj)!^jOsoWTP``) z(h#QlVdOjtA;4uC^G|YVbZ`>;guY|;xVua{#CE^Mo_5z6=-e`YqGgT{odP)#q2e@{ zmB8<;EG`*q2w^~Q`Yg)3F8ufJ{m?r%uJ=EQk#I=2Yc^d48m12#*`OxHUWF+6aniu$ zsoQv{lj5x86!6=FlExyhXr-0VGGp!Br8w-VXq&e(`-@pEHnWG9^&sO9k9W<^Yf9^r zO5)gXhAx@DE16;9jab!fSY3PyjcG9c;hjxxO(?AXkDo@8S_Q69zl*%pOd{|7`FQ3` z<>ip<`bSub6p4vsoJ6vw4Ak2Rd(l#?2_-TNPaS*cg<5n}J1#8Scme_-b~vb9{^EmM zZDiCyJ2-u1JKcHnbIY04szCvO`RmwX<-AZS;FQQ4c*aR*&0@ zG6+EDy3vi8ImFX{3YxYuKG}|3<0iXOZJuHf>6UALa*CN{UhwEUVn0dd4cmQaU>Qxz zn7Y=Ac>nENnH@lEvg)rx1nR%du7ZxqIhn^oI2zxlNrDN=dS(AvY&Hb6>1^(A!YkG3 z2VgwhPQ)7Y!XSjcgaZq;0CDZCNoyQG(THQu>7tb47zELn(vD)D@Q?z+0_zb2-P=Pk z(awOl0GzW3leXXZReth-Km~;|hx5iHQ1;aOYviJ`OSlu4wqRcTiM9%?M1Bl92WbME zXPxYu%{73A_Z(7*#eMLYd!swQKUvUh?qBkR?mI|}N$Jkes3D&Wkzp#bU$}bU%EGL4 zP%Vwd`VLze3d%%_Gh~6WrcI%YReg?(ZL1rB6D_BBjmJY5ayRPJO>NMA)WoZW6!Q9- zu}quVpNt@_&42L6E);DU;cPWk9X=R52_*U&rl%W%gZ;5|n@L35szpMZoZ@E$$*p9W z_$rR+2v&3#3{Y#tEAQ_p&mb*qUfK-$b{MX`qhp>vGc*$=^LeWt2C~m8B%QFF*!RWb zk-)H$%hGkqfY@OH=c}y-&tN0%UwIZJIP!2#4p#p{@+zB<+tFE7VPTvXEg7AQe7NCS zrDM|P`Xo)%G?Nu^*!P?a2r+pM{(5KqD}_k>AWa7O{M z@#g?_eCgja;Uk|#k1fTN(5t@5k?{mfSl=CfYhgLW*sc!S@HS7rt1AveQ+c}kxFnuu zHiny)4#Z(6D*u6T#|jPwQ|Xj3G}p|Zd~CuMrDHHWj<0s)ZZGWW@R^s$6-H6V3h1uzgr_h7M-kv%HOh3I_r$bY%$}EP&3+rU zz!dNgSAwzGywFlkua_pkO2g2D%C>VvATdKMCa=l8|*QCbhk+>=NGwEP_XBJndqEgF^f)S&#xY=R;$3;AF=Q8>h& z2B;bFyEk(bj2C%Qe(ro$lgH<&p^n|JJ){l~@_%9X*rE#%_%3ulvn)EZ*T{l_4kr~b zIvIQd489^OF(ua=7A}etfr;UY`T+k-3IC=ht1HAzr=aX$(*3bb6oVHVO4|8L%w`Zs ztNqlWseXsUvIe69{e|JBUC4P71zn3B*f)3yVsJlOk=~u(Lg=vfTzG7&r4krZb^sm_ zYp#gy+ky)Yp&X+@M741OUpA*)ps?jcr*-`@*E!Z%YFW1sL<4BynY`T z?-&eC7k2GgX9|qY3H!R)n2*dWzAcHy4*Y_9$b$dP@vh zrUQwg4C31uF8=(9g{i>~4dW^^#7aD?-^Pb8Yvo=o*~@p#kgI+e>@>#GEKM~z-u-XD z8`X%=3w4pI)2dBxUWM?Z$o^h%w69RJA@5=h9{uYyD-x`T;}HE2!}3ED7l_`ZE#fjI z>c4dpT9ht+ltvS{_uQ$Mm4?R$l!a8$t!B<5R9W(h@$fCm-7cnKv3@At(>-vU_0)y| zs$qd`Kx;_TC>YOhuKR^cH#UNqZ|>bxztrnJ+{;gPHe@>2HnNXZJ%tVQV9vngU8@S& z&tLIq>5ZD@%Sg!2>(9OBB1mv$S~;w7#e5W5xHht>;}a*kHlse18N~om1!oQFUObSf zDvBzDvn*GPVUZyqe>HE9`}7CD`L;WF%C#yuug57AJh!9;d!=-&lJ1C%tw-d+z!F_F z`Hx-1sc&^>70N#uzq-o&kIU*5NO@?`%c4b`GkKN+5h9VRkwBN&^aK1`Y^RFXDUUNE z!5a+IkrxfJK1W~YTvo7oHgKpeaeImu& zNZGiI-&h^^+OLZQl(Kjoi5Pe%$`0E|1G#%tdwto94z@K{w~5_D>MjdN zn{Uy{DrYtgdR`u2A*+GUZv=4DCZ|vfyf3Y5s0U)|Z&v0g(E(B@2Ki%D4xK)3PYP%+ zO>y?M!mk(&@6j+U0R`s;yt0++0)Iq3jUZ&dPKvhElUNlI#-|_lfa3~h8EA{dQ1=E# z^C_?G@@#pybcsJ|D~0h*4cuhPN~8%K$8|;_Oe?Huah*7yRAWD@Icu<)0NyQeiU+GU zMZT|*4oGa%H&a`zqjFP*5ylQ{2SfKc|5WqVC8oh)!DY1Rcl=eGxrssyxo|Ii0kd*A z0=6MW7Hdi6oiUl_cV$LT&+pLQ6*ZX4_8d_c$F@3F=Jgoh^q!2$TCV)k;MsUUG#AY|MU{C5RFGi)kzqp%AMHK z)S}bJUPQds42tsZ5%rp~JbTA)=ApFdkdR?IxRk%52q%=~8)mnxPOzfO|6^r9Xv;>!QY^Pi)*G9v-Ye|0Uc%tXNQzg`dDzvF+B_>bYglK5}oJ0^A( zmj40Si`o3^<-bt&|C>6W(wD~j$#XDi&KcWMQ$&rq7D=}BoQ$ZH?`NDt)jRy%?Nsu|@ zXvz_ZLWXqf8zWPWOY#PO5k4Xw3XuHAKq~yweESF)4|XIPDZflAV^pId`3MY`-MKW! z3J0ee+0_k`EySpE=?HYma;4})^1W)UIOBbsL%e;Q(r*@tO#%fyW_LUu*%M7ZFN|G0 zE4!SWantxEB9JUnpRTE#PJTJ{QJ{f9K{{zR9iHg8i_Zi7p|>#O+;T?Wr%9M9A4c=I zvweLF53<}rInh(apopd4$Xad;7<_nl69@CTl}6WMhN{aqyIcbM@~>&-ffF<#0|OB$$_iA`pEVMuu0 z{*Y1~R43=O*g&L_(gsS1D+}Z3RT{=_h!1fma|DvR-XbApblOy{KtuH6ks)X~hQ90z zBN|l^qu>|4nbBRO#5;oCHBsAHMNeScJqgOCL7&lKT1nS#q;(_|?mRqIPx&zV7)22iLzG2Cc>2f4|>{_BmNOw3e)3 zKl$5+drXq$uTjENDldy|Te&2?QSLv$)eLm+>_ZPXHYJ?dYtcg=fO)lALd8SPtja!AZ5BZ77UkbYYrw(%~$ zHoNaJ^&jJIiwTh7Uo+zzI_wG&j$2C?DsW^IfoAD$h+d0vcHPlY8(cs|_+=?!!(uq&XfjD@r+^5g+U0{;!F> zD;bj+a>_akO=671gkgB0Rb)6xq&OPi>x8Mq)cxIGlrwWngg@NsyI=`+B=;uDU%K}D zwQIBwz<;YtZG58G(UX!ziO7-gd9C+ADGQ z2|>Wk7aR6T{ziqRJ`L-gaxHxQR%a#(i)u5rL<1HAK?-2~v%{WZC>tRZ!C1bz|TrjCpF3=Q@rb%=<)Ek)N!4kY2HBbiUHNe=m5pF9{o zgEooK8lfk}OXHvX2p3XmjWW30P@YyQL^Dt~H`>I}rE`z5NY>=TGzt~Cl-C6WLA?!; z&_wj_+7=s+Z?%oBY^728jH+UP?b4+e>EA}JQ3=FOibPn4CcFDGi04sVzmUps#D8kt zEHIeJf5e1VQNSglRYQe|c(c$AjDpuZzaLqs1e66O!IoHf*>K6Ex~1v*EL&2m9^#7g zWSju;$xJgx&}xzSq$W@|Mw1rC7AduR9g4c}ejgsz5@3pgB&2eE%^HjG_aiWa7wyes zKrb7>snJS`MBT5>qxgwL7&aPv-)?$tL z(Gv<@gf%A)e45zR4)Xf46lu-)_QEWG6W3pFB+cJJ!{e=mWW7L3&bTQ3!ORhnAgHYk zMIUdllw>Rts_U-rp;24609Sz4IIp}zzaL@~TcNWTD?3Nm+Pm(`kLC&Aq2+&o1HPzk zoelvjT8RckBC$A73pUoC7MP*77L8>wlNw)}${)R4<__61TdmZx-|u?OWK0ZJpP;x- z0>-d$qrT%@>%s6h;xqp8Cht!ydVs>F9dV{089UZtSBh{{M@l5Z`NRYI%p{c9j~UsT z@!yIA_k!dc==?zYkA*EWkt2x;(U>6mWk^47+SMs<8!!_W%U^5-MDXR` zcdiGTAIU5mt49kBtSq`~-V~5XXF&!w6n&#r>rjPXP`2mEJysn`dtn1$UKofM(E8QM z2lmGg+Z-gUv((no^fpq_(_Ol>^?RijOFRL`QUNS4tT1$n+DsZImZh5qFp#!`_$p-} zP65)DL1^r{B1yVD=Vr~0idLM%!ENjkaOc8EvRh~$@ZM3?-3p~KP9pzh*xBO|=C)(> z!wbB`xy=jS5cMBN%6Opd2-QoYHtrdlcg34uZJU2pKc0ux2|IPBd)9Z%-r|p7amm|U z3%Qp)1kib)9tS(&FAF}l5w>Uh>YFf{#=+q+E&(X`*E^3_WA2UywvK@cfQkAt4Q8fi zdOu^VrQvs)%IT45R;Lz`I@5qurDlcealhzBPyhUp>(<#RYLPj?K<9({ZuGYO5BVEB zfO5r}2^Kv-)<~_p*bxiA1nZ%-UQRt;Cz`aL!&8BSiexy|&BgLGl3$ssiwQ;4u@cOI zqH1bwGChva)M=fVN}q{Da0?^|haIN3JTxP^?x9!SFpiK04Ds>}J0egeJCvj;l%c1;6|~+ zTzI6LvJh4emgAelB7_jetxuljnWu&MF*)DLpWwW4p*M97|7d30+&6xDgz^f9Lvi`K z!^4SB-+xeYd*ByLc}mzO)WSa}t+wBJT)yNG%K$c6@@OyDLYulV?cw+5w%SF2leTHG z_vSknRLoIgeE9}V^IBf!y1|J{|CZEaZSH*RLbutG=*&T37UAJ7_k)LQ)!ldYC~T2c zBDH&RgpdS!n@nQ`Hm?h~1tdky_&v);w`>GA>y!6D!RK~6u4KHybw zc%UJ4<9mC}f`elH{R(l#>#DpmFTzEx*$<3p)5%iEKJjEqGYTAJBeys;@T8DG#UtGb z9>>UYMh`~Q5tEiv2KJ}4+#{DQ*LC`;{eEbPf;DaYz-wv+T>L#)^pCMtKqB^K_InDE z`?+eC@5jH~sKxl5RXg%`aafa18u`q&aCDx}u(dHnPIu6j%*ho=|MxEziEvL8nG|bT zr43K3*2wu$z2Zm!%JUl@U2BHrB{&`Mb`-Tef8kj2)7}XIbzCFl`(;U7@(Y3)aI7Vk z?YNDPoE0RKhp0-FB$;val@`jxpT$tqbV&x3R%65oD#0G0^_lzY+IrCy)u%Zm(%k3|`%!BZl6l;5^J+rbc>eByZ{_vl|`2Q$=nVJ7TOW#C_Eq9DOS&q<<#;|)4h*;iX4_KO1QY(JC0R?5lQTJUzj!qK5$`ydE@Ujs z)>Y298~Fv8kG69OGo4^vZLGM0m{e?V?}`M>EWXjqMN`UJ0;ha&V(a`JkLRT+-vJmQ zTgi2Cu2g2a7K|OtGner&cDHT|U285&6!$o}ivJ@L#<5LP{IMu)&`R>tfGr-2(i7|f z1oA&FSXw(CgAsbl}^V*w)Q{@X(mq~bnECH_Eo!HggE zban{!l?5L?jHj%NCCOMG3|z>-w8&=(ZS7NMda2n~E9^ z65xXRWTmDc4@cQelrBsARH3TZGjnkVbM+WtU>HSkC)|>fz3|A4$npDr4YD-AVEwa z-gXDzd61B$4WPjdFDtuNh3y-l;hFSRLYwo!U0~c>*4z{H_`q3X<6J%QGAAgPV&ye zu=|eepv_e|%BDC09l#wI#Nop-zFW$eWi(5VVn(|AethV$%TdKZj?O?EPw_^^)l9p| zrg{|c)9{?@^q`WhHJ3ppMa%WmEj1BW55tykQ z@(~9DrVFllv<*|Aa+K(JV5u*Epn@3KDHxj-?w_?v8NfnkY`_^^%3No8{DIkUqf|~1 zu2%z}<}3=$48mYJZzs%-C@|n~nX6kw(Vu?^EC-$;) z<70@%Q$SxH3JacB{?rH%VEY$=r0H2Ym$6#?f?`TwUA){uHPBR8z2^hRl3~UP0Dzld zBsu!yL-qbcX-deUXWf{RX}BC7vKh9MpCS0lCYvZgCTLbdqAxc66u#XmGh{GfG;#5{ zVW`1Li=x!lYjrf%U3rNRLR!83s~xWR?w;j@GC(APvbkbJAN;Z;E*LBw0@7|p7>PsI zYc0W+KO9Rk{PnZ$rzIZ;rMC9;E;#6yJIJjR%`Om_5CCT-uUdV^`W0FpaM;a+aJJ2* zb4X(7UEh!~>fD_#k`)I_s$ba*sLE<=v-xD3%gb;y&p-ag79h^#+2XFv=);@`z~RJN z!pQN)bv5bKscCj&Tfp1pb&M(Ym9ry$A!i$L`2P@uCkx`zP>RkbwfY02wSZ+T6~zcx z6F1if!RQCqj%7`2L`%a~Tt3C2IyAwMIR%~dE{&^Y8-?i^*@H{5{ZN8F1eizFcT50{ z+M6ERK<6^`8@CQKS2!qlLLW2Kp0H?gP^0oEaXqf>`^d9JD&yxLcCYb?fmtQu8RtgF zN|@4Wtr5wjcsO~iPv+VUMRR+Yr=^Dqpc&XJnsDi@!rGMLj5c$8Z7c~zj24TLwIu}_ z)_g>hfQ!ima6XWFY?%BURB&a#bXZNNMV@&|VLFOURt94^gf*6)c}Cyjj$-A4_l zor3gaH44)SrNW}U9LsXe#Gy2#pZCGbf%~ZF8l4e$x(0@H`?aqUCohqhW@ju{ckz(e zU`=J9V48+P>_aqAyAI3(EA#00V`MgwH@ZlLwf)6?H7+5sdUm}(K<2pZjey>~0hs$L zpJn(UH7VREwGfU_p|JO(kv>nOhVy9$eN&RxXsHhzJi|YQDQ5w&Y`MxS@dXTM$8Do1 zsH2jQK)Il^ry%|y#eM`zhut{0Tvr#Z{atA)2#VDk(H!2SE!I%<Y@$-5y z5lHh$HO-Pq#k*(#!#Y+h9@AECu0}*d?aOF2=EufcU>e4w3LQvO=2fVHx@u_@(SEX- zWoWmS*L^dC>jd>7MgaXay)@FODJCm39;iz0pt z`h{`*VZag#V~ZG|>x)SFY+-(hs4GIL{JmizmF^oo#V1FD`s7HlUd^!XC>$8r>cGfLAtjq z>PzdV88R==T|7l#DH51019`=-wp`_(5@mRm<%x}a#Qb1?sgiUJO3c)pz>%qdqh~44 z+;N~+E)vnrTnP@$?r$L|a;AiYkz zfJz%}{RZrOtwjD|u`o$3ejHb!UpP-PY)&rS?$Jows(%Vu^2#*I0s)slFX77WMnVCD zJ|Wic3%cV>^}r=IA22tFiZY7pD%Yz9t6_y`+EMV27*VR=4IuwX!#kE0a60W(`ps!|g_OqXwavP^! zb`xr6gNvH-jgfOA-R@rY1cd%Rn|<#Tm6mmC@GRf=MYUX&@H6d_ zI(b3LIf3pLQI&$ynAv1N%acdY`y@~x6{_@xb(OSTyKV?^Z z8;ntmZLNDYVHz7njame;yXw=}ul?x;mI}6L?vH0#p*c{nul>fP>w_ge2GhZ)SZ9Fg z@K69I@{8maz!?dqEvWSMt_TN|mo%@DU0%%J+o|F9o0k2z4wcU2+`9Djm38giH-sI) zo?X)+s6@GZDX&GpO&)_`*!5Ja-NpLChclaI>1s1cOr{(-Pzs>Era2L?{7!bq6b1%+0p=I8&+1 z>0?fC>J`^i-D2*PF}Nfe<_KnO?E3Gh0B2)8_k6-mDX5*hy%IIvT)CgvQApL6z2VEI;XCARz$%jJOp?XoC)wj6y)UeY zrJ2vdQ|tD#%ym%Y$*1O-m!_DXS|q3Me)aRtiU9~P1ezz}8R&41eY7ZyzE!mZfcGvq zEz2P(cP(sfG(95&URn9n8tjuB27i2kvnS#xQIZplB?MS48uIJRPC-} zfpWXo?3tKQqon7sbA9J$67*sMC1#mjDkxxT$nzwTIs#;t$`mwanv|%UooZwDXa?C{ zRL~!~j5#17%62na@QZ5?+Dl5q96dPoQr6wr+h2VQL?7?4SZa+F!d^%Y9$B7q3h z3@4@duv$GX=<9G$-?)jZ1$VT?Np(tO6LtUXt^B?ULn~dKxEtFc{eul<^MVGzr`zy3 zk?oe^G@EaqV{ZgC{2g_Nx7L43bviw14DvU!PAq#~-pH9on74IH+?|nL4m`^8hPK8}NxKCW@lZ zwj*moDb72=PHG6Q?lBK7vvQ9#1UT|QjpXxztMtsn5E3b$v_gQRbH=0 zDLgbm5HrPSg(Dti6Ag=!BV{iP^xv{GAr)l7S9F6EEg6N#a9V_MWa(5p_Ab$mO4loY zW)D~p*UamDX2UaCNJ1ix`kao}P0XRYr;c1zt;Nt0ZN?WQn8Al|f^qnSp_0I#QKa8z zRzxok`kkkz&e97n0bGXX$IN}zc6L)oK}5}+)04klT*mS^m>%}1{!}#9{*3ElH~3j) zQ3oS<&xvDTT>haVv;%HK*yn9xUhj z1*Upaj4sG7?x_LN8Z?=v=tzJ1wV=1d;$JO)#pW4?0wW4kwgR#a4mRQ;V(^iK9>s22 zC7Lr_5R``!QCOa-Y=o#~R=rZ z58 zs&GI8?IsDu(J{mt5LJ-yIYb3&HrVL#r+>n8lLc}`*1gv*c=W58t~urw!+zq221%w} z0IwsBr8?tEO-VS)GTUCaKM!{gl}Q9$K;()75YHvt+U9_0ZeD9KWC3S-yMYIIMaUe> z27IYDd^szAG*Y>BZ9FSU#4N3;H4|Pb=_QX)#&mM%P8sX0sp>=?!a5_b|EuF)I=!( zj1WfO#%v49iOStuYkV1wSM&;56$ljFHy>AP&3q`sO5)j#%650Ueh16`%tI0Jim)e= z4{9INq|VQH?ZLFxo^eyg(h@RMZv>@; zGX7OJ&FtSHT!M(ie&kRw&SR=@apu-4CZeENv3x11apS1;s;O$ zeL&foC+)jY?R-rCZoigck`Ye5aPkL?=u0y_$M)iUw(ohGFQdU_{%haS@FWkW)ZZ=G zAObdx&ze+Jn{!Avr%G$fJyYuO@!Nx)nF;?fW8P%tE{{UAJ=xa#aN6H=xH>iZ`K0r> zOiJzE+q9%LL~Q4gUf_T(>(sQ7M7ngl^eD6I`B!S3d#9_nJk;VFB~OT8@Xa z8aEEinezJQLCAM&d5{cH;$W{0wsCFB!NoIqz!WtHx6fSt+gjYZQX1LUgP*9VQyd3O zC2^-o558Cj#z%zIZdTfPhlP6*=u^s@#H`)wviq!8tr6vqb+Y_<0lsPFCCeA=i=_N>TiXDE*DHY&koUj znY{Pl+;fiAdV`m>u_yyl4jxbS>`zG%_N?@=-ZtMrW1ssc= z8h;mJk!;>@PpkkzMsu9Ma%f=|K0a_63fk7cm&=ETv@687s#E=9W2wch&b=RsJT)g7 z^>J=R+Asd9ZiD;VvfQP8g)f>f*wg#58XG`P1Si~dimO{A>}cBd-;a;8j+MRp{S_xy zK*488v`4s~t5$!%<_9>rOpy)XhEt6Y&0YGky}r4vgIf z#qR+^Sh&oQPa8pj4yrjY(va4`N=UbDf#a1T193R_bPhVI0yiP=nMpG~5=s11`AdmiLu4QN*xiwg1lOGO+$dp^$%)(6 zhX})p8V81{i-BF%oOn2lfy-6cQ=!lxX}TbOuRfs46||%Rfq_r>th^4vmYs<2{}Qm~ zN_Tre=#O?97fBsOl41nnQj59*5!OFq6pKrF)g2)lOF?v>A&a@Hu+}pYB$;jz)bfue zAoh;*`+Gyhv6W@d99y%om}>wt4kJTm6;M^7*9_k1%ZuB=MUR%UK|YQZew)7N7j)pH z_Sfp2@?k`C1!S4=^pMXaXSnagaTMjQ57)1Mh3N9!U#0ux^-04LUO1n2NSN++4&1)KC5ZDQkxSp59_cClhR&v|Vvd{KQfT+H}n0 z&fb4Sk`D9i3-ZeW8;$CE_H}&Y>SMzKXBCrP6+F9#LG2l?0E1qszj;Rz?DI0L6e0?89Xb#^LJg@?Ouj%2SvzM|LD%hTKz4dz;~ro%@N7-0c2_EUcLrMrP^ z3jY9wM_Eg9!i4Gm(c@#Yu8b1bwnlVwo@342O3hysc4YVaR!(2QS}VIg6wYsY9!pcdZi19L5G{z`ZN1tocRbyX?WACUUe)LKy;w90Jwf@sAppIyZ*qOUGl|x7 z70@C}TJ{c{&{SzPZ;BMo@RYYW%e zx)>Wxa4AYR75wMMo*iQ4`8%6#8kv_{y9NHf=p^wXSmHz(T2hw#GsPmL-8{Iyi{6Wc zNm#WHKs9G_D9jlLA@R37Vo6cL68qb0PK7sUnZL-H}JPa7^a| z+uSsB`d>1heE-^@X3ZI6sG2(9Qy^$xaI5&ax9cIzb1fsC5D_dK#Z~peL!p>g`pwQKVsvj@d8$&9NSHVf?V>xC2Uf2%`Rse z|7H=WyRlP+R#0IgXrsy5v565}pyaWP-$#&1mj;og8eRJ}3^~ZvXCZm0Ghfb7X*;Lv z*V06~uOGD%83h)w?MaFw9|(p>9lsO`+T1NeLUl~b;BZPqn)K^i52^VF$x8F`1lH;h zXUfK*3+T$dNMpmlR9;h3YcmU64`$j&hzJ*?}D37;~o zxb|5TYR59`_6uZ?3V3oLR&O zx`4;=`&hDHwX-vIpKQqvN|jgbcX7;r)|EIQ{&G)EO9$d#@nm!gZ5z;evKesvR_*fN z7q-}n8q%HG71EEN{e_vUUvD=Lw+U>z~nc$Zx(%-3?~V+BAoHjEFfY*~6Gk{3^X=|hyr9TOxiN)Dmvp{#ogiX&APn#zu<{;yTIO;t@Fo2h_|BEmW(@Df zQWeY&l||oy)llepK7>DnnN07D>V!)fhZ;btdhn+E2s>B(;UOg%iY7aq90V*~?4%th zchPvK6CgxGdr8@&Tin?;2>lW_XF)MJCWfYaFEaCz$_|!^nDjR=oZ`ml2Ca+VpTKtV z^w>69&Gu~WV1CjfZpIi-sV-!lQszmwfiD+=<4Re6EGqt3_ls|Es4_7z`$uQ|&bbQ9 zEa@xdc%qrhNHub~xAT}g?u{`q>Kqdb+8EeT#9G_UW>}hGkVQo1Yp|y1$qQuqk-ND;JzS&uRKN`SgLdDvh_&Tn_Sf%RM3}}J6QjS#GILke#aBldkF^y zLLS2N^BA9hpG4Alvz@yfy%OZ8g{BXeN&lB?*=C&)>&tItOk!%km&b;oaXSid+LkZT zJ>y639Jl8pe{@+`7+V-t+AHNgO=E4$L6-x_6AzquKK{@c4hxMiIX~Vw(_g95I8%3U znYqrw!syf4lV!f2&}vR>gYuiijSJlHhl4}!qZ?e*K)0i4fg#ao&>(?W=G8rl140rV z&{k^x^4?7#@%&NAP5B4(m!0Ez_3aTD58ngQw0wC*LlJ|Mbzx>I$h_I3>Tgvo!C^&wA>cBw4$B#zqh16!hZk?@G+wZrfo2rtqP*4KG~>s9MB8jW*o}0uQDx&q zkAWRvVJhhZNl1zYR7ox~S$(5;tOlw216yXK=0E$pGv`~SUEuI{?B8EAtY>NUb6hLl z%&6jz&qo(@;_Ym&B|^S?@k-Z6RI0HhX^It26MM05nSf5~t$J|%d#@!+k1ex_a{cs3 zmOu;Smswjq)y`HWQevgKO>o(Ux~*NN`@gNUJ^`pBxL7Up&cd)W6O^A}l48~KPZZKH zdajH+biXS7I{iO$&z*DYl>Q&q?kPx=DBKcs*|u%-lx^F#ZQJH4+qP}nwry9Rd#5{k zdg4y>#LPVAOJ+nqBs$)?swImR;;+t{PUo{ek34mEg!UQDo+#T?o>`Y zCX+-cb3~VhqZuUWl+;QqQ5&W9(Y+-`~>fc94^}hmKV}^6$rG zqZ4ld4CFpK5Gj{j8e)t&^DUhM;k5F~z0L!Q)+?!5dM}i7rRlv(idmSEMF@0`Y4%@T z;dg{(0R%S<4)X<3m$apFxZT8FKu}Cak!cGv>$Yl9^~c~iB-!P}P2RZk{`bpIlg<9Y z{2YH<7f^x%T4VoedrZGuA=QQ;XQWN0<;MI;X03{P)f#`IuVveD7**&}Y*Of(-4}28 zn9s?j-e2GmM>kIf{6x?kAuCgW%X3YSeMzt zYfNN^Nv)Xokt@0xf-T8TgWbPoGH(g>U?XyreSTxgrBy0hVpwzs3&NYh!eztid22#F z)D^1R!&+L!E*;PT_)oO)*vq^hzj_u;IN-V3%76Vl+YoWqL)PxWu_?D7z?S1T;tXNO zIja01W7fF0Abgu{PQN>)g?i4t%)c|xgphd5=#3}x1X%X{z%n~7rR{xB%JSW#K^Y;? zM$9(EA^ZEo(}Md)`h561fj+*Sflyxt7x23;{`|_YLbEK$%*n%J6cWmsb>LEVx#Vc8 z5?o8gmmSm*_C<8>s&ZORiS(GjlC(lt#OQm1{bAg>Y}n@(SkB5HPMC)16xC zW#LkT(S5jxgC`@3$#wu#n^0EAyAN=$ET_F7+@HA5%53+d9Hibr%BAXy0dRre=3}P3 zA6NM?mip6P6vxqH5Y%4(P%(f5>ZT+6v8eQHR^Q?g=2*li+|xZnHpaA87%caEy(VZx ztWiK~>Xa?kz7+=ljUUNs`wcHD*(hm${@O z%cUQI)Zo1v2`3`u8GwMlOH`0c1)1Yg4#g&DS&bYw=ikhQAyp>>M=**>jng|Z&>b$CfoD-Gyau|C#u8jwJg#*-D`p;!(3Ov%+k2wqu&yub64mPWuIV| z9(kl<6HQuoT2^K~hh_~Kq$e!05Ryzwp=se(4}(FBWE`;jD?Wxn&}pHgG)&jA9bb&4 z{ETXNCH&#d-v*w6+&FFkP%%QYgf$E0(r363uoXw~W6@A#)AXwXQcD7UdVSB zl;{W~^qzBbx#HZY7qr)wxL_AVjNcVcD%xs+wH~I1$5FChna@8%&!XuEZORjZ(SzP<1cm z{#Ey|A_}sNCAh;oIDaUz^XO%Vf@=AgZ9lIS zp|V2q#7PCM%d(->t7@M`p3=VZuRDgLSlMwpMoZ05+JlSSQpM;`OM5($yD}{g;^zCU*>e2d3aUML*4(CH^DXbn;^S z%~Cznj02oVS2W-)@JmDcMz7UEpURWF-9L_IlX5U@+*rIok)Z)lwZABZn1iOmT#VE7z8(^AXaaY3>jx;gM zIEeHz9*WfKSaU;Plgc#05i`Sy{@q`0yDV2jQcoWh7SlYcOY&JpYOTl?2TGURF5Kaw z$fy;|FE+)3&0+&&KOFq`^MqktejRuSAC9q{zgc!t47gfqd>?-zjTt+dSCPh9rhi?+ zIZiOmpr(sOG!bH;78&!i72${(e{7YrshBNpkM7Q>H)mVJ28k_W>)63>wQK^DRI2!L zhbUq3$9p<_U$s#bElrr(zXz)%$X#+Qt9(tRCS$68k))FhJ$d5g=@pmHf;b(@IEYM( zThjI0w(6w$j8Sw4j7!=3Kk{wE1?8Zw@i^Nvv>f?%X> zg~(Fn5__Iv7PgG0p7al3B?;AP`dG#lI1z8Z%LpZl^(L3=YJkjzJzMAy0h0>ZOM|XN zZwy_p5j~33hHc}Z(QPR+Y7H|*Hr$YBMm=N;%x5Oo>?r$vpGf1dU0eIMPoLu`cyA(T z4A*Yz>8?{XeJq0AFbQFCFfj572a3==((P{7Ga#72&8zWobjz6?yFmq9m z^LbUk{?#I~IPohPGvfU#6y9@G2w)}Q(W|wm?4;4AgX~r>y#SyLlUXpVz>c^@=o=;+6$?RX=)gZ@tq`xXHVMN;c0L-SoR$X)`J7a$$V( z?iEhRlllCb+;?8`i$G4|IvX)>99(h?a`V*k?yQJ4$+dF9i?nap^qqxHq1AzbvP#^X zwst5U@=fRS)Go=+ji@{L&UcEUp}s&BmYShqPhBG>>WavhwjsC!b#7F_%mk-`a7~e4 zGH}XxtdDa#oxU5rg`!~1tfm5*Tui|0Ck#^s%La<5gdt@;%6FCb7Q}S&=O7VndZke7 z;4tEvc@!j0e1hS$7${~a#Kun1!!RwhiIeld!`{3mFyl0j&x^t$o@SY&>|gGIqxvR~ znIXcQF~^gokG3TH6m86T)?ES_o~?BuFsL5-)9oFtHkOTbfjC&%6p$u2Vm?VwQmk1~ zLwuHBxo`v0De{=3BX!g$6K$GVW7C;5sn@Fd9QiQ4x6P=k56>Y?ak&vZ&JH)yMJ1V zzoz)J?|n&*IlKq`=)4X-o6oJc&`ri!LXCm=)W!HN*k8E2Po6tb(;cWl5ksw^^EB(H zKt|6Y3>uF&@lJ;r4iHR#Mv?TC`Nqw>;tTYOEfO`hOM{?%)nAZCJa2GgSQN%f4hSj< zq@VAtUMa><1WP{0%q^y4NMG!oXI%hRON5ZGe7;}M--gZ|4PQW>OZnJU9fCj)!>HNn zCOTvdDe#XEpu+(2Q6x3eu2FHs*bc+-Nt^dNfOC!20xdIAPTe4TsNOY! zx8cE6@7>FOj7alj_GTN}EmsHjP;T`W+s%ix5};zYrzjITH5wbZLp|!>iYMWaKN(4_ z;g31Bt2FZ?QZkH;y?0YEqyK76+vyLgN;cnfT7TlE2AF`$Z4=NZ^MH1`N~-2*_moxd zsYGP93@hKFzKQC%2?E6#pF@d8 zLw8)zHMaqokMlLmVuH{1t>Ne_;^FstX?=*AYQPDxv*e_+F%IST$sgQU?pS60^3jn5 z!a6Xh1P5RkdD|XzR@b)cKz`ua9k3qC( z>2UkkUg@asCKES5%3_9v6Vs9k2>ozr;6P2!Qqthsxp$^9i?LXpk+u4u6UoWvIER~0 zkx&S^SlBAKkT14{uv>2gWi_L70fBHAxAfM;1&(7rWpR zr4r*HJlO?L~r?(=Cf`Hwk1`RWNXI(Mwgg)IM{6naUB6En_DBc~< z$K&%{8P=QcPWlb=_Y6G6P5(uXzpeb37 zx5;Z1PhtDdw>;!PU4vzfyT>2fY1ee*srn$HqO%D3tKy_`uAOjpqe&P3*iM&3HgFRL z;~S?)rS;(3S~<~Y$z>t|?#~D`U@hZ{z4B28zM$wHPWW_ChwYZ{sj)57&#iBci+1SS zaQsfq1oGJ%@|tRX8;~T*rF~&EJ2_~e2)rt(4ppI|ibYV}{8F!HRi(9w_mL2Ol;X*U z4Ufu8^$gMYI>T?Si)w5hyh9c{$AF@H*`K0AJ8kD<#<>(M+Lux*9pus;QON9u;RA__ z&Y_D+IyUfIn{@-#jll|H@-Hj3Q=yH}xlW5C+5n_D*MfO`IivUpo@Xw={n+ge>%msI zkrN^Bv001&?*#dSzh7nC&9al0zCfS;a#(DK^eWMpzffCG4*iLk48DJ)DeY-KNW3KK zN0cy}FC8JPM5itFK~(!9LnPmB_{p+|kpV1=`hNL3_$mhq1HAB(^NcHC-5I z5A*|dsN^UE)`J+EKG-0N(BQUMA0pV_pSZtf6^5;}CQAq>sfnqcG~-5auUT}#tVUDI z^RWHmpn^gIfrJt8%=wp&kfzL!8u0x=8Lr2cJ>Uq>aV^9_E5dri&h^T@TuyP^tWIB^fv~7?lY6nP;l4m+FuKqg4Em+k7*`}nz_@o+d1DB zB@4%yN$E?_{F4bvRgb%wd)tO=i>6|2KrFe~3h`|51!Z)s1Pc^>=-q>P;_TK_8Gvm~ z3!#C?HUbY%plaB(KDDFz>gh)7DsF#whvfHqwH&rie}ya}ZS%HGGbub)a-$X4Qkh#| ze~L4E#BecKv_Q2^SfOcOUhC}APr*x- z_n8tK^{{|)X#{qRY!hpgdvZ^d*l(az(GwgaP}pn9*qxU+q{pYt=`5|kymnwD#N0YO z-f1&p;{?zv8f%IRAM~W!$c0~S0Vkwxbm8w7cqU?|<5h7hJLf_Rf_JiBV?>UMFjuk+ z8DqyA%eiWO4<`Lj5$x{wA6dUdxI+6yr+!_e-b8YOpv)!MKOI{ z#&OP??jvqZ6qQO^p?LYqX;zofIO+Jb2PBzR!bOZK)+iogs^^V|?><(N7X#K=RI0d9 zJ&nzr?yI>fB=Na-ljzqdPv`-{3hcrK;GceTElDcmb_k=OHv2`tW50n`b{@fM6fj&a~0KAG{|h1nG*sx2UEseGH6=A(GC|Ob0YQjKWT%1yCAn0f7TdtB)-{vOPFR954d};>n$*1V1Jsf!$_hZzA}b{nA`r5L!4bpUGc|xGChyRY=Q9!J)c)jL zMe@57t*^S&7@DY`cGB;($#-P9Bm4T(yzg$@{+i z@v22Se&@Nw7l$yt5lGafW)trJ0GEQ(@9=d{`j%EFNw&;Dy10@$LfeGe?0o4_olf|T zgN(^A(P0AHMpE%)^W1vrui0G5>_5NIZ7I+zKgQq5?0mI`;OOAQ3g-i$iMo6vP1A5! zarZzfV6lz&*A;#NFIdgti`C4;h{Z%k5i5)n$yd2^%T zJ!~YL0ZU3m@ZL-A?$fq&A^5liCrW3+*QW|qZve}2S*D$mKQ|*?&}*%g08aSs>cxeg z@3h<{YceSsX(!KO-=!QK+69ly?L$Z`YSy)-USm(7AsE)4yZ&A>+QX79Wm?gP7+@+2 zS1sLCnfK+$ym!=Y#c-DYfSo0Tkw*rzvC!)c~ zVh) z=lB!Kt|PqZ+-s||!U58XJeex=d;WuuMhx}GAH4FiO`~w})*S_}hQFhTHTsnkwMfHd zMo|*0i`G7ODKo-3Luv7gf1lORqGWwAqI z*$7N5G}m}j`vqWSG@2iw(E_tk0~xd5()ug&yLkZpD~@Ithc~I85rtT0U3sR|nE27W{j}$?c0OIc&ue^gchUyEJ=8l{oOprfXJ{%^hiK%^0ZPqiEQN(3C$)FNPS< zt`sLCOcljwPF50HMwa)2zN#Gj`-u6Qhc!F9j#$U={QT{EIz6bD9K4aPc1%J|$M&G|Vq}|a`AXdmi(mpy7W=jnVMpvD z8uI-|$ff->l1n-n9j6(b3E3ZiP zkyG$>{2prK2+Y0-Lbj<|w{$pNGtnyakSQmgL9LuC{!ddn%=6k8QLv5Kw~I=rkd739 z@FggvKm?yEUUEkwVx!_lE#%eJWTa`eY&XD&)R=Q7p3KJ>-$?Qh!Ql|AZ)HNpa2jbI zajpO5NYT5-bLRTM^@=h5waCN8LN!PPXtSxdH|>J6DnKTFCOy_;Psg@3fRRZwNhrt) z&1b*@6J0S7=+zOt%*4QPv8gg!K09C-GO z9;i}NFKVXZA0SvR(HAjQ%x>9AzK+E%i32P@_2HvH?wSHEd$aBmB&}Z-1n5gzL_c)p zR_<`8+IYeSSHU>eCA({?ReBVf2PJ?rnTaVpaKwln_L;Y!{!EWhx>A8Yi!D&xjsB|G z77#qM{M!*^YQR%Y?u;3}+ost5O4;ndKo8&RKlCf@J)-?29%B_ZSyaF(H2VW{RS9c0 z`UKN4cld9j!6*7di%OaHG#H?YqL0L@gldQaxd%j*@ShMH=;vk>fUG~Z*Ioh? zD-kzr$>z=Xzr~e&#vc8n$_6d>)1yeZUni$(@@qwQ49%cvt}&L25#)1A1Z`|a!MXE# zElb`#CfG}rZE5)G<(L6hmy9&1ynXC}_sdh`DbtC{N%Dx&k=|Ivg$ti;w zz`wN>K%NwB+l&5iHT`FF>}Mus+$<`9fxnh76ZRpP@Z0$*+-kVWjxK%cH`b{5F?stw z5AmASP)0n7j_fGy4OZxPsL8Z!!ZF(dglGvKBxh~k=9#qpYP4`sz@A>8s5^yV4jm*pQj)x=Ur20-xHsg z`WB`@9IUS#uorWBW=WZ*k>BjTa$JMC5L_O9*E-$o%YmqE$*l)GHCJ#ZffW28D9luw|=5{RbRW-BHM2B@g>&K4A`o_fGjfOZqCu^fR3-*!-tb;FE`sD;LPFAATPjJCM2JO8RLgE~7Jf zi{Af6?u=<+An+7GHT4e%EJVa>O&mN!nj-x4HMOn9(B$?YLS!MUv3lI5^Ytfd({vwv zaPq3wn^Cv zzXk@*=?Hr~X39O979C}4#(1I#*+0GF<+=>P?)=1@E*F93n1IofrRaf!H>>ocohMES zFQgSJdRQJTNy~g{Ao(rHq)|&eEu-60Qxpf0N0+6+k~`p5qaRA;7w#rth34v}=A7KXRl z@0nLl2B+p6d0rGcFV8(Xc5c+CG_{~8bmi+70XT`4jcK0Lj9oBF__L0iCf^HtDY=lk z1+Y;&UEo4gf1DM_H1BD?M=%ig_yKWAdcdeSzGEw9aW(fVV(ykSV<4V-uD_az?b@BM zStOO~HN*`ZoBwdJ0<$qxf1`C=K9HcMws(8nw9t)tVsUV7PLE&TcE0;*bVzr{3#^d% z0PFwN8oQN{3D+7K0n=sU0G8I_6Uo{aAG0-AXOE4|hh?wasU2agc}n8!GCHaE|FPjD)% z&~&7zt;m-Sp7@}ns{Onq*cMn`xrXeeDDn`?R+%OVWp}KV;UQ5bReVu?qa+1-q_M|2 zr9+^C#>5RKuY>Hx85j>WAgzr6_(tX3w)J8E{bv^8tob(s6~((06kIV?%o}Aa1IuFi zm3efj>Fvpj{g}|i9!;M}&`LGsAOra#^p>M+owL-;q56Ly-kM4CNz+trtNAEhb_|tX=lxPn^mB8Q zdIYfeS~$0z7;RVM_wzy^`G&W=)Arc#^~~ltkomi|83KA-sYHLoa%3S;IJFWmgiftb zP*ql9H_>WEA>NH^R%lRMc`HC=K%S*mU#3uKTChlwH0|a0*^(oxZXdz(31P`&v-DZ4 zrtb|f+gH%mCCkxM#%ch|RGRKZQY3HZ422Q3~ zH8v*FYlsu0i{XgE#9tOfTcL#Oo=)yLjmzm!1k=CMzD|(z2sAbId^oY zE@3kN$2<|^KCM4BueqKL2 z8S7cLWR89gzXRZntvRO_=*XgTmJ!hq_l}3i1VpXY+YaOeDpESronb451GN|9(ow(E z8}C=^^kzcNW4$|+$2w-g)g165fv2$jR@BHvWs?xlUQf&3v)B2 znhmxP4k=tY#}cMk|JWkg;guUxTfJc3nlEPS^jD)&@2x%YR_-cv)DoK@N-m2#fc7cn zn@&360YQqE32Ct$%P&{O(^8dOw2%$N*-_d`21}lez zX3E=@ss@0}dN$P`Zl}bE!~W&g{X3Iskvb?{pr`qjv)Db*l6hZosE#DXj#0Pw8fO`I zexa^T$qj}Aw0n2|=3&|ZH(bf-C5MTG#o+8;HuRo@hHv6 z+o*P36`q@fPgG~l)TOZwMnM_-P-K1a_unQX|544THkD^!*1i2VJwU&h!+5#N0UH!l z6^}dHbionSC$(>e5zmQ9gFXE3f>qvR1bH~GyHYtI)QUx>W#MigsPO@7jGLCW(3?3> zV8_?_`@e1U91y>zJ{`D>gSzXd^$S$g;j8(tQU(X(3(sx8jNYTL8?P5$$`g^Rk!^Ea zoPbU3hpaDpF}KTy>f0VtI$a0jpiCHV{BI^|#jR>hQ+6dJyW6a5{J4KwvMjIytI1GY zJs;ZYrWM>0J%sSO#J|Ioo?fBg6 zB0wrVBSwJv83OHc;p7DQHWWdM3SI_1l@>-=R8Yj;Ue5irFr1!~jf_@OJWe3<basxU>KmT*h8dU6cSN@CFCfpFrmV z-Ruu0s~lpJd2(f6L0z#SJZ}WaaRqx}rhVDaZ}?%KO;={(ftXW%pn_sLl4@^GF!7M5 zXRI&|I58ANa45pfEOam;&&Db>Ja-Lgcx#mhyg$uB7IU~bFez$@XIvvdimOc$&kRd? z?|9=TAmDl<^Y?E90+SUYU)z@^fT(%1$mh;tqjVC-o_qtY4Ke1OQzKVc+i`sW{G;D; z=Dc_AR$_*t{p{tMc{^th!T0qE8r|C0I7+zbGsadU+3TwS!3{xS@3^9*v(b-@zOuZEF3N&zbNi$)Gs_rYjI208g2w?%j)7eV) zJb}GsLPlvK?H2OjdUuMLK@nB(yH_>W2~}4&6R)Gk3v1waxB{jt*y?C>vcV5gm+fz7 zNU_?(NcAT$wZqH<`7FvV8=X_~d;4NLjzIYz2425!=^d8PwI%$^Wz^Zi#UJveGmWl-MvZNUdBl92B zz6j14i>Z4-mI{|_D(O(Tn3(kSjbDwtokltkiTK)!-};By)@!Eh!C{|f77lu#9rc7) z0xJe!rOLwU?KGIX$wvz&N>fxdlVQdq#^rcQ3~RTOcM)}T1fs-~~1W8fJ6-6#@H!P-}N>T!w5@UxY8k z1KiFg08kuVqzIEBV{GInW6RIy zfV0NKL2ekBSw^a&LLU+=8i-)D3cw##b;d4myrR~UhuJg2qTvAJ0@n?eA<#@_^KIPLJ2+3-3J;Qhgzi|7Va@9d{|SqIz6`~2l{j(I5t+@UyVdlnr|2Y zQ|ats-G-4FU4R~025@<3eu!P;WzSOUd%kf10M~Ol)>U)&=1ikJX;2MM9DH(4UZ23X zpY4SKuKCTP%Sz6Z20vyT$h#p5`O0&LCQJ6b5AQQu2Rek%^_cRK1aH<=M3; z>QqLz(6L};DASfwdZ4!AWO|9h946A|11Fv%Mjj5FLSbCYE4KJwoN6*)YvTzRy;Y{b zJl?`${m9on&7hVF`~Z?WbLB;d%ecF+Pt&r@XjCBA*l-@7Atlq-oBK(E~HJUDz|2IK$C;Y z=xpSEzu57idin&ua*`Hd#t5)ZNXiQQ z708n*NP5Zv85z1k5$+Wn5@lLCQrJ;R92AM2;Snc|?{603NH47B1wgt$W&u8QAih~2 zX(ARZvC;+zQ`V=gf3qcJ8d?++h=1U2IaIL#Yq~)^FjUg%=^@(&?1YMj?6K~Ohe{Hp z>&}bYpqHjzaungDKFzhp@69#za58!Bi% zCfmxFNw`a6NlD3pz>eWijW4&!^~rtva}1UV9Lc>w#3ebOd0ig)-O%vb;#B=fa>Ft0 z^4DwlK#g{6h*lfM)Bbeo8MX`eYAH9vnP~A{g2{o>#J!-H4NNV!l56Sb;fBtK}iS6b}*&^V(?&nID6n z+${KR9>*AXS2o*-6kNN5%$8ZwMuO68Y1i{p45F6^YbfR3KnvFfRlY*+V;)BzS%N9+ zH3hn6?B9wkiz38}{wUYDKdB;4O8>D!(Ef7H>4OJnxwb(kw9r~U^@3;JWArJ;?uVbq z>N*pkLki#4!eJ}ihTc(rYl3IPW0oqeZyUqM&|>xrjeqk64Sho_?W@QI^BC`$@~BG z>Hnt|{~w3{Bsc3ifs(jnN(PDF889#$UTvwAiM{(&e6v7b zK-f2R(q=Gb0=z6yk+5QR@$GykPV757P+%>saErDF2IUYgbYABuXF8wiWz)dykMq2_8uLoxsLVh;Bmu$&c5?e-%*2^$?1c``m!$oBJ2PVsqPv+N5p>#yO@fC7=h133u=iVmh5Kl~cvC35-m3dg~UvK6fY> ztMu+{G3!mEfq(xEizFcM*OjjuJbyDHENjS5k=Vf;oi@z#S3Mdq^|R*`Tc1jxF$wh+ ziXKVY{DS`&Tn-yve!r_n$HlO9lU`&G0GyA0ztAzb6rMt}3mWr)q~C4W=^l+{TTZ|3 z=<~nHab(Fil@D;(h5`dC!9IN9m!+$! zn}wlB;=;Sa>v$BaYS?`-au_tlTsgZ+JaoNNBoD)w1_s|oskIUH`J2Q7>tLzlBIrW?0#>p%DCUFRN9@QoEZ)E9tor2O8LM?xUa`n2+*ru7jU!%%g z{65J8%76=zHS0OIa9XCaT~y0!XeC$$Aa!?2@vkFMCg>vN z-Ua65oM3IKMHy~Yuz6wP2J{$@H;4R3b$kdM*c|pbA|FWo`sSEvs+#a!o_L@9$NhG! zm(@4^04}B$tHgOR%XH!(4fZSO(nS-BxL3auxEd5I4~NQYRe%{mW39Rpj(`KYK00vmHjdYYo<@0>&fhs-!`8ea|cMtgwOa6#fhB6Z)&3R+B6h?5*K_hC0ReGp-4rZ4I@1KjB zx^Re?p^1OP8&pHd9XI(V^59q^UWfeaW@Ld8492ET{Zl76BM7VE#U^fGeFmX&6(r!R zY@`I4Mwy$O@d(7M7>hhVe&=4!QwEuF!?pYdKPWfsd}DwUJ)W2S>Qn!k$pBaY;Y$sm z){NmpQlw!N%rEE|7rC0V#HN}304XxU93=9&>^6p}&T;tIjcEV+xu+i+2`FpE-f153 zqNCo^Lt#6Xq-^uVB~TSUXUS~yqZnwd@K~@-%_t-w{7Of(Wl)c3lJ#ntYX-fXK)9P8 z$b>J*LA*Bv4lqy^0A>!6cBtSz^fLA*J$hr90O&o-qlGcl(O1U8*$I|;rXxqvdPU>J z*(c~LnUtbEUOn)pw%-w^ZSpDU7#K9y4h=g_dX<$bbD2Hi*IJ-3RZsTP50Ueapx6_9 z{N+9(Vl?1NL18|<*yTdP`Z#Q{OH<>gwPZ{8AbEH6z&aIJJZ3{XlcLcPpkk7&^U1)J zKFaXb<2ZyZ-vEOe(k%>8$Y?JBp|V1xvOGUy^D0OrYZS;yE1dUxCH>`e@UVoGVT7Qw z%PG-ioohGZSmxC`^FX`ffy7QC;0c+96Zu4Kf<2c$(gJZU^SSw#rZ^2wI=}Re?{4z5 znSx2&1w~z0K#C}XN@g#NeC@3JJb*vUJtQg^w9I_a#1G4T|I%+D%nZaa9BaH6@rxQI zRct>yb#$}-Z3?Co8WhcC57~AleI+Q0^Y+SjwNCZ1D@q;Q_nT%775H4{cSjTGNLBmO zI%k=l)1*uxkCOVnk(!O#5O@j{|~*Ig_Yrdr&s^yd&2)C zy?T^SrlBbL@lOd*hiBlEmY5lM$A$e5$553OE5NQvzUL>^yr?`?(Ka1}vO_5!L1OYy zmY8d4_<-)WUzfkZyj_L!fQW_Lq$7hNx-5PmKHB#zxx(H^Lx*;|gpwCJKn|h#-@vpv zq;ULsvM}uM%?>cR{t+ODT&Z2qI&iQ4)X*E>o?>~9f!cM84K81M` z(Par<606ACQBQhpVcTwv-Gc|jzzNJUP_fRi0a}x3Sgk}9Py!NF*O9@1&`?+pu$eGS z0A5SeD&|7VzDCLZhA4hMycxfW3>?yAU)5q@ACN;^ZF2^}6rfEMo_5DAN6uukE`P<5 z^(*faID(wxVHS-hiT}JalAABeQHRM&oz+%1!RV-a8DA@Iv^UL3B9u)*2NR-qYI3cf zpOazS7gF+QsjrSGqq%Bb#R5V`L$F)|Cyl;M3ho^L>wgFEe1R77;qAy_o2$;^;hZON z!yE^0B@396=z6uS()pOPn$pVA-$tSA(d02(Jo_ zv(5XI)&A~^H?ED!A%XsRW|cnl(fLSRd7N744vz8&45QJzpqiJ|QeFlq_wfU&`Wwy@ zE>eHKBgCABX3dHg0bQ!ghLWoveggO}r=za5+O=T1bVS}LTETTvH>iUtb(E{(nO@> zV7-c5NVr|07)plUS0qXn+s==%^w$fl97O)ON|^)jY+{Y;!kj0myhj~6G7U5=Rygx% znzgMGnsnUwZ-gg#d+Wa;8XV2zNks4Y-P@L2u72`@pY7HuZHB(e7z!UTNYA2AP*eA7 zEqg_oE|Q#f0z9d2V*rA#%G@t%!10{5Jx;syK`g>2x7zI^awSovMHmM%H4)L*&*qaoL6}RL@a5pOVa(D$xl)&pb@MZ1A`)a9Y z6@*{V^}!7e+T5o4ogh>p%nh9+R;BCI^`c?$(W^_Jkup@tfXDV}*};&1q^Ec}7K&XK zh}I%%s5wjI`DwPUfLt$HQak?wWgbig7|pC5tg~1g{>tr8)2tTJ_&Uh*Shz9*_t>nupZV7tE*1#N((3ijx)lJwb zz71vQ!c5$uXiBu7SxWe#8L9h3lF?2Gg)jn+e7mys8pv3j?hhv-rcKx6HLy#b*t+e3 z2nQbUH5t?qk`F$HQ4-y(25Ast*k1Fo%lL1sfCd%)`aNAr{mEmk_qR)}HZ49V>&erB zae2!jn^8Wg!Kgiz@NUS(1t{g1GGq34El($-`z!C8PGO%<`Jd{-CkT% z@||^_Vq68$A(!8#)9HOuWMF`HnVbe!b~L3H(_NCY7I96*2LR39#jG!uLF|5>&BcV_ zi(bo4w-p9XF9p~jC4Uqi-aPlOyY{m_xF_4y-q9cUU0+kt*BR_I9_6OH@$GfQ?1vC_GM~{<(HJpq^1ges3wHw`BJC^Q;20qj8jNZi#yN?*L?ydF z?s^~8m+E`YiYS>K<2-4THzv{eK{a8?!&IOQC4miL>#%{R!nGcBzL5F_9>rG#91#@B zs2|v(*<;dzhZFnyWF=YTVe)z;wEzc>)9MSO4if15r&ezqU)sz3S$&;qz9>0=2(TO3 z3v>4YE@|t%cW6!3En*sO7s%Ne-rBTy!-FKZ<)0)`=ZX1pC=WxxM2;2bf9LU=BI*F` zA3?^zkzfU6?6Vk#Y|ALWRZ%Zm6BpYtNtX^&Um)ld)HKc?zKbvf1iZF(khab$tfe?enP7ZKp z@pnq!MElASdd`N^T)Pr+qP}n zwyi!!AKSKV^s#N*Hn;DcJ2SDd6SEr|yN?-_4^!yZ>Aktw5Ga~ zu|Xz7m37>J;@=ISgx%AmWwqZW>wAdEH!0SX*rA-UT7Zh=?MH3qolUj+{YHyGC-Wf$ zeGfLGhfJuQ2rUGVpSfSzkkM+tt++l$3r~`6ee>dg!F5J;Bo#<|satLjg zUoyAT2Fzf*DvLOv|`hcbvIuJlVpeWf8P0#K+t#M^-K@@^G<<&B=Y#u#; z1w6Oic^`k8_$0o$H>e}jV^ER7sm^Z@yLIQoQ}?8QMLrfkbK& zlir{G&F_W^?X<$3g4{Kiw*cJT;izrdT^zQ}X_Yn4-@XVt@K}c}o%7%|jg$OLN#P0o za1o$!sAh@dxrf4pI?IY;wf+Ie1)Ci2k*#B8H?Kbs#qp()csQX1oOFRc@CWDS8rKJV zp1gFxWgpGQj006AnBY!xHxl}AUG`;5<5AJU-{Wr|>iksD4KyVrr(T<9-x>BUNgObH z{RsAXD@3@;@-vBO&~E6^ZU%~X9Y%-dCmXhnX-mA!O=bBCt19M($vHKpvJRv@Fu`$8 z_woL{9J)%)n^dSJH>ZiZyjjP=b8UUW1`x{LY7-e;`o1*YSwcPjqf9H}p0NLQOY}q2 z_NA1?jp%6ie(K?hOr#j{CVWfV89~&&cZSK;Ui-zzAVpzLHVvfjUGPh2EAvRD(~Ofk zq>5eM`63Ouqgf`I@24QQ;xB!IL!W$emPBy3#d#Y`$hYO7F(SBHiC{RwhSJ2ctsZPo zU&6Df)`z-3%mY(0OdP_m?5gX+x^toH!ohu#Aq1e)UQ%iAjc1rG2Vt#s2QZ^?;K6oF z=zZI#+TYFu`Zks2aUc|-r(P_UL z4`_c_d9wOB@saq>jbd2<_45K8jqFH66_6^46g&}_IAFob;tDYOCnfzjP<|k{>!3^l z2}b^KO|h?==8`(SKf&@e5WJ3`5t>3~Fkot!1<5$5m5Y)nyhwx`Xs?xSdDKp&>bVoF zp@yz(9WA&-%#OJr$E}9Nlb_#tud%?SR-&#`SsERlt%jQh;An@T%D$+4}%7Xfk{!wO|x!ng~_EM;uBL$MWqM8n4( zup3YE^%ugGJ>&+Ax6;udv(9jm$erLc=esuVuPxvuKS(Np$qSz$eUEYE+d&r$S+1tJ z(x7BjU@4-AeOw1p0W&YxHRwHextSfy{3UJ5ITMKP za->fw1B8`ANvzeUzmg|270dr;DOi&klHa?dH>5Br2M$j7WbHG6frC{##5?B&3R!XD zAgAyig9@}UekfZbcyhYKGOqkX!1b;HH^EA{j3~lI#tTq^gmimiDnkO8Ji}Qz5&+|K zB8f702i?OxbE7jJ;nv#{(_#N((@5Z5;(b+SQsxtR6&F0` ztpCLpm1rTnWEx=*M4Wr0g%vwaV<(?6Y{_7v5U0n_lE5Uh8?OtOw$(F?oEiDW6tS~? zoyG(m_Q&2;>3h4C8FS)Z{(h>qi7I=F+TiXteE2emh2rlRr))OHp&8G|?$^YaplSr7 zA+`b@XbRy{ex?0A{yT0s6PP<<)M`iX6j30nm@F;34& z^h-wUUZ-?#KGZ^*DKC4hcI~cK@axP=E6M-{Yc?K<5^QajYj#E7LoqOs#?bWJlbD&D z6Ut@{UeVK@=5HQFoK#|@{CouEz&{JP9;eYmEodM_?0C)U)KH_h+wZx#_n{Xvpn(k}mL|^NHjh#W zn&aF6O1Eu^3dr~K=EjFBS>xqRv|mtI z3>Qa!HGfss%e?|*uXH%k3kN=QcWcVMu`|c0ixQ}bl%V_(^*cp_z)5tFQWdv>tE9Uw z0(g}vsf{Fl+NeDfB-}kuUzij)7c9%xft2|f8=>aUXR4___Lr1d6jD0Xc%O8``S7;b zeEcgV=<-*sYjk64 zDipzUihPm(`~WB%!d8YSVWyT4Shi@PO*Hpy;e#&4H|XQlefIPnX1sY5P@YvGtuX5b z!BV$b%P3XWoKcEYcTG%1huDEg#lhO1~};97`$2wnN{P-t8`5O7qgjU2HY5 zrx~8p7*2ypUaT;=;>5r+q9n#;%3VV^=-fOWvPyGYxRp zUcFNle)HzyBrfKxRE>DmFC#b|e-Y)X2IsMTmS|AiGF_c%HJA{E~dC9thKg+Oiq* zD+uc>Wew{9kM)zSBLn{ZVH!S34 zwegg;e$nHwLZtRlK|b(I@|0;P{0vHFP+U$r&X|U~$IZyh{r%zE8Mhp{+ufscApnH7 z-}T4v<{2K|3oRskR>7Z(U(~L_$V^qYtP56X#~p`%{>S;@Gfn%m4bR$evoOz=Uq6Rk_R%36^N6MnRY05no3HhIil`i70K z4e>qr*vuOX>5K@u=m#XokYqZOjn+}N%HDwd zvFwIiq!f0lD9E{xmZmx`+P!9DZSmMFI>L*#Zn`RTkU?sB%c@BkG zn;P9NbvE4WkCkAU!ffGGr|z6GyBUw0;mE1-{T<7q!c#Tzry;`-k(V7ij6L=~U_P&u zN9BGkq8|ytnPsk|;~2zPOHj6$zPP*ZG^!U1&6mtTF+)vUvtUTzO6DyfaJ5`R6GYU; z!AGwEUiky_(6f`yBY&Q}4}&*F3F7VDQ8*&sF%*XRFOrqFVMw4;}2J5K;IhB8Ur37`%7lSoC*0RotoL=JCrjley_(2MiT#XWApP_mUdJ z<3e8uVd2cmZ70JeI5-R!(_hu3@K93XV;bge@Cyjp#_lPn%5_TrCTmGL^x1pSPDH?=TUiVJR;NQf}rxfl?WY^;0?p zt>-0aFm{l=8Foyqvn@Sv=$4AemEg(Xx8{R`CldMPvM{m*6jUG1)=FWD`Srh{#eVwY zLkcfm^?d-zU3lkNfu8Nk9wQ4T0wGfTto=||)0&DSV)Ii4R8Yw07vbkIydg(DMY z#Ld#)&Xh%#31%IJHZyL!9n2a&Gxpq8jgLY{DjwgKwx-)Q$?r1CR?B zD815LXaOHVrIIUtWx&|$x&#nicN$ATaaKkW)@Gq`#TDr#3{Tf$_eaT=aA|%h>pF6M zAfZwsTkYst_Ooqkud0D_b8ULSoB+bLSo}tdZ0lVu@*q0RuAd}~dvHg0Fp`?I zKe`HniJUIV8tE?2BLbhe4R9r;XgIuxk z+ARB5Pk&E^DA9Pbyr!y|27^|$gPC6diE$ZL_RCH#0@_fBX8OQQzOvgA;YIIjzF*r` z++>T`qcqtY_VQCVS-*opm^ft^?ltjTI^_b!>2kH=Iz&;T5*W^@jRFubz+*TKi3)Xf zkY0N(rxKOLPF9x%yIU*I3P!1@Vu&$>ZI!5JC`A_GHF7w*bLTU%rd!$R9R&3V1;ArL zox?VkylK6G>x{U~)mhN!e#+oCt*y<^6qy)Y+^-a=mYhQJn*}vB_ujy3$bjN3Ke zS%DVw52Fv!g0FT&#bS^(XuLdD-?CqowSoL!&kPI397StsOSYn6V4b5LNN2!{cZMox z&R3oYiwPEtfRAZ}OgT`M44AfMjg?rSirNUY98Rhn=A2TkF_cK0iIcBrV8i7yZ3kq; zfhoT)0-wTr9%&#;lu9K;NJKDIesL!JK#yciQ~e(Af#xEfrK>qc`Jm<1S7YMZ_5yD} zL>|z)ZzRR*@SW?SZO*@7q^QEbLVdm+I$oxrH$nskZOZ9lKcxiy&0NG#!)F*vj*6%B zq#B~v^&WzP(_y}16X%IRG>NR50x_vnrpx<=bw|oX?k4#;2HflO-eXC@Qt9F{!99^$XHg7J$_}F57{t`1;0AZyrbB^5MI5 z*^vbAbXT{dmC2h#u4}WSirNIqjSvuv`#iC_IP5+mK~^+`Y;@QBPys2Ompbzzw`zcD zK+J=VJtn3Sag=0?vDu}6u9T#TQMki9X~AL|@1$ub^oC_71a*%sQ}*I_C_CfMi*nc+FfDS&`kL{2A-remV-&?*M*Y62XeFq1Ac{wr5;rr z|Jl0bj8Xen$CoX$5~mOtMKfH2r4^-ZTT#3W#jf!@tao&$!8Qz0Q59@lrM)KRW_KN|Lr0ntr%|`ttZ?V*dun|y4l6eb{gWu0LG#DxLRD*3 z=u@kuz}msZ&A$bo>ha~ThcRXHfQf6WzlQ6D1lUNciy21J2ZY;zlnnt5xT2A^5xhgj zJkcKzb3%rrk2@)Npmgs|Cdl@?zQK=0VijD;4Ts%Oi>q1$y5M2A+78mjY@gBi zD0r1ui*JTvY6>%0pFjiKHW*iD__9i~1u8m<#TniZ=R0$%VKoDA+}Y>;Hsy2;5A??d zrfWr9h^^mHz+Sy^gVE^1N{?W5Z&{e#{nEk}M{!w8DXg@p8UMcM^*bW@3Yt?%-6&Lo ziO1uliybx1t@Z7Q8%GSx937B+=?V}*5>SRVOm0B!!lV3dHFn8L(*UnOOI@A~@9J@p zo8FG)ZI~=Pe0E*r$s))h(yS^RYzsRavtD`?jBtLUyV&Y?^82sm>x};~#22>zm!OZ4 z`5⪰bScKUefI{!5z&Yieimcdifn&-K3z_=WBNOHCgi-+!m$Q&C|gVEu&(HmzBnHf!EKL8cGHQT0~L?MuR#@-R5Cc^Y?6WGorA;7rOd_eGo1DvH7J*Cu}}dd9-Ngcy(g@nkgZz zkzH22vIvnV=7~Vi9Mv{e$x%U}(E?hD%JQ4tS9dBTi#Aj4Ye# zV`@-8S$zZh%VpPD77{lWr9lcd_COKlOR}l>c$a$*+=2Tv+EfEAHGF>EQ!D#^*A=~z z`E)xg7OtdL+vDMFHMF#);Nl^dA4;{VrHz24au7ZGB0`}yL4(eQI?O4XmPg_ozv(ny z!d(CE#b)ql1U?F!BE>rQi&7-l-ewF5asK|J7KV=JDq|#d*o}FS@>liD(?zIqstCd2 ziSFNejM_pPME+pkQ17y!>I{+E>4oBdRUJOYRW)@*M5o6JXl4rZM)w2- zCa%T`XIOWyRR(I$Loep*B-Ddp4ikl$n0{SS7L+VuUY~P2@QW124}qjsuRG>}O?mGe zF(m-Ef4S19m2KDijilh>iiq%2PrDl$&}WM<@{InH7YZW#o+^5S)akVby}uX~8G@lOddF!Wy0wVr5&emKfnD`U`?&bu>AQemm@ z+zicQN5<9o?PvF{6~nFCW7zlF<6FPL{Ot&NT{);paY7G191FWot+!;MKd`gTn2U}y zQk^JZ*?RuG3zB-{Vz^8`!o92HC0l^K;>ice5>f)4xPpUY&Yn@RBCARl;CD*BHUS|n z0Zk@QO!2ZaB;#C$jbBE5y5sbIr}(3Jza9}Vv7gsz$D!yDB02lPd~_KNm3(M@4o%z7 z$jmEx0TQ?OK=a`Da6yf?p_zcIEASNAfRNrutS_+}!t$ZPa5p7MNG2|j1jg=&!LB_o zR}zPNoE84*WCI1O)H|*lFCp{nWH9bc!9467Z-?l~EB}%TVeI_2GSaQz*lggSiDe;n z_r7>TL^3Y0=JsEUr$3VskscUn_G!trrMQA?)x$LIEB)&e8sJkmLw9?PS4FLgay_fQ z4i7m(1L*EvQUBdpm$B(SIp__5qX@#hyE5gg_2sKA;RaRDeq{mJy)pnMj7Tnin+*5N zOaHkGlh%owhSvOsEjP29=;7ofGLjl@{s5O|vC{OA7jPVqb+-v~O9-z6VvWOugCqXl zm5{6XfJg)^TEgKnd150KqhD=DyN&5mN1_Wtk1#9cBT>ea)?^a^N_)|FkIl7pUtthB zMuQ0f3<^8*kOxkfu`DlMH81i(QZAkoB>-?kCk7Ut%w6XKzLm|s+$Vn_Eupo(eCXdwMT77_lC)|U=;iE5^rDY6t+J&qD`ZfQ4hU_GoVhLlI z+1ygs*wF=$ugOw(&UVpbY*sITdOkl815&#JwBqB3(A}8$3Pum8snE9rD1#nC7HP|YYHpU|IO|A8%cn3A5JatbWY+);H$eZd}zN|N!%qLUx2l@&!izq zvfOVd_>nM6M(Veu-ST+GV00)G`JI@H8aZD zRlQbQXnak(@=s`)d9q~fNtd^f7EL}?A~YuyTWQhX0uYzsL>4i)z50e->Qeagq4yHz zN|#e)5^+kLJ?yg{k{&LDy+*LAQ9-Bv?g>&oq+iw|y0{Rbfp)*ttP*m@65Kenx`R>< zvR+3Y?NwQCVR0z{99VnS&FEeTimz43*phPwl#JAmu9fEN#EHtJ!h!E3gpd|yS9N00 zBSEHFoHKm;Fjs>8#nQKhwUUO(Mr(AJ89>czMK&6*AzG@bDdH-G+Gds9i<_9rAO1-w%jM$rTb17520;HY!(#qYt zICPr83-34jJ5|xTAs_RZfFMFYXXj&oIbgOBC9xBdeYdj=O?mPm(H@DV?7bMB0A#Jo zK#z&ieUQ z<{Z0Wp&z}iAuhy@y5^v76z=<4wE_{bUEac(g~tV(bc+S{&znTW{#Z!s>rWFCnkX=z41#};1&~fG!Z?xZp|$z8gx3wUsl#S zS(hKlSbOVUPBk+?bg8e=6p$m&R3ZAqWCcvM7#kn16=_R(Rpry?%1MQJQ`iKL&L54? zP4&neLdU7Z)RIm-E~yqu%vvaa620<$Q%qh=ydyBU{M;10ozg6fl8vqnrMzDmwXD;o zDsR|N+i?bFhh{TIB6g7~-viM|1^5TEuxTxBO+=N~GI07XKyxHBmOc-c-lnC~zV`rJ zIq#c@fuHBf9Drod)&M{0fZ3_!E76zy{lVMM@v>7P10#8^I~amQfgL>GriVTFKqWNM zLIZ53Z5)VM_*Y0RBBB`5=Obg-9FrNYnPbpwzR&Fr&BW^?KzG^*gj25J!A*i5<^l46 zl-=}#{xm>i%)*0yeebZIzg064<|IKi1_8DF&YTm!^*cq87wq!9h|bW#Eogy*;0MX9 z`wjK6YN^IiBu?2@bhV|H&cpP#&=mUdrypDis{cwWPE1=o@iluWwSC!5s95 z7Z5g3m^H-5sVozF)EL9mr&DR?Ps5?xc}`)pxD^^W_Ju&ATauRvsk?Q^$jJahly_D| zu%3XFW!MlV4o<=tt^*E+&DS-oPMV*jKJ^cBjVi1Sp`4@fz^qdD%&S8>n)a8iyTw>| zIuS<_uwz3dnLqwW#iU=byg89>%T70@^r8iyr!Y6gZ4?BN>moul~D8$oz4JkYCA#JEcGV2&;Wl?&9 z*wRg>OM#u`geGq@zY!|joCNq%$Aga8B-O|s|mC$pn_*I9gG^ApcKw9 z#(cqW51KtKIq`6D-FPY-U3!RO=J?%QT+kc}xLUC!w&|)Q1qwO+w$^DG#vv`9?=oBl z4foZt=P&;I>gPb4;NikuNK=T$an3+-31GNL96;G)my5C*PCtyXK>l`#Kq~q|{da|v z#*Is~{>Y2a0$d3Y{j72uu**%?ZxM{kNNLh`F|M`}2Wpd$R+Lh5y9+MgGm*gUOQ>nc z1e;p{mULrLWA!MFEAjGX6{L%6YK}l0G#uVt*!a|9s{R9TYVZKo%O%zBTBEYjP9-;r z_a4nz0C|gUjb+Sfh2)G-;0v7)xovSiP9g2l>Y-zpF;{MC$313@Kl&s7zh>=WH6Vp= zS1sZk54)<)yY^;Nf9#3OZ-Y+~(3Pe%?;Detg2LE6JP736m6ILzmO+0t#k2?z{=qZ7 zfd#G%t8z-?dp^Dbd90aK9E2YNo0AQrZ)1+D-^JC3p7g%vE9&|FaG3-F1xWVke**}~ zb7*kCusR;?gmP)n$MC)<>8^lU#SmzdL_GG!MEsC0%%-aOKxBMMg8+7TsF+KiOR-Y>n%+$Uiytp*Ak%O|ZLBPbs#QNW2jW88*QB*K{W0&WRv)eSS=!>iS zb(s~(cwebEv~y6*c^j6r(LWmckhaYM+Q$IGdSVOrU&(b*zk;g#XD!U?7`x6Xki#|t z79I;;2(!jRoKK2I>eiqvH#~eWvv1y|dX~3W;jYbXbcKy@s^U(2Dh;@}Nf7Dc*5lyN3$>N#uw+!mdz))L%%fx3GD5gZl;lVZ#z}$-Oe=fpl~BUf^ak1 zE!C0#=AWbo%6%M9o4T;>cdsb3*;azYC}078;C8M7_GrG1@&6t-Kd{WJD1|8fh*ZE) zvFXCOpNgtgG!F{t&tToRodNb2t}{-^pl-K4%kHvsO96E()2%zB)UU^e9QjK6#eJb# zr@4K##?}dOFE?NC$jWQdMfs#C9 z#q|)IX4gwwrm2Rdp*1)~MH=M^vNvVWHOpHI>lft36jrmEksKnQ>e(dFC8?32DLv9S#t~ak`jW>Eie#~v5QD2L^~Ar@buGL@CC=!oO63{_ zWkT9GrJPdIRihNH2x;g?C$$wTP5Ln1iNEJ{ZLvtqY*dh;>J>6%8!3(@XNuTvUXzFx zewr6EiL}1%#cJK8YJ)K2O5u~zzU3|n&Rw5Pz#0`Tv2p2LSPc_(LE!t|f2Dj<71_6a zoJorcVt7@XNp7>dNwi4@+7lq2%oit>@IWs%pJ~Cr(lZV%r6-bXgkjuE7Fs!Qe#t>R zC@?9@eytyStt}qX2lDs%f!)?^iHRG7>=fLICZvnnQs81dVCdT> z2m_yDno&dQu?1JMAHwu8=GT6J-(w$L{sUt;?3ng~?aQFHM1Y(Yz(u|G6j{7a_Pnoc@Ut`o;$nDR3LQE6x=(PDI6NPr>esd8f%YseWVo~JwtR3RL|%dKcdCKJ$Yl(s_FL1`U! zxWk75n@KIkN(Dj0*(oUbi>?A_t`sQNF%y>_P^ffiaZVkqP%S`iC>ner#mGMfyooR-5 z?-Q%07L;!aiTze{@b=SBY~5U5;^iT;?TRsQD;MQUS1dT4I6gZ74?LcVi7%=F+zM}* zpcn0vH6_>+!3<^p1uQ}o_Ep}*C|v&dm#wj>0ltwBL_P7RjW(sjccX)(jnMU?TGbN^c=A)sPmg+z$Td2TzLpvH4613^RuSnmB zDKA;I9JDH#!WkQ+Nlr$X1#e-xO{c;XA=$P!aYfNzi}AQ%qJju6b}u6wYXz<=JmN>T z_&%liwomCzZGM~vGJ8{hWJGtz-hv4K-6WzLZ#cjk;Jhsh{Ur!U;Sc7e7|Iz{p#1uJ z_BUXibqFpUE=|Y&8!Wx`QVT`DWLk?CWNMd1Fy46+Sx?W*gOk((tVhw$2ZW3#cYLY@ zif_N>1_T>}>u1^p+7Ap0cZr!N&p~)r5$z~lrh_f$u4g+!@|_2~{E-E3d} zgwJVEq7mZ2b)x8le)s%{4@yp?rr6w#jqWNQa5*Zf=IEXEvbZpC+(u(4+8HYo=0h+o zv(@8PAMP49HZ`?Rp?JP4i^PbLZM6jAc+GwAMa|_*T@gstv@T;LNKPl=T zwD%r1Uqx!D$VNY_HNzQ>&e5Ml6^T#kEndv{vK{t1dE0jRmAQw@kS@T~62wx-1Zs+%#t@d{z`UE=&*(!O7o5E)o{ZI&%f*K$A4N0JGrgQpvnv9?k2iWTG)HY2++yl~_*k!i3{q zBgSnv6N*jFD8%p?tZGR3R`d8|T(giFQ;2_L;sd=^J$M zeHL=xN!XkuBIP;LS8?QicQG%6=6%>qZu?bb6Dn94?n+6zJUS-CitIinBJ9 zi{UW=Rh8Z!=N)-zlIOWw%WxTB?iRm%I%kSGO&9)WjpeyA$6Edn+{%SMV$cp(>*5_S zSoQL$Ss+tT&8p?SCEYU7EeojxyrEJLfv)S0APx7TXiLWLb=jUU?Tg4oxKXf`$G^ER z9374;NPZ@TCb5& z1fj14^%d+RrlruPw(92h9v*2qNdYRiyBr*inYMitSE)^d;#7PE=IhR+MKv25;~NQ8GjUunJ)O4UPVA%CHCPUr+aa-1oa$X)anD_nN5@NAPqU~|D9J2UgjrVr2gP3C3V5FufiF@m zR_EXOV6n~q>@P70Otw*{Fpw;G&Z@`9OP$#ao|b0V__{y*4K`(|ypFg-Ws zWxQDC8W37a2gY7{gA%IUGDf>=ZhYHqi`m(}sWFH$)ZRW)-$kZ#f9^Ypj?1JL9%Dm| z;IKI584xR=lB?}HrWQ1YcdW7A0azp&*txmUmaXxW=ITjOhc{*?*5j;3SERNLd-r?W zNnh(*RO7^{K>0Jzb{7A*Q^wVSaps6)F8$JiXtahl9T(jf%?iPSUtE-J^T}T{&bti` zw!lJHqS$JSj)i#xLvC$+7t{DE;++B14ZjuF(|?|alkm8fP?(uNFKKW56f##%>Cg9`u8CYgX}b4aVU8BPo|wEl=(zu$8Fk%O-Jr+%K{!8k*r*W`3$eiP+9ZJp%|c?^d@zA^b;2$%1lX}i04SanmKVRKVcLtv zeWgk1fqR2^Nehy7++%nhBS# zB@8hG5S6NFv5_J`jEB0lM4=U$$P33KgUAv)=s~OdqlWcW8MY?I3j}d70++Pz%zc8yy`48mQqn zH8(TzpR#%KxTU|D335$+!3J_xWA~q#sAJ2Ngnpqx-Z{lM6_B6hhmo`Sh5_H7@sOLg zGxZY#v<@4z96*fp9}g&{DU-DA(32AQa^cwKd%9@Gs#(DHr%9!meatYg!h%-Z+VZGv zRDK!8tf(-t;*pM$psxdsP~#vLb_o@BNIe9>jLn9d^cHaWMwJEx}H2zHF?ne6a;Vx#r0AnC%9(y zoQKQ7nbu3TG)lA}0Gq$TQdlEXd?@O6?9Kw*B>4Q+rFQ-ptZOQCpclraK*wjb=|5b;gi6vH8oa_@zD@LM*e{~W4jzfvsJ{Nm=6l4qYj)q0mBy= z-Kjom5GA~=1gE6bg5JV4qaj|nMayADx}4zUvO2pg^V$b(bu7Z7a+TD z=8xA10(}Vf@VqKrffX}HKJmbW=5mt&E4Q5Au4f-%4{L~bVNUmUaL#f*fP1e+Jyg*G z6m$3LO|TfW85UoNnFuI=dcAMQo31ULd}O{Tri=Q6aLQ)+P3)=2_9xMs2WN+sL>4W3 znzOEN!OQ$Z+7pzp4L);gJAEKfYCWnZQ|v%7LvK?`&KJd)6vOX3fu5*R>C6mai&r!5 z35XoxI}-S8&aH+x{y#}6N*OzNj5!7<4hER|q{u3`{Byt6i|>W)ucDs(jheVnCk2jR zQe8xU#n)L;6G8+7O-d$s90Mj<?-xODtN1{-* z!xONI1M@Nq2`j`G*)eznX6RS8PVe$Ze_-rc%48@7Z`cZ{sZkiVH7$h`2ym@Bf~MU^(i$o_R0v9FFYJhZC}Y|F7ImQsi7 z#XNPK036gt7q;*CEwbEG((T6JP-Fer%L!anZdi;8<8GLUA7QOi9cOEdA~b5YyTv=Y zKI3g_LF;m{vkIAVc8CrVJ?-aaUCGN+90)XIw)?38kJ50g8Kz$x`CSp^ldx;r2DRsE zb|vxqQ}+`|;Kc(>j!QL$!wMvJJA#I)14&O(6=CyoYrc2DWTIOX7DX)kBh4VqN1KB# z(V`foHXd!fPJF%AWRBpeb3@|7oKGNHx)a925`_9}&Nqj}IFLf9gNhWQ?4Ophx=PRo z2?mK|lO`W$4V;EsgL^^e-SLFMBU2-i18C6=FEXK|@NY5QYbr9M&!oh8dIozV@CF`k zt3$3UbZ$JGH2vjN9>=(-8ty8;_gI*L5wXCUu5eL_fiq*am{J!Vj`l{Ua{G)!a`>g! zo&CcLMkW%~Mj1BMW&-5}>s}S1Dp{dhF`Hp_TyK=}w)mQu<*L~L4zF02!HA077Fb0>s6WFA;7)^SAL{f3eWa1c~vmT6o4_wDKf*!5 z$F1MTFxgvoCeYiXKz@|hI9i1Y2&=T0VZryxxsM~S)HZYhc7F3%_Q(2T7gs5;@|@8( zf4p?|x(+igy$RFvXow{kXq(YS^sH}KV3lxvnIR<(DLVc&>^X{bd-lihTvx(MDfS9> z%Ar}5!9myC+}%!xPNV9FJMDKp;NDvg6Pm{sekE)RJ=jkgx<+h}Y1|OPVkg%CirW}1 z8G+2BZJ{S117unM*xacIottk(hPI+HkaQa2YxspoZ{(XA5w-1&(- zty+T#CqRC=X-e3&BOzY#An-44jA|iqHV~2KVL|<+1caBSAK{2h?QD&}?A)}Lf>ZkY zLv0cp81=I~8tsInqxT@eYnDJ=`0|gV40$Jnqpq788Q94uP{E(?y{E05;wT$>+MsD} z5zA7E2do2pXEa5z_wuNi-0qu-Wv=759EC50Gl#WGP5NOhP9=?6;LLZhEEkL{d*2}h zgK?GT+no*NckXfod+MtQsYV#+eSPd_s9;?O(Pz1VQ$@T}$mBBPXVu>M-9J3a=Ib!= z*j4TGypp)Y;uat|8MDO9r`DVu0Ig}`^EDz+49pqIGruHyAN|$aK$CY1DL*rA*F^f6 zXB)tsabzQcXixmKsMam#v>Le>f*){L9Mh%Xw8!=yctQAnBd7X;r8%Am>6&g$gT!1W=7~1Wr z<1@1P*WB1RMDGmG1+z&Y%arhtOgDZT)OLy z>H`;`Ow;mNwci|=6Kgd_xz=2xe(5uDP9)Cv(rCLRhE5t)8!QpfQ0{Mx3AElx5^Eb% zfA6g-r2C>5d=o;v3tW<^Z7guou6l-;_YbQH!N7I}AHBA2_K~U*p5I%&r3yDm_bsFF}MfM;H5>~q*{91`=5IKt& z?gIJz0hjr>~y*{tS9DS5uwkV^fPFzTlN&e5(*$Q#d|}=ic<7`yK<8o@0RI zca^W3ih7q1H{W4JK*-s7b|s1NvO8h&l~QPlQT$@p#L#sbv1Hbr<%v^xiE%u-Bi%ksK=sr#jxnz<6N~KMionJU7|7pQG=kDQCm7OVBcKiG#;wOi?*(24K?2DYl2W`1!eEyS^T|@l^X| zY#mVGscS0DF7ae_ez0F^L%iB$cGukLm z88`SRero*p9EAnfEpQcatGI*I8qT3$W=-sMI${SweI;}`5FctMI$Qdl9}D1s-w~e5 znO36O3F4`)zD1Hs0Gv*sVgmm*pX+Om0VU`cE_;!@$Hz6a!_xtfoepI!s8LdnAP8Z#gmQ7s`p|L^_-8WeKE3%!Ma?p{Z{Ir~mIBNtkF>Br#W={rwYayR z*Q=PQRlBa4axQ~%^DyQAdjv-1+hhr8NwH2QYn0~>qbomp4BFI-B)-t%B_xO5uSFD~ z#(fnzdyixDq;Gnq#n; zy9&>5l7r$Zs;37}(>N|LJLMLaCPcSXQiFbj3awT?ce*89VYtzF6w{I(qoe+V33Ied zEe4<*vNUE>n6X~<8Fn7@T`buZ`%)@9*6LhetKn>5HpW*O>PSELV|8)&3}!TX?a8#j z-6`eo38`s_(-Xv@5H^bAyD88Siln#_1%m{?giS@|{s;>BY0K$KwO#HPRBE{}?UYd0 z&WLEbwx9G&V56rvr&3LEoPNkb*SI|zqlb0il+Kwez*7=zzt~oqRc1cwELKwsOtRi6 z2^W!qm9txuZZ?0`?LSZ=grZYMnwp93KCJn1E-LF1^*(AnokLIwDGcH;#>VlY3n`(O zJv{$7ePgTA?E6M(GcYemPr;*LZyC>c=`H+9vme9f_w_)lo69hz`I^3_D6da6Q~2fU zul7pK+AO!P`ZpYie<=Q8fANX+G00QF*R$@(^jNFI7wtr~=|t;~yqiBLweU+2y{==H zcgbFl$Ge3|;9~Yk(fh_wUvuE7(2NNO_SwOxVe03-MZphrVwK6g3;+P;MhSQ3F6BQS zmBFwCWCYn0x!7wv8>F|kF+tFOP9)hc-#K$x96w{;B!R(88*4_S7LIXY8+Q0?sXrgx z5xDG%!w-+N>I{$XGLP+@KXBtQ8mnUUauuZOLP@Hs30e4hZIn~|OXwjXTOht z(utwTyp3@_g*bpX6%%U#=$Yn9{_&-Nz2WOoM6Ww?l_5DTqjY_GWX)L zqm4y@I7&+o;1_+WjoWp~>ATu)04KUBd>!YU?8mj4O=tqk7jkUmN8npe;k5m1>0>Sb z+Ch~s_ID~;ef)u@n$RDLIPo@PW81{A$+2uK_*+MHulC4$iU!h&a(y?XeRri9?#H&c zL8ANYU`4=(AY8Zr(_eqnhP14LxcS*%6KLA`O=s};y3+gi7<}`oO?Q&d6D{S5$6lzv zh~euw$aFH7ui}Fu|7jA&0aCLErYM)~VbJiF#AKUNTzxGlHx#U{Eo&Ms;YegjB6|sVD0p z#~pZPCI$P`ISItt$u5)gbZWWbC)ZX2so<_opxy92pyg0CHtp@%KC@Lm{eY*4B(wN= z2ujDh`dkd>N+WQpG$X#LT}s?SMR zC(N@mHBAN>bR%6bQ3QFeSbjN{Og2aNq5E?2)J(4Y?7~B!2J1KkidQkA*M0FmZGLZV$n0{-0W=#>)zVaEyjlHNIg>7^2drdU5BNa>j) zqyYV=uO*8WJvQQ!GIIkZ;g%<@)2^6G3PbQDnE&+fwbcub>y|v|+Jmw{qx<*xk&rdg zo>iwtrfSw}O0L#}U`$nGF9^qG(*ZdGngNVi8x!l)u#P>%Tl`0l6wrwb`mh`ZlCSe> z!JA)%K`4X?FE~p0pci(VDQu^CIWP|uqCf7)`gxI$wma0`&Fl=9Fbr|T@D%tKpGd2| z%nhXb5D<*Qf6g>%x`KGgJ0UUeK?}{xmhNKQCXkgpQtK-skI>>VR8-|}&Irz8cKbN0 zjdmbBNP3?1F6S}w$|hb`O)YmDM8_ip^|TH2vTU=}u9I4dV2+_wN2W~IR>4UN)qCR{ zEDZRR70@vv3$TGQrjgh~>9NslD~#O=FYaC0Ie-|jpgRQbcNd4r?Y25Ijm#{QVVYZX zPHmRnG()U0TWd2F$6=C+;>PYbxf?fi4K~f z(Uo-(zTHOyB?!DHyHJHyGf%&J<=RE>soRX5B8u(Y4wlihLud`0kuULF`$ z!a%6$^pMmmPl``lrX8n}{Ng|hIan|X+<2RKP_62N4pXSu+bEH)ZIyELJd&CdgV00s zVxl8}Nnp47mnj7}5e>1t@CUOL>b!ls-YX_`(hb`Z!5{x6Nb5}wGg`vCWLOr#CdM<5 zh?a}=fQxm2){ysNd6@&E^!vaj30TK@lx^hAVj6~u>3-|sj3+|hl`-1P_U+n07^LsD zCJoJ|iK!nBRzu0yNd0aMpg`N(Ts|(J>A)W|u^C_-qsx`)hv8m(i8H~emq7wh#Zv&L z5~O)9l(px@(P$)LcrDr0=jEit8($tS}#ZpA|DWr%N=3=1kR&%llWU0}Ym&#u!Vm$x@_z@m$wUIb_J(=oqW`H( zkhezgzI!U4kl3xot1QOFZwR#~O;FVe=kV30(!)>RNx_@HHywzpPtcx**m7?WS&PAt zvQM}aWdY6{nbyI(i@Lj=F{4}RH};p%$l<2e$ySx5XsP5R;C(!ST{q<@Ah8Y9Tf}37 zf28Jwg7_};Nrz_PE$m^UvWQjnz8xZboLY|fH`b;U6Y*U8=u0rl)JU+=<18|+;TF=1YGN|Wyr5K|6fGXCkR zM08xci6)9{wkO6jZO;F){?5@5b>+R~LY6_E)#QPZ_9Bx91~@;vhC zr}K^1=}Pw{!NVac`v}zQ`&l6Mky4&3tElt}LM(WkhDB7F0y$F3&+Wtdd6~4;HtYP3 z09zEXm=P8-KW0AOo=fF?am?mTgNJS@-CCOj!6>$#4jj*sX*N|Rqg;RP5s1x+Pr)7h zr#?w{3IXt2Ch-<1cWEE}0z7u)(~wK<8uS$qWR9GaY07!OWDO&`UP$aPz^C9-CSaY% z0mpNtf`}d3zOKkxU*I*6{b?@B(Mggzdkxpv$f*ADmJsbyOE~Vl)OBE@UwOcl83Q{N zFML>+(?(hHsudt3yT~mu$5*UhikOZ;ixV_C+0ryVv;ndsP2n`-?x#F`kkWIH!+i5! zpF>)%9wg@4W>aHr}SA_iW@Ee7p*5%h~_i2ib_s3slv$C{=*8&{zFIilxR8!*gH|_Bq)XQ5(y$QDlDbm&Mm3iA*z;!-Dtny zIz;(L{7AW=2j!uVe&(g5p(VpH3~Y`g|GBg zJ$_{pyqQo#!_p9iljAqA0FWG%ggMHobmx7*W$N9nz)w*kM}% zQO`7b1ojBBh*pz{salZ`TTmfsUqsSo`pM~6jIy;kfuEC6GNcd!$oCNfFfA(++o8Jy zRj0`pl)Qz-v5L!X3DF7X%$|LJ{6-t!HS9#AL8|O|dF<6(^-WGpiBf`Rs4|?jr4Mno z%D3unjwA%)LcqU90jt2Mm-bB&ihAc!kCKVjTpd~XqC}r_VXsvC5r&fCjOAC==+j{L zHp(E1WpXrfHDTYe&_$&a8B1a_Hzz0gMCZOdsaT)uY6{K=zO^dBs+gS>`BhvN)EH!z zQ7B0-l^NI$4FPcy-Mw3fBwPSp+eTQVeBeDiC|l>Y2l$jGWo}RAU4;HgCsQ!exk>Hg zKqk}wK}ao=6S)Pg%^_2aXkqKMTUxQ_i(3KgC<>srq8MaAq_4>@lgMhwtx;rKqvn%t@v+IA)n|5oJ@lUrx;BNv7=+* zt)!zP#nwd_-2I-^w=b>1RaR7jkJW261rRJPciu57c5shQEX4PR3`1UVz1}+n<`_Nm zDyy6ea`!eRRnH=eH^S~m7)PJ7VxN2k?&!HxZvzUcCS3B`lMtDh5zOf+55&wm{{BYd z@ea)l8XRAA&zs(~=R(F4+P{Nj@m^1zy;-^%vj@FD%75uHeA{qAgLhat|Ns5Z7+BM` zsDj|~!?c%lsz^EsA!2NeM!`IccJFS`#%bL6?51I6NWlClL(3Do^U@|6hFrY! z>NRs+jo-uE)0?Dbzq27^1eo>jmd4Usl{^e1P|Gz*1IsRcdTcBj^Ua7ow{a3H`Nt3M4GJLH^ROtw z1Q|;^TA#DTG=0>Si`QQ0jcq`8Zp+AB?lN<$qD^k;%l+F_-pJwE-f28U7m(8{nrR5x zb)Hdz@d6EMbLFJktDH9xPFY4T7a~FttMxzK1enYZF(p_`bRDyg>ZsVSxHbN9QW>mT ze~mC8q_3Ekh`K|5@J7Q=Kv#dn54QT+Zvg^_tc#u3yVM=>06IrJ#rN=?1+-Q@73RXH zUid%=C>i$oXFZ~q1l!t{nnyAwsHdEJX*uyn((hk+)o3MyRvrcj*-x}6`6h$KU?AX6 zq4o-3PQ?b6)|;^pOK#yhSIdQfQ3cV$FvqjNRTd-HO;|XfTDFZ90d)STPqPDRjbN;f zc6|uR?Bdy@uBU*ais1IXQ4^*>xS`uj5T6dY>SoP5A&W5qSV@n4K;8kpP&sf<^gjG# zA5niShg&b6>5um2e;nn~9+UftpJ-OEd$;ex3U$GGEfGlPYw)Ir?|_D%O>Xgt`y?^O ziS&w`l=0WDUOXa?0a;23Lc~f52kc>Z(J)wA2>XO1{zqG?yVO-(5s^XH0(SbtIDq3D%TX zJ#0M%NwhYY-k=&kEDe%YZl)qO8{6$2JmRitKlYW&J;oNc!nRFSlNzwcay)jh^l|kp z)p4*>$fr3KhI%^ow3Wp0|EjkqO-J>?<^BQ~fZeKTDkrs)G^F}>_iYPX38bi6XWaSD z5jn<>pSIJ_4bcGQningBocV>A4oxrKLs^_CmhSsL3(Ym;duJ=)`EE6%p%y)~PZR?@ z5d?Qe2q0TZ5^#z&pWud%U`EeZ1mPtA0Re32jC^l+>0w@acuU3U>~l?wCn_Eh$~1z%LkVYookc91 zj`%t=gB)@L!DuPN=x(!(8FeO*%2XGxMA2LyOs&F5gFo&|rUgz5$>8g3kjIEOQ&ufJ} zmTzNHC-hPlC@)%fidA!QqZ$h*wRsB9oh;3llp} ztNYA8#c;V_2fvDATC!$1QGo`GEH{bN6AUgm027(hD{2Uv6+Q|lHmAa+G zgfhX%W<$cky>jAXP)m@)`dAu~x=}$~n`?#-)B@=%mr!^2L(yRX@@^B2)tA6rv*a}* zf*8Ll&l8?hyRQkO?I%VZdHqbKzyB=|!M${Rx(%-uIWHa~wj=4`56?u;-5LkXK;?R% zc_q^1!gGf(Hzwn=4ME1#08Iz#yTaxe>tvIGm zNe08XDO2N#Ozhexk$0)7*>-Nk(#gMStbIPyc9}xL6d( zz>%1O__&e3Ct`VMyMHb)!iX8Zy-wtEr!h9=B~^`d_ZXsL@*B+HpYt`er#7tF-G3vM z^CF=<;2Ksyay)zdN%2{WD>AWB9OIPo>3kDV6M(AdP6Z#^ zO$Gv~_}?)GOOo?@G^mu=pFI%$L~!D9qP!66hK1mPwb(+xCW4PAcewUv(?9Eq2-h~S z=mNiS$JlV_NaBo&WrgVsU*x8$|5w4_@kF1vK_gR??U$-L|7w+VgWnRmRA0FcT1wbJ zrFG6X|Au2y4@K|CDA*j0HBwCvk8PWT~BFy!-Xnn-rOb7 zqk>$F#)~IW zd`c4(#J=NsPXLjYvU1xjlrrQH>>~wtvxG61J4Tmvel>P)au)ffFRVVbTl3L zczsP_>wl#Gx>zsSj~iIDRce*|BaTG!6?nge(05W_u17#Z3je=pzjuS7&i6g5pBajs z#T)yQAfuj2bpMqT;c-~ga!U5hBfw74@DgM35WP#h1;qRSQg5Me=?KOI7MC+&{)p2Y zPFl*?pSTs3A1>;vB4bJvOiv>3+TeO2_ee$&XT6p_waLkb&YC={Q4url<4@*1)&>y14DEK6{Ah|F|kUe?=T zF!%vlo?!T#T!7olz72s9Om$3Y08s*FWkS;l#C7m+hfLjpVhUROU=n<}q!?q5RKCtG zFcGbpEHu;p(tvi(#Kr5u=;Kn6r(|4#g}|CIs%)Y)KM6$9|2*>wX)*QY`NMD)S@~P; zz^AbkFx6aGh<>Z*imTJV1Gm*N$yefiuVo)){gBd|whp2*F_|7282IfDTmm;$#W2ge zY!i@dxTP*378xM;>tAADI492s(=*|9GavL^^-|twD#Rr00c z>bt%uTpH#D^+hYs0lPAejvs!(S+IG>!YQhTh;s(^M=r5p$1V*BvlNu(y{&xYisCnY zj>o}Fo@6bmj{B|h)J9;)HIVObb`O4mgfu(b5kr<{nVwBRW;3HJ*_`TeQ~iFdaW3^_ zW^ONur0;f;(E}X_$7@P85y64Z41Qs6^>Dso9C-ND53pw6FfEuzXDn#@oGd)Tmnc9V z_8_jxQONM3ExhQ&s;>vwMg;p6+aIEOVkEosJ;899;3~Os-+6K_X;VO?-6xa1c|?h5 zC#XNx0gMRnO{tveNAARWxB&>Dk|xU$SC&!j`0V@pd%8nJ2_KKkqY*F=`4}T*5Nmo9 zbRhkuU%_aWP8slz2a^#tBUMC zof1@~HeFA5flXW419`@dK%Xh44~rfxtH?sVg3*CsF^sz)UawgKFfnuJXkwd6BmV8Q zd?M8ls_5l{v0heP_8@>%v?VMFv~0^$KPHphI}tLeioBG!alt?pNCcb0t$Trf$BrM= zlpnhIJlG$ptRaz3V#Cr_&>^8Lo^Ttz>cvir30&YzzFq?XDX?ixz6qJbh~gV&B_+wK z?2>XCecP$=8VcOREM9^9sA$45Xd|{@Xf!$`cjMolEn%s@7XGQK`9dU=t|`cc;49S? z?b9MGU^QG5yYW4QUIcg_0UG3y#KmVQ)ahUa?YAL^-u>+5Vu+D{9|88jz0OIx02)59 zJVBf-g4M26kV&lQB|?kC0P#-0 zLQcL!S=$|pe+pYByoTJL8pR{)?Z z^)AM7dB*e}_mnq^iy1PPwYnThlw?0b{VvUs(l_s>rj(c06W!_ipMe z>HA1ZChM#cVeALB0-TUai#lYidhM5nh(N*gRHNpETz_@6myhBp&c5pqiY&9cZ?MSF zAY9or3;~1w4VE`*0%~e2f6NGX#Ai6R3s&DSb)5g(ZChfiq$|vC`u9YT3`Q+?>mI-q zDzpHGZQ)TH%R)UDICDTU;_-kh;d%jWn3@YBjW1snQzIzs4Erqz*NVIAnOC+_=|f}? zgM#XGRuorFN{MRSJYDPPB_>~Kn)^uNRI0T`$7s}sq#Pp3f?isF0A)<}^TPo-US)&p z=Isph{6&tH|JO#pu~6!25$+p+N4**-XzlLaPOD`Nz*!qeG!ghy&92b6m1=$GMwCBQ zs8tSDMm1>>67o^`20BiivvHPjqaJgeR6~j*rT!vrXJU0`yPrY)`{dqO;C;7}wgb+17A`g4JNLnclr zcvyl}Gh+$J2Dmeu7BJ2Aw8t!zY5*iND?gIiSg;dtnl_-U;h7m(yG9@A!*ROQapv}V zpOCAxzL>_p3WR-wsuH6K_C)uio9L^;9v=);Kqn(7(R@&fud>VxGJR_Z}x58AaYSY*wgij2! zO@e$&tpr0mc?Xj9L$L&2P=+CVp~qxBI|l`YuRG=NQjxY!4+>ksiIa5 zIfH;g!#aHd8G^OH$XW8KT3>jTZFckm|3V!;-DLNxA>cm&urb;}5ZYy>&=N8uXhI85 zv`XNF(QojabWuqHtRCH)5~lgOO%9j7hbbs*HC{&vxVGXYnn%C4aCNY}nGv6zr1DM7 z^C~VyAhcoZO2nUrM9a~~hytHl&SS^j@<(Esi%xa+ZJz|RC#`2v4bE1vHl-b(>QA5v zUGo$X%>|xkGS=mmRSt&NfnT70>-KM&q%*Wo_tyCg=Q>!>>fI&NVFzOxIX3wzACSsi z_g&N<5Twel!GG!|^^3h2_jDtyTn#w~`i@;}maj~9KFn5k>PudKrkqq(a7^AvmkEuA zDr6DO|KJnf8PCEj>U;3V7D(;^-LwxoM-%c-%>*uV*r;lYSMFU(Jqw1pM%RX@F7&jP zoYe~&vg~-hVujoc`=wHYIYTD+;>Vg0jd1hhjC2e2`#KM|6?9x^9s<27Xb*1}U^u#; zDHKxy$WF%Vyczs+w&S8kE2w2$29Twy^=s#4S0c{P_=hNq9fQE~@sP!-i<`ZThEN@4 z;Posv;VJ@VH>|sT>P?@}6PbToae=#GVvIGz@m=U|@onLQ5*>$CH(Nb*8QHw*k6-b> zXIYr|MVS1Pbgh@O)m%+w2?>cyz^P5PW9Erj-FpH_u~jlr4-V{0Wn_Om+Mfr^%LVor zYI0gxY+3?gqX#v9bRj;%p6u5!5OBQ)m?#^iS#a^0QU>V*(hym9p+ytWAe_$-gPR9Q z45oShYNjDJ=30EP%gL4Zhzg0A0~gL!C_d_w3|>HM44=Xu1z{U53R(V&UG2-{GmB;M z6FbJId?z5nyNgMMyV-Bc9r?PnQntM@Zes>DGW?;{1X37A+LRRb>;}4f#ydJ5Gy(vj%oVKA_Ge~uZHSV&7$jhp&Y|O<^p1;hT?JGhrBsnb zVS2=o@m-a{koM^0RMwOc0%;0YAE6+H`V4Dr%8b*yKGX+zU9n0}g(BclV380}@vhLI zA2^Tk)46+WNQRygjNM+p#Y1yC@WYSDyo?|QT*85?%OWGX_m-v1@`g0Bj&!Z{Euuj< z&975zt~RVC60TY<-?u~pHClL3{4MJ`zn+Egoy8r=ax;Gt&CKs@_%dwE4cTlY{+@>R z(-%t@l0!rBM;Uk$fmp>n!^2kLRjMWtvom!~!V?SzNb`b4EitOf0XSA#GRr_T#b^L`bKm6MC+yjlCQnQ~wrS zu)}|`v+FEYH*!1nyDvf?YTyoKMm#R>Gr7Is)=bVPkmH&xPmu48SZHnGuDay?AVG$@ zzZq({jg8m&eiqvofJJ>u@ThX>c}27snWGA2QMR6OW20wm!6q2MHr&QIAFw}Y)sx=; zj2y_j0T8>!^H?HQcaDN(8XaNk8PL=pgRv#q9`0)$JM;q>4T3r2YuxQ^T3ibpRA6bN z@>2Xvj%#;{gke|$dEb!@2_NQGfI}l;Uc}|+cg5!Urz!s6%D1>dz=6sJNIi>X_+z@3 zWhJ4+`@};VZdPEI6(qBe|30oxB>m4$SD?DSGh4*|E;*M`^L?&zEfyW-?~H!8d`4&QIRF zD|Nc9U9to?p%~RDL5KUd(Wn6+OCawp=l$|SL2hGLpZV=6NNJd`3iLaMsZAr1?V_~O zmf~6m==2F(@m^%6O<}J$dHTtyHwAbL#C~)kvkm3#&dw2l@p}t%sU(a!E5>ltrJKW{ z=A-PI0HP`DZPT2xvf<1dpS3Vm?f0k)u5OdJN{UJtj9~Qsl~8LAxR*Oi1iYkv`a!JR zp>JHyu?nxp3ITV6N<=mkj|VGO1yu=!s<6@DI`TC@o6!<=tx?0k`N)5o+Yazpw7D9r zWH1?tV%lLzW#}#GAM2Nk^{HX}2GxH?23*%mX#X4PNyEIkw8OwEalA5uQ7);kHlJY3 zI!f7@WoWc$Dr>!x;geNQ*uvGE(IDaBtFT{t^LeIU_#&_WZj**zC;gE3LPw*IkmR^GFjV*rtz!DC|sI! z%}%m#JW-w0=W z1Hwk|vW8YO?YE1~ZPg8RESGG&V0DtLuOec*vPleq>#7N2~JPH>zf^q3RVK!7`9&uPvuz)u?GzEZq^}k-%nq!E#=$`GP%~lzI0iIFBoqND!+m1 zqwYaKe=zHupGOJ&`6iQIVg>~(G!>^ws>$>_s0+&-lm}mkXwA|0B0WBBQuk*1&ZBP9 z0|h1~p_P?tU`iztmGny_!#C3UMqbp{SJ1AxK@XC1fk3M3hU#CkX$9$#je60<0E!(@ zCB$e(ML-Ss-Pp<)fY7%PRv@mbzj$9NcszmYk^!#zkXmu!GTJ7JaN54p@bYxoT8HX> zGNouURtIXtz$C;-*I=39^cqsa18_y{w28)95yq_`)NFt4aH#8Ty;7$gdmE7q`XRJp zoCjH3D1W&M*e%_1x6RU?nyH2j8|Rk`35?B|aRU9N=T<(ebDB4lp%$*h>#R zG`5%jve$^1>Uq!BotJWPX2?e=dI0Vw_9oy*4QBWnH4e4MLn5@8U!+XCXDET)9 z^r1qf1P!n3K8*VT;DrWFTkcQR>4aaRx~MZ%>};SL*NUBZh{{i#4_+^|qgt?{j$X=J zU&`gHS9)_+vL^p^S6z9 z2w$c>C&*0qwYn6k1=Dr(<)agOHCR{RLRACgIS=(+$T>_@YcrW2}czZLCd%Mp^UCDrRT@*XP!z9wB_Xy=LbFbn~|qT zP#f!@z0xXI;Pr^3`cug55B-}W|Mp#vJY;J7bCNQ5O*lZ!pBIX}; z#SMU5E2V*;HAK!;Moi2Z%bf@^lCs4^D*a3TH3Ij5no`{6%q|Oe0zt<}PM)=; zb*ruQsjOTJku-Dmfu(!y{!_L!`( zAEGqsF_nMB8z7GqS+8*9J2t8^oZOane?>d@T8Nexh8~H190H+%ufJkNwkg+X&+o4} z+Ej_Ix!Xcb%(qp#q-ml;^?!l*acLpW?wMC2{iDbQ%g_6Y1pOaXZbXzJ7Y@_8?ce zkF+H!)b3s+eAbX8v6%iofC&2ul_xuyL+vLZwB>&I0;6bwUJ2kWX&Sxl^|TFs(n*n6 z?^fLPR@iG<8ee4%3WJDI@uv@Tu0il%nbKHso0QpdZ4$f@qbC(*soy6hp^YKu{5xBy zU+~_DFeNm^CDYc^@Q?M%83-49ex39{JGyf`1kNF4m$UM%)Rav}9SwrbttEZ|yJ$L{ zf_I9nV+a^b(FEN}sns#*)h?IyRjmhRk*PSD5;^we-{lV`-go3#%8>LtpsY9-9yrsx$m7jVNj@WVi%e)EFB zzcN-tkXRkNws^o9gBt3&%+gdwMB(65DhCm!)q0sc2I^)Q^D|`i0okF_sk|ZchmxN4 z9A>Z?#m4eR`YpSJ_z17o9$j;q*Z@!YehDk8R`K!;=3(74(BOk~bbzco1uq&Z&9veI zKEJxEeNBLk@CteOTv$<%rcoR6&b;cf>ZZ>Z3A`F?WsQDcK~P;>FF#nlPK^y!({i!; zGPh{IEIRN+>0CiWD=P~5j?<`xQ}=cuOj`%hM(@nwrT3}%bvb>Ef9_xnOcDW$3eXWt zfN2RQl_+TW-|D+{T!Zssjzr+Z;RGMzYXL-T%FRgvMSR`jej@5JB>T_dO-$s?r7 zTxpO9qpw`3z^yu8>P zy{DkBGi(05sqI~|hHcvu$ySSt1;>IOP$mIB^bM^EC-HMM;hO(_VKB#=0QK}9-GW7d z3b8_|f35|8?c_Wz4fK8}fP#mpWpE= zh>t*xXchqW_fKnh$mWeO0E^kLQQ}?&4!P={V0IBc$!G*wWz>Q5YMI%93i7LkWHuM3 zKA|iBmBLwIkyThPhWbrjvfP301WY9*E#-AA8fqZpwv!w9iBBTo2ug5wszOMU11C?*?2XV6<=#pfRne|=__I3f-h=Y1` zfx!5oJ>x4TYlH)w?T&pkxgn8^q%I`;F0|+cnP=b!bSzsZ`We){={46=rWu2`H28%Z zx8>VRAxgB>mr0?_ zymM)2QgqOqimX|-+2h02{F?QHI|SalxMe>`6zO2EV%0N3OwI;z2>cv+oUkD2{~9=E z3hc3JIILriZ|$&`OJyI3gp0W1l#tjPEKv2YvfbPN7_AbT{==h^p1GXhd2{v(PL>f9 zfoR#ipZ>icAQdU4z1D9YB#1g-jg2(WU$}h~u@|60os7v-sjyLQyIyhDKb+|h;pR>2 z#Y2O@b|T#aSI{X6{41qjn=1I))|$?&rijT}er(q{)-oDbpJ=+L&=m2o49hDeInDPn z#0v>5mEFkAWS8?c=L!i>hNjWVbURdI%gi7{`Iag;2eTv@+i{o3H_0FJEl_c7q$(gD z%2~z-sm(LauSAosYToGy$EyPjFvvA6HLEMB;%Ce$A|rEb+`rzDC)i+C=vLTE>$gld z<*UOG-Hx*<7#T(fY0{HKEiP2YSLaNDO9iPhFRWa`Uw?4)mBVkFrpQX8+MRL$RrM=* z%*UX8^xcwaa)xEal_F_WJ}jiy14ti1$dc}G_+$_(T> za0^(f+mQfC4|T%b(y8pYlHCDmV@XcU{5pqy5NI+Kv21wmknc75AKZ4*KFUl~?KOM_ zp}xWq*QLqAippB>`z|^2Py0PYUmOcDNU>P&WrSsQ^j!te7#n^d;gpv{ z>G1N83)X~(51px@Jw>57=&mXK2$WMWt<$!A$vtC=bXppq7B3?*t#FkIo(ZXn8PqW* z&s#*V?WvsXpgz{)4e8!kye2_JRj!aT;Lqe7Zc+4`v%F=kOFoa1OL}2JaYnU|a~j4F z33l1`gmucMO|cWWOM36Ye1~i`W!FEow{XK$abrulaHlVkujskWqXFLW$SD`n$2sg~ zn;JDiht=V#P`umAdRVd?VL?k@ah#~0;&$rFi`gP(qWw}EzBlYui9m6JUx^$J*Q)J9 zpv=i0;|QQCq~)VJX^z&ySowqUp!~5p=RZB;_sPW?gYF0tIQQ10Q?v6MAX$m9S0JX2 zZF@YOE@ysCq2BjYLmjqqK5(`mmci8o$x^nIe#~fH5yhV(p+t+qw2vRYy!;{~oDw-- zB^M35Fj$0yi{>jvMfPI(x%QoL=1y)#ru*OWhDgrB$)!7rI@MR6+Uu+4CL!O?7Z2PhH1}|RbPCwQYT6~WC7lZAp|tLt#>9zlTo_RzK(x35IHP) zf0qNBCwW9-3b5STEh+nBj#?_&jW=fz>ypmzVcO4Lfade|oe7%cl9f9WOd&3~I|B7$ z{C%u!RbHC!{cbja4Cs*e>NQw&Ur5}+)e$m12^VT3ehbU{IKX&Un1whFHPgvX=!J@f z$_zw`D0V@dBOj_JD4M60&e{s)Wze3o0nKfDv0d?g0!enZwDY6MGL0+ot+gG0Fe;+k z8US zAK?&BBa%2;QLf^04v1L`bml(X6%t=zXO!IWlw3-sV!LuIl-m4a{x+-(L(BxzuYhj@ zOUY3gU4cRMz_iPff%Rre0)0}YMHcmdv09K6j)>z4|1@Tj5R8~4oS_J+5C=IQVZY(jjQAr>Ori;rZKx&b#-R7A#Z+AOn+VD6K>b@RGPTajQnltC|Cco_ zj#7sEiB!a~i?@GWlsRnCX}DvhUAbe)b0bW`qWXG4y@KFe+r#}G)0Br6n&`oH<|o9E zOGV{Ny`p=%NFMP1eY^C2s9wGZ1lNf+@+2VALW#x_Mn%(#G&>|RR;^@ zonr5~H_;FDa>LVQL^h)Sc-2&MHwrRfS8#t!gT{L&w+lzQL7O(X#3|*`S=py2{%1JU zr=ca;r=NLd_lbSb03di8OiWhvE&0!Qa`+7; ziah)8U{vLAHsW0QA8Eh+T{tHV4>-*_V|gXx)Ih`p=c3ry`rBrIYTw-$rHYqgy5*4< z0`%Hdgaxh=uGQ&lZ(JsvqYazc^QANuz+gyC{BA9Ojr0!feH(GQu(8VnTF|BowS}wVvteJGc{+5o7rB)h%0V2@8`h==sjUwA3l{5X3-Al0 zumkZcsxXl6N*&49T+W`6CZa)%@a?4kkEH$+3ELS|!O}XWJgy-lCeG!`g0)(YY=i$= zT%S)>{YzD1nC}Fe!oUPU5$noP6RY}EQ`>-{*Kpm;3u`Ne`-dclm6UtN+O=2S+U)nY z(v7~d^#y&-E;`a5SofB`>sj)m4Wm*8y5qLKYcDyDtF5dFH0w)J0>99Y zYU=9S3Zp}PU{Oa8-Hq_+{9CwWHs6VWhP$|D4Nn~babq^;>@EnQ9ZeA?F+s_<2WHJQ zX0VcDoJYFILxnHdk&dBQByS%mln1r0*IcVce2d{277-5@_+6p?y?-u%Br=%&Q2tDY zvYi#7F92DXF%Q_6G608 z56-o83YrHdT9sKTlSh1t;ZEps*14CgzqYfXLZ0E%cI79YYsUsTUNej>WL$0D8rGNP zP%okyPRPh=wp(|zhdu~njDhhWji!(KXnzJ!4v$c7JSSm-&S*WVKADE^h2jEeO85K~ zDAkuI^(#$2@1;>OB=Cm}%yOhvQLZeuq3|LR7ig_c@!gB|YRJMl98)Yo4zg(fPXk-=J0%{?Lwu4xmH^hhty*XYK4S152x&-n;0hqA{R!Cei zkI$7pcV+f7H3Eys%Wn)lo>n5GQ%;m?5s1a?m=4K?W~3LDlVW>COUxxkLolmv)X3l( zn6Wh9s%}V~ZOoofpXP7|Vo%Pn+43+(=ZDYJ&HtOhB2_HpMAM;=&vnWZ`<*>b&xcQ@ zqdFVJ3HOv+R{~4iW--n=p2y#R_B%K=xC$s)pP<+si5=RJJX>1=`u(BvWoyiK)k<8 z+5h>@4#e*z13G{T*e#v>FKeej(T zsZWI}Q*$K0^85Tle`IpX>v+b4GDcndw8?w`-eFru+HZ+H|3uGV7H7Ls5?Zfwr+y_> zPrIK2h!Oq-^@jC3B5Y+Ff_iQ@(a@c_1I<`S3sUjP-5=MFMDW_9VAiIck@4Fx z5M!A_5YVrA0gGPW|8nOoTrhk(y+s#)B_2zIm?;o1%^!K23zB5QG{V()IohkzL4e); zciXE7^q*dbJNo8Z*grW_Zj=*e{?kZ0xhmNg{qmS?Osj_Bam^qZMN}Cl`;WIXr%^k! zxRGv!0NJQigs0lD0%|9lR)`2+wTo@ez|II+5vF*;8$eWI$6lb)RS{TgsCn^l7vU{V zP}N~nOYp38MYzi22g*Lq{)o3w^*!|!veKOu#)-Xf`K{xraE}y12UCH_ic7XwF=!Is z`Bq;%=jAfwM9s^xa3WB(Y=0oMs#Io7SVx!p$AJd(?B;HbixCn|n3m2Ks(p1&_xY-@ zcRkrT3X3U9>wuemKldWvl8aWE)bfsu=H8Ph>9MReVX3MC{xeZ={e{)Yg6$mNn>M%$goa_xwsZF|V9ux@Hz)+|xmjy#K%=to#su~H8RhQN{-tWw zJs`4l;&H8g_g*{ibznb}<{!Q*M1zx!{S&HCu%VA$OZC|f00yjCzKMFo&8QVu@bTj8 zxJk_!5aw_;HLACAZ{2W|ufgK=#r%8|!b{ec2S`xn?xK9D@a|2|rCypFOArm4_g5!@ zNa#R&_7w`-4!LIeYPX61=i{wKz#1Gri+FIJ``Z)%l9 zu}E`Qe~$QG48@Cz%P=Xm)GVY2e|44nI3LTx>-drXA^nLQtH~Cxswj4P#b(|fFitms zo?)yVMFBBS-bM+coJsjZzXZYxbC?H!d{*DxJ~s`qamLKQ@O@V{*Z>rTxo9<(5JI&5 z({<0%lwRW*o5jV06xlK9o*kgsU3Tox3S{0tjne#?yiq*rL{;ZfMvPX%gbu!* zDy8mgx~s78&Tk$qN<;Yj8Cz=1wh?PQ%jk%|^ry2$u&=>uvMU|p#m*!hcS&T6UYbG< z3Cs4UPj$3OVOrI^FfQ4ee0k6{kNBphys=8kkafDJWIYpg8&1C(B?^Mk1f+kE8cz)T z^DB>?=U{~n+44oo>}3hfQ|n<+mhj#z&SNzspnDJHZEIqQ%qcSTZsfsM55Wn|dhFPN z=GIwQBDq)-kZX6jipOwWE?BHBf?vF8QtJa~r8bYb|3yA{q+rfJ0OoObkT2d(Z$bz{ zX@pHm`Xi>3GsL(G9Rb0cz4_Re_1rN{7ltg*%XFg0*Gm{A_|l?Mxy{b!W;w;2x+;%t zP`U>VXKcjFtkTVenXan{z`RgSBLfDqX3Qs?y2>*&i)}#7`PI;@W;h%X-BD2wr5OvN zkxh1L8jg8=15r?%SKr)jmz11oXSn||6(G$tNH{P-g3)Rkf77)^lCF7N2usK;{%7IU zIbDEzgY3!{5l2=_5D2J>hG0F<4N&VnY9d^Nr+=B{?HIIQajnhva=D7u?T21CjR$zJ zZvpve?7Zx>xZQznV^Us`Abn!W$=i~GdPy#XV$_crWhcR+0r{)kQ~U0hVpMyiac^~& zv!Z4tf-Ty&Y?Zd8Tj2k~0Fj9`kbbV97T{@AC#@>m9D3S>= zKi5OrPC-DjB|MXH*WfjvX4uM!mXE5ISulW+BGJS`e>TV!bL@mCCvHG{NBB=F7}xF! zF1@jo^{4@_BP{_JGNj`N5+tPPoQbN~KxA4XFCv_6_Y1Zke9ey>YBCK8-5FH)LIjdb zp4)7A>;7ly=glL*Cpgi-;>cOu{K~mWeG!GJ~g?G`j6JBG24LdJkY{508 zl&qX)Pp~Ui<-^8siJ@qHh3-SFgvcS*{}wv=THMHr#l0_WSF=xGU62(owhri>hiW80 zTZH9`CR+TSfNC;qArwPjzt~M4KfTs5O%QinMn_rd-i#^u;bBzjW>DB!4jsf~AYrPv~b;Qzc+N7S;#GO3A;oJNo2&hc?GB#&muuF7G z*2!W5*XxNlfsqtMPgq^OlePLPfD*cVO7wuVW=OOfY2XnuF z1Ss;_4K+?!rfk{5Gwc754R_$tf7*)8RErAXDR_;#A8;$IdWq~lHGRPMc{h8fFr2B( zyvcQS5P*ExzM5U*D?~Bx)Rj9$MbM^dBaG=WjY_>YOK6aTj;hDI{+yN&QxXg~LP>4o zQU;Zf5B^BrTrcl!h=9HGOWk`6sF@#Y)FlX5k&`E(EHk+77c2WG`+8*K{oBO~2;j$^7*o1vY!Di=7M}V`C%idu&x%u*TzlF;xBQ3c(Yp; z&>JKR4XcLR`pLcf#1UauSzhbInv(J%)=&W^jp8W;2g!0FN&~}+lCbnz<*fE9%%=G6R| zH`bO%NPVR;b0<8iMRgr(%1Y%b;?0)K!?O&(8*9Y%xDz%r)KsaWR?S$*zmxGd+6QaF zzbgOSfw#ireL1Ze|NL7^Yf{f$*x)B(!;1@PmG4aCBRkJhk0v6y)z2O8(yOtHBjOc_ z9PVK;up%mS5fw?G1THNF_Hx8n$iUQuWvG`k}!56$VGUesY{Cp>xPaoyqbR!>vfegS-MX{G+ry?15w2Ga2{ggfiW<{Pl)1OIc zpO{R>zZ&NH=6@YZP$^QNzBMmnWmbF>F#;08+n{RAt+V7Q0J{m!D+B?DBXQeQg)}#l zfrj>1;RenLQa-zE9+d|CB`c)K%XacgipD6n3GHJm9zhg)8mTgUh zT*FFV=?r5^`FX`_HS@LvGU)=WXYy*m`|(&QFY)|eh*Lh@N^LAjT3fSl0 znCslvUXFuBKBd3@S#L0}#~e53EnZ?-D!YLBk93k&D9blu;juWMLO@zd!(1~R)8O2v zDqE}oro<3HC?1K1q&O!$qg*E5+JS_zh5xVbPU-Ip7V_Is9uY_zuq_sJBe0b7*>IDW zQKYb3IQ*sQ1Q33~KS*^)GiP&Zk2Nr9^!bZ;zC|Gp_N5Qm8%_6RNXK|p9ymt^-a z7m3R6$V`Cjqyxg-ezwaVacqHGK;1uN%9I0r=Rl{5%eq#>fCA4SV`R|jnpZBy2ff_f zqqIXu5^N>^ywZqWf7YN>k3g5Lb~zyVIO?5r|eO|Y-#%5Nh zUA<1FDvb$Aejbb^pzrVNVjyh|EzM+2F%06;LF6u^aKmnYErb?bix|D~2t%h&BPJ@=Pl$sPRL~a@48h7*aGrf6ta-*unper2W0pbQ2s`X+DaOG7Ok;-{ zmYw6>2V}dD4Sv8`l;lcK@DvwY43LkWmTv_)sn}(0Kg<-=MU`6B-SuMhZ z6hOD4DGK5BX^sDc&cf$;6hB~P0|-`tiu%NNqtu~-e5j+~Ycy5Uk3h{@fPHk#AxGS4 zQ$?k|$)j~RKuEB*5TKz}m3pS&e;%f!xK_$zfI#r1$Gl~ zH-PCqu3~Da`YXEEt{zPzRoiew>SbPEQ1P9o^ML{2lnG9d+MfmLy5A1ZLkBDrGbp{o z?Nf&p`KAAJH2-|HiUA;4iUa6ACkXM7du=`+NXEJ^=o-1eu>R)2_7DwLuPIshlq6cUwJ;s$=uz~VeA zZ(i~zya@5IwH+dsJtsN8vZRt8BFpUV#Xg+7x6Ys8ex#1V2Qxl=%?nW-?u#0x+oy%& z7VCFQyo@xn8EYFvS>xS_O6%SONxc4RK_kB;qzXNGVgOGRuFo0b@McxPU z4(h7oP@4>$f~RCX!(ZWH(Z*|Da0#`c57)R|n&jMv5 zFC4U_6?ZL!84HCH-p8o{Vd(uLXo37f9wvDVQo1$`#z(K zD0%s1i=>eBHymuP?D@&aU_CmDu^L<`X`|eNf@Bc!(>06OaYcD7*?xo+q|fP@nrkx zLFwT_id4PDq_`2#CbaHC0yRLjvO5)NE7?;8mC5^{SF-`fb{tAqDjp!}F^!UKMgXfe z^lv*PiH=>+QB%AR;1V9kz?0KM4EioEP+v*h)%tmU-J0(zz!=3{V`K5z`bSie<{*z! z?WjZx)sf1Q+jg9|GZNwLe^1QU3Y-jJtw)vzNDHja@AO^t2Wau>KL{6}PWBQtuQQ-c zY<8%b+wG_2dQ$B6pcM}BNlO5@_2qU52{jv6!ufw;vi^h1>Hpj`4z3ti%ce5QtTi?E zj1GXq$zpS`8-OvolP6G3f)(Vu%ci!igkHtC7co$DLwnZ%=Cus@BXgbB#2Q`N3ApuQ zE{qs6BJymTFUQ;*c$nV9@}4d-r2!oRlAcN3n_L`zxq7`oh9@;eLZVJt?lK^#0T*`n zE5FO`1VHPB=2t&(q1t4PsIK(cyMMyx@$hMfRfMzUdzXMJ4P~?=YnZgHq17r&KWq<* zK75k8Hu|_^($O9M6W)?i9RIMaYG8B$Jc65hWY&zv4JkHrtJ}jgI{D_fkm08uU6WqD zE$wgfWcw`7@>VtnPois}{y_P5gw6|4vzKEVzGUtQGkN26lO_b6!uo@%LwquP3bHFxhN;F)^_kKZz3Rc51gLhl z^veH3=^Zwy>s!yNH903jaRJ-gd{&0X>(AJ>>4@|e!A-Tmk0;~&0zH~zC(l4P3}vW9 z&@hRo!W!<{-G8{CFs{LA?ocZ5HbIvWB-d5{-)W?DDzM2;d?TrSJ+m4f)SEHb!!AFC zr;QOEU(&cC;OqptTb-AD;3g`qq+j1|D-WZ^K&>rYDWvi3i(7h^-%XFg$;(C}0D8zg z$D3;GpF85Hs+@mORWn~+3Aez^wMk%g*$drj=+uHgVs=Kfc=s}zDFkgVI1S^lh6&pF z`Yki)>m1g%+Q7Cs8(RFQ@VPl@I@bzMQkrFsgo%fI z=OlN@LG=!nnr;LOD4F0?pp#burcU57GMAVvq3czfdZT ziIfVVnqf`-&YmNh2x$!+dK!m%$q{IVZAR7ja#Bg8^zl%d$$jzHhQ}0zQ7J1We;(RB zW?NOjWAYRNs)Wl64~ki`x4J*=sks6dhd-uIqx2dyX_;>f!fYEYop7Ft=Eg4($fU9aIJ>1_&G2JFNXj!YHJJXzwEXizHmC_qG zSZWM7$(G&d<5OJ{FyH+z3F7Y2ru?UvcrZYkGlqTVp_h(6XI;vIT4DvD)JKp7x~V?~8;K4Y8{j?^-SCE7BqGn;dS$|C2FA$<$87}X*eib>L&?;Qsy(Pp z{j^FA)tkewUuJn{k|nw!-EC)rGfUm<=nBe!BUf5!RQDWJu+G6F?k~GFsFPht6&+sn zd+I2(_h-|Y(&*Bn@MG+&pelCgbV;vBZJP%v30T}V0Jbu-`qZhd`vr9kbuGI-fqHu9 z835jZ@+jwt(B;@q7YNoxkKB0a&lk_jH~OH_(X3wjuh6Ilro8L25k$rsb2Md<&TGf%<+2`-GjjhVwOQ|qBhff)#ckA7N7bFW9ns)n zJ=+9^wS=K=%aiW20ZcNbmrNW`KGaTN8Gb+Oi%X=l{zz@bZn83qMT z^&AI^3Xe0p?2mC@alOknX6DizrQ7%3s?bR)hvp_7dPP6-mT;oPbRl2w{ZKNhV|{3VZ#N*Lt(Z&8w+vFPNUFFlN6qyk6z9mqrV#2PkNb03$xaA$ z9z2rtg6|tI1-AIrnQ-6$Pa-v5LnHQPZLl8|_U%Jmx3M+d7`20|E4;NBT*He-cPr3|3tjArQuE_)RJwE+!$WaBLQ8Uk9j*oykiC~Y_(V?2t zr>BIGv+6GD^sVe*7%1EI8>Y8ziSX{)Hw`z?m9H-oxYY#;m9YlroLGgKic@FXy_88? z#w(nePp1zFAQ*cCuY%dqK|%A#7`?RUJfd7wbQ26D{CAo%h(FjuqS)92tH5k+H!zX7 z=}A;i@L@L5nff1>wp>HXASf0Dd$tfZ?$P=rktIfh zRXCsA_cr4AX8f6o>!q$22q^3okYQi--A*P0V~I26V30u$1yDOqE|~vK3iUah?MHB- zJNqrKv*OI0YaSul6(#LLAvVCZjg);_H{0_{ihC04kTl?rVvHhv*ldFa#7${)*E>6= z!DDZe-q{_;HJmcaXHs_fL<61XvS1;Cs9hPWPt`HAp9-!$O9!2%OnX{x>@ZL5$5P9( z@LYhD%;ky>Wjz%kYVWiVU03aRR+Ux0V8sf}1yt3qejJN!w-I1?vt$VgT)N-%{#*G} zQ(087Xg=ng{PZol@s-F)OAh}PpxDvFbBkU2IPJ>$g(6J(neXo#F+Kkwe5vF`|9ub~3SDHj%GVWn`yM4_p7aVg-D&nS0|u0V z@m5s1CpF}CC+aqao{Ly*xK|O*Kk+f5kvfT8vf+Yscb11}q(Z$@zt5A=3#-bcZAyLD zUh$y%sNDWLsL_Bby6eu{E_0L_ZPLc%DVM}f-wq58#a*ngY|$K=vk32HHIu?kFQM?% z;7Z+wdY!8l#epiw2uYYM$Z)HXGlsSuKLLvC;JY?48bzCJLJ;3m!riVyLT_SnZW!|8iJ|pj1GTU?#JD9Xnn4e zO3sBg@h*ZLd^NPpRMNP4a3H~BMcM@Ma-GOQv9^FlAd%51@Bdw7^uL0C-5+`qW805- z$1>14Tnm4UH}~$EBY$GxP9dzY!5_~7>TR#5{~2WiKmC5G!H2M+*L+ni%N=0>W;q;z z`8;?NV}Nx9^7C`%^dJ_3@@}@WmNwaZa<#3(tKQJkOOD`14Ai%i?6f%^rZ4E-D|$*o zL)XXnQ#hMJDS4FRkyH$YG~Y@O`iX9(?#tR(_UY~V<~vI>^6(((uciR%1DV&!b%R@l zb=Xmd;4m1)@XD(3fLRLau}|6D2N2J_7jz+vLx-zSt7h`~csMoYn&wBwsC! z!3J0{olj$3jLS#RNQu;rR!yHZCvUV7HNh8E3 zZe>By)Vas-QC(9k)+Xdh$z&}nDTDF9nWV-g;zTLo-i`X)mQ$$B>RZG8$gXEY ziaks%>CT92Hd~Go@dnj2JU${${mDpvag_`XB#$%I5AGyWG~+u6mjf$+66SDop%sGkKJzG(GG^07+7opXMh|S#YJ_R5a8JEk* zjjF`2IDnK9VTEr31=RevRSElR)1Vej1)nK``6~AN?*iQxd&c6PQ5w>CB;?iM7^b?% zjz6X78Tg-Fs;K#{77}$!9O~fZwHpq(bGZYZdOl!|euM=MuEld%7KfMNo*G)4>KfO` zzKKD0ZZ~w=W|(F2?=@HsQD0NjEkto?gUhHEaOuQ%1-Jox33*YS533ljUMggnp~aXe z*&HQsUCc_6XE?!CBpjI8H(xA~H8_bC2<>6}_zR~yVO=+~eg{qOKoUln8?vev{vMWm zpAe<~933IkigFSeVlN~Ym!HTQcZ!-dD5KSlR)RydD(eWksP#{d-NW~UZ_jdv+JYh+ zd5g0Q!Q@=bQo;A#^C6&p-M4kNzPTqa7Th_})%6*?yg3^GzvIZ^hO)b7bRl{(P1)$h zV!Y$%AbZvbzAF_Za_)mOKRXSi&+rt&YxUI$N`1o=$(T2<| zQ579NPLgK&><8s%kuW~LGHR)Si5)%x8!cn8F&h)yy=Jx2_aG2bQIIIn!1iNH{=s=w zNtmWdiOagGBofP52BMgdEJfNJPz?XF5-WruiQ!L*s@@V}#pW`562o_Nz82bgXleWt z+xoj8=36)qF8#{MA3Z@muAxJR@Rh9k-@&~-r#xLQOGJe8bf_N8p6CUaDhb^V|~l=5{+|g`K8fQ-KaJd7Q5@WiS6ZT z*a^WRu2RDsmTZ_>gn)wf>j8DS-!kkFb`A6urKy*b08RT5axThAegt2k39ofsL!?yqeMsv< zD4cmqh{fL}ae`t?PEH@(Vl@#7jC3pe&zDa>GLhOQ?tYE-kZ0oS>lVH8lVtNZsYah< z*2v9|#_`s`S?^n%k@uz~y_?YJ;DWdHV?TtYk0L^&B;?06H!M(q+@umBfq{(EX}Gc) zz5iB`uQ5Zfx*TMm?tlCm$t@p9mWr%~O4!mT(?EH}LM_IFO2k`CRSp|pOH#bIfm{|% z34Z_HZTd*~s}GJ)1v1yH@4$py?w5Qrg0<+_IOB^K;U@9VC!U2QbQuzTS0^xOb{Nfq zUe!YI@61ix$F2?ey0ypvp?Jm_Xh@h$?9x0Sc$=ae`O;=^@UI5Hazp`h?qjshSFSyY z+p3El-#KVENdWyWMx4!z7hi-L;1|R)q5jj6YLmCZ^V=nm$i@5L4!50t32OC|61b2n z0bAQ_iOL-({zsJuXPci}q`FzBx4kll=8>5Uex4ngyPX)#>x-jt`J>UN4IKyKBbTgF zHw2lU_0+JDU#vLu&$8>2{3m?`zI%ui;L*;{q>*WKjz1{ooKnCwY?xPL?4`II6ZIm! zkW~b{dRsBDke~n*lLrV6SQhIq@CW>;}O0iuITV$jcyD+FuFumOYjlf(@(bAv1MIfs(7Sj@p3Lh1)D48bp7j< zyvi*DkE$|A%muUo?f2NZz#c}ymA6o(-M-HJe)$Ddy`F=DstJR`;o;-U0wU$I;`eEw zlE@G_*VmqjwPUR`ggS9Gga+Nd2y5l#^f&+(zA{!6CA&CQbQUL&P}kOJHti*u4W_rf znguPhGQ(HxLKhrAgwhUL3O`gH`0M}@4#xbUAM&VOYm&z&wG?Jm7n*m+LfAP407-)pY-uU8A@?YQxza zr}9`gK{H3;rV&A|nLs~WdRawSd73tGHoQ!33M47tAVKqU_vxBR#AUhcba?0)vCVqb z%J6^{x{3DD*1EgUy}{JvvzEYW+~Zlb9Raaa#^^;1!+aEozjWSQmx@(=_mqnt_^4xp zVNj6|j=;<8j<2v~6D|8&#bP3**v0ys>mv(a>(A(k#Ud8%uH-s6qe@v%;PNRhF#GIx zsu@v%B#By)P}@>C62y)`FRfXSJhGXD=VRT4mdm+6cfTjATALx-DD?EN#5G`#1?KI# z!*NnoN{ukvRNgkbbqsvF9%AN6sW{OSf$m2Kk#EWK&i>#W!B%iS_+*mKaaXeOV-BW+ zt%5C1*%kR4jqbXyJ~}5{E=01Q-I0K$lb)b!=Ne0YaYx=7p4&o_+ozT)TMC62yR;S-WEYg)eseH zNVRW(1#IhXgsMo-ePbrVZmG1`D5nUsdR@^9bk$9qBnK&4?=;wYbrcyrI*JC|CRo+5 z!aK*-v4Z;+(e5fS!#ldZrY_XqIBi`=I()A$@wv3Hl~+o8XF7q|-K`nau#E)5nAZWz z{Z-ng4Fptik~46CmeW`ria9XYgo5_8#ttoQIV0UeX8lpnT1Q&nP0i> zX-s74NSAmv2wc!phSiLGJAaEd!y7gzzWCOtHQr+&YwZt2*9d5<>I3h4ar^s_xks#9 zZ>qiul7AQEqHXRzKs@x*1vv9gpJV6sN=~zq&W*4~QSUK%w8HI~7jrz@aP`)O1r$~9 z7y`;k0)O*o#EVt4slk*Z0V&oS#N*ipK|2oXz=*KgH28BU++}u~{qsH38rbNVRYV}> zFQeN+@!nt|H6@{bW&>Bb^+$K4c*u9?%v>=$L%7n z&WHDj#Ob-d)L*`FS*oUD`w296OT) zqnr8-v60cVF9I!%E3VAif)?Z+7u~8Ed4Ufk8s<71P(5)gRx;$9iuxlN%HTok+D!)I z{2aX_niCc$g@snn`dV003*QBjACP|zKnNFkXN6nrn~438H5WJSoSK{6p#}k=uGi6frPmh@fLMEkq+MUC3PIuBWvDWZ0NsL;+QgF-^X{Rrd7xJ<QZgF|MsE=FXd`XTg2a)BeopPUN4g1vcp+xIa(%I@Y+n~({FC|p zIk0LEthCQgg*xQXXVx^xCR=b*>8*B~`eh7y%#3- zAO>**YLWWGx-e1d`V-;JVKop^D{dpEB4}Sh#F#6XKqbWlnfIzALBHF}Cvl%&A7yon zbJu0~8ten}AQO`|Hu_Mn0{Uhqet2YKhYrVn^`oD_Ug++3kv$Nv-=0n zS|Jj}wg+GgJTf}SIe;G#O#qMd&RkHv**YLFmjk*E_mHPKLEhK04%x6Uh!(eXi>>vtjWEJh%Bx8LyV2OO=UibL`SnX&YLk{uH5 z$JDGKoRj4Os=bj{mQvYCIIBe3vhNzO$2 zLiEoC45w$Y@fHVW>o`}3B%4xhxq5i%2bCr}|2~U-*qIJVY$=BsXo7fUvMi4@bQUS- z&iAu}Rk*Ys{)&R9j{JCiHHG6ZY+8cHSN!F8*iSmX^DfoP>w7B>5;x5U7k*StoM3Hz%XA z2{~?y7Whv|lo18{rgI0p1`N+iYIoI^jCrJI6y~nk(+p8_m5Ab(?`Urcc45o6Qq}e_ zr$61WyshBem*_n1m8V`uZ~x6T7-51r4ebX}V;i%xnh_1K()naH!C$d~@*(Cyo#xRo z7bdnFJ9ht=wfGdkipmk|dIfxAp{Ssax_s)cqT6j$?tJH5LD}^_-w7cR;2Bg<^bE~e zuKPw@3`RBzjB#Lf>U(@lMlS@mT}-1aTw|Y9Aiwlkv_IS5l9T5Sg`-J6a-*p)Pxx&X zQUjsp*js64+0EE`=^Jp|PpKiD@f?fm|NWp~;%oHOcVb5LNf(mP5QG)kUD9 zx}3;^Qh~h3KJR2du}G)Co9J|yAR2Tw{y16YL?#5xolS4RE!+|Dag|cJ=16_0=R( zrlqqxQk$)5iKU*2}$`33+FUUe@N3wQ6_Hul2V3v%%S zI2=N>JLEbl&4T(E=AuJ-NcQ1BITzD3^s*EC&QPnstYn;8rN|;ppw7)ZS_lDAl}0AT za<8^a5_i=)yzrc#zAuXB{EIrO4EVW!F)8@nRzdFE>FmF;XlBW^{9LRi zm9WVOL%(-NX!;0q8lXSZla+Md{$huXDLa`azYYv-`Nq{mvHgGX3KhW`>2B$RX1Tp* z&oP$80sq{rxSgb`FR^;`R(@;-_X+b?Tdj}QHfv)*Yz42;zB?V+uL=%(&`4vxK++@6 zR$JXeUC|I&hafG)?6BUMt%}ORvW*;9vk99p!j5xBUUP#blWRzzez2SxCimK#%(Q(p z4R`mpj=?}g7Q7f0rm$&qTd2Y3aEWaxEZ+sQb;HhAF6L^Fm^H%J7EW6ep2T3n2Rs#Z zUXLr3z8MIATB9QWzdi0pH0?>wp34RHQ*Zhxq(nStM!kq1G_xkf_ZdFAQye`dO?Cwt z$lYp8NI?IlS-icP5?EzIqg{jjt2hnIM73~1JU^ln4hXU)=SW^e_$o(6np7hVP zuKi}b3>{(dqRenmg~D16$5_|vM~VR07WfjW2on!6G&P;xqIfBam4=R}EudM0M?n`! zg*2_0LXLSz?}76G#&81As@ksIooGJJRCXp59(*-W&|dxHfgck&RGN7V*tc%2zBxw= zG@4C%^Q5L)nCBe>q@iB!yRrp9(522O)b){KcYS_&;Zs-)o=gDR+L-y1DwKg(PH|qq z*9KpCv_>Wc?Io#WWr?BYS^}cZ(?czZ@_K=zZfX6PLeq?6;_b_7M26ykGRWung`lN7 z_(LZ7q6E`aV`P##X1)$n(1jGu`->@ynJX-|M4IG)Ud7}hrO8d^=&K9&u@1nkt&C}j z_eaXBkO0!)Oq53UI0?_yPDXK@GwAL-^QezFxQu>g+i&5}AWKy+7Lb$@?5koZA)z>Y}zYKLDy#yTNFko@S9(Y)=Z`A7SlA39nvb8kqAQ} zUkMo+r!{Eb3ptON%c0_Vk5J})7Q+46w=sQLg|y`lDjw(%>&PO<1<`dl)KZ5BPocR( zAQc3wXav%j=-%SU3#>VM-E%u?vth37GS00OAl$d!KYc2ls%6|t<>34+n(yL&i3yKs|m=~Ii*`0;b2oky3?}jr= zdttYJAY#>*Ny0RBETJs%m;lDf_`_n?HYxq2vI^}eg~#t-N4v=@nBcM+tR*kp`Mpi8 zJ9Cz^ZY(C{#sEHHCZBMTD_*fn9VA^;pB5hkL~Btchhr>@`$SfiK(?PodqZzc&Nx zBg+Mh@$N4J3>PZ@uOc+B;{M{cHsqV({G!hY7kKs(D`j3NdU8)G2Fpz;(D#O))x^{2 z(Gi|PxyT-?^2YhOyKe~usQ4?bF81r#y{j|MY#x=LM#7b>Odbq$fyu&0$9A3bx6*`y z|0cokkpR}FgZ|oULsBVPU`TH!bDm+lI@=;Ob4pQagX*2X#ot!ORU>iDlyMQiay=W< zU_m>D@IUyw^hnV;#I;RL!JqoBS;A?ym5i+lVVJ=nRR+q{H`Eay>`+9b zU?63skyJp0Ma1Z29tfj}Pt>j?@lGtjn3}+CNrt^76g|>yS>w3SP}vCd@rn#1id;eC zI3y?9${w$UPlnBbW=Jw8vhJZNtQWuXB5s%+ub8prryrG8_IVw#{dZ@ZYsf4niklfy zBBm(Abq+SNd|zOU>v=T3kQ1}CuA}Jc8L6bQZ1%s-qwe=7F=q>EA~QqSFF@j$=~jlH zgQ{96iy+d|U1b3dxJU+@BdLw}-JBv~A39<{xWIzvA= zZ#|Y>2tQRcn^_VcMw{#$*T=cyK;+EMml7U=6qyrT2gEZyt{vM@MB!QRK;## z*DdF?MH)T%47&DR*HIQoIrpp}oC{SLfh*O+_6X&XnUMa4BK)6_@7XKJUvh%WbIv$p zmJzXEmVu+Yf~a=J)&+OWz!43O?R+Wq6d7de;EPR(s-I$EWrv2;2PuS8qg0Z8Ja2L( zCHEdgbCiR(I?-ZXD*8+R2zjop7Fp@?{O`N`dAwg|o#W(N$?@=$d!=YT>SWTy8KD6l za``%UNe&{V7NAHb&D^p^{J=_)9cf(j8XMkP=tg)JNZpWj_{hdx`jsYqKfyEb!BQzF z2sL_*Xp>K^n3^AjqPV)$pKp7PnMSMs1^|GRb`A?0w1Mw*;)F5^6~?1)H~)8u&Q<)F zvA{MiPES|)d zn_THSD3{)Qv~ob*g3@gJirkC1MF$zA9p7kZm!Wgd?>mtz$${ro##s8&%|tM1$HC$& zFpBcgyd0<|-*djFouIwLd(>TtG>hMExy+M!2CL+n>h-$`j(udSAe4Y9wBXUE!cLc* z<#R{S|D3fG4Xf^;`5U`N><&3Lo20#k*gIONG6@(Si`*sKhKp+7;Jcd}Kxh!HF-Jr^ z{pm>4Ou1TYbLYY>z|)oYVq=DO=jJ{V@~+Vg|Hjry7w6>d2TtvJlWG&_md;% z-I9|Kd5>bx6s^5i&5v7fw&dP8v3b64VWkmMjwUlS0omb-(hk*`Kbn(!ec|WRJ4W)le%=2yl*dht0u`($#;1MVlqA6J^U2 zo!{~dC1qzDmXIMhWob9*!k#@3TU1`9eWI5;tj$q*=PF!ICx91TX%8|80HW6cU73}c zfTkzWZY;A7n_}u7;s=5tsLVB!kz?iDVX|zU)<~Fz4gaGPy$?NXHNRq}YrEY5YFF+Q ziPpc-N>pmF+rx|N5=X8M8F!C)#=L>Q)k>M~@l;?LQ5k+X$;o3Mv+eiZz4td6*@N=w zaJ}awz1^+|$s1J!sdHQp$p1cSDL)umt-Q0gj#cNi1|k7a{h*)OqY_R&=v@7VbN#-#s`D{-uzkDre8%pRYew5N@yz9n+IP zPkS5>f3&Dl)IXgMVoDM7-1#U5r29PW<-FHqkAI0f96Q$I*ygHUEj#GSlThBBdtNnW z`d=$3iS^aYSm2)Us%W0FmAIQSR$;9Vex0on`!9=x9pz7t9*Ntatkt2iP#mHb)quxU zr67mN&(s{dURkbX!$DaYn1&1;Dz$WZ+S0RYhdVcIt3|i5OzfP&aT=qv)q;6%$jZMC zlhfnTjIL$gC09L?1=Iu^W2@si7qeTGf=Z%vWO_8Si)-p+-hHlg#L)GQ|45cP&eyst zRN>NB<=D^LPrGP(2Cg|DzQhxlUaPX=b@P1{6;9!!s2d50tw9(1zrE|QLq9GhI0G^F zj~t!N=iDG}1Zp)8peXDI8(yU;>SH0Nj+1F9Zm7CbR)hnE#7PS#`>H1c->GIn2zrvz zk?g!L2uL|N;{s>U*+@9lpkf2y!z>mWMzQ;pv+mu;r(4PJD5)(`h8y$|-Z@lVVDHXb3oV_=)JGNkNkE~53# zJIpGW+wfpuI4upf@MG8e03bq@N9Lc^!SD<0R+>6tD=;S$k5YI*?&;M149>Jqt{%rM z4bBy{(@KYOuIy}L4a;%?!>U;e%@sfJ4bwG^Vj(E4A6$^rc=W*I8|h&MC-O?{3{XxG+0)$ri3 zu3!LA;vk8PN)^d5KIfG;Okfd0>|y2~hW_{X>N9q!XlEL%3(g##`h^Z*rm&Ky-4e>ZY(;TMe+m_Qt3E_r}YN#yZ;)+dEf$$~nkb zg}18UkR(U-cNviDyIt&{rH*8MV~}P+(`CD-ZQHi3r)}G|ZTGZoThq3UY1_7K?Y#Sb zyZdAR+{l|%m2s-#M%;+X%yXn$7;SPkp<+hdDfxB;FeF-iP?KQ8m{(kO9;4c!gwz`5 z8Z)}djfEoE9*gwpM72=TcEOoeib^NQuFhvhU#9HWzRp5S*){AYYL7jB#T_jc=a!ic zc1{Pe3BDWuvDJ2}y{#_wG_gsdZHk{>l_qJBCi|)@8U>OLLsqq&^9TvUN8Uyn1t%gk z%N=H|&`aoQPlapEDN74Rdtw$Tct^-+LpmK<)6O4brkx1!foxBUU6dxa@NO9Iy!2vz zpj1RU6n?^4TSFcHz}n{SKy^sQNt~}RsbyWVRH}M^LpV)lAJcDn__HKop#-UCMx;RB zKsI?2B-z;L7U;eojt8mc-D-nz(dO@Yc}2>*5d$IPf#AIn3!-{nAK6Hd{$LL4t~I(N z@qyXr(1zdz@ndF26@EqGe!Ez)2YE&+WZabZk%aMGC3zH2sINFpMAr%DnU!0$akz}VROX6Wg+TvCl&=y# zJde@Qm4kvj;6 zv>K6$ml=8fE%QbzIXBsC%nSnOL+1HiSIpM7F&hNtlEK{W!+d9uq9#HzJ-I4M7JDXO zVC8x*Hx47>{K7S~rs&J!lD&=!m*>|pKfq5%A|>FK!$#<7IqjAN4gTYqHIb=NJfd2v z(h809?J<7!2b9Rx7m~-tZOD!nLl?ximw<&e^iS!pl{5@{jgw+p-gM%xYH!n5YmvL% zmN5e)1*k6K@}1Mnan|t(nH@J=^v4>wJj2?Xe2*h${sEx45-pT&ML&lyX3V-J0?eL0tZNK>^|0v#O6Jx+HV)4I=k?Y1o+vtyto;c_l4+ zqr;DagH`Q21_JEkIYAbe{P#z#H-<&3x@}!i8@pG))Nk67Lz;2TxWUh(s6yghJOt3! z1kJzw2AM7Ov4A4({EVmkr0xu<$W}11B!wxc)S4)-+FU6<4!i4E*}e3{qi#?RNnemk z&?Za-8hRQAh4<2>Wy}VCsrW(<8~Ym*MH#*3HdIIB4qImu#TBxG_xyOJi-pU%)VHBI zod*uuHk>Kw@l`%jEB4t6+7O@{8Fsg@a!(+G{i%GHpI7VWv!=~NV*HzZnnB~+q_LJQ z+?7^X^{4j!R>;9=?H+cuRbX_gt8iwdjp$tvlx~~^&fR&@_BRv(K4`J!p>8FfzxB-Q z3eb*6|41w{vE-G!9%#I+G@0|47Z4&_60Bg4Q=j!E)4Jz)(R5d?^gY)KmyQko6}qG~;vqdMgfd0% zgE*61m2(a5t$)yub{D4CCH#^OYhN!@F^h#POH@Bqea+LVzw;^tV^~nzJj;f;ZzoYs z!9~Q_2HK?fuB96@^Hj6K&zYG`BhZ?mztLm2V0*ya=&u85VZl*KFyl=;qof`iexg51 zNDy4JApc4#MvUA8ldknQS0nr7V&%I6jCqPE5clN{>gQ4@$>C2%r{4wns|+|YO5-&+khTN#Pl24Hwl<)F)`tsdG&Ypo&ZZMYZfcX{5c`DAsF@ObnCR0 zuzj2Hfwy427>`zOWerMuyX@$k&b=evK%ZPhXGV-P@?BXGn9VR_21Ek-cOtnt+&@%$ zY62@Mv1@kK88+}_YS_aUzv&xUc{}0w!ZgV!2n6J6u}_T^)LJE-k_&uH>}+_90H2-f z@eOz&hJlg9L8@z6>_b0XJiSmO!Gu;2O+I4q)}v?O;mmDrLVC+`eGsbZu|JJ645Flr z5!^f{#yC0bEN27?+Y3zV<4uu>Y9)IOrVx2cb;?%fQ8wWGg571XZiCb)BPU4@>KIieoBuL`TV`?W82cI%>Xtz8wkM$H(0f<8DDm| z{(z|$=1O&>1{wdt-mf}p0t~=Bs+uD-?5FcwlHa4!|40;wg%fdJaKiBkQy{OUu1mCngfM9H<0lOW zw*6b?N9(ZV??))l+{nW@q!5`k`^ZyPD(q~*-XMClIE=>36T4a?f8%4IQ*B2BX<}_?;2O4 zT3Qvr-KLp#8s_HjSnUftdnHS0(%eC0SDh!b4Lkkj|FUlLndn&sRm`)X78)tBIvm(I zZ*?dUQ8wl=KmAtW)5RwJiPeszH7LKYiQ*o`8{)nNkc~)t9Zh>RAD2v zIxrR2)g1KpNY{`F@@y<4?MbB&L#R1@tIQ!<)@EuC@zdh?AhfY7&U1^a{xEPo+CX6D zrY1GQETce893sKbQ|SOKPM-GQppwE6@MDMVrsaICq-aJsv;xU zRdd=i%_1EWS+70;?h1t^KPj(SrMRCf4ye(zlcsJk;tz*8SCG@jAGTa=uoEnEf)9DK zwE&_KI6t+#&Qr^4x}e8Ar8>rzezCC zgG#B7NX94-K4-;M1O0w)2`06zuN5kl3vC$4;pE{I?ItzoGVxCImoy=Q(-p-Cv#u@| zOQ(7FM%ZelnYI~|UAvUPHWNo8656WHm~FDdC{AQxj7Z#}zpJ&NF7%_oAjf*}W|9wo zmxBAr4c1-mH9f!7Wef|D2D=^N$Xxlhn6Zd7 z>H0I7%FCDo1{ct7NqF*U|i=BuEGN0`p>o{cEGQBQ|50vBL7KW$FiPYi-Q`_ zbE@-I7T4-2n|{6qMi8TQ8E5Zh=m_Td$Fz+icE&@&<otXB zq4dF`U`=e`{06DR8H6B<;9%uoeM_|VtYq!Z4YjraVL^Uf3{vB(%mhWNeRELb<%De$ zFoRk#5^h>{Xk-4XOF&~S9m3|eQ@z5IewaMrNVx+IyewRlKmi=Y(K?$YoFdx-YLWxg zyJ)2XHNoZ9vX%SDqVpJY7m86o@|cKcn4_-NlfYV#gu_=6-vmwYPs^TI?=9_FcW-(+ z@BkvkH)r}u@XZb5B|8bW%k8D|*3su1lK*KaEk2h)TE0rO2zAO#yoGg;1@cT%P+nd= z`MzL=^3ro#97DLBZOtTgPG697F)l;fV|P{}fuMU; zq7Db4Ubk`Roeu;8-G}$K^Z@tyMokrK@RDyF6?7PpK{c)#km$Z`FWT^WJ#}ylb1y^O z>lDsv@M`XDf9&!c*wVwpy@-L18dNR23cTxxRa@P&;}dms0ffz!wp_WG+w`!*J} zmqFfhonoV-+Ik%{2>?*olQf zG$S!A?o;JN*LqSeo_T(;1e+U)5vWV=reusJf-P}dTo$WpX|{aj4Ym&kGskDZ563P5 zkh!)~J030>rw0f7EFHa47*06<7Rbhd-^f;CR3zxpkH#LqRt@<7QB5)vZpv~2Do&;J zqAgnXYxQu81yp0MaV@gy$X+vv)22JIzI4fN%z_bTwsKkP6p?3>tUm>C1>UMIJpdhk zxaFpSYL-hLQ(#eseULwhj8!Q2Jvmh#Ncsd`XtIfSh0U48ruIW!=_H|g{7z~o#!x)b zF9;&(iS~4GASX)ms^yw0*!Y-PI!e@k%?y|a5Gwq$pG$H{Utt+FH=y!Xl!tR# zW98-#OmG8-K(g#&sti-w9{v)#p?+%wZML*2W!P$BPl!Z}bv^(9?(_pbfy2DlIl^jN z@_A<|J9{i7hPA)1B`*`pZH*GP!bo5wbRL6`8nOyuCBnUMs-xDleXI5;Q)8ZJZHnBt zpG>qj;SAYaIFopm^^#IPAgVnqZ+c6X_@RY1=#K?_o%vt125oGP1I2=By=+i@urg(Yb@6iTz7>7}{`0ER|=<+0IV=#Lu93 zf9nbvQa4K$Q$W}=r!Q*-QNlO^LdQr7KHoJxxuA29L%Z&2!#?CrY@h|jdTg05SK&k16(-vZWliL*Rt_Q3XE11T$6ea#BPVT@m_tz(_Fr;=4; zZO5cochxK(#YzzUB9V*^kct7^q>oGJ-JFhu|O#oUFptVQPPYy+;8TWBD&r>Tk_KV742vvq+G7Rw=A!-FK( z9wo~3)O2qFq&uoLNuY~h%Kp5F)@ZKp6BBS41v9-jv>7J*6-XW~ z1}g$?$SA?F3X*#Ao)p*Vo}L~NRnK$U6c(W5WvgW9ND`dA+~G*y3)}@8-XkLE1spAc zTCIq_w=9=s?eq4wZT%ZST147>Ae*s+y)DRPNoxIt6d0uU2g|HqkX^CZ2N&AWkBkJ=-xhTh%VR@?mhl_xBo z7FP3aHw*3Bz3$@hKeS9*mT)~kNl5f1e=L>tGSEWnZ2nbKaFqrjK4`H{)EGFiGysCr>D&nK2 zsi^{ZZGC?WlAMQxeq?&&pZmaWQ83tYHNbRbL`nKh^o%z&O7!4Sg){-9p<1Bkd(&1y zmW7!7yIHFZ9h0~DnFCVpA~%q-P4>3j*9@!Fi9!}TS5tWh1^-3oXP zMP1#i6+b_Z#V(o_oON>s5sE!X*&r!C+uWHF)%MU#* z@9J%zvJdXgB~2rUcREvWzoi)5;SJUic^8P4obvCQUOUht6;zo_wD=;3Yq0TupI#92 zRa_GyKP|H zIs{{qWz9x%L9?&n9kgq|8?9ht@E;G}QEm#N>?Q0X4PHi^*6L!xGyQQReqBDRJBfNS zb^4qU?Go?R6Qa#WDHidA@qnBvoM7lLUFsjyTM+nSdey;*4*?5DgYw&S)TVtx3%1H~ zpT4fZ`^fCco46y0o3zXhDZ+0GjNquJ8ca!ZCVkl$IU0d*R_Y)3Kz1)rrUWb#z_%NV zL0KGNV6E9N%1tjf7G^HE8tN&zLB4}<4j=}<)me1TT{a^w-_7i;o-W$I5|6FVF_7=` zqa8#2DDKewGrBSXjXnfQDaq%93av@i(TS7DLqx7bob!UdL+@8=NdX%9H=C9K2FCw& z*g*zIL%<0iGJV~Ju}1p zfF_`LhaPGej1<;#l$anciW|R5UxPI5f~-l6$VFX@f-AGgD9o9G`_>1+wK+(llG)UEQx<)7BVCVdY0cHUm}k zOg{0Pd+wGMk-ZXPeUj|?JQUnsQ%@H2()dPYcwgczDUaaEg175t!`$9ZOpqcU#rh%? zZms3-P0%3{b3j2U8+=)O8^@Ulf4|Uq90v-H3~Z_tNA{thc9`741Dwiq4_*_{5oRxT zq+9MTsc41e#U<6QnMD+xikJx$=^|dK^!H9!!AGWgXWdujLdWP)POp@}rhVFx;TzlL zSRG4dnR-nFiv8#SqY1MUlhrC08O5Jg8xD=_P=5w15TjZ4tKytF`8Qj&s^3k%Ikm;Q zj+N+2#6p8+H_g?%HyU-bJ-wR;!R`#oc5k$Ux~%7_KpVj+|%q{j(y1KfABOr?Av@fZ& z#nDHd7N|!gPu`%<1?ay1S(o&>%L5-D$A`&MtB6xTjmlX^jnz_f2v2SmJ(W4DvKF_p zl?NW9d{JlV^tcwO+0f!0qW1zSc{^E{Q0S4vt zvQ%TOac;+at9EbGLX9!LY_FM5R|l}Y9NOGsNhvMgluh#^MaZ8X5BkX*0%xth11z(y=<2OCnAd1EY5Lg_?Kpe9$sZ8Yh;4l<_J%uY&$oIEO2~kS zQ&7u%{Mz>&dV7v2;Y}eX7w3(<1LX^sevc8RoATZ?yq>eNhwV%za0Vt9K+o#qNYS?- zwcg?QW;2Q2o^q^-ty0R)#**pON>?2h)YuTW^q5_nINoSZG22~nP*QB;t|oO!Kq(M% z$Mz2H;ZI@!8ux?W)11ngd#!Zr&v%Yj3NH&cY6ArAT!(qz)*nzrblqKq6|8mW@v3cJ1 z;s?k}_NFV6u7Xu@vFYE;$V^ABS7Zos?^sZfTQj<*Z2QBH6K&Q@iBKjfx0AxbL`@G# zrmz|?f;HT5i@z5LyJ z+$d~ny&9m_2-cXcNOqdazCnH>pF$8ZM?Ym`|2j~FwP#Fzg7rTHB-g=XgVv9R+DENQ2Qi0^XT0423S?loJ09TEPV)iKN)Y3 z>sCD0IM#Rtq-X^g=Ww?wj7U8-N=EMk8pKkYkJ8$C7VH|@r!Zp5s>9Rwp0ildp21(> z58OUNBXwFnmV4BL&rHAWpE>Gdy(%0dr4ALx-0xcC2{q-k88Q8SJPal#ry+=H-cP;8 z^BUsLsHJkqfup#a;P;r4pX7{C#3Ms-ZxzNL{PQj#5Z>j}VRz<>ln;RYU6$6xR#Z{N zXw0T_jiGae<)YQPPMe2sKeIpE86a9v%hl=z&1wqo7&M^kLUS3h5kI_p+fFE(5)Kd1 zv4khd*@_;~0|qWK*e`F+QTaV&szZ$r=PJRt0f%cQ@Uzs%}+mnmHERU3m1Qt~~<=<)~4xo}QkAY1uz#-_- zNaAu(5P-Gbw)So!8$`n6Us7;wYe0{boOF?+PX39q7jmGYX8}9PmG@jRx5@EhsDR`PkGpWW{D9lgkmwCqbKbimEAG=vu~Ra zjK2Z+i4Ya_BWw+&L8daEOX$r&uHVITCQC#PzbuHn99fWNx@#(bo|_z8N~b}7&eqMY zb*a5Z>oyQmNiwR}P0&2LyYA2ozso z5H0NVC)o(c&e#Qw6Z7(*Fq94#Pug{zqxs3rr6a@?0kS9W>4^;|08*cn>~!ml#oq*I zpye zCiXXllrxlpaW=Q^*=G};e?Aps!DP)4(*8oc^oKRR&!9I%I+qDJ8gp5zA%mT6nJp$< zSA-Je*=>zF8H8R^f~+ydOCPD?cwb-^LTZ+vhsy=9h-{DLU|xzM(mKhcamS{*hAs)) zjuqRGxx-cO$b>_n=?L8J7FpWe)SP?A*1uB0)d)o0 zuDb=6$M4g$Ao3YM(`Q5(7KPggGMHNtJ`C7a6T>I?@-u?_)DX6xezyTX_gE*Xy$-dx z(Zjq!eZQv-c#|dVlX#UxIkwctXJWAh$J1kA=Ey6?q zfM5%TlnyLz5wH9=DmVJj!Kk@HOmIgk1 zJvpLm<3j{Pik5K*p)~*4Yk+-g^M}mz?u}}9ZNuYB_?|l8B}+MtP6dPT1LrU80fjbZM}A~N4A*F zzXO7grN_8gz17);Hw}g=eEC4$!ATn45C&oUz`LI+cA(*gm!~@0U!lB$X&6cPT;1Yn8e`vQGMVXL{^~ zdOdmLRe6YHBtyjX1Tstg{)|WZr)%qrSrDePJa%GuxhDZ8?>cL|DaDDs?KZD4X!=?t z*bUxOY!?wJt}0tl{)tM41TW4jVlFO?GZ^-yPOc9n8fXj?tWYP`jW@FR$aO#ijwo@*R70*rx$JD%qS0yyG(}A6>euH@6f* z(iM#h7I_ndq*JMdv0By;(|Bov(=M$C%}B4bT>e*p!n={l7zo1t0f zdxQ*%J{IAma(RwjJ3%%5>#?kb%fuQfdv_EjqSCAuu@oNq)HsxPqQ2CY|B!PXEWv=r zB5nsVUXNHNK{_6%+(BwHIt=eN>UgmEq_65ew$na$8p_C6 zO~vVGX?5aYq~;x!D=%AW9d+trEJ8-j~PN%3XJX8+1GPU$eFOe@S@Z#wF@i5?**tr zsWvyALe3oiKuL5DXhHWbD*2J@pKYMs8#$Dd^M)%QX-FObsiyQ3xzT;@Sn!rzJq%je z4CY2>7552cjfku_hjEgb#vO1_oL)H7RuP^?GHo16F15m!+l>Tnd<&|2+`h~53u;Y_ z_I-8Zlj1w6bw~$(JYofG{)LmFC+D0$)1VGI0=`x-Z}<9kGgQ>rsHR0*_YZvF{4MRK zUZRu4)n6dKviTSFRrPdT{r&!-k-?Wr2lB0d(n3*xGNQ5SNg*Vyi9Ivj@)eV*uXU0~EVdLoZI@;$eCxMbOPd1*>E^2w z0o)X%7kN;KwsbzHbKkeH9t1KM{|)HA1quB^L%A0sAq*91C+kBmY$^-?cb7o7{ZDxa zhe7IyJ7OLWoB~T)uOPBnFU#-319hiB2Nve9^{%W$rC&_%Vh`z!WES*b5Vj`{Z$m3bXHXo+@j~WaDF|ln?O@+2GdLUp%(o-D8?Up|_l&S|Xcf38A|xaRMi7nWl5c9( z;jCgrks3bt1I1*?mN`LPu0ZEg<3~;8W7|8#P=(Cmtaow%(}tK2B7`=P06f33$ZeM2 z57}o{R1ljrTzurgdYg+`>w1+xWC+z8|7b{wHptlI?mj7}Q9cv^PGOZcC>Q^7=DR0J z1R)R@4lA?0cAOsalpY}EX_BiD1w|;s#_JEoze_Z~(^fAOX2s2`rIQI5Cz_G|HN5BW zb#+$9o80bL@854h#E^Xy6=vD;^TY0x`OTm8TJ$vIMJc`z?^3d$7l)S?rwNeVC<$E3 zd%d3QW!$2J&xWJaC6cxn=VK^p>#>v9UcH7$YOO#~DA-!GMs>9K@fSo+d5_fc3dJ?M z8HWhSNKs0`Padh&Qq>G`YcK`>p6Qa66L%{b7w|fkC@X62he0}TuRbxD#Bv&vWl1@E zKO<|}4LLSj9MwIB7^_O9n&=hw*dCt!*`SNGvwE(4#8Ya7YNs=o62|qk@N~8168fp` zNV|2~+ITzq#jsCxeD*kY_nTy6mEcigic|vc_UM*uygZ(_*!=rCq7fK`x%0d$mZ9v5U)f@o?GWe$tL-HPE3305{C z|D4U8#_ig3V{pI$b;B29IyAx*;i@>!MD>dT^Zex5~_)FYZTW}j)bV;NDMmiP5bGE$;(K_BCk8D?J_ z+n;WJIWD9SbFjV}X?7>6cqHxWC!xV8U_sxq?I&}0aU_2E5ZfyGsLlQIe|p`Gy1Zt; zDXnWIwzO3R*{hSlMWyNS2KISzxqZfQC~xOy9nbSg$YQc%M|1CdJ%-g$VjSmDa9`XM zVs2tt@&`?}Adf{tSc#WBRDNFt21%E^0o6C1sI9rA=weo0JjhDGp)J$I5yXUzKWr{j)vC$=d|110 zOmu#wz{Z?-BJ0BQdPp;BD~&;>hBh;4l)vTwnVR1}R%{^tEK&5v?L!(YE|cNw>iJY0 zV6j-Uh>#bV8OtN^7UJ7)p1Dp6gh0)*`UqamTPa2hX|Q-8JiisY`czfe7@*Fb_bqD= z0Eh&ED#^|3_1pZ}4Y@j-QfO-MFB|lHy^A*$A14)1aFC#@d74ADn>&N9!^}v-i1Gd* z7yIDIBB5k+ZNc+N$|bTO;}8&c;PkYNhNAGYf|L6_X2B>(F6S z3g^ufXUR!;R>;#akO2C2Dld9J=7~UNYNzKd=NecQALV;jJl1PM(E#W4mb-j>l%l^d z;8GtZ6@t8I=iiFLYtMi39`aiv8bZ$NANP4L)dQS`iH5+2ws-YK_(^S$5qW2Ov%Eb| z9q1FQlW_>JX(14sNnd&Lm@|@@9ni0f36=gAo-Jkmsqgrt!Adi2pwTq2AZtl+?XHXH z{P(GYlT!V|a0xQ41g~(J%D$Y%S6bHS$P~#VEjv`AYs77-vWYc+n45uUn1RcvpGV`5 zd>Zg(gC(Q>Z=!y1ym(2iRiE{ONd1^&K#h2`ylOn31cKIh6WAsGhfD6t!B3b@vK>jZ z^}JDA;Ie{sjmJsPJz3_?evRlVZxIeh;f!q9(`0T^qrOGI*#5sv_UN}5;11HHy)#}a zSK5j6&%7f_2=L2HUDz(q$~Q{7G)zE^)nG)EUB5~$Hwb77e6>>UGH#A84a1$?kGy7g zz~*#;sqmnHkLf&Tm_|k$o-;wcE>;A;4BGlfaAxV~1x}GYh zoZ6=I++zmMw9ItyxWuUd+H(c{5Q;jpjp99sLs}e?(ZL809HQJ1LV^=URSE<|*07Uj z#waLnPQ@jDgWim^eX1{@{Nh3DmmM(jR%IBr(EKl=RMOIlaNS4Ql<*dFRrx(wP!$5? z9{Ie+^CL=rc?^jA>BgE8ir)T&H~4qQY!Pzb{M}ocwxze}rSmOBr)Ca%^P~ZoT<0`z zO5x4oKk~9WRlj#&u#{8n#=rp0o_=vW# z64hsa3Qy8YC7wnjw74txSkNmt`4YX94k*d3OB#W4d!Kdp#6ZdQ(8>;*uZLk%e0ky4 zc9sCz{O$n3T((yl+Y{?>CkXdb1~r#fqg|XdL&8eWHqjZqg`^S%QdjyOy&Pvt%L(9V z-6aiv7-|%p9Sh3}Jv<-d@a-Ls%U{N%vpS|gjMq_;s)h=C8Df~+<+W3`=_w|WK6ydrulVj7h#(%i?T<7J7e-O6 zNnhadDD0YbXq3o<7)?;EW~Z)HoZ0XMAQsjlPjw-ZOzUjyLY@GyhZUt%!M0k{xP(BX zQ$Z?n7g=az$4hi0-q8Pg(NYi^>ccq?9|uvCLE{))1l+5|APS59PF-8A`XF)i#L8_Z+5>wM{JmC=91S2+0~qyoxImtD>yWXYLvoN{ah%siNmjRcEVQ0KJ~o zdOwTuu*AK-)9BZ6sDI5xq1)T@$V=#>e~?2X5v?L`SF&&Ybv-)L5#~<`jc;%O@BQjG zHdN%KLDNE|C+@3?fmkez!O&I({8zL21_dfyHMyKXWLPCX*7>?KCan_Nn`zgYwu<0k zX3K9Ycv*+4wF&LVNTflWrE|SS(Y1zA3{1Y&3ZbAyN)9?Kpf2gxyx#N6_-&G!uq57w}t2;uvB_^cw{MBfG8;YSc3RPjwerEmz^B?=9>MTD~(h65cfaf*q{KTX8xdX|cu^%!HI zjbz36{V(C?IwCQ&6aD9e<~n%qIpm2^YEl#f9$KZKp28#^VuS*R=vID7{4T)FVmAg! z#x7C2+~G18G>XO9PhgA$<-&>UhUT#s#bO`;nex3mHkbix!fV> zTdN(~GHe;bH$0qkZ<8a>jMTkL2qa&tz<11`xeA&aC0t|>;~T~h@ryOn`Q|*;W#ez^ zsU!z>S29pfxb;YIt|vJy5v$UlcCvcIe|0Y_~4{?7Tf?M;}K^HT98V3&klHB~_{ zq|i}I0)oh?mdH3_q4zhOU%|y?UZ8L{AvsI?DLf|&orLx4*i-e=UrMu`qh~cP2&CPI zM&@)QH88ks0CouafXc?Ic~V#tU@#!fT>JxJvV8 z*^Mll;!52S92)`}^T`8ZidNIL6+TZqa2U@x#V|Gz%%%1`kc&;kr??ckecGSIsu?cc`_c zX$Ls^cS0d!e-Doh+_EMl)#1X7J5PLO_G;+G`Pmm z9M}y`0xCU%T(d4hAYH?DC$vKeNN5YE&|2JURztDr$_@WMN0(a8!lz6`zaYwTL zQYetBS$Ow)BJp{976XKu?C)`cN=LqzJxO%2nZ`~f^!4I9XjJu#VKxmt_2O|E-H|qo zBtFdXn5HI_>TCm}sIB-QV3UkRS6CEua{zleNkU$D*l7>oZ+bKN_!n#r-G@(+U~T3$ z8>6_%LFb7v{S{He?kZ)U0ndr%X<#>ffthimDY`;Ns#$Zl>L)b zPnkMrc9*3=^On?f6cASkFRuQ&UZFx@ZKSmM8DgtF8*{RsoiI;j;I@E8?HfXT-X#2IKmP(T(n#Y; zwkvPI|IDF@+4_z>6I*6Uj;r@-wd+G6P}eOOxrXmxZ6-z8}+Onl$F(0oO$Ka)~3xAZ}5KNu?xan0+YisVOW$Q1=7 zKgYVqV)maX5)u5tp2C*K*2DC=q00#wYYTZI9ctk|$wFu86UAS)3EvCsd&7c# zi{KU%CSt}j${?BEbHP&(DL#{{l}10WFI9=WtZXq9#I33(3c%5Y+0}sXv8@FMa($wt z?uk(4cZfTEE*$g)W3Nt&Jf9}8bRpCPrp~I6_dE0}5k&{oW1$Y6U7iP`SjK@D??96@ zgqXj_%XETV=cpYim-^uPwb7@lwq>H!eH&0ytzK_2rUY(uX3%T*XR&zdv5smV7$Es& zGtEt{|5#C#A4pt=kDf4|A(4(QSDdC7JZM6K4RCfeqnRyx@0wDo0t5EO$#oykeHwmm zLHlewP3H#ubFS zH#?s`hh|g?mbb3LmKb^w1V~H-*CO4Q@UD;+{Hd#RstUisyX8Or=~icWO61eOQNN{# z$5^~&DSTA6?A;mi*;4PWBs?j|et8jMA z@>xWim1N$5$n^PSbWcvMB@I1x7q(Qy+=OTegWZ1H^iNl6Dbfv`-$`P;rw8$JfC)mm z<7J5!r>Iy`Hm$zu`UzB08@(Q$u;S~Gc!tLddbcbgL0th{QHfsg}LU$d39mo)}t@QHNX7Mf{L%LJgQkKL*Nb~#|}XcVZk&QYmR z>cG!&iLXhS==Z#$qxK%wXZ$HEA7);)J{2bj{UKks9DHC{0nE;Ih6x#*@~Aj;Q_2EtI> z%1r*Zp%GM@E2`!k;RfuZat~z;^v^t^&O%$et6{^8EGCl~THXnyg}WA&ss|WbbD7G> zEUR(g!9WOII4-e~j==)UKk{w!e)CPGM=cdN%6fyr)Ey2;QiBzig*Xn~+=I4N>3b4e z;*fd_H0y0(p<80$#h;8#8|2H3DsHhDU9;+v3Y0ZU8}UXcVI$^`tFA0O2_uLW{{fc6 z^FB33X3@edy82v|>bWqwL6#xLm?OTjv))_9MuAyE#6|ju>_pwyG`Ts9~|GfVn055f#F{qe&xacy7dbo%y z|7R2bVu~v>5wiX_fVeUTNLUY6j?KbkwGqWCB7^*T}>eNQruymXM!uo*E5o3qpb#M>Je~N z4+SZaTk*DTOO>rxe6qAd$)Y_ct$VV~)|Mf}QUa6|f}k8JyL+9+181S8s%h098SHU{ zFDid{(>b%B-yaK49>_ovzQ&4Xn>&9Y2QsW%4>`qe(D~ckb$h{RdE%@t%@40QaB;F6 zTCaU8f)nmEQ-aB59QxaB*8|zOUOPV5$KDQ+I<#mLN%UEcTy2aKPBKyBXJNb-WB^Nn3d_5+x_NohokfiAT?zN>jr}NtKr+BuNNbj@`&k zsozqS7>30N^9(hGWR?upvP(}u^b#cJ(WqxLj(NVEVe?vg^l-`A4?Sia31=k|_f74b zHgRDA2Q0kS!joPY(aU-E1%q}apmN22ss6^SfQp6&b|d4}3>Ojwv-Fv+ z=8dRdMV&{Zbb?z(a0@?l!D#u*=alHD{S6l#3Ub!g^H=B#@kdv9<{?!)Pw3oa=paW3?gTgH)2gO4-qmh9{158}I`0kAv zW*j)tNewb@Nvl~|@uA`Kp3Cu!omCQ4Fe^6Ih9{DV;P**qTN_XhTL+RhfosHXN;>5( z?UMF$Je{lO6wg4^2sVBza*%iOS#JF#kANPfy8R)__U=xtxPJ$qCfDes&BdKz^e?9_ zGTmmEEXDkRC_L0&pT8kh@ow|u*x*@m3rWmc%2jMbN(jTeS%d@N$@Z9N@K*c%B&|<8 z53T9Wby$jL-yQ$8cY;Xi0$c5zBdZFXZ#5L_B{$|WQ0BJ5P#Et-1z~0&{xLXP2bjKQ zj=?1kHM>RV2ytIAYLi`?o>!K%ZW6h}I{M{a^=XEL54WOM?9oT_wZ*mKZoN5aLpznK zZ12|{QL2Sw);;}_;`|3M1}i7O*jInU+X~zT8BQ9Jn!66#OE)i)zElF0;kF=muA7m{ zBzvptnohaC-ty%Sl>a2YKXlR}l*8|*zrV#UuXF4&N%zSOiU$&A)Ne{Dl|z#JIDK3h z&v#2O6SWqs&n6$>96hlYl<*5o^$^Dl&ek-wPJmceH0@sjPkX)D-HC~aeGzysox}o zc;JAj7BrtYgA|tX7u2b_xBU-Ici2kK?)6X8PNN{vq4j!xOpC`In4+73Gu6Vk90o&O zffKt|XRVeKa7zqj(xaUVHAZlk#in3`2-5-*(>*MZF~ZLfGeJ`!p(Ul>M>U71km_cU zsAFfMOchc+$hx2Rd==S`d1sq@rn6|N&m&ekLJq!aiwNIIc^bg~3rYEa%_X}?OI>fX zIc($8yhzb8OnIuPIw}db-hx@quZp*9i|GZGWAL)^&C5mG1gu*lJ%DTo5gvog_C%_& z`WEP-O2AaothAqJvH?5cYfJm zR%tFP@w_tI>X7|1m4|WedfE-TWHV?!h0o>du;>y8X71Y(SrO#H6nFr|L|(5k#4aOs zaFt6RZvf-co66o%lpXbBB6I90Fo~*SY=S*bkmy(7<_rO|t{hq$*FHupgd8S!+UF^) z)J!8RSEsJi;-Y-psp}KJfFTYrShH^x7gk+kU4t=;SgrV5{Qm+yK*GO|km!;BE|pI~ z&;Ed+pbZ5^ns$$*Vma!yJ$p0OIFF2*#DLYr3XP*wwE!_W-*vJ0>&~Jcd&POgVyfb( zrlIqvf2JR<<`2k>joUu=;9O*$FV+9S$`1U@CSQO06J*M-Roj#(t0`~IZ^Es!fnl}F z>t^Y>;3)6d6cYN?MymA5-`jw<{7q)K?Y8Yo)sVAy2#LBWYV&d}o)3|VFKcQa*{=HS z)Bg77txpx&ZBsL!#IzZuL+0uJa{Ll6JMkiEoYyD{kVMsnu#r%+cxKHVY!O6y8Tg|(zv$C|T7}MAVjFxddQd5DK zDeC@x?K>)@etTD7N>rq@*vvyMZYK0Q!BZh$V+NfIV;9gBHK)0<+$ z_cS9u%kFXNcRt8%Uftv71x>`NeEa<_bl0Efw`Hnah}!&XzRjP111l`3TnYg?2Ci@zk2AAd?O(|3wK1I@d_KS41qGK z{dqepBdCCfS&a(etdRx7Q7FXSM=8ci(PU5L19l3aIQw|&|=WCCS(|9u8{M> z788cf4>!`nS=gsSu?8S&6?Mxu_L+&W(m6A8*E_-ej9D-dqA#;BN-5B}*3VdZnPPz& zv3{{F$Dt~PNM!RU!HhQfD=^~l@595YR|7 z%<`}M2qlcQX6D)G{i(1@YY?SJhh}C!%&zNmVWxhH^mwbj=g9d5Jc7JVdvEHy-Nk!iDvA*XM;0h9Oa^b|d(|ZhqftFk zq9POdI=u#~)CFz8h}GIrsV_mo*V*%v49mM+bTyKjLLQmAN#EkwIA+0z;?LvP;@^;~ z+wU%D)E5KX+fD3?KXGs@4$*J(?;Z;hqrRwp%BWB6^D*_Kv^rsp(vAAYs>e_{ z1_8*X{O^C7AG@mbnneqiXmzoFNWAX|j(+K80YGN5tBwDlI=fgC4$>oGeU1&u2jdBlE*%JnmKhQnaZEB_Guc2Y4x7Wuj3hGMk=zC1B)R0m? z>wq@3B&h}$e)p}=wwkJKvQ@}bz!m`M-Yr%;E>l1(JF-Hh&=gS1tXSeDxN5X zosWz4uf>v!%7%4TSdtH`g{4H4HKsxBg&5MOMws}s>JYL54WFr?69XQ6Gm+bpOK7b?7f9Y_mKJ%x*w4pTHe6!7T@nKek%)##9t4+ zte3Zed3e|gjCTA4`s9@{h-DO>z#_3*z=%KwE%FNB*uLVaHZZMZb zwXo+#CJ4XR9kJ|VhH|HzE@PZ?=nTtMod=W1V8uv0sfrl${KdvkX`WRD)c7H4IvTQ) z_&33}?F;R{97{rcN5JwGG=}FQBoQ)y?5i+P@eo8UW5|*nSMTq^RUBNOeg`536BL>I zGF3b!$|lzG4nv>E%%=`2o6c^#(8zFsfm8cIQ>Y_7#!1A^+EL>24^W~Gkt%EN!z*#=HI$Kk> zS{INeVBSV79)6+hCadl?av&zzzd$|~@MEgh(}M_&fZLo=?&iIH+OdbYC~aO19wTP) zJ+ic$=2p&007J10*jcOBI+&cXwsTso|zicnyVv;bJ`^G$v7$VH~mA*=wSTIbOK z(H}m7H$--dQ1mg4nD5#X>TU(y`t$u@Va};otgfppHkB7k_?c2KP6jahtaAL6^UqWZ z?s#94AZf>fSFLPI)?JTlxOyV+K%4bE3~3`jq$K8&^dq=dHT&iaDDEeID<2-d#eyh@ zCz*zH%b$qjjD5*sz3nlN$}|FF?E2&5(6g$H`-o0n!xiGUN#jyS6my~7T}U@SD+<{p zVw+MWLrfd2!7!=rT!w2@c~sKaTu31}W06P3MA0N1Ei9S?Aqfb`RP`-cMV2ND3@s;A zv8WI*J(p|r!FcYzRM3aWU6}Efo6?u#frV8n!m1>@o0);zS5Jf_6T9%%Chzk-0Ng9X zj4B(AuB~q41%>vyk)gWTzDhq$T%Zhr1-p$K`918V8zTim9YYad-rqs(hD6nt-bibvTKXRo^-Mc zKh<(BmKd%+vKv-$el>{2Y8UoxCzT>;YpQvv(`uHs?zH6He?;i6;8aZ(GqBILcA*pd zlEW`t4eptq>c)Wjx*Cw!t$u?J9LPT|FR=dG2sLn?oy*D?JC2S@Lq=_(>U0ifXe zw^J7I#(;ubxO;~;+Nk_4HI^@t;hjVonm!eC1L@zRaZV>nqztLJB!@sNpw4a^gWjf}>X|hSM^JW0 zd)6WNhUQ#vW9BW@?`a<2BcPuO01RARlnox~Rx8#+yOJHsZ z$9uz1T|JuRVmCw+T`|liUnNeN)>n9Spi_LS3!MKOtW+?iDXu(S%i_f20gQsb<#@4dp zC8~Y!n-Y>;TY1dgO7RIYujmoA7j#;O#Ofy7z{0LTX6D`91w!3Mxx<3OL`JSSbB@4> zs@~kzF#4Q9ZTk(8f4b_`Bvx_pW>l{^q_fPN()ilKo>LQYz9$}ZCBdI8hRIZKlL`%< zu+*@T9sQb<T6iUz z@VFW2VIE@g_6TbT8%G9iD{!<^N}2<*0t#x|Ope_$lalr-meQo#!$)LQ-v%;)9j1t`Z>&VlEV%3lo>dYah}_GRqT&vIby0S*(2pnHZc93YP+SWqPC; z8X|r(dLuGsToyh*zt!RS%C`kp(CT0Q zf&wd#lng7qkXk0HQ`1qHYQbQw`u~%g)O%g7($9&D{6^npL$6el0(V+g@a9h`j{)FE zVdPt~PSQMB*{;w~fItZ^ur4g!JOz~n2;Y!6xQqr9zUh|BRJIWr?h`H;gl2o!FV3!%GkN{qICUxK$Tzx^?hgIjHwk!JxM-_CIvpg`oZ{Agyl zaKVEYZ8GmxeR zd{q4gX^vGl#6eL48Uf$k1`(7$0SB4{aqs~C*W?kQ-{(0T7&V78(H-?G36w0b1J*LWXN{&9#6!x@- zA-3m%kMKsEGa(IEMm(Ltn{JlHg?8I|!tftwJxFanr*x*&X$3J|E)nSE+P`=RWd z?$$a@xth3g)~S}1(#jRfd5<6W?|DJI1|(5xy;#U!POoq*swT zmmN#Jc#d8dz~JmmH}$c0Si577T=WGPA}p!mtNkpV9|uHEwLmv!*^j*#-ifr9;LA1Y z!${*uB6`4|YKp8X1BRAGyxM5U9bP-2soIBXSlRDl6N)cO#{#}+6Mt`!&3YtsxpSE1Ygo{J2rXW8l_vQLFCF|C% zMgNUA0#04X*Kv{hOKU-Y!{`$fk!`~rv6-@QWiTe%kTASRXM?5ZE~y;h5?vltT1DX< zg;bNoJptx#e97_juEtN^TFg|W0C1EX!t?D`3-@Uih!)E3bkTV$T#kfhJKc7TEYl@S z5-e*6cb;un3zSsMl%mfB1zlR;$;koo{V!07>VHk?&%t7vYKBci=o;O0DLtivy>oeehxmWNl z@2utp9Y_d5h8Y14NxJi&9oRxyZJ}7(v~H3a;LCC#>f~z#ccwfkvr)iKG2!Ky_arQ6 zji1!?08(%e|5XdQCo_3GK-KctlML`xSsE1?Zh(TH-!U3ypevSj?_r#~qO-!7zj>CI zh@T(45m;fyq3ZqmDg#e3B2Uh4y_Nho4XU9eK`_HaXD2nB<&t0NYdfTKY5ByD?zt;k zXea-%K+}!=GgIkh!c;s*MR8DHFXw-z;o1PU3$EApA;^a?eq#M}feP+Tp#$=g3@Z8% zR*RHFY^-RGSBM3}P8pFdiQs^B{TJ$@*3K*rA8f(>I6ROh1^uK;*gKLAn?Cq}d9r#X zU9?0M?wk0Y>XZW-iIC6zdKNw1T{hEQ&tGtg_U|Q$C#Pp(Gt&9n=atD?s?|wUXzKl-H)~Hb_+0&M zB-4*sL65zj1c=#B!jpcN`8kBdavbftD?FG9E@#~Dz4GVe%MDZZTERQmLDD})oa$wXWHfVdAI4q1BiC(9YtX5 zT9@MFlKgEKKwOzwJz}pi;^&r~l|s0?+LReZxd1(18OS==pNvZa@MY`5LF&ucpbaK_ zQRVM+qEeMDc;;t_5-Dd99S@XU1tH4FWe~F_Xc>*?B(j=UMruz$K z1egh;3=1(6ji7e(4z=b{f1jj@roiUvYO5~&xQLU+A&;|eRvIrCINO2 z+|1konlMu(+pI&Ll$2~Z;C5<{z*t@l4>P$vY|4BYHe9njm}iip*gjjh+2-~Io*dNi z>rloc#|Z8CYW`u`hsFI{fa;RArQJwNarR($!3UOqHM?67JA$3ZFKS;!!S^NvAhWlI z1H4`k0#FaP;*dxV0ylmK#zYmovcc!C|aDFFBG0zH-o^wYK&9@vDC!M2x&LYI`k zVEE4^E$y|*^r&~Fc}4~)m@aVow%c^TrRR3;%pH;`JD>0*Fs!cHiyJ^hwXVTOVZth| zU(WbDUKDfHnN>f+5G`-&`xnjlEkbk#TEVgu9L6Vit4(Y@_Px7#peJ5$0efT4e9~t) z4^v?R62B%b-U7K?$9%xnbDl4$wAS|tmLm&OVf3pyOVFW@G)bp4)C0HjO=Vv@rHW+W z#tCT=hY7$(4xp>>g-1P9S@L=;Eo(uNQQl8H}~rjo%JBn z8{;Sw?H4UJ&bE#Y&{T;(>0t=@<)-BF*in2Fr~euRS25JNy}WeaK{(}2w8j&P`LeO) zKi}0bFuhh4G9h2tZ2GSLm;A4sHm<@lsZt*|We&eIV(d-|HnJ!yCLRZ@o8p6k-xCh! zXg;6D9xnO@+G1t(4+@A9&p$&%%K~j@GkX()WX75@upX&4E6vPNL-78xUM4h-GJ=Nu zq^5an>K;_$XB+IfD3$-`U^I?{{}jGprA`8S*&O^}pYbT-XU=VEioXA2WA>8mS%F=9 zXFX$Z6a#+2PGs|CH%Tj+mA>P#(dL>vZi)$x+GEl>+eVF|%XlrFX4~33RDEO80KsPM z*4D)XgoTrx&L(3>8YRp3xBC+1Mpz`Cl9W|uCeeePBJUuIq@6`SI zQY-is{$PMI_~G`1>-h~23S=|p=_JWQxUc3FYEA3Raj&=ORL50*{}c7vflfmTuF-j! zsd9VYzW52zf-TB=;s>!utA0a4yAS3D4K&!5`=$-vi?uT%&rPj4@^Fz3N!9(cpA3z> zPQ6F#Nth0Fih@woa$cPFQq33ALnE5lOHf8W5&A4kQ34N(0l#l#rXK5LdpxYcCF+fv z?wvy7km=UnSrbe(elB{Puls7~(c1^Sc>pgVeGP8PO=V1v#TTfX>ORyYxbpvmw)2Ay z(jBPSbdV6JKid^g$9;NG_ECxlmuIynqXMu5zB10++2ZXR2h{Mlvlhnr71xf!OrUDpnu}|Y<0Zccd4zob2rc_sB=S58PU~%R>t&Auqth=bz z(|jPeqLHRz9j*gL<>uc1E|yN?Vl92X=Z34xtnPy7;FpWReuHyHlEOgulv!4_bj@2@0ImI;96y7hI?z>LGn&QryfgbOC&nz zRRRKT)NxJK7Hrv;!?Ty0lFw{KKJqd(f}3@BaV`c5o6P_v+#r-J={Kb7$B>D{gYx(9 z0ZB(69vT|F@`&xO527PV0$N8@M<*{e+<+o(Cnij0B{*C(phQ~D;;ly64wo+%w5vMW z;U})3Y=Sik0dI?3o_(6OG}V`00e5`Tkw#}f)IuM9Y7L0$r{V?jtJAKVAg&2~1I#4^ z&qnHB##K@JWnmbo&dp@cPlk}AX~)zU|Cgg>IhmdOlDrV zNavD1|It%m!9kiSn2P>vC&jxp3cAF|zLs7{POW14^u&!kXd*MUkq|a2(XbhEtzhvn z7^)6l_;~+Ce|BqpSyT5|htf1@&te22sl|TIDdyHKuZ^I@55#c~B^D$HgpH`!B5KK0 zcW}oAo*?n~F9%zb^B2(=Vc*rt7y%a|turBIZ4>$)A8Ny?z}ZL$x>Z~gMY-Ht-F*mV z`WtYispQG~v<-o>zn@bZVW=%B%AGUTsd0nP#$Uv>#u)>qmU_iS>Zdag;PgL+m7$l! z`e#U~AYDW0UBSFu$iVT7D$+f?DDkx?DhS_m_%W|~Y0GR$#Mp_awSJK4W<1#CXA(GC zIHNgCYVPFGRUU@b94a_?fW3JXNP_&t@ljK8VWe?OX^p;f58=5eD{Y<^6k_TNeTamz zX4`H8wN$@{b$dp)RMxx6r!)eE^z@7r08c}N^z8)kgZ$l`Wq;&;kmW~svl@dE5Q&G% z*d;K3u^XOZ$6qF@zO5x6>Da!?{HwEtG;xbX2UZzpCwzQpv&)E!3mVLGuadIyM5X?| zIH~5!qS%TK@c7*|G4Ff}HvgF6;{K#({}X-v6OaN8{cR=o8Q=xaNZpeV&T*&Fh~w*; zd?)AGvuj>!To&Jjh76a;B9`<}7 zQZocrQ%LqU4h#yv7-J1|)*W8Ya{v78LZ@ju3p+13gDcpyksxOJL7#ar?B`ODG zMYOPrN3HeZh7^evj$3w>tbG0{WCJxY0h$9?M7I3UC5JRBGkpkspC3)XVzskt10By1 zcJth7EaAdlSrq)2C$xs`sgY?bPX-nsI$zdaJto;2_qQb?Ei2sf^9*ga80?ppy#$@- zVky|z0W5n-TcnDB*#2>>$fOX^{&4PAKNCVPX6J0ckD~cbc-jFNdr(f+NSI>peUi1c zqre%Fx^9!A#P{-}#^K;1?BThP*$H|n2%5QJsHF79^L4|>$gH*Di7KW)lF8GyXaI;H}(RNK~La?>&ysA$CcW*584Idr|{`QO!d zRy@CMZu{~*Fem?^?(##-(uNXi#8K{FF<*pa5xukyiwGs%FWtTo3b+ zmc3)x8meZ{R^g6`lK*X7gY<6s>8>EDeXF7_+>otN} z|Lyi8vV0+FP|QV)r7rM&K-BUw)ETs3)-wnEzjCuf{ddZ0^+&er2Dz&8wS2z)JkqFM zX?y)1IwQKBpT&PL1E~yK&IJFnh!EeU4$I0?h3VLp21ZC~QWBAI#LKB6b@lN;cY$M- z_aBAxRY`vYsQae{w?2nCUWT4h%C?NbS}h`|k>k;%(^muZ?O+Ml8l?P7n;Tmx0@{q| z_ltFMeBT#c_Fp_OfAzB&oovYa8F!o6D-gY&TrkcrVfMZ>pt>b7xj%%xTpEJ$3WXDz z92bPbaX=7(l!O9C3}s{yB=4Ju?oYP`zWWdS*E6VzX%3-PRX5;kl|6|Fz%~zJyqYO8 zSvXol?iwQQ-cC{lHE`NcZLccqP^XQ;<)iUZRa4M`_C+kJxi)7anl8Q^R%Vhra(k6H zWUy8Y(^ReJ80MxGnV`>Zf4()Gz?2yQsmD~NR8q~IJ?z6H0SEG&vI1JKGP=k=DoN#r zYc$NJt$#T%P5KFXjWmAC)M5g;nDmH}IYEEK)v6NMa zRnM^lClmq=Rq=A?7I`HoWv;b^M{svUg6Va~A0cH@3yD%R!}1&h-fs4=iBk<|feJ47 zle!6{>A^ol>HcqW7yF!BI*^-_3~_QVc-H1;_uT+SuW_U@QF>K_F87pb51YEMeyi$Jg{eM~Co@j#1P_rvm8Xys zY|;aL-+tY)oOQSZaBNQ)rid@(EmGA;7%L-v0|GpdX{}%hMq+avgqnG;%7JHet zT#oOdIhEadNCp+_bldgVnne}USsGe`Ot3+X{f;S?#}r~jQnT824TSiEwq>~k^rvM| zU_1?w+&LC-#J66suD^2Z$FZ;znML9d4MjdV?=+BHaa$Cw=}M!NqTowd(KTVFU>(&o0_5>#_m zq%Iu6*_#8j(TVC?hg$cFu5!b*LHJ*X*xL=Pfy@o;)yJy!s^SHcvptqpTebiPmPeeo8ok-j7WIZrKG9}?3Js`7Ea zbU<(Im`Jr0dpS1L&G||pHx>rnO!4(d^K@gX75p4U&-Ix7%44ORrr(@33OJY?0@+Y< z=pAjyF2hhL91cO54<;fJZ&#&q(~N)~2y%CU>Ry?G_D;*94d#tF5xiCJl1Uuq0CIIP zX>_TQV)z6_0Z6o4D490%@Q;L8{heuO02P5}*NB4kd*^Qr%rXg*?SnIqgjpD8KrPVf zC8;`f=n8aGtkF+I?A=opffQZ%_d+e*mVd!{RjyRvN-P$OULA6$V#edHSe0cT*3s$i zY88-5o2TWYrm?Z4B;fC!OT<(GSZ~`W3Bz2dx?$EsC(_~Pb zEpFRwCe>f$*NPMOIkaYb1#beYNPN1qd-Y#0G=oiw=st}X?>0qDMj;=&8(Ac=YSX?3 zhH*T{mw%B4n`g1>#W+FS{nb#J>#wAqVcA_{UJMAV+hH%$KG%?z>f#orrLSQa$kgkWAaoDg(8L&x>akT5sktqBCR(${rddx#N*u#&?I-CBXGlsNMJU( z><_OAI6SeS(urwMSb%Vmq)N)!zV;0y(bGcl(eG`9WHnLw#QB44(deKRDEHSNu>6_1 zUgB%rlej|~3iZ6TD?MA)ENQ1?h%U1|f-A;Z2PVI9moC|N$#_5-Ay`t3c}*=nd=t_OfX3sQ|ZgEB)@4 zV}j6N2;ZB!d&V~+n#KtZl%!y!c%77xohmv>WpmXE;@K+xtA539T+nT%^ENDF2XmY^tirv>l!^hQeVgngzSZm-; zGYE|56Deq|`gnS+G!dMYcG5Y-`M>a+)h6OFzV97XFAab9ub8;-pdvD#svuMAYq#R| z8<{yKD%|_|xI6eln5$;YBzv#3uf%9K2gdMlS(s4%RHi{4q1B;q4Lbp);V9pC3n0TV z<_gZv1$-jiCq0E}BKWFbn)@e7W;LNslb~IG^g|D34IN}OBUjR#oCSCOVTbr9&)>7S z9LjRZ^(8ehCz2$t5eH^xrD=m*g1HE&cA(d1C`EJ2_|Hxl%btgGhHcWvLH#nSl?LYi z((8$aTTZi>xp4R%JUb3Y6!0`WUcCIEUK*)ePrL=wUEF!HiC?qUCte?4fwBKYF2cSU zS3nZH@OhPV0N9}~gu)r9sm6L*7B>DSS@7`T+fCF-FlN*wNNQ|eMrNgS!^^_`i)0_? znFQHS<85Ey7M-@rkKXL1&QUp-f4z@&YX)0hHxuZ-p#i;X&_G2+m(-DKc!j z_B_Q_15T*Tx6potX~8~0Zz=TJc-w{)Ks0~96ROVdX?7RVjGxqFAfANkg?1q~v9yvH zmA{YusJNOlEKkjVFz4Ao;b1pzJrze*&D(*gEX4D+^*~NqQ({lMURB*5$Bd~$@c7z@ z8@Q!EBvpErbd1B!x-Wb{T!_u47UJyIBGKS{aij0rYF4gj^AbnGt>>v{pqB3YBK-Ka zG+bk+GlnrpGxFyd%kL;CMn04|?wo+3!Hu=~g*9a8>O!gnY-2y(+&m+~e#b48+QO|? zij+E0uK6{JtOCp8|A9)a#|LS=12>Sk1a+g&7`<`VoQ#IRv>D$D=t(FmSm%jZvfcfb z2-|f+!8OU`)sokYJc?5Wj+b1?i-7y~@=Crc)9B#ToU^1-3tLm&_^lUpx7A<2p zu@Qti=?gI(17Lyeo4b|~BKE9ms8?@HS{WvXCMuuEN8tg&`|WBy-E<`GF$88V3IcD* z7||KF`5;|_71ue?)Zt*>Nv8!kX-WlX`}tB;qdm&5x$nmFhZju>U4&}JY!u@H^K+U< zw$e)@=Id-7lF$REAF)9&-`L;po+eaKm3iffZ7)3p=c8hO|0^+i>Jo1DoZd9J`RijU z#k@nw2^2DvQbL*igpO4Ch=h05`iQD|5(Y5&vVA2|vj@#ius0kx!YaNIr>%Qlyv&0O zkC1Vk)!37&M4v%O=7FAlJ#+RgC`-RqQTFELSXGkb1nuGC={qmJ)MD%0=j56Z*@1ph zo=My37nq}*u6naoBg6%m9-ERr_Nqh6UXM`e_l}Cp;Zh3zH;MEC&MJkf9OEpMtA3wZ zAMPYPxjsdaZL6DAnGGuZZ(fx6DGDG{Qe)UM&9WP5=hE$AA}f5a7U~)>lZfObt)55B zGqi_eo4%eASKqWKaI%|&BnRDF+{%y)zDEkw*EDa$4=8Z~z>mS6$dsa;M4N;yy<|Wi z4z>VT7pXC6SP%cBf0ei@b?}PXKZ_P(@p==aDzBXMQ=uBu_B_D9iN?MeH@sGjeWla{ zi%?|QYeG2!Y0qplvum!!Vd$I-0R&x5+{K=`Y%2Lp3QTjcT%d3fc*n4pJ6x;Jm}#pI zi*}7>fmsp+Z!rYfMcfRF47N)<5x;?Ex~VeGg{h$^~ql%$i znPYXgB*fNt!{wWMfo@A>k1$X!)2!8BFu<_aUKQKX8XQmr6i+_H1Z?2 z)N4eiGydl#7cdgoWH#4Ipx)T@`5u|~=?mxz7z1{B&JFp7ESa*0hle6PcR6wObd%_=WLFWvcu zNgu8ZvOVl`b`T4jK2vf4Sv%5E<%Gg< z7ey)P2UWQEVgZoTKpz(gMc4z-L^e2g3d7l(h=$SW$TU7>O<&d`VldRD|LTuDIHujp z&B8-{De=++!Z~YQf$jJX@XT`~>wI|}CprIIoh=a@XKwnYi|{;-nIYfrUIjX;?uo8_ z+1~oZZDr&`6qXjBtdBBqeexpBRL~t~O$AE$ia?p&@uUIk_2e@jIclw2pGFB2l*HVj zVdb|~spda6rao$xgRUXg!w?(^=*tIg>qE&d^>Et}px_IH^5I)c^aT4CIw=63{s2b5 z{*L^jxlriA0Q(bQ8wT(NlXcKe!8%9e5w;@_{>f8ff4LGzuQU*~-ls;PJMhcxsn%lk z^oUUr2FvpI-p|5K3$8CQME;xKPY;)|x#oUfZ=INf=CJ!nR@6x``(V0|c?aC2LI^-d zq!n;sOG!>b9+8mRP~hCO19CbXH-2>~;F-NyriFv;g#wGQl2ye-Y2mwdFrRT%Y3LfU z*8;mGoFm*^NL=_!7(lr+_8l+?7WMA7&ksXC3AXI0+NMhcncXtaxjWS0hAK#fq+<^5 zgvfI1&P~9q{+7^+cts4D+SZ|)`ATBZLEA`BB?R>AYgKSIUrK4$5N-V&tAgGd#Ej*? zkRB6ZX99&{V6F{r8Rp9$ILdL(v&gejuv#}%#0m4&9$7-=1$g4XTB(}}sk zsT+*4$`U&;$9-PZ4Pf-uA$d+%gy2@o@owS|WSzJ(+`$b`uZQiWp$-onjZTC&U`;%) zATkLCWIXT$Lju#((70}}r{FSfSm1Cl8SsG?26NO3zZ{6@zT6JAmf22QFX64taE{x$ zhn3Q*(@`)422FvZKZBun;W6Ryc%%26nXefCoTnt}?dH%%kS=nu4bQ%CCD_2B8j~)zd1C!l!+%5sQa!GJ zaAk)k)aSLiE%DVOWHlCW;HNi`tF~bXz{B2x?3AJcagH1jiB-R_EF|e8dIA5YNOuam zOdxFD8-qxuH$^?IiaOsle*#qXbwFF$qDdxW?u2!;m2M)k_T`Si=K{~*-t*gK)5KCo zPgSV(!l-{ZpQTyc8yU$*BpMK^Puha+r#g$PqP&@K8H9(EF;<#*m#h8h*W5?;jqc-1 zXJz3Fh`-&L!LN-~D2WzDO){uzX|3^#ccuPSOJD>?9ejk)=~_*t{hsnn-}WbI zYn?3hCWJRa{fs&ZCPdTD_MCiy_dHmgl&h>ZN@wKF~c zZ)S{5&c2!HB-72pfBw_)A@LDVWdVY^6aPBH+U($7L@DK5xa5FZ0mYQi*T-w)w8nU# z9+Mlfi#agx!q>R+&3H_$53TwVu`zPGX5Ay3wH=|$$(DasjCnK2Q%|N^7vT58sNX&a zcJ*6)nEF&zkaD}Fs|r3+_r)a20cmKVG8~*>YFIX>DS!9KUblu6Fz$a=*GW)xed|RwgsnKJD2)2O%3q$JfCBFzYsZLY z>k2YE{DzPOpjzGd-g+&moR`nw80pY~;V8#mz21`(fu1TC)3kxO!^A%0Tyqhs1}hJU zdRe7jP4>!L(&OOo9JjjPE6t@l99I?yznu$@UeB4e> zfdSk~F3S?`KZD#Hy{}skfkjN{BddoRwzqMytS-R6N-=ZK&$8(Qa$155Dl z4m-Ov1f(l4B>eo!C43sHJbJO|n)Yv1*{ZS}9sLn8mlG@s@N%!I;8IId5%Z4XuxqzI$ zaMh8nS>)dz2wGYUqj|nY+l`ugF78AV(VeVwL&OH&Qnt`{D*SE`rpb90#EnMrSo@3B z26)HTES_SKA!}Xls>PC5Kbx?i+j8=C>4ItcNVj-qd@6)X<}D>z$ri@tN$m-jO;H;q z&`i4H1~kN(zq?F41@R{O2rl)k`xV_26-Ix?8IuqTl|;3bi-(SUA%cx^J$x?^o1Dc; z2urBi{jpiD%TyG;_S*^%%w&a^87B|68T&0b4QZwLQU9;HD9;bdyDx+SKijUI^vPxB zdH~7z2e7q%SU^S66*@)*y3#%U1_UHBhLBPul=Ft^lS!<}%o|B@Rkc zl4L-*3dIo+Oj~3O@F?cfHQ>1MDIRw()b68}5y?@+5~^B9L|vxAjAOZUb3=kblXq7F z++6}_%M^GX)#iA|=UsP9esF@G+9ZMNy{FT$SA$2PsXW7x+as!ZG4nI*G;K(+1nGr_ zpw|+*c=BHz#Qo&h5@ZV>nQ+9w*Gs1Z4#)I`J7d+%*xDFCMo{kSxbAY@hbbnYF@;Hk z9=NIc>V|XqIGBq!3vJ`graZx2QgpznJwGM7`b6G{AEE{t2k7rlJ9r`f`KvLV4OR}G zcf}=Q&aAQ}F>CGK77^c$5i#O{JU2T)m})n3vrU`E-S#G7u$h$Y(!o~M$ndapvCnhi z4Z{vcGsm{+pzqYp9#o9+d#qemkrtOp}x{V4uDfb(dnlAp$}@*fQaZD8puz_auRPrI9re7R{3mOFkoz$mf!u`~2#;H3k>_3AuFpDdSjuN0Cs}p8c5j;bud_Yh+h6wKTGm?sKDd{l z!&$@GF@iX5_8XD1*6qZiIQkstFZD??7tm9m{TsnbJT3{NQo8X5?H1ca{&>u{g5MGH ze=Hk{%N`a4h&!caKn>gK2Q^tWsH+CCzburzVlOSH+^i}Abs5^t2j=oY#EB63(S&$x zfTx{0IVbkdc50T$zw&_3^SX`SzB}(DG_Wt?pCx1@O9mg!6H=pAMWna(wQTcEK=cr; z6>yiY`MH^=4+OFZ<_-tad}J+|VmWW>n#GC>^=5Lgt1hsy(uEGW-4b#5vim_IrcD{d zTyG65=_sjTn24njv2gBUUqR(GuY2T;y&v3h*tWySAFAXkYu5R0lj1;<+vBYu>PvFH zIPf6G08K!$zk@_Ew+n+WOMtz-om&2+v6cZvHMXi^`(ZaE<6sO|V4QuC0t=;7DS~61 zg9dX96kO+guc~wTAl$dnIV^hUg;3i=+WE;k8-$C^HahG@uyj+2D0jCvBq5!;L!$^I zYtMs=+iLwFX2;LmyKA*uIv3#`p#vyJ7;Op%c1P)FC7zE$}N6HiwmG1*fN+L z?1Usf@&&zGVzv&|n3VN@z3-Bve`6Gvi@N4u?YI5zBI`pg0SsrEAu0G7sAoDL#(|Qj z+XUXi{Hrg51@lhpp`CDGTA z>T#LR!-yQ?{9KdAtD)Uc^n1NShoIwuR43~F*y3*|cY>2>khxLIa^pUo{!Sr;HWVRt zDU@>yo+0iLMe|7$69_R$|DNOMu1N1T557k;CI!=u>J6&*_c?ydhP_M2y&$$k5b~}! zNB?5;Kc{a5haqn{nc09;^C;MIdjO6PufNey(&JA4Hm1HVZ35?pw9X~0mhSQ!7959W z6itZrrB|(eEPY+z^rez2q=>V32ACNWsE^-ygnk?cxdR!Ohh2S7Gi?5%hbns=m1--#M74E0{VMh_a+rVX5C80XKO&L*m>Qv-#k^X zT}ont9n?2%^+k zECVJIgA_v33lNDQ<6%HP^t6m*fR?IQDeu~PvIQ6c6*w8`!wvGD_O{mzl2EZF+_=gx z=+MssbKD~((BLZdz`+6f09gW7Q8ycir}kOjqOa~3lS(`cg4&2ZFe8kRno{k#!^BU; zba$v8Lz7ym=A=F44cMw#XuXOr5NdPvT~cXoV!lp!NG_ehOM-EiOQ{E}WYHze)>Cf! z6P{P?$X3*W_K5Y-UhtzN1a4L{lEpawmOL(b@|>niC8>#%hberxO_TR`26 zgBTniI&t8Y$V=ECW8kP-b59F;l+Xx6XnU>bAG3C#I^#Kt%ux-@U+Df2>w_F-f}#d9E*lH$ zEVqIv2+B1?*!;dRq6Iiqz4Z2NdrZvB# z^dJ$ozOO1=FTQ`?5nc>Iz_3pvFDNQJdW*;Xa^zDAfG4}<_u|e?mR>F19~2Z>=xDa= z7KdhoK{S3y<f?a4Zo! z_m;0%fd(t8T_5zn0hM{fzd|Si5lK6OZpNf147ZS$9)vY)%rqR{aOvR;xCz>XNv@Um zsmqiAL0&R<0$2%|1gdEH?d1t6)9~vbPR2bCi>T(Qb(Rv_^ab6m=Ja7{|4BpniBmSe zf%_7XprH`b`b{L`Z!F7KD!4ArCSYsT)S8%_tD820o{+IsqN z&Fo6W#Id~S-Q#r#Txhcsa)n>Ss2HQq@tfzM&MS`KffO}{-NAKvBYQ%wb6~VO1FG-I zI2Hd~Iv8NY5`rUio!y@2ByoZ+YU~}OwW)b@W@!3Z zxeu=tfk->nz~0ZFW0-bPw)k$OBtJ73U4@U+*9q6?k0%A=zt1+#P|e7Kgzp^O)c;(9 z56w*DX%TI$Oxf6k`@gyAd6fG#@j2z?q4x@O{Y$+U4OQM1h6jX{2pPHCFzm0rBn==c+93o2M^bRuc8bG>UVCX- zAvlYpJC{4W$@~dY?inH=2`M!>CM)CAGgM6ZZSO`?rUpb=GVLM6`88kiY$sI>#LL8jUI+Oj3g}fCXT=QC|2o!!R(h8 zmV?j(-bxd-o64lkSxcL@#d4fJMG$){D+>m~qhK*;rmrAn3Gsl4p0Sa^sf*jE!n@2j z+o*?pPGub(I7o_RfzrU-?YpHJICFpX7W>rg?F6$K_4jS5tEi9nTS$gY>kp{LTFV!6 zz&8V;Ts%yGw1D8h8wSF124<~N7s)2_jB%aot3BiA1Jxwl{G2)6mD`3E1(Gj2y8?)< zC4<;r@-w01E#;B$8y8v`&Kf!}3Q|c*x6Z@ZrzSB17M)xZ27W$P5{T$ykMko8aAO~jhbNtl#!sI0 zVfJ_7iIspa>c}M8qQaGi)u#(xB*4@Qi;U!)C8lYxg{QGenciEg%xnpto+GpICn8q_q%{kLT()ywR|4YJM@g@b2KUN!SAn z5Bw&8KE}tKgGS)U0Zxf`<8K^((>&3^^AK47GPLQOgw1}Aa8$v&Hlwb*@ICg0HG**| z5I3%c#1CH@0_J8s^aCyh793a%CUON2vQYri&$Kb>Vg8QxNuqwHHV;&BU5&0*g3)j^#s z{OMsu$KiD2oXE7MSi&QHm|QI$I;GVlQGHn&I!LC1gn!Cz1CD~Z+uphjX(mS)hPmuL zS^gABU~}TSCqAv=%EkZax{fSS$60N!ioL!Yx`n?#&i>TbaWBLGw;(B2Y9PDM=~4_T zA#x~oO5AatA>co2XRbfSid4J#t8%fE~9F0j} zFpF=D57N8kZnLi~AO9#ulQJp^ro0^=9uJ;%)`}W57v8}6BJ29g*6j$257F!zh7?)} zutKh5qxEuc#>u(ILW6`_N% z6N``|gOyekru_|Qgz(_d^Nq+bkR*+TO9r7EsSfxOYRp5GzSD9|uPJB4-*>KV2x6Ai zYvhQ^$ZF>#se_l-JnH4ITR(rLGQxRAh2OJeWhoTWo_h0e{hXFR%;99pNl)C3=C6tO z&7-YmAu)XLGMyOub9c;!Gmgzmgh7534u1G#@KUj7)zDyOgYfe?aG?f_9O}u!q~HSk z{t6bafUdIXHqbat9SN&=w<;5GCWp<{LzQDlOnHP8-q&>g^S)aJpF7nQx8bHdLmi}p z;{nV4#Tz*P9=xA!c?wA{&S;r5W(t|5R$_%0vHYEoKI;;Fb~fiqZig1KU-`COz- zTdJ#g-$ZjExfPF^#B(S}6rZ>kvPUVGDc-z0B&S)MGKNn zPIyT8`g{S?`z`0Kj03RYj?fcR)rz+&QTEzd>RZ6@?mAqJ)0gDDp~W!xXsMl*^p$Q` zXXy=!Y7d;lV}Pclv~Q-@)uo;Xq3a z2UXK5+|bdv50t|DWPbTDNN=mo>Qr zs3tsV_(dL(7|SLl(~iPnAAYjug=c0vo_|(Sm1D96ptNh(Swckav)&rQE9-QJT@F?P z>OwPBuZgkOTxU@XA?Gta#r`Bhm7o?PTRsppAkn!9b9rwpqfxR2eym`UV9D5dZ7$s? zxQaa>Fy-mh;Fc93RE>9hyr?gw$cJ zN_R`*95=k`fX#fQRCKdQ+j~N4EW;c7CePr3htE@R&WxvceGb8T`c0b!VvYd@t7e@F49JpU9#9t$SI^|d;%T` zErqqN8gJ?isSKX^cfRyK+^^jR#oZzMwQF{k%8E1?#gVI2yU&49%M#4{UypWe_NyV! zauoj8I7+4$FC!#_b;|kUc;@d6Buk~wc}@G%H>OwQP(<%_PJ2XAJ&lPVrz(sNT!u^C z$)l#2Et2IiP#Y|>?Q-HyMux=+qZ){H^fo_+#@j;;;ai($opqRyRVV>-`X#ll?BnQ> zArRzY1A?27K_DrxR^od?IW~qfA=2s})*x^Ow!^pkFAx8b2sP=oRQzcjE{ERbe6^l< ziq@tfGxFlPW?-~4Fhjmv20eDkH*^n{ldJJ;2~Fw)<7WuFO!HTv>(78?{lr5m6*f~T zf?H$gIeUI5MWx%aGK5J64?S%oj!bKjyjB!N2IgO(dv**v-K~8OR;~oo%Yu^qt0QJX z&6U4eXDa$q9no)CN+HhEFnfF_5rRKbpakUNQ&&*EP_fHrhQ=k^zd3Okkkx=%7q1yE z!9R0RenwXcYELVxGy%1InX5cO_IajqTM|_1h4`ctVjyPK`F-AVX}5vKjKuslovqrx zO}cpELmYx+xl|lHA0O#GizKFA-h;8Y7Xl!>#p=@{kp3rlK|p@Fw6yX3=8yT~Jz|Ll zG<70$Shvu56W^rHmKdhOhGn{=bQTVr9=do!TEeZzW7geSoYPrqL|*yn_N&8AVt$%T z5wWOQKKoiTkU3XRfZ<78Twr2@7(?*D*OFNJkf1E`xgRa8sCuV3j%~@&xv@`I(zw=BV;FP`0TKw(gydbsEmL!j z;%-`Jzz;?!v~vpW#@(AmlM4bhl7f_rrIE95O4gkE$N?z{8Hx^U`_M?0a9EHQ4WJ0F z&2;1!yA8f}c#Avp0g6-*E^HL^0nh!_xrXjTtadRd%{~X&r!B)n1$Y}3^*b3|V>S*1 zEZZO<0t=b&88|&|X9%_N_$rZB1M;icMo~fxbi*!QQGVeABruK~7^YsbMNa zyNDaQIZEfsk1Jhsa_W1^^{pJjKB$XJH+4mvcauVR9#6=($@~l~Kl{ZTlkB(32IoCQ ztr!>=^)9j}?Dq))e3sp9Sm51*5ZR$gtPl`7{b2%PN)*&B8c{e|XBOQ%7X-M%J*XAOMHq8Zl(LV6SJjud?^O zDp?!I=u9?EgeD%hX{u9UE)+2u>8}uRg-Et`9i3~xRgRU%$JUgmd_1I|60+ngF`%De zj*=hp5U$dHU?y1lBSao9y_B~zYN9QvX}Z8^y2*T;gY-}efkuC~olFPpQ1tBho&&jg z-LzQ4MSAFYEd2(-kR5kI)K1d}wNh^IJt?ve8gP)M+dY|J>R}e5to)q7Addd*I);EK zbQ2ZN{&D#}h6oi?`rdzXsh3<9WcwJ8wm@-IlC9Jr-0E&0Z8>bU?eL2F0ck(pEeBgx zpknQ{Qg$|vd3t+YFcY(!<|u@Q0l`$oIx|c&>oQ*$q(Aj1MKsjm)kRE-U+{MZzyZ>C z!I(Q`HwH6KHOiOV9ZgG}FA`u7#5ly0+5VZ1LpCFIJkp2|o;PrjBpt9S#(?;Q2aJYY z8MvOb5x;j*6gkcNtKNKqN>5Y7S{a}G>^&=_p`06{_OFy|d`~jq?NX(raCcJxG-~i~ zQPcR7o=co<_~p*9A0aSIdri_YWNNOL%P(m7mY%bKQuPRNpz-if#{6Jw=CEQb99#-4 zL4J9G3=hz<@hj!<>((u8X(_LY$h!9i=_)oj)~w4PqtRNbyym0>tRNeoRX>vl%WB`~ zikLI+bV-VYeo*C(S2xPkvOr!S_I#-ITG0B?_@E9ZI0S@R6ev486JfQJ5QkqYCm1a_pXZ9ta$P?bp^a~~Lr{&Iv(NP=3rKw`yZ zZY+=)U;TC~{#3c~rP%GS8RqSc=b^Os5c@(0TvPX5xw&hFZL>=!p(c5^w zhJTPOC)P@?JwIEvpT2%z+r_P<%1EKty^u%P&l%UBY4=2mtcOP@#V*nsk3}QgEAz@= z#miD9#1v?5|eV%qZ)`XMCT9aG*8ifP1pJ!F|9Uis~o+97Dz0j-)75@Xe&kISZqugo>_ zuTo$}MV^0+Ub#X8c%>jUP&p6x3$?|u;;DNhQ%XJQsIk0CAr?*+#X%8<-H=lFWdsS6 z+Y!nb4mlIA zJ9@sJMUhfLX+2bLHf6gVeD2@qF?(M?(|emAq$;Afla@}=ZOoIa?On*^#K<})izWbGGGyUqZBOmn}mQp zm#c`vL}I6D!RhMZ zlLH`rgU=QZJxUF`@!$}R6}b5rLZR8W8zzmQFSvU4>Vwbh;p1J=Jdr1PX@S5x_RSq# zG;pxX0muR#Tx@fsRLDu^aCYK+xr7OZ(r0oPwOfq32?gM5XkYayZdx&ejhbl@yR%2b zV<$hULGKIX9wvI2F(k`eHYbRbiF9I~=F10puhU*Kc`FvN^OKSTV3fq}S=TT+XuP)d z!mzt5k`6YU%|#5QU=DvM8|Tdr44eSD@JZ)Tn`zSOv*xYY2G?!8n zY<{9_L4cgOY|pz~Kk%(fahjmN_9n?lCZzub(v^~pJuR{3`&TqM>YT z%|9DPD@C!Pqmhhm08z`>RxbQ$%=NZFo@ENF>{~DYAuf->c4+T<~;b{{poJb$@%&GU{jY&bS9iIfSZT8x8 z8!9*&!J=#)x&2Y9e0Pv*^++)_vA$&$O{fr}gS4Z@B02u?Ym}1=Bp2n~pM6^;`0qL> z*o?U;hjF-EQ|4QE8#HsnLgY5p3^s)Sud0CtG#uzUElZ~*O=ltIdKDTR=oijiGbIfo%6svEWL{}o;#)<=OgO2&utiF&V7 ziEwd}y9$7Bt!j)ztP^)7EU3R#kx)69*_8Wr3k89sUiuH>OL)joA;ZGLa?#D7!ooPqP2yrZCNvxdqF^M2bLPuo z#L&u}olu5>$8f#&$4d0s71 z0HyRsL{htM5*Th1u7K2}Fh!!}&$MH|(!zr?n&3v+cqNC?P%@ zGW|{2x3ReVgUIDwT31gJJUl-!HRPyfs%#!C&cX7yB~_pl3SgvwM|X$Tq!B4nIv55- z%-)R2D+8V2msr`%%s1`HPd1c7;xPb=sZo*IYsn=aN+EB*eh+?`km}o~K$PN#cG`-W z*=KlZ)rfI}H8+=rQYPUg^bb-^T#HT=8L?13q5E350|7m5X(U#K)am8U7o}0xyWQ!U zQkNoTbX-aKrA=@h;wGA?Vf=GJMwydkMv-7i57YAo=E8|9QbQ_sUTp0eN)g;E{B|48 zf2WINSdBdKCp8Mix}|iz;kb?QDq}y+NQ~03Amvazc*>i*`D(b#ARLgS<_2Ul9tWV! z0|74ggEEpXHehs|ok%6|2LkpH8WCmjr>?yo;8xnlE`x+HZ(VwcmLw@E55Z>9F4W^Y zZk;{*(T^u{biwkO+!q!wTc7z;wo1dVL5<$#7(&yQ-#^e!U*x57G3h=V9E!PV_JT2` zGz|Y8t1-1FboLMmTJgjOW?8HUa)F>*k@C{Z*zaF@9vhn-6so>kU)A6BYq{Jtx+a8( z6@-<%L#E|IKt3}~mx4%IAbHY4Y{65aRPWKEJP-x5RU(X7+{48N&cFK1>Ufa{28Fzx zNcVif{a6cVYjF_qj8aO^WB5;25t6u#%cFuX#U?Oqkxz2i3pBJk9Ftq6cq{;@ixDy_ux@P7?+Udok@>`B1RxE>GBIXus?()un8FtKw zOf#w|Tda48pvgj{pQROC#I@V$I2g6xVYYXSgKv^;$TjjDF#8UGF2I6k7}J8)BNh)EHb<1Rq?-q9mU zdo)tTcZSi<&cWLKCYe}G#MQSLLBhsyGSjUI5_4I8Bf+Uf)5za$%VSu*wt`v7`+m){e%&kTt z>2ZQKT2t@04AGa;4aS(NQ-6&zYwXv_$~h4wRBT|MKMs#BC%5KS1RSIv4xoWexoz!Jqj@FLp7?I){w0~jPoAmhvw6e+ zAaJG6klZi_{rG*yFvJha*ilLFCg0vjvg5$H6*${%)@+_wWsu1If$I437IwB7pSPka zr^gnJ2I=VV=D+Y?p9z-SMgYjWIB!aOe>iRw0g1lGDs!3|P@WT-*J(u2;(H&_YhHl+ z04?)$EkMi%0W)?fFDL2{d8MFf^4$J{5f@W}|BT*AZeJKKqNy+H6ZAkf%bC-ez`ZQ}e(`w@soZ_&(({OU{3Skx3BO=!$&vi@!j6MlDeqPu@Wkbffk-AEXuB+(B4 zCk>%PTIeKl^g%)76<#)oyJqPog_~;lxMR-t#@LS&^^QsPTS|9aKGXUHrVx8xVdx9F z;3TjIV^ElBkmTr&0xrNGYOql^KPOL9ff8Qb!A^Owv6wn(IX-;Mm)ry;?rvlOYIQi- zbAb|2jKEC11~-0In6AFUbv+lx=95&*HgQw(b5 zVDfDN2x!fBDpz-bnX~Q3e1S^;6}dBZM~V=&H^(-9w;qnOiLV-eUIGvBLkVNcE5sjjv=l^*3lkgix3=M2=~F(jfOQ^JXp%#YEVk?z+B|L%k)6jUK$IWs*>Nc# zZ+w(w!5c89l>-g(_uk?d_t)cL$%4#O&8j_Qjb6#m{qYVExU)sU_CVZv8-98bE5+SLkT% zZ|}$iNBDPWuMb585^XW)jt|@THOG&rl15i3Tems%uzjH2T7i7C)V6BDY-o*<#zC}F z?V`enT|K6UXr$OlkY%6hB`nsYE<-@>cP#Vy|B%)E{;u+~&gq*Ywj~Re@QIR|=Fk?K zhoQv9X}20k91w-Rj+%ypF>d8WNgn9jc1QE(5plO`sVRkZA4tu;&msH^2- z%NixN^Xs9}r6Q*akr^K;$7_@NYQPU0J_VWKriU>wF5AbKv8E{Mq12avJ*Sm_bTCftbX|m%!p=)he8GVm9o!vUS~+0 z2G{;Ekv>5xghTn?I}rG(R7R~KaH;g0G^}IlKbT$LE~jgW=y_n%T7z^~(4N$&zdIMt zrCqdbD8FY28*c@2Hg3A*+)Re~puS;_Q)2{aXH(CqL!+HW7MOU~B6#0nF-a$?Q2YHc zoBc4)?AI4kO+e?*sQ;`cBV3LS%7Nq>?=PXdE&I_YTYx%Vqw%w$vnNvk9E7q1pn7xL z@jF;Li|IZPxr=p5j3>;m41?=Y&@e*4&aB6N_Xo)`=GX-^MHk6(c&gQzu|1-R2x-*y z+~3-zv1q$VeK6fx-@Avsi$C{&V;cz#Ul^qEy7+#8FPL(Uy0K98LP(9t4wssYM{*2r zxZfi};ZRoysTFRvl|QnmTZXO7Lx7D!qByqn|K)rbV+lohIZsPV(kd9giO_EG9^nwS zG?Sm!%k4bqm(E_ZAm&W`i@<3Z|53gSLQsIMc*`b1)aYoEtx4 z;w_3fbd=@c$zDevMK~#Ti_wt(jCmA5$Old!M!!&fRxHfbJR0cWuFg9u>r)JeZx@| zjWhg*LEoH0WQWK!qf-$D2JWf^A3&Tm>+@0jYjO;%p1FA(ASWyJe+z_cdNE8M@$u+4 z@ERtim%2WBsS_GTZ@C!PeI)bH4|&+2^{w)TMLKUqi!7$+cZ2IHld&9SuN)9zl=urH zGrk9GpNDQcl}U=qB`3qs@c-R_odPQ6ni$OEh^N*~L8R3bsz(i1AF9u*ia$deyq)XzrH)3H^ zoB3Ppm4?er`)`|AHt7=+5l8d(iT12h-cF zl~1A3L*XqakKY9kXuvPQFPV@gZ_mjNJh=eM87LTv6|U8u4(82etzwV`$A+zYJWw1L{i6Jt1zLivJ04RG!`J855^E;;LS8bk&btP*Z7Vs^hi2XpiP(^@s4)F z^R?*}HQB z9-nuh=rC^CA;+F$B#$qA;He*2$)nby4MNH=TNInT%-6=Z_g?gqZ)a;KR!#~ zt0#N;ezJys%?2y&=v!?JAGPvYQ{&C11i7J|;;$fXwMhKV<4;4zF$#*T2rZbhoaoeE zcsxikNO9C#)5d}5<(NNRRQ3SO;w9W+Z3xcEz?$!EDpO0@_D^I6<kDlnLsfdvAg@X5bgYM zxZW%A_>nRt zFq6_I6K|xvdp>NU(dmZ1?Mmt{o}V7w>YT=bSahyZAB%woI@XsPT<}W4FAk!SWDF3^ zimf^6mh!4yj>$TS!MN;c&OD^0@aU!0s(B=$&BYkEhj0W4;w>Q}kSg@yI7So;4@@q4 zaAvn-W+T!Voii%KS8VGDSl=V?_ub=1kfz6IPE-pSuB@SPC(F|*i_%1=D6&OpM4@sF zK%Ot!EWYa96lo(D#FTV zJo;x_wOiES@0@R~YaoVTo)kqc@yK}pS?!uVj!87*+w@uHU4*;g0I6re?am$9xMMAa zHLdaE!69w$6#NRgWilTod&u-LfLnnwO5)KeZ#8a?61ybn)u_Z~^vaHS#?pG|hzIKV zd9^iuPlBmXG%Z6H+jQfq`GI<6P}lnhoorNSW|pj1B9%Ku0K5xC>@Pcgx(WWGJ7^pW z1ZIou1$t8QO^Tds$40Vz(+IPK*zt*r9<^Usuu=MLpov3i&D|JL$~F$h6!_;|o)5DH z5!6&go-yxLY;ES3OZ-$j`(jXyWRyyHo>_X*DWdJ5a;XHy=Nh8cYs}HGJz+Ck*gt%v zjC_JCec4xD$y|zceM{yd;*lWM_@IuGl_hpM zYw^kQz!}kcz!zl!UC_?NPfc#s1{q?3>AL47cvr1QQc2)tt=OOrG*}sv&n6o3N8KEq9r~=)Is)C~uxWb8?)}j$~a_ zi2Cd{XY`r+48~6eD2v$X-=Ibe(3rH6gxD|-Uq5^r5i=^}S0^Yq{6>g_Ri1k9!8-39 zK|fOVvNyA3(Uy8PJw=7;fM!MBIK^EyjOXRw3U(VMO?Y90Mbo@yvL$_y3)_!D^V5g* zij>$kt}+f=B0X>|DQ~qwq1gV6VlWmBEW-BA$L3c?41Q&Cjf9d*v4loB*9!`L4T0^sn)K*0v|Oo3}luyJ9|u5;<$69l5CJ0qSstS_;V(}RGCN)X1b&WVL5@~ zavH2r6T3A%{!t#JdFGX3aDcTLDSz%5D`D#eAr7~tFHG`0`^SS)d~R`^Qv0u=-~3pl zH<;eW1fO%Hg2-3tT=4L=COkBb^VtU#aeTg=;Q9-!iTX1sL) z8Iat+IbN0+dx16{ez!2$!&a)}E*}F^;21IE*J}ObA1;zD1g1*ekWb^T(v?C6=7o0s zf^n^@p?EueS0+?OksB!!i7VV(?qN{sF*m0-RKd9Kc*^vgROmDm#PYhZb&Db9@qdy5 zJ(L?vympq>cpWU}8t$1P&WNCC1vhDtRYK>*YxqwV4F%{}kyZkOS;pMR9=W9t653I*nHAslq2@%Cs0e)B17>1JuYTx8%FU+j9M z+5jr&tDrPUruOWe=%Bo$AT2rYhl^;8h|E)z`W%g_p9>oBfO*Q1IUC1J|6y1rm}Dn+ zM{ThmK91^6k}W5we(+vf9RHi5wDHD^(sH+FB`i-K;tYxKJzlz&U9^+fZ&1I_LYyNU zuxCgH64>giFp+NfZBe4%V}Tljz(Y!JLdR0AP_aQqJCcKpB}G`3R+q%47=ODZ#oB53%@m0*Ue#xIf)?PSbo zzKo@Vb%A_Qg%OTAUN9tETv6i<0+6*Tpi?CFGFnt4GeRfkl4em$XU6}TGZS&_ssu)- zq!Fn>5;CEus5P-28^&au4Z59x?`LEEACvIS~ocXGGVyEt_! zs;z8y<{%X;0M9};X8q_+7|~IwnNl>`a?6mLXgW)?)mdM}>h74%20O*|+mUp8TSgcI z(duC7f_>6u^IHjyHTAkPk#VceBU-Y?&dbSemC^;i=^Y}tFh%jw-P99yTo+qC4^ajW!7mM59*AI{?z38KPh#l#S9}+B~*@Sl$%@?~ekv z&`6qLtA6VS!!COLNY-)DpfD5$hT8K5$3zfSh;@}psqCXn?)cgi+LF$v`yV$;9H127 z8!l|t%5;~MLKr+F-BYY*iIb`s!u{+y~2Ra6;plvF!50#r+`s!+n zAN-sGTlu-p11t(p0B30Sx47a`Qq9g+4UwVvyEO*01g(E<=)h}}yM>X-@AaY%a@f1i zo2Z8?NU>XuR?M&yc0KQei$(X|v#cs|o~O)jBtO^6Uga5>a1jZ)CP!{b@4k9Iagk9@ zotP$UyWrj++*b=hm!Uy#jWga1x?j4q zd56&4V|;Pij5=y{uAZdmoJ59~RSS;x>>In+E#bMF)0a}gE>Lc~NBsvVv`5BltYcec zoPEd~6S`BbS(MB^=fLx^@8-q%XFmh!ENne7l#oRon4NJsP<{K+}9&?W@Rl_Uo&#Nu%fSespEK1OqhKOQ^O4b zzf^stYF6&&FSHPJ$0raoPMi_Clw+(%dtU?~fdDr_gjKXGPgvjLr%`(S(1Lg>)fSnF zv+UIl?!8KhC+?qS)rt!fS@to-#WlpUCiR;L#)7 zo*9HQUBC>_0WxGtJ9I&9s(=$R3~VN*?1xvbII$m%`}sN7N=GN?80fY+O@n9yBxXEq zqP1^@yj>7yWd-{#?euaQ!_i}>pMa5mt)rR`=07GD({uO9U z$u_w?VSp;OV!o`?jtB@r+X%Kn}7Tj ztGXXsi$MBHR+eaQjaP7JM2NE))7coVW!BBWwd+Sz?K;Ai6>{#Uxh5IA6fsJ&YlG9{8wzy2JhtfvlZG znm6iDTg=y&R3E2`4Nyj=JewChbTb7mM=h4y*$FC!McSDN25~4X4or}wDjO@Y1KG9G z#V*{FMdgkN;c(JP_WF7^PLv96;f5S|N-67!W-ubW+45bFbjfTwl~q!c#ZSAs8%b=I ztOd?ILPHW~x0dxg=>5z)+=WFmq(G=M42Vs#Zi!4`+4J=B`nRf$TUO*cvu{MD2&epN zSzm}kb(fEod!k0eh=<5lh7iRI%AtUwj)~z9KE8@tXbj?K?A=Pa1-W!k^=)6OVM7~~ z)MA>>M_%D`^=s5k=sYE3rSmn%=;VSr&&A2tdW3wYEDaEwa2Ml`&tASy&4sP4a^X#x z4dTPFo5baOT&PB@z`n2^ebG@O-0FwS-@RyQO)F;*R`NWwNh6H2WA33wSAu^rSeE6p zmd$G@hl4=IrefPxUc1yQHuyOGhQ;KXBa`$K;VcA{$&bR9Ucf-5I@^{r1of+`GQ677 z!PQpHeZ4aurgAxEiVzz;eo|8gxN(#3?WeHPF%m_P@~rZACaUh%OGCL5%Y>Ejw6=vL zTr~3J32)t!nqvh$W#He`ID>YonpbSG3VMhN6RzF^j-3UyKHF}rvo3ziFMOBS+bu{| zx-KW=N;;wO@QBblVadX3%D#M+JOjo@wra(*nYTPf$gEap`MGSp18{8N(k>j^#*TJu z+qS)9+Y{Ti?d;gLlO5Z(ZT>mu-umvX`tMXtRj;1up6+MfXVqHuuI?^-xVeD2=9(^P-GyIbX9 zQ&ytfqbCYozASD1o~OdG@i+!iIJ&>Y=PD_PvfM8ZdSZmngo+#P%f zvj{x_sRyp_slhH@P;8+r(%QRJLIPh491DAbrPTE;S5(;c2^03ZtiV=eO$%a?fZ?l~ z8Uc0uO`=@ri>~j$r@U&(vroVL}1N!?@0_8Yjo1u#Z+XVZwL~_Vt;VffD~D zYKJlKX<$gqk&}uVw~a(-q_C2s^cmJ8oEe#B`RH4Zx%vU3x_`Ri(R;cbhU}M_yhU#` zUwrW3=sgf!(o|!T-I#sgGx{sn27bJxHKMJvW{nr;G{IT6+Pg_+1)W^j_L!5lt=ZKE ze65oXC2RW|xKYOc zu#2kRvCp+*6XQ1|4Uo$pb2)J8=MHMQ@J#J_VgCAv`fWS~T=^7a)lH3yQtUmpo0Zt+H5P7Pf4fk#EUvOXaRfZL`VFHIrtImLZ% zMYq~^8c7CF94d{>D{c-hI=O<6s`%b*ff5X-4^lPWODsh|vfB#Gbi9$=t0WQ}LR|V# zM+S%KnSoc#dFhLF=Rwso|9FqQv@WRczoWriV08$b9G@sMQA^fCI>XphaMO$KkWw)3 z8}QTqVG7vKv0jewJKTU)5}A%5fz%N+$wfZpu)5Htz39*&b%F=-qu-7kPgiZKt$3}C zG4O?Qv!Jn{A#_NCbS^Q&+WEO=t)Bpdak_R(b93#uwlb-r`2dj z2XEa7+_nS9L%RWs)pTCRkL4Y+7}#mth*W0$cb^q1S${n-yLO$Yp`KCOl}n3`F)qzecvt4&uz z9(zRK=VngR>@S5ht=@b!G_2D@E1NdC`r)W(nT55hd3VwlOx9F_gd>+K(2LY7UE zM0ZoHO0c{zj7YXc6|}HuPK04Yh0_bQ=g4~+a=Jh{2+r{Vy6aap9q*Qj_1Dp@_2GQB zR#p%5Ww)sn0ls_irO6$RGg(fKpE>#YHrM01X39u6@y7hYnqKo*(^JM@Ci#^q!Z9&B zhmKCX=}k0Z^dlU-ZMCeRrg6ygf!4jJK`;8cZ1QF2H652!zhNcnjAw22SlYQAKZ>^| zP_@*_$+P4>!2x21QYb6H$LME?Bb5_})y1i4%>Xwd>sUl%Fr3F5#ppjO83CYZRNp+#=zW{8h zMs&WN+;SXHs*CGnjDEFs)>P39AKeLYIEM#P@n3nMFu3$^%q*COzML#BntY29o`7pB z{Nh7q6}h*t-{|<|%uj_gzp`LU-e(@zu5Gq+stPmPNl6(<^)xG{;VCu{=oPbnJ9e;+ zR=MW4*h;EcjRhwPOJddKF@>(DAZD&Evi1dC2#sRMFTusgPiRHFX4US{215}GM$6*_ zuKM7|+WDvK*u+jpgzrx`%caKD+kK}2p~X!;XB8`7nVc+`kG!{;d|Fu;VE1{mJraB~1+9w3cy74;LtoOG;y#I zmNe4iR0v)%Xs@4rV>JGznp&m$UI2SFmkb=n@T66^s=?UtZIeZH^m~hic;qEnJlQi( zn7Rgfak_kVp)$yp%_n8RRjc|1dIzKe3&5WgpDfgPJhljLRG1iY)tj zah}mx@>s+((VU>Rb{GHIj+W)sh7RSW&N~l@h3^3;ZVUUj=?&xT40|pfn*Hb7S<==L zUGOxed#f-YPY`&H5IR}on3Ehvk&gX-V$RBfq6m(unPn3c65x34eEtB^cZK=l2=={6 zemHLT;yP5V8k0d6ThZ0q{()$IWon)GQ9sn`w7xf%hFEoIYl)Ap^4pki{5pQA4zHWQ zr4Wn@5*BsfgIU*-WclEAr!Y}i@R*R?=-UP}PO%9HJ=t+h37D9$MnXh*WGB#FL?&2r zY>2?*%wjrUDfpHrV*m^^M4yU5{qA6?zzRb31JoI3=NdfB;JJbJt`mT``nb6nX#Y?` zJscWlg9MiBpPrxrGG|35$^UxrByCkNqU-=7CUS4T(XUK|+k6wkaF%0gShG`4xWMw) zF{m-`#yr}f$f+H(;xn17L*p%-wiC2&S@ZpCcPW7j!F$D$cha9(juGU+)Hi)Lw*RTR zJA@cF;Tvl;&A>KUh4#MW3&)x}$+n!?UTq5KM9as+poC-xI~XzLFg0V-kGswT3aco>da##ai0@ahQMRSVLZgp_k--{1 zgo**t)q6sG`kE+6TC9i!_aWp^%Rv2%FCF z`tTyOut1!eU$QXr#atAdehO2cqC5KeYc;E__-AL$V1gv>CJT}wd@lDEf05r_fCjE1 zuezh&Lhn7#L?*?)F|z1(mtcjYn)srofR!6A+QvWyqKRrr8`Dk5 zVwT*IWGzvcni?i}JCs@eAHKO{vH`byy6&;q6kzHV*Xayk811f(q}Qj)NjTb zp;7VBkO|w3BB0Xx$82;tig?jV(JD!>s;yw`D~8p-E2j5^sZvz{>H0jk;3=36F$Q}_fX=awOVSHi(GOTJNCYBb7bkn6ys6tfiwXUm1F4(bu| z{nP!~lK5%8OBdb!x;ZnoFmAMsDhvh}x4k04K}|@REjzrz>Z*{=`ZGL_n%T_WcOd}% z8VL1Vr7|~7BUW+_Kz@&y^;Ef^Ma*8i(3*J6vrIq8jQn=O8eEiJ2v4SdYvzLXU z_;5Qbo+}qG!AHj?i7<%tM2iQVfqzOQ)PQ^1m2$w|m;606Wug|~+NW%F`YD`wMI?_!24 zi=@u(MT~Vd=rQO|{)TKg(0*H^Ka|5c39+GfkH_1F7>(3TPmn0(FHZfPNY$}V6Nk~@ z;)%lb2!(zR5Egx{fl7^S)q(m1*D!F@4f360)3;N_rS&Eh;1St4t#0edITn3ykl=K3 zU?N3e*#ZQ_m7H|XO#+tg3&NXYU1#i3v&!Of;?9ijlaKU>7_+yHvOjc~msFd6uzO*N z`9MkF4w;Zr&zL+zFieFe3oz{Sv=Q^D<$NrPj3WPhSfQBi_f zL*S#S%n7#5pW!(uN{ms~K(^t%L)frJdZ&Osafw%CDAaCA$%yGv#I1ldgF*QL>j%%W z`~FrldIGr&*F#&s193PFHV|7ZUqohH5~nE+kR1>$_$!*mFrWJr5!|(#0$;6LxVE>E zu<}sAYA(p9rFTuXpnVG&OB*g|SN36VRX~8TCAv%=)Fjg&QZ5RKtF`*_TIa2=#l-w@ zN1eeEGgw|UZ)`aj^z?*c!)L}DD;BylBo%JWF^q_c{c$4cP5z|YD0 z#7K_){+NM%yU*hpHHryC^%O@+_#jo#U_G=9MT^9QRMB0^m7i@nS30@t>i&z z@f|B37>Kpz_an^J*5^js-&{qdt~^gt^`$P>;%~TG*=DIv#lz=1Q?TjIJ6`k-?9sQJ z`EHyKtJ*%C4{EYYuKEYs8?6)RSVmT@x*>li%lXoBV>Ohx)35NZ%5 z2$R|yh*I~q!M54amRKmhQW*{iI1E_CTAVhDLncH6Fke3O1GC&CGg;B@w0=OaufG(ZNnO6<$XM4RclTb z!*|>I5afu%oF{I^4y1wnT1<5@hk;fr+33*ICN>ew2rP`BVy0Wt9O>QB+bHnFe7! z^|9JV=EzOfc$crq!O0-S+8KN`j)v01kD?t}9>MNRX5SWd50<7(g?S{S36?ZdU%(FG z*lOh|i%DYa;CNp&=3_J@)~GASEJE!!mNj;NWxFP6PbR(0?<~_s3Seey$%7gCFQ;)k zj8d8d+^!2Ini+qeBOwWdyf{}N6QkL&MNN58#qZ3du-q<^_{~I1n zZG%96*h?o7*%HLg{_n%Ze!b1=QMHVRTb2dYJc>b)+!W7#@kxSd$-;9cGKoZN4EVKN zxr-+!=Uo!5M@%E#m^+|Yku^sV+wso@TP#@MCE>xT_MlE4<4^#F&&O?qHm@3gU zq8t|KSTaVmWUJyMNl~N!b%(zSi@b?nsB?)xZ>>gj3PT}lxV@6g18IQDX=jntaAq>x zceGjzL x(Kl1=KzOl1UYhE9{KDkn;Jzk$ZUv((42F^{OB1fK!yfS<&5-@2Wp#g} zK9>N9H5NaMkBoY(jf!&1Vhg-qg`8Un2L9+c@F$H!tvLBeF0sKJ$x%hwvIL#P9ezmA z&wlKY*DtyJjrpAw!UWX~SvW1}d6UvHS=0)Kl{oDtY2FGZ$`!&HAb0smrR`XyVx!?}sVYn7wbu?8A zNoZ^=h#ti}Z+n$y+jOsV(*y16>RDpuOkl1Qwz<(*y4-Nx%@yTnP{?G|tw$Vqv9$b? zoR!6w*jbszH>29s2>a@cd-jHN(jM=&J3dhkir+xRg`5MGX4l6LjOTgz2RYb>8~;q+ z`l^H`p1pLMh`UTYB47A0t5CYs8UwO!it~-6BSND~xc>POEkU)PYkUGgZEIYjfh;tO zxkiYFwfq&TawP0qrG2hBPC z$A7_Uq2y7reuY=eVxB~YyhJJcaIjvG5WN$T6W3f;?|TntO+s&08tkD3wF$br z&CMZ^NEK4syc0K8w1AUqDw^CDFj}hj5t@;^qm;YL^S|x0!jbBBHvZ4f9LUQs%d1*q zz8fa*|K7g=(E_Bpv0dYcaY_xB)ojj zZZFhBGNakmLMj44zl2lF-YLj3G?FP_Bi%N8A;Z>}lOd)qo64(SbFyU4|DEz?3sCSz zreQ}F^E~xYzvl|#^!hZl*uT3FB|zx`{|Y9FL8ySdlN#9`yhSRo>YD}va(gjn=D5JZ zilfs;ymKbDt8A=)Q`#C<@G$zhbA*^1UH6KjcpTSfP0uzL$TV!3M}J8Kyt4+GMZQAz$y z&g#qDU!&T`z#VHZ{;5DwZiSC8X~IdAH^oY3dg}KGjk|@YzqImH<0WHQApBuTuSCkr zzbmVLDv9n6P>h%56q(+=h!?cfjyg6Z=NSemLSEvD}2Aa?SWZYSl8YQH7BH>E*xL2T%WkfD7qtvM-^HtVE0d zb(>0mtY~{3QVf9WkJy;ENjz565A+;IrmA(^@AlZx3qK+}63r zQ)`%N+4~fB4whW}cCLeWA!PKFw^PH@Rc9|flD5Oj+1Ma|yE+s2&@Fiu_-F!o>Vmzd z_|lMa7AfNRQd=zo-nT~T&R^0ipXmFg$JuNXEEzdlgMaR18TjtY3}CI^p}XN`RzZom zHO4BrITNSXy1ack5@6X1evieHEN!nxgQHcbHNpG;wltxdlil{>USqi4Apw#8pIm(x zPbOl}Qb1fWDmJ8(qdWA{3^*N3_BVNixL@tH5<0f-ba^Sazr>QRDdJhAt`6)!71BZq zw(>$1dpj)&Nyw}YIeN1F=uQ`J;Ez0}Y$dxNz&?S!Ii6D_Qjc{{W(R1lHTqfJd0KFi zw<{oBjAv^=yUsU*>?GX{0!H!`41y_YznsdQ0vpkkCx@VWElqGVTj=_{7K9w1cH{O}d zR}>^1I+2G_lGxz82%?p2Gmj5eT6mF;Tj|W~mnt%`lJ^2N!YEJ85t`>I*JmTI6sf_a z8f)co8aqi$Ub^@#yrXhYE^EDJhx7#W1o0X^MeCe59O}-0_l)z0msetdOBLISqVJMh z77r2(-q!)`1_2qlA46=I#W6%B(R;r$fc9zI;T)!T=O5!Bh|N;P5D*2+(i9JjIC;`n zj2L}dVX4YIf6;c`9r#n9a=PeMshLr;WeXRp3It`@r_p;S1{)d;qlLRT=7|T;6%}04 z<%9dC+j{3!E-h z@pV+InN^IPa375j@&wXn;y55nuXbQ6IM@l1u2@;^$ZsVoN?1C;Q+wp0M>wjz`I_OE zl3CnD!KU#ZcW>ZYR|y!MWCC?MZ5rwP;T<;r2`R4 z+)PSa>1M)=S4LxwA&P*9ARXdyaEH=x!0>v8q0x_M78gg(;>v0C9!^m5Ln{|!>*7M? zinLB7cDxFu`TIrPYD=*$_8KgzH<@wf&@A6zvDLt99W|dUP^#eJyX@O7W-~fZd#RW2 z(~QCb7D84CndRt25(ZuhA?QzuH9+?yrz_5!zv?J(P5st#>7<#-6-B-i2aao%Qbg%S zxiuU(K}(5l<82j3x|KU%AZf##rTGNMg*)r*hkurOwI2sqsNZHvdZiBmlL}$6@Jjlf z2Fh|*E^`!gKW&qAO%GaB!vqhk$pcUlL_)eUYT3Hb&py)Y%k8#Z913I&J8dQn$wSD*MLGjv?D+1J>g zkL%J(d-N~Ta=wSi`2w{xzcMsBXLY}cLc>n#@B-&GiDAlfm+r|F6Mu$)xlzhjWjXzs zZvuBvUT-Q=rCP5hFQj7BcTQ0-vtWwO70kK4$mL$H754!6vz1j>x2`-#>mYvsE$&+sE|e4w>vy4v>4UUqucbzV3y0Y7nTBb)_JuI1*$j?!ii zg~L`ls`JsE%YsOh;gWy%@SrluHRP+a+e@!bC>nZ8MXa(VnN>^FN;13Ka)N=l02}fncLkzc>OZ2~xxK zdep>X9LzAKCD4~TBL;ofAxsRRSi^^Uqn|Yt41vX4UT0fFbV|TxU|=wcv6nVQw?*i5@2%*4S*Eck` z^>2#As)KVWyMdS;`#>F$&s!C|3-!WnP7=!t@7V@}AzB{67YMx=t(@}v5?Q_|fw15D zbYfd?K#s<);{6yuGoc(s+gEr|3hI|1f>b`nG-@B^!1#S1bZ#;5N)oO4MV2m)df*QpUQOo*c3q)r*msT< z7&>$RsU$xGw59w4=jn&_^CL=gtYe=jY21}=8uz$3V55eEXkFHEQ8A*jwv!fh6Y!D6 z6V>V9;xo4v!KHIe6AZR+(bHN>pm`@0Wv0+#|%XA*ga;ufQYu2euv_urD|4`fBe1}UT3gc zp_9npB*5LZ0mSj}gy!J14$ej#t5g)bbVyJ2ZS=Md9!#=*MBfYh@;kogGI-hr0|6T) z2o^%{0=O4H1tzQ+%60gc#4D9e?fMp3v%Z#cqj3=rWZ6h@baH z%H0;vM&(O)J?xtvjBK4pu90=gAB6X+4iMc4ZeuL}O{%7Ok8 zV>s@hQ&l@O$8x}9$2Sn1a|EskYB#Wk&G1Ee6Jh<3Ihf#R;-NCp6~!>?bDf97K2>|^ zy6NR{5IH=I@_)1P!|ibTI4vg1T}bypGHp2pTtYQJ$mgHY5gM^(V{O9*b=(5f5MlF8 znleQKYU%AdFH&|XD{`T{z}P)Evle2eM30W0{X$asvtzJQEh!{Dz~CGBugzJH~!1ve-qk`*mg?}W*gYT|~-T9<;e+|lu* zmp;13-cL}__wJo#&r_g0s`)T&6iuAuziW;=yBIf$-IrFP5F4|&L3WLK* z4(CtxA;AcWY7_n0Sr0YLv4~UnAX8cW2mvxzb993Klj16bmkV!5)CL-0dE(DNB7HOr z^%a~JRXQ5EE}X{zXot9)_BGG%X!Q3X$^Fp7(-`LdTZk#eUEb@AsUf+WkxBYn-G@!jQA!Ej z15BZ0Zn8n0anv-`IHY>4x^XLYUW{Y)A0U*&hIv!%T8`=N@r*r;wPdV?CBM0BO<8Ua zu2C`hqOL*9%4a8rpUK#_vjMWKy8&gRzChkyr?4wk`VIR@K} zzmgYYCQ6FuzQ24;*pmloq@8pOL^ERa5Eo_I9UL4b59#Azjr~ZTq$B_Ww{jJ)$!sYV zlX!fGKE_Sb%$)QAu?R7U!xFy*-HEDow)*LRTn2eO{Y%AGlp=|kS`QhJ13W-x$2ea= z)?TnGN6o45=}#`;#n2OVg?985ib}U zsR{lun3BuyLM_ANWhtV+|1@GE9kSN_T73BiSCoCqSYn6gD?!LFX&UtDBFo7M^I25=SJWNEgJVm|EdvkF;2WE#lm>~Vgrlxx4>1R& zdTR7%&|F0L%Q>(2Vt+}%J~rXohuzadV1S~d;B*uU&jAMi7m9GE9-c*YN?vXvt+zGs zch4(WnF)0jW49;-O^XjGADw)tkBaBt5r@gSFNE#wO$6de04B*&35${4L)tJ|;2ggD zd^^J#L5C8ch_PFp*e{a*X?p;xkzmFvW!9c`#0L8VV|^yz@QEo`jhge+?9YgoZmTQDi@5~f zmsp#C$%|z;d1cfSP?dPX1MLB;kUfgeT}ZVxSyjWw$Ik3GoJiBTG_1JCPxxcyQ`%W+PxC5e5WvYGvQN= zxp&R~3sUC)KQv7L4`}F>oecs1!TdkKGYbD7q#&}q`B@Cq`x*9U5XxvA{oT({Vid{$ zXkmT{f+-Jt&zzR3^iOm=o*21SXeOH zesJ&#b|PUI_MF!`pM%ZLK)07YRXnjHm!j-bFISJ-W^sKjtTPXH0?a}7S^oI#DwDQ) zidIH%69-+#m_G*dL*|EohTEv*=<%A5CxlCL%Fv^#K>xpRV)-AO{=4+V>}&xdCQe3< z7WM$UpGEX@__Ll&me+&&o7&B4ndi2AJ5W5i+tc{a2D8WM-om zHn5j4u`n|S5VEl|(rf&0nT3s+UeL+t$IXwLjs8FJ{YR!{kcsU-PX4a| z{&W1lI4*2wZRe=`A1?n8Uf7A1@CU^Ie)qos{^-OQ30XP*qoNmMB4qtj3XXP0 zKe=iB^iB|?S21w|=+KM00mPO66XlPQxH2Ol%m0d8T$zcG<-h+I-_PrR&KCc1^uHqb z-)9SECKe{v{|71l4+}ay1p~V0wx)y&| zUpkyRIOZI}4JDj<9yUe+TECZ-6)F)mnlcr8&JrOW-M*OdO0_#R?j6^3S_WmFO<T{WG@$1sJM-XveHt(!%(P#rX6~v@o5?ia6KF`8cByI^G1CMi*}2a7 zmh~H3^xjieRMh!#9~0E`UrQd@qu>}cTmZTK-U_Qi5B2W*6%7azt6l&DVizSL83AFtsG zc&VelvRFP0mRyiBzAq|>k@EaojpYP=7IJNiqhNx!Ww(ct59nBLxn`pUX zJ=%hFDsgi}bB8}KZ|;v}Wa(09=+`S7I!W^j=ATV@W)xge2}O8}GN@VzS8{ltZ4aee zWC2n00|h46a(y!q0!G4Pw!vM{z%0d!V32Oh&+gj#9R++}>IOrl?@V?#HMhSS>S|iu4P0bGyZDj01ce zFEG4@;H$t{KRkn9vZBxNW6aH#O+0&8RG%gMg1g`fb7R!2%m9eg{3H=W50AMOL`-Si z;S~qOChsb2&!RG3m9>)Cj|kzLi4O~vriN3+d%7~zOGRtR3?F2xc*sap=f8BNo`ucu zM)j=&zk~!~F$4s?CqaM%PX&F4*^+&AU1`TNBjpBOKYH@1%^dt42vza@e8GjHlc{gh z(%pA(Br`=3-2_aN;icaDQ|H!K2M6!g>V5VY-7pAUyP`AF`R>o^b)t{RVf0eaCB7up zQWM+_h^2>gxOV`(ep|~Kme>cyG*)q2u4KyA9E(tQPgh>(-ef*@Bg++bD4S1+mi4C- z8IV2KirSUdZigYEd~(+33K_TWSS0mIRaggCC6S47#&9MJs3kk$J)g+m($2=ci^7pz zsPqwB`DJ|<<>uVwMq<~QU1J~2In1jCfeylxg==+7M+%isC^PjjpRi9`1zVdaCC9^o z%AnT8&^`4)Or&)jLvPpvK>FGJj*dp)wuSWEE{XUpDOzIEBg?>BvAkCF=>M_{_)~iD z+mg#lylZD9WG?iR8px$r!_?w^i3%kB4x?)ue47h`{BKIZ`L-IC<=<*3w@Xb=6*GN2 zEM{v8uF%S20g9}K@dyqQE@2=7yJBk;BJ_>l_sTZIo+n7msJ*82zLxS6Wo$r?of1wI z8#7JLWUmOoTBKbIvv z@l;sx%nu%0Bs6SDG@nS;uT2orL}`*8tl~PDr;Yp%{XH0dheFhcNdEwREGZ;TLHn@9 zSy1GM?yU!@oXG}w(cgoixWFb_?(jIeu0Ak{V)B7QC7^*XULR5P@sp`B;cuJ`3Xk_W zOR89R7ci~XB^QgZ-oMgb<_wW19a-5MemfpLj_A1I$ZR6%(m2j!$D%pI%JK4bwsgy0m$6Va2+)K@Wfg z3AHx{yZd&=Ks~Hrj}%b|eGr0=quNH2)lgR7bVCd?8h`H=HvkXEX(=oQe>}pxbs}*X zs?O%j(#Bkk2U+3h5ahPvbl!BFViInAj8UdkdDCS!=sSfD|T)IW6GH;?Fc)#4N@K)*svU<{~k#0eY<+X@o~s< zMxQ<@R(nrzvMD)1))8{_Tvj!BuqOv}Hvv#Z8gK43uCYAD z2>;128|#_g+-dEq#w{c#HQy&V0>|F&+M1DsC@+RbRf2f2Ms^7dW}YD*huXa<(M50` z*2j`i20sdO4-+C$&Uox;#_s_l3+8qQ7$F_1Z4SxyvQC8iuIzx;FD_l}J z{19o*L$_3xW+ZI(;N?)eep*;5a{r*xrq>wq#RQrLCwf_ioHaUm&2QwSiG~wu!9Yv- zDLZGe58}Llhd2(~RR*zi4c=d@!#R5>J9+8q2^3AK^i z1Zztm)#pA+a{)Hs9Vv+BcBm{hZ{E2gAM08WVu&2dJF%lUn`{XSg?sjMU-I42nfwVC z0eikru0+ofA&Bbi|sCJY-w*eG1n7h84jr4-KRAY=|IEN{XeHtEc1xyk+m5 znpqS8bM0{5w-0Dzz;Oy_Z>5RsKL^g*rp;#fP&@Z~U6su>&t2A}cE!B9m9R=BnmMYA z0BOLdW+2$#Jw_zzsGRifLrs>}W?bCn13;~8Y_*A?0V@19i^@hez3kKx<>g|KheP0o z9=G3%COv}z)myuhbTpI#&T=pXgxDGym0O1KCjY`H>t)_Dwg(C<92wi5 zFRvj(uPGdkEo2pjF&nG6hriqGY!`9vdJQLv^moHT;gl<0j5gJzIe-+$0*Mi8Bq{A+ zNL6L9A8CUl=BGV^B2ZxBF}VV$i-`+8LFEL6ER)2D9{znhJc6y7Oci;ZV412sYwQJI zQuDBTz0u1$ApGh(Cu&Zl9A=&hvF5qI(Bd%_nvS&vSopRrQyQ{Kb9?g*-t zexk$u8(L<5$yU=hZ9-<@w-H@@55KP~chBb{dV1(A$cpF>@t~m`aoru%r4NSx(Bso~}u2t*^=(@t>=qseU;(os%_W_2Kk96IQY+3uS?>1ui*c+kt=} ziL^o^GzAYNC8Qj~Tig`n@9`x;^r3=XJC%SZI6uzIkVol+&4X(rfMvvf!b9%I5&j;J z7ST-WdEW>$tfa2jNxijT+-C9MH2At~!CjXk@>A7B=73dIQ&T_tS5g<0X2UqxU1NUx zI^#b7fT4HG{WMEw|8G^~%fa>26f9nkj?yu)!hkj;&zWPLcvp}~A2;XZvv<<^y-CiU zM}j|+T319_^461DAQ2j2t0qohk2OSbE=51bY+FD0!@kKQ-Ov+O2-ITO&iGy*UAXz_t}$}n+U@Rv5+L%f$R zw53OnJ-?XV;XJIT^7?C;AXLmS#NXCw>NRINek|skpVqK&U`E?AWSlqBzobwt!lc4K za4`4@`Z*k_QCcu9*?(;hlZo007#&uSwU)u^8|T-r&*wDZ(sQt+yCt!UM;t2pPi<6L zj7XHGac1Z1936A-eAxH5-J;M39NIq-v9ho@-Uh1OK8$&+|BJ|az8ROC(+W=i4j3x zg5~+8eevg(W6_cfR~XHY|6lPyN-W&;cTvxfb*wMUu=}}C-q}vmJGZU;1L>jNqLFp5 z!1_ie^RVxK@1#IVqoh&+D~oLEF+|+}$&%Au-7)4e-S0|Jeg*v!gzo>SH6* z7Ee`123ITb^2AJa1Hr7h2o^TKcrgf}n*>Wdt!#3>ul^e;i^zOPvhmgxU>rK{yOISj zT0xmO04rtPn{Y%3D=$ZQ(S>R#abP~#Agduev+QIWsR`g*z7Yq*eeZ!#f zRP@7)Q^XPRA+>UMdkXFIq3DG838bbRy>=BlSM(U+cwdGohfHl(-cj1TV02PpE+6)aQyAkkB4X&cX>{!fR;-K zIho|X1FWXy*$ zPRdD4m%5%8=eI!*KtF&6B+BBiPca-MC26{CmNci~rP2$zw!wI9)RDaQ&j|9imkp4! zKPMIE3FC|H!;D+J{uEauqj;G3sG{VQsVPfpF^#9IYEd)H3WTp(jI(GR14om+sV66-utS!G`__2&giu8{k z(t{iU=rpr|6?xISv)~V33NmBhZsxX$lwn`3&H8bV#1~j4eZTd9rNofck~BvcXaA|_ ziL1VFDY_F9IK9)B;;!=s=Nb2DcSZ~6)PSbjR_fA8d&Ax3M#(IHA&9&I&vDWV!5yFC z8ewXFf8CkI&7h{&9P)zQAa!V-n(U^k1jLvinbOI39+jl7M=Bv5c9TfLzlXlYMrCg& zSQZYCXuF0YO|B=R{(k^FK*Ya?g>F*L)<*9X-&m_}J#5=|Ipbu6Z++wE2qLbCm<3mk zH3kvpyuD|_fP~Ulx!sa(R*Bc-HNZmHxrQ3(6FE^JD<6}CTm@TA33BI&*bjj7bG7eN za|R;bvR4MfJb{;wWwx~)oPq10wf-qdpgxWNx$5r z=5fyyYl!Rl%qX2js+XeV+^yoAgz2gwM%i-%{p?p;@>z-7py@hELUIlY9Vys%z-%Qz zGEM>o z>Jf%b|M>>|LTHY)txs5*q!+nOuJVx0>%;CR{`QP(b?My5A~BXG+H3Hw)glqSDB!;Q zN;I+0azhRp&S{bXIe7snsc@YYW^b;eoJV;4)>!VG;E^&zKi&Hz4Ck`W zR*7G$L8&(GLW%NXON*^Eh?LR4;U4_t3XpIfV%R=1>aRL3q1gtrGk*JmJrwHJ_yK|5 zzyrLD7b=MP2F^uVY0y~ra`NNG|1#s^*!q|54UW;_`Fs&;aP-{Cv^_w&a|gsisY~Ty z`TSWXUuNJ$;#;9*yrz6ff>lT@7c!#5aS`U1WBb&z1-my2mjE0BK6{Q*wHnA1?XyiV z;*zLXhsw8j2sZl~nk_cH;-^p@#p777Q(SFa)3rIUIwDu}VZE?>636+6SbeE$7*vgK z3^#T96_iE1%;h+gN2tSs}`fQSNlGX2Ada=R$FplG&O3qVo;4`A5BkQp)KTO3E>YGi#038(18 zD(?EheBfkDW8}wciq#y-H$jP}V-F$|>u%~g2ZX z@z44psiV%1-HwbwX6lWYd)_GeAyi|A48UKVCreBDNtGNt64t1g#roAaLlbBJ1gQCm zb{rO++eai|EyikoB|HU(-;r!(mej;h4s6%?Awy5_kn~agN{+IokW49NM=eC|s|)(- z)U2sv)p`>8$5T)aThrf_0Rn-ZH$7*j*h7!3_(hW#*Z#ISMcsTAGZgx6dRHYYacaM2c#XQNJ#aY|k24-&Q#Lw|IL9&L}v^{dP@ECy}a8s?q!_^PHE;u>5W z$h$T>U!lc^N!J5y76f#ef0#WE13_(sf0{H3m4~)-q~%CP<%X4H{6^+5%^rFhlQo@A z-?i&Ry}xK?o&@D^I7YkmWXfMYI`5QaGKZmNy!Thcx-t3S;yzMWZ8Hn)Jc08B3!X1A zG&qpb9m?$FD^P-6q2au?E}Lg`xQ9N%sRu<#^TjEpi11&Ts;=LyiM5^wjTlB1?YSP? zB4#cObh5BKeSc^(zZ~tQfNQwifN`_4gW_97V2#C_%)3>&a1c*;7j&kifmw%#JB8Tj z=o71D+wRs|CN4_HDOlEvlRai|8hrejl4D~HlbGV|5)8eg3F8$qBNmPeK?mw7znDr6 zj`HsNnI=wi@o36KI0vn~+lJkfk3dg=Z??NulBtsO?L@pdR=NVk3PaFvUx|c((rIOv z@t^k9L$hv?pMjZ8gjJPl>ZhywrD~{7D z#)1X;0aZw|1BN+O;y?fPo<5ezrUAbRY5l7dGI=h7cB=gPln;w=?*a2Ac~qEXXn(u+ zzJ8q8MVOF{znTfF9X+R2F++k5Y?UY6U>%kyWVQATT+)B{78O=r2rIMNfwYLZ!o5tq zB@7Z7bF2^)CFo{6qJlpPxoDMD?G zI;Y<;HF-5@yGI%#33A}WBdAKs3bH>&Up zgO^N&%}uDb-#YaM@-rI5@cV?m9mBbHeU9pcT%@1OorHs!DTdr^ki`{6Z5Y9xZH|K$F{#B~rz4c^aciW)Mn zYsg75f;W)~K>)-{M?QR-V0J7C11l;%nS!SP%OZSlT-nMTrpD11ahQsItgFQ%CSGAh z4=9ROUW5kYr zNM7yNiNH07+ZI9U;8JpN8gd81yOCrV19j&HWM#vZ^5_?^>cPxTA+t#jtDD%;r=^}xfN^x^ zKd)l*^O2(e^GCt$LZeTY9BFSe+AdYDK^yi|Kwd9B+};A4@c5D-ah|~xOwcv>ln=PJ zZ9CdlE*4@nA2{*v2CU*D)Lw8!w|J}DTQ7~yBXu*F1-@(okFfN#U&Vh1?|tvKwX^;z z5}Nc;aN6yO1cmmGEoI&=DSv>FmHZ$h_iCQuOGHv?QECMnT;SH)MAT17iP(UEylD!$ zqRTJ2i!~AkKIEWIUoq4zw(x-sGwWobJ3N2GO(ab@fLiQy*GBwj!WdU{y zQoq`ur$xmWKbvYL@=(IO_`bd)qxod1;9KLUPfn35ba>`eXv`7eF`U-=zV8K{F$jsp zBrfO*O0r6C(qPYu&QSsad$JqlB<5Zkz=tu@l{On*!Ogn3f>mx$&EvG4-h?174%`H? zW3C7%cNp%5m3cVzKyxWm(kSt&K&+*y5g}X?;bKMvgp=GWZqa74g;yTyN_@?`v8L^i zB|&0l;Xs5nR^7?vyNzv+ye0vg)HK`T3s0SK3?QXlR7(ql7ljU1c6rLtq>G?h(d&yr zz%@V8S}7kk{tuGk2&ug>)9#5B?9Rm|o~-)Gk>8l-vre_+ixN0niQigcx|Eu zBoFEEAzjw+8|7y$QVGf(bQYN6tqiL8m{Jr=3_{-t!=+#!imkjFYUCTcDH?*CUUuBi}z!t_ME>Dpq19_Fs696Wn)>lHEg{1kQybv zXG9b!QA8?)9TkwDfSO(de4)(P1SpSR+zM@!ZNRm^tJ_|639fXDk;ydFcmJRN2xva% zsQnEdUd=aB!E|5xA>Db-mpfWYMI1Ar$>-Aw`WHaN5#+avcrtr3mx5`i#dV(;dpzud zonGOE{@kwFu3lDb^bc^c-KoJg+YbO&P!)vPHLqgq|Mt=UsqH;98w3DP2=Ufn}#6{(9=JLOhM?{IT>H)nm^4|3| z9iqF4u=4fMAS;)*OFC+kCl*D|-Iq|Q*%X&JrD#557Y-CA0KGCn(;xF(M{Ni9bBv;p z1^IT$U(eDJ_`b%GbZf4;m0BNf3LNV5rierXmNibjHY=1D>^08{iO;`=k8_U1lr*qy zaX+FY%7ElyaImkhF%JTE6t9l-aa*At{X?`kag_L*2SHjL!GMuE&YK5Cpi0p-d5)g< z+A5J&m(1khamlv#0O()|1oGYbS>^99y9KRg1%#7!qxlZ*2is0A41=)u;5{mS+u?^& zX9>m34OY(pO1Na7uYq2=L1|-qSuCd|e{wYcz>W*igwHq?A8sI}6owTNT?-794g~CX zJ-1|faKC6dF|-6Wr{fn1TwYwo6M(RyNqas3t|?-K1*d>j;0&;xNZ?~OXoM8ta4kiH zY&^$rJ6;?<_QBagn?Q!~oj~MS=K?hlL2Y9qD82;6+?9CieZ5KvqHTZ}^%tAfN$bXj z$FXxgqyGSa{^Gr^tCJs^Eyr2)s<};E!RcMW%R52W#)w1f8Z&p@6Fi+T>p}KJ1!ekX zwWnbQJ)`oakKL(i%UMt?D;6$KOKo#lEGc^DsfZTDEU4JqL|5Tj=Zt{x214zO)*c-q zgfaG0xL}A!m7U?+m}Lw0hVAnAIuX+bH?(A$<|l$u7{{14mcnt47FlP&=utdAPc5Q5 zu%|q6=~0^}FoW97a4;|iDXnRJZmxtxms%u(`qexK>twrpN3}T#E!5{LsMS8ShY9d6 zm$HlJ60Hb)zsVWHLnzoWuQX^8oBX)W+lSZ{=bK2LWXQ=gy5BGp#m1}*09*83^15Br z@RxP^$4xVI$jf`;!+Z7F6z9JpW0b?2Lm-fHIjcRcEmq!V1RpQpn?EOx+DR;fqfEQ# z1X$WAc^hYcV@s+5DGl2vY3+fu2xkcV9<2?jxY1kXlq zd$@2`ogzz7W7Mbf(~FxIE4KVAs)U4I6OFsf(p#!%Jltp)!uVg)?>p98r}1%07T~qdpc2n`|MTEX%<|q_bj@yxVSpMLEA=F*utWcrDf5 zjp~8WHGViGjzwe`wZ;zo&Adnaf~>VcfgW^X+U?a=CK{qfULeG3FH5&wl^7X~Je5{o zh+&P^kg(78tLA#Pr0e}@ngf+!IiIvxLG1pAp|F8BQ%i{2LFouh=i_Af-)?yRuU3sH zBNAO%pGm_I8V?&B0{u`;xeKJBrfIQ2K+@h=?2&eO+7OvicPNW!n;4c!_?(((ag`|~ z_iD#yla?#}oj9YTCDe=$VA2u9*yn ztO08GEEk$zN4K#5#7#yRhVLz z)aE(S0epq^a7qgiCgE;8pnLyET6?6I$@*6^>iop=Zrq>v8UeliLIGf|&DP&Lt3hpW z^D2GrV-pun|!OMPPyiucFktEf+GE+@)6Us>NJ8jRpw;yT$@=bIPXhRc*$bXI5^l+ zg=ZLjq4SO_Zyo=sn@Jc4HWc2O9+iCf7KNkUo?Y`7Yf5pC845u?UMcj&>C-2w>1Bbr zY$%A{+8MvbbHwHGz`gmFcLFc8fCfy92Aoi-aUTn$*@YSrZGgH$xX%r(k*Th8rLLBC z$WqZ?s#`!4TmhPHj#;pE6`~rlFl(pYH)?`QW+L-!Q$1EH+zh-oG z)9PJ&VhIVsWM1cO%arH;XGRcLW^;fp+`R+TeMH{P^qvu$B-tz8dZoZUCvydjfTWX1 z;=|z?s&-kD%zjQcI2!l$4A8rjn<^nPqU(?rL99$&W;#|}$XT-1P)+Nv?|$&Qt^bn? zbs71IL37djjBp;n!;^e+B@HEti`VP*-KcrwmgWPs+reZBSik<3CSs=&qikK?<3QDJ z{+ACm_|W#zr!#t4`TS(}V)WJxkRA34Bv)12Q*(9IXb&SYumM4*L90#E6G%%kprxU5 zJTcoYP8mxs*$E)7A6vzx94{PCfxvJDCx%%#T;;N>auBm*DI@) zU|o9{$B+O!)&dJj2|Up|C0VEA?0ZHwlWVj_Hya#m6=oWZ4W9Ay4^U#bGE-7&(~3HHvosQ^3@X8 zUl%+lg&NiBuE8D?0yXqJ(Rz{SZN(LsRP4#Aj9JSfLg=&2zX&Ug&TNCL=Nil{^ zm-iK3-K|@yeGhV-^ajE`RCNLoISCo|xZoX;5%3phGk#?awFmDc9-!lL?17kl+vJep zWB8Kch5+Y>i@O?jf0O({oYHbaJOtNPeeE)tkyD9bi~x>?gUN6ATRAO6k0n^kmJbj8 z3=a6WM>mGrZdXOoa&nmL> z%c%rU#qu%!j8`L-x~77hXl6Tk2c}Ig99(Wi1L9A+;gj5GK(u^X<75mU=g=H zY3CSnOL=VRmS zrUo^u!&Ojht~Wm8oV%C(l1X&2XrhAnn-Y3wi%vqBjhkM7q*EtUG>Ggo_K$xNALg$7 z!xL=qHMn=4f>-_*|05h|rx_sSA{evIS69)=2&2KgqZ#g_BRd-Ax~H3X2E^u*FH5CP z2Z`cpFQp*Nl3baV98qqp!?Wab9vRW@D00j1d_YNHD;K*S5^X!0 z>IYIQ_!@=9#PJ5B(IB|((L~d?s##A8RXLCQvZf%mV*=z9hi1|A=Ir@JtR3+A$&{F1R}7oC6Y@9E3B-7DJ@JVEd)Y36E9pmoZqtYg zZJybSoO?4(6@+8!Pu1$9z3>p9&(hb-vw6!^3QbUgy` z^UAPcDZ{BS)#pA}vXnJzV0s8&A0~Zax=70Zi%Dw$`=#o|;s;Xvz7eh$@o@C8AVOq) z3c{KZr-7c)NT`2WM*bqcnlKhMFui+eUcD2D0yv^N3VCHPUo7jyT>D(GaeU{h4}&*> z2;6aW--N}HD2-_r#>`99(pI0VxtgxfcYh=$ho&7O5i@PIGMwC}Q2EwAr@Mg6n-#&8 zGix<-mV|+cyd&5qg2f?;0z_OniULwO@C)j6IlWp)@ATwaDp8AYSJZz+fas_{i-&t5 z^V0c9ym7jG>r63u$mHyeMT}$jUUhG^noxHUw&;cRpPd+m!yTB?z~3yn!7A`po}W#| zpFqp94c7s^X!4Z}p6!k%3AD-Toy}~Q{uip7`98{-mba8x_vTB42ULy zt0){8*o5Vpkh5wEItBi)AAYG?3f@ko2%hN~yer(ZiH%J{N+?nRoOk&~_W%~|aCier zJYhbK#10+~Y3`!9%PstI0L!@Bd+q7lv^=x!h~0=hM**@IR)Tc{!|)@2!?3bqcTKF{ z4P|yeF&H@ji9wy$%PA{H?*$ezxR(o~M)SkgMrZL=6C*1=*V50-K;f|}fH>7n8mNS9 zkz!>e2w4c8PYgqk@tdD6Vaq4m!mUODB^!8ByIPA}Bsvo7uWV@T&6wdYYSEnBSFCstC zkuvp8cAS3)dySFrDIBz7zjR5B#_L0kRbA5Ub||F{ZzEBJWn29I;3?dEewv?R8TT}j zrOGBX)Qsz~dw$5LfRy4#!wM*BLV)>l-~53=T*5!@Cc7I!#zVRJ(KfC6*pBQWqoyD@k^V$xVxsIq|`j$_pBBV-L->2aj zDo|j^Hc5XWQ3PJ$!5aj&rQM^_&)F1l=K@!@6t_i31|hSmGY|&7aj_uo^x`V%z809M zNb_Gw5(sB~)$Vvibk$+nZ)D_q@Q5hfx+2Es6%zhndhIQUp5;?l5YTVN^8JuMxtYfF z!Ws1v&coI)54jlD9$|XvF1*S%2REa(iv5e9)VPJn4d0#>a8&oq3Hhu4ig4jK5#9ZA za7ByuYDEU`y%qwfR)WW=G$Cr-UazNNCCR<}6IW@QnMi^P)6i6hP@u7v-#2I3UaLysTJo&*Yl_U`q(!*j# z1&WF4KT678x4vhc!XP=55v5ySNB?r2?}(^}sl#HIg0~c!MYrj-to1}p;f;4|PPjMu z7VWs>7SMGA<7Cg3ZPahIl3UAJzy4*RpDySovq%IV7iuu_f7EiIv7}ZA(FTj<&#e{aL2V$q&2{ZBoFhTDal$+`w>R8G#A#*14ygvt^Y;jAkU?wpV~C& zFC%-_oyMPC{QI6C-M(fcH_~{>|BLC%G%kiKZcG|VAF=VvD6ROR-yf@yLLkNcb6$!3 z|4SKc-Fs8{Fjl7_+@g3oT$8~oQXV6{XQ9h69lnL`SpVpzxa}S@`>%$K}CKYf3&`1Ol^P#9^5^- znRzU^b;T|=XB{EyVrEuwv*}+jO}fMH0(x=;1cWU*<2AS~#3D3hza>A(Y6O9(vVTw{ zehMg9ABO(2Zk~Ygm!gu+o!4c}LT{rofiK?MgtMm<R^KD5IhAvP(%Z^^_eWC=s5+ z@Pu~l2DC7r04$Li6yBCiLETnd4j_SPR1n{X;=+081*e8Y!W!q{c9VGl%zm4(XC`DEYTCq=Rg4{AT$7zYtINn z*d6g2##?#12@gc?Ql{3>Y8N9Bj+W_?l`!PiKg!ZOXUKhlg?Sr7-}v(D@8vgL_OXQw zWmi1&|8XM>;Fn~*5ExB8upLnr;#5Eci7Qutthtm-UApnD^Gt}e1;hZq@c7ayS!iTM zuBy>Fk(WGnB>{EB{UBpYX4L8axvIWS4$&d5Pmj@l!@RZH?^{Y%u~@x5p@XNf_{aiF zs+o_Dp;|e=2Pek()ik99*ef~Vn4|Ym8b*}qS^UmwmIBylBMXWTM}8TiUCd_!p(G{( zM=Vz-BgMrJeu4_c>Zy!B4;Fph3rRf*!!OZtCJHl`JXOF4I_6P8rw>PXTttRVium^+ zvB7p4D{;H#6jmOw8ymkxWyOA$ts){auKKbf2eum}FGs}# zD;U%+^M{TMrqUs)`Kx%9Iuac7_z1$GrcNwOT+~bUXVSej?-f)T`b3pV)pkBP~c2=^xxb@2^EkM{~N3t^F$Rv;;QZI$va*pKF#9Y@qHI21y`eUI7pI!IM z?6U}DpkVK1Gv9N7!BI@IwC5?HWs(;jV7z=n@1Z8X&_>hk6i%a7p9vUfa|#DR*xgL6 zMQL%53MiOph%{|jX5*Gnm z{gq@yc-lL?l;s*+t1u{W5RssQBd7GSOmmNulQxv0*+)^&t7f$q8W-a=**D{%Z-fEW zUmKh;1q>+M8%ATqV!qE$USlR=0uIG3#0T#U;b)KJ|M8 z*Xtfco~Gl4v|^N#s|P6vxhREK=X5DjwK!^mUNO9#*B*Z}Iv@v3xIDYJD##SPXnGIM zIjxDI2&Wzu6{;!=;QjLm(3YRxyAv1_k;k|&zL!|ee6AjI=g`yW(l=y&$T;Ksk(W|u z(%(GhR)IoDBGI&hrb8+lV~_JbU`c+J&K3fj)t?lU%RD9mFMvh5A?_{({SC>b` z@Ur?g5AWm~lF@7k6krn`&lx@jhdd#9o(t_Tu-@0Jg8>?pnBc5c+5ij5fUUkq7sLfN zxQlkj$)qA)LgC(j!^?M9t6{YbpEMPmfJT;lNybZYbk8}rZOi@(EgMH9Xq6=NbG^F& zI$$UK-QboWM-g~YnC7lBCDXJ(uh-%^%Hc7S$JRY6#sYb>)f&Lgs=b5vXK7W9eZ^Jd z`P{8T({>o9rf*08Cm>$T2)-Ayg^f(kAypK7fR41ISy9fXuPDSNU^$}^#So6=kGctW zbPv=?o8devrEGn~{mCXY#pgRTI&3{8=vmMptkd>+eSqF>9X3H1f4jq5`s}Y;iA}0r z?gRXA{Hr>n%+I%F$0O<5>JxTP#GnyFSgZTx}hb1dQfZoV;SQ< zn~(ZoTuZ?8aWm(SK^P~sN7GpQ^Nt;G)K(S9oOHh3MT~<9Q?&s-B3#802}Lp8f06dM zOuxfE?&<**y9=lS#V>9+w3EJ2Cv@e$)x-COS-Lbl&R_ACatXiC~1RIr<1BdfKA3I5}eeP zYchZzKqr=Tt4?{yN4ttJaEYmWIT!e{qHCPUVrB?AY=~=Q?pO8hqQO79aDc?g!Y!To zZOf_xZ>ob~goK#o2BT{!Ti6!o_(V~XW?Mr{53AI@v|6ej+>SVj-4nAI#4CszXschp zC9_d~^x6Td10urer{%zjiTEfC&4{ehJLqFYo;+l6J}e~#@MM$uk+s(oa9stmDE9`< zi=PcC__x>IpZ8e6JhFK?tBo4KxDiqpbf#dWMYl^UI3sCf4&(w zKKj3ok_{{KAUqQ~@if1#epzqElD?h=ATB^l7iI*b<0g(c!q6d!Io}RbeHwm+RmJzO zgECnO)D-4BZ)JG##9}F#5St8Dw3TfRIabN&As$ztDpEFbpmr3$ij3}%PvhQoxFh6ppn2NcfC|hVV)C($ssMg6|F-FR zMeslra*vN5#5G#wC>MJ+#TKi2hR_VuX`mv(k1bSFJ|CPcJZIUcD zThmahJ|s%mg4=<}<_RZZE7@l6-{i*TNiT6b>0hD@ZQiI`#T86i4YQJJ+=A8vaX^UXs}krX%rp zscQCzYzg1Rvdj5>P>$*YwHJ9t;_%iBJrjaEd*oy+=LO~0)#`5f+d_6eXsTylcU~N% z26>}!=(C-9C8osy+2JvEBD;suY2$#G1hwn)J)lFu#ESxH|0 z7rZI%&BvZ(kd0RapYYK%af-2zW%1ARaZDx`=4U!_1S9Psw4q1wH-zDXlI-|jPVBV$qG%adf6BJ3sM z^$~ZMCH)zTO!k7>#W6cc%Wt`Ef}+9bQ`FeXvvo(JzqOUMBQ4bdtY<0N8`mKgkYNX| zWnVQnW5-1h2&|j(=k?_Hr!V}Y^hMfQjh^9e11uU}?%;Y?XxUoa=UvG1B+D-^<}xeA zTy7E4mJP-DWd5TnY38$x-r^xo(VS_3>?L#~BFSlxPdn4H$!kzk|4&EID(+5=274uO z+foj?z-@n`cEgZS^zt{|hQp>0@_hr<`kcF42-+i*?ChbDDz_221;bxdV%{o`cWFBH zLH@)#CsM>yy+rU<|HpuK+~3EheI@ipJ3g%>^$P$-Te@U6WtF-1a47@o198$npm-_L&^=)6yqYjt4qS;ey_8 z4_T9QZlEc zjP$Gn!qIulaBm!Fd$YD#l*sQFLg-OQo}5-(NvT;G6Wbw^Sq2cw=-?$<^h}!BEQf`> z4l@u7X21dE5JgR|#HUWPO_TSNJyDy*1sPf)>F&HzZAx-qVI(7-uAY;wg>`QEWlOuC zMz1@R5q+L|$45-36<6@jvufVNBh1&2QO4$5*wyVc$7Go% z{ileq%W!C@w0;!Inzh2%$P5(xmD9&l{Z#<*BcoG+ey;A6S@Vak00k?}rIrqJMZ+S%(inW{#nNc#{)_sI^DCN4zAucNTlVJfW-6YRv7M+)_$G2- zD>QbFiIC1{LbwcfgWCJ_ouPn~jH<$=8`JQ=-rN@0rr|S92_@EKlqI);%XJUfuB{Vb zsMEmGmF?;u_`FpkZdm{vKJ;MBjB*;h|-C%9Y|)Vq!R?X!3DlTAyPOez!iFd{h>LH za)7Lbwrba59auZ3CwO<(sheY4g5h5W?%}8Bo_A^#N}G2E2^oHAQX26P9F;>Xe@6Y8&? zPAxMF8YgLD>XgAoJ9GR3OI(v>cwVj(6M7^ieTPVP1&9AL@ex%uKBNayf-+4%Spak4 zQ4u_rK>B8sBR}KTGn87VIR;9Jnt3y)P^u`WNIy)D&<;8L@E4{izsOIcwpP7Jp(XU- z(ffy7&Fe>_dNo;Pj;%_Es{Zgo3QwYuJgLrVX#|VM#B-QJ{E-k7zWqDLUoj3d^^n_s zV)T!oD}xtA*?-ZeTQ|A+QLR`;Ky50K?BWeJx}kFY#Y9lk>87(t2gh7>+q`H0Exci6 zOI!wHcGn7A&*WmRzo9DjGL*b@XL?JGhsAYqc0rnYC|%04{-8F4Zljs@`qWrTZveDL zk1CfHufwUZWrh$(yX`%9P2;rU_6(zi*;HOsfAHtWS8B!C%`cfc#2?Z14nxitsd!$t z(5U~~Di>_w*n4+o;MWG!P3r(Z5p78XScCmOT?xdogLP{^v!F<m)RHRzztMcVkCH--D9DiB%%5K@Twa9F|>wr`?l`|GoB#x7?pL2R~K=TRZuc}T~16(ZgsOYKS$ zRjTOl3#2c1w#acU6gShL!g{wvsz};KNX(=lHk~@3?;luPjOO4Dd>!(pWR+X^ zz>p0D*nN1j$Sx($BFrqqsI?d7qB+ICbS=nV_CL4*jG<8n`Xk+yi8qn^8Wez;n!5h>1@yACQbqEVr>$(Dz2($S$Z@fb8L0Nf z+Uf_fUuk*h+i3*xES?sRXJJ)tD6pm1$ly5Sp+8gEN@A;?DsnK&&;yfTS&J84I`;aK z`H%*g-ggfcD#L$ZSn^2k;h(|iKjgI*A1M0W|KYnWxLndRvWx-@9PmLmWD$`S*23cS zffj?qN55&08QYW|3S0wiBkKjMsG^mymizAWun7pZh zTPJzY*O|Vn{YV{aT02OaHME5N3(t*po4Q3@s=HU~<44(-<;FB72>hbL+BlQxOPpIn z@!_)V^1i+KJzi8~$P)+)5y333fSK1#lmoXc1F`8puo!;blG*I4)qc5H4iuuBWjBAM+B zKQ%Ieic&8rNQ~ZHp|(r26xCk5aB=j@Px;B7XcEdPyEBV@T)eZSTQl)nVp!y#zWy4x-l5g1xq~`40`^0wT#JUZqZnR?#@bFTg!}a zt!33|z2#-%L%7ZPanSIyp={U8ktaEAXFCJN#F4PmvXkGGek51^6<;zTZFl?A|FrDZ z`JDeVO?40P%Si{rz-Gfd$LLgpxHNY~)n*i7(6jvYKUp8fYq>rln0VM0ww0+gSDCam zDje7b6~M9jw=xKk0`c4;+jOwdK;f1zI<8^nR`zrjG$m3E+B2s)Ui?ZVdVXdDPAGc> zO}&>6=pp2tY*lt2hPYboJkP9KsMaG z1VOf?vGq5yUEqNdV)6c7wqMexhs@9$MviUtsU{Dd#|B$>;Noa(QzV# zPw-*LaCocXP^gGpKV^%A=X9ap@j$uy6zuY*F3;ET$ z0;LK2GrBM1;idcAKz?b~skf9Bf~RJSQRPknT+}d~BI0YpU0kxm<#tuMC+%&qW02vs z>gn z-N*?Jp{4US*I@wCow{)OMg}I~=cUoC;FbpJMGK>}j>7>S^bnW*9Ef&%JB#@;%XqGQ z7g026c$p5T?No#>YLnD3G5D9Ta2ZNbxJB#j_nG*Whdg#ygRxZQ?0dPvA-_NYmv|R} zaJzc-Y>@dI(+u9V{!4)cfSBjHz)N<7^ur1tT1`QIin3fE;P8Aini)ZCr0y1W zEXJBCxqMYufd!v>kw&!j#>cC}ot2CGMe5>SiSJ22fiM{S0t0#A`*%vf822}> z4shhd2)E=Yt+uE{+ttJF@s)y|*Ibc*Y4VMW{~fRc{ohTcvSgC@#2 zMIr+w_mR{2Gc?yT&e(_q3#wkBDe(r|A2BF1AbcF~^{kVff`Z#4!B4&q1!=^#ws%Rz zWihl)OFw=r4{!4r3_)AD+WM+VOQjm8e3+J4k;vXq)jxf}8lI=mLP&sF(;Bb!p;%?J z6*MQ1P65lNd(2KHBSrqME}>m2n&Oy=(-70TYgnR|4AH7?TDz>G5DVlYZbRCNYva4C z|5?~#7$pUP_tl9Qy(Fh^u$EVVljZ^LAUoSG1oE3zWgf0^lGoba(lyUM z-C^fNNT&AoDIfpM&Xa<|U<7m`vN>dhOy+f*N@|3YGH^z#|65Ajy5c2$tr29H$Yy5;LC97!Rb+7n%I=BYpOA2gPVR;VB$eUpkGO6^ieyCX;%fRl}I;>nddLz`$P!E&_$8W8?|^ z*V!KFT=MqwCqCY6>rI5PINuCI>+4(GL8iiJ_9uYwYc;{H+c*|dOuKIu)+$q;OpKl} zktRT}r6MmCc68{1V8z-YfpZhA(htID0Us$Qbn@!2!Nq2%3T^DN8s{aRUrFBUu;DVZ z%c4{Ig?YlSyJ5HICKgVJZ@kYW(bo`8*jKs!GX>OL&ef~qrmhVBWYaoI1Q`*7*+kwS z5s7%!Frd@{vpfplTrD+d=P_c`LyzP`iYX4TP*WsHh{0jCs4zh;VX%5kBpX`oj~}TN zcb-g|Wa*jrAqk<4>W1vpv|BDX8n#*0-9sEj_-ACDQ;=rCwynEdUAAr8wr%?_+qP}n zMwe~dW|wW__CEW@xexaxBXTBU;*#Od?YcJwG3%r(U-% zzrpTUfFzOE8{b4vA@%{B=J?(+!ig`E3ooeEtN5(^(xpo6FNFUb9WD@n60DdA`8`3Y z_aGLnHG$d>ygN%yxssMbN!xEk|2#ny^K_(CM);{;4XJb&O4>xm|KQ&1`u*j7Z#uq- ztrkZnbQvsox1S>ktW{(_&o1MaQ0T&Ulsz`Ltf~4|x7f4dj6Q z5%D*zQ}+N-IY@Rj!FS+jGzt{U&-v}`v#@O2x4-peQ7D6<7f=u(s;`H~K z1zW(eR{qr;$Svp3@y0mf&^aTFvLu&4<wx zWXeDA5gsA=Vgr_*3AS@PuZB9jE=}bH;KwypJ)|Mrxh8cr0a7^O zQWc^lGt30o9N8H2V-BlLSLzm&btdHNxS=N9__-IUji_;mAhROIsW%nr^CYk#+B>eq zo&wDbo%d)3+NRMe9YfA3KuC$7DO?itp#G}Rlx}VgIwBt4>sBMhwR2PfE5Ug35zZwS z+=<1p7sM+@`UA?P<*u_-%7^3@)&pZ=JC)j$wtkzKKJu4hNa`JQD; z(@Tqsv8{TDdXyp|@FdIUiRQr2>)HwF5HhXFtqk)P#P~4e zi-iHbRD6K2WK+vhT4-ZQlC~)R<46w=GfMq|RVbYG5*N2od-HEsHkYdtnd3%K&3xVM zmu@l-90rFOXz1uV03?yjqO-B8)}}w^qxK~24*8DaDRarYbG{q?Yz(HP7r2-(8uzYE z1&LdX9!CwFWIdK3Fe5F^=FulZiXmoc4vi&AJAFLd@L*24EXAsG`Jh2Kv;!tVSa(Nh zKtH8VSCaW7@2O9H`(1C3be5v$022a%oM z#vLBQJJ*d|doy(sQUu~-(I#E?(nN7eTsmS_#62`>mNaWDU@1^I@2YiVuK|YwDQ-~T zPTk%42edc&j{s_TvIL=9Z7Z7Bsm}ZB<+LRuE5!3;Xr5$=Kiw-gj#M^KUy^#H#xYg` zAEs^qz!?a~>?ny8i+DWb*y;w z;>{hQoG`>jV71rm#PC=; z;zHI`ltnzsba>wbuu0N3Ui9%nbpJCK$YlY*iT;)kBR~F@SBNHCASIRKCuW}Q#3*63 z;vqGrfV*)u4#tbby}GM(2vt`LLVXi%NYlJVP@owK_SNqo{XgHae;Z2Z13&JnL@!$w zbUnMBW?B=WI1h-`eZRHDxlye%}0q(K08tPlv7CZ`EM*@9l|Z)u`#(f*Ueb zpE%JvaW0Fm8va5au$EYx3IsYbsIxft@Fwm|&I1RUWql}E@QzJT4HW1?K~PxliLc{j zQpYV$R#eSm`od8RRA0+uX-RdI?;E+A490QI#jZm1RQBN3Jwc2gFKd`B3D}y@RRX^# z$Psm;Lb_jYShytv35hTh4Hcne{@5pgcZPEKu{g4lx;@&%r1GMo73sA?&IN1AKZw;@;bUy)8om{U*~*bM3f zs_(Y(rJ*c7NPB)9|aOzgXQ_BA~wTX!^hE96A5#YYvc zgfAI_-UlX3Gi>QiSg7a%eYe)EMcY@S!mf?@twfO95d8_S{m;5ympVxl>#@m^xaG8` z2}XR<**1IG;zjEj`qnZxA!`%yVk7WEoJl5CCb(TFa<(*~*wmjeWloC-eidq}tW16c z+HSdWP=i~jWi@{jG{NJw0Le;J7K%Jcg7M_#zz^14)04>4Kf4v%iRm3HnCg7zA&T<1 zS|k%OSljd)R!4F4JJ`b6`UM%ABx8~4jJ-0~p;6y`xMt!6OO9}^*Ba&>-8MKuF_kqS zTzISlpT!#YvwL`W7es#yhDMa1_bNt+tjHabUWpCW80lzb6jP(#8ws#gP%G5?Mok0q7+SdsGKbj2Ein%7z&7hxACLLr+Hq3^W{I5)pGx?e5% z0FHMm$uqr?f{-g(udxT2aa(5mxWI-A5RlGT>zpuUPWvnzXXY0ybR(@+A?y*Yg3A8W z##u3qs^+&}R3`uTE*W&o5$RT6?Zi>?$f%1ua$D!2W+tHF&yiw5yJZ^ILcAp9&!x0& z5?suV5~`!D7?#lENQ6i_VnBDkC#R_Dfb2s>%-aS zQlpcVo3R+Kw8egiCh7tGOmlP`xv?6dMPCj2&|rbf3)^p|TQ@e*r%j%Q^AXWZ`LJbW zvzlI7pJ|Ot9q;DV-9t(!L$)p0%yl+hinkPnJSAr6B5!t=Qw9 z$=;ixQ%w#U#-a_u9vR`TMOdzE1OX_hQ#p^henOTnX>_J#F*FkelrI)f8a1>Kusogfo3-KIgx&zqACQ`@;Z6QTYSqSPHA*g6KMQH{U``^ zt!F)2x+Nl0Y^~3t1QI2MMV?I=i7X70?})W{GX;Go#3hm5ztktcAZbNE4Q98TS3gv} zG$BcipDmLJfkSPr?~zO=LN0}#?uJ}~hM9iPZ7on$$mx}TVFcyS!qqMjB5scMed&fL z(|g7Caer7mpBhb@?9P!YLXA1LH1lbs$}~miV=Y3V-Q9cxEyQ`$?Yb3hex5Ak?ZrZ( z$AFCdYgxop5RW{f!=ytUC6QP4mlK?KW}WvgatMDygE}QXZFRSoVi)@&aqq#$cG?68 zq`gUvJa0lYOnKts>YcXchuU$|IAG*L2AyUH=t9{p#jEmpVg|m;`hk-9iigdzZ@9(5 z4B?;S9JF@H+6^b2z)yZPItQxC6to4p_l4KpJ>R=}&ePBsgzj-~Sn628k|Y$qEJ~9z`ldyS31g(N+-WgK@i-| z`*`vA*~7sRw}2bYw?i5c9iCN>9bnnjL$q16Q=4Q4437X$@Vpy+IhC*LnGf$(|3^cj z4dky5A3dJ;4>GV8OXeS?3>c0b=@NINM+2uPa4k*&%NOL-(x-AG(+0*(s)f_HVb*Lz zjcLPvNy`!=b#$(R;N1YJ74yI91RY6&6WS+x?90-*WNs$EqIQ3I!Gb^u9tHJo_%|a0 z6mC8t_r~jB75{k24oL>e<_v2H0PM!yImeau2`O8Cv>bxyrFGcU`!V=jx<0u#PE0IB zKk@@EtveFv?UIcJqj&ib;7~HaRo-`At)DSZe3G!i4kx-z=AO)qJl!XiG?Jx zTH@?1DMBeg!C~uz+us$+$$oGdb%Q-W$FBtzkLl|KazJP!t{x>~&M=-R)wiwl2BGnl zB4i-P9Nm9A8GzyKg+bqN->GlII1pxq9<{Aw+I6iWZsQ5e!3faWFD@m4G4SYPP8|!w zvBR*RVCLF3a9_?bGX7qD+t|`vmrTw^^9?mCIzX|oyDFWy$0}#Rhl70DG-mLU1#=7g zK<#{I!gF%u)=VfwNTiZ6^MNKGrv-O?0CY5Q$%1_NP!7u16Ld5^oLTf4cOT9z$m#<$ z6lh}zAwHr5#^?9Z5a557swWfu9Cp+x^m}Ps(`epATGXyGj@}&IiGvlBzW8odD9V%X z9w%^Zu3vDC!;4G{kl^vXiG5eiNI>94^mnG)fOx$HNuX~o!G2$e1N0zCpv=BJM5a0dY zQ!nQq0Ael-4zyruz9XHE*QObL2f|#1&rCPnLHxRBPp(w$AbM8XKcQK#2?16ZVj!Iw zB4T44+dXt+kYdy&21-**1SZn=^;pNc=#|M3xoeE?jh8{06xw+vZ+pl}G|T`VxS)>t zSSan%S^3fS)itV`@b~-jk_3Q$f)X4IOc7j9j1>#*`Wc46PEPoQ_J4dl*#)%gP#Awi zI)6GSicKlo7vobUp4zaF|MBDNf!GA!`2_|h+YSu3{IEz8#SDjI?lyuHsHAU?hc0`W z-+KANH6T^-4f{NR+Fs+#8fKbxsxB=Cf>rf8dN??iTMgld*=ROAe=A{@%misX>ni3P zPTRWmA9nJ=-9C^D)7o?Fz;0(Fp~6WkQC9(47Ny$*9?qIT#;aLFQqin8k?p$KT3P4S zE8TlXK7&W;%=`I!VAz#H3mcrV0tYn2Z;<+5EEn>0-lO)}U;dNqR(y`0hwDzZPpaxd z+{S$0>R@(%w!Vsc;qtQ&(_~qe;b1k)S`slvlr0}e?_F9d6e?1#g)DwL#%2C^-vo`S z$=;7&jl3ew@}{Qiew2cF%(iI{uUC$LY3o_PV~b21k@Y{;uhj*<#HwL{DA+)x2R z#6Z?a)^w!j)Y>4-Q-J2$X^N36>h6GnJGY3G+wlXY8M6qgh);zyLt|Ht=P>eRhlIA@ zJhdf)O&@@tu%|ZhM9o(9#e-6AefE#ysSU)zQNjWWoCW(9t|5+$A7jAGmKs~~Ou;X^ zCHk`2_^dJh?7->1!m?e5$xwpMSU{%GdGvNmvwPtiX>mOj%E>Ua^5&MV-w*O+g!C0W z31oTC6qsO;Y^&Unmdn?8FjZUijHnpQz6SoYS7s3$4XG7WwtE@a7G6b~uXMLs=8Xde za0%xRIa9V)H6aB$XX(SpO`rxsR}k}Q*S^NCd|9O2JwB+Ur#k@*Xz&Vw)gK-Oj}x-s z9FBZ#A5;fe6<*e7M*&xj7ZMdSux&4~Gf2z{L;d{d6BFp@MN`#7Kh~~@rCAtnz++gq z*GY&>Ox;LrsX=)~Y2XAB4VGZnSJ0@&52f1LKGNyV5SbPio8=I~{8N*IeZ_bMu3FP$ zb_MBNNr&XL(6YZL!_m@bicQ!_Ysr8~4`u^iZYst{C8F@(d3NmK1PwR{x6hK_QdZ40 z8Id-~gNDqEXV+WfW7xxR9oOY6TUq$oF_b#oB@xR^|5ot&m*wi}I-uFUv0~^_L=BPp zl%9x@w_@eElmMqhUv5-!-GZ18BFeue%?rdOf`B$G<3bCwV8t{)PN7v@_ilU=M#MQ`AObRLztWS7snpZlDXsSmbeS~uo zcI91mmwJ~)69K7Y?DSar-bmK6HhfKVw$Hf$<8AQ##lo43^<6gv+bfuW2uD`?trSiKt*=2iVb2YKIuh00+r2-N>{0u+^CkGW)XEr4xch(zWD(&ZK zOUlXHL$*oNb<4DAR9fB-sZ9L=y~%3Uqugu;%@^>NF`UQ=5lh9{hDYYk(KlZyj>qHD zkU4oi^4$>gh8cV#z>HZ@YKho$EcI?OoAo&YhwAOm)|x(^9H?&Rli--lU~)i#F`B2`gwSKfVzIe?D8?UKYIg@3KO1WZY}-dB#b@rNxSzp0UAV<#0N8miR7VIX2Z? zg$f11K$?-jEw!addRX zdpd%|D|aMH+%P4w9xD`th7a=c@~8!GjqySZra_^?wd-sIHl^~KZwUHO`%4R^WQ+u6 z%q)poxk?;q(~n#{RR|9*dyRN8_d>7H*k(QWMhTK5!DMN9%ZdG{`5i<%C~-{U3ED@G z5;G-uHj2?kS+h{C5>2d}y}fF$GvL;WfxDmU7G8GTs8m_M_hitYUru)OWqQ-uYo zUB^RjcSyH$IB;Gw9K-}Gq~z*kOTWTE#ukK=&ueWW;RPoj93!=tsz)KEV;prX9b0@ z?1EtjtvaFq+;38xd?V*g1 z44bf5bLyPTBAPdN3|wVfVaJ?rpyyHt%p&!aWKnL8$&5L!Q0tZt_)_%V<4~sSa#)#r zF<@GBsd4syU#*kA^Ag-{=hhmXge|12^Y$K{`)db3es;Knr^VSq{@yAZYQF#NQ$>Wx zYTo-3Vrh%~XC`v4wj@9<3K6V1k@Zg$8~-L-XWhMH+zcG062aT7y#S4}FZhFzKZ=nk zN9VLxYKeSACDh~&k6)m?P9^N0@InS;umcN*(fq4r+Tn%}{q^1{SI^MbXa}QF0nj0s zad=9&T*}98=NaVZ%|Ya-Dk94g?%a(Um&Cs3CHM%G?!lf13#jJY_k{d!BmUxA_qHe95))a-&;9 zPKjcOBs91tH}rY2fpXl*QxPT?|J(#8e0l0e%VK%y7I>SFy`5uA5~g;Xeh>Lf9M4G6 zmwa47gVHogg(F_*A|D2!M;hEGiv7;Euu_J+^@B*ZswN%h@id_FWZIYmIdHI|#tx!0 zw23Ikwsr9yK?sBhp6vHOYr?egn$I(viJ#i!-oCK!Msx}a(~C!!5WS5LfdioSv>uB_ zC|x6MkL7tnT+b4>2Ij1;Lr`ZBA`rwMD1ITldls!7;wIu9(xK^-Jya*d=vix({Xttq zA%hC8yEWC@R_fr^PqKGBGU4q|JJ$HL>CNhWoyC|kfCt%269T?Vfn2!6A^Z(U#ZBdi zX#nMvCM^r~{e^WW@hx^5BM$1sK*vxi{2g!2u8eZ05n_n8i?yHtlA>QcVAD813rY+3 zHZ++Cg#Pd)WWGYvEpO^CKc#b3-zP7NfDB#7YB-eC3z=ie7Xma#$BCVu_whIEK5?^a z%dmFe^-c+*7AcmSc9Y@Eq|pUI#;A^<0RF3=^~X)y9~dR%7ziruq4TGTY9ID`Y2aTY zJ`)9UGKQbWSU@;jsFeP%#uy2zJtytw*VezrTMTEY84A|;6>J#bnsrnuu#62%!Uwt-Akpg|YV{0=!byv0$)LVm>}5(e|t zxsG%_?#X>xbXoQeD38_p`ukY#HUHVEyZ&wZUWFV~BgS~z-kst{&$*-?CSrWGzRgC~ zYCp$#&-t(k9);@(e~9OEFqN1Hj{o=Fu~xF`B{kL{;mG0g7}@gFZv(tQ7R_(ClL(?b z=&bvrqU4-!ItV?tA9?S*oZ>(w%xGeTHOUZ~a70iIDo^WPS40k{I7jhwu|x%thS>vg zj}A<`@`ra-<@52x7Uvrg)Ek!1qVVy0ziXdyh5Tm#+r?<{$Nu*ZIm)xQsG|=Mg-;e? z+j<4UMc>iVO+9)^i)h&FklZT<*^YDyH#N>2x46%=sct z!15*3t?6=Yudi%N^s5n0TS5-zq|ngiaVy#)C}^x5{dXTX) z8=4Exb+#jZ7y!4O2o{Pf8e~@z4*81-nc;}1<~px3)h1Sn%_FN#`b+VjwZpuEPJ1qc|iBCY~>~O1IVd&X*4;r`a*K z4LlC0f88FN=v8b=#)V}Fp}$#0A5tgv&1!^Z-NyFfV^)tArsRZbnzf24#z$Q(h-y+N zSQ#q}Ou@C*78*+)WmJ3H77eTHSH}|ZN#Guz@>drr3aVsG8^wp~!0=Ue;=8HXZ}w7lmd;B=o{2^UueX} zW!yMagVqW8^xgfBl0q9VoJ0;CwEX+b*HBS*Otiu*M7C9mebhb^QfQC3oMLMp0wT(XCrng^bCg;4JBU+C4QcUW$q zEBjbkv#D{@Pc)oBAG-HlI=5?mEvNS*R}G5Vif`HMe}3nbEID1^RiRA2#h!pMOR%}| z$|=GtB2<TbZBS1qo}6q+S)kE7)AJ_{3}TJzuu-d%3_8gk1& z=*MZ>iGP-tXR1gcm&(#s8? zH_?+14WmRhDbM{e?pD45ox&9T?GA~X;u<+z4x+qk(r_#9JG>pY(}L0sRX+5N9~E8j z64sp=CppCQ_Q)SW@GhB8&(cdQ5fi5@DQ0GF5U4Y8W)V2jnEtL9VBO{dck^ZO38khy zvZW_P*!WQ8U46pugy){8nZvbD2vSyO_@3+N8~piz6QA?vOiTdC2pAUWuPY`Tt5JEr zf#K%nP8OvyKYZID1Lk{rKML&tX&bOyUOMeoL3h8iKAvGUVPn+x0=sDZ4>&M;u`#GbsT2Rm=p}L!JEmMW4SXOuhS!&O=7)%4O%9k6Jx6UUVtfi_US~|@O56@&8 z>o*u~Fp@(qTUZ!N*~aedH97p2`V%5NP;70@_%`~mJGDCC%Wq0ko$H}Q9m1pidm*<5ij8XUXE zn_SZXUeN;POb21}*sf-%)V?k#UQv=8eSZ0?cJ>GT51;Anjp27MoqR@Zu;PXM z`k}XshZK~lZ07@d`)=7H0Ye>%`?%4T4-1M4v za!@vt@WJkQWvIWu-AMJzgKu~+;ufqraO*t>u7TKrHOXpH2*N|oA#ONUR|C4>55cbf zS)ZLU_NiPQ3pVoiJ-_Is$h#B~bLuTiG_9nLop=+Z%%MJuGr2g#oSU>RMccCKOT+*} zU|(n}AP-}RL2=dSC(!t}Q|0KYlN@=%BOj+qNQ3nkSx&|;8L=NH)A6|=KL*_O=y#eg zt}(-M$JcXCKG>leq2+>W_&U!vWq<4$i6PdK80ONxaRm2(%>vsoa|h&63wrA5s+nE) z5C`hqUIpA@J!b%~gGgbhWhT&+0*Qu&3ExYV!P;s@p%6 z*dA`$)uiJyu{o+bb@!Y_AveARauzO`W(A4vnRv^U6Wu_6!^=~i&H(Nk@lyh6#av4! zQoorIy8KHR!Z2(68B@{!@m{c#FZgb5h%b4eT0B~2qV{984K1$waeNHx3NFw^%b+dP zt#}E6f0Lvf@11G((ZLuLng6!+)$8EkRGt~D1I0tD_T_?yZXmh7tS)bx=3+BSfQ|o1 z-QRa;j16i_Nq#)r=nhkZV)Wp>pGge`=u^2LWlLK(2la#b_ZDaN;~>PSWboJgU;=&@ zd`-Csxvh{-utIHy>$!u5YEi%N1Q`-4zu@gjph`jM!-Ly*WGv|tmeN>F!F09*!41@$ ziH!9m8=bVn7(9&3=dAe$K6P8vQONp5De^j+p}ijy zYHds4G@5@A55G=yyLwZ8j9w+2W16rQG`bLdp>4vxMObOTJTEReKG=t@9@4qkweIG% zz#qh;$Qd3j+Q)~b7R58{^KlMWf-CxazkFU&;UnaZdZ=sd5>DHLy-St#vP_n{60Dtz zu*VpU8*aU4K(Z6FcDCFzQ}U6JpQjMf;B{{YbJou8gj5Lerx+FCJtG$zDT4G&8usf8 z^AZh(Q_VhI5I$+8)J15aJaBl99&KW3hVP9%)j! z2->gLJV>zlU&H6-)>z5IAmMm`RN_rab(l4t+N*eh#wepy8n10PtzAj`oL#BEU^&pA zV7Zdcoid*BPf>$IzpV2$of1|a7aKBP{07jCiCVy3ByFl95BCP zVCXN*7SfcOalNaBMY~t41r3MPj2bH_k=Oy|fMhIta~eiCnW{#A_bI1ABp@ULWn;OH zDt}wJ%A#;N#hGmLZ0J(#2C{FqPBi25FI5)KL+hfDPHvO1X1c~2^@4ee>tJs`ZEVk3 zn$dp8TEaA6;V0u&*$IKG7tbX2af z-Q^6kU6F}B-lu@^sXP3|r@!KUqNbG9FSP#M`>m)JGdRvmzlTHFuaSmY#&sIRIYzvJ{6_4GTVs2NI72R%l`1ueHOz6=rwWP8zQW8HD@BFbd44cJklZ4nbQC***5o-QTK~Kr` zy$s>oVb@vKjCAI1FD@(sVu(Obrx0+9cgPV(?{;x+Yi^n%>m;`w$pdK-lIdaMmY;*%cFED0ta}C{N0Y(lq{X`4g7}sA;McK3*Kb;39}Y z;$C#-2d(!jsBYJ>*f-4B$*SrtJc{8YK;^_+@XI!Ht1Wx9;hH<^-@)5ybb}V2ygvr% zI~2Fn61#?uuph!SI1?9&{ft|}g;<&MYmdW==?u?BX@s@^8$NVrlcj}qgmS2Nb9fJm zU1QH}h*-t5p4O2gjW)-$QPvNo_qx!5m>A7EyzHXN9UYDSp=T@wC*?F;ig=esNus1^0j~A0 zHXYDwD<*D@OqxHfK;UMr69Qk}jI;g;$to!5O>FDgY}r7lavC8iAI&dzM3Q4l#ijTL zf3bI+rix8fnMsQ&kAKwYHLj+IYTIb_ZD?dOY&RaW$~4%vfg5HOeyb+u`mKMT8(bd& zPKjGC5ZYFoGS~Porf%Ngh~5gJ(+MdEghB;Y>+1Y^B;to-T{q#6@sStla4@9xpqlp2 zcP#0=am@rlX2o)4p#FX2O!jWGSZyg=U@Y`)lMm|HTXkKh&=9B1oe$EV{!3_>fHvY z*UoXlV$lRy3jqgi?$1hHMw)qkrD;@^?2`^l&QjsA?_BXOOPgkT`jZ|$Q+1y5{?C+E z4$=D4y+@^X&(yeNde~N@Enq0_Nc-`R`Qc5$I73>3Sz)ssA zXup&_XET8n7B)8)#X^1;B3d1NwZALqC@7Hg&yi%Q`FCdho_g6{WY%$XI{MeFvoz20 z!wmFG5Coi}QJy+mD*g3G1@%|`S)Pd^8GWz+E)DKkTKZ{K13Zm=Ne7d^ihz`%beHAd zu^B=ipLz( z(#7Sd=1?z1#w|IK=2b)nV49Cn4;*J!g||nfFS-!C?@Ne`n5<|M*O1k_XxqUpri!`b|)7a&bZEBs2MD! zeC?x>3_pSIMZru#4Q51azUm)OBb#U)4)Hj77OIb>7JNeCz042JAQ7a@Hcf8Wkgg}a zt!p7YAwvu1q?WeT8@ky-c%H+l--0oMsTuWjD&p(6gS9dg9KY3NKI%^y?Kc)E(CnYN zYbptw^feSknOmO6+zi(+gSv1QyYu=V1@J+5{-?DaQ(qGnGRpVZ zpnjDVERewN1rd;nLIH5)Nplj*IT&BO<<-!~YfnNE$KPr%P>gNRiC{+ti#}x zNXWj-#6Ik2A{FF6Z|6gMZJM%cY4~o(vEfTZ;}|FoA_?r@YW@a-p6n(ylWeLYY7~_! zDdez~FY^@Zgo3yvQr+eyms`P;>(a}@I=qZ$B`l#UF|RbAXo(0SzX|sSSQjC=Bp2#K zv6F7FRekmzq14?^Bg+-?SvV0{l3(Sh{)3|OcNstb3JUP@-Ve;6iH>%j-7|iDh9H^i zoq8-iBvWsQK>6@>ApeRqD;bh|?!4V8EDq^+##!pjH5d=PF&J(dGJISfz6#_c&Q4bk zMIOgxyD>L8W=A*4LjInW_hHaV)loRHWDO&LIbmI&`H5?O7YFCS9<26CpK%;~sF2lz z3yc~`P3}bH6P)3ckzz;6OMkEKvz^6(UbqK_i3TT2B-X{d*G>6}<#x0Ct zo#0LJVMH6+o60oOHSaqC-wMZGl4>te9dn!-4LzE68|#-3S~u;)$YL~d2QDuUW@pFL zusGS7?hcOvax$CrNL>4<*@??U#=d^6uikU>9?HGUM+~pNAc>~-o3TjZ`3?3XZM~gh zAD^}QwmwBirAzZbx2)Foq#k9QDZ&82^Ze-W^DeVdJIZEJv2SL56Ey!3#K6 zr+Ik&)ldZ@11a_EaS~f|piQcJCb%0xT_H!vVib*>`&>$2W4;IuEh7Z^#pdj^bkvwF zCCxmVk7J{Ff)Kw;Se(CpZMJ!*ex#+Pb^e*LXpP^|-|vTh7Ft?`5fB+ATYKtjk4a=W z<+2pK6~N+*JM4QwhZ=KfE_K(Vpm@axe-U^aM z6Z26)wpVi9Ao_kPLslWf0vFQ*MV~BGL0O^Ul4Pw;flx1AkE8O6^5&QfC8J}@6Gcs+ z?`Yp=T7y+wjTt zVPUP5Q=do1SfLPrD#Wzv9bK{QxjktwU5>bu|GH7|L_lKcnimv{F6J;aUs0welT8>1 z%gX2-evxBLai>(f7yU6@2vsL46Tgsr3Sbzxl!?vt&lS`$%B4tAfG8K_D5eKj5u)uO z?;gtDxYQ=bUi%9+OOyvqW<_Zsr$I#5->i?c1Zu9&pbF3UGyV;<5KYm#B7?~1jv&%h zsaDXMaqnJG!HE&Tl$}hzodENcNhBZ+uM3Gx=clTu1m`kK#bqV#B9M3t|2GBS*$qqA zH*?E4$-Bj%tqSr@6-AJorE`dN`a!W2f&tYL%#6n1c*2Y`0oAisU^Z)UbPm-BMV+!y z)1k=dx)>#8HIFfsb7*uV&EkWA_zf2q4gX6)3I&B;p6qBMyr<77p2NUb z{=p+bMDRk7F=v$s(A;nn6pc`9WxF}vq9M%3lkiu{_I<*7dw10TxTVm!Kj}iz)P8tO z1kXT;67crtRR}W?7O9uLyR{tarG+X(Z0Fcn!vMh`z1R(tpHS#Qy((cu>D~44WA~Lf zG=(T3->Yg@jk$9;h{?zOV?qm4uSTd#7euM4FwoG_`YeHKzBMIPjqVm3(^mpqQ~vts zFTE27v@r73K5JRxCL3>!om(*yZ>T(44ixtm9z1wDMvjqt7x1PrC7*O;HYhpKH7h-; zW$6)oO`&&ZiKFg>Pcsj1S%@Cg;}76slVT=tK;7k?Rz%j7u}XZge^Z!4!~n$?;{sf% zX&@&tXJ<;wlrdbK@#Kng8;1+3xMCylIG&3OLeyp|CMyHBxHcRpdw zhKS@CVu9^+6Y)wXeedM6^OA4CBd&afPR6XhziI~$Cn*>bCeRAk?r=u_{S4gg7}bH! zY;;G`H=7(!6o38!W5T{RtR|R93rCQM-lfX}M=53bvHqw1s9&Fx@QIsz1rj1SO@!DZ zzlOUS*knU@eNz}&H%LWfT{Gf1`kNsxpe&ZO?R$v@9pUicWeL#OL=}U66=y{3nqC~z zY-!!c?a>hA7X^~{(>FPzZo?!{&4Uj%KU{XX@%1E+8CgH#<4HcI8V$;A^!vbnFN<_p zvR6$X0du*wT^#-}q4^9ERBq#mwQ~#T{n4C1FS=k2R$8W8*e)aAv(~oDIFO&oWMpOk zeUC1CRR}+B?W%i2g8;uop@L?LUj1@Eo((04O|}!I%N0Z{vFoA|PiY70x<_bP;`{2^_?bv?$l{I?P(~1!^HS;aca|oA1nBsy{Pw z=?a#}B$T!5z354tD#3g<#+50tC+B0S<#YOjFosv-+gnAbA%TNCmP1+EU;P&iT!nV3 zE|aa@!4)D>vcj3JD4p8J?^V6RX2%c3wIKL(w#C8;1MB68x>(I>n?r#>1k)G>j^k_? zOBYfQFnc;OilaAw@MM6ZE7sJ{Amq5Va;IfeHIv0`}26+ zl#70462H||q;VG7cT-{eI&on~wU54g8QPBzhGNWyBi9&*7|m4#X#F|_$3D{w?zNVZ z-y$@b)I5h1kDT5G&WiiH<&wul$KIuO#n>H8FI*oN$hfEpaYaoQL{IxPHB3AkPdM{I z?HScqp-oGm?QomT=lX*xo_fnQ{Nz>yaO$@rS*92%TSPaXo$7z{CnGastH|adr+_&v zh(>i5e^vv7s{i8n)AY@>V{aVu!!Mk?W4+gN_fu2 zgGhIg=5AoDa6=|Sm;4qdeEtP(0y9;S3n-c_CWEPGXtB9MkZV-gwN>;kH4#J3sp{)1qx=^YIsHZ zAUT3Ah7LOz{t54cQ=OVNsQmm7@Up!R@4djgCx3cUbM>cWb{#vBuV=1!<_1b)l>j4% z49hTu^zfCwoIWxjR<4Hra`I5ne^AlZwilZjU?}$Vi!6ZTZ`o}2p|+dY`=nweIQnr2 zs6^psVOr85$>R?$jxJwY6hSZg)Fb^0kEA z^R3+bz!<1BBZiAn4OY~C`Wc$lRb`D6jUrPuwoFYanM|W#^W|p4%c~y@KDDS7xx|JN z+OdJvHH4x8t~_EV-&Sxy=8+<3d`q!Dj+-{}@*J;HJ1dqjF_NrVc1S~TT@edO7XC$= z&c|*pauCk2`v81)j3#SCKu(pc`w{i{JF6(r+{kcqj{Zr#X%dZR)<{g<;c;z@CU}{P zUYM#6*pTUdFcylaIQ;P;^^aTPF2x-lnhH`t%fMkBTl5El{kxZQOzxUCsgRq4gI(_v zl$)~V?v=VkH1*)(F*ti;&R36v3^BHVj;&d5%1lQNyWWbnCL;y%>OWdMzohY4q6jnXAp`>k*m!sY>~E5mgyPkQUcuWoGn2 zj3{C~i5ByYp$t3k9jC(*_^YYJ(hc%Hl_@Y#9rtm=)~&^^tDwfBd$|M~H^5+m3AO6Ok2+2GANoX7FvyY(ULG{ct6w#NoNIMvjy-i)>OlPg>+ zMcIGZqpH-pX8<6KdO^onnCR)<9O>SW1m zE6YVc2U9smP-sWAVp-_aXB=F?W4FJRId@;DNCHF5ol!i1hY&fgzGyK#k=08%&bwuP z1HJNXq2%4=3K-cz(EGJhm#ZxG66RQ8>V4?PRh zfK-7X5LoFYBq0syRV7pbK|lxuNstXCK|!R5NK=Y{bde&`ktS6IEEJI~=LvpU zif)s?Ws-2uJ`B+m!OT(%_d@6-#XjqXPxVTA zy2MO|{8VcndTNGKYP~G_{DIEw)CUQl)^ydTQ=;1-eK^r2N(t>dW|xzEo_R zo^rvY_U_HjR~J^jI?+YCuVz$-K6QH~7x6=6546s8H+$$!5z~s^{4uV6NlrWXoPf2d zhIO13x0qutZ^l3clV{pE(9ZzhiHBTX{14&@1(N|F8TVO$&J(cq9`@M3Fe4BGBNN9X zIB4}Zn}kCCAM1CH`H%GoEB=6p-?`}#u>@%n$k-51Z~#J%avFiuFhu+B1KN5zXnlQ2 zRR_Ei8LX<4Kkjax=Z)2+)tsHMWb)`nB&p*tZa^pmgvR`{ebL>PbOdFOGJrwG&L#x> z5tjr)j`oubMaele?1^@6co&kh8xZmXdS1d~NjRbf1R-nnFXnkP_BVBPC$n87NC%H4 zTF8ChQQ(g?{3t3A{Fn4S8W8-Qzmm_@_c6%#Z&v@HV&cD299e$Cs!%y3oK)m`Ff3_9#HodVlTMUQ6{#5hBgnx67W(`fS2bm^+r z$e;DiteO=2AEC#h2^&-)iu-uM7j-x56wjKD(^oZBxqA7)vVn%_9f zd2u%=KY`Pjswp%2%g}{mBSJ!-(sDj}2Tg`K|$0xf!+~^>blm*Ltk_Od%Lm&#Y!1PJz8{RC}KB zqOZ`rccURJFgmN)a8oXTop)s(jTk#GwDqhrb`Zm!J0%=ae#CBt=_n5eMi=5CPT@CC&TJv3;Q#R zs>ii`$(nCCQ6}R}O>BlwxG&Rl7eG%IWn}tI$7@-AG+HwD_#m+en<{GGJ+&9)xHSC~ z-o0}EURKwOWBbg8HfnkW&eibAb+c>HIAEek{^^z8g$T4ET6#^alSSjm_gu% z#|dJq(6;W11k6wpF4`G~Y|X{f9~J4jpl+#vA4>w61JRogdasvKM`dLwtDM z#IK1EUsh_`Y8qk#TvY4EZc&aD>Tg>=iR+?F=nG|xIeWwUi`+itwm?o@K0{lUO9;U< z=|VUk{=FPO!9Zsa$9n2*J4xLgBL8{ACgx*FwN2w}ol?zineoeuHAO+?q*Z$WMj@ua+$M;+shPl?! zNz}}Q_=+mS{>}FSuNs~yOXT>8yQ zY!(*nIZS4q@n%^ZdV(basUM|R(uo3pu%$<6pvtmwV!oU>11Tk0{W_;Y!%cu+r0sZt zd`*0e`YB%`rA31oPo7V$tnWcgbj&7`p@{P5!#V#__TIy-<6zc+=a!=q`C*v*Tx&ks z;)ahdETv55ZmP!g+#NH*JNnWdSR?H(IaG=dU?Q6WYPl?h9%dR0!w|eHkvGC{259$9 zL+LfgK6&b>waCq3BZ>Al1=`@mZdzww-lX)olT3?kfh!@$#YUr_^JjF+v!$$xsb0Sy zxOhT0$hky`Kq=}8(ms80$I8}3bYzYtRdJM#QSLc}>zHP;TOMxu9ZRSV&-k~7GunQ0 z?pkPj?6)nKwJS1~lJbKY`rfg#PJ=aak!Y4xDehV9dw91`8(ddM`?HIPF`BN{OrGD# z>1~ddCR17=w|9Dwk6r@YoMF8R-=|Za>%eib{h;6mU7Ou9{3~l$>PH)!A_;bY3O6Vx zT1$?%C&>BaQcKLhVhf_p#xyZd2TlmUVr7J$mxWi=d90HT?ljlEz1!lzQzbqStam7`@i1So3o-??6~ErlWp^O^ztpQ7+ik! z^=TVaO05pYa1)8Q%U`}(We|$4<5$$2(ioNOq&)1;i6J3G5``MQt(xJ%f4o}`=6P9{ zys9RlcZU_KxnWsEI4(RZ-Ukh_JDoP!^E{x|vv1+Eq_^wS+q=Mh>;oks+hv-1w2z{~ zc%HnMsOz?ZV14}87G-`46P`lYb?;yr+Ct@sShPE?M?2-T0Y|_oCSH?;0sh+Z#>VPP zp9K2Z0VBKdxu6kwjv4P0)$OAnocOqlzfi-cXvwNRrKlDRtagI3m zuEHTvncCye3lEv1X5zjWFwaUVs4pV5s^1uO1r>4W30H6n=hjb~f_o3T4IorrNol7f z1}XqN+TrCLFne{~lGgKp(k`fw1z#5=!XSczm3cbbLTc=jhFQ53EB%2*!Q3k-ock4| zZ3}HK*)Npe*gzyzR<+Pg8)yT-&seUXxEw$_5Sa<3psvvrpdExO4f8vEE;$w%m*r`A z+oj-QzIMjzHbh!uzpmBuB*>m$or$+a-V;y(r{!YsnckK!$@dCB&npeqP9{uVEtSzc zFReURm4Gbjo>hBNvo4kM{K=YERXXj-qKKCb4A`(*{PgFVb2Rig(fVzNk)2p0(=Mjb zplZ_jO{xq$Gsn}_nvSf1qW;LR55T*X{I6^rnLulcUHG6(l`z*Bp0L$t$HG3(7Psy& zY9io|c?=>O`BkoskwjH*7vF;t<4rAI=;fYHreXBAqZD!2BCVcY;O5W}jJKPq!Zol3 zkpBY)pVZ!dbzGVJV@uF`DowO5U$f5;h(6A(I}>8(CCeaBH)>v=FfZn$GT`+^r~3YY z9t(>@h88_A^8PVhUcH1(SC7g+&E*Tt1zILTop`jGt}%7*f2O%>tiCD_bA;9 zjA(lr`*`r_QrY~AMS*3&u4Mv0yG&ty0c+0eU6kAF;!m#4*FGqZ#V=mOCDK$UXl+!| zNLA;t9!u2^{>Ix_*8SLj?@ohLAo-Os9sL#*>?)>Z;8m5A2#%glgRzRbD(Ra03Ol!I zi|QZuiq>63_KU$UsknVFM)fN4^)xe_;=6heDlsk_*zI2u6ZH@ge^%@6h9rVQk#H7p z0#4r{7WKKTFm-34NvDFky;EvHpm@<2bHoRzdJwl|V*~sCwu}RdrIRkp^qBko-Nmo_^XSFfX^7zmXP0B;&5tpqxpmDpTJ?0Y zQ$~&Sk#3#{PPUjXW)MR^<{Rgu3}`=d-%T%J?d8;97Z;n)qx{zrcikQpXW{tG3_4!2 z*rQB{{vR$7&0N<1w8TuX*=MpB;)X&iV=li{t~P!Yd@sw|;BB(f`A2q}uWu;6@2gK` z5^`@XYwc5bX&-fyxMO`5%P$Z37S3?J$t&G3aG|F2^UXbW*oOt|3xiuFHzHo{1Rpl< zJUGZ^lZ+Dg0`y;51M6w?R?m#gphxcC`G~zf94)Bdg4VhC1$0h3_|}N$RUR$r&AE(& zEaq!ev+{QrDt8(%Akmb_Qr%n%MZQ7V(S#ujg+$D*<1C6s(n(srLz}*|7%+T0)lhfo z_y@5=(*fp?ym8fD<@9AaPG|N$2Hd}WycM|{khC*CWd%A224wn`Jn!36^&tm z^_)Heor2-TYcI80INWkPtp^{C-moBFoO`Swu6# zBLnKaX4>33R6OYKxUsO@u{3F?{c57Lut<1eLFAiode)%I3s2@Tu0=YN$;uIlYD$6I z>4aWEg*eK5AWk`iICrh~%luv)&p2H}R2pT$1IjaTl;OEN;t4@YhhEZ^zNJv1w6yvD zOCk`|*<1;s=@SF&xHb6$5!&mVzI*%!<4F=HJLZX|V7qhzQ56>m$PrY%>%bY*p0SV! z#%NhD3<(YRF1BP0Dsk z%Gq3>)vq()>gz8P+hw8IQ`-~oMs)ye8<)C~zR0h&uUns)FfM&i{Khc3w0i)et||C( zxj6(z>#a939&I7M($83bsqlk6~0Vs874nBEZBBRJ=BeBwi+jc{brqI&7*A&g_qJW9jdtMqLzjL<0U&gVa z9=fK+?^k}W;nirH+1oUYN|+8;vQDv2c((PPnpO3#l~kZ7JMX9?NDmG#DCR)MvxIc}9Z&!AYlaUoxzdqa-(fd49|kE+BUWuC4xR)gFuBX%v| z@X{pZyL5}wJbCJs_yUKT<~B=04nmyV8)T0m;hhOT*~|oZ3RKGo>HpY_@gygEF^9cy z$QI?B40Ch3L5{^gGyj_I>?TI&Pp2v3da}x_XTJ^jCR65>SuYM!btmD-W9ZgwmNL!A zF>#QNz2^;QH!M+1{HV-yw6`PuM@Vw>5Z2w!o*Z+imaf9ouS8nSVvc)Mc3CO!ZKEZj zLfEW2`mTClj4a+v{GZy^!_EFG6N4-eiXgB5w*cWV7!(FP8aY~EC@7gIeBT5T{-?f=f>Q^5e0{cxvLg8?7@&D6YBou-CH69X*LXa=i&psI92ps&P zA%D|QP$Z08{Qu-bK~YFD@%UMj1A~9lkl-U!@UssI`8^&|?g&@>+Xt4FMS#hWpt9tCw4djqkZ{zmIZ#LhjNHBUQw|i0-2U~O20dzA`^5)?|C$$t zf}?(qhlKp1L7)&Q_?PQJ*5r;F-u|6~tice!X^7wM7YG!AIATmc&qW~MzZ@^wN4|2u z`jE2FU+x!(92kZo_t*U$kK~3SlPWhR268{$Mf^>B@{NB4x7<8k$X$Wtx!)W57>Fc{ V8|lZR0S3dF7=(p2^|hE7{tpLAtEySuyl;O_1YgA6dZySuv#dT=|qF7JEq-S{?ke{970 zQIVaM`D9gQR(C&r$Q8vT=$RQ<;K+MNC+892n2DH(9E`2u`1s%$RjpiY&4{Q}R5{r> z*p&^`nb{dk9Zaa<7=_(jEghVRsBFyKt?UhK-M&Pk&Splg|HvFo%_WRXzJ^{zip~y1 z9v&VHUn2AWh!{*9?7o!0E||KRnEk)CSs0i(h;*qmtn5u4JY0x06^TSGt?bQQ%yg;$ z=flduUd+h#3zirU3lkFu6DuA?*TgUMZ2w0T5>~dZU#=J>Y`><7 znVC44n!z#3n%P^pS`x8;=_&s+&&Bl%za1jnkH`xR9hVJ>H2=q1A%ZgL?L;EieQAxu zzOyqlyGAaMf>Nq2D%;Jxv=mQQ*tZiN+nFdwx9toemh<+?|XM5 zUP^lw+xHjnhgEcHQhShx0f+lkz~D(4w*$#UkN0e>C+Vp@riU89_T19F%+*Ue){b6} z;&woKc>wjN#=FOJ?E@k5#A(pO$2G~f7puKer@iv^*9&nx@k8j1{Kq3eHILtCe-6Qi zef-W_x*x`q)&X{PfOc?UE$K?{wTI6pbH;IA-%&YpMoIwd2*}g#z&=Oy!)a_=f85~2 z+kbUDHrcUXoaS+3rmlQmdF|^>r;!6%0Qku~7tgD2YyziR=qcR%Z@FNE#jD7or^crBpM4YA73Ms7xq*Uw!ua z+&c~BR&0smpLI{-M@fas1)oxQ6v9^P&iHqr+h)4G(&J4Su|6G>n|~Qt|D4hcot58( zOxA^+mA@3o`3>$E4l)S}YW=zPLtVw-ld7D1S&blh-Wg}(zRg2)Ww`Y2-?qjXaPj7H0q&5b-P8CdNmD|-Wf3|SgKqZYUxHsKY zAeKRa1Iy=X)syt%bRkw&HHOok!J+AkHf2qy;F^1X8-p~Sr&ReI1n|Uf?mN5vb>@}= zp|z8Xrr5ae@=PXc{#zJ5wCzF5`3L9*F<%Le%+Xxil*zJ9NUs(CVwkeihQZZOPHrY8 zpEB<)fc86#tfLoGO?N10F3#tkf6OI1G64@M`Yu;@P+vAerVVlo`Ti0A^$CG`9g%HE zn0#WE^zAF`^Cmd9R<7wlBtw&=E~|ObzO{OhmL|T^k|saKwq2+#qGc&N1cytZ>#yvP zE)VZwro3UZh~W8Ss>OGE&T!um305_pS^t6;2{3^BH_M{b?1m$^^_a-rgcy?Cs81W6qCbEJsGcZ4LsNl2ny{PE^{2 z$lDx;HJHWPno002|5))zOjoO@=BmY5Bu~vvLC}Ja!Hl56IF2Jz3tz@AD7rOKs@$Tc zHKJHv`zhz@F-oLRW&wT|Z%!YE=>l(@OC4O*a2W|XYF_XWlFn!74LXS(j!UZ(y)0Z+ z$uU^2*YONtF_|pmGD^08&#Q#dHfEf@B6-Zocfjn$K74gv$Tk{*_S<8ES1JM!Q0kix zNP22(%#=(Y_DnBc*&_bkE_wBnoG`n^I!oSssr_!yse{a~7k#0`KF{HnTZw{9tv)9^ z!a(YUJ2Eo|`BIJUTzVgMfo3u0g3TW1LuW6+hQ=i=rbdI+kp@Mxeb@1i;GDZ+UXvSF ziG5GN@t;P^=Hv(5tfl2HFbR-%+9RKoztfC8{<87oQG5M?m0ZAP7tslz3Dv+ zq~Iq?8GJw3?-BMFS^F*`D74n|&^QvH&{2Kf^;;yoTVPiE#sMfUAzfOt41O|{ z=V0nI?rVhIT>U%pur_lYWRnUtRqR1Qxs{ynU&a6xSMmwNZ-B~hmt<(?vEu`>VqbXC znSbpC%Frd!d3gmIMn73zdmiq(s@V<2P!8ir=9t2mS=}TLU=sZSzKJ5WuV_4_I#45i z;2xpL~X+Zh|X`|9@>OQIb!#0|Uwv{rb*LzXq7CbO2giF2j? zCz!Jj#EDUi5^pDkG-puCP+C-nHydjP5FKPMpIRvNnblxI1FwK3w1<}LD47l>!=+1h z_a_I)1Y;^Sp~@&G@ZpZD{0K!saDf~{0mToQcUH_BzAMM2iM?3>FH_}c?VG8H<*5%S zuOw3+p}sN#&!5A<)YrgNc9Syx`VHIVAILBeKv$NJQ4K5kltQFcPPzDGXy6(?zYGBdeGV`-!`%GOXSJ=U{w?vX{&7 zT37bBr9Yq#qal+iCFg7-Ys9JI5?f&62p7V{Uq@I$3CA|@j+w2GP#5gL4Ms|qjw@Tm ztv^P|u<0^n!LJ~;U?abuajjCplLTwROGEPhN{6}FtOw$e>DkU`7`4)Oas_5+|qy9pnubUQt9!bnL~xCFL3ywm5P43$s(p zRTkVvEGh^HQwA~hufC(duh<*dVU2-o1Ombw1_Ll*gPeBvPeC*xB)%n^1Pk1CtJhM0Jo}274sLtzi6#ujc}zylA3O?NEZ-Rxg1rm zz2~c7)F2p09o2<+{+D?-k)-+b`)zp8;wU7}$75>V>&~Y>7eB?!h2)cZ<5?^suKEzj zmjVmCD@X)oL1r^NYxAPmRUm;G*v1j%kRVj2VXZS<(17-?hJ6O&4Ty%;x9PR|#X4%t zm^S|OwXP$~k?B4%WHmnKAGx_3<#A-;I-9q1=(w-J5K==ILY1w8!Gv)?z1WdJRm~5D zEXsrj-shx^cxHG&PCeG++(s4?iq7$*_~=~xN#`i=A_w?Wv{-I0a(_LiiS_ z*ck#_y)n`j=oGs)sL~d7vzb!4{_NPXj~WURC&?yCJGxM%Cm%*?3Ao_+UZfBfJj4L6 z`-pzb6w1c+qY&2}p~_Hw2kux@LLnOS2yI8ajg^K{p}#KjbWS!kw?LqZw2;_xkeP12 zzZN@w@^PoP{kQm;ZeNJ8(dM+n<8i$v$zh2*qV7b7Zw9;z06NdnA~Bjm~K0dF55iW`j~_^)D-NIsD|66EYb+hH)1_+sur zkJDckvkg%$hfwiQQWDlbHZ>u`;yB7ObbX2K`^xjfVV=*UT!xakDiS|C`aJSx!-5~M z7f_dz?Az{eDI$VDx)pq{4(o`C9);TVqFB0q4jZ+6TUeCGsG!((;5CN-tf=mXS!X{V z?PR|q>6sJ(vixL&MaKov*l(7p4EWr~c@V5-s5rLl)m~5I1vvYqSmy;WzW3#8Qo(K6CW6l7z)-0xa+#tc7;B3 zv34!`UF3XMli=cGx^XFcD*xv<*S0Xw$u~ame8CMb>Ss>P?r!eGB@sS_K%e;zhrlFZD2N|=*}Wj(G&l~;im z)9Tks77%K6$w0~@TUak++?~Q@$i0)X%I}g|H!GK5TmxxpV%ofB{}xL>k6F?fPaTk; zmyxWqqKidmtA@m&uy$cEk=jw*@N>D+Sv_-}6(Xd82U_a&5AiPYEDYPc+mY>sFNf0s zO+2!3a@#>t*zfLtR8g~Pov)@6!dl4gmoJTVb5D|9WyYHWsYmWPYbXLxXbLF*V26kQtuyF zBQ1C+aF0iK-Uqp)Y&xyug;WZ<>L^P-77WoDfQvoho`pUC-MB~N5yS`p^NKn*w8<$LJsIKex${!us<^Tav$2AX8JPFFng=fMnjEPNZPEd{sqr#= zA<>*q(?1SAoVLN{KnePjzcI^YLVy3MoIMek$S|HBfjp`oN*vg5_~U+g`}E^)wEQ77>i);M+XaW-$Vjd3u5a&$-GVPVwI#T|J-IC zdCEIpL?{q@xkDvWO)pTSVp=`kt~w@?(nL_71%U@zNAMt64!11*yu|6;4%VuLZ5a^Xzg4~-NnWHGf zuAmg5On6j9je$AuV!NV!s^GVz2g${Ic_GT-kT+#X_2HsOo|U*Z%am0*Uq6fgd082V zIbtgBuoJUS@F=IxRjdVA41f_j39j~hlPEFmHkJyRc%jeb_d(2*md@TEh2#wc)iwoc zUapwsCS!|=_@Z9mJK`7@_)t>o2hvg2GMOq_DZa~)Wn5@N|1ePmjN=C!8HB#bT4+$W#I76Z=H%2?=WKgTgax+eXnp^{YHp2b;fujGd7sk!d9s8p@31n zXgOJu6fm??QS?+WF(zY+b3r3Pk?sl~W++>IG+s1+a)jb9TR}s{(`7dClR&NI9aDCo zY0Q}7+b_+LTsk)Mb!~Gp_~g0hGQ5#I@ffLH?7D(LaTJyEqTYWkcac{O<-G&z?&Mvw zo=ZLXVJ+Nx>Ce5E0+!Bha~@6wr*#a)PfiCTxi#w4;rhp`Ay`I6quA??)`*_W>2A27)Gx}SSNvg_eeGOMKo4Q9=;4lKEo5yvAFwZ?BzFTH)xCDu9280yWC|6!_x@LnvtO}-G6uSBIO zEl(~eI~qi6i#VvVKdPqb2yCus`xCZIYx>wD6CRx(=$Nv$qV{H?`K zPgb>oi6ofn`v`a3BrI%R;Rj`C>hrCxkXAR8ju$@9zoMGO_je@{JauYssGFt@WkoW~ zXYF-}zVDJkgzC)ox+$;`35jVi+3$RFg)0k&jW4k&v)pXr?&KtjMDi^mlWI<74b?iJ zqb1ckC~z26_Kf6`YNp&^O&wYc8@rG;#8djo^!FQdJV~Y)++NRd-M;ir$+4TqkE+YL z4k=$`8wg^cBXDQsme0(#fJ~Rg#KtE?rKOmqX(eg2M7eEn!BpUJS+$1M)t#aIcg0KI zcLw*=@0t7LvhGNiTdX@-Whj_TadLmO3#uup!BqQOVrmzb^T1Se5~QiKp3uhE07PZL zJH*Lnz8$+ZsP%MaZ%w9t&20MpH>w4E%mEa)tkb&4BisyWlT|ucu5Si$l-5)C{2`?F z%S4S7vlCAZV4R}#IE~+-WDCx~L5wYJ5PxqHB)vQ7F^hbX?&S4;h;_IrFi6!Cn*3S{ z;-(?JJ!#g2gHuj8BQWj&Ea0vd zod#maeRNT#Ul=0Ps?aRhlwsoy8R~pbvWhbcn;MR6As>Y<*$jd$g?91Oj-AO?$_G40 zJ!6Wv)=fu%$6hET@73?G^36M)OJ089X%pYxrjimyAj0ELiA*NIClt zYZN9w5$+2UgQ*Et*)~%h+Ier0_i?%+Vqj3J8LzG;}g` zwu3pP=Q8(D-3;KmdjBC>$~ruGRM!(>)dhTzj(-HbPT#q46p-P$Be`JM0m-LOsXExQ z<1y&rBegE15d~>t0P$LU8c^a)TQuOrg^Mzb5;LbS0fjq;hCYIC^?-iHc7S6PwIr!Ej3pC%_fXg-uam zl)4j=VnP;A0vd=tO*NicVA~<{*Il+f;j$-XuzQ>2`;=r^YDNS1(Uv}-!Inpjf;MUIfTl$u)4$hxs=i~()OZp1JfvIqpGiKhM z6^$_?k%A+pxb>q<<)FVm5BHY<9PZK3a&3N&{-FL*`QgF_mKn?2ys;u_fQ~f&^c&f& zyy~|Y;NBex+oQbdFF!qe<@;oBSy=}EAlpaW(IlA-E*@LmPID4Y1%IY+*%HBiAGu$p z$wtOJ_g%20_YE{r)vo%SebBKA8btFf@C^?!5hy;%vqpJQ8K_6zc!9Q1UG5~_rG4A- z<)h^CctEgjYWX8*P(8eFQ2v<`Ej+`@CE0KKebcH&OHFd3qyn{R^!))I?;=CvD|ym; z^-|*>vEw#NJp^!9&Hm}8TN5QYFo4qK!=ac~tu8t}D}%}(YA(hG;Zi?z>2_3+*VEVj z>SKtjHOz0PT^V0kXaVIC zNJFE2z0Dtnp`tp*x9-vSd>XM@5&cw^#}fYr#bI}cXj$K`2!%dLl*`UgW`u~N<_6VK zk>**x?V*>;MR4Mb>x%qKFPtQL4>ZGpkb)1y0C5KyP(JmddN|!l!ybiQ^P&& zqIr7$kr@Zm+97nyde;!bCm~_D1n`SE`ws{9KML(1YV02>jf0zs{eLo!|ASUj^>Q>L zVpKG;_+rx(jhxNwU5Qx#Wz!ht%uKC}L>xScbeO&b?A+``Tx`sGaE!`kE)H(aCT1@G zl8av)poxl^E0GT47X!(tYUb(sr6S>A@A~gm31%YB|5%e?A>#V)h?SO^i2HwIBIbXr zD}T*lBVzuCwftwuPQ=Xe-yz4BGV^~Beeru=KSt3n<6kgci2h**|7HFD%N8>K|D_9= z|3CP`rF6Yo+cu}Xt5=Qc18HX_{^%Xu?gqBzV30`uykOnu;nlpv!|F7adPKesay=(Ad0lCF*qowZsQbULOQMn~;qsu{rvr9V0hNC1b(XpR!!~)Y5&zK~?y=&UHtRv{_lV!ii@7#J_~%0Za1Ph-V-e>d26ymI zW&*Bgsh|_t18QFQ71Lzq5dZe$>_K*cmoLXBZrJzu@VqPfE}I@fK;3}n(v`vD%UL|) zJOCSlX;o`FKcGGIq9-=!X~Zr+|MO8D(`#>E-5J}4$aA~9El+zj|R*iV10Uxttf<5Puf_|dU8~J|6;^8|^ z3)fX|x5e~N6Eo)t0r!O|J>G}pHLn0h!Moe^{F6h6fR7uG=Vyf$383~00Dy-TT5DXE zZxCB$S+=q1r{LZ7b}0BX#LU@U^QL{p_B`ra{=CC6hR}rhu=wz?cllz|^BeVZhjL^` zkoQBS8!XPoMNN1kS&#cc5B;67Px)fxt?zN;^X-oK*U;4)q(~ezZ$Rg`o&IjdXZ-M0 z?YHNl=8MujepHGsTAn4Z34ijehqQ?8;z-O$;%__*aj(Mhk@@d?)JHwX276gIShZIM z0gBWQMvXJ0Q9bVi6Od)_w-Ym&x4Z_G_{~B2pQXA#DM%14KQ3l_-XCYypnANdA3x$A z^C#A0BuAM&wgj&3>n~jA89xqhAbn2#v|7v4+Fw7U%6xAqYUs*A*Httel9GGdvJ4^_UmUqBBNT;epNe+!)@l*@Y`TNzMdqns^cNQKq3vB{yp$e z`SkP3IZ^H-K;UWkli@z;b0AG-LeQ=4?oDz-??ZF^P|(rmjwMqZ9Zpu~obYj4K)7e`n`m(S=vCm0su`d>68wBFM|HUIm_TLoAwVLkc(mBTjDBE*CWbSO3yuPf&*ZBcAE;g9&M=myQ zu10>xq@!ppR`3y+osQFwzCGQ%sm4>YmvgWuiMMMnw&g4s-$g05Fdbd8#tUGj*xfEs zoCDEoB}nb#8sltL)r*Bb{U580m`{CFC%4P`1%l@S{2$*Rf|sv(0L*bsYymL&!zS7p zYURM%YT)MyrbO-$mT>wX)bmwyqOI5fb01WD9K7B6ZgWc8OS6wuV%d&^w#jZ5 z2%CF-1A4r;SjGLH+AQcC0KWGil+z50zg3zO1$l@B(R02N=d?a`jpeUZ4y`e<4+86I zkS1ns4F5nE(Ye(&p${h2)Xh$)_ey`(2y-;)IM5jCL5g$SkD!qGV%Sw6>6YA$5lZCcG7Lu~xEYx#2O+Wup3q9om{?JJTI z=P;NomrOr182854DpErnpUqqSw8@kyZiSwL@bN%a?Fz-=MqxETowf6GJZHh6i5Jw?e7mkzb-|D-&my`nbD1)DHNY%>+s+Va80du z%joSx=)#`NIYL<#L@-CV zfXBP!#s2h!2g$8;4d3Zv=W3b}F)6KcO(Tk*W71(S(>I@?CX!hV2v*~NLNQPBrCpp= zDab)OnX+8k@lGC6P}pOTK@^7wHmcT#*U`Zl$kE3Z>BLZ2Y#AR=ovEi%4U-ifo0Gfk zttbl%M4qv{YVzUB1$ED8dk1SIJ49UtG>iJot1w6OOli@Woes?37<8X`Ry z|A6AC5C13<`<*;pjCzQ#X|#XT*m%%4hvnSqR!B~3g$G&S1hu9I0#i|5V5153Jc_4Za z&ptrh9xW2%!s~ag&o+>O^g2o^(36#(=or(U4mEs>cDFd5ly5=#Wc1w zJQ}tLsWq7iDKORF317<)LJ#}*`I+beo$cva!M=YU7$^mncVfgnM;u3vz6*seJ#R0R z7egjLZ@0xAw_~XOZgR=>;|2=-nkrY(*DRGS#^p$9rCgsqJ5*sWCb_D%W}&8R>8zgt z6sJg&!81xA;vEmsAD&3k?BuyOQ}W#ud7=%;i7p$?BSQ-HD@SuGIk%^aeI!DgOaz?T zMnVqhat|J{Nu$yK5}>CwY`tm!Bb8;Cu>C>FK(D1pCT2Sp{lx6XEkQUqoBWQ;#Q!|k zT}6L)aA=7<(TC*3mg$u7DvdPy_gJ%rd6;DHn$=8Af%1^!Ggi|4S8n%j0s9f}mwk79 zJgt@^Ml~?M;nPXl*9HzT|G_RoOPrki^cl%$@_*YFziy2$_pGByR=5uINK-jEjSaho z`XkThc!C}_zNbu+y;qII4;N}O_LpOqW20Hi3VHSp!^4!xI6;Fvm+g3=F&hXd7ixEn zn(H+>iKu-{HtMON0hG6Q@{pfxrVa4UAT8OT4|X5fYMhmqmzY$%9u&dL_{We%-SidXAGWy*1i)ji|GjLO5Gbx7r9|47B^gwPh%%Uf%B+QP z%A`M|$qUI^ha=>}B_>={NGJVazL`=~J4y6O1(g(Ky!Yq$OoV_P5xTokEd%Q+FDS58 zgU_?Nh(^_*oSjV3D}8LS@-=xAo4tvjD7^BOwa%=6T|47y9@HF5PwMuov&4vtYhqAT z*W3skca0+u`!Qx7yP`+qn2Dg8pf~q;TQ{>fL-)pM`q9*VtIY5G(x#b^ zog4$sPRxvy>qc2LORk89};kpA+GCD^~aHLq7a3>+a{ z#>}w}Ks3?1!IXjj)vYGvRM&{Xo2C*GEs?1VVy^b$_*4$JyXS+dYPnOvqy!|eWi_c z6O+lmHsK2nUOzqe@QroTLaW-T_Nc?7CtVeyzI5o=fWLMrA|Ha0L%stfA$ltcAWW98 z>S;-HJfZTwj@*1mha5lRLONiMK(01z$gZ@lx4EX~Z(KrG2J!qiW^DSM9f#evwYl5> zEDbD(#v3QYftN1+`M99(a>-11U-EhBsSq;A zNbpGg*3(kC?k85xj(UwR3+Lc3jQ+DxQJwEIL+%_+{myz-5^<6 zD%bi|lh>Z}5&;Z2&F?(~W<(g%g5Dm#z$ysovpFZ3Loa|pGzpKERRfij5p! zZ4s}xK(VOu2hpAj0YOaeLx-7VS{wDp`h_!$eqEP^W1;2v2~i^`9FIkdVf!-T1{PP< zHKCs=0F~kMVt48lLLM2}>l9ubbquk`PKpf8CIhu#Z&JhvKpbknWBGgpnN;Tk@6=*x zeF2+Nu13H;rM!bUwOu+b>DQY63#)2*Z5*1!58m$X1EtQhPqOy50c}INH6oTwiB@Vw zl=rjQV8p>84S|7Qq`{OlrE+{>uDlA`aH&1B;PSaeIvrKHgaT?SUaN26I-`4WWEar- zv+|L7eo+0_%3*q27vaystT(!jP%zUDUpGQ@E%6$CFme&75Xyr430KuxInbE`ZyycX zl+MmUo-Pld(t)-Nr*8Yk%jiC)yZ;L!7yirJjukqi=CM8PV%1JgzQ}cfq*0g?=3yEi zZ+saj`n2nl&Dm%4GMQ?p9nWV(vwxKouZ#JOT73szDzzq2KfS*-t5!mD6i^<#H#z`! zEPcK19#|%5u9LxA=MBquOf=GR2|7d^TEo{$cxby=wN zSMNhRub4{b_{at`d9eumgT^F~Ie#>7{5ZD0sE|gBCWeT?zRGzt#GWAm*3S5zm7$NI zcr#thwK_93Q8!LqATe5Fwcy~}@Ij_2eX?;_mFthv8Ne#Imb(_^ard2gjbGWnFvRJY zkI=Q$Q~22F%qV8UQ0^|gbe4QL*ssk7R^2DKwu>3zlgsytV}GBQ^I?5i<&OpJn}{Bp zH-sqk(oqw|yeso2ve=+*IzB>BgGKu@XC5X5N*jaYJO&Inq6Y3#I-0b5_O8oY+`Yma)ymqfC*R@gDq@YWWNfXKGBGN9w#5q{%M zvc;s^ujkXger`Nk^MS`~V`5Y@Pok;?Xe?NJ_>yjtuXm@`NxDNCLV9Ou>!a_RTXl~X z6E}CpD=Gmbcs3%s3?bz$)2>e(I`-1($;dV^{3`*1RN#=RnAS~xo}c)8Ci^tWaEgj$R9-HN&bx31 zwt9nWf9EcTCqj*+TI(yi=G2NaF+iPulm?$cd@3ujG95JZ=Em*TG#5Z0@GBJb@U2~a z-6rv3wbfJA-ZGS>NC>$Ii#w_1l->I;U$aX*{5B2lu~4l^q#jacu33KIdjnW zxadX*B}V-&xr99&@hyBL5PC7MxO*e6xFUS=bXqP0?b*U!H_?-au`<~zY2qjZDpij! zIJ|sGu<7UML&3JjvG`C&_A>IBqWg+ay0&D4W3qJXA#NOKx??uT0_YC~JaV|Q7TMu~ zOZQC3+cRhTR#sCL4puo`*bKNZLkt8devZh}W)?b+mKfo>Qe>p_fNMpJE1*X^6qC1? zDh{yW9?hB)0q4wtbuh6zotOp^YE(K|wxh&AE4a;u^293?6EL#Ee=N@_F~?3q*WP$) zc#?=u!~~6!E@NO&k+X}PBuM9cyAcY5jBL=+!XOzPl8|8&4JI{a7)+4K%3i}G|IG>~ z3Ncnvnlp&DB+9{?FVy?no98aAflDkQE;j8rO`^+0fS1sI*a(VALD(j455hksE2?fW zRkO%{#zL2f$Nsf%s^z`ld?$7ccr%B#HUDdW+Ty`?SgGfAn(!b$wznaXIg!$wiXaI` z2h*iOS75JPq#Pqi*S1ltg{{AoI7D{cR`96YYD?)0U8#{>1$jic?lF}g5te2K!tW#y z<+(p-Ta&+xpbf)xqbQXd|58Dqk}22y8bvS@#dT620_T2xAcWXT`lki{<9+wTLLia1 zT6*5P_$vfi?I;@SD!2E9ndudszvr=DC~R^RqazYBt}?~#7;Dp5HioMV!ZLCF5Q3jS z#5BjPdmio7uGV6(^oOVLa|D`rCh_r=pJ*^(7h5Uu!xglN~6lE~con zukxNT@6<%EnKoo>0G*}Jhfg@yTPjbS#({zOKtysN}SC@dk~1% z3AtFLfEK0lw)cYuPC#>Up2}Fo{Oerf3>03<20=ei`P06Lb}uS$*8}bR^HA!AsgP@o zF|MRvLds}(+@vP~`6e=_3su$EY|(clm(+xU@zL%Z@>tA8bekBz?U+LE@EAlJgm3q+ z(m7>obcMlly#j{X7~C$E(BtAVMt~hEa@%GV>LMvRc%%}b}$ho)2kUZIq&=DSp>(T-`5=|72uak~AQqi}G*UqFW(V~mWT zH20_OU&L-1l1lm=|iN!SR?$kYee|}rWTSeruht|$A!;9#Xf$*7;sKW zY4t{~*vY>&G+1A$bmq5Up?lD?%SX}jfso#$lO;d z0L}yA-L%$SiQ3KG{DIUR1iKCCjh$@bP>gt_=n}FgS{a;*s2HONrl=-Hg3*r9ZBFX5 z-S`V@j~t+65?SRB2indshSsEJ3YY5pPfDY(%)%mcVt8UYuzFbZJ{RTO)auhSJ=*f= zJr*6(8(UeYvn?CR%?kJ4WG0J@EKJH1G!l9+XAUzG&*@Wb2IQccG5ptf303JvD53%lS^o09N5oi`MMzH!8MJ9SC8HLriSW&~aQlLkX($`9#a$xS#W04T zbz7_cWi5a9)8su9Acfc01JbFQs%2sT(u|SVv1T2>+GlYTC+|E0GwcknrdH-IU#U%@ z;=Qk&k&KHEZ*5Cf6HD#50GE|jiG}i{aerqDtbpteOdMpQfHYp^OyIL{qff2){Og>N z9QXXe`ky66{#`^}>4O>=u(Wf9Z;nYNKniOl3-+SNSqw{%++WkgvKa1|9xv$c)d6Na zGtF9~nMmSBxsIwJLR$h`vn2#ZrF^GX1-UpaHRejm5XMa^oDYW91M8iW`6maW9^Eo- zHV#U)mWT4jUiMFMlb{k$d5YJe(pspE;WX830m7hN;BXlSon&W)ZEppiC@b#YLvtd~ zdV{LTN(Ul$d25fV+Z*1KoUpAiDL&3~TkGMVb^ItiOPbo*7%10krF0*3rlH#9XW6S9 zS4%%Wn51d$IzjHpGjN~mtUqM~w9s!=Zv#het=~YUKunMvii-U1u^Yt*Ae0Z84NJNI z7yxk8s(-|{qi;n}?p~^Rw4#GbMc`kmn6Gl8@L7=mPKFj?{JRG?1Ik+!-o44QGj*E11ABP; zr%ES0fE4!@ZNi@W$tby6dD=(=6S%d%F&F_f>nCKlE;hY+b0c{xFh0Xi-tt-7+$Y!J zJ0X=-&O+fVC?n9W98E^T&;oB?uj#wKBd%gGzLF1i53XDSyho}r-gY^KYleW7jZ~Bm z7ks2uIFZWXMT9qGcVQ`Mw65*Cbqmh#>I2<@2i&yJ0}dh!Qm`8-$xrgDdy6sRuvd{PI(pssrIWL6k=Sk6L-#O-J&4LY&Q3*#2{yv)aNc zF7UUk^xw5SM(zQ{$))R%NyH$0xOVAMk@$-H>Gw)L-pww6Y@Jl#Z7~KSQZNz873~W< zh;DoinMiL{D(=J7{j?M;fj{`&OEN3p8^WMdSa|+=T|pz?8;XKR4s1FpWn{v&F}b@R zc#}g5p}nBJ*HL49fXgu7@bMAr*Y^|uQkn@Z4*nf}yyhRRe!L&=Utb+ZWr(l0Vae7S zE7f4S9_C{zWyAm8V8)WQJzYBT({q)1A~AAWe#CvmV%O&A&rD#r(+rT7j#V~X_v_z(j`L5p%pA6`yEUPK>S%Z(vrqM*Pm&G~fq+wjo+uY9W1j8zA^ zZ_34?NL@v5PpU0DBY{l@Qi5*=PV{cVWco5yE`e7%jR+cHw@KvE{fx$vW`Jg+|gw*u?1 z7i;v;3}BID$LRn;>0#l~D(vYeRYUx1-*sFx`FRHejo&^Gypg=(CSY6vcgJ}CNi2ET z0>itw?a%&k1YDZf0=)wJ*F8KVlw9q7ovbXoeQ7*?8FHM~7Sid`~LVXrKJ7PG9UJDu3|L(ITtYIIZzd zgf|gp#z2$EG@O$3Z$5_!()g6y+6(0I5j3og zQ&so)8?mC{{vnZ-)v_upIh)<2)_!tA%1p?959UcFWNrJooH?O6;u~1>dVMsaf6c`t z40WEh)Je1Qz=ffK|2#^GLc>hW<4olW+~iIMx>*;+MbBcrJ=R()b6% z06@dwQ#;3kOe8z-t7S|@%g21WBZZP+aXW{Y@9+(yM8ktZB5SKr_ z@>0^(Gy+x5BQ{(SS|vNm?1)0toI>4RgZUg|vF|6%b736hPl zjV^?I6g@98nqPQHPsM$f=8N0Qi{_$iks%k`_LVl8WKO_O@RdNTBL0=cKZAn?R)U{q zhk<3scM@N2-zn1sEF>fe$R1OC&)>L1bhT65;Lv5nyk)P zsG#ZN&W@v2vV+!-7gl+B{v{t)F9tep8Cg2cQ#nrVq)Y zR;{EKtzIYWh%oM6WHzz1nx#0wt!%Y1Le!8TW$I*PR%_*zYIOQd9(So-<2r3Qg6kf+ zxRg7T+ulAbo#b}!L59X9PQ>GB-G;sVoTHG=>DH&H#|rxb<^4hma1M!kX&I=+mq_?k zpX(yM^z6tK-KPTz07OF8f;HX%R7lTuIFw#!d=~D?~=f4M%bBFj36z>os(c3e5{^D7Mt4H`7YWxHzS^gFj0FSo_@u%d5#O0md_{$KqI-C0 z%_{i~AUVv~9wYp(9&1p$hCCq7L=Sws;5*;OR>p(#%w>QzlmuHkbAMpl>j$5ouIJYR+4hsw zZ2YIl)PuigtJB69s9&K?GhW};zu=*lT&|_F8~em*SJ1!Sgv4VPr9~+3NF?C zr08-k+~-2;#AFO0R!JW@#(Y6ZXt01aN>pEvBZ)QQeLMCnvcPt*b;0%(L=9npJuK(g#Zv9a%2 zCkEubhhJGQ6mCH=huy0k1LDxFq(06KkPIlnsJj+T$>lJb%7`z0)e4efKpl%!z*`cE z*V0!_Y8COXhUk3RtKququCVZ=Ch_PV)JiZ}d;9V71Jel=RA{K0%iN-vGAs$eIeE8<{2t)l< zQmaF|LMkxq%4@HH^w{kbrgP&tp?N@r0_s zau+A$?gwj}>l7kHu2sm>S^&=a=;GMK*JPb#QkV1k+AC;sV?REby1bLh+N<_^%3g1U z)F^(#i!G$D^&Zo#n?RdNXN;KM^Hy1FPxLc<0+wVtPNxJZ-t!;88Vd%5RE$3$&}O>T|;u*yCjvV@Bi65(Ks6iDTp{-*NcGaU_10~KYaOifiC zXQw521Qri{Tl?M{t84q4YpbARXosNwZMF$ns_rpQ^S%*6>N%gQ;>pp1zU!I|=(`6N z-wtH)ar<#KS2rmmnf+V+&g)=r{R$?_*J*WNXQ1g=ZOyMBkMc+! z6=C!dLf~vySXZrjoYTI(I(E9=dx^w0*XZ($L6po1HA6yk4bo)_d!|T^!r_a{nv;~O zetck~v%mNkn*(uIeaHCI86zYJ*}G7HO)Slk)1eFScqS4Y4MqawTuL_N^}gOn^@$Ae zP+`?f_j=nlV(w5u<1uyl7_G&9^-lSi5=x3g2Dl%TjP?}A1cSm1-1AN8JfSqPjRd%q z)t5~%ikJhZH*=`2GSx~AUz}O9Yh*x5Y|m_1+i$iIy zO>@IuHKmjn*nykNMDe60Ar+c~%DpA|N?_gqbHp_P4O+1L9FmnXf^^S!U+S+=*nZW|VbAt+b4r1m^FJbN_^6No1B1hs5fNfWQ^Y-$O3ensPJ zzo;v@-(~HqE;Q80k^vbrlGOU@Jh}zq9yA+&$i+}0zC%@&fws76no;@Qy4qCyssi_+ zi#T4KA`B?Gw=12hBKtT`Ysy3$#fyfHqL^b?kRvteCyrEH>Qp{u6!m3YM|$Gm5W7gV zg_g{7Q%@G;$JRSy9lGuv3v}u25y@%U<}O$e?2Tr02&}}vK$OPNNL5!Z!{*JmjT%3N z%ClnaV7*Nm$vey%@~H|(6cax&eL-%?Xt~f(Nye?Y{@8E>8>0I73U=`&~kPG$fJvMur>>0bYB+MA)6VR{Y)FNP8 zgWUoY8X%>TN5xAlm~zj&uXnxbm{ItGlX2@Jzz#e;zMguA_my7%H|)fKUIow#CSd5ug4q6$p)y852JJ$xH0sI_*5g+h#0499E&jFb6 z0Y3*|!DswA04qM@;{fzPLC)gc2cV}1N_GCy&Hx?3fY0E{59_)I?s zV8UnmIRG<0)6W4|@R@%Oz>3fOH~<4JKJ#A#{J*lC?=qbKqsw_1`yuSUUq<*nz<$gf zjf|bP?e|Z-xRx1Ejs%=eC>jMLUEtMzbPTLeG;j5c3=D1YnV6vdiY75F8xwq6 zYdfH3>93mrA2do2+NA%#O9JWs(jNg|_vbBvZ6lnaE%@-DnuW;JuFpf8hp<-&Cz^#L zOyGB)#_p0)HMlZ(MQZ9L0@x$nF52mtdOtpK@@!>#;;``zEN16fd`I9MYs?BqH?*o0 zP89UIplmm28y%$6iFe4CQnY!oBw^ASF!4&~9VF>oA|FyxKCaj`F}-FbbzW8-H1ghn zQFs4aV#EsoDiWZJuom{E5=pm#4Z487P>BUG9x*{ioM=>D6jgih)}|1*1gKlryKwrB z4DSJ5*0(S@85j4cfG&wm`BNDsTb6Ry981?LVX~JrW9ioBOL)U_YLJvQ-7D`&6i)>U z)aUdH4h&JmyWR|O$BGAxlM|NN$&{Nf)x6~s>JZpwDG=T5#@iMa9@Cc@Qk)OiZ~Gv= zG_UK@Il*_9hlIiOh3T-y4H4~Yr^xhfkx)3?;6#5)sMKp z5kcd99 z49jM{3coI1oD_&@e>!qQZ`eU|><%dMW-{4(5lxM*A;zYNF&pE%MGioT>WYW=@;A*q z>KrqC8aF%de9{_?WVCqL+qn?aF-Lg^zA53yYj9^*ztT|`8rRG`Yj&_@xe=VREOHEI zBi7^ayDJ}F_`53s^pCE5z^s4hO27|SGBVIUh8!!K7_jQ+W-tiq4^4+8! zT?5>Gjr?dM_u>0d5Dy85R%xe*bj69T;2hlGp#JH0!W%!!R> zD*R~g96SRho}a?MT#hk>DT3&cvAp3?9bFOy-qU&YjS?ZREn)D+vx*VHA7V89gTEJ7 z!LkbpM+FNKd)3AdKtFP-U`C({5O+%#J*dYRm1#IAJHU z-A&C{?+iZ=e}-=#55Y@?90&nOSbFfj!rKI_jYFFO5T26!mZog&$Uf}s2-!#m-}W5*9j?i>=Uv#j$UD8WkM~ z>V1&xNg87N?e&CERGq>*62X%-!5QSh`PhqsQJRCgLUtd#bX%?uZXyoHTz~q$T zi-+#`niJwdaKk(J9lu2mwtUlMswaTXV*PAywD2kqlt0MQ*~y$?0ZE3qH`0=4RN0D< zrD|+xJRQ@jImH{X2qXUO;iH$8$1GUkwYx)7`@aCOWqi>hl6e zYO<*5EyV}-LCG)%F8|!q3DHqBemazAirz}IX+55eRJwsgoZ47$2)Uf)Hf%bvn%~4y z_MejqCv`YNX^SdeSqJ7ZM3CEy@h9d6d#fV^7}F!<(&iklC3kWNzFTw=gpYQOcWBnS zCr$6f9u6qpjZ|6a^vAD*!hs(t!bA*o{DfPzs!Z^LY|Bv35gNl1aKHIF5i7_7o3) zN+9UBJg=vC^l+W&{tUWY2oY?KLtb7un}#IcOwXg&4qFK3zqODY6*Qb3zd$k#-Txh* ze@L_+Dv(Eje&CV+5TF@<05l8h{|ulX>Y)GU7U{l;*TpL@fdGviwju_Y#b1F?(no;# zf`Q%l<{Jc+5`GkuEDC75`CTSa7UPG7kTl$O_n>I5gDIa6MHKx5P~7Q?ikpHl+IK~c zJA4o|*w1e)&DbdcH+{`YV(XZ^(yD7?;%E}_;|z?{qjqNOFBXdD=}!(ShoW?7q}3+) zm*S1O344Z_Ng&AiThfAv`2aMb2;PW<) zs3DZPtdB=qF-ybDaV^zV>QBO+Q?1TpKnBn%%%rN7pOFm;88@XoKkh%pR2;r@(uFi*l6ri^wqJQ zkEUkU>QFu_y}k_o;XB&uIPvKPB^Qdk3TouB>x*zkk`knJ>Eb0E~h}gT5dn0+(+Rvc1#U1P=CydTB zwN>+u&vKAwZ#G}8Tg=qo7t+3;9{JWEw9y4phc^|qGS%xp@1=o!QXXi4#}VXL<}4v= zk$m3Y?9M88sCcKu!Eh9s^ew3lGHSkn*JU{wrGQlPyqn~1BxRE$nbRkw5t|aUw^g6R zYMbQfq%8ybCKz+Qw#=lX4!l5|)Op+Jq!o?BYhIoAW0kx_n!(vTdm^rlI(7BAq1cda zWlm0l0oP{NxjNxMuk%&A%vh9;Mbn0jy8KR&0~42Vb1dgYM+&XuyLlNMxG0=6+)ieL z{3?=ami5awVWgSN>a20X=$Vjk68c0Xm4^-~`6(aHR|Zw8tI~6xpF{H(%#3BkeO(Kz zQr)Ae>cfEyiR)C6K3%D;`bKi3ix~dItBSZ23lna5*vO*coP&z{er0pXNR=*HqCBMt zm#Z}h4OHZ_&S^b^#A`s!gu`Wzc){5c4z05KpsraRHmjcQbXhM_QQYF`BIZCt?Wzr~ zsuxN{aIHn10|eC3I1->JEU0REI~ldZk8|W5tio|-m8?Yrdl)2UVZk~bXGUIXREI?g zmQgLsKF(OnvDxXfmqJCPca(6$mqrq@1C{euhtRm<$`bSvb52?-oBfpM*a-&CCB)k& z{y`3vlja38!xmRo5y2Byx z+Io}Vz|`-})KQHT%Si%^lixvaPZ(mqPXdl}<@s4Z(#mdc&=< zM=oWby4d+sq$y8rcbfE{EMdO&C`Z#5Fg^a$4sab!K<@Mu!Bd zb>32EeIh+sG5nm_B4MjWb%PPQigCRtne+{=I#9AJux6H=qYP&~$A$_!k!3g>oQAfc zNasHMM{qM1qz-or4feHCK8?>*6#iOG2GA_v7_G?&mWCCIwq!{)y=YcX ze<`SeHP3&jZvN0*Nsjy)@tgpfKLHaYs?S~Wtr3I!AcPORuD0aFgy$-QoPq(BZy@QQ zpP=E>3%V!M0y09{9Fn5wvcVvcHN+chV8Nv);B%_Mate2nGG53V`os1{()z~C(uJtL z?;{05#|Y9QtI4G})Y4ZH5@LjVy>untfp!ue!%mBzdaYz$zqUsNUQC0BWm)%bhxx4- zdrSKKY35zb^e&?x7VJeEc1%cZ|i(&i*NIv$?DB$sw zw?zg%Vp?+h+?8GAeZ|)jKmjN@yhz32J$Cm2LEpLgY)U>9-H9b8@>Npuj8&VcCg5B=d=mM|XRlra+Mik1nVb_Anmo0|(vPIRKu%qmERa&TeY-EEgN4n??rD-Ukv7WqKaCFda zN_K2Io;43nsV8@mly1*ic9YUCI1zAA^)lz;;vKePtof1}Gt2@}GokHuz>gV3Q5##< z1iri<{+_vKwVI^IML5H~gVd@!vT@_dlDHKlE)v zBAcw*@S*wJEEnHGW+F*<(-1Q(_P-^K<}v4ToHRtZ>*6Ni>U;-XSx%|txMRQ%BL_u| zz*z_zPuL~NKpW(XNiQDJxQKz+-p^6yk1K94*#fa)MDg;~&@jp%y{?Y$8_Hbt1Q=v& z!*{CCX^N?iPg0@hBvnjHCJzO61cs0f;cgCmIm|cUE<<+Jj*uuZv*tJattt*%8-4v{ z^aLuZsHG%_7z@C$1{&j*#VV54CQh$y5uDRQg}41fw#v~M&x$6`dk`c1vY(Bl>6<9T z&9JnQr@SX3HXIZ(-4R+ncO;74yaX-k4;k5-t=_t@3$szOyGiMI?ZmwdyxFqAo$9ClhNnL=Io07O2e5Jn6&V%4y zjUq%WggPU{56YFBruF&!k?Li4(TF_Sid|;~UpO;A(oz$}ZndcRofQd=7@=F?h0qaW zy@A~q4updWyeJ#OyMUbt2aWfKj+I7l`mkFYA?jE{JYE2-;o-^?9SQ?!5(PWhd7?)) z6#Z3VJe)wmvB9%pv?umAM&9XgOy=XvN-kD218Bzbeb=@?Y%Uth?QiUL@oEc=TeMQa zk3LD9^d=#3a+>>Gw{M>!eANSBjL11mV^z-$&AurRM6cfM6+w<5+J=bKNuWb&arPZ7 z?e}M^2br~O|H}E^I*vP411^v3=Jz!J!C>fFA2$TPZ=U_bGza4L<1GKr^861B#wW5V zq6HsXpzUIjh(t0_#A`QLn#`lls`KO6{jhDb`dXZSu32M&p1*U8-eXwQRjyRo@E9ZkEidJ`p6df&3<-`>XsS~ zGqxdd-Q1Uo#2w4HZPHi>WU7?MF^pV!M~5@9v`#XG0xz!Gnx!Z&vhMTMD5Bn6B1AW0 zAqAzYIV|=bLVR4fnUsZ`UYmuOG7>?ikC?J~ZLm)B{1Zy+(6rZCHQZF-)YPk|;g!`W zt#fJ)$ypx*=JISNtT^o?bGetX1I;cDhvlVP_Bfhfa*ixu-d6Br5+-~Lucl>-)chv7 zLZ&fPWetU=e2L^A7k0j|4Od=9k@@@FKGGbPpL6>!Xb#=uZqNU0Sq1!o=EMxK!+Oy| zgJ}}^+Uo4CnS8P;f_qcztC~l}yGPlZ8Mh zt4J~QOfQxfS+$9pwEayYf2$-eox7`HFiS{Hv*!@NYBn z-R!>>)yHz-`-b#C%{E|){f((W%JTzK|I_{(;15KnqMHt;5&sGQkO-S?+v_YB5xXbD z;nI-I^zg-yxNqk#=({FGxrT*^v;zpJY79Z^I#t)0!t&N4j(<7t! zz5)MFjR&qGe;Lp4)A)x?p?_a10sg=!t_|+*8}HrD?lE@mC+~H_@3rpzJnp@2@mTM` z&$xG{uy))=tIFIVaIfL+;bz?ZxmOT*WHh}wukpfh+;}QIc5gwffHFJHCr{=&wSM0h z`~FWpSkCg;b^U$-;h#F=vF%FtvvB;6&iKRPx_mD+AOX<6f5O`fzJ-Cl#mchfM7(u* zf8P=4{^ZGe4K3d9v;AXbVR+m^{eGz8pBngB8q@!rZTkNf>VH^QmUY}D2U=z|2bwzZ z2QGL7Q*K}5a9{hqdw&Oa-_`!asf2{@cME^uD+BWng7p2Q$Un94v5aK?U-2WxKftTq zP#p{i0W_#)1|=DWhZK6BF2iR?qVUkA)*!RR^cyL~R0(>&lp)wBY9cSe0;69eSBS9$ zcve15?ljpR@(<11`WhvLQEbIhj-N#guk7s~A%$d4X8lUR>{vRYBv(~^_^8_3E%Jpj z;?$(y5y^Rxm1*+(0u5uWg7%`wN_q=~Sn;Z?Au+}VIS@-`l)6s!R3{_&*po=|9t|5U zr;%u17H~#>!>$kH#kO=v1N^O(piySN^Lki-Dft}Rk>kk)w?1o>wki&jDNsXoxA&nh=lg|!+SSDbp4C_u!yufI3llGI6<&G z@L*_YX#CmE*2c+B=w_ImkY2o%dyvzJ;HP&dcE5AC@54V>$@B;z-_IlcQ^OxiG~fo% z55phM`22f3W&8u4j63HOwB<`j(a;lBsMkAj8eac%v6 zBI_TzgZ{Bzq5pZ#=|8&T4~QBPQVQeF0}V3gdPzjv9R`L7YC;F)5BgEWg9RIsSeQ^P zDhX%OeGFTld8lQI*e<*Fz@gB$m9Sz82Sn;k@s_8w)>Y)!+N>yZefpl`J+P<+{qf&D z@&kf@^1tuL(*CJO9=ZK59-#xK%YO)vKR~dKrlzI=PxC$8{r!Cdp8x6)Ew?N-F`Tqt zu*Z`peHSkUez)%tfFJqo_mg)2)V@c4`>?M3o%#Q54Q2WR00Zqaa8J9x-?_g}y}x$@ zTGF%D}>C-b^#@$@wt$VMhPtNUW`hK(b`=}4b0gqY%fBEpY?}rKh zslAWvkr~Jyejpui?fLif$@B-La~*1e0hVf@k~tAuDrK*yGN%e@F*9hNy@Bm47So>1 zm3DO}g-1Oy7~krd4FA$djW6Z%9)Fg2nhI*EEmD-w;-fTZQ&p>i z4$}nu3O9b|v-rijXA}?&l5NeSL$@!GrMe7F7*R>bytyyK1)u=hHo+S$c@js~P+ec923p{sBOBV|<5G~UyJcSZCr|vDXbyfi z^9Q;Dep>l2wtnaz2`&Q=hyJ#b9>@v*LpJ^aU9Sgj{8If6LD<1tK=fh3zy*EbcEA(d z4!AY%iSD0zU*1p3fZl^YdlGfdg8jRxKY$kS$mPGENdBk5^T>1ozbvi)X+oL*0JL%g zbWp%N0F?xV!*zX**M>$}-NaYC8Xm+VqY#D}>_!!Un!gJ9d>D=C<4al+NuC^*t_&&k z6-kYh8D+&{U8S6f&krgU~u5}QemC*Gevferbh_L~#Gzv_d>=zdm2-;Z+tQ!hReRbb%# z2$=u2TrvLvzUBH$5q$9By|u7Gc-aovoNQmRfmM9ctK&d9duVXNgGhR+KL|`fmr~j++)eD4 zZ!N=HC~NYXMori~!CDBeAB|-lGuOzKC@sH21(zCS#|R@=FO6{KW)`ifG%9NQUwR8K zGC|JTqrcLC!EHP|G4eWX5r%NaBKA>q_veC}P zUE#BZi)uc3axF^JvN8hGOL_=>2bXH)rBp-hQY;0l%uJDe=8_V9ZwGhKsIHn0)&+aa z`)%>Q5vHS{$pvaB-sV!pD-)B$wt+TovDsD$MxVU4`X=kOwC%3z4z8-_`S3e9iB*Xg zkvMlPyv+-ZR07YV_fd(5P$wEZqG(wbvA^o%P*WR+_hyI5fY^xW4rHHdTQ*x9`_!r3 zs-Mzf&$w&&mZ;Qx%SCqz@Mmu9KOw+PP$5Pf1}i&3`h=#i*HS#-aqSA8XiK$qZZ>-= z^t`ia|C5kk`n=L@c1e)Tc5Kv&w^LNHdjwHSwuI&m6P_h3*c%vlPNe?I!6-bdeRZuHoFRX&!OJQeOMvW}+r(P;> zp=0CM>)SJbcdi2#+HYXUXlIV~=!w^QYdPEi!2FtRW2 zVc|S-P9=#r$s2IlcYIwjQq+C7M^l>dG!`$g?{1*Z59oV+ouL|BJ$6s+txCyyuvS;uV&O6Av96Hz>Kde>(&)q|H_dp)-X8!K%X; zY^^M>Iq?lMQHG`-w|(hj?L!Sq<-vE#&K9whNeN(iZa}I(&#f>TMHrh!nyl6w9w~;j z&pp_*gx>&ip56ClsO+G8-jc|@K+YCoq!C~Y;nP4@tis4RZ2g4vn7|ssyEel&Y%q#zh zU@V^xKA|oq!f4V#l{m?l_qGxZEZJaTPV1*qQyWwmkNQXuChz!F`%btG-tnYNb-86488rhf;)lu1qZf4eRp<$m!Z_y>fDySQ} zgme-P87`;|Wx@~#P3sY?8&wJ9En!O1j zu$1(A2+CD~2A(Z!Bd^b*KX6v?XHgZeb{1XP4}HfY;1H*1FAZSs@K=_dX=M*6eMelmxMo z_0kGXlYolU`*UWBtUeeLYoLl<^E0X0T&@H?>%roO{@$3v4wRTfreH;xsao9_nv;Cl z?7@g`QR#P}p^-QX8HnQ{m6O^L>6#=6nad&8U7c9M0(L|)Y&KXR$$NRk@4pQ72pUjZ zfY)??klFZX`)!6}keD)I5Y^dZcx;kkE6eb$*_}W{FMMeM?84 zbFeo0HmfVU!k|4*~V{Ff5%{|Io# z;^s=Qg(BJ(ZWYSUx(rwCSKr9V?_%{P4}I3wLdODmh6~?I{gKYlPk{37y06#2^NKCU z{JdhW3MO)2HkC~YA)%o&_-1GZt)$_IG_JpnyY99GZ7z@f%gFh?>(;dmcapT|`nQv_ zZzuWe4yAa@o4a`8Gz&M2CucM?M>I0~9alXwS0YYb?CaYSc~uLleMfA?Uo{u=U*y-H8KY<0U~H$c9J%jL8@NIrg;+4EuY1Ko z*IRf%&%>OOUPcCgJ3DeB5YewcUhgMKj>_WP*)2h{K00j*IZS$XbZwr>S2YNpct1Z| z5(e`P(MtuL4RXDwie`3`n;zbc)lTo~zC;V5XZae<)z%5-Vto+`8~@ zN#Cwtx4f<=^@3jY$v{zuZH}!TP}%r~NCTc`QX9N%;m3Eg%d38#0S&y8anuQCxg)n> zHS^}uGMrnZk_FG_D(o{UXNB#sr6OApN&P45yZ7jw3p7|Ok==RV{_JoFc=(5^Unti_ zDsRqK&07n1bZLbTg0yD8k%ca?!vwun$Adlk0F7vCF*M;jhp)wAHr7G72}iWqg)bzc ztT9tEW({B2V>ax>g1zDaO~2J&QgXX&8SXY{d$pRh^TLP~f-)0^^qvEIB{d8i4{Q}K zG~u>MuN1pDjJ*T>tJRQ-?<|h(Zq8AIK#M^^!c0?)(AXCkyq@;R?P0Nce1)$k*2t|Z zXq5^*sqeMs43g4qQ!Cy2(fqlYRX(Mf3b1W{ysvj#UQkxN-%{4(8Z*iT-u3$R(>4>$ z(zR3Bx4ErqE>!BNdh3xJ?#rsY;{vx;@l}buo~LW$P880cI9v?iN;U82Ubw<>)VZa> zT=4dWwa6Y1JIu)7GA=lLu)B@8DtAH&J$`?0>;!devdVoAv}&{D7>+<2jUl{<(b9 z?y6HA8h0B(Nf)SGtvLCalUKE7+lb{}oJf8Cv<7FrC>PO4n^VFPpk&(NSSOLm6^pB( zWB`kmnzX2%le@!6Ywo>piULhk#C%5FI*Jj^Ku_5PIgLcMp{snCYBk3^c$0A{2L=vM zH&eB`nK7tlpFZs}x>UlKD2u9VF3$fUtofa6Z}(7_Qhizp+*PGdfuBlHdR-}t0W;ks zwNhq{2q$8z^^5WIDyhP=RXc34XAy-=Ny@fi-owzV?8cMr!)GC`R0TL@NIm)a-k;uh z8o|Q6Y&0wsd_r2#J?Dw2X8>tzoFiev$J9V0UKa9s@}ja^)dq`1;Y~NU9#6Xq*DDLJ zk_OB*m3+XH0`?tM=``5+DbzTZ@X)h@xx2auoaaPP(MIyecuoP}0|6wH(`rIYfn0s9eoI=Kl$JI8rG_ zpSeV>_N)wA0WQK!wx>TtX(Mf9!+$_KLs14DRTgn$*XK$Z^z{~KpgZ`5_g z%!GYx6au5P07-fb@0pD`D{OW5o;c5r8BS5@_ zetY~HSIa!o-dfMlaRuoYPj)n+EK!8+31fR)%^l)Fh!dD+tf+vP*jt@vn^x%Qncl99nW0(O@o;0IdU3rg;$4f^eGcbIZ`XF? zIK!@~0YM~Y7mH}5@lhlJ4ND6bGsMXXaV>2m-a@>SqhIQ1VAHNJul;9;&|Ns$KnuUG ztq)R~dw#AKoOXZk=%%RTNp(%zSEx zu-QKGdcS*?kK;H=a9E2kj*x<>nGArLy+oYEo0B%36fOsJ{C-W%JiJ1o5`|)gWk5cH z7RvIpUTAp>E*EO?qUR+*)elPp;%gogA;bhnj)!T^U{-Zt$RbpTZvhKr@t{#P6KN|7 zFnYsA98;>kj9rG2h&>O&q(mUWq~eucX4$;FDy0>$Pi9GJ(3GV)37-HNQJfq|kD40L?P3b!yNIO{LzMOTnF??6$!+|i7p zdYa;E;x=O0j9ZsbP?I*x!RW! zP1REUJk7CYl6*mLxnPr*0!#{Ud#@!jP-c5m1Wl@UeJIv8w>zg&vk0_-cPAO4V5Om8 zhR9nXDyNMYnu))q1jZCxUaJqg+%NMrvejV?kX3D(=7LeMB8 zvNtYy8ujt2xIV!R(lyWX***x5eqkLW7JCJ~+7MV`&Un-wN)577DT035 zP&^8$2PzxP^qTi55FOpnwq_gcpZr9gw$U|Y(p~i?oXz-Y$w1jrhvwCH8K9poEVc2`V0zH5sNr>`6!@* z04V9k)uJ)}{()&?c88P|i|r?hUYetkU<7u<__az(14C^uEjr20 zdL^B}n8s8%f%L^&xq`ex>+5J|?r6SD9*hcfxJ+JhvX&mBpeAtf5z8 zT>tX=QeRAuho1BAF7+VQ2DQEWrfaWZuxK<0JA8?6=r`TMW0)qAhJxjRiV34^g=m;I z^3hBj;uSDl_FV};_<|TkKnqZ*r5FhdwGDMxD9c8Y>DikTCv$Wv9ZqNbr#MOxm@6i; zdILjfUxc(5vOty%8uc=f>Y@UJzhjRBQ8!IDfM-a%EnN^qAlM}L+qm6saf45Vh~if- zu{tzCxAEKx;F)C9+3uw&JbC`|5#Z%_*`sTLLbx)CIn0ituWZRHw24M}AME6PWs6zS z2btFfH1j=G#&S05FEO%FQ#z=GhW63w%|`aO%8e^q+ygr*35z$FD!S5^Z32w~T+8yo z-YU8P(?kUA7spYfbCMRw;mU5h?CiLdz2Kt&5E?s} z-gxil++h51!l-2kDu*<(_bOX!>U)W1P0Iigm8dn*e}(YJ?;#xWcL?88GYu5KY5a-a z1eNc*3rJ0{_F|_A=3}R8cTxbAN|-`AFVuvA!B#P7I2srw`65{m7;MH5!RErviey-t z8K5bpLz2$#B>=s%(PLt#wtU)ZzwFamlln|M#~KV9-Xx=8ry~(@n?WCzxk5m=I?m%V3UYVED86z3C7w(( z_KG&&C)DkZ^_-^@==U^K2eeV^0kc=h5TM^C6#vVkzQ;V_K9MBP1cFe4Fkz7CiV31E z#_VwRE3qJ|>g+G$*W&@DPR2M!+G!#IC|Crjm?4T*h}vl*{y^xX0>(0pGlGfsuK-%) zaQcOMZ%%vL!sQ$)S?Y_Kju(S&%R4#`zJNZ|Hb&D4^!VWM9ckGX`(2NowpNVvYOZ71{#|TOC!Mx=}jhJIFqZrPSDna(Vrk}bk7sO(j+j7$ZvJfKAejg^+{uWm7eS~bm` z^u2Djk8g0j?Z+E9>>3R1U!0~-Bt^9-8q8>wM|z4n6+E#;R85#VWXuIY*()|Iu9l2A z+KBj*(#4OZHLH5~ryhJ*Eosm{H4CHix)uU+lhdGD5K&7BIEn%}=UeZ&87bv6i?Q8d z(JSuZQIO#jt(b0fEiCkC38A(ppVTJO<;zHWCEmjAB$_c{20+zcfyxCOU2aN+z?RHCbZ!zp7E8HVD2FTo!8WC=L~qd z5Ixe{0tG_A0?~I|qTz(k4j`R_9bLl+LLx)v+u!MBFypK780NeRFKFu7;VuOYaOKks zvWa~;%*+?JM8)LE0Kn{DB4**s`8JybZvbRMsn^T{SNgxKtPHz47@z2qxfHT<66uqx z-g(-}3yK1c$Ogyw9R- z0n;e9dBHb!_V{l1(l{vv?2NC34Va|)oeZ*t+?Mcyvfa(x-9zTLKVFU;bTgKd>7s`U zJ9Ke_=b-l*LPkxv;FJ*@<}w5|T3}>Gc??`>K|9SCbTeaiK zI&hbJ5^YM`qIBouBxCePAAhLae%vf$VeS}ZwqcBEnN8Sx9t`a1q?P zF%R2yB?mTAG;+C9C+(X8E7a#$oE8#NsGSSbxAt4F>(meKPwty5E8Bkk{7Kw>KHIxE z#*bV-YrnncZD^&Yi@5}Cv2=6jrct>CJ_%HIKb*BKUr)=qFlC|Wn4A3ieT9Q_Z1FpT z(W#Jal;7*&mM*E3uut{5w%Wwc>dmpjT;X@*>|vflQBfb0@$w*ozFr67)w>{{hmUT* z+U5E@P*+h^Z;!Xr5_{#+PW9UianyGcts>|)3Pe^@vQ-PVD1_%u)NmM-WsM>)gkN&$h@DxdsAU(wi{^H&oi~Q?*RXb2GEELr zwew)WWiw@F#xBS89Vtt682~Qj^vueBZSGiryI60++8MPiAGvB&urCbs#Gz?mNlZ_E zDkKuNYq{>4A6IL4dDz*7Z|rgVAzl?mrsXAbY3B6Z3f5vC+53$0Yh_7B#$)eEu68N7 zxTF#8ll@-ZQz1_yw3Rfe$p^@Chfm(f_@?z<3l;RmfOJap>xeAwHsuAuQtOvaZt>Pt9U^%JW&FKyH41nLdh_y zSGe01`cT|BX*FLT7dzdCu}I)16y;s6Ec08!h;$fq4sdcsM4i(2M#(K7;)D<9M~DZ6 zCn&1;RfStG4XDEmG3q258}e%0+Z4fH*rLRye_32ZIvt|}u9ItLE9IXHR)px8lwI$7H z3f+`t!GS7B&iqkE@95`!vxhrj7)SddJX)x0F3zPPAwP6Xqas-zDcAH${0NqX{FI4g zX{l0^f#oLysQfk|_vmH~{WFX6ClDh~qCzCmwg!|+90IU4;#r}drl4!s{oFFH#4Bd+ z!GJ-ct|pV&Rma0JJzpwap1>?q(RFDFE&WZz2)pmj!K37rH4x4Slm`gcw`6)00(>a* z39ZyM;y%V6PHC>;9RCk^B+$2hum-pGF+&5#b}whSE{89D3atnGvTp6gpFU?h9{LLu z-(!&Bf50-c0~CS7act^*{7+bi#-dsYU=!|3mwVyn)n~LqOTgI<;V!zjA7pod+E)`^ zaPudcH6iDBWyTX?{BB+K2{k``A!fc;Z;#0THo2l$TZ!Rtk95JN{`m=`c!N>a|Ggvr zuMI<2gYu1)3OJj1Fp;E9#uw2_%&63-!8wg}fw)*A`&jUI>@H+G#c&2P{E1A=Z;+j_ zF^)xQlsd7g-xBa3TiStFN2SwR6^c_r*_iAy$VrIv6>;{)4v8^sYWn(J=NNU*tj%?? z>B_HumyazH+0sCzaeKXu)Q!0Ghp>Hs5NI6Dz!nI7SdraBUFchbeMi~R0(a|?qM)md zYwW|M?+6l{5*vszktU5K1etBxx7@qHKU#K}!vK0Wx0 zB;(T`rZ_)}1I#j_vk<;>!Cy_7twkin#Z)5rd?UYqp;i@&0K%KU%0US|u?;D>bm{8# zeiJFpW%Z4Kr?%eC2?5{SMO0P6`|Z|@p{76^HMOwQsH~wzF8I|6Gw`Pa&!Q@aT)vUF zOXN9WZ?J#a&2nBD)stZ*OYe~sfrpL2J?4__a`GwG3VCSt>7!LaZ`e0Fci8B2ny+~8 zh%k4+Uez58v!z@$Yo-;#NIyZRHU2YcTA(Xzh#@lI4@zfW-j0w6GB`&FP=#MME8)j% zf6LbId9y9A&iO8=lZA@xYW*!U+s}!4-?WKg%_KNYtO@)T%)46tZ$EjN;1~L8rOZec zuu-(d?m>+VU)9MX?QEJt zzr}-y);1h0yahbec#5c}zI@5J1~%(2f8+Yvm&t_%PF5Y{PS@a2Q;>YZdNdZje4!@H zs^aoyjOb`kC_ebW2&@47d1xtB{nJl>c4MFo)6IWJdiwqG>sdC>`txX{sYm(aOxf1^ z4<{|Feu$MHsZN|t#^K}2Fe@U!!ahY^{^M6Z8FnF9nQNuFICyJ(d00A z9#Kh<`h5NnQK~GXqpHb1Um~g$RbZSmXa*8!F{xLK9mORvDNu|R#icQ+SB@?7EiaEO?576Y zrZNicat^wJy?$QcurhpDNrCB{|-AjUs%J2Kn|bB($H$`eIQD069vrYQTeoUO4RsYzjn@Mq(Py5@NTUKt^-pybs^&6tSurC=`?1|q| zY<`Op&dd07B{|t`920$rasYhYjR2rcgLO$r}slX%oYE9+JS;UP;(6Tjx5;*eXCX}4u@KhmrtP_=Vi4(E#V*YW!AY=Wm> zvu@p|yR%^xS=6#Yx|vYkd+fKpgKPb&K^+?Ce_nVxpC#VMH^YumVFrF%Vw(eMLgiRP zcyfJIwTZeJuHKdXMe21y(KLJW=LEk?wB+IA!AY|MsuH7eq`OYc8s5QtX-Pyv4oX&V z3m5pGvXb}oLRl}|>gOqKit2x*G{5iOahntHYY(@6h|$Y_cFCt!*X76Qp3(G@N5bn2 zs9cpxX}HfJ{_?1KctPS^K6*GiDNBN1kKY3-dy7|z+cOaUmZu&&9b*Zm8);Q(9Ti?k&fmLHkkS7nq4!?E1UWaD@{*$XKLQi@(^jgS=woP!B zg^?TXE7xQ&$p!IE(MtU2i;1>Fmf9Sy&w0uy?` z!r9o>;IDc^9Z#m*&@Jh?RqGSX^8=R7o=(cWhs3EYHX>5hG2}A48hBWi=1VQh6KcRg z!nGx|lU;#DLG>L{>v}Jh1Jlvv3 zh8-hkAIB-}%xFlC*r3FsaG8i+UTq02ngS$cSzmyPkRyL`c!dZgC_3z9*ZN*Npepwc zhGT8N<}Iom^q=PHEN|SQCPUb9M1cB@OlEj97yQnISpnGJMOPwtd?TNK@poRj*WOcK z^#0N^@TO$R#fS39n+B2m00;TUpmhfSx9m2ltUs68VzamjHGeXQOgbN}NyOVjWZOWV z6}Z~+)D+-u9WkG%;Ry{woTef|4}nYtCfdAq=2@W$Gw_a@;KwX{gLxJ^eHq3}NO4C< zkMSy^NCcfIiyd!-Je0(rTvWv~Yim$W&KUsIBy=Rje?!ifs*uYnQcjqGAF7n|Whzq@ zC6XYp>A4%A7HS4_FsR@c4P^RBiIPtVmjV(l3UuE0ZBm7hyXX3)TOJCAE)i>*X@STe z2MSfLKBF{=gW+yD)!LGz;1erKUznk z=5KteMQ1I^ovcZlBj*||DUt0`2xW^&qAIELJ6cB)q3u!;JQD2&FbN_{m3G50$-h#Q zb~KzW2&ie&Df5vQqX2|0A4ii5)F%x~>`Sdyx5r9*0~}W%=-$;P&~B>YT*frFuHn?u z8ULa!8meS2oYllxqhukRRlpglVs6mjzo_>TIka~L4nw|@%ffgejd)GE93|Ii#eM!_ zMY~oTq&0RvhNMY6^#{p8sX-LFT=fT0q4|;fX z4rFrkikEl6l5~b89Ln@PtKvaDyRLzKTS?RrrOZ0tO>-IgFzj zNp$K`%)L7Bqa4$6Rub&5X3TD8xXBx!QR%ShVEJ3>z1g&WA6}(1ueCd7hkOps z;GmXeKM?(|C1?NLc7gjnxMTKz)tqs?++Fz3YIb>WV}1lCd3}2$Ye&-m>g+CKWN4-@ zWaIkMQ61c5o|}V|i~Xgux}uSTjg!5Bk;6Y-+~w_U48YB`NIx;ji-<8P8@W3EZ};~n z<@&D%^Z(J^A6)UqBy3~t2)4|D^!>kYnEdx#{<~WL|EqqE>tD0_kNUZl6zyt;_esIO z$E){-M?}-lO`6~NLG^%`nZsbv(h=DaNE0FbGwvP?JSw-Vs@zvs9s(WJWC9a~e>Y|y z)LZf!Jy7fV+)j@F=^dThV$yxy?{-XkcXB??udCQnseRLs2quAb}Iew>@~x$bz}Gr8z3v|y+V<<^p_ z%zrvE!I9}UY97n)Dpk34dtTh-W}3UMV8T}*xz*i#sh=ZQHT^yY%S80Y0W<#l@T1(h z)HzaPOm(?B&qYFqto2V8jZM3lXH%^4b{|_xon2p7{$r0+RkwySIkD$GE7CStTY9~C z`}RNg0j+;Lw9$ONOIzJOyD&t|^LhV?%`11vA?bKLoelAsVS1O)@lahsrQ3mB<@DY2 zPfe3WlkS=PCR6Vs~D=DHht+ z_3n6>KmF`}cABL0^KVy0Fhnfx{4AX4Q|I?-eX>mWe!C)`q4Ur%`uny*$y~Q1W4)E% z*rgIMOY0m{bbC?^?ZMky)o$i4=o!6)*{@M_i_@sl$p2`5Q#eeZ8!naD*h6y6^ecJ1 zf5oNUjrWt4z;4pBby_w3^Kt&z*e&OuB+Grko#n4^lV_nCc0*SOa{a%$@T+uc7V9{nV zc9L!(d>u_&UL1H`1XQ|yU@@Xihp&Gs%}TzvXy9M^$|v#h@Hx)1{&C3JaroC_^}}!6 zoR7;oO9sNDo3K|OTnPRYVSC`*NdNLh4R7{XAgHa}@kVT7GBGUq@{p1+aF>%aOF+9C zt1Y_u@f(xrBgFDg2NPXgmzbgEyJXnfN@Gd|rs9*P#(D=ES5&nK>M9+buY1-6D=QkN z1ix$wS{)w`mVq8A$2|t7gnRvH^tnaKuXWr8dulGgs?mJPfP8&mQiFU z&Pe5J*H)}QNyybQ>_r=YEo}sDS(%{OBS#078>*Q((o(D?TNqMxj^KCZCL*wvi*;v- z9^&ET7Ztv>`jveC{3HLo`;3Mudnb7Gb*I*+x*pB-6d0&GjYE5({J9y!LDg;I@;Sd#cvkyE1iQKj$?q#~^^B0kW*?3Qo(G*Dc~BB(%-5v7t`YL#zWrFdr|IF5UJ z>_GYcEjLHLf_Hc6#v&zzcKTwSxU*STCJ!a4148^xVondObeU3sh%6rbI~lhxRYA1S zY!*-r&c{DpGUv`mjWK@BB5hkh$r%*8zL1CIU$`#_pW z_=K`)(l}YMJVv^tGlK^M5|m1;c*%OMx^MXj>z%;ZkL` z3gs*(bId&Dl4zD}G!q`D*m)@Kq(QE&czaM`>O-hOlC7zBtg>WpE|%fGaMHmK9PfZ| z6P3YdU*+^k#6#qy&+*+DwxzNUA~y1|N*PdwJc3i6fJC?;2@dmE5G_TVl2Z}ncfs`u zO|nwu2;uU9sSt6#)pA4G-0lI|OnZL9;x2ZdbS1KZdWdE4b*5)M*`U$S!eC%>xUm`# z(c{3_>?qGyD1yN7XayKR(}!8hJMEP!GJg}Zr77$hDVsdal^tt@WzIU+a7y3 z)Et#uxg6V8QIH*N!gO8ye7k+Sbh}96jA$)*B5m{dCsU4gEYkVmeNP&;;P^oaRDk6pbVj47+0#Y z9ZXmc3m}Ybj)Y>4FjIqqD^XG3c-HiGFFk>E#cTq8yS{4+2Z7CxVp_jzq}}APZyp7i zUjLwt$2qPu6M=#h116p-GDiegx{NtMqzf1CyhRGnPt$~91o!W@yq`$lx`!o4X=!Lz z&b;KY+dyjhD4nTgf^EaTz+#4$Kc*ZCA3$?a_*n!SR7x*IrjRH^Af+Wj;!56~>m;B^ ziy5LCTZk%WsNdXP+j*P+F^uUFI6{36{7FyMJTy}>QI52wjQuu^DVALyigHX(u@Db< z-UkB8riur6*x8y^#wwTf=Bj`hYWK%e=8|r+YjPtHC!EdC8Wp41eSJWM>XIsqD^|%4 z%5Xzqss}wWG(ZB&Bo;(S5y!<>Yk&u;fX9@GBdw)#zdWVcnM(T2d}gZf=THRRjXRF! zY7(Ql>y{6aN^+ioyr+}~BArIRwGYiK56(OOQ&(~x>oqJH zv~`JL3DMa7-{mvkykK%qY0p}&M6mGWPg7rHVCf>5$vrLjwNXGeiUsAEVJJ7sDE%ID z0|O7G5;0z~l&j94!OM|6LP{=;>CRNQ2*t(r+X7)vntZDU71UFnL1R=y7qsND`N=^SX};c{nGkKgGMW zPsKJm;YX@7W!s$>g?7jb@|Q*gm0^bi7@27`mq7(Vai9op-2@ZOwO1o*ZneCXryrvJ z#M1aB1iK-&9eI>e%@ z2xP==?9=`3Te=4d5KQsiypoSZ$TtNuCRw3S+*lF<#sJ_bP%um~RXGVJOp6t8EKwUp z^5NHO>FRHFABVgAxw*2hg}~%ASd7t(DcJ!aPwAR6Cx0g>s||>4hLUiQklz4MfxDuS zr$mapn=RGaQ-Kfpi6Bv^NvJ8B%;z|mM6WiOEn9dinN$SbCWWFjI48x}OgBqR@tsak zRs|4S3MHW=A)g1JQUQ;V6e&X2%1_-$HB7VnU-q2f?GNI8Ep`11nt?P6Da@tskO9Y1 zV*XyVAmQ6kaUrXq!ta^@b5RN}#~#Gqdb%{JwFcW7sf!CO`&N=qL-xceU3pB0-=!Ee z=bhjkHsbwzD5cA!!at|L(Y{*&t$_(3b-{YD{2vCjB&M`XrApp8(j}#oCH`pLVous& zm0l=Q&hM&{N>xgNGNconQU)ZJ1WKrw#eyg);w0UyeLBT?0soE_?oUHgcCMjz08Na9 zM^opDDQzF(q_}Uy0}d4?^P(H#qPd+oDq5<(kMq+nOPGoAy4I-3f~FKu_@)5BRko;z77xwD{!4YU;HZ5*gXP)*8=j}c%<>-g0{zJQiP+&%VfFNol`pW@bx)ye2&FEMIRkt zwcbSAQh%QzdY|1-)Dg((VR~UUIa2j86~hA)c&(>+rGR-T3_9BtgYbcY=OoefB++uU zr}(>7Vq|T+udnn+vRzSp&gmZqq1!l6E{ZP)1D+DcFnmahpWp`m_!Zny(44_09E_93 z@r#lB?1T+M0xTdz6@j3NaN>`cRC5G`9Vfm4&**(4OdM42s9C8}8U}J%+{{9tKO=3; z;J!^`iDfr{qU_UCjKTx5_JItg)0b!xC&QXirQuvaV z%Od6~XJ(Ctny;b|lZqvM3&rJ@hHs|DeX+^JQTfX@M(^ko?&_m#N7 z4wyD1f?@or%04h*e^>x_n-;>-B&mO633;Nqj{;ZfPXg`3azSuyTxF_uc z5%54jc9aDrET8bSXcD9KGFHA-&x|Q4P;&xAqV2Ag_K9qS!1fP+SH`KL&1asN_tt|} zf>&l$HYaU>V$m>dcV_AX8W5PjL-{lfA+dSmQBY4vtXobgtPqq9d0_%vb*dn`*^LRh zua~(34jG6$G5jI?XN6B(r$4zyvK4U%+b`>AqmQNn{wHLt1ip2Abs!k4Kqr(eW&UQ) z?&)egoI5-8FKi^L9jQky!j{vtA7ERQin(f}PcRgA%sEx^Pk8Qc>k}=crHSFf z^x&}Dq(Oesd9dwejoQ+54@T=U2Qs*Zi6FGx4+!U0Ssc2IjmTtY(I=MSmsCPP3F7kK zs4bI$K$UF4s?J)iB$1Rai+VXJ#IJ}WPnuorxjMLeTHH28!XMDsr~eel&Y67Nr<5{0IUR@Y;A@;9jpV=IQ)fav5Zxtf>FmURe}bXKCa#R z1b!HnS_wN5IdL@uQ|Q5->O??x1wFPIQo=!8eglPSHcOD)B~s*sYxPO!HF;2>(>)(h zFiJ9oa+tp>=fJmdqF@YgTgnBb@p_1j?xSIt$fa=*QB1v&jAB86pQhCt{#uv6ddj0r^ z<(kdAXVd=O!PUo`Y@hbP(mIMGEuWI~hcn?&r``IP)##YVdL{nF#`WEpM~Cwpw+BK` zjQ#7!vt~Z;aC+|xhjY6Jt3P!ydxyvQ7L*n3mD$hscgNc{8688K-`oev zNWj&S3vIE*QV+Vt$=8q$dr{9;9S8@i_D`^O3`Z|NqN5eLZ|gUdVj*83}KMW3_20`J9%?b{#@T&UR*!ix{<8k zbRSqTxBE1jpFGns-QKhjowSx~H6Biug{yPO1HoVXH%K2lbwdNv*N_i}h&%XhC^7H}MI=$2I-i`RB; zuN&%A(JFR#ro7=-EZRQ_T^wK;@5;OIFL1Rjsk^MNAUdY}YHpD!m+MR_nmf`yRzndo zKy|S5yP3X=%2;f|Ik>u%?PapcxNNX{^7N#gkHT7(Te)%P|86mP?6S?Bx$e#Lb9lx_ z13Te6oLcerecw`hj3tZUx${%m*7c}9J#t+6$ENsC{r#+gdsa+nm(g{U;RHzvwpXmZ z1%7GH{%n<4A(|*i?+IcDm25DYmoCzzOubR%`#O}<-soWV(<+(ts$RMK;213?x)mW& zso;@?vBt6+1sV?NDJS94CDy!H95%*=a{3s$37W}}V>BM5AXcBi7NT{{`|OmN`<|9q`9;0l znIXl}&;pT^_$rpNcTa>ZJ-hp?ehGN45`;xkRZ3ib7KS&4L22;9AQmx+3M8soJjyV3 zC@c$Wnu%ZzusxnjIj576fs2Un^;+@f5?qwOMslMHT_MM=#a$D04rEftw`AXOrJTHd7YU2Pas}AlZ(gOf>0H>IrcHM)o+`iDA3) zRA6l2+i0SdsF>!`h(;INV4U;G3g{?+3NZ0Sk+ITvk!7rqqC>G_Mwp6t{Ehs1>wjVC z$(VmexB0Q~1ZT4&cVm2#a2lP|wzd6{{_bS`F8xcPMAFFF7h8dY zG#XqP2@Ls1+kh$0k;mh};~%*Vf15!!m^+($Iybrd;jKlGh@fYj(E z;#vJ+ztosZ{oy2#{0eBvfeujlbb|tMlm!ZSL1^N+4*@tR^*8|YX5ehRtt5LXb=blQ z{o0myAk{D%N7`~4d#M$)`^QrK#{@F~XE`jmd?dC=VxECfB%Mkqol3v;gtROj2}~xA zFff@)Otj76N9r&#%__NO?DarMcI{qrNVLVwKv_BV0>evut`W4~?w?#KO4p@PHB*&^ z1Azqaz)>`^1u=gG4#ON%3bLpnnx+Qxc{jw6zl)YG{TP-9&r?E@6XsDwMV2X%V5T1} zJ`wyYgghEABiCT=(M9!lX zc&>a{uJ!D_o;K367<+QYW=5k5(Tx6q6VgCTj>H~oaCj&me=8`juoVm;uAa||Kv@rq zCSzOjJyw30vNSnRDQ_@y=^jI7;_S~GnJzYt!F}m;OgxrDwMAgP{m`IfIJjT~Bv=TF9HYcS=gwHPqCfDp0>0bj2eZ!XG3sT#P= z!x`g>LVwOy>3cw8ELwph;0|4?pR0x@U4}0N_&{pr4mXI@SwhDuZ}>71vXT7W?^Ikf zI-rv6cx9hcWLRj+tTUEu!P_JXKagJ#`vGW=%jZ30TJfx2dD&y zYQltu;=I$gx*6D=$m3Ors3W~Wo$l(tUL;pTT`iufgUf)1l$aF)O_N(Gl8#^CVk|J zq}4xX`tEId6SX-Y#gs!{wgrvWD$G9WPDzC|+s zgnG^VEv==4G(h$=nxIoxkEj}D|1tv1IH&+4TUB z$|#9|@d6XTeNqRggoDsH5c*U>q`-NlS{@uqJqEzM{vUO~3~Q%-7k=wN1IR7`sZV&U zF^ektRK{l&1gG)%OE`&u$l-x90J1bnTooypaQ2tI+`}1q)D|TC*AkhonLb5!LF#Kr zc8T#B;Hb$I@KS;_J+RQ~Tm<8PCQYvFs!%oMe-Q7 zY)>V#csgYP-pVZ_G6^*rA7hne0@-D{jLpcV*wLrh;g_6X6=n@{TnZ{BmP;bLFc&#R z*gOA@SWBf3UnxEb%oa{KmzDvz;}8}NZW*orSSc9W6se|41&;=71>=K3wqWi5GLY$e zvZ!l?lDXan)O9u-_(B|P$!3P6c#tGJZB-@nBvjTS>V%8X# zWbpewsbp6RX}|c*<-YvlXD$u?$9dwB1KFVR%?AYH$X=Xh3j!Mkl<^|`Se+`NIX5%V zerAEj{fg#AeMt7*yqoT%y=R!+cEqztcGE~wmH7jK-U3s9(Bkj>6s`<%22fuRe>@go zB7Vi}BwnWa(awwaD8RhVR4zCrC@RrrW9aq zDeKPq2?P;MoRdfkxN?BbZxZPI;zc0*!=c|}s{eSAvUWLQUfQ_7x+)T|FsIq$?}>sq z)M5D6{*D<@lEnM7;C>7#ww@v*7~MA#-vmI$Z^dT){m2nt(7ouveDhc42fmJ9og1V; zIr{VItuSS`og7O1+FqK{0`j;+vbz_ zJOfcrDR~x~aF?;l+cBv9%scc3L=?ZW5I>hpM$!2kb`m8LJO)^ldSrlkC2%&}R&ooj zbdb@0ZSHV*+g2_yz%l`LPyt6M?$s?iXH}T0z8W8@*RwXQ*i#WfMVR)KYHOGMBncJo)OysrCHT&qC5wP(G zA+c1T`3eRRFlo}s^;?ff%c5UGX3Bp;W^g!z{#u79+fia96=lgJ(!S6P0>*$6AcKH; z8!`@tOLBjSBoWZph=1TYrodH^fC+bg!N)&lZpnOw@S9#y)|#|MZ*DTA@P@cH(d0Yw zTjU3O!Q~q&&>~6gsjOQpsZLAROMDi>AYDc(1P~-O^M@OR>MTKEl?TFsW4z8gzOH#R zLUShY`$vf~%JoEb*1PK-&Jb^*1W#Yer?6y07b>YtgK+jQcn~zR`AR*}AC1f%!_(d} z&vr2zLYr4KwlP@E9!KJ?AB$lB78haVCI44O#8o1=ACcd|@WJH3R8mYVf!8r`t(0|s zvsABUP*}XlXAhhrfvwUVME_r^uKuYtdMU8tX65>K181)PZUOjGsif>?YeWjJxB5R+ zb;U~huR19vF*Z_`e|4&5665^;QD4RN?}n`ZU0?OON#Xxne=@HBp^M;Xip=lw zBmIf}SKKHOMPPm1M}zLSthH=W^J1|<=#f^qE1awue;#8i=ybd?FMe4MSP#P76RmVp zsMH>;_m8i3JnW>LuoUV$$K3e1zvt`gzr5Wak8va3xdo9SpPfA|_0MfG2Xlw?KRmm6 zUUhfy{(8RBzB5YGw(e-N>6j6;PS<*%9lc({JZf|$5>SsqKneXnj2}5qJtoYJCgq6;-B%IryZ++XUy(8)=0pZ z%kNd07#~LDv$=lt;^$}gPl5NhXDft7ijZD^PESHy_aWh|IvFdriybc#Dxc&*XszL zxA%T;$l%D}xU|iAMDFl}{=SJI^4BF8=$L7=3x^86$L+J${#DM7JFY~|>>;0!emQ== zr^}N@R6eP3N6EM$d~jb^Of^~~6RUUC6_dgEj-r)`)KQ9GRxiVi8bNN}#?Xfxf3Op; znD-t!P*qj&&skC0LzZ`J@$83{s6G?bN7a{fxVL#fvNI??{al?sI@CJ22)X)(pY2Kf#7+o#dd{;MbOI!TavYynHajxL;UPJp~|KWYkM-&99 zSjyd)>xgfhcdob>n}-Oq64LYy#gxeO4aW-fQ{vsbnt3(;*TOzJDGZgk)z#S>Lmdy9 zyeHzDjb0+%YR8k}HunY(7N=LMa{68w8fP4idLckH-TR|h63>|9nEXst+1UKA-uu=&YK*EXl;yfYnx5?K7P1KD;#_v6NF|Z@4gwg$jn@V zfxuyqHOY8z(@%@)E;rWX76Xz|giP${nDSo>iYq-T7lGg_>oPaXJ)FB~-zUyF6xcT1xyQ$r;&@LI{fop#jd@pXB>qF2z$wNjMk@LzjRbJ_1 z_)O!^-}lt_mHTQTQI{PkA3k3{dqw6;-)*@PN{wpFxTWdi8v*NgH8&>Qr^>wmQ6u4Pmq=X>ZT$x{XUJ;2wd-h;Tgen+T%_p|p}d1KZsXRPVQ zrXpLdcUA*EF*YM&o@9x7ov$<&O3^Oy*LN=ZWkQg(Y>SabHdn72 ztS$!yk|&YCAxsoAgvNEg$r1}thew$&<#nWn=*qM*+0$si3+=VBBMv3aE9#oTW<=-6 zt7-?5r)(&Y{`u&eI{p^j4nO4$dWuQM?}lKyq+WPPKQD+te0a3^Qh^dINWn~qo@sdB zJ=q%39<794YRU=?a`i;{T;s_SS1!t94UmJ^+W@j<$Y58LV9FJ^U_#Ah@SmTJvF8XcHV{aI!I21&@ z>QhBVj!qa)Fs}jciWy}58AzxdEcgu_!B+~M8Hb#-_BzI3MPy(dE&`ihfl``5ldGtm zmvB_+5!2$?HRgJJcai^dc(vKHTj}?}D#UuzZMA1!^@>l>_lXcJ_%PmcViRV-&{_G@ zCE{+`!rTvYVu$<=d51y+!g+Jh44QNzNv5@?=xO)ya2@i5r=1%Sn>Cr;`Wn`O!f41= zaD|_vb+B{f?9eEduyUqt(dZZOYLx8uWa~bpVpyS3b`C^?U!925(Buk@<|&+QPY(2^ z;{}kdLIfM}LJMC%i80^0r4H_w%vk27K<_Dm?~UmB$_5BlK*1a-d8U{AbwpC z_)mZe*l^KZwI4S`q<2F@T~k_v;dWuaemJds>xLQpz5#lR740_pjW)vDRg{^aH>sJK zX{2w|>ySd}{60@YuwGHV$+r;gej{Ddg!5)i_H9k%bkrM~0IfbKOj;9|x0kfgpAAU` zisMLCAt0UUkXOQdnW@P?6Mou0b$T0-jG#cN$)G7x)ZX%LM9K{k8p34?2evC4vTOY2 z?Pr~2&8U^Ey0;321J2M#7^E{G-ygEjLY26183o|HnhU{5n9aUebgT-{Za`JF;NT`8b|gfE7(^Z9%8-} z&XHQ^N2Z=!>NKyRvkYlop^$PIb_8}@X|=3`Lu3+;7*xBRw;lP;I5jz6er6x~b}iBc z0cfOB^a&4Cz`UlU$cVp!5i8|p${7rKj)y!7((~mr>hy$zz9IwU*+igGfoBP=K&i~2 zDNxi7%oX82-&AOVg+Bwr^R0vi?ztZxE6}Jo9Ci76Aq3#!Ay1fbg(jW)W+8~Ukdu#u z#ikawl*dv4uoNwEejsq6pVxBLu3d!NqcR0XA zF$g@rw^_k~>hOU1QV@84U734BYbj*R7w}?Qusr(AI^t`+hCFJ2$82l zOWMO>vOUI6-iMMiUS7ZPlKhu7?4d~$zFCsOF68(lVVrO&2V+8G(&}}}p>&gA1W2a1>-dobc8YFq${xsE@M?jMmVicfELTI}QTc^z8#b zQlHU<1C{Q^7--q#xCnD}CG4~7%_{s_kR|Fy-DRZ@8OzO)9_V1Zb zY%K?hN(Gew7_k}2m2|VRx;75kS$QWQJXnaQxp|jEIXlWvaf{uDf*ZpXI{^vn>n>ga zp5Y<5$fzB^X}8#Ud(2&LwYvq2Hzu5H5>y3rgA6-q=)YR-CDE&OB7fPizWi7Mw_9>b!9%ViDw?_N2vI|WMYv!E`GASU z!pfR6J%L8A5BUKr`b5Mr6=PlDDe%YXkH55MI)h`B42ErK(uwT%1jP8-w;;qQn#wsx zku%1vzx4vcgGW4J<{Fx`=9d*N?n2Hx5_Sq_ZTmgKr4hO0-{O6H-mt#%Lr!VkTdyZU zufUOlS+cU?w;Ik2j947tqnu#2KbaT|j3YkoXG%T2V*kl3I3x#79`|Hc$aYR>3dmQ& zP|#h^f`sbG+<_b8h!Vq`i#Vg=+5~NbZ;iM2#qN>@XSAn=t0gkbm;&@B~jfhh>Zt2>>_mn#0_~%KOL4Fxi~Zm z0|*{u#9F|+8l}lS*`8o-bmC2|>Lw`ESBi~*+R>xGp@!sm(1aVa4J0;eMkh8XS@m^I zL~w7>7RcTp6AOlQ#3%kt>8kg_88}o22;M)6d}1hy>eC{j^FqDpVg?)K!inRaa|4at zpYg}_S>&Gh)Ngw^khPf2ugtFu_%m$qVeX(1IqY z$}qH;J-=)P#Uj44D?W<79@ZaLQ=h5NfG12`;KRC#>y{#alUX7}JQ1XOV&rG?R%k~& ztlnT3gd;`r9%yHHFh=C>AmDj~(I;6+%b>zV5eH^cOiJ9$M7!Btm6s#TY^lu#rlU+l zxBWw^aaD$n!u`C$199=NCd|}BlVZUuFXlqd177)5CArg3*jMTlCJySBuEr&k$SE*_F|HmjH*|6*#M4t^E1&SxhRE1!j>4;Xs_L!;jx?2yZ-y^?5 z<-BO!Qw5KBZ_1s8_(Fo0b!eZC*Yj|1Wn1->2CKkPAY*FM6A8tXohv<|#xH6p7!Mua z*pmA3ORnMXKAvtU@KEF;NqYadY5?+rDlY!Iw`q@qX#wpDT-5nGsEVl2KU(KQj@$wo zMF4n0D765d8m0YzCNw$5vcvh|Rx9YW`jjunA7+Bp2mSFg@00|uv11Z=W3kkuQt(Pb zQ@bDg^7RTwBBAbqRY&slhiq^D2Xx{cDAy*+pB7Ag0io##N}uXPWMPba-R&lvgx)cON(rxAL#!&(v1dL>u*3)~0~P%s<-+!`f)dHP^KF6iK5j2{zO z;Lz!SDj-Ht+$V^Yy0fp=iN}>!w)!zo@&*u)3PG zQP8*rw*>d#?ykYzHMl0YySqbh3lQ9byF+ky4^GhF4rh`6xc*WjDQagiwj4u&IHq!bLJ&xY zK#9=Sfu3JXoYm~#8(rLC_Y2a9opiiz&_mi(re!eGS9 z^C4+ z-p+>)B+JFFiw4^@g+)lXL#3DG&q_cb>?yJJ^v?qmKjBgc#6xASKO>?|d1_)_pKF67 zvVxMnMR;Newt|}O3Riv$`gbh6buWjWc!%L%d=6i9O4*;IqNt3W4m$XRu^B07J19=Wffo~Tms}w{yD%CU%HioWWtfD6<2{_&;{d&kV#0uxgy~!QJKCg4eZH^0G%rqCoc@1$?@+B0DD zG36AcRYk22c8 zTY64DtM^?)W?c)NmTR+ClIt_8=W2A{$vsS8-wfDFpY>49ZLMWdmU5n>l{IG3VPeX} zL-0ou-D3Rv!8&eB$BAq3LHm={4O)|?_ zMSS`i>_dE+BL?k}eWd7PPOOUry{jKPmhZGZLUtQValsd9T<=5J=8t4;t^M~^`;LTp z>rv;vykq zt-Yt+KJIzh?doDZJq`MB5E~gJ~0uRdALoH(!hPI|8Zdrw#y}Q{034c}P=>0uX zbIDbCVVRS9>|Nzm!;X44;oA9)gEmfS67bTUf{%4<85A+L{ph1ZRcLKwqpczm> z99UTM#byrF2L7arCPZ{a&~85dA#rce$h7k%#YM<$wor?zVy(M^_~EhTsw!`ADuFlc zO#-$Es>ICh&&UPevt@^&oTza2Nk1c(d_S!LV^ar?e!Jpl$~5sx>i<3L3lYvTXWIp- zseaPg>k##3cihPZ>#c@Cw>COsWjwkq)!PkHZGi$?BH161byROx!WsyHL(!zV-o$#Z z&b~uL?H%A~y%{O&x9&zM)|l4CUAS?+ACQ^VdcJ~fa0!-&>E2ki*k0Wm?bXV*Y01Kd zE-Iyk2mh%El^RZHrY;vD;Mb8 zc{NF_-P?Pfh<)id_T;Cp)j6%ro-KZ`YCWmP^UGL#+NgQDUb^y?6&6VU;j?y$Sw{u6 z(qwC~77L^i5W+`oWqVy>$aV_oIvf ztsq(n{#GCEL0?W>e|xte0b`L0JIkU@H`3ZiVY3nK{6LBVqr_?R&YGd?I#7AkNP5tyGq{x$P*S5&Z9 z2kC`6)am%#xI^~jJt0BQBVlcR@1J6V8pDaXaZ?z4gV+0SDrZmg@plT$-;@Kr6al?+ zjp*oIGV&qLqMh_vpfh{tv{*rn-0hysMH5=K-%1aIT z`*I3Mw8<}8unn4Eh!BCInSLwio+9LsczIwQ$(I8QdcK&@ftuJC$o;|)?DmF?;`PHF zh$>aJBnY(Pa~D%|(dQJ3;Wimm(d-Z@BMQBO970(?Fb8b74d4$YIpbw8kS{4dY`RZ> z6uSz&ZZ;=S+$h;YYZ%DVy7+$6R?aGAm5se9P(N`SohW|51QcCg->p)>pfgUOp*T^2 zZIHqU6c7>027%O3fvtogzx3BPTqO~puO2U(pNlwJ;AGSMYCb=Y-}q(mqe0YvkL*YY zc?Z#v2f^|d^ygmHu{GW7Lq~pwHQV$J1*3#(+(3^hA&mu?$TX1e{8TT&!IRQ=>Hwr= zUuWX-Dcrd=$@stp3QQ?3Xjs!Dtd?0KDX9v=?W|As$iX&FHCXn*$+pU=Pxa`=>I3ad zTD*6=cVLs3uhPvNy8-Xmc1=Gn+w2EcAbfsrCp{W}2iB;9AM!?Mt?m>|VAws2!U{fd zJ6TMH$FuM{XaXOsymb~+&eRreab{_d?O(=X&k zJ6GQD8%B3X-ff9%`Gg87V{(1J2JyPNO+fa@#!)l)-g8c%#NlSD$yQTp9T+ywNZY$H zUuE-=NGm9M9OvR){x-D8ctG)12DoJGx|1r29u3DOyIwU68n8he8yM3I4l$qLbVe7h73c$ zb1xxXR%j>gr*1U(u;h8lEDj8CTU7Y3Qw+%D-5^>Rz@YZ-C4E(w_DLiJ_^XDY_j9+C z4cun9HNbBhAH87{1x7cR12S)%gclrAM~nz^z6co4fR--`w0y|>(I z%MhL4BQzB{^3-B#rr+f_Ly`96~Z_jj#T1e`~e3{^RChQoYabt05#1;Wf#QW@qu^thL7hZv6K6dC^x4Oan&azxCqLDrC#w~XvKbqo7aW-JKw7chpH|Q=1LYDU zj>GV4QTuSCnIV8OaOSJ~iP)`dq&(!aCD92hMw|L>Tl>2*o~_pWoa^H`AEqVac87`j z{EyCO)&$Q;pV39${^$1kKOHT0cGiE9>m2_{;QoJbd;MiMT@emQLh{e;_5Zj07svmE z|2hKrFS2^uqqW_N`TqEb@P+;N3o=ftrJShc^tc+CDG_Krt_--_Z?JJ<DwND0Pkve%iBl%?R#`9dF>B3^hx>+h?`_V zE_n3T1a$PxpWX(c(^)^e+-{7}1D}r{yqFQ_X4tI{(#~QJ+|_h@J6A6|S0nGog61w) zlGb{p__c+p1Vy}MIHMk_b+wf*f$SVw0Xm_-jUNxUIb`RUW`%9HK-X$tHrcH`Do(FP zxq+-`nEiWqm2Gjlag2AZ9y>8Kg&~5je(0!7F+E}C4NqKr+`VO;w`WQ}h@j`$F0|c0dip%~kK!B|? zy%1m(SgG^T2@NehYrjqwt7dhJpJ}F77(Bg4lO| zRGKpm8nX|&EQB=+b~)OGGk(O2JPjL@(1bdB)aP2uN{fH_COIGZki*ltP0TZ?KYSKZ z1_2WEZ7e)fB2tv93nz@$29b4kuv80fK=~27?>u^5&$!ItnYYoMHh_mISG zeYfD#(ej1|>OTKK=0$cMT3`312F>Zf;O&q;p*JUce+oc=iF@OL^9gj-+Nq5ueZGBs z+l*3O72ip*N*nYGN$eM8+f>85ts#IPBlUM#(YmPqj?)vJRCcFMI;BsFhDB;2abS91 ztBKg<)F{J+6ztCvBN%u5aJS$M`~qE;41G@Wdm-Te!kKpuJmVss@&7Tv=m7()w^5z% zvnSM18lP2c@MP;F&mhTf?|i{ToUSR+DuQp?Gm4xZKUa?`a^K72dWSP>mDD6(R6k0z z;s#_)3pWM~`yaXoZ4;K7+8hX8;S2)?StrNJKJX37X5brDZtwTiygfO7=uQc2*xAB3 zQM1xtJ?|qWy2mf+;vwFuk>4!PEZuj5u8plgv`6J%vYttMpIt7!eU4p|$KZ!L zF?d_Ce)iNmtt&iUK(IKK+D^TaFpW!A~(2cziqBt52tAz0QuD9~09;(zHD7d>&oqEc4K@!y=qpWSqyDX6*Gr4`iG+*xWZ zU6l@*XH}>=U)mi?O~oeFdw+ISp+*sd+BwoygJwfR;Ukj+|LE~0 z{Y=48y;14)-Z>=k(E$d@K|N8{!LMoj@1xZB7FfKJ5)RwYCX%YG&(7qDl)GOPwcY~< z^)t(q+|vu_WBZu2I751w1~)CPCJojH=e<7ejL7}ky!ynn=zinrS=U>2G8bO!mPY=# zrCFvpRL2Z?bXR#8W>oalMys+zxQMBy)!&pmn=L~X&Rm~NJOW*s&Ry-`K$t{OdBXbS z>Tb1bQl&d3mk53H9&yRxQ{FV0J88^zlvR)=X^E#7o@BfLO$n_y)oH9Ark0#Vln#rf z)BVBN}a;qmW=r`iN>^a+_9C3vC6>th*@W>B7MY2-+b}VLfC%|? ze_2Dhc&L-A{Y+0ee!TfGw2URRo2Al0@Irh^QO!ACqw=kCL`G%;QIwskXEp!D$M%Kj z<-SR$iAv#=FKl%g{#GI2?<}Z}aB&7dBs^^SuzwkAa^yBTz`*X(S+dgX`?Vu^m6j*c z)|g~#zkYkfM6$aPU~ivrg8)9xXk0#y?KZsaT2u%K*msEX+<;JB844Zqu; zixG4Fj?tIG_BM$7Ecu+xqK;?q3o?GToa=NWDUVRWDTQhmi4#@yNT>@7`G#%s{-7S= zt3qQ@2|^ZH@on8{y5UDA3x!VZ$AEJwmtM;|=$6mUI-_X}?_p(8D!XZ{1- zhx)~Ljs2!SRY}${@6ff*i7aMl zxcz7Qc(Ard(xT0!n2VmGz5!1qkqE04i800a;V+Sm&s%@ZmN;LB5t zh>+Z4q3V?^?2*l@;zUW<5n=zw2|vZ_vl()MEWx~ z!>Vv!%B=fphPLig2QQ0UaX~B91!b9mQH@Z+1eK}~wG&m@NT?Yr`J(WB`Q!Z4eBa-7 zf77VPa#eMW){D;8V*Ja4#LIOh*1|{L3bhpnJjO~_Mau8}c`d3q-59qKvlgV^g|ezF z_yUUWK#ID*><0)bh8Ait)CNh4AQTpni{mLCx8w(@R4`N&A7hTaNK6LLvC5BY9B3 zmc}-*_n`T4LpE>++$bLOQA%(0gI5D{{drsSW`?=Af6eFn;~MeXK*nF};ej55-`+(^ zwCjj(vAplKkiHwC5-wm`NS87s5y<$;jc3rHNSggONB|bMPd=!SxPMP=Ylz)8rbi#h!If)8PbHGO=&to!Sc_40cx+P#u>@&J97hGv<) zBcippH;7}mXE>oqR4fwyA-sROTUa+4Py4nw2Nd%j)KjvF2@iF$0)l&yZ%S7Q({d&UK#jOFzAuHb*Sqxof}0I*d)|UCm`xkSQg-qALlu zAlU}d)_k)glqauK2xJ1Nz;8hOZgz^M($$j_Xt*EB)!)PM%hTQaV0ESTlS=j+5?z^k z###$heM7g9SYUGWHd!KY1SGHk(R%snfq zN@#e;{L;ZWh|gFxB0^&iKv^V{du5I5I8my1M24HzxlW4G@Np~>VKB**3pH4%GWRO) zv$s@jA*FeowsB-U1=QM}_7P7!5bF6>&nI_@LfjMA`hykL&(8S1Ro4;XTMC5L zp%Wq6P=<9VsM%72=x=l6bdugGNz?GH`9O| zs3BR?9>7PY5CJc`$AbN*BxFEIzU)9K2eH&bI4h&R>^?=l>^>>U$zz>Qe)3M?3zD>< zZuT8qkE+j%QRJjWPWTX3>fghctr!^~d8kY^6IMhjn{DR=MwN!9fJMSt7Hc3uDB%a} zS}WxB$?Y*D`clD7^~uAOi?hqWJ9deNwJoh@9%sX(ypI%W*C5(bg6lOyzdHgWE>NIQ z%^kPKe8-j0wWE!_bXS5_6R8j1D<{zN_kkz>I$Sa#wY1maxy6$ZQjAmBvT6K2!jgHx zD$;coYzZq?3h*=Q=!vsHH6o4F0{D6-Jj~>9alSRv+S)iO{uYey@HK(=*Bdmf`)y5SMY6=k%6k$_ME3Z<$asS{QFNa$A< z@*E}y$8Cm$S3x!q32oHXnne)d?wOJ{Bu#;X+#fCcl59~DTsPbn`Gm3*DMdxe9Iz7% z$dnBV6c^G%B<+c*bTr)Ttb|8UJ97fySi?no(M+AP?6?xdY)CkFYzxaIRn}B4jgy#> zI5+FPheP;4q!leg=Y857cvMEf11aU?unL{8TMir46CvwTTot(&O@y*0sYGW@{`8rX zCI$tQi|K_UEaVo<_m#&a@6=wkF|Y+I8Y$fr$m=9SlNCpsABW&RnByA{mwFv-`V9tk z?YlFD1dDtP#%xj-^TBK%>h9*B;37poJH{Ph;9#gT=d8>`gv`;QYr|Bi*NB8rb^eJo zviI9(!84U8q6Rz|fR^_;PPgnwBct+S~dt#FjSS~*v z!^^_}Pbw5vjQm-%&_Wc}%TvA?a<%tWOmFA}qAwwTd^Vnu=_=%mL+R!pqrSI>%Ba)wp4;>Ca-${ z6GLYeqt2tf6himD@TBOXorsu`YHToJU|7{)WHQR0iou{3#N@_U*b(Um#zgKPSBA~A zJ|X`Kgv&HT2vQa*AI{(HIplaggRs`+K2+_OEdy`FTC}C{mZ#U+nPD`^mPsk&3j)~2 zsu_upUQx2y2pDx{zmK!KBG9u#s1v9}#SnjbS+tIz>=*^#j7-Y!upe9baK7Klb&PyY znTukro-gPLizRxK5mc%UTRmR{0Aqf$>1IhIi2`XFuWn@y<8Mcc{e7o;-41Oozu5(q zL-zZng|#-w`f3kro}cq`=Bppa;tozi9c-#NK>;~u;^W&pbaO_gZ@^E*q!t9sgf0B3IF}?!Z zr+&D?REFUSw#9<YlwnPvT7E7|zPe1?2OwR>s5P)YINr!j<2=Yv?yy4vV*W6B%a7O#FEU#>$j# zc;Kk0H)mT=ITQ%|lTW5^jaEoA^&ZD$=RFtgT)Xtz>5>N**0M?@wzSQb8^9nTdCP^M zQ;F(OskC4z+f&Xtk$51yP$E&_^Ea?Y&fyK+mbbBO7H9Ku+Z^-BP>-NfHOnOMiu6T? zk;w^2aE?K(|CT#pWk=*N6|M4sqCudNG=@|VtT)GoT>r?vJ@W$O>F`nT8RgNp`6O`I z5t6>Y_+V&VL_LttSS2DtWsgO*S5h5#@M}3yN_Ip_os=^0mPz&g&ScPJgyt|>bKZ=* zi0)lowwNmjY*8}t^%4g@2FMn&HaQC-apkr& zi+SC@s7Y*rDP5M0ssL`Wzu9v|nRr{;g?nLm?M;+w2716R!3h)(jB77M@@enE~fyPh2$;TJFi&Ilx%+ZzVdvqgps6 zm$`D#t$LInF{>VpCor=|@RY23hqW+nZWNvn6PY3TkH5}l`L?{~jc+QM-v?L>3(Uwc za;_LJiV4-?sWNuDMkj1Lgk5Lu^tBe>9t7x>PPaqwbR7uxg|U$8cqytb&atKf9YC}h zH86Tn&uCQrn4PFbM?!7b$h$bN9Z9yaUUhYPP4?TLi=_R_j>$V}uCI-oJOW}zt7St) z=^&dH-;MQ~a;TAHW8I;foD(I^1j`>c#SPT4Bip9JeOTNM*e(qbGJ!;*B*sBk?DnVaSxx>Ai*Ql_wzEg#F z5uar{nPIC~R#IQK^2x?0&!@Se6FTq377YCJbg_){?%UM!I7@SjqZ<>>CaK$sru;Kt zQHNlJy4pfLx@oaOz(2kzy&^o-1D<#u~ zv5wNmListhOfPkwh17$B@V>eqXSP~~?lr7+6?30j+^FxnbIS3F$JLS0UzN5k-3h^=ZLNo)CeLoAJ9{%bKUwrr#emt#`n6Xjc+H7gP3hWTowtdY z`fj3UZ4Q1eSwnV<=EMeYrhjV0IA!?&05+pz7Cy>&lxESHMNb4_d!=VJalVJYQ=g=g;<(_)81LJKaI>>JUrA4UG03dE zITr7P3;gItj}%O72n&3H|LC0R_f>^#)lieog(Ih`M!r92sdds%Ab$mayc?V1pxV-N zjV5xoJW_j{YJTLs28}p+&?bpS^y+v(MoyCJ^*F>%bl<5?-VI@h&KPXrfISCb*6?`7 z=(0hNYT}(25TaXo4paEG*YmsE0Z$ZkZLO`@+4tla3CYa4qTnY0hk@PC^ODa8JLHv` z(lSnG%Slx5fpMK-?auTu1dHY^N5I&neH+x`XJ*Uf=&Ix#iIW3q}f$8=2;$e zp(@Fa0JmS$L2}6)i9W^cGwrc|H$;W@Rd_oB`M-m|_`XU`@>zOS4lOqyoEhF-cD8C`%4R zuM5&#lAzuhHHLN=Ky^IOah!k9-!KZRRGKYURL%zmj096NeKA3zWQ!3nX6bq|Udf7$ ziTatL6y^*H2FArABFe+(F^U7<&(isVOnZ6@)?N3rP{z8^w>d-wl2T4y@G-xC;A8S7 z@$xdIewUviwg0#x0C(a@@G58klsjtsYmuJZ82aI^gAVSbd_IEn%!lC$_|NSc)_VYi zX0CUS0N)SP%T&jemf}~y=>l{qikC*(W0R*X{A$Pk7vqM z(5Aga6*QfNT@b&ju}*iJZMj|?{(l72KG{Fi_1wmnz&9UH7C!+~2mv%SCqS<2{QYx}FZY&bkNH3jjr(dE) zPY3^qsm%|v*;m5-!M(izZ-w?m(mh6~uVWVjuIBlNY~aWA0JlAs-@OC<|DbdpUkHho z0Lt%RuXds(KpT`1b2UMp3N%5ibV2r*+~&ag9r|K11V)FaXn{B&kRAy*5Uw(io&h)z zty+*&3=EK$I3T(G77Xh2 zo3upD1}X%1ljlok5)jr!7f7MU#{(jwSjNJp2X&@xk1AjfmYUs+y1K1}bTnF>Y~~!5 z@gm6l0vPk~_=%{pFhG#mcmd9YQZ>W4w?Ziz85FvVivvWI{XEj)OfYU;sL#qlf7^xb zad-FauIm1Re&EnGjY3#WFgyo8jh2h>7Po5vwQRayI~U{Ae9=uw{7<=3zvs`8 zH!p45fOO@JBFmd^W?#f78GJ-s2sTxmZyZRDZ}AUSBHSH5>bc)LZt^;H-KSvu9Djdwv=dv-S5jf}VS_<`&?zB}x*QI$^g^kgD(L5YmvXJ#`?Hhl8REJ`iQ1BGViqU zIKhNz);|E|smU}WHj1%T?N`L-R4kC27>+fO;s-c~&}#1!9F*0kSwadc|FGzkiRmij zV(=EinN8};I^kppa@sV)YxB!$QXf_Oj){7TE&=O?VcZ;pL{^n)zL<5Yw9xF2byK1g zQ1S}sB2$UKf0Q^TLizk%PKWjBTt#MCZ3F~yp;qv zeZmECd_i+Auu?hghC<%hFHkTO&av~ARUXY^@y#uT{sz`iXvzC-U1aHZS@VO#gY?(GHRYI**MP9@({fMJoCj^97 zT4)G?VrUaF682BStv*q}40EmRCik@%e4B9mwf=O@rMTYqG4pA?=odjBHt*-{p)(FB zem1Iy8S(jGQNwm@th@Q5y)?8~xwtP_?~H^PZ`G%zLkcJUc#%Z>@MOJ`UQM3W^`rOU zCp)UE6rSf8%ZJcjwwF)n2UcTl&(ozyf-pYZo*!ePE>C-kzK6$;BW8#;b6_3M7dNm| zZ@jQ!F}%K4r>|PVx=!8n;JpL&$s?<8{xcBFkruzdOAA1be~#ru7OP+3QgGrdQ%;u&lps zI=t6sm76q-&X*Xjy@Ek#E3a2!KVaVM2`5yKk7XD4ZiuRvBXjLgSDG5rAJck~ARY!z zYCT0$oUSw?>_45bA0TGHHE|KPTR-fFv6>1vj>P&4GMQZWE++<@r4D7~J(VourVo zuLg0|f*p0a`R9grAZ9=TH~etE_&Ynb-^&e0Za(N&4^B1lV~qq1c0_d8T|>2k?R8$d!V!C>X@5 z?)`TKnpX{6XQ)?ED$q_Ajv?{N&<>iwhYEC^g(IMcA-+Vn#tiN?q>%p&`;`cVa8-~r zdT12k%Mp2SFbsu1#qM{kHw#^X`mXRsB;uPu%*4KML@D1_rJ-C-1=pXX#=yz%)i$p0 zg`vm_{(pkk6!Bakp;}>kvPw2digUqtPxKuFvP%&-1*+ct(gEYHZHtxD}sEekdIz$*CCjPseAzg2da|0kE@M_ms0Kz6pXN z68@p6)JkN;IU9p}N;C;N)Zh*f{Ay4|5nk6gBpmM1RJmC{xNv!R2C=UW2L;m=arb+I zf!pU=7>|qJLj}pC)w$jYluulk)5%8e!{0EnIMmQ?mBo^QM{Obk1tPD8N+HF_FiRsHXqs{<|T&kh|h_ zb_ChI=g3yOR*astcS>b(Px^9I&tmdq*;f@bG4e!i_$rgWhBx0Ob3PHCl#qs_H3uLU zsD^S#BnT9!gmP#k=#{8SROe9rk=z7y42=E$#^J>&V%=38*D80NQ(#;lN*VJBsI{u{ zFnWihVx13vha1o|fCOd(1T$iT;J2k*#nBkhEEbl@C<#!q@^MH?pz+#5uL77AvAn3J z)TzNQVB{3A9_4D79#Vu|6tIXeK03{*QAW}rUZ4U)IPM1N3}}Wb{)W+|Z{Yos88=bEj zB&cI~dg!H##8|GyzeoCOi8 z79ar^vO-=RUdsw;xE3`w&OBGGw^hlfk+Pa`KPzftC(eur$!hh!zNP<%a(423X4NG9 z*7^3hBI!#xrS1-g?)moP+oZAl19YX(r0Zw*+kv@fTi54n=SzC2v%KeR&u1z3e(v4- ztQ{k7`-!K->*&1aeee5+xBrfu$E2bXjt|*1e;%E*bl+ zJ#sI(2wEI|uTYS>C*5alC2esp^R<;5{2G>f;%e0BGm0E@e>itMyoXlH{}j-Yb;T=P z!n1Tkp3Coz1497bfWaWasoUngOZTktwr7oA3Fqk)BhmOkRthYoRB;($4bgzG@qDsx+&VDckRlC%2et7ySNxexo!rwPvsg9 zh=+*l8yzWY7-z@U%K4X!=)JBd^5-(^Zt=1lC67*y zc7gTBR_MjKe+p-Eo7VR}_`0+Srxz=ljnAJfo9QN_T?v+*RU6BvyJwi3-&mDwk3REz z^!z^yXD3J{uxn45o~wM(?I++KZ7;&v?g{zPaYbbYE1$(bgfkQ+RXNxr8HxPEXv0B8 z7Bq`TC2rcwxzEp5LwBT?rO$FzGgs706SVH1+K<}}eXeZWEu#@wqY0)>kJ)ZyXs_sw zQ(8a?(Cx3Q*bTHs9x-inNBiY@uj$ES&jkfb*cID|n!|p$o9K?;K{k}_j<&TO<0sgk z(;@+1mdyDmyJBnoX?OKEemSO`c592##0pzebM-h|%bK|Jue+H>gcH03J8SbxiX^Ce zr-v^Hwx7j^a-4&rw&tY|pkt3ByI3w75!P5*svm#v8eUhoKm7QIaCQj@XH)+a&Q!J@ z`0t5J9vw5Ls0`=ioAKy_$FACUoZD5GYo0kzmdwv1v+SSPC^j2T7mkNk(64kq(to-2 z>anh5jfwfh~;N|)Ral-RD8TFrsFtxQ&YwGN7C?x%XA zq*Ib8pafVoisqvZ|0~1)vUyGBnRYbq)Fi%j_0H9sPhchey+bw6UHXa}X=4~NRYp=K}? z@ll2a5`TN=NaCvqfMghJrr7w`;(vvuToT<(VI0vNbgreWuA<*0c2qvp2U@Zib5`hk zP&4_wRXFH7)0ChoN--=25M;9YiWvZoHYjf6KX~pyD^2;@j3`)sH0A28-rw>T;TqY? zy9|uFw1=rx;8<;;a z7mWLEq02TBL@Vj1@{j!Qv1Ws&D&}`6Md&L9MdL;oaaN?2@F3wL^!XJOJx8r-`L_eC> z|HRxHy_g*vyZy2;Y*yecoQ}M83{oz^TI|hW+hy{BNVXScya#q^CP*AjOdbu;7l=t! z(qHri=>(CvZ(JACIIn7N9BX&!EraRc#ORkf&iHZ1ZD{-V;6!V7KboIr%&Lnfq~)w) zluRLv(hx{TumlH8{3RSsV$7-mfq|`jgpj-AHERUjP{iNeqY;H^>Xpp_>foNtG38g5 zlK9DF@(_zPUsyVs*SO9hq92TcwU>favcQL|sOnAgPK^A4sX2W-0E3GYXv9gH^M9Zb z4E{2w8K-MD03jh%#(6?b{Z0{O|)4;BPTag#k%+jL3$xIzqX?LbF9DYX` z09zRTP-0y`k+4WnfAq)9=?ub5a~bLb`D*`Cb+e>%{K&Rle=#xgxs+ZU87FD%o!5XQ2L65Dq1U@wRJziQ!Oo4cPj)KVO3IF181 zAjXgMHT(aZvS-+x5#CFRXF1{?t^DP85 zvhq57hrGDFIj#(9uVLNZr18GpzFtxD_*LSQbm8fYc_Q~sUOTbUq#kkR*VW;%A7`z& zKn}`#fR3yb4^WPWf>p*QwV_O$6oYOO`D-x}G_fSHmTXC`dc`xD_-RtLsZrhDOpURbGp|5yLw^$MEB(7UI zRY{9UK96e&jeAM|e~Nc)&&&P#-6j00Fgh*ZHp{YWlMCqMEtO3IDIFW|Wz-c@K&S<1bj3N9%N4iQ*CC zWC`k)G8t%!WDH9J1R0gSq6#*t;(++KIH}FPD>0r|oXqbK_H9)Ld)Q@kL??5vOew2t zLn#f}t+<2djuOR;V=k~A#d#X0o+2r*R4M&t5EOGdSPQzqk^O*gO)LPwr&=Dj*TfRY zcQH@)>Z1L5-vh3&_2{;z8kIlpD}wzWfz5`bbk2@>=mJ0J0=qbxH2@a2(?bRsDwV99 zgx*VsqNv>z+wsD`?HErtI{%yfMoI6O$CxlkUh>7N5oYxglZ*wt64h;f0F~kMz7`wi~l2Ww2Ls5jpCT(~J zz-K_}YE%8UB(JU`|22704#gKN^#~l|j2@%kckYKiSYF6ck*$#$5l9i2P-UxWbP9V{ zY$UWE)kw#9c|0-W`@1?d6vIFL-+oVag5fV=L!)&@!;W9iFos>!kttGKv5by@ejH0RMhPQlQ0Ykkhk_Qou;8Bla4S*67 zl_s|(I}+D-JWc78*$B?*2mn`zq$7BOq1?&J&QPJtjHr#w#+(zKxv%{$2ZwX1V}cNY zQ(UlZHMlQtTmXb={7d;(lTy4;1viY6XMo`y;rJm++&s8of~k)o((8RSH^ALanCl-F_6G}K{!ngAnA@e)8}&uE1~0yqjS^= zgu?jQQE!;Vzu(?$-2d!~$9W~vIk=rySLptD_=7J^6F{$G2j42)Z3%iy?uPRJk(d6X zp`7<@fxa3P;NlMuqI8`*I)Pi0sD>RM#vRMpSn~lfazKik2HB9X;0H{9gzq;j5(-AB zc#C1eSVmD|!^vgQ6x@YZqd_-`77eX2Sb`GFRzVURdgrH2fWE84sBb*3p=`6{(625B z$-8nG-hy4ifab6-@Xwc>rxEQb;`~uoSt(up=;}NZT7(351r8m0eE@N%B&G)dX#GX}U`*bG=1(w^51rrc z0Lm^pwx2*3$KbBxuU;Iy-|)m?4~_dAZA0ENoslfN6_xb^ZmmfvH}InyVZkv>|FZA5 zMqu~`?6^_FSZ+~b=a+Qd@vrAJsQt83T3FJA-iW>%|AgO^8d1G16qETv0P^j}mf(x` zmV>wjU~e%TgP_*fyp>W&u(fXzuM{N;AtNs)^7;}QF}nUicS%%SydOSyZLyWIdQ&WC z?`glAz(FhdqLC?x2?9cv3jT*m-T-t@E7ISlF+>&3Ur;D2F=QaR{)%{jm9?bJ;Jv~5 zFhG9^-TK1eO+yCL^IC?HG+*e+KBC0jf=iqO+!oUNnJhtK?+6i`aUfy$a?mT1ICH_y ztd_~Stn)ai&|Pc~ztgN`>@$r-}vSYUD)^MDnhA0$xxRk=+oIL#&40`{+uZ$Uw7+81R2-Ga|sf;`~8m`$c%Q zXOfG^lnqz&Eop+Htr?`o-S1&c$tAiJ_7^&geMqVmpu<*sG>(yfPAcs-JVm9Tu~EOV z1suE`+4*uVkyIYPR9^jRQX&Au1I+5}V$!CIX(;g4i`NYY?ABxZUY0YEU*D~WAMKBZ zCvIrCV8z2qbukJ4V!qW~|LKGe`TlTtR|F&zP|DEPb;IntY_BHhzlT*-LLMf;qn^bY zHb4G~O6v|?KAn7T*LS@wz3iLbL_%l}9k&zpwFghSnA>0E_+99-ZQEmD|LdtA9Lwz$ zJPJkj9}!Q{7wo0aiLsy0gkpBRib$OzdOw=U^odLK8|W8oLv+-9rH({nbFuoP9Q=hN zvv+g;M6meq50NBG-nsAzB1VP3omaaallH|WgT&%Iij0498>ja<1FqRgi}#!5t|i}v z4&ZFxOw<^_*grdaxBhj_)^+~PHJkc<9{j|4d*9-T*cR&G?&VRg%ioL9e#?2=;EC7W$9YCqU`S&of<>(}Jd!Stk;9%3ts zYj|Y+pmiUho6UQ1eXPCu2xMSmd2(3by_n&zxN2HQn5IO`^`$$UjEb%G!ophg_nqxN zTDkfW-CLKx)U(4jW?KwyNzkt2i91y;x8_;rI@@QNdGtS+d+VUOmTuiUgak-%La^XL z0>RxSxCafc!QCA~aCg@PcUEwBcXtTx?kwb+i~XK=XV*RFe7DZ6TXp|URhphXp7D$^ zXZPw~=3qIuJMXZgXDnvR?&W2f%?$;eE= zLy@QTsK-IOhwa_wNk}FC;Krq9Kz_4qSFn!T6g$pv`>^@YX6(*#*zlg%fc{0TNAuZ7 z53uX4Gnnh43HkV@%;jCk){)ZSJ%Cbsq_^}3A5u;qxKxL6g zqkW04Iu}?pAKO1OL4m(tfEg@qoCx0|qS~Vo1v+873n_~}-W^4I(SDzZwmh!K& z&7+rChO`dDWq*6%c#ME|G=eRfKg#SNT#bV?a~}GCCF~2;a8OUPE?v5R4Sp3>efEX4 z+7e04I6(cNHFkl6Cyq$a($x!~v{1_el$P&>@f2i;e-o){X1__Mxg_^2rWJ(n`ZJt3 z_W>tn432-YNhflAtSXoW*MAt#8DP|>%kP5|ivf69?@8ogG7ARyA{ijakK6ErPbIF^ z7U+M29y*uS^(`a>8(wW4lQm8x)1~(&^MD1DlMaxZsuECg$Lzw`yxHV)YzMI53g}>n zwE(`tO+(fc`IN8E7z}*2Qk=LD329x7U8t;m;MNFfvqJo<1`?!k(rJp67Uo~zv9Z0A|~ z-)NjbGSK7uq;Jt+)Fi6miLW*Q^JmgCfz%!+pCm$FghK?KKLvY?oeb{9BtTifhfo$a zJq9`*e*ev6>Imbw>ntG$m`oizfetYtno$0;sL{Aw8Tj`2OW9q zTXjTM9>{bZyrU7${H0#)2qz`gzN}F=L+2R)(jK5SMDmRxphlUKJS`8)6Rp9t&@Z+6 z51QA{-ic;eCVtopXr@;HWha->n1OmA(zw zqq2Ee@k+JhGisF4bFpzj(VSPpiqT$w;k$T1&={f>@G(ZQAI2E?1RJb&OzK70eKCw( zaxm~~Jrgi^s-`h&U9NdzbA)E7umEKGrz8xW@(dJXRXAf+pM&q-c_#EDoMTh(Eg&oo zC1!f)znz9OPVxsgQBsEdA4IWT`STFPT>4*)&^EiGTRlU-*OM$;v*m9Yw>pG#%m63w zj_IP(cUXySf<-j1GD_iN8%5Q7n)^VD?vTyPXPkG82cp|=tzz)3Rl0N+_GGt4%Rj;q zDWVX8h!U8Dd`QAj6iBf@ls#cw#0iM=UcLG|N=%7+ye=;jM|b{0;hg{|ycid#uU{fq z!oxK9;V2-0Dz7Y)pAfbD>_rqxf@GutwocKjKSIsaTU$jMcWtYTmTn}b{1>Il1utYE ziX-$5rx~goHENXdbFp$kQG-{)lTlv85)hCJdzCrdvZ(0aR6bp?ph26_7kE?1BP87N z(Ew8>8n;VabJ+0NRGv_D{NG)UB=gTs_%#$6qMC699!*v64L<#Sw0wm`tWq?m`HpvvHEo;MgZ1 zqqB_Bs`ik60!e)&suo=Xq%}o_dBC6EpexmerW*cJjNO+Epe&J5Mp%r5zyBz(JPzlE5Y~!ldc^fSeRF53*I!iFO_uaoioi*z}^+G`;27p8Brn>mLfFJ2M_}FWnvlW{JGcPpe|j+yC^V09n1|b zPK>7nG|8C`j^vIw?JMSw=TXo2WBK@qp`H&yK_x;*D7=ITL~oJ0ggU-J9j1Z*Pl#q? zuvleozo6{jJKR(SY~|mM9KnF++n&1)sH14j1s_m+za~AeTj3dPOI*Z&qX_yC_!da~ zpCr~k8N|JaFQdwUXAC;Bg%1}enfN!)67==55+gDz-v2Ytmg|<3M8h67VHR8rxkGsY&n{YH(g1~dpS#hJVrdtuI-4B9$!`XhMF#4 z6;7<;Tb3~q$@ufkf=)i5G6AW^bj9o^qx?sg0wTGj*omV8czIpI-G=reX{%fY$#Xkzr^;DebD!`_5`$@7+$Y zkpGTp>R2-ZhXz8pdJ9k_;Yg%_j*<{dksl`L1*D^t?4QIm07#Vo(INykDuqG4TN+3W{;6@O1_zmNFW|25Q*MW(OCjO2p zMpcFKZDdT3>}C}>5ElXwW8Wn2N3|mPKjxV|@$YyOaE(2?+UD2!ZGi2*&0}ITFmf`!PPr2yAQ|KDK7)Oq zvapG>DA203t-H+R!p1eTjI)Wha;$M{EB63oW%|K*U? z)6t^8pk}Q9zIBbA@sEQ^|F1&Lo=#8wdFvYcpD4Ef_BhqQ?`!+>IF&}}x@e@|5%>#m z4G}%Fg8_%R)^q59*o2n`72i-WjhMfU;G&d|9WE~qgaj1*y6@OIq-HC@51lY9&}`la z7`W;UCjd?wou&KrvuWj8-Q3C?`Sn|2(=OlNFi1@UC#sl$6IFY(H0g-bL{buUZueU8 z1a23W@uiQqC2r-?I>yUxi%t2+zSal_h0ec@y1p)Ntm%!H&O4?*+BJoYGgDUvuxrN4 zi8vo1(-d^|yQI4M3KSogUjj#}N~dqtv=l^cS|9gI5h?mOn?_x_QM~PrHE2ov>8nfE zrB2_i$u=$Zj;Ua^*<%^vMZ1D+Dm*>Nhkv+Z#jhld5cugKx2L9=-;vvTKGYF;1n4v{ zBFGKNG=*9H(DeHW+X^@yX_V z<0sxFUa{sA8@JCqVbcu@X_GRZwRfAR)q{@K`Bk7h&pNlO!3~)s5BrnuttruzQi``U zQ&c4kmv~{uO>ud{L>m5`*BWhY(J;HjoW9h#voA!|h+evC4z>@|O z#m;zp=f;CC6ID;gaAG5CXy?-^e_48+c=AkX70OScu}f3C-rmfEhgq_3ukS^YMO^2| zetAySmUpwt*WNJXnqqG-#J7VtZO%+UC+cAWCG96oz3O9B%XbYj@{hay?E>*%@WoA| z6j#G9rOK)sano)#@8y}K%>xhB{dEd@545j_IVkR(@9xHU;!|}qr-!RmqPGrg@9%YL zZ?2BYhrwGnSDME+c9_8rcVuMqmhvTyo^WULhjJR?sVM^+{Iq1v?vG59EK^|Pq_)Kh zcUQ0*t63qq7D>LzZ$mSF_^Q2XNoJgB>Bp^&Te`;*?{M?g^I`wNit{bj@rwH@$#MU| zv8vjMFa|Cuq6WXSqgyU1bNNYMv%F?jB>^z^_}lno|LHPtQD4Rhfn(&w8|1-bWPM7AJ|8 zaqdEn5`8+~s`?i#e5yt&YZ`MiI0rTl*(PlDwi42)XTaB@6(kS34dw>P-h-M87IEh5 zM-vCcwO1Ci3xzM!Q`Bhs;!Qclmn`{p0$ilZvd1ACFv$Un?Cqzm(`prO?i)2?Y8US zdH2ssodO?^g&)J3g#nX`isH|DOgXuxjo}42xG!!%6QyToSsu!_9Bk+AYPwokr@qmy z=f=mK+oa1W=KiW@EVsHBzrtxNj~zc|r_Y-Se9p;iK0xslnb52YI&o}JjmosSi@!-? zz8~M&Nhc70#O=-%^VF139n(=P+9{R8c9!M^liZuwjV-5E92ZWC@ir@rdzOE?O=Z!C zi`#LLSh;LzPqP0>jPE#Oyphqg8F7rymyNwdx*Wt$?9CCLQ`_%xO`e%H+C&ujULUDhp9pTZn}H5 zxn%gwj_Xk2n@x!gGs6~}$%DaM>RQZ42AMSiYGao8CfP&S{z9fL3Os9N z)4Mvc07$V5(^kStJ60W%c@IjEEwD4J;9?41dfsPD7$$}lH4^(ml?oodb7jBwvB_v z7Dw6HO_I`9$k*HB`@y4XM}vV9z|%1kXqn6&DXCu7N@0IbD4pfp$S_FF>oLT&Q)T~P zvoBCGQMDe`qZm`3{cgW9N_pd2qKWhYH?2Cv(~aukB=E4BNHf)bS?jzvv)5C<^$TXs zLu_Z7ra|Bpri+;b2flYoHQfP~DtwO3+^p$aP@gfur{vnWODmVTaXsT<8M{h_b5+hj+-Oty48ZoUO-4^ zfRG-Zgw*+pmtv~(mlKFQJ{AfM)D)Q8=8M*da!_hgqSX)TS zDGs^k+m&TX^Kf7F>(vs#e!41J*c#E4Y^7yHZ^+~UGu`T*|FV=uXjX1K2PFNlD1NgA z;0MEDhAp_Pn17*6pUfY++I--zws*wC{y_=KlH{ z7WcD(Ktp$s%d_7Sh~F$opVa_QRTCH5-pgw;6&RG_@g$y00SUjao;Tb3GQ|fu9v9JR zhm&LXjPN5-n^y5xw^>5;nD?Q?%)UBYNf+AdRN#35f@C3k9;1=Jrz)S!ind#bH{FPZ z0|xAmErW1=*@bA7TJ-M*XIKFAY$OC9>iiRQOGu2TnG6R(?$R9n1C{E#9Gkl*k)6a7 zn4KUqmF9Nva$!9(@yOowqaY0_W{A$d1W0VaBfl63Ldv;eel!;bLF|)XI-=K8E~++0G|vJN!s!_BI%>XKsTb{E6i8&uu7P3~thA@CasLb3fnq zh53d}@i>!ar%BA>%=%oUf<lF3+^|4-d{!<526(1FgRo z;bwAQJcX<0n=&`takemjH5$x94g&v;yvM@IH%PcPzcnhM6%O#5!~*jOzdFbxwDv=m>#ye51qSE-)Na(>S76h1IZWUX$={LHirQgVw6Hi zt4W1IY#F3y=ty0#?4lK+shzEa0;0GvfkB5b-yqt-husuJ>e#gg20^NeW&6!CkJ2G` zVXm>1=A}F?E!pt~oXU0FI}4{;MWbOTING@;k4!3!H84t77`pog@mHr1R@m} zlAUYeYn4ID8#D2;y;XPqhJo%bbeDlhHin%%@xd5c&;=Dv)6XuAFFUt8ms1ivK`wAW zxV$V#5g_3*E&=?noZP)!qT+e)k7fF}}_PsZE)hYG29^RTiETM2pnTDgW~eE7xfVNkq@ zH`a9kIu@|bFq?u19lJWfIwRD@(m{fyn+FcCefP8~me7!J=_7n9LWYC21Fk0YzTrnA ztD8>g*118&nl)(B| zN+3w!k#C81{JhYmBnX5EISALsKA#%Mttd8M?+bdQIBR!eB)?ij;OJ;Y8ZOdEE^ff+ zT1!fgmohifadsDwps))D@4lC9vT*fyy*HuN5JJK&ja$zfXq9{T0Mj3>jp7GOpRjtS zjWWfe=fj_x+sgR{Bt!Q()0^KB4aUTt?sq&T4C_bj-xU-BWm$FM$Dp34qNcq5RMfoL zC!OG6D+1EmS^+bJH#al1F6+RrU7XAiw@*|=TBRXamq+R_!tHQ(m=KGHuEc@0CZKZ3 zw;m~em+K2rVS)hpea}AvkGqS^f`le@Oo8ju&8FObEr>f{?%Z(?m~=gUnG8EhW;H>= zn=5FL3;3_l&mltuqz*g6iScC9-Na984LklBR*)^@Jf)4_EyCN_g&3*Nr^JmLjx;IS zky|_6hEO;h+Ljnr_kyhjV$R~YR>=%6j1PVB*`K1%{*)88pUGP3;D*2RyP$acA~_lA zM9i_hhtW@qa^>br*CiB228Mvqy`TezfLRpBf(&78qBq=4{M3{vcR-qwF2Z%y3M;<& zetEV8{8d5(FAnx#@0o|+7eK+VD}~(#)@NG-c_45ac%*4)H^|!9aYMBD$&6hG>B+*7 zo-7PE#9Z6g`iDSJQW@Z&QER?;cRTErRw0>tHz@IZ9{>U1`(dzkjXcEtpEOVsbB4qr?= zN|)!HS-JyX?QGqj-MP|UyMei;D%TI4@9*OKEonUG-PKA{f=kfNkG1d`)f}x$qJLdd zXAjI; z)}!cd^uA|onu#!^7x+)g7jImPs$`;Y%yvw08s~#nK z6`Jh^J{xEE8Ptx!yG&V}pR|zv<5l~&^SxR5*I~FI&bQh%3t%&Oz0Tm}XtSWGFYfaNM(5Cfj;YayP2?J^J{ovntTs-BpV} zc|#o!>{4ZVSCv*G%bl!f zAmB!#_NnEep@w^IfAWCF`SVeHZ##vj_m(F0i%uKH!pINH7$xgWw)L;^kAf-Im@Dsw zKTc10T!0G*Z1-EvQLm=JeZn8iS6ugw#f@z>H2si`T)7lb$Qm}{wjS-P7ZyJ(7aRUC zxF}1xh(5M%-3@%dcFq2o#kt${w zW#=y=Qczv}JcWj{dG{%Ytg{I!uc7nED&f+uTgqu^hh9kcthk_%S#M0xHw$Ef%u zaHP-FBK5a}V&^X<4kLCYeu?4`49=I-%*3i;G9$Wr;q=uMdS#N+Y8{SSd_YQLWGhb; z;iKafY&y)Imi_d-WiP{d^i}piD8<%Zz^?$#?pQrcE1>gZ7h z$$&onH5gqtOB2$UBGr#!lo!8@m_fT`vHdSc7k`l@T};oa&RNV(Xc6e14|;lz%f2qm zIM?tjZaDL7f--6|Jql@mj83>y=op}(u{B=KerXmYUe2C{s}Ur|NKg7(R9d2+5)Wo?|cZCjETsltX)Wqz~H)aveQoWirP6dNSld_~{Vr8Ba?-{vEQu4oOqzp_gQyrCy2M6?yTNa`$w* zui{>tY_>86&==yV=P0Bf{}K{&QB%+HWT&xpBU87@^TgHc8-TgmT~&_70&(g}@t!_))mMpmH@&jy727 zO(7DiJ{tLzaCH050#!N-Yx`Z~f=^ocdlsQHWFsR5qoh$CKer&sa(eo0IqjaQ^1uVJ zy)}jUb1R+6Ix7W=I3b|ZfQ?@jI}9Zo*LgIzR^c^Pf36v?*`AGX^n1x@cLv;2rWlA? z=#9V;i%rkKv@dTmGQ-$s-iL+oW3begN$>ASZju#)4x{l|cg!qSkBx2LOoy)aaTUW5?$U6zI$Nec&%da>5p?bE9u(;j+q)bQloppy2 zm8mLnFcO2r`$Yl2k}}KSfotRUj3aPO-z8P2IIbEdCjvtcL%Tq)8B8{c{ZhY&;8+)0 zyM0G^NBvV8$hI@NM>Y{AkxC_8#a;bT6Wz%bGTKHHa3gbmn}9#3mPDtpO8TrCxG(4# zqwk*itG;w5e(PJ&?`^vWtU8Ui^N2I(sRp(^>~-kL2D&CKnLQD`V`AoF?fTG~_h5uV zrRGfWA5^ORMI1FT*l;@nRzF<#=T{kc-_t}68PX})maeySCYW~NGWC5Wdwkul)G1Je zM6j(O*wGVGCPUA!7fuQo)#o&oyN?KeTQviv_24wU8yw||okEGep*VXr!C1FGuq>B% z)_}75KP)q%{OzKzNiyxqJ~>5 z_eOCJaYH$!-Vs6jwHd0TT{uRl1Pfm z*N&ZCOKdA`4?VioXm3=QCdV7?tO*Me1YwiRJ+D@!mq6sOwiW0)dbG=ATKV*li6D`) z&=2-3)c#xQupuaWZ}74EcfMvBlD|LQU5Q5RR=R&6ru-UHd)5k}%BLV<8Y$2ug@d${ zb@`jp_$*{^kp)4aDwMp_s* zC!8enE^*Cd8fQuV^|(Ddx1b# zRKKVT#p=c}b!$R8UP#Y;0lctsE(aJvIJK^oTZ~-^N}w14hVvx?Gci_}OpEqk>r$4r z^!tQvBk%9-Lwg`UZnyJ;8xyvvtE0T984*Ih-Gouu7awc<7k*kZ0;5egudPB3vUVFQ z@@$<1ur{wF>8MU{8r6i}?gf?7b-0U<6wn1I>I8saM9S-+WEyZBw)yh-LWOcWL5dcx zNi{5%Bp!Hl&w_sh6>U_FCWV0It*3IgjG!U?%JKo_eMwk}QNsjVa{=)~9uoIM0V4OK z*_RzhPx~9*)lB`K|8;{Kve^sS;AUlEVEOkgBLBe}L{_x*haFv=b41@%E60vV)_b}5vu%++q@Y{ASnj5yI7xQ`D zA4_v}*pSzZ#mAkw8a+4~_lGoaHtk&Q{m02mJ*-{sk7!S6`%yM;`}b}ooGH%T^LVAa z@2AMY=3GrIZt|Ys^ZuO)-@cuq@Uc06Hh8P^j!Q4U%i#;&dDuIq);-Uh_=`NADHS2d{z zJNpRU8|K-ZGlDO$H^_z&E7)T<54(f_0Via`*OajVuOk`47Wh~_1h z9_o*|_=NY)l5XF!Y&S|$XlGCBWsu$*Y!J48pf~f|DGeK|zouC;h>$5oI?ZPkooE!& z3ijt;b($+Y3R-9DVL`Ku5R0#9a!4sWAY7uUme|_aC6pN=EC={vbDo-b>%5D0e&$kP z@Wz}0)e#OUcUP1A4`94*fBu``y@7|-DrJwEJA^sb#$^)ZY*(;zj3LejTD&37*ZShS znn+w&3e2WjTpg$99cOv3(7So94B`vwNiHhaoSX4FIFI~oVpU+-9q{M*8;0JiBiStE zRCzniD~uq@YjLJc0{>dBDx>7SXIUC{Qf)yv?qGwvPcy+a`)%19IPD|@yd%E{42i2Be?vMu4-u?2{W~}(KU1147{x(+qK7L~fEspDrC!70e z<)?*C3P+xecr9@exuqb1h-K*mYVbzk<=DhVM4yZ&&wNqc39UPOGxNje!*>$359`O- zl$x(M%h8p--N|$^*d+F{%Y5dYpx-#DKgb*^Z>s01tete6U#~Jum2th-b)ogZ9cTZ- zIc)h@a?^NSF|)+o>>kEByjV}>BprQsTF7F5kXwpGn_AKk6E~o7(|KsAQC8Z>-EekL zpIbl>XWLwIHG=rkOzjxB`#84|A>49LZ|O&sNm8}#mt~7#{e26I!^PZl>&Gg_IW;$4 z^AV#XtqhwRQylo6>iw7Twb}bkzOfX^TnY~-i}H$csx0!%KK7eUY6R1_)I)mtQ_4s` z6pO<#JJqV>na}s4++D9@xDJ;cgk9l}{Dbq2kF+EwH%jx}ZsQW`B&jDE&llz2wpHri z%b1t=DM%~M&YB?4VyfZIWifD?hEt5WwDp~oi%(0hpQ5^It!c6DI5-SlGP~=$em`0* zE3Ov(wbJ-L;-JaG&z%IbzVVXbRyvv_u14xkmmtdbI!v3Tes}>FY{XLE*F=Y@wphY| zDN+3E68QH$Q}tWYv}N^jtVb&{Ig4irF|N;_sQi7{&6=IQn{7sIvT^)tf}j2;G{B|=9+X9 z`>D>#5X@kS;wq)pH0axHgL%Zz{Tjm;<1uIhps8?>{-_OoEJ(l9w`13$`HN4k`V5CV zUH$Z{u#fCo9?(YTu1pShBJViU6T?Yuk?ei&xJ)>}lZn;6$mdN<}RH`^R45j;( z0m}NC+3%>vVLmU+{PZ|AIFLBNzuxt}$foDPm{usQ?lFxM##BD10O_7bFq1QUR6L)E}WIfN`uZ&REw`l+TT5i*_AzCf9>8IvG7Eh_=rq=32 zZydPbai7frZtJ6(g^4a4a+6G_as2)lQI#vyB{%TB8Zpoc5iGu7swOtyaJ$S& zMQh*jaJ%QFRe2uk7xfD;R@au%RISUI6b^bTx%ZecyY!5~hAK0nl_h8nx+!nkwCZT$ z$&MRQp6)s^6N?CG`a9E*Qjb?H3>W5OW_Z{x7-_8uyV|E$US39za9_S=p^8q47txf^ z;VjM7Pe&;fhMnCcF__%t>nBh&`SMYFFN=aSVY(DA30ef0U*~1ZiK=MPVGUk9PA5~G zX#d^CqP0i7+{H({HltnI)&<8ebyI^O(mk`KF4ds^oVz1v{C-2IZP;h|rSq;&j>N@MI=&fk7dp9Mrhz*nR3gWh$9?N=iZg<~W{P1q(w!9oU*jc6xZ!|%ar&8=VV3LS-d?=Us@iwzMHR(;YJji99 z!eb)CTxm|4U5cU=tB+RtUCYl6UCL^xCn?yJlZwyJwclxyvWA#*QulNb_2fV1UqTu0 z*s#iZ+?ekn?5A4d>=dh9FOqjJRac@PU}D`lHh+WJoKwPwc|(iYqjzNAK6AEF$6_gq%WLql&8&iukh+(Z`a-p>&`U_7ML`pBztTy0V=@BtY7G7A zWXZk30`0+MrtEROt@(ZjewCxN7EcC~-be9Zt9$9vFNPLf8k~|#SbM;D8)HK6qMZDu z7o*Uh#baXILa8ko@Q(G&YLqsga!4Bblta?+6|yZzfp4tQN5;@d!A#G?<+=LiT)*>L zsJ#yU<;qU$RnrGkV4t2s#F17w{rg8@WOZBO8r_SQ&TyQXLGj;^%yuI#QClym7anAg zjjT6)a*Fc%&vEMd7(GtYvBMqYbtf05XQ5x3ewgQudmp(GO&$gvy4Bh>&huo-CZ1Bp0WBuEO;cII8kKg?oZ*PZ5D$dMPBI?fTFt=sWn3G$ZRj3{NI`*|5j zxLl$Xe$;N8JHtE|?v9>t<_w&Wqy)pEi^^N4vT`Gegg#hKS88IV$c~Dhfyv@sZc!5S zL&B5RFL@<}KTv~Ik`~k6xCdooaT5;)iUv()qVbw2vwV!~HJ(Dta;Er#Av})C9G^p+ z6!t~HMnTxNK%Oc->Wc4;#aG7+cCJf~n9bM*ydRVlOv%wB3WeTeo(iMt z#ouzGb7HiUQreTz`+tf%nML=vJquL9%`HES>UYh6`;yD~Su(uwca|{~e2i)@vA(Bz zZ*2nUDMgSj6Z)D5+N4-UQYi#l;NyQ=G8K^pi$soxodLUb3315t6TA_gkqH6?m^eC0y$ z0gxh9=dN`i0W)24Y|lDV_KVtw%z3#dYd8AK+CwzNKtErdxiThM$=AUSb}u=|sqc2b z8pQo_R28!=&(yj7!&q8Sz6d|*T2`Q3<&~7Qyg16{Sy0a*mIy>e$ktCPioH*%2EQg< zP%Qa`omuA8jZe<$qr>a!)FCY`XS7t>wh!Xb_jPlfbn>kJV|x(SCh0cll0!-h;O6kiac-*S~7#05lXe|2{(spbc0O zu)P1Ik-EeZlFpPfC{|CG`(ej1Kig3-NvOX=K{yHW2LkNW<*>_$5smK9BRr0!ih?(a zIyZ?WGW(fsV~putJ}19Ph6lMQtcir~8ju#T52}7w|MjcWc6l!#NkSfUWZ!@jmB}z= z`{8B2a(m@2$JANSN=`RjgI42n&78L%_EqGb+thb2&8*5@krT7hxT~m3(tv%`nK=NQ zQ)Ho%zxqR7RK2Q~Iyqo#6%~Bp>rcU2e+pJ_YF+tv`1q3W7Yp4>TdPdd6|WZ5XJfdm zMst4$jY3bSz?CR-Tb*RWSd^pHqySn@F|h|lTS3IPPl5~dAC%t7ywf|y77wGn8po>D zzl&*NAFV~A1h)LW05$@0Wpnng)-??CKJyFbnWV@$Eo+yFRq{|(TeOOlpIPaQcnz1+ zsMK%du~jdD8o^Lu{6VpJgoc2kf^lg!4SDX4+}c}>a^4w{1{|YG?=qA0#!(IAval)= zI&VN~1^6_7(8cdH7B5IJE#AzS5`p@DcPSGWzgbxHwA?GvT=>2?_VD0j4fdb5vX&rq zyRuzN%tN{t$d~4K5X#_?2%f+DDFd8?5~*a5H2HT33LpdT&rgRbJXxi}lU3#;IaBI; zc!h-%>>9gKP0%mDP3dBjD4)m5l=*>?2@Bw!fB`1M%d7F9i?#i}A8bF|#+;wrIoXf6NtI(#vz~=yV*)?}g-%O=Slf%#q`9ne; z?F_LSU&z@!7Vg4!KzEyR!_tK*@F@#Q*zSF$SdI77DK(Ellk=V@nO&CUV-Nj+)A^82 z-gM`*L7Yxke#MEIL`2_X>xc60i(K%H`SrtT&(U<3<=(T~(r3&1jUR}cQ}f&JK6?nH z_~}(jyf}Hro%LxE?A%8=&to3klDiw5);S zb_chmDy$TTUL=Wt8PyOVRMgYG3sXBD%WTCimkJApq1SjI3cxT&H_60#vBFLGf)! zg~I)EeyjP^i8M_^IuV9uv9$gdG6d|+kpHj4LEcdHR{wHNvx1^=^=w=5y~fkp z^#)e=0w^Pxye8KyA6NQ~#b4RnxK?jGwe0U;pJd)lqz|X>!E52x?rmvCuf({LoIPsp zGMalGG};dE*GiSS-A*$7EX&cFfRrPw+5fJq@*-W;N(GCMzSR9gOONc`e(|SAp5jk{ zZ2P~tV1U2#%JVYQi%`KYnfr^{e zdwuY+S}kjOtJR*zip7OqvW3Ze^%m9EtcAbjoC*hLLcuo}1T1xvM(bVNuaEhmr$aD# zynV(B6R-Lef`eu_e&&oSbWEL?2!;jCT%IYQ70t9&cxaooyXt^v-l;aP!#}I8&2DL& z-uxxgqnKHKz(Q_bZ(?7i@sKxGuc|X|KL)(W2+Wq&Dn40>8P=1PoN*!=9^kBs1RN>% z&D^)h6UEBi^EnyPziFtgOPyZ-CA0E26SkBcRTJcLyefjYWI*Z!Y1Pn&d-X7x`vrx_ zFjFy*f(8x}TpeGsa$nt3EqL<2o9nuL8kyJy8q_@!sBRklxR?#RSJKW{9E)~7t1fC6UXTORvPlb%qCiA;AJgm@W1A%zM z+w&XEk*&&hC)*eIlOJE0*Nm|ZOkFj2?^^B4{hkB6Dflac34U_K^VH$7J++q#$#3#8 z3PqSbCh{zlekMU06AUXmkOAb?wABDo8ZsAsZ4MPGbcVsAqhZ~L>pzU*;}iDPT`TtO8cD%bPuwNNV$}k+&F`PDWqFAfE7M~l)GFK6_?rReW3J?$<6g)LC z@YZzTE11i(Zm^mP3ffeR(NlcuJ?T=dlfN{S_j5I`zA_~^aKRp)`ojeH z;<5g(FCNW2$oklpOVe9_eFi%GGTUvlL0)_}Sx{WtnXlA%aZoR|BfZhQy4xS?puWqh zZ>u&xXWDp#vYwrLDF@!MbJ{87O<(5Tqli@iKJl#PbGjjEywA4 zv*om1?<~MZXHB^H^Gtj5=1KGAQss@F?1PxiVcE;`MWv1}O9%?9-jT6e5@^gRZ0kxl zSM4N?lQiF%q&k6j3fm=eKhjoa9$no^r0<(rA|JVd4|l{b1j`VK5I3l*?pxBn+UyUm zjnCgQgkF=yGJ4>sFCxuK{hbQgKDIVpM3loBQhw3s9=CX5QZ)Wk9Fbzvt zMx`1&RO4wA1$vcPYB>+iJT`7I|acX_3;s^4Dzg?6~t zyKa#Lnft!8ZK2TlpaVrbnrlc;g?end0@`rQ;ep4-saJ95pKGk%7$nfCQ87rBC#Zn4GJf4nf+|FQvyDh6 zR!wck<0pQv3E)oL`e94-xJjO$-IS%($#KB$QEl>Z7tIzZ2T!)*qy_;*L(@x&DI+s1 z>m)hvF{WXf=^|CiFv^o(M)bg$nbbI&SA}NXuCr-FRm)Aluap;S@YR|)<1b)rx(`9? zn`rgFF-X)cM)PKrG6kq_(C19_3`VniqKZeocEom#3;eU7sS4wKGsVlOfFjG$VqhVM zrahk8lH=jKiI@OB+&@bi9G61uU<*awU>=rstbxTYQ^9rDoh|4In$*EaeO-)|sCr3J zWn>m%1G*=C||-2~y)OUzJ2G--L0h}i@U3)u=m31!Nug(6j~%NrDiMheBFNw9c(j~C`6_p6t%A(e&|s5qG* zPN71&D#*7LQ6I58y2=T$LPw)LvrlsyHpiTb&Gg~C}=C$Fl^ z{#p!YDl@i4ugt%r^^G%h!}jgM#@dT3&@@PA$h>KK5`+Hn>+i1nke`aJZwtS_yDFEG ze)%P&Piw*J+rJkD_+Twm8w8c+Dc`~*PORL7_;Tfno0k-@4wiFhS|@qS(I zBxNh29(gC}nz>RJY?9xncLE4N@`GIvBb%kO+Y!IgqUK~-RFi!xP``?x|M1-eu5`ou zlU*e<={Cxt3+aeL(&ZBJxgXGR?>mY-+KRJOR?{4+zTxXa3=HYUH0 zfAVoh^LEX8%tEE6ZDSx@E=wa!_SI#a6$e)g=uNIL!LLoSn%(ewLG^P7 zvGw8mn#RVpA!+T}*!puMR@8FL!%yMWj`X_*NkvZmT{cpjTn)3!(x;x8y{d+41sE-B z$^mVi=s)BMCG_d@oBhX;G$2iyV|?TOU#9dD#TJDHEzrsC!; z>>oT!JV<_n&f*$3lbI{*WBR&VONWI`&bTSha1J1ehu(doRW~?5t9;O^VkLvDAKo^M zJI7l58M!L(&_;hT%5_a9HFmVfoRv(kZ(*yl%|b=DZG$yb&R;dGmkEHpa&Ret`qX_n zScc9h>mu@Uoz23>u{obfI?jDgXjbrds=@y~pTbBFD0qcIz^)hq5yI?IzMAAw8OoL zEY>4VF6~DyV?NMZURABf5XS^~9!LJ5XncfA2l4dM{SH&<$4YPMmkUu<|5`LAwk7>; z^OJYqL5DW(KKFPl$IlOEDdtqnjuzUuE@Q5@Q$JO;a3PLk2t1B&f}+QPwcex;p4kt@ z5&5xKjk9H#1ed&M`eL-7zQ!0Ru=+e7?%8RF_TzQ#w=E=#`fo*(x>I=ZgV@WwKIrBb zA(isrqd07sVNvT)OyuOlg@+3OHFF@+vbx1icT~w%IXF=~G+4#>wS&H1dJ!tc5@1t{ zeNk{$GFk1ji;TGGcewA4lApcbi1{YB>MoR76tuCp_`$M>P>tCmh;X$Dpa+S)asN}1-w5(R7`Cq8?o_|cUv?xxKF@MmZEi<8AeUgQRHI_zjuGg zPE5>^l0BlUF5OAidH<;4Geq!T-2eT?*$TS$Jni<=+~=ZlOg4Ou8I;`vUFV$YXTSDV z4zib;dsO}37GTt^DLc1y?g8IV#P#9*fmjPWjvN*N-K#WpqMw(gSvZo$*R{}R%Jp`T zOp}b&(5gpwi^}lyyDpz4UCuYLM&b~kG-pjW8GiiSZRg1IB2!VZNZ{E=OKJ9VB94?V zOM>X-6&Qe-R1x&^cSp8&NW!3hu*pB5yz)jNx#_Md{(>Y5PU@H3_y$T8k4E;3DVAA<+LZ3wDt&oQe~r$F$cD|fqKX6A z_fL%*wT$v(m{bET9Ox$@2Hz)h3^Gd#ynTP`!`c3k4P}cwVd(dRv(7?_H1jSjS!7Yiwy= zFI7%hs8qCVY(dwQE803Qxh~@@Ik+NVrL*K~2$B=c{n9y+8u%T=0Np2?3@8;OfC7$; z)C*P-tB^U)gj({d>S+}Aa`F0Ga#BGfdg>aF1>$VLBV(>aFLt3JxeOb zeGFzfIfqz91);>%9(zn|y~j*}P|eA?lc5Ne>L>XvOg!-#Z0wa=V5`9if_aWCB(M{i zmc_l3D~hx>MkniiRpL|;b9e=5&g+UrYm6n2%W>=N$_i629WT1}Qi0p?%8vdHo9(9` zCuP^U4ptl7SPq_p|%P&exO=fbarNTglAmm9KQ1o$z9n z!|#><-kq*uuN3ykz1nA61eu){1Z7v3reB6~)z*V}pLp8x?8Pch;*GdU zafl^hDW*Sf87Q+%uriBrUj31*3^UF1*(`OVujoGgx#cBhx=G^##^rB^C_O(}7)(*z?JCf^wv_k1d zHPVa0+@sLwb>p<5$aV=L;3AJAa6$17hvs!sx*7=ucU7X9NiwGKd4JubU2M7L{JOxR zx%EA=3%h35x*sYo`1vioJn>F!?7Lgw--8pNMZoDZN{^N}c<)t~xmm~MaCZMkSO+g} ze7u}?nO^w{xLJCpraAtIbffS5w)Hmi3;AiCw|rMI*Yc|!A*w_>`HBF7?6=_E#9TQd z@b0%y{yAg1q>4ZVE7QQ?;p_c}!_`a;`YIQ| zJt$HxOD9ZL@G{PmgKG%52f?1W_>+sv_=%%FHUrFuI@ zT`Ta4k=g3Uu3Ef%DbG?SxC@^;wqJmjJUpBOs1d9uOu|BG_b%2~feow*`|^T|ULQXP zQ%^f!#!r?AzBk6dF6d)EH&NcpADmBh5Ai5xJ6=;->l!<2KB74;!<(yEz-sM|&r;8gactmyy>gTY-uPm` z7O!AtX|~3_c=z=_)}a3NH$wHPoNeb?s;m@9Lqnmp;OSd=%|bgEctu z6L_wKbl#HLh;#2A`Yv4SMcnq=#j9bhaILrB8}+#>(5A~spisdLJCa1+M17YYjc`W~ z=TPkMny2}L??RS_>WuHkWu8CWZv_1`ss zFg@JekQ;6;&VOkD|A`m-zn}qRqku{Gl+ait*eU*K^H?NaGO$s=Oo09NB?TLdYX zf3<<5iEkp8L3Tx_#|(Dd+DC-JUtgG-&RP+3&F%L(w2)WrHCGWpS$Qm-xo$3>5n}U6 z^2i$)%@Adcyhz94Db{B52@5p1YLnOTyiS}qOtA(#pRemQqO-L0oE>y{xqZxr#sTAR zW)FJgXB*vLO$TrQZ@lH)o*v|r-);dvoGE#}%PA?-@ic23No)oMoDB0#TI+wGJvcNU z-i2B>-t?RsrdXNg3is|;#DTg?8eJ7R8wx6`B|#%7jpoH3iHENFvlk6K-N?5Mn8ZqP zY&Yrq5tK+>bCLW}B<<6lG0{uJi$C1mqG*5EYFXQ+I?4@rV(OL9CHL-aBDY*wps=^| z%^HzVqwi2)g0K0~&4b9iEIBZcX4)@ zNZxqmu>E1v*7CA2+)rz?a_yZm-TP zWD1J~1*R^hpcOx_-;IxG&ob_>bfj1rw)YT4?iTJFnUvNywl7AR&+RfD#2B3~7hfJ6 zr+FS84fPPSWN~(3?6aK6lniR8{XTEG?BSHNe6+g-OuiYNncwZN5xVwp_q6Oco!mG+ zZ}2&2;2+<`?3rmK?@vA5Jv`Okzdll+y1stXW#RRvTJ>7;#NYD0DLwKDvY8R{vtomr z{cGBMy23~qF8@HDx;=1C3#imMC0RDs>u)!q8$OT8ar z*Vlb9mR?LUC#6rI&l0D~SFdRw%`^4h<`z$mV5rY*1zc>GUQAWKG0z_YHzHIThtRg**P-huRq0_I@e5OW;h7HJND^P=!?>Hz{=NvN*n3430dv zc6yz-srkl_&KumnmLVXr z{l={8%Q9iH!W`i`#N2p)IPF%MvNP(Vw2raSnekiLJaW&5y)d5(-?A=+-f~;|yEQ+0 z8b>y#$;YB5ma zAuB<`)%}|lr${~bQ-}9~h9s%0-(*FrkE>(jHqBd*8w4=+&liV+F`yg;6#-l&BcCl3 zui3S@+O8`M4J8{c9~TmwYRIy@cC6^r?Su9S6=P*QTceUVk z$T0f&t=&DQ5}B# zVvrZ9_Tmu3`F#7p9{mszU)?~CbMRnGPf9s`?eHE>ejq-EnX@Cqe4A-EUR+*DtffVe zz)Ba;AI-xu%Jc7m^`#6Li|v^(^(?N;+E>(j>RnyUPrY2JjT^5%XFQQ$C~fpG!@1qH zA9SQz%?Nns`RV4IyE|#;`FgEls^owy?iG@-XmJ(4khn{OiiXw)nSE2PNU~!}zP`0m zQFtOABN{u_`UDs4oYW~?P{cP=hbK+8bwtsb>>!!TbZ=Zw)VZ%Zj3C4P2DFsAY;^@O zx%lsa7?*yeoIHCD&j&MAk;%!F&#N`yD4xIh=tFxXlveaU0#MR~B=UB^8k-1xrE)=7 zVsB4-u<^XA5yVa8W~odF&GUuo6}1+YjwQChKCNrm267wWBOBO$zC^+^(g?Pn*bxtH zH2Ay~V6rgx0hlaoZ%Lx=XRIgco}Ugg-^*<|>z}dX7s!!m-wrC@xYHBVb^pLQKaVcVp}h;-8iL!@s7 zB|Vtccxgt5Yl`H}!(n8+9O)VQ5>&{;vAv=CcD&?InI3})N$%tzpweq>n*$cOl=;L* zazu?7DI8r|NS$;td{v(@FXBjnhHVn=I(B{_CWhIS0|Q(uY+vKUtyWuLJ#U?vyKX#! z|I=J7G2TTeLSemY+$VLhO}wu`H#^ps)`OmI*#@*U0iQaN1NiZSKVodYiV9M6MKduB zQTAk|StwHO%#jKjj0(DBS9)H-4&kI(XlOT45dEU@N-kee7Mz{lTQ7qE(|+~B!?a%? z1K38!{Q{Qph!UtxlfHSJ#STWnl~WrY1j*eGOX zXsmnB-NpQey&^SOgY@7@C`_>t);bV?MKcDDO2QPU5>d*bWGm=xQNTH{aXxwRsBbA_ zK(kZ$hYJB8rV)BlIF@&rdc!c!_~D1mS!~V^MUZP=?eGr8(wiK^+|ymvTZhCmzl;9B zvD*huNk2Bc)O%9w`xV9+UHIWI5#Tv_NF1JLq^kxZk}2C(X?#G2MVDcoBCk{lOr|AO z0+VS)C)t;y;EdprMYcN7;Ftz{l@o2oR25v0&URH))nv;+$r%^1_bIi8Hmvg>m`cybkn{7 zV*n8CE8f5F)4*%p$nf?hLYt9(VFQGyOI%ts;!`Gk@JE2m4fM}3hbK~raR#hBAL8sQ z&>%@|aM0Mj!xbPoSeq9j%gRXN!yEAr6e)Ef7|@mYFo6OGsIlbo2ZBzip91gC1F%Ew z&>)p(6cuJT4~OUw{HRfbTCvmsPPloD70i~1f$4- zvnhU+i#tZ?!h$?n7uH^+#-2h$!x^vv%vj8j5`&om*?H0^`9EEMr{!lwFQ1zj#EKCeK7a3U-;Q~?o~4vRp3AOdyKk3qIC?kswDXAv%2>xB;m zT=+_@RM@!|4cX>Khxc(~1GfafqoC3)r6^L)sFzDuHD3Jj>)&uf%uF{%hZSDO^ET@3j#j73=7xHB(9iM(l|3wQlS+`4Umg zp!tD-Ol6cw))H&Q1~wHN`>uWk^~$_a#QI=$h%WZ+!x@;8y=Y$~h|!*y04vuVP9hh~Mi$4qn){729f%T#Zqc0>;P|F&gW8p;582DJu zafqx`BuPoVYi_F5EtN;b&Xf$|j>al=^_xqVkgCL?gl!5chx4{SHIRM~w|Qq+Lpus* z{p+^4_sS8v*g|xW-?~W9(nS7#2B&2ZURS+Q#v4>q0Zgoy?N_=SLZ5~g;R87YdS5%+ zgv5Ay(7HL7n0!p?cD_rTeW?sjg*}wTD-=VEkHjt}d3pTa(odk5q$&Xn>P%!qO30z8qZhNFXI5B;&|5DMgo zamI;aeNis(u-O2=;9>)n5Cy|52pIg-4EWct8u{Yi{bE~9NV!hrw?vJa0rQH8#zUH~ zjCyuZtRp%~$Po(LbDV$KK?SpeAjkCrbjk?JyYtjG*zx$xpp(-?7{O}In1Y==u(OJJ z(;tfvN^T+AO_bpGIJ$~~^ni{E!XdWMPyoD;8l(pNs+gN-1Z#aPe0T#Jwj?CkO~MZ* zmXh$h6Q)VU1wRox;KX_tA!gv?F~=bmKw$`bg7DGDQew@{q+$zs(DRp6 zD(dyBejYwSM5JOhu+Z^E!Gwj5cTjA$Y*XFIU@EpAETOUW?i?L6uB0W>c~I^bS3{cM zw(r2fQr8YI+wEUf2iBJ+!&^9v2wR;G3);y%e5e$g0{+&-11f>3Q9&i+ z3wrUkQ4m~`IkKBBP%FG`QsTM@=xga4My;&w1M$j!p)?U7G|}uARHO^Y@Il`)1`GX= zfMiopZ=KwJc_;c7@P%!ltR%sca2p#)N4<*zwoOY!nRW1BUoaeX-{s-gN28O8T(6Lq?TTB7pWJ0g=3RyiVUJCx5|gew@Em+_ zSVCMA43DUZnug#R+G?PGrz2iCoKT>yAY3>aNT&=B+o54+ZAvBRd#lub|6AD^HSnK=xs$?1t2kK%V_H)bnoTSQ_Gf7Yg@wPrhA`A1lz5ki}0_ z+jVfqw$r?v83VwY;r-H4L$STX zBhTV9bYUkzhHkMqFw_cL!{;HQW}_fs$iCEzc4x{LN@noG(TWMy4$wzOboh{?2z2{} zXiC-H&Y!~J;Z|AHzCgaec*I-1jd*8N{2!yRyMa;g!DFZP^C-y8(MB-$K)!7T*~IxF zSJn%`&I(Kndnyvh@f>iIh_Ips!EO?)zhgR(U^gvUq`R9|XiW$bl4h$QVVE-wfoTmE zf!-Qt6>_FjKGY;#z6r?10B&sbQdeCd7bPlj2w?6h86z8~^o3O(qr1SB>ZmFz=ktrO z;UQg@;*m(d<=_ceUiqmQ*QW2Q86xq+#o!xelZiy+Bcg#4R%*o$>*c*2jzzRx;Q`cU zL|SbUEima>w*sU6b-EB7{=R$wga<1G)Ia_KqG_L8qvfTZ`)z26lV}T$7COPKvIQ)|^4jgqg%(r?gdu6&XuXTi~GX42|WJ(%7uQE8Q;8j=K* z&N|;SS>(_JnL1>&f7HBhi0L+r#x2-^)bj)nZieTA{NZy3Ukoj95#43gXQGu>ebIv7 z)qmXc9F|vDL)+S4Yd7&=k>mbRy^AVT_OkZtgx7c(i4T2!#qTI@7Y{vp}^ zL$cK5S!BqH2+gNH>IE0pmL>}^_^+b}TH~&nQ;7!SJEuiQAmT537JK-$Cs*Ph8KP6F zzgt^n)P|n&noh}Onfy|=`|7mMt^9fYMkUE~HB-y|W^GsdruMgaV9EJywf*mi}M~HH>ZhS&gcCz#aSyN$&=h(x+go6ZPh!^&8^AbC-3Yj-Kva(rt|1VvluR0 z7IzMy4Js#(kNYnJ%#v46`!CmfhGiPhi<2#RJ+J6SVWP5C-NN*^JwwPeUatxF^l8;A zq@MDU&7qZ=k=$Q4UN`6a)hyR&{S_l84NQ(+6Z&l)W3Dor+TQhoA73+UV{KMWbzV93%$D7=Y-On&T}Tuid?2$d}`Axs!g`CtKC$@ zhw^MkY;AWj8V_lCT(VmGeiVP{GEsYpoqYN2V(8>-R?>jj4i`#xrY)4rq(ge?T(SC- z3mEdmmpo(Y1a`IOHHXigr~A|}$cKDbb-k@WNpY5;yEAY;$QN~_DWK7t)-7o!V^UJP zP^Dq4TKRlE_M*yTr;RDkt$YBlqdZ=WK!&YzdG&Ypai%3%H~s(C%j-5rn2AGI&C#j z5!cD+Lr)6)#R!&ssiPTre`Eb(RXOlVI-Z3coX8-Ko}!O<--58M6CR;di+W~3zT}zT z=6ozht8tQEBM39iwz{okw&HObRZ&x0U|~q48maq0?paXuzFyjbZ@YF;N_MaCxjt${ zc+;Ctf16d^=*x~pPZrqliaQ1zXhdxra70CmtBw6aPTVM#@+zm+`5^|9iGh&MZ%HC3Y9kP4_8DEd>p4b$FobU7Ea6r;}Ej4*mtoJ`hHF#6n0>9guSIQ`D8r_Z<1H36iLoO zFVMF(AS&RWGnqu{K*wXSsS*MR=GxfL>oM=?<>p$4#?R~f?YM1*YzROy*&YiVHbXQ{ zgfuw?`*ThL7Ao(7K~BDMS(0I4n3r+n94BVW9EKQ>yubt}Tm8R1&cO7j4I47y5wsN9 z0cR3nHtpc_7-a|EG^&KOu=FY*qUePoDn1JhTb;QG!HU8`wIO0@IDYNgg|HYvc|}ff zPi5%bT}M-S*n}uZm}*17cPQ=FX1eEBO54^UZ$i63DrlkN>_f)ke3cHK$EGG1+yXlf zOoYY{$8i$`s>=OBjJVg{^9iV+bVg8QuwfV82l*U0(E0?7wiv5fPi7%ogvkBiUgE3$ zJH#a~cE}7>ep54&92sA7^o+k8aU+N*DwCagSjlK++sUqqjCmcTNwtk(JkMTOoj`^Q z9tTxl1L7^Sk?x5SaHk^mm&G$bGOj-!N?5O;Nu;{&aY*NhX#yuf<^|Lnr;8QgBY z9;bdzE{S1AcD^mJ>%c@lY>$Etna;K`{z@Hq5d6=%&bKjUZsnhu@JFQc0fCbQt^Aly z^~N?dKynf#Ma*+=KBYa0Mk1cSUtiUceUI@f&ABO8q6Dg4PK$>t~MTUENuW=lsaeNGX`wYB47zFe=V@cqMbb}Q1Z$K@(_YmP(v=i1Mx>A zfk?SSkK-YYMdVg)2kf|LL13aJ*58C+7q$&|e6)w6&Mc`whCywjY0?4;Pp6bpnI}{p zbKZkeQ~)Ky@z-6YSb7=s&xI^87I?lhK(FEYsi zJQgtpO{ixdf{Lvpl%j(kAvYcsl7sbCf~IpHRoG%{6t?NT=KS@1KKI2=FN(A3NW7n_ zAH~9Q*=Mwv`{q?uSw`vqXD1y@cL%fBMwsP-@>+Yow6*5J4{(g1^7(vY z0ewtAJ4Topb?SEiNHIH5wEnxYQSm{F>JeVv9QDZ(Kc zdf+BFW6@=Kbz4P($Jo#KF*M@wN_oDfgI{A)$2JSlB8IER15bI7fd?bZFYa9oqR^QH zBXMhX(t5kDo-g`YK~`;)TIrlq;zmh)dr&pvaZ7np(!t!oJiTUtWrXm0W|&2k<3BoU z<4XVKZu%CSv1v~m-L7$8!}HiC5sfD9k~n|+$8N@>GGilB0Zl<>H$`z`LJ3DU7*3JGLT;=ds-H8dGBxo(qrIYO-ZgZYjr<5lu9ZU%fA8Z!z2R<9c-Ob4c6|O6W;&n4Z z+ropc3@S{=F@8qpO#UaK`_K}bh?dxrP8lr(!y-89Gt z2?j}^v*BwBNP?zI?uB&*P5Bes7w=3*<+EwracL>T-hMIYR_%~ylG19w9K=3t6O|DE zN*Eti#lo;hN70vUNal1b!QAF+QIEsM|C;}er8Wsjt(=iW#m8_^1Ty-8TJAU!8Tsu{ zu1%}8ul}bZ1WEe7G^l5sBgyrNZ((^|+<(Xe~ZySgrG!GANV zHQB9Sz2%tV^(<1by(1rL434@TG%{v%t`ZpTCe^wj1dP-a3qwb}#KYk@@mT`OQM0oQ zGxfdraUbzNf}1xwQ5MR!en^8Pw@xD@Qk_s0E`|NJ5nC_+Aux;*%YmK(VgNjO^iD6u zhwT$<0lzSX-`{}#7-Oro$+o5#QzZHNhD$p8TsjVqOjD{mt<4Z2JVfdxk6)BLoGI4| zyjBSEq$@4NmFd{_v$-ixc+ma(Hz=VRX|buP@&r7e)c6=9r;-Ob!nV({=ETDyGczO> z8U#|E2PTD~@cLtMQc*?76X@v|OTjMTuYoF!bFbFF_?Q!ui2S;NjHc(6aLhw{FB}?~ z^@sHmUzzpoh_O5JqJYaX!e_K#0z#YmR;7P`GvWEBRMe<%xEUUB;aY#W2b@C3gRd;a=F8Psm= zqmSlJpNb&)Y1u9!T*}Pe)VbB;JYD4+EB_(RX_mMgtyq})rtMqDHL%3M1Vaq${!~pS zKt_A-^Z~kqZ{(u((7S{}J=tmIp%h(<@f5*Xj~s}jshB;fn^pbA3VzYug^hbei9DsS zJ`2pr6knnBYNz9j_paRn(zajQ5dHGkm4y~6;l9hgeMloUhc1O}d>hlIiU^ETrC3uI zYVGtck%#-oBI)RSXW=M+&n})g^o-OnGTM39xUbevn$1q zZ=!9uKFfbX(uu2Xm*3Dle6_o9DCu>2(D;n?`N@A1X#G(o-6^zQzGVHULW_-+9Z*8S ze*1qxqIFN0^*Bt*4KWu zfs(D%tlny-#ve?XC|cpwIVnaEA*xf?mzz6AD<83K3u?@8=hL9tybk&*z#`+Rzug_iY`Cc$ zzHDq@m@NRSO}*NMzBOKFkF4%KN0`6S$#8>II8Hm*LYzr8gdYUslH19iNa`D_g`XNIf<`(?Wxb# zy~^;8hj{#$Wc6JK!geB#1o8JSbiq3IUP;j?r`|)Cb|=62HNv8!t-1lu)>TK@a?2m z9Jwa&B<^htVfW%b$!2l!vUNoyIRGPjxxfEe;89xYg&PaxnxMO5I;~vZyzcCM@j&Fb z{E#&tDS2GvA~#E-@$s;ZTqF0j<)M~lo+aaLn%t~A=z4voSnlRblPLI*JFEHEr#6-L z26&FXRi74;As4lr@i!P6iwxSO^*>*llCVx)d?m~1-bsgb>I_@m5{xqJ~r9*ojIe^o0W z4~8l`QW9_MhK~+-_Lp(Jd}Dqa^u9c>`9A^K_+w?bo&cMXyof?@>s; z<*-5cr5IcCGBByjtRnPEVRn{$J8yl~6;S!O<8yg7j^>O5zMA z2PUhu7i(xgs(CSvH7B^UjUSx|2;eXUHxjTUPp;_xz^_a;BtB3!M65isflG0eFnMH8VpIVtvxdRQ0{`D(WTpRv zk-5MA&oHvx)T`x+C1ayg<}Mv2hUA#WubT{m%;#&vOQC}@4cu(!kmDGDkhbK+K$`}Q z<5`_pXc{zzL0Vew2v-qaR&7#O=L35Q`#RR4w>1KCSCN&XT{k4Zen%toeY!L{fC$!p zwB}+tu<;nJOAcSauzcO<@-3dnnB-|89QZt}MLR!G<<|wr*c<8Z4b!}vn>OIF#4`{+ zRCA$X54-V~{Q1}cS!JnEnuK;(!g*C_825Tmv1*UU^fIcB+2HP2IQU1qo*-T=cbsrz+(Dvw{WyRxm{KTt=HFT59m@L;2jK1hw^an@QZUp zSBX>aNP#yE1ocbFxUqjoU^1S702D^siZXclbM20eHvfl>rgOlwzq$t?+ZHYNBQYHs zAMbA!T;6<v2Eh$q~CuUE_eh#?U^&Qk}o4@t`_u{O~UrWlQc7YpS=r zEU-3*e29^>rT5`6PNXgwHudJ}w}Hdfx@=G0cGbfW5h^7>|UZK;uW zJtSZC`R$cb-)$S(xziK?>3RD6>Z=-l&q7;zYx#MY7v&3V(AL(-HzqZrZ>G&{E|8~dTge7|}kr3^-Vwwk$2b=5UtLFha z1=<(;Sx;}Rvaaj_Ldx(&K%hMEM35!>;3L3Q0!FY25t)ZRd_YS4`>FS_!~-fV$6bI% zmhyi}BXe;)$r*bOZoDy-KQ&vwsYWz;ZC};x=3zn>Qtrt~ro@Hr=Z(;oZ_x07J>rmB z%SmQ_koyYX)DiP`4UEvbMyV?|4d1#!2~dF$YT~hAB$n-?Zlb-5b8Dl2HGC$t z&msx}z5PICi2vY-4i0jJ#rm9`LKX9&d#>U@p&uMT-DCCBUfX~12L}}J2M6?3Jxm+% zVb&@$Nh>jTQV#NhE-F$;OE(1pvTY*f0Ua`g17kseccYc~gIc(673R}=0GR}6 zTSNkZvM04^qfLSi<4TF4Oyn+?bfPeZYY0>Dp*>vZLRb(ouHmJON+`d{C`|taKC(jC z!bKQavp}4At?@zqbD_6R9*Sl`$rBKe%e^whZhSc0FfWk>lfZ~l_ECx5g*HN`U9~o> zGo8X;cJhI$W~WwNvMDf`AlgsT_YIY>c?YY zMirg0mg}9;-STGD(ZCrNsQwXabATJqyOd#IUQQmIZ*2vttuVh77oq zAsSgcU*xo=Gm!(zN0zXz9K>5A?xbY^rxm@#dH zwKgTc+nd;nfQ@3U6b7hdW3er87yk*>W+4iUWe-5+wh`OT%J2NJI2uC|&#l%?-lpq< zh2CsKjRbZlL2sTi3S<3t$l+{Eb%Kgy=D@lp*DO?sfpn$RHU~jOPXX$3g7PW0z|%h^ zC-ko5=(FY!Va|I$9lVR?CJ|z2JxC1_IlW`1kX6%5|L^tiYtIMu|56WuGL{kRx%6)k zIgDduV&vdwXUrsiP#BO*=>H#(Y}((~nHqWcNQfj3RqNapOPb^PuItbL$o(@!8Jd2? zZ+Ex-_CH}Tv6XaLA3ZEGL|+GLq(`KCJO09Ei(v$DF48;4|H}}{4d*8hg>l4|^|9~Z zpT1G%gUxVS%s@GGz(v+W8R@DA1#M$$V|I&)pSs>52!9{{OmXJN%6H=bLq{8jw*m)H zv?k#G8(*(!0!bAl71=D@5bbN~Nr52(GHy@r9iID*(V2}G)DL|;5`+~r3`JjyZx?bJ z()MPOJ~a$dI>6E$B)X#xssLhS*06FVVeenVPQtnk*dO9|K&K+QBcSGJ6N_M50zl9Q zsH-0W3N5JuGQ=~bmUInNSp8|LD!R6OE9ron=$TM+WkyUM@9dsJ&Kd2gj3m!#ym!%W zRZOL&bzyoqH5H&LZfx?|wN@H&^QVp{0n+bFE%3UNlT6bHE7|ybohEJ7jmXCxx~#r& z+KEP<>&zlYfZBc8mnLs(0_N;clW}#$m+8vlzP{Q&s2)`nfd2WasA+k0QO#JrT-tMZ zZEuDQ4fVPexUTa`_1Z;Evrg-%NzmjkPP?_c=v%k0wcd=kAB_L<_~u8Z(>n7nN2Rfb ztT=9Bx#PwQgAauQNk;@;^^oiD#U(OohJ)A9Opw8+D=e3y*ZITq8O1lAH%DQK4t@eY z+iB%UMRAP2rF2lTiW(i9T=u1WG2J5Yr}hfH_0`Dnv`f6=y~*s6)JnSTG)w92^X)jR zhQ9b9hB1M6b#(=kDX2^gol!*+MlZb@`7AOUt7N}>9FNXt48O!Y-rkD5=u6tNln*o( zaCiMQL|{hs_)0mUhhYbppB>CEOP)5>L1(>8r_-PyaaN5DeS{K;3{;<;mK^3SJgRwiEvA@8;G{Zzta}ZyXZa zxqW(|r155&~_lXz<8O@3Sk4@Zg7XdTPjze@pG7FXLtAueB)0 z&z)CzqoWYfrpaP|sm?V~|1If}i6`gVBa+1*;+I(DT?tqvf-F{%TyBoFGGrs0h6gs? zvvbvN9|@OE_nOgkSNbz>_h}aSbX-EZo!>Cs9`s&YNbdd0})-U{a)tW@fVsf`8 zO{KFe>gn0@spt?z)N9tTa=FoQ>X`{Y7vNwSQ>@I%XMTyC?RY zd8hctX(ras>u&D1oqRpkD-2gF>zL{8%5$9mro=*MW^GUP^yxPlkfY4C!T?gU2O~eePF`A*Rq1Gb91`#JGDkc zUZJyD{y26yFr;KcT{@Rpy+=R&No3Yz>yR-ba+Jcll`&MSwxhV2l!9S5XbjV9Du);KKpxw zJR)-Afbm+AdMcJk8x_hV)Z!qDKU@_Yq)@9*R-14H&DGdvrgo5IQhvp{UC@JhE)t(q z&@)0Db%k@9sU!mYJS$1vh@S(HOFG(L1WdRV{>)l=<1 zbfs~!9-ayPl-*R%*|6ES{0V55YD4s&=#F&%ECG8fQa@ZgLm=QX1Jwh&p3s)*Ph;*w zLx+o(4^I;qRUKXvh{9Aet0I=Ym=RjhTTA)9Ozbd~oynem+AXL=1$bk?7lr2uHXA$D z7FcXxA`@mw@34z)F?HQAn*<+;wk$$Y_0F6U z4&om^%;NqQ{P7d1WvTk4I+%E>g^wiTA$PtI`l#g54DTOvbneaGA^DIt@S=kK2*qOJ$+W+u{to}?~9X!EbU+}bkExoY~YI=8Dg!6->n=rTD>nYpI z&qt}$MnqG|=MC)TS^d-jh0zE!)}ZKMy)+!(_Eb3-)v0K?gJ1VvZ0Mqz7Y_Lv zUXdkOKa%-U>V}c~`C zsMn3;ZIR%~rT(`=@(1Z7C-N|S-KG7@UyBUYAA86#li5oJIR6)fHttGcr++83&VXG@flA^D7G#tJV!0 zU%6$8u-?a)al{-aVK8FpKKkr3 zYbz8VL)XOE1?gG1<&^=U_Tkf{5y(7Tiz=c-0S4=uvTn?dI0E`59s$RRH*8T`lSeV4 z_c&iXBYqh;EO1qtI+1Wmbeh)E3Iq+q2yN@}2>zo`>t@%JO5zeap1zeRdj~qMzSa?M z$!N;htk|iTfF7i}i&MgTtVkQWmsmqG|DcckKg`{AR8?KOFnpC%2|l{)yyi9Mo@=e^ z$4~OV)yFoOn_**ZoRvCBGtqY*h2X9K4qF)<3I5tp$if#}|OpMxS1b!VYg6wmDST%Hvj%AI7Oq8^=}>C>j_}{&6L7+v=v4w;N%Tc(m*nacKrJ zp^n&2aqRdTf?xd9rkDgj3VFLdLi?$C^A!y6ozaBTkJ@|F1c15y0cYI}-sg{1jtcmt zyJ8b{@J$r>M*9CIJ~ol{7d}S*Kf}ik4A;adNz2OqhxpjuU-;Mt0s<+L`{7B4M8Tp2 zcIXeWX&B#XpU&ri-_?LxkLVbA^KR4O+Q<1CE% z&2;)(QW0RfOSnkUOo`{|6X?DZz24u`VIEw71AsA=9-(3stSu#mzZ|rzoG~Cne04~^ zqy0luW5LnW-XUZZ!${&FCq+Sp=wqtU3ir|nn8FX7hULlwFN%cWxnq%-S#b6gS;l4* z`+P{h_ilW?TPim4v(S+a{i z)3Wi3K4qxD`=&k#z(qsqqx?`_5eVVo)ZSYkxg^*r{j)V<+E9`@*T>M2>783z{E@DP zC`^M7d~$)QmxAEEBCwZXy6le3+3WQFTu0*vS2Hn^y*oLQ6tDQ9ib7B0FIptqbo6Q( zBz?7|KAU}A&<2G{UP_ChT4Dqko)g)CI9x2yNs;PHUMz5 zknG4wXB0RF?gvwINQqG_)t46gpZ?Oq4nKjze;sw|eG85(rn!*5RJ1p)b~BE6BG^uF z-h5YC6pT9X%j8hU}@R@A&9o<*1Rz$t+=F zWswjiz4>l-WwEVou#HYQVVCi6hjBe;>@wq?75PFleXq{;0Z@tWFSg?+G6=)X7zCw{ zIX5{^Oa!>{aZ!hC&C#65JEzZUrdR9nhSX4dq7ZI#J=n9UmakM+_l1$7J1*%Xna>RF z-nEh$oVi`QkVqNdRpPC(n#qi{2D~UX^u^)lW0p?KNY7pMTSi=5nn>NsIu)FLQO0~m z86ewv{cEx4{)hu7$xFb&DEK}vFsZ|E9Li!KVL`S z6c-TE#^U&voj0+cpG%#mzjWk~UM4)XP4?+s zk6^1aJkHSbc;hRF7uLan%_BM~za|71xcI|X<*)*;CSscp#Br<%*8-;;WeN4~QeUkv zGoYMUPs@Bm$hi02dne$qExp>4W6#o~TACuDi?lX6jchkESb!kmh4+$>Xj`p;c2lbM z_TbB6koxQZlOz&l*BO@hwzhlxv0A{8q{bpoKL|+)pJU{}f zdA=@93lyn=A-=qvzQc{DyA}_Qwvi8Z6F9OKmAVqZe?K?t8hFsbTk}fi1@?GM=CRco#)`$=u- zo4NaJe!wCt2(){ujxc+P1YDUy(%J4s1C0v5y0&EDd5w&s3dK{Fr2 zIc>vD*-#iM-A9Uho2xYo|N0Qc1NA{hfq0$FX3RjMHvBowiy_thoPr_Z*z|jcYIVm| z-5a|T^V^ICj5s^nffXs`W{hDI>f%S&>A^;8EMM%?zIx^x46ZuoMR1Sa9NhfaP+10j znNM@%-#@R~<^$VG_i63(w%sY7x?Px6@cm_xDZb>*d*^vAn%sCXq1qIWf~6xVwNZMj z&r!xOGfm(O6stZ{I`6XEn?5IK%=iR)-pV()R~^4{T*1GAzoR5`y1g)2H*b&Al$`8# zO6{@Gx*vKGbeQ*&bq;KjpYo8@D|Ex=*74Dbx~WXhXpjOmw$078P*QSeKnYndwc&88 zuf{XvRIQLLPS{j=cUAp3ZlVD9#&LlEfS){K9w<~zuySX^&bEJ7Rlxng7AvRwMsc$s z$2EYTPnlRtW6EoJ!(3urDK@p_BGRK`GDC)@s_G(vq=d2Hmx-`4Nnj%Y2J>R=I z%fhN*V|(00{lxx*~-G(2kevF}7o1gAp zb&=zQ>JIL>`Hp=UVo^A)xyB}y^_=b|1|S>ylU^nQWCJ}=N*|=s2_YN0*~UC{2Q$~v zrMxPiRT#B;pFYiT%4oY|IOH+!RZGa@x9U&N!N%;zvz(`2 zJkPh7XQwu>uQ8st5Inv*mEw|)UepI%zaFIW3v&Jb1*Tf)SK!squfPq#YE$ZojoVWt zwFkdciKWJ*M@Hrk>RH@2lU25c2p25u^|IzCGUXBh*sPe#whXhV5AY=tKq~r>i=Ang zFkB)+eTfLDF9Bay^t@zv`Idlh{~g34<8=otvbNLzl|}ZgsKDfF>#TM4p-omx{iuc; z_}$il8{F%-ci)Ei%5ut6rza}qf|Rp-E$z{(%pJe3N6^$1VU|OsiQQ?Z5%1siC4NE* z0dOpYONHsNfq4qqv)}oZ+U>mFC#C{t8L-3kWIQm39W8|PG;$PlpiR-yyRpq zyCB=M1IoKn@U2xh<5>s12Lq}$PS7LBXWR?$&`)3oM&hVI7O1CgQNS?nR};MsB+9$b zn?uOX8CsOMUau>8Q|`RP`dzc?s7dak#oB^;j*;o=&=VecIH!aci6V_L61=n7`Ds}XIju~Xxx3KJ6dsh}Bm#^Shqu!z{; zWj6J}Y6;k7k?eNTrt{wS`NOuH?u+SLP%a~1j$gf$^-@qa;)0{JAIA_ZE@z$GCh=Sj2`xjVN|mj3J0E*QW9 z)cN+>y7;+$9jcsj>!ql=BCM4xSEC#A4Tcu8>(8W@K%sL42G1Yu0CWQJV%A>TE|PF8 zvO$u?f_4^AzA7qlKd^F*rs{34qALGO!mZxvvJnoQ>wwx+S?AVMf2Ahc#?$rh=T_iQ z{v4=o)yO?CuMm_8{6GyOpQu95Q3j&GScA7}{oAO&4S z(I-gWsMZx;5=F_^dw28bW;B)th*24vIl0N6QHSfF1+h|+P^&;tG(7-CqsUX2irmQ2 zQpc7N|Dlx>Y|0HSx-n89TcIVn1S3-)wfJenW_edWN%e%?^p)93qvXZYB%oe`rL2%* z2};tff~bAXlO$OH!!ff%u?7y_X;vy^p!IKTQ^Fgi7!JKjv{J3!GA~~Ge95h#lQ$<1 ziMg{S-?WVD&!Y++mk*tPr$kv3ZO(?V>J+`56`)_0#ufG$0Qe$dQd?BMLuza!zJq3| z#E(L*X5=snN~L07#u^`wfS2sPCz$<`VTot>=tkBMGh}}1Byqk1uO7B^FG0vkF(T1K znoF6u$w5(;;~)ZfDg1R%FGVC*O($QZ6K>s{WJTWrChE8~KAH8*(Nu_Kn!$yh9E>5! z?5dRXvI3*1j~oa9OBIqYkN~)eu#$p~R@U#)dgDai6HGCDIBD7`v;B(o&~5&mZ2=)A ztG!ms{QFF~ag40MTrM=33RhDAlo>H5XN4>f2@$j;$p&~3Qii`9YBefd*e@N>#@MJf zAR48F!;f$%yDzT)P?Wu1BxK1$eKe@`8sECP!r`s*2uu#Si8}mFSE{w39t~XY)SKxV zdri4B>Pr1H4LN)LU22uGbHK%{9FZ)cgiv>VjTuZ;kkrXi11;QT)l^Yj|BPY5lEIf} z#!BNea>xtX7n{1jBD3<5V5S}^2F2GdC(K>A1vq+bzE&2fmaz_Tj9Vah43cy_{|GL} zLEG6mtJS;BW(LX5`E!ZBYm=gH;rmXfL6OVy9r6c6vsa@BKVh1^UG4jHmTIl9O=m*S zj-`&PojaZ<9Ww4amolRjG?|4fV6MbLt2YABP;1e#^l4z=S3eMV9%ZYOk$ABcX~NVJ zJ-DUH@fB&RNOG=7UEqDqpGF$qooVFcM0HJvVe|}+ULZR=77BQ1>TR}NiGMC#@?+&S55lNOB%mP0jMACe2lRgw@w_zu@bXYEN;sE~snt&&t^-4{G(#>j7x0?k@@vW4EIZ z^aPrxDWG|}BdkJ1gR;KqZfQ!pxgM@5=g!s37Ci6#FN-V+u*kIkdluQne`b-zqn@)a zVeKRwK9e;_$(aR(j_1ik#}KhbjV~Wi+Z?OVe?W4rE)RDdGgRhrLxN7zAB*pGie4g} zx+U(ESDs$C_FXk}q*{mB->vViSiI%ZrMs2O=GInDZ3Lgpk2;1ac%|f+fg)Fpbq4Zo zgU7GJ=DAcUo%;3JJXc>K-sB(87n{iX!T}S|!2ooVpbM=PrVIH)ByB(i?USOHc)ILu z291nu4L_Ym+!tDmiWtE(PkE3R!1XvKSvQog15p=ax{g)FQ} zF+us20!*#?Q#Ii4kI~ogE-*r?dE7mLPWt6iT1F+$#}V<})?S-M8mcf^Djd_!i&m?~ zDjhjTD#;bE>rUzUEgg!+`@=Lbe)__f;*7e(Kh05n160I*g<0V!B9m1RRI)l5gojzN zzga-0R^e}U*FF<)*?G~*QSCW^^~lOz%G<4M#3((oNX%p&NQ_RCJC;qi3OUX-owZP` zHn39HzUU`(T$nhaFC?@y;?Ef0opPB=G#&qF0mtYQ^Qx?$4J1eY<5+iwW zWI*B8NIGla?9l#gaL-nTp{H?H>l!^kmJ%6ZKtN5fs7Tf@vrv&@Z^=luxe$90`D9z+O=$11&`}3v0 zshaq-IV)iW6VC6i9ZImb(GAirCfEb+twsH*6L4=YzK?^HA=NL}#NeQK+ed*I7uv1U zlCPr{fyW~RXLZ(S)hj})Oq$E5u#3afo|JIpxd^l=j#gvrd?^5LgV4!gSFEC4n+B*t*WlYLtEC;{KX7{f;}%^U%It=Yq2J6p)a{-#D|I)&nT9c#CHUQTykhg-{~0NXu+{zJaxwWN=;|K#`gJVoLj_$+tCUKu{-Dbbb5g+ziZsdM;s|ZV7X7f9v-!cm6xM=JA2c+ zn>%zhMVsc0)iDpPBg(@)geHsQ?ECL025&8a_jamOleZ{z_)CW3hcnbu{-(%gj{yel zH$_(PK^CCMEDgBk_W%hVz1yx40pyw!;-+#P+}akBy-(frR<^btgqIhxU-)(kb3@Fo z(#EMig?ET`ZQh+0U%OtGx4s*)>Wr~@y1%tjFR5^ zR1dq-JYCcNUS#Yo&AMML6@py=X|bV}WQuC|&qth#cu(NZV-6D>;REl*pPabpMsAib zsmsaoJ?4sUoF@c<0>%r8LLBW;YGx_(eCT>dj6om1$_a`Y_(G&2={ws+oF<1f@;?Zv zE(6`n;ul?eIsq=6Iv=j|yoCKx{al(iVB#wX(7mtS)#S9PQ+*v@*?%PfT&3ckoeyEM zJsP2*Y+wuvR`yVct7MjB5^wQO8y#n4r5gN{YgbDw<-~z%;U8VT{>vhJ23TaR|5J-B z3~G_RX1ZJ)-(*Y9E5Z%3#XTIfNK3VHMrHX>#d*_RsT>8^8Fbv38qC0cT+woTh6rM3 zFi(zjZ~OgG8UHZ{(z+a+vr80Uk+uFWEVA9dEV708|FK0D0_&U$cOLrFX~_1hegx-w zCR3rHL<~XWgt}_7w?9+rGkJ1H$M)opKqCfKyg*g)Njlydc<5*4EM;B1SY)(ir{E(= zHTM@f@%N?8C_`ij6pu9}=Nq(33@{BR2Zt*azbccy-@Ss>WM`Lv;19g7*i#PL|L`N) zQ+rPuo*kU9bhI2gS|->hA3D~E4h!Ja+wx?YD%@-a_TaG|o6@>=JIqK2KxF`cdFG zKHm?IjX-^#9)m-+TG5mRl#U9TT7Ab0O$XqgwYxZvVBoNk0wVe#)%eI}wf|9~ph=ht zN!jUfTYLw@;y~J$#fr;z{Ho>kSC-E}HC)37+RrT6n9F`Ds15w6|5Q!3QXNA-4HK&z zvaCQZ|B9YS1z%qAU@9jIUyq;B7k8(E1``p`ZccAFMisZc|n z=A3spxqn20kipVZoA z>n^dhH3lr4E3KCvQDj``=~OY-$*#W(*mk{4K?+ndSOsgBIvjEgS}F$s>Q|_&ky_|5 z6F5eXmE9OJ6$#g!0}}rE0v$iHNzIFj2vq<+a<#?Uo$I7}IoofQzwt$u49+)pgb#Mq zZL{4+nc}mH$+%<*aoG#!=jf{rul^}cGq{i|N>OI>{H@kntpn4P8ZYl7l+(G7pWHZ6 zRM}Aq0$bO()b|_VR|%%*zIkd6tH1$N5#aEd_Vh1QLLvq5l#1CTPD#QDJ9qPwg7cgukvI%d>bC7RCqYC-RvNP3GeNI7aH|UGhu$7WyAQT4Z{C zV`IL!^O)|&j?fuZq@ZuedxGmIsFY<-5h)ihTyy;S6N5IAp~Zq8Q0(S~xps<9;6DIL zYEGp7$E;yIHl%-1WH!tHTZ+t}>@#4H>Er%<>;8=mEuNdb>@%-Lix&tW#GY1gTRcf^ zr0DLq@bPG*7&E=)0u~%0oQ2&4`G3Cmj}uNm{WAIJ3%Ej6*!9~aQ=iN7XhHNDL;c{<{|5{+B zp3cG~{yG2hLciMZE;T+K1--soJJm&Rp2)c%-tft#UC};3I%J*h##z!@iqUeJEj$XI z^u|hkR)&qEb3xr~YWWp{G6HYzX(0X)Yu?WJRa)Er@TV*-AsJ2V!;3`7r$|!7bvKLt zar$&0b>Zv<7H~Ec^t*KGV|eQ6sua~rWf6>6X$B|z$f{)CQRw;xD8}3dW=u^z2AbKe zK&}OaF(W7B)k=s)>#VyveqP<|o75xMc_j6>pv;dgx%7*hN?Fdctn>qh3dQDujC&2br8ey_#a6|OZinqiMS+OJ$lSeGj{ zj^cO+?lmFQ(?k0~il8&Tud{`3Lna^Nrar62#xcF19y7H(g53NJmNDM3_li6xS?YWS7o z1%@F}1IFpmK=MTca>iD?hG5>%A5320o)z_>ay3|UoFF1ERcJnInY|jhpV9NF!6sWQ z!`@uQ9_4g}$6?WjbZV!jO*&Z%Fkao4a1|rX;z6f{CxWs@nxVm_3*|oiMz6!dNFfkk zn4>3N?LSSAokbZ^dSzntI`_)A0@(oI$R=8>0~)?n&FgROP`33L7gf@$m@JFsRnm(s z)y@7TnoZ&Qkt?&-liDiD^e9?1hvzeM6?R?l#`LJqhL85Mhu(F}5FEIBAW0RIRB9Iz zE?Ui3JexN+s-2~_EJB-ga_?k+{aPHdoT8A^M1cO>prvJgLUz%D*Q6*bTyD;Ba^*%@ z>1?czp66Lc@6uYfs~?F)wqo2@i`A^)UdU8zz$CRF;$MFi7KR4FpB($fdtUv)N6l8y zUMrgiY8LG8d^er9O)}*1tRg*}7x1!ZtC0bNZ={&R&#&9s7QOfbr0V*IjU}g}1TGid zq69i@?V@gN3!cXf09+M9yn8LpwZ3D&cwRK47)82=BtLE#Dd!p%BO9R$-oDt9KWE2YkS|EV? z+8iQ7<=Pp#@B!8S{-#l{0%H)0&qf8t561{|@5OEm=p3E5Mt^N_+*D5mk1kloi#oOIJv;fvG|u$tEnY}O=|c{;|0wjQ6EjxPk;=E0}0tU z4Whi+KkKVKl+s$qP-}gP47mz4@;^NVQUYwo(R7=6n<#jn@WL?s0dXczL;0{t5wvmO z?cuz;&kn=yw(pS^@AxG`6SqHqST&1;urB43+-JZlW4C%l5`Q9F8}RD4RragBny1is zrGQOM{0DGiY<9R=fKmM&1>p+clu(Ch|ITIAs8+X^o89WfNZ++C$GP}Pv&P3SCV50< zVhd~d>2F7S1F#{hbD$kBr$^WygZDuIS=`Jv?nohDjxq7ZWmU8`T@lojaKp=(IzPyx zlA}|Ma=xXs)6@T>O0GcMfHV}c(>zYl+WN!3`$TB)xe^_f@(MpFf-&*xpm)eZV6u?A z+r-v3`6KY^YRDupL8@dkcB0D#^|7g?A7sZ?Vg2;U$shGzJ_wxodk1^mP|XBl1$gX* zQ-s_2E-J72U03il_M3p5K8t5P(G5d6TvJ>q3NsUr@06|W#muEF$jkY(;RsylUlrN4ycC%rj@JtqrJK@kiC7wadw9lS7Qe^`w_~zGXh1AN7ie`YQ@16NOO>@tfVPcO(@P zygHMusH(P#Lthm2(~^$ro`F4UcLrY+Rk4f}&&3E)*ox3v&(q*sJ9ej&;OHG_#m&cLF?3Ta{ciN-@kmZ{|{c_`@c+y zF*8A4vBp{zA+Cqa{{G#$%Uuc}M%rt~nt|nnfT!+QhK`lNjmpb19z{ z{|}*Lh;`(qzbUce!s1Q7#r-sH^)UDwqTLY=9Kl{;miv=Uxwh+~GxpMWu9myo(e!}2 z6_7i4qOE!4)JSJ(%B+RXTHxVgf4a*kVFTm}zJ6%F+sS)4xj1d}plRE)pPOS8sMFn% zGQ(2@o29PS*#w zWyEUQ3$K3lcleQR1+Lig@e2h*k>f4?D zA>s9#^~M+}?O9tZ!t+gCt8{~cyYu-zwPhEcSM>tmq~2X;Dfi1&7VW{%cs7Ez>RxBz zq{B zhFRVvqT6d*UN8RE(Pc2wVO|sY&fzls>|L4BK&w^H!Ixw}6&sR@`|g(TV4z#ofM4A@ zi+nzBa9(2_IHgixwl6SY^-Y&2wsg)7!Ra+6Qd*be=|SMmcZ4(N1KBHKx(ZT`k&6yS zSo^y5z*X##J3*!&$6zV#QK-4vrg~F9>_m5z^8NcZ5#E93H%Pz&M1sVjMen8z0Y>>|K?fOXl$8ljgZ1 zl<;+q3{)R3X;mM`6?~s6CF`3am6vw&u-Mw`>A2DbGh?^if>P4VLW?GsdGRV9c2|n= z2pLs&A3Tv*G(Q$m&BvCB&mKCpd15nwR*$aEV|MryJv|zdeVqYG?D}|h$`s}DvYsk6 z1KH9Dvq`UwHlFVgsM@L=b?Ew-ws#ffJMFjBuyckyKs6bwtRBF-Xr%rviP;vGc^RpDBJvJJJeSjHLr$~Zb#%2~ z;BX19ri4Si!6SG>fZxgd;NIFeFt5x@Ki7TrJT0Q0RCm%j-E@__IS0 zCe4c6g;OTk2Yot|n~+$HA>#xtyaf#)F~+Kue}xe>>NevEuA)lG6HHl?n(8nY;zCVj_khTXM}jHSKBI2f+nh|sc4gpHoNrK&>IgXASvB7%b$M7lC4 zEW<&br)ISr&DP7kSQ!=TdXnEFE{v**n3W1*A#!__f)J{c4L;$ zeET|lyvxy^M5+uqFHO7h+uS`Lf4N07)pq2{E7Wt7URSczrg=A^{R?Kt>!bM{s!bqQ z(fwx2FxMrL;|Rs%oAOa6o2;K-d7i@6J$vOM-?w58M<9~K4mYor^-7`~yTK2zz&L;h zwoz*F=FDXI)b9M~r*EPXR3PjXd$NpBX0f_T-xDz2(>F9^ou4HVl!?84;n5c*C2W9; zShQ+6?}F33Yx=uI_i$z)k;O`9%bl$#pO6+Tq@#=I&sHNxcrzFvq+X`LhO}poF`O@t zXkuY;q03jdiV5`E9H7aVr#gIw{|lCwmO;clZYn`It-a3 zF1i#11B+7tFtEIg1dL|~ox&M281SrW@*miR9!*q+<}I3bgV92wg!0`5^sPm@Xjufkr6$s zvX+>`xQJ^8wm<~Fg+$;5Bm$WyS4HI8A>m%t4ow02{pWDPPjE*VNthXQMSj(mdP=H2 zfSU|{C|+9Z2+&7%n4RnDpvPxc@_*re)W6=dY-tX5COo(w$!GV%WqKwMT77Qd8h6c9 zow+jfA|y&IA27iny%l#?U58b?S7%KRwyfqj&(pckq)}9%U3XUCG1J(Of4F#XW6dt1 zPYO4-{*YF(7M)aKQqsI7JSj-bJc&i( z7K)B@AsE;@ovN`7Ki2doWT77$nBj>=3a;9TeSx3E1Sy$0y1MEZ1ax8)87u_Q#_;AU z37EQMPq!Pmk`Q#ExV4+P41;#tigDE3&g=BTIqh-T^#%ZkM%bOR!yC-16v4S zU_fgC3=Gm5QUC*UdmRnMz)s(wB~D`rWxps7VJACeqwq$&!9#mPBhvXf2$+68zVIZA zl0lH^XSVOnPtpkq4bslv-Mhdb*nfuWM>k65SL;22_zv_mGP2U|i>2_`reA^r8oDgm z-|YY}uxe`TP1l>vpQ#O$$&^s$sBujA#PEbxI0p`&65{F=$B+#=H{;7ylKB=HIDb?dN@@9f) zd7LOvaTM-v4D2p3DErv)#XytwW<-#ZnQ93l7zvHz83Y5fEqd#R;3!h6@>T@0gdTWQ zi;Jj*Y6%&MKo^T3I=2D_QbVwckmMrDe2oh?u7K$AncdBzY6UaOBk^x+dCo!-x(I!> z9Vj-qcov_Nn<8mIh0paM5e_HLiH1@|!wGB}0J91O5}E1{3``2TLieCU#vs5zXV*du zL2FDPA^bSeVfgxQ3{07gXwRN|mR zO0Gc??Lt_%^z+*ahisGY%ufKXhgmLEEQ)f{<r?yP{zmMG%X)5aWI4=AtODLSsmy`LY4Y=yc9?mcQB9Nc+%l* zf3}gPZTG^(85YN)Z`pUIo@kD1aUa#4)Cdovt@WW=| zU}CX;_<$ys`DDT(F#@b175y5S*cD=d{f-!nc?|)eSAdpeB%~vX>P!O`*g`zJW8i)D zdxacz;FHof3=354Ti==&I8%}8p0T>fo2;6{aYFZ4Y-8fw(A>if%{>mqj)F+x+YX#@ zCY^#u>F|A1JBi_;jjmtJS!e$07H;;1LcmCa`E8^n`><*Ma14fQubQPRp#7p|7dG7v z5L~|lSkH@?X{zF0kZEwoXwtNNw1X+@2`K>UsbgYuntlQxI(T3|QjS#9hiM2MB1`CA zZ#!XL!Nh?Mm`Z$6;nk4bqEKk3$2qw3j=dj?IeVS0q)=YapHH$Z(B1L zK8FRU!-Tohap1DfY)$c)#E|3yyQDHA{_h?7Ytd!iPw=4&DYyA!PQ3Y9o3)ih8zjiA zTI=*SWeu(#5QFhR3B;K!K$GQVA1?fc3=JD@E=aaw)h-ovt(VP!L{2<4bdQdebCUPF zWgkN2U=6fRpL<8q(LMnjxn}8%|GbBoa#i@G;UUZMFuWTn0ELDVPZHzezo9?s`yQ3{ zP8=S{HU@Y&Vdg4^ysmg5#_yF_u}H=(gM<1yo&=VoAwxFj%e!GaYSG$FOHH}+vrPUb zzHKu#eT;KjiDVlztshYrm7AB|<$()WWu(c)T`>uT&l3-H{S#ji?*Cd9e>m6|*llqF z&T}5Nb(c=YC|pucaw4y<6&Xn5`fsn}ckSm@2JM?8M$;h}7*7lT=BxShyL+uxU7hBe zh}G-UV~_hK6Zba02)5AV%e!mc<@@{nWz@E~Bq@;b^g6BaVUo7au4m=t#Y*`$iuJj! zV^~*CQf{Mzkyrhq_WfAhU~9&`=(4=Q>Fxfi=gq~@_rr%(^!e~`uO#zA0%Z%rXWk!tk zyQQtz&B#-hx)ozazSz0R>W!My0^QM|3G=qwbI0S=yk&vrvx{$1cM><04@N2a)uX6~ zx5qB~X3wx(YU;c?z0$Ox>{aLz;KYxH%o! z1D!N{o7Q>V`g$?Vn$o6e)^AgY!-a z(gSthYtJGsu;#$3bpXFqT-$QjfwS^Z&Y0&*=?*yX<7+y)w1JVO#fX`lh#kg7!iT;f zePJv8d6+hgvBkK2{N1L|qR109Xe@5wymt?Nd!Tq1T9=vmiot;wT$-y=C)H`JQl znQ8`BhE1h!pn<<{4KE!^Su?5#@aYtLVu|Yq`=0IMPTmfSIVK3SD)0?&jMT;kvRB5c z?0x2_Td%ytch1$(Fl||C4L&dTKdU6&Jb%m33!|$LwbhjNECPzoj0jTCynEuOPu7_U z7oySa`)h^jS!6(qn7V4j(Yvz1(K8YE0L+V!(<7WZDcL>{&k^;nG%|imNB3cxBf-2? z1F_yfk8o66w+6c;c7$~~GER2*!|#S{@6if-hV;9;Y2E*`!j^Pd;I|WL2lyFUSz+Lmg*~xf78C1fL^&>>m67|5*=;@AV|0Gfps*GlE}3Ls z`pL+ibD8|8V0n6-SELjwaKaX)n|b<(xIR@l8f}RgT5j`*1rZNwYv4*RnW*KQrn>zd zYFLl~2%-L=z=C(+UR*7esOp)|*fwk;gz0(to4p$8;MQV)`XV?AG2|7UIT~v4le8S* zRUma8)U^GNM}`m+%mk+LqrZu#i4CHh5O~BM2|v;2uWEu2L?6o~ z#=mpoum4He^(75{v~fbm7MIt|^4;{MT0hnP=pWpSTN$>>ZM1B2TyMER#MLJdPk0 z&dryVEF$MUwBYlEWe_^Qik*9cnTbHA)N8afSUluu0>t&u8Lt)#R0KPeqUWQ?Ej=a! zT8Jf!;UcTK1=cLMbSTTB)I!NL=H^^xqSe3M2^&Sb{c3* z@(z&?AcCnAaCh#&CMv)1lcnqrm3{$E0r(+6UIU>_xi_T21$qYl(K(};qiNgc{pxAI zMX;*e-y+zr@RS;(Vn77@VxS_3!kvouhJ|a--VT5yVkfG()WpDm2=>otwVM#kqxujv z-la>A-SGN+zn6riH-eiSuL_MOsOIABq39=$_f?dZMs~$Z5?3sfl^%MZcHt^fFoata zQyD7V%(pXmPb=vJSd-h z%2Gsxm?|5IlSp*ICHU7hvelPn-UgFNR5A&E=6s9ZA9^a9&NV-T_6Bv7lwus-7MIzq zuqoBl{=KF@5d~q<(-PTG_0$A+I(9p5X5+KY(ZKg2=`R71uplLOL=eYi0)+C%FufHn zwWj(D1e-uiy112ujjdbDDWN+ilfz@><{zQ@_WFd2cceEDxe*V)@|>pUjP6`7|Fj4I z!Ngt()qGG71&$%RL$Zg2`4cqN$kl6(gbYq!PR# z;qTnM{W@NxoMUER4g&ck5M_wb2-Uc#hbE|D!j@>>W&QTR);G~&An{8ZmT&I7gBt<4 z2x)(b50L||pcR0~nZQ;+5INu?4Dnp=eEysS8gVRNo_#%YeIz3XLv;xCz__Pr&>qE- z6RhpasNneJZvqr|Ak`spx0x+;eB%V(B>1Mxr7;M-Fr=SRT&hHzj45nn%gko&~B z59ru+8R;H8rO9mVs+f3eF57{Mo0`61;6Af)6bktUMdV|F$S;(4_xhlUk~s)y}j?YE-ItE}%i;tZh)n51 zh#qU`pnl!|_-F*uEAlig1(x1}=yHv4`b98?Dvw`q;}gAMdAn!-32F{jb761Zh-?4) zqi-MK#_cG;D#!ukAP~Kht3X32Tw{N0V2C~^71d+FyqB z*b#(F1LH}8CM87kUok@ZMp}N!e(?#0AP~#dNI;HQ_^pAd|JK09Krb|bBpUn%Iw7u+ z{uo2pRep#58`QugD3qFdBXFj#;DI`HR0GNggEDR~SL0_Be?vkDs{$iLX z(qr>k7=*2uK(r%8Cvz8j@mI#$)sbTq{kM!Yq%$b>sCqmz9ZBAm;4SGsR^H?4SKLak zm3m}q1v_^hB`O188bUt=OvC#Prh!o6YGPmN{_?{uK%D$##H_Ec{D`&eoKF<$lEt*8 zel5E3XIVDx=cuu0yt$ZR7?u+>Ro8%8V6h&N<=jHX>-<5f;gL8MsObFSfTsxNgIs_RDR!giCOv3G7@V;Ctf5`_QVvur2C}xa)fQI*%#KiRe;rdqeHxp_IXdHEw{pxkV zPQ`ARre83x%Rnq3(Bs?RJC*M(J5xX`|7*OSWUxwl=1#AgTswK@He$5&x;cpq-80Z# zzsrufH;dwvRUgWB!>i?{Hpa~UI`NJfLV;1jg(<{B)^gh>I&=&SQnXj}YClK_FyP39 zhvaO9rtbiK>%lT*OlA*HHkgyL=bicp^E=s@osp7HqF27WVr=GlG-Sa-c!wwv1^ez51r-{C zgio+hepPAt&#((6y57SsA-q6Jy$VZ5G}u=|IuVZkaGLnftDez~ZL1|f954g#UMle@ zAa69+&SG)UsgPo3Xf)G_Nf$qp%&jHyiB46yGnD-9tPuVEN-}0x>c_G0^Y`(`t`2Jk z$C2Y`W@40NghS8VQ6#E9CTrd)MhGkc~zhywOcEK3j6AD&|?#YmTl51e?!4DZq^ymyI=j1fpSgbfRqc=JrCfAbUT z>r-!rJ}8UU5_eNyR>LYvN}@C>R6wsr>?$FIX=7=DEcP1#Q)@#-8P`mO>8oc1HHLdY z5U?ss5x>bR8(Nr7S<>#Xpr9ihQp-Ke0U$S9Dk7^Zbp8{@f&<*~%@|}uyMmP-SY(R& z2Xa1!wAx?IMRr(ZE78zY0)ab1ci<*7Hk6pzjD)&oz`~as(rjFT{khzAP*0@B^x-Q5b(DYfa4?(UNAZZ;v^ zY`Wt<8{hZnd+#~t&bjYzesgE;{m&U^7SCSsU28w!HoIFn39^MEBEZ4Wdb{-CLxgZz$EB28K_jO2w zpFICffNfn9DR(M*y#>)oL5JH87;d0*meKgK`E>-jh5qzTcvfGfQW!vh{fRh)nQU3& zPg*AcAnx+|(aZ)wfGI->Fh%zsVE+SF<#P^v6h;Q%JvHw?RwX$Mavkbl$H-K@&!>8F zQ5jR|dRH_eG3`@bk>uDyKA%rTKmJ^IVoFF|83EoPy^efL(B&o8_=LDH=AdaRe-Z{& zy-v&Tyx;G_1am2$MLp}#?og;0c>7__BHlWKmV$uTWMmtXa#Fi)snO9Db~g{c zhw1gkCiuUmRy`yWJ)~AKFth##0Y*>%kA$oL4XIWC1_1_5nfjL`D*C_avj0Ab>OU&0 z{i%*N4^l5){rue*a;-Q{>PqjE6ej@&{d}ZDs-z|O^11a_1(@dpkLlm<-Q5oK`*%}< zB@_1-;@OJ`LdFgAG@94^`_FsA2t6+Wuj?hqx=CW`Xiakg5wygh(R8_^A73Qf)NkCh z-Umw2?xZe)zgEdplY>LMW517%RM|Q-tDCacps{1=u7SS>cVFHNR7Znc zi0t6=BaF7NHiqMM&NW4__E-iBUAmBo8m`o7tbEvsO}$n4SVo-Cf6&I+=En5Yx$A?b zp_>p^X!4T8(BMmT{u`7wCqt}^#1xN9i-sFl_<==Q{-huGdn3Z9D}D78A^OQDD^rjr zr}GtBfB>8N7XmE$F9Hnb9|V}@d03jk@{Z#bm7B{Jq%q)Bmz>bnbCSF8a2?$Ek!OKN zxcSh=O^Q2os$nj9LejJTX8$N`z|lIls`SRQ&h2<&jTYizd)T!x`8lze0^MPfs)*qX zKh?Mi18+UNs7)uBRy#WGuCjx8(3|cqeTWl%q^9{KdpAMz)Nft;mEE0lCAPh(Mi+;> ziY>XQIEAxuNbl!4kol0xO!dzT9>SgC2@2ByyzCgR+ndu{!vq=ko4fRZbT0GPGdw$U zGb;(Xb8c~CmBBV~eM|1Pwa%5(PBdM_Q%g>ZdNjr{mL4P7xt^!_ZTuib0uj>)`Q@QA z@sjFByyVO6TUjOvb8Lv3pLSl)p4Ryg2gR-P&CMt`XkIUUYN%Q{a%0c-_Ex+0@*Gk= zbiHwTu5oZ_hZ%Ht^X?tkQnskk^ZCCTV4n9-1MC_wz~(F5-LKtP&GN5nkz|{E*EB#w z=WSIBl4DE@X;(IGDINtDfpLnt;a4&y9i+yxv(d$qq*_03y+s~WDX zY0OUL>|ft!8@JWnh)t%RzP|We@%B!q!5lb)4`|F;#F(!_#`oUTo?Fb!kj7;*ROwRtbyu8Ea+ow!F+dwO;m z&DjEO%vAG^)$#-sdwgD)3)Bm6u`ca7Tw88RJG81-oqJEZepG7bee;-08v@*>iFtXE zN8P5JAEu07@^f&VUY3p*A0KCUC|z-|ow%#&Xl5MwM7o|BA9QSzE+(4$sT{Lh>714U zP}0Ez;$+4zm6hJShvF$Tu2C0w=-8kVk#2JXx{PPO9oyPUA%q%W+5h(jSouEWV1!<-ADG22183dzF*b zi89o0I4FnOF)Jym^shX*nE>5ts%yEqXz2C*%AQmik6*sy>f^BWrnrXbA&d2dfuIj+ zn#>S`^cpB0Ltv6Qi0vm}`csa3rDSSbJGz`Z#6B{jfY#8^9iO20>Q%~yPJ-wh2KVyR zCB>r3!U_wF+UcV zch?|YWVl|@of#UkZ5%MRfMjMiiAh)?Uu=%;292mf1_DHZPsdECX)*&*P&tR%U9rV8 zoNK8DNjcqycy=o6D2Q+a*a}L787!7NMj@sw`wi5OS-TKzBE7>)t`7Eeqq;i`*smtmNU~ql zJn2dA@zifo#LT*j?nu@!2sp=dF%#t=@J_6z1AdVIGRtQ6!L~9c{FqQ1b7ti-JEm(q zBx#pKjqKyIqLHD0_$rJ$qyejOJmIzOZ-y)gV92hZA4S`Uu}jDe`V5!;4_sUDeML+c z)2$nqTi=N!VbWd6LMs;%Or=z^5_g4d#*s|jdSZJu zMKkZRS(*4=0t(|Se@j8z(NS)gj_m7w6ad3R8?7kp#=&)X+0rglHZ32*&v=6g z9<8^RHz{#FI>>|toSsL(r{YuV>s(qTgaUFBJRF61XzbT%r2J*gZg#&3u#3M5u)hC< z0DBA&V2uA30hVn=$KAmU~#97=PhbeaKZ8aPd>J z%Y+2Mo;WGwclyuaHYlYscAtFVCIvq7ll4!q?c02SMfRdDnht7_`3}G2BJg?OT-s2e zoXdA_piWpmZ|X^6E1z4qx3dc%@01dR9|}sn%R}(8Msd6(O0gjlTp`*oPnT#w^`Bt# zzt$QN4e_>^XQQ^8Ho*0&K$_}k`2wY!zI{njK?(}~Ia-7Mw6&ZloPeJQ$u5f%{%b|S zDYE%9`{W;vBw8s%se*>@Hzj6YjBlGgyO9z2W#Ciz(^%G5OJjC=<$E_OF#iufuQ~zG z7U$g{XW;`=Cz;&ZazX?bz`-8m?c8JlATg1mk89Dq434p+2;U3`6Fffo2=g;I%F|4e zgBCTR0T-w`2Pktl4=eF7WtK3&(a~~ z(+ipO2Omb(H}!^sXW!~?H;1TF#0Q2$D5bUK2J1lpQ^pgM4qgAr_kD8L`hmP5fLs5B z)V^&E^fgjlG#j+9Woig4b7tR}Oa=tHk?>|md%qz;X`4X$c&YqciQp{}YM&u$c&F)S zzdjw3)X(LvXdhEv5doT+ZPlkDXVEW8Tu%-%1%a9R7&=q&W;Yq0Jn&XeA9yQ-kFGve zyc_1SGmAegGgxmF^bu=s_lX z{-9G4$9A37TJvtP`*>eq!8s=;`+H}KJ+*+*Pfp}|#1+U(&%V=KYjj!6Vz}1@Qa^34EfA+yNxpf0>0bgmMU@ z(g20{vVB_{SP}^TSQ5UOp^>(4bpT2-I_wj4A`2k3){SETYfCt>wdD#6uCIn06|QX# zHh>LFMG^__zXIfxY;g%zf1*}`ir&D5DvH|3t!q(uqIs_g(>-tV#u7Vprh9IhK;N1= zIQA8kG%nQwwfMH2LriM&*;_ zk`@pQeORi>|Cjk+Xh^NPcm>*SZ;;ljJ}i3xL0TPoIvdY_1dQAtaQ#)O@%H!7@4-RW zdlAeg{@?0WoVRff%p1V7lUO3+?rs6z^1|tVRBR&n_G+M~o}fgM9VNZ%EBkktQWv~m z(BMppR0EtSn9e_j;r-G2qS?tKwXnV!wh(dow|sz61Z&;$as3AW184PDeAVB>eA(t)y!x+pf(Dr~8JYZQ25$kr&nyh({2d}f{p zE>iC(>!x5%pqO0|+NHvv|pLLC8gE)rKI(!o81Ft~>hhSbSY(#q$8fpp}J zCwBbW8l{z)5mrAL_S}J&C6m`}!6H@g8*k!*0dFxAY+dBp6Eo>LTK=dAKVSy>=LQ2a z@QniYSM7r67fJa^6@@w-g9YVu-eby*sKgKIp`$JKieXXd~tq;SztVlw!Xo;uorK0+dZ^`9(LbJy5 zaF$7EY0SwHO-zKS?bYQDctb(;(rjpD19VnvRXMV=QMMY>WifOd3Sq#a(w4} z*NExXTB7cg68>R|_q&zzM^ z+!BAMUX34xQ7tiHtP8uDku65r zrs+S!9-Z$tTZc^fnV(=*3s)6;*gI_=_42!OUYC0?zHjpzK@2 zKR=V+XeY=DqupDHsy>Q>7#46X@Wd<;g)vXs&yGP`VKtr+qmXa-N%?P{_Oaz32)&yx83;j z_-e+WCwWm~Y#of8k}YmqJUDiP)9Sf-jOJIXkot0ZzhS&%`uqf3)HtuMuUVU0Y}vi1 zZ!oeAnOtiUAG|HR8g_WOsHhiY{u+FB*5t*~SIcpBYBqIY&ZVC2!jeC{UvsiuHldN> z%g^l2$Btp!+`nf!qjk>LF~@tHUz@&xl|nu1ck#LE+m~BsYuAdIe6ada>H=+~pX?p% zP@~H8z%>H}66q#twI1Vj2lNjMn6jOwCYLFSMY*@z=K?(I(_gnp_6yIWL!@R~&nK6n zN7w5b5D16QoN|c7ocgGTJRPg&=E)Ze4bu!xOA=2b53F0Z14vf(8tj!6T`*c4!NJJg z%Ab4e!MI3O1e#`&Duk1>{sOLZg^7PKp1OK4g}SqO*Aa*9xAB{b&xMwO;}zVmWqb%! zTl_rM>9TrLj-uhvRii0OIh4|5YhRIgcW{%w4B_em7La$tp!<8yvbCJq!0aj)d}&h~ zIlscpsO=w>AK+9Dm4MR!Mump~!@Z^!NxvNAJIWL|jMx?UL<@s4IG<57zflR59M;hd zqX(df60s@OcE=4~j6zh5Or`Mx0yO-*b%&Xwk{>=->?JtO`~|ItD4$i&jg_dkI9f9m zN+_3i31IN^bx0N9-rG?s=;#$pXDg+ZcEtk9MXWBarr&#oLUf^M7v+k0CHv{#HLpzz z<<|pOJ7(18)n?n4$n;m+&CT27KJrpmSq95x9{^aA zZ&$@+&LMivpz-AbN@q$|L{)EBb#5fN{NfhcBsHL6ispV2S_$rvE<#z&MC( z=Uu3atzW!KO*vWA*vr4a(1$8WUHpTsH)Ov^Cq^~lOARjC=mL%zwPlhSkU|sDtd-T| zrt=E?Ct98*^#);KW1mql8tr1Q0mPSYnuYK}rq7?Aic2u29;%&Q9V=CCvNc;j3@C}4 ztdy80BJ*apEXmbzaK!4OoX&|Z)y~#R7i{l5gl91u_jduDFRGv}1mVf2!ZPp372rN2 zk=f$fBcrLfEMi2Rs>14X5VRS+=0c3hT#G*k8OQBdFT(f~z6eypmm1DZ_5aSNo#N%y zNgxG9c#@0y4q>&;%l?RAZQ_6!)(}o@X#3g^l9*7#{hHNQ@(dO5o$k)O1bwow@eR7O zyP0rbd(%AgHRDS7ry7R&ml|dTRm1u)NVm+bv72qx)-S|rR;BLQ8lvJ5_nA9O0olqv zoG+V!M9|mQT?7%wRS>GU85iJ2Illdi$nr~In&gq_wwhdwNjRXkEyvTKmx)}&U}a3Y z)mVAJ!w48F^@mq{3wuM9@GXXO=ls9dYNyzEcP2{%pX~t;Gy4k<3y0!i{01NJ<1UOz zB8{GE@@E~O%;Sm!dYE9Ff&f4}F>K1|wRd-yNPg$j4I>BEwG{vAqS0S?*isf04>Pi1 zOG-E~wq@)m>=EB&=hTs9y8q()pZ5*7M5QS5zw`}7GSC78{uy9jZAEsH{<7aTWL)-WTzZq66&k-yS&QLm#$G_=0k~`R z4`xc^f_SCqeesiRKfL=#Kc9!Ez261DVf38sMqu(PCW?%y9OSZOw%18_O}8%a3RZE> z*UlzN7o0P}OCf3#49Xb;9;{)UXApHj`cJT`=@BKJUwM}@IB+Bk<-vq(7(qM)Ec!a` zCc`=1x?12Fko`?jB$+6}(anz|@n>K|tV8B^7{7caL2XpHWw4nG2?;qY`2#WVwf~?ckqy}yub$-mLSN4!b=~(=1@O;G2*~ds{ zY_>wycMxCwGXvu#GFBBOosRt%zU(KFcC>G_rn7&hmcm5=A>E%}H5^apuZfiQ4k6kJ z{^#D63&KyaB^On%Rx)v^MDB>x5|?XnrQ$mW7#4x-89W;xbZ5oI;vA4O=#|;YsE%6A zd{MmTZp)j18G}({FtHH7zi1ikX>tEH> zp3ZafpICxP3iQW{Lp`H-XS7?o3dEyc#vo50?FkiYxm=JyKJZgT(xOWaUt~Vg#843z zLAj6k02imGILU$@+#S9h#^xU-9DH}yq9E|80O`%9+^6<#{}M@5V5N{$10-G1p^NH- zqaiIuC905FM_JZs$>wnBTiOqd^Wuv!ep@}x-N!QnhG_cp5p&0`YJrFWT#Uq+MyO(5z$*Gf|a6(`Q}m zoa}k_zj98PB^Rd}?Lyx~&L|DFTz1b!DL_k9L%}w;``?msTU99OmCjW`YPm)Bw zVF5pypg=d;rGWO=yMO@xzbq^cy^PwyG9f|kq~Nugp6(r?2>P|&FZ_b2hUW}RO3?_V z9D1p;Jt!oRE!?CqAzVJdI_8VtR-YvC{<8z%Gc4PkRwJ;{HPd75M0%sbHaT8uWsF@>}Y0^*UDFKt{Nm8#57B<2e1(s0-8V9fy zMOl=4cKr1y???(nZ&y0}KOR@#XyH)igUxo{*!bH$zO_TfPU6NhnxLYZGy6_BvN` z7MoDUYwK`HHghwIZ}%+1-F(1#C9x7+(}d&MU!CAirS^$-h^}Ln>`;pJpKo~Yo`c5B z8gBJvBW{UZaRMB>x4-9D-cEGT-)-0N7RCKS=+`{M42Q1^%HN`;LAu0Lq>HtTCR^ds zz=K%tk>2!ex-Fclk0rSs?oW8GF2>L#-m3oSlf%!l=;h;XQqh6`l-y)0sq3*)uXwe* zzf}4G!4R^RZH@g>_{zXlMaMQNj7BmWvh+Oc8gtE>JW0`2G3D;`0Nh4657ghy;`&pKDLXTno`A6L7F@zAf0O!Ix4 zdZJC2jK^iI-K(`iwx^eS!wY4aO(wMB>PWdaC)w!(dARqZAX`PCE_iIFnySr(3_9(z zW91j`dGE4yuxtBQ6UlN2w_}f>^`i&No9ekMYbPARt5t?clCHOAE<+x8WAuugLzegB zH_7fQW|BjeDJz4v=i^U@j3YEXMzV@-PbT&=+k8Ny5w-7|ryKKMo>g|ub1niB*KG;j zwSA+X1-Ta3%QB3Cb5GyNtsu$yO@y%8k~5ua`FiVV$k`n> zX}HILhE=j^@4l&0Tv-qQ8YDS6FJjb&L7rd9aKHZ4x^Qv%LySqt+PLP#m6Jll znq8i+hk999{XZ*jJMkoni@V;NOhk2{;>CZ|bC%0CCioGw<^;>fVe>l@~q z8)=%^8%6f+7MSOK-hqGLHAJb-@x4!I*FD5@J_9_%4xT!R9((Qf+RwaEAOaB*zzdX- zW;7#V2k%vaMjYecmbQW}Nx-Kz3~~+QJzC#fOT>Tk;~s-DY_+84(hVq@T5%X72PFdsH2x%OiRk$O)V7d+TTCkBI1iPtrQ zPf4}oxBTj7a&N-Cv#FkK%=R!tO4U}y8Dqf?yG2%{o2lmO$u-Ps*}}oPnwUc~>)eS+ zkvSV)LarGHeiBX#>=wZ1uq`;%HG6=z%%Gx1-3$bMi2}1qg0O#I-)lu=5M=o%#3QKF z_ufc%gYmdYZ!oPWd>~G~r$2M&j4W~h>oQ7=-a;~hC1IPYd?ryaOR4(WBf;b<=lcx6 zO|(6NmY<)|WUZn}$_$9t|M5f1_(w;e#7j5N09h1TZ690gq1t}D zF@=BBrD!$brFoyL5!@}qswC+6a!T_FjV+f#gSQ9O#=u=_Sf6{#0OKz}CYfoAQ4=w* zbRNz_jw=*IR=!F_H5GhslDhA9X}iZ<8$+xaZEp zEP9kQF?C)q$8VovI1h|&J_+I`RR|_1TmNLxvCRj_CngEeB~baq8qsbMRAyA$UU}}@ z6b03j`pvOK_J?5Xs&!6kn8_BhfeS;ReT#(X8fg0rtijY!Lny{W{UX~#A_(3n9&bS$(ncg6fZ zuHP&Q$!`{AZ~No>W7cLNN!QW0Sk1`Dgn8L}5I5|dqv3vYnt~we+NfER<5YP<2GtOP zvJ*a%$>66{S&EE=F|}$|v5G?DO!0vY92z0r(%+}FlSPI5DyXZEO}4RfEYEQFIOmLL z_sb_2JYLmZYqa?4M;zM>nNpZ-oL20<)|uEO0w=Z?sNfr2ri<*EJTprIp6~doQr-49 z{y+f5A0`m1S7g#-J6{>cH8+8WAToI#Y5Z8?x9qXk`yZ!VY$9LIyIZQZefWG`15pWz z@}y%}G3e~h)(f;&vwX8ro-47fG7&!s&y(^mQx&Lo?FF5tZ*&75<|qS*UU+Kuob_W| zHckKa7!OrhZj*bE>gF%YAu`v^t*u4c^F|XySt|MVJtome$GdXLH1zc9r1;m>vZXFy z3XkzrbA?$6fGcXj>ZO&y{Ry=WsvkcX3^wJYBH-D!8;!TD!RDOQ-JQhUx%atekBzr% zSb+#{zJsuv#2RukNd*9%?hvp_;Mq%ryZRJ97+d4_$ld`PFISt+>$G>B?Sp4_sY4ru z6a|@`U#8bt$;%~Flb;cmqieIMpoGV)Cd9ZXq2S1*d-|zy;I-2Z zw+1V`Cv#%S{jXNft1--WdktIM#oV8aK%p@fAjf?5ID z{3d5)zTg`x6mSr-B>o0(IATK|4R2WN1C#+FSl7w@lA#Kp5;~kS-Fb8HsHVRXbli!% z(>k};HkJf&m!3rXnjjmxuMzxTctMw+)T+D19Ydg_;5hZ5xENfgQPuWRg&&Sb54FS9 z9iEyVhbT6snP&qHx)D$82kyJo+BJf?)Bj-!9uAT{s9e&3%0&wGo6>KBm4Jm9+pASJ z1^m)~WLL+X=1VZ->pL|ZOJ3WCl;176Px`OG` z&jrLp8TMV2(Va@ljVNM!;W(ji;P>{5?*56wZLZI6(JY7#2NiRQ^3zZQmE-4=U%LmU zV{yG12>2X0k^YLuM2Y47_Z~oL%5bJo#1I@qWd>!vi4Rrew~-UH&6A}9MV#}#w$Niu zWuH6eh+2!Sc=yzKP-h(6cU=Ze|5Qex+gzcP%eUPh_(ywUem>tRnIJ)#KIVFFOFR};d887hb! zHA#9`Icd{CeAa!4&%8iJmE9-6cr%E2Fy0K3!!=ZT9nnlX>sUeq4AS3`t?g8RYE#j-iPhIHNay5fK4NF5^Bs$$YdW%@M#*}d`}J?Z zd|{Xp?83FA!k3ai<~8n7j`@0JwV^5%EAqAUwBLSjZW0*N0<6Z#vBYVYzzI{X!*pM> za+#eTV-fhPQVa5ass|#A`a#P9TTs0(@_Nad(Dw_eDnUV~?M?fO_Dc}kDmi7_7v`U6 z%b9zuSyi{m+VhK-f)mK*V_=;m(rO{z1Q~Vr1#UCJZ*?4v=2$$&VhNEB+7i;E5E)U+ zFs7iGfZSjv=pR7cs>xu`62KY0peJ(QNKnG;Qw|ysh!F%CpHPhHoTRdlv0&<)=5&VP zObm!9*#cTqt)70+M$;5@;^{2zI5EE!(l6MMdLdKlYdQh^3%xCppzXlp8pA10A+^L% z7`}UN-&HqAbUwv@uI5DFn!a}Twavt4I5_cBkqW*I5SI5%p4mXd^4RE;`N%$KSc~j~ z5-#r?w?kjhsMTpauQ_iV>M$-ceqB>rM&bPHha^A?z!kBhs+GF@0vNYB15zt!Tx6Tr z)*)MZKz)QCP#;)U&!REa`J0g*^#hgaUxhT$_truY{2P8>IYZZwE1NURT2?U3d(BUs zr{llRYFfLDFO!9+*uJVr{E?Buh~IEFg-ZQe7F*@vSWsb1E?+oILyI?K-VDL;lw42ADEE1=gy0XU46>{#wv0m>rhGl5%fUA2#G5#=yAAwj4 zU^#sA1y3KaoT<=3^I+&fZH>Six=a!5tD~m2p8`8b7DvIZTN8xd!vdJHD{7vXE*Cgb zgP1SxU*}3F%4hF4-JIE~>y8r|8mr*0*2F0uQ8eo?d8{}LP6XhWDTOT7JoDl^Tr{ zT?`~7VDbQsyx(h1G@#~Fl1cJ3qr{W8HKt@ie~5JJjs$_35>|Cdi@uPd^BWKIn<}K# z`xgi5lRxZD}JNRKDLEJ6vw2Nqo8*1xXOg(0@4+2NLrxKqRD{(mi!kT6Is|H|jwYEdk zyJ(t zAK+IGn=5>L@GEP6Or3K;r~FxCD0Dly6hBgX=j5_pnVdE}?@d8?_rm;=EaA6cF3HSq6okMnZIzo$W0)K=aJ)*BUb)ad6_n zg{-2H;G-XsOTdyTX1Cr!&ljTg7(W2m*@p)>I=AS1SaYmM4^zp5zZa$Vrpe3=01eIP z6&RNiGDpl^MzSvgN7|+m{eez!1Hu*12~E%$UbE|^qx>{Q#Y!2Ah`!jJ{AaiH&2Hhx zd+x%IHOJJ{c8K}ZC26#NQ7}|jXaIZrGye5g0PqAP*)IU#36*5+M5&R_Up`2(%@307 zdi754%V^D?E2M|u#ml_OiJv(W1E=cM;F>sjD~g5*gWb+Ow;*KPc@rj^6^v&Wsb5Vy z8B_Q^ZcX%G>*omwnBhy08Byv0ap{eafZ4S(CA@-}wh9kj)ly?gBLuNf^XS~y9tRMG*VA^V<|x^qVIs!YPFA z8qI{>MJnNS8b&@GGjRU1Q1FTe`YhNzi6txkVNJz9?6GIVAehVuuAA7NAFNXt8BYj1@%L}l~i{_X;S^v6`4HguLLQL zU7uOcvC_2dIZk%YDs$iWm6}a(wv!NZtMBI2uUzmGOWMY{)jh{Bw!$?zq1ezl;bkRL zhn?6?3ngTpOo-OnfNr?NYF5Fi)yPt#I*02oo5muz)P zCFW{ z;sD>}>WhLK_K-fQ#dt-qFm6>){b18yA{J;T&NO)A%v{bl#7QJ?KF*fRZYm&fOxatS z7uaIb2riMcG?bIJ9v@H{6c~K3yVVC8bh;f_;t|oE0etx8dl3Kbww~C06liWGKX$u? zI&85pjCA3~h_-D-*S$aJN^T%*C4zA5>g+19Yfy^$d|mda#vP2khq_oi#IM{*2H81o zCh%~*SXoz`>9ScPE4=B*E3ECvRcJijOAy{dT5De3?u&L%+h*0bRRzzAHbPKVGyTqF zuD42DxAJ-LQ<6`kMDlPv$q&czx&3H;tYzua*Q^kAau?qA_76H|$xxJVc{pAf9XIU~ zfSGo{=JDWi@$yDb?g7K*(9A_nWlhOvMTGfP@87Xo5~_3L4$mZdn2#|-`S%Wv!+-Mc zCPIslQxNyeKk#?EOqVy)zTv($7+?=ANe1?_^}DT7Zp4*1w&3hz1?0N3*si@%6YhI4 z_t~aCey&k48Td=1n{U@ln7wI}0WzB;1){#B2ToX&WxDmr!A1T1EHW~tA#)F3i*R+h zcR5@|nF&ca2AQiJr?BC5Mw6{WgJpVY zQnitNxhrMtn7cY6SZR>u`dBIcX=&m0)cqFw2Zr7GhJ!QDP zPwn?asziJm!#-y}Q32tZMTdY5#32PP9x})6t#`FMTSFM@3InEOzEbh4)&zYf-`x zQ9iweVM^l87A8)aH4N<4WTBI#*)UYdV2^n>`?oj3>i30@YmL(&sU_|H`xhW1XqCOd zaWYa?l6Nq3|4c|VLA!#oTW3Cz-~6m6mng`(Tp1T@Q#O2LIu+_<$$F|ecG4sn2K=Qu z9ZZAB-?!7%gNO-2F|jf9e}lh*^xq#y|Z>K3EE zMpI>a5V82g>=~^&wEyBUej@T;%C_KZyseRKpsk^g!40wX>B|)N*yvMurdWVfvnglQ z(f#YeH+qn;^jHi)s%X@x7^F($R6ypD!L<@qw6fNUi$PlD1#dsixjxJIGG{^|+JJ6M zVQ+!GZZ{Q2v@$(I`L3+N$TSwT>z&sHn+x?PWUS=^KmtJl@^EuSG?g#*Pu{d78S`SY?;G6?xS+h*?2g@p0GZ)?L-jQI92IE#?NvRnXN+DFG)8 zybAP4&P@m6^G#W+f1qo!99MHci~>r?TYeZErf$+D1jT0L@Ta2W@LM-QI{S+PGWg|SG zN?`F9rjC)9nB=nMwfFt#XwPfgM|r^?t^O0DUn1&QwxQ>^%VpKI>sb3N;iIZKQn@SW ziK=-2dnYsn24)%}YGF2N{k~IP{fT8qIru1nm;Ul_<5BhYTSh{$Lw%{fE(OnX#PLO1 z%2e)~EY3NnTXZjNTpxGRVg}?(?Qg}LC6Z?c`taKpc^-i^swhW*Od7##8EV1Kcy|#N z99&_j7b1a<H0?&RzU2dtW7T>sS?C)!r5(<*VBxb!DIDD!+u4$y<;Q$Y z7s;Uld|-xZ7jKGh-kle`#xQAoxWP+7=zcznwVh!>ZtO9AAMm5OR?G;6*4iRnmvzkH5Y}^bm@y@iuA(}B=jW<0)_rg)0175Q#XGmm54@1p zk&9Y^r8(Kc;nmfJ%2!e3^F_0N`Fb(!bWyC^@k$yC@tY~O;=%5oi_G+$=~Ks1!lL`R zUj)@rCN>k{SI5?*%-!Ckiekdd;5f!6XK;U0!OWi0o+z`DgO3pazCasNWGF3=GYTQL zb!og+C*MUeQ}AY3+-IIE#hqI z^(O!)F{9SYOxC^AD!-XFeZ##G9}CNd0z6rJX6S(Fn;{j5Chl~e>BoY>c;4(Hc>1Gw zt&}2oCLB+|pZAu6J?D7$C)6da4R74&G5=ik*qsPZwq@?8UvOmpmfK%z`<0yk8uNM8 zwh2nU?N^{3So))905gU&JiWOB#Jk(+7)~mKtc z;H=coDC!@~txDKhBYW_Y!=f}Zx@6%Y$B)j{9Ha)@TiGR_mrDXpeU&=%to)W2x7*Yu zuX;xv_==R&U~D#*-T6XA=cBMzYfjeMkh(+Vc@^G!_e(rh4tZX-@;ZFx_XayA^YM)L zU)CQ52{~R^wMDBfSdi!P2J{qSm+S6do4O_91mbMt9ALKgWd*U4VgiAtLC5}=KsJC| z`-0qG(Bj~dLt&=^&qR#YzvkL6{B0^lm$a4!F^JA)5uz>Y=e7@RGTnt9j%k@#q6>;G zbI6Xq&Qa4Pm^5ohG<~~rG5KSB*dc*7r}RB$vJpK~sY__2_u4s?_P}GlvX+DYy&0`C zp((uYKHdXc(XlmoTw`0bnWwz7DQ;sqaqM*X%#c(Ahb*J(r&>i>tz-XFAlvJ`;5*M}r^ZtbqQd@^Ro7z08AE)M? zPVcD==dv8GJF-y~|@#;dfv3tQB-v#=73??tZtAGytVc-v!k5R(JPzD z)=MvyhQ^GmOoibshQH`K;(FCySM=jLY=U?Vd; z>-b7oZDDl8{m*#vvWMe1#Uv(Tq1z=~$okd_RV$2T56T$Ok%Vop^8L*~+d|b~hl18B zl{j+;015k4g`ZL~(Af#)&|>)mdUX^2#0UJUY;r9a0#Hj#PyRNmWo}Y(D1S`}P0{Mv zV0Am)R5hN3t-d!{lv801z#glC1ilCCu~tA=_kjhSx3()47yY?vCUkMP`}%BXan{m&?5^ofCRo?~4q|zp61Fr-%}^dUYQH+CcUOaJKj=A?x*rBI|v3IAv$cNM_g3{xV)1W5*j0iy`c-y(Z2=P#R!>@w{a zkB8n3^3QvzWk-1Y7q1+bcxYIUc*MF^)tG`<>Ic|3qdlxSP9mk3FbUhjSo8_qLm0)R zM`>eLe+=cV0S8O!hlAx+Z300BYKq6~CD#!r8#--7ir&D{U`j_1WMU?7H)ukeFzH1z z7PiM3#jTN94m8xp_QowSbNvN7{UVehC>iCs|J2Oy8GD7h6;?VvpT-lEzIa$JzlE94 z&%+VzLCY=hdRcKbpN~}+rc~&B60e{7H;lA#@#+K=8htvO@ zIUn(KzS1WpkT~E(A=G3zoDTs_d*sR6`wuNIvz&&?2LS#5fg{?9p5bs;c6ns_Ikf+E z7hgSH{R8(+w0La3gh9VPkuE`|MYwj8r?>u=uv_$otUqjiM1b40T=WAD`h|&|6Isf# zhPfDL9hyTKa}gbvFc z<;qN6MbJdc!)RjvX3N~5qp8Gy7HjzDz~*=PB%FxH18ub)unO3kf#Xaz!f?Wl9S}ot7MM>OT9P`07yPIk%ag)#u{iN@u z>NCDj@xnno8={?GYp$}2@vS$n7wq`s1Pt}RDG6v`JKz0SJTI45^i@iV{wkr4t+N^p z95Ju~57!2G%$ubVO`N}`JyjS@)UjFphxM?s59@?`M_3?SkdSkqDt@%0rFE+Glpr&Q zsJB}k!*+86-EiiPml-0Tn4}D(E~2|g{gu7)i_lr4%;Q%7b@Wbb1vvtR-goh`ECllM zc=CaiuP`UD%L6}T$l-NW1Rkg6E$4s{i7}nKzn*8lGwi=xKk!@_8Rh;@vihLeZ4bq4 z*y)-6WcB@15BuMc)%U;J38RN*_Wf(F-{0!lf1m63ALViWj+UT%%`vVXcoyZzSZy=Jx(j;+eP#w1k114MgV0Ck;}LAm_{pX787$n1Lx>?i6z5Wn3m^mr*FvP zA`(P+e=K^b50tp^xGcSu(S(+`kv!IG4(aje8!8s}obtHt(CnvOu@e6tT4H&f8ba8Ae`F5~6>c#8)y1U&j*d7_4R_>8&cftuHB~T+}f!3swp}o&I+AgW_KB?nz-K{j!aH1R6W}ts>?dNG6-Ph zS+{%7s4?l}W*NfDAGCMNOyH*m<7xRF#MDRYIRPrZKiSC!X)HKV6bd`|dzNZ?g`8cT z3_=z)i>ytcIv9fNgAO+Npo5vZO7tld51pe&o$u9pcq;AR-8!8$cmidh10mUdueKnT zA_mu{y_MTMWwd7HqO$BIJW`Cd^vxhMH8ZR7{*x=4=bBudsU`ljIUXY*XARU7=G7wSK+GgA)TydSSjEp; z9KB}DF4w1p%DJBVa(H;=n&L>h^?TOy!zVzVo|I6m)$0?Ev?&}j@qx{)Sou`}8LaX3 zFpNztXYz8b?TH$fYWMjXNhT?||Dne(a~c6xYuD7OI8UkSgpGyzHM?SrC=o{~A*53z z71wWmk;dwkwMHvza>k~L5$-$Ml0v&YTuIR@ z#~mhj8yiIFQPr6G^+%`V*Yo=ul5IZvc*)HK9~%wpG=~z{omO^MP*QkkLe@(fyOg&O z%(W=qgAuRQYj>Tm)qC;+-OgVs(eFEkYglia6%;%7)hV49oZDpZ5e~wXS|lm}E|?h9 z1%o4izSPIYhu)s=52hx9^^i>G(Y8lJV9*9*w|5As`Xs-Sc{U%kU+(Oe%#h21bB8W_2I~l(t;Vo1^@PRF-WvuWiayEr5UH`2T4(^vQXXph#l|cDfuXQD|PtSh@U9LS3T%_eg<>;#h zO6$%(m)ej8u{kFpUq=zqyF87Hk-EC7Q+FBw|`_QJkIHp5x@LNF7VJn-3!BkB>tWn-MII0;WE zICV~b(UXmmC?FH!jsJ$hq5v2ScYe?hdSlg8R|>s;fqy|QL+tO+Sq-n^dP>e*=|4&F z8TEE=@nrGG?U`7Q$Yxqb!!gSDG{ zZg&S@FyBwrz-;pbW*c9eE9g12-vAg4nyP`Qn^O#r*hj%cB{WJWeSIL5P7GsWIfBv| zgMp7C5IeIq%oNcqSG_6#1@ z#ExxK;v-)n(#sG32Xk*3mF3s1eS>s!(VZdsPR1SN8-<@e3!yclGQK z(FV{CsTc!jhZHTxh6M;9g9%cEY)L;ILzhVl?53%bk&fpMTc~UYzY+_lz*(1g+Pw{s z!ASm)!8j2do9A72tVi0RJyGZAyVZ8e??}F-VJ_q0jb$=)Ux_gcWqP_zY4YF=x<%-9 z0i%e9Q=qO}?d^W4V+fuUhehJXYfMmH;xa)!W)P-*Ji6t?csx3$$g323`7~qx7XIl< zrZjr=gt_$Uw`U%_t3SIw3CfCdxH1%=VFehSJkRI|e^Lp0@;UKcszxU0K#D~hHShQ? zxP<^zEH7d;`4m1!4H;Pq2m8d0`ibh+NNQrHbl5EC<23?bup>{2BF7m1xHWB7410r^R5$S({!EBMc z-#SMtfdBGK?RiHc6?})bTSCk+7KB^wiw4WITpCO0>U@(^$ zqLU_R!C#YDushzKj^S?GUOD&Tf#{0G567{Nj7&Rm&2T=t+PA~&)(_iV^KHZ}!|90C zoiAyv?|?)EqnDapa&|*cZuJAFEkTu`Ch5PvI;9aGbd$;^hq z3~vz!chiDU#sjbiDm5YEmNVFlvF^iSDL7*u6jblSuR6?pxbpjuFwH$a#~m)=(cN9k zo*x?g&_LrdfxV?62JCe(B0FN868}eBAPz6Y#<-COq;SNbQSq;ZmzI9hxmruT zsV3IF2{KZA`d{;qfX?H&;@PSF{u^k^#IaD=9`a0{vz~Gn7D}Y?si_xJsZ(w|rzwi(n__ zG@8`+DZcfQXAqAO2Z`a_;*TQ^%_OneoHXCHXz?YM61@;`vqWKZ7GSwp*)qE| z=o$aMrAcXIOa>E4WT|NDj}1ob`_>KxgE>Be!C3VOJE0P%AX}H8c!wakq@xsMZK94;j3dDrJF8bfs%DNBv1=92btE5JT43X z84Q{zMVSTi$SGs{CTBe~WmHhr!`y_8Iplc5x6QriGakbLQhx z_np=KUB>(5f_~kMae(qvNF;lbgCXgUc1iEYL5|YPtB;I6i5&jT&G--?gZTm;itb25 zWOUXJcxbo{-E(NVQh#s3v{io;W<)4dn9@>OjsO`9z&!OMjl~dVJaF-Ei#dd;#P?Wp z^ygUxj~I(bOur8v7xxR~;%toTZ^zR)H&i$)Fnms?g1g1!yZl&&Jx^38RDzPhfSFLD zNNShENiw{8?oh0f``eOxn%=D7r)ajo*0geQ7a~@X9Z-k`K?|`ly=AlnsE@5A3_f}X zxg&!W8rcH zz2OQ;hDi#5#Tk#5+jz%{sM{e-9CRi^mS@t8jp@4es;Q5WUy{C-EL2S3>Fwb{1VJ8Dyzh)q?V!{==(~p3-}YdT%y0SLP5o|ySsnK&`X<1 z1PREHF2sz`8 zf;Z2YIEf!ytJ$`hNQmq}5AU9@#2Dmki5d83PAyS{)0Em|e*z-_xk$7w@w|Kc6OfBU z^Rfoe@N{Xr>jt4iO*I(B#aT6JNbf~*!e6LI?5m2K(&X2glX{yDL05Ww7mW{U#m)9 zz56?!0JJqQjLfq62_kel;aAen1JA?^hK%q04B{ul^s+ntm&mvRjV$7bP)`QHSI|bP z$U<^wF)46bvWuT|{7sdV_0x)icw7AWm!A+{L@t&gX?39O0ci9} zN-M?-OAIXZNH8jY)y*WX^4_~r5{_*p9lgixDV}*VHlBGRlnholTx1Axc`XiJ6AQoc zLRr*^=dT0|A`^76Ch12)3S6A2&1eL?t|QEq7vz9$jwl#L|9DmdWl6?|rw+&AIv|GW@)C#A~RvD%s9--*TN)}}Lf)-=O6BWGCfsR!5hYa?1C&EG> zAcHM7jX|t}JF7bMcV|urP0A!3)(2Y~0`}P!4sy%|60-Qz24WU^Hk6(7ZZr+^(xN^b zU1hgE;>9 zT6=SI*Wl6C{PE(TZ+znp0Gw<70fPlyoLvd)d0iaqH|fg_2k=xm-nZ}0SA{vwEB#sk z@AAwq=iV2W+1I~TE0WVZ59*s=d+AxcOS+wYjxotIq7OdEXIEmsyKb|s-Mc)>S67?7 z6i@T3@m1|i3i;E~wFp@tVwvhJlS|oA&FAmB19omUT2Gk;XH{o!YA5b~C(bM^vYo9@ zeIvALEOzlr>iDt;hxV2ym|AFP?EPDBW4T&rIaz$OGON_)<+imJk#rq%%6|R*vsLv} zzKhqxeQd5n3i8D;b;9tWp>2iKTT1t23jNu~o0lm6O$9qOSd5^Hx~V%dEw+B&(C1zA3A?xu7X4FEl6fh|`%+f>+1qln5O2yD>wX0*_a%2&>sk-z zUC8gRaI+s9C6BB=KPje0Hl=}M$kIcVl*e4>B3F7)yEZ0Sv;AN?2;ju`=d(Qby^PimcVRp3N}J#pZ`Q>%uB?6t4SPcfrOSo*})9|xX^-#^6#cD29Z z+{4ZP6!)y*I~AWv1XA0HVevOw4I~ODCLyDD9@F`^0I7gEpV1LpO{ls#jI{WlGfwyb z^`MhK_^n7>I^#pYf=y^jAwzB0iS ztG|ND{O6FYU2UDNAq|V|a^TRvYbjY13QVI9XJrI?i7|r?E)9!2aZfWkAV_JzrqtD? zVR|RPcLF#@Lmj-;4i0Q~e;xW@b5&z>&;|qK7nIOGP<%c!h^vGG+~xM2O~^pa>B}(n z#_!x|E?hM@idTX18fozwav!xf+-`a6d5d}v3R#te?2{8YcWm0?y3Xum`j&Cb zU3k%w%!g}EKYwoQ9oPdJr8aISra|Qrzaz%c;@Cn?J`OIB6ns~_4tCkR5vhD!m1WDy?6{;3>xq?8m^uk$mHex~B_kl(xGpdqQbda? zbR5CKgK*5hUe~3(@l6MHu+0O2c%qb2)+(1!W@FwY7jkvl5>4q;v%=(CRkaHZDHM$o zJF(iH3^A7(G%pQ`cX?wx$qBUoBtYALkUrNhEbuxUpZUDOgnO@T?#+ww*@=E7`SZl~ zm|tM2EN}yp-Du%zaLh9BkpsLVfbTRUnrho&>+*Le1H(|=fbAbB*p5B?8X%mWxz=HL zeEaiR@>(WQBWGl>e4M9}&w@Q=|FD!ekqjMdt*GAMJeB#Jp+!c;*B?GUEbN#FK*2Ej zK)7apyb+(Hw{146`7%p=LAV!_{u*?WC9?8!gut~&L>v~&eQz1FltM&}%heUt)-%wX zF99gnKSGAVsBB#ZNnG3mI3+AvhEk}K>*nNi-mI*C12E_np`VNOIKD5%SnK{!~0rlAHMeZc-mluL;5Kg$(T2DK*eiq7EaajSt>L@>xM>@hl>r2DNmlJhngp6*rA&q!O}`aXIv*8|dw9t%16Wa#sp)*uw~L zi!nuAS=ZKJsz;$@FkZ}znDel4m@jDLdH@-$$J&qVe-2XghK8a?h~r;PyFS1k$OcHl z6X3Y@l+$mPwW_UuhrbH^pm5PDREu^(jl4Fu(hDvKt(ryR(|9FaGKW;D2Ew5|2j&I> z1gQy~o9r1q7WH3!B-lVz2Pf#?d4?^EM8own(Vj$1?HD+*NS$K~_CSrgOg-87owphs zhDG_Dk!Q0psi03D^{oB)vmw;OLLU2UgNPX!qKGXJ6yLw+k50A+&|u~ze!NgL*iT!} z>7v!(N$SUS2H+Y3NxHi>Xg)|5FL$w92mWiBp~90)N_P_SI-WX-+g3d#Irt4?-ASqF z_LFA3Q~Tha`Yo~Jz<#CF7nm(wpr%4*)YlZ}|FZAvgS= zhAHC2iuH3pIJs5|mhY7R#Ri+L#in2D<9dRrf$Flm4=6qON4UX5X=y+Al@8{05OCXy79_%%^ zdz*}UQ(dgPUkdpq9>jGXx(cm*R}{Q%I{uy%^}?L{FOo7OnQI>D)MAKCbo^-StXF&q zV%wcTu^5d85{?toQzL+cmH&r?1yo|aIu68t>1R2cEeGolAIuY%io*`zgW(L;bX!Y= z)?Y^;>)>No^7~|{!2<5u2}XdAXrY3>C&N9IC)EE4dN4kGM>|S1D%MFz_P9=kb67Jg z=Wt0(^`3=|)=XXE%TH>54^-(Y4$4hc!Tw*&AOOf4wWBLHZZ7e6{oP(U1k~S{tu^VW zeYe(jye*S_cHx#b<247yq~xzt=Onu;Q7J7wXcm+{KBIcmiV}687?(UDSP@)z!W|xMV z1?J0(FFpTst9MC7POiXqUc{)uzG=gVHgCb9a?~+LivAY<(^>*>F(9_s036E*;HKsO z;ifS zvS_fPNhKJ(sgBliUSgb4$6B%=F2htT}E z7YZ#M^w%PPHd-hj9FuI2&iScV&ktJg5q~^%$N0yzJz<)*--t z%+KsB%mXo49=fdGWK>_@%8I|>Kwnb9>7NM09J4?vw`>s;5*_WiQDC;-5a%)lrw%$_GnSzY}ht4Z#uhAcj z7%%UCbzX5k|JT3%f6#gL{~ja80qv;zj~*+|zwu}P*B&cQC~)lY|NhfsHJ_vtMaP#A zu(+d{Dz%;o7j)nSPl8SR6V53l3>(W#-A9=&n8JMhAZo9r4zf~HRpiX6^e!`zN#I8) zer4SqYtS9!0w8|9aMu~f+1gtlL=`p&)NqRS1Dp{)i3egoejVc%3FE^3BI={;u%ARAzY=wzbbQrBXF`$zad08YG~VR5 zNoO!4tl}b56Vmzc-Yv2N3=ua2-M^ayzYmHSek16`a?^h>0ikg{r>Jt(u=Ft6)t#V} zTa?`w7X8HwmhERNvJui;4CPDbdS`6mNQ&{I=U~>{@^n0XL?>HVQNM%io-0YYukJi2 zVaa3mdyGZb?tatL$=%u&sX~1PlLju^-?y#-l^E$KPiSYyT`@q2K9;j6!{(Gu(d8W+ z9!*M+)InIxkIOcdUprq!i|wgw-`{0wa1Y-pXd3sOZEJRIAmRI;)qVIcVx=}9~7y4Y9 z&s(;?EW{Kcy9g#qf3V$F1EzWG8HOn4cf&Iusd^ zLP>WD)6t$yL)I!bZVcGhw5O{Ma%rZk7Sk;iE5s?g6eTe}*o#dM6m?+gGgV+Q`;ynm zI6s?;X|Fa`aRcF>08fge@p8qO-GB!CR?(v&>?5fi5*Do&coMWfz&4|Y_>t($W=vX% zX?;FV1v4@&ynY&cIfHfk<(F2q?i4~6S-7l~VYG8uA+7mCOv{^F`HvgLM{MhC&GVrb z`dD2}IEhCeS`=jNUSqpR;bcTH(q|j&E5--oT2K9EDrmwLJIocksh~&=C$xzZ7Ic+4 zX-&TA&N`)GdPd=%`?SQSyNMGL*>)e*?b(8L0Uwzc)jgko&sLo=byczbp8T4~h0~(A zk$1UMQN!9Kh#h|}2)}f{UV-EZVN!v$e#W!3ir|;*ql{}J_O$c81V3Kv7B`T6a3|bd zc3?V3_G3{Eo=k9O{a%i5}7d1i6GN90$|P zbcW8fUwOX<_caT~esrNcx>|u0I){C0+>sMFe!e@xva{=(AzYP{orJP_?SMI(1<*=J z8IgGjauHNGmZq8U4V~fUrolI+?R#rJH%%~D_bcOOo`u7o51H0VL@_<(`G~nkdr)NP zixCAp3P-^u$RFpPVSM87tUdfd9yS(M@UiLfWg#79s+%KH*t$@nc+{g^nw1Hq2dW~D zTwL_9P4^!}A%By9>qpQRORCB^oxqrmS4Z@5`ejH?t z$6dL1=!SR(_gRkQQ1`*Em@8oM)s&X{@}6?6yqmx#q}Lw*T|^BCCm4%ajI0hAq%*e0 zx{*efJ*)F=_)0=-MI%W6p~N61GO1e%Cw-p={M!;MkNOJ1)@UZYK#t#mV}CyH=MONl z`%8TQetS!DmD{ z(R%sa7PHQ~#=iy%I@lDY1>SJaEz1R57`|$U@R%ca&a|QoA|gHphScwmitlD-z6AI6 zHA&&<19NGz#44cvOvi9@HmXSx@Nv_4WuO4Wu2`>6Uo0u;QHU*E68sop=Ckn(7%XT? zg$p>z@+r?5)a9-@`U-j5PKzHj7BAjAjVi-$q@%s~P2U7}>odgv$pqa9Y^&}kI~eH1 zMwqT*S*ZBCU9+%&6!;nI0|gww&lrq*ZA{Us{+UvvThJyhus!eGRr#D-D_&2c=Z0h# z8n{nwd5F94;01hLn0q(EOFCT-hZ?x}7ZOI%*clB0wDu_+Q z7k%?Nu{_a*h`G0WP%7z*dxbm-eSjzTfNHBUd(_q2@72~T_2#Y)Jw}vbIL}4Y&o562 zU>#Ae_Z3fRug!#TJgaIW*yesuH59UmE~Z6`fF;wzeOm<}or?8U^u-wmKUgx8P_Mh( z>`+ZB0OM=@Ovk)x93cP#IYg!DViLUEc8uDPXz^s8xVb6NXXXC^j&@6zyYVBq<>6MG zoi(OZ8Id&Upj510s(StA@JKHCdKi_!BUgdMH~!{hS4-rh*jgeV#g<9w7`EXLUck}! z@v6tY3sG1Ip6i0aLGm#a?5S`^@x|mYtXeSd%zL=gV)d**@F~aj zyNOXkdWrNBlIz1<-}?%hQ~MMy_yx9^1_H}5l(3I3SZS)5crUlp-nL`Xx8iS}q>ToJ zMO819hn*ct&*tRp+h;^&0)yW*Q)``vrW<OkMoyp|x-P}u$RmCac60b6~)OG@C)EvMmID`e+Ug0rSP$<}Y> z9a&sdObb&6T=YLqNS8ghT^zoafxF9`QxjM{(@4v0wlbsa>9czQhdh2a z`5AD?-5`AXD8L~L?n`X8Dj8aWLx1-D5s;=)leHM-9txYNcMI0tQW=T!gQ0jWyV;9H~id#vRFd$p4^PZ&Gn-+ zi&lfESo+VZ<1kA0>shyX31rDmc> zTVndGIoDS8SMBL^UwxbktzIg&>oqJldw|ztmG|DXh4eoqLRPI-a(@CfW(vL77>OG`1zG&FY6wz&|mhHHOI zRZ1fN)-6wlO>xR>B}oiVd$H4jqD)|z&BtMW2el_oN5&F)K9*WP|CCyr8`q}Kgxf&J zr43=X{1SwecGg>Q{{6OU#?`}^=b$Sq^?pi439t_SG2l11!>Ik5Gda}#t)8rhUQ!@n zwO{s!s1@pD=kqRzX_;*w2$<_r2?Arz|{+nMbTof#-=}bhDzVps~*d9qa3`}c#mXrwll};T#N-3 zb4_V0@3IE)P#To2>Z6nz)sxVy(3<+){-2II1^$eCeP5bEc_6-+QmoYQiwzW~pWj;` z;Q7w@a3H_*%F2H(PEF_dCo{s$vIH~ODyFgAc)vwbh#(iF!U1GDP-A5;l$17xxK+#R ziFr20U4J}-buoF;p=Y`m%`h!a=?Iv+yM1R1<{R!qpqRKde64!MP%jD~GIqysEr&ClMRH<~(Q;X=1J^ZK?wPPb%!)Np=XXwZId9b7JK zGu86djrH-nkbGCgcFNhLw9fh>%5u@A1o~mv`mYbegDFd$7auN8&K7Ojn(iQ{mIC1S zdN$WS!UAqOE^IY2jF9gt8v}DQ-g`etDn4W{o^KeNTu*8Req*h!zn3Oprbj!Rg5({I zTQ_c{c(bK{J(3L~r=5Oa#Q3JoiP4*B)$nHfo-AiOnox|YhVjhuLGtLV@9ymL%9An^ z*)^}^F?&`urVGma(?d(Ekm(SoRrG`Z{c&f;44-YDhW)mF+@-t3E) z5hK6SuaYLe&wkBw2Ya}ExwRmT!ST>f>VLbx7P}!~BblUh$Jw1tw&K-$y$AUQp1n-F zJ7sGWT*RO}_upUWd20jCu)nNi|DGOqW~>ITUL(q0wxnmXFuT2fk(b94bHej7(@R=@ z;hCxKfh0@uly&%^5f-id)Q#x(rdQlVZE~CAr~m$_-rulHrfYcMRS41-_Wp`FEF_?` z)qwn9I28Ay@O3-dw0RVl#LHI5Y|{0mbMC=l9{53YpB)!XvrQ+d&y+Kw?pUEu>9T?5 zjaAe1RGkvOlh%*l_GKIOy_7q|Bt;t)sA;v*BWyZ%udF^75u*+24tXUDOgRM4o8ng? zD3rfX`bwN`Tutv0%qrXN8uf8*CUp{`>7aV?eYLtuxcj8!7kq=;6HDm{eao^RK}vQ7 zp@+QM4;#slP2Lqxfm*(AhSwAu%3 zz=R+63Mlh*-WzSD?D70&VAvW)%oXHfK*8u{M$COLrlI%Z95punI{!ZB6@m^Q^Sjrb zPtsBeI9KWoC^ryDkkWupTSVZ~7Uc_WcEMa|-nkoojHT+tTO$WnB@B5l(ogn|=Ii)y zxTXbN;&8ZfyEUCka=1Gr`S6mJss_`caDHicL_D4C3}94r9!y@q*%0|yNbB?85(5d$ zqFSjO=ay0bU3R;VoR!7w;)tj78<>lwM~H{zH#;*guc}tj=ZT2VMr#$(uTjvP9JKm_ zyL>+YXrR(Q*f5 z5W!XkJUO2K$l7w^E$qWEy|J)0WV%MBu;zbO$tP`rtZJ=13{znb)2OiQ{ek0l-v2ie z1tMiKqA}Cy&uZJS+T6~fiShz<27+9wlKl)UOC3S(O%T35)2S;{Uq<;7u(rv z$WJaJc(^=)*GcyL#(BFBkLASq@a|pWd<^q01YIQid49Ew@zP1`X*PmJF8-@rw@LiP`or@3d#dl!O%t;vyPrXfSDHPdHb~Vb1N5Gwfl4W^*{~ zD!s(2F|hIei63OK_g5SNY_;6IDy?#!gVjeXcwH^u#)m@On(ZJMt2N~4*C8-Y4)FcK zv_76>ux4ca%Rux>@(nI314T<%X+y%q_V5)^FJL14_~xEPeB#*a$|Q%YU@B!`nhbkY2xt{k ztndqo-(`z&^bDp-fl~+0GhyNpw&QW{JBM2yn;S^8N{IK9!o$*IQ)LrXVRm#t&*+)) zJQ(AKl|h*yDtDL+8mMqO_CytNo$ZoDh&@t4}G16I64pejkt4=f(Ko))UI(RsK1U>tkA%a=zRHo(Qc}CTYS` zDoUixSv$;(Fk>GpKi+AI8j821qO9;*32b-?p*>kZq4*Zr*S5`qS|lZ)FEFFo4LR1` z3OUQ#J05T1>f82_NTma2e-S(^KOf4wZk2ZMk0)H1$96zg8Cp}~TC4fLHh-m3sK+9! zpf#V{QN9-y8)#Oh==Xv>74c=8k*5`j@774~hTmus3oFL-pgC1>EaK)WS2QRe_J$W@ zl()ajdW@)Em9i`VHgpV`pirwoMo4)Mp(9ZvnE<}K-`KCB)eW2T`n<&mn`uo^h*7s%_CecpPMy~kB$eOSxZkpXxgUxX7 zrm^b@F-1S?8Fm_rDjWt zcBcN(apNMAfir2FzFhyVKBLQ-+)PlHG)T4>*Cr|qNXbklsQa9US zubiTWMT(ab!l%onsNB9W8(*7<^EJw)s=)UqsEG4zm%MzV?12Ywg?AVagiF=NJ6<6+ zOO`oQ_RL7dqH~)KgQIT9!aO)BpSsnJMAg-bMD;E^AX72vD}vc7gHv`a{3?XXiS!4o zlRbL0H8#?0_Alezt|EV!;<11%)k>{+V9Nnbw1A%SF2_ePHVQ-3Z5jLNVQ60<72*tg zJ0K^{Z5h%3O->zkUe#O%ueDXwiwMJ1aW!1b4_jNrlw{VXD^v@c^e9&d;(Qsm__JPZ~jN?we_B8)^& zP0p{D`fpPoEzh=@goui%3liL&P5unhZ_i73?g991AbREudW-B)({V+=mVt8ulodSgs!UuIC)qKgf?q)A{x(!u}9awJPfLAfuh>$LKiKcFDlsY3;sXSy&#- zZKx%<<}TW!|K+Pq3^_GQm9dX{N6R-v_~dRy;*f^176%yA4TS+IQs&HI9ZVxH{3LuE z+;kW0X9Yj5X@VLuIkd2E)T-+LSbYbjY`QIiSG6pd;Qp}laCQqHG3}Ec=)V4^&^To> z2N|&Bzyp>5yg}4QOGpJQ0eD7p^e2W{Isah^eoAtqQAkI}T-};V;tWv=;=vK_bQrJY zAB~QrzbFSVI*fPS=I#H^Ixa+TwRmA7V#(im!p3r)4@*uVc4#L3HK)9xd?sGr*K~M={`fBURVmi$J>9O!|cWT{AHQ}9gXM3iGd!j zB*%nlh$-Xoh;`@NBSA8<;%V$c=+gs+n~HR3qy29-!DI+l5C*En49q&|JDu@B6}cxG zxu93M4u2MP_-idQ8IhZyzGs;pzhx>+WW_u`s7IkYx0ZOlYuAW;@+N^rgx>Vuvyr4$ zGLP%c_&|C_3-{N8Ea%7CaHldBJv?cSydI|5_2m~M#+j0G6#A`{3|uWBp#L5pPjsu0 zy^Nw9QI!UKg}pN-nyH+ECazU2DJ8TnH&>!x>;mY;0zn;#qwmRrG8K|kTx3EMI@>kK z5O;H)SAYUVk5q^%?0ukq+3&^0Ya5UEmjxI~w)Z{I`WK9& zgP*9Fkvh{D#S}5&iIXi|o}gdzVvq7JL06!svyEv_sJHoR-!#4YBo!=SJ)lg%!sPKh ziZW!-*Ed~2{ZyCY?bxA1wqU}mOJxeTOLbzm+v&`#jr;5KhqbSmA^-in`|;fO4?pY$ z&p+qg|E7xl|N6Z9@pSt?&%6KTp8em?yZ`Gxl7G&-d+KJ(a{D5leQZlN(jUZFRZYbr zbTky$qrkFC${hM|0pm>jy;gG4GATSBK6}B-x5Kf-J9qvCHxaUOnQfe~-@EAWsJ6?? z(f1}iLylAxCRwGq&J$XNy)V5zfZ>cJR) zxUB`TKC4Y`3(v=vy{6k)3I2ecQqK#PoA9i0%Jl5@15(eFO>u>rRTGmY@vZNVwcfGdwU6V_kB1#DTCWRFYfUOG{sB@q$rfw1N3T~*F>wb#WP+{HUbFOR!7wE7Q@#8>B4lC7RzT|B8u z>DkwpsgSLA(%tUl+{W-Z9v6h-6k|d$ij}g_;M{C#QQ7sF0 z-FKfJDk2xXFKx3xhjCL=i-&$=(i<1IL0U6MR0RB+nj0_m(-v>9ms8Ty7jKVG&o#?e z*gQ|l^#w0)43dm>*Vt?qa~ocR3+GX2nV zrqmm8Kp}r`%zl4niq-Q)yLtGv7Pkm>>7drg>zVS&ve)ch?gOO@jY~~St@%ISo+=Gp zH!0TeNCnlgvC`%mSj(>69XIX^mtHN(oE+CB)pzO&BQFw9RWx*_ohh+g3zvKtu_RfIId>s1 z%a`vb{%V?Qr#t6|bd+X409v0(o4{h2@z7%2#G|Ygd=V6*j!9b*H0;IfmMB%iY|mO2 zSJtKNEm{~@lSu}Tz+iZaCciCgHrYdBNaMTC6ozv8~+ula6*Lqc}QUe8kx~d zuiT=ZDucU+BkA*X9>Y6a{W=Bskt91S%!Z~w`l%qw^azCHCs-uHcZbT$CJ9-!tP9~N zBVkjsH4F6#FYJ>zg1WBhTQST1F$o=s7uhLNFEDPqx^nK?z7BzeY2Mrv&8yiiN$(>B zz8*?xj6zxa^kQs4lV|0ttN%ebH6x77ollmhV*ir>Wuqt0_UTt|PTqT;EMu7pwh1i` zr9Y%!Q^k@iCJR;{P=c@f*l$NDDN;<8TD9-e_O;3n96o{kK;JG(!CH5eScGIJu3cN^ z!Irr_XZzmnLc%2Z^ZcD%TW!y4PUgjph0a0xHYht~5H}i);nkAzV*K(xXT@vJzQvX$ zmKWSB&$m#oQkvB*uF#2U8VGFY9%}Q5j;#>&(8k5w~qG?0H(G zGCyeo)@9Dmt`+9*0Tunqzir>a=$#!|J9Fpuem~(sJZ3LnbC>;etIxJ(-p+t{wNul) zpnRKeX+PbW&K6+bhDY_VQ{$l)v2k3%2h;!Hz`FPXv`W~;4?CIhbOUD6_FzPI@jM~N zXdkO`Zu-}Gzdj|;TSuY%g(u8fi%A3f_shsZ_+uF3M`tyHE{$Qf>HXs--=x2gEcUvN zmndKIe@$(h$;j+XPiJ`7e`t#5tG<&@kGjUwYnr76iQc&i0{-%Wb)<=ePi9e9ZfZWZ z(?todsJuZcpk9A-LyAQ;eb8j8Ld@UdE*z_veUpL$iLi~(yxcZS=S$JcZ#{|x>^~CI zsMeW~-rn3)E+9o$efLE|qDOD;uiB{tV`~(oG4D6gqbB5!w+X8tFP*+>sSqm^6xRc0 z176v&3=q6*y}y;9w(ULEr8X?0u$07OqTiOmD=z3Xd0o}1t*{wviW-7;BST@dBH67L!AawYpO{gNfX<1eOX5<0#>HQnE z#8%Hch~MQ-SX6rIb++(1scxr6L%Fs`-Ma346FPpkb2E~)M~)wTjU)U~C64TDiIFG# z%W7>$Lg67EUGub$5d(ZnUxEDJNX;-gDDgJ>`C!_+W|oq=(H;d6oz$WpIC10QW9%L< zUIF+F41#7qD2xK&nVA^o(itQuaFy^hUZ~=22$}?R#%=b|qaOPLmkw2EZ#euY(eTgv z#gZmNg)lsp46m`NjG4}7lzZf+{!Q=@WrKk>fQn@$1daNn>Ac$4u{fi#^KIVBb$$ct zGY)k--+B1uGy~$BFFATjEZa)8abqu&14uL7T)h#+P?u4X4FkYsS6}+ka9i8nGP4Br znTURCb{tMe7(h+7-4QOs|HUIh@S`pc|4Un`RKP9PH)Y(q$=Mn)#)tM!ZHfX*#UcsR zMnbU=md92n`u0>;--ROK#Z`Au%;!rmUzVJZAUdOJ zztwUGme9gr9C5-*UbXYtFOppQ$pwoQZZ$-qD!tZA4OZ;u%L0(Za~YRk!j+rfUy#-* zr7yp=q}I}(2)UYVF2?Q(9-_p#qo%)?3qpbQ*zl`}o>ab3YBQpUmDy-JrhH<4t5{OK z3Pv$mq4avNf1ukR^Qc>(v@^K*B@MZ|8qmzQY`cQ^{VoR!?guef?#x^nt>{G!^?baV z^};HW;WBJM9uux?BO3718<{;Gey<-p&ui^LzD9jz>e0rf8^=4&noGO0!JTn_@N#o! z>r!9l!eX|6%ZtHZ7~J%}(#@IDGhGj|@M;r0Msfa;Qt?MQpQzXH&USfYmC_9T`?C!z zuBazW+|;lh523EtYi+lR=YG?hMy)SHZnyf{g!;WXk16>SI9?6S@vuera8LdS7LDm8a#4MInvq zYQs+nG@KGJk;;pqu;l27!58Y8B|(l|@-UFHJhgfS+3Lp|^~fd6{c3;aCByWIAQw=X zyfqWXzvshmDnr#U`;u%e}Ap-Kh+ICUr%cH z+?OELuV><;fBn=4{%>R$zX-{;rDNjilGY>{GNR)+?1{{G6N;MstbHc3U<1+|W>k0! zWInC3qEa$ExOa22=!aItlsi?T)`?^68)}Ny46Y@j&osqP57)i_F{JfmSEcibp*QY& zm~Y3EbrD=Q1Lo;;V>;rA5N*wgv z0W!bWPZbS9Lc+9sa9}3iN0a0m6uVkK<%7*0l5OYp)I(y+GM65^>}RhXB~X_4inuunLNCtGg3tI-IV16B6-firK;Y zIg7gi&a$bxrVQt0AQkEgHVu^DnW!t`1+CKvMc`vB<|-Kz)r_~6+MSVPrd)eej9u@S zos8&Z%3M;8gsA$*J`rcu#O6|85X+D_xJTzugI?{p=Y$&=s5p#b6TI*fi{DC69)v@~ z#NaAt82{wpJC#w8Wit+2RPZuewywAW-4PWZu}Q?F3sY60@k-0$b@NHs12AcmP!6UJiTRD zoISKPj8oj*-Q5Onaf(ZEcZb2<-QA@)1&S92*TJQ@OOe6dp_Gs3ocBAwX09vyPBMF~ zo!nWolWb-bt22^FcUCNL?(3^;W)+K_*Qh7qR3z-lx0!`1<>#n_zVNDG;SB1=ADIXw zR30Z~|FRmbF(3_P-shv)KlE59?n~ zI?$$Q(>%VqVI!pRkB@E8OLm;nhIOEt$DBxImYEoS$DS{+m1Pp1SET2zHarN*MQ43> zZ9Z3Jtyy5zVEAU*vSwY!6V-a)A}Yu~ky$uYpgFDk8_@V$6EP~)?kbS6W-A2#xS7oJ zJ9R}q95Zm7@KPpy#)g}W%^o^Cuf3cxZVz2#O%&UNhm3JFP%fjmAxE;Rtc3NOWLK#k zYMruR^$?R>6UbJg;UVh6e`h1R&XIP0MbjUx^Ur=PriTwKkA!5vsf~c2TpF;!(8j8< zR?=1w&`ZgY8J%j|uf|7KI?5PkkzgjfDj_nCfb3JRNOE1Vk{9Crt0azqBeQ`u(n+>o zDCC~kT~~d%5tFX2-xPg5G!?tnWtt!(i04j?hb=%eEv$1!5#_OE|#KX0*D@vVjW^1fs;6;O{ z&N|2NhoS5T?uEI+J?Gf#pHQFt{rblUP1dNOU|b8MNro04B9oIzp~Ayr(4gQ-ijvWx z!ug;?B)Xhot_Z_JQYu4p5K->}{)E5y9ViVEK@Gq({bLH_3{03qwZk8_$N;jan;!%0 zopFZ=HhLGdH#T`QqhtQ4!a}6E8?`B>H2Q|*h+%~$bkUD$H$qHY>95|1Oq0`~Wqci0 z-OH9UlZ*hUd%C1L{b$bumf3yynNpv38tthmxjrt?1IZ=9GI;*6z^NzXu=-jru2(Z+tD}B`mYMIn{&d$5Z(z{U`JIm_A#L7K*oNDy*`}^B>yEwbo{?}p zcBR8Z1xlBNDDR&mk`JY0CW3R`)EWCHoB9|wYV)C=h$bu@IMDogbbY+;MKGk39eDa6 zv`sKnW=RdPNwdMQkk9F$pH6nGQdd+&sV+8^L#HzgKZ;eYRHO99<}ea~!9Ebf!p*<* zXIhtimuJbX1qw}#REyx19#Hhp(872OGAjmu{jcx!=?@+4$p+)0e!@E>=8Eg+HS_GW z!#35|x+36}EHknxhg_IqtZ#W2j;YXkr+_cKb$|eoIzV@f#^+;|v%CEW?#^}mx3LM^ zUtoz!AX6iUrtKLs0d+*b$GDn0QFHC;oeQGsL+&a!mdjFmB{M0noK<$`ol$ zYzoJEu)@U|e=o#`Lxhc55Dp}(P?5hM;wGqpf3uPntpyiM#HHoE#e%)_7b0ucq5;bjT*3BVRO z%U@x5KAb5HfDMt`RRna?At7DLrKUR|(D*VVC1%sM2S;PzZL18)?Z3c{SS->kQsqf9 zvsYpf$ZAK%<_=@R>N2LOZnwI<{PU3^OF*>`ek=&-Yr)4=RArmZi8`E*@0as7*& zfYg9~CglVvjeNsw*iFS3&5MRDSb1Lc!r*(NauP%;jAxZ6I*jSP^O z6pl-2+ss16W7ae(PI5aDH==gkDCg*bN(eQ6amHeKuvRJ;s0tYZIt(8cc)V4lOM5CS z^jI8za$QVkh3cAWqsS_ySk#Ik@tTLoQFCjtSpV41Q`!x+wMkSM?z+NbC5_#}9ITCU zzV~+<=3Znk$f%lI&5)`MHB`D&2QsGMNEgdYDSm0Us3;X-$QzTppNTogZm_+K3c`{)Zb@be+Qx$;guNtM zMb`LN$e)9B_vt>|fI;<0)RBIu(=bV{i#p^j4>_nm9*}l{utFzFdCTS9TqZYZO>L?{ z7&+_Ymnw9YXa{?Y**Pb4Q!V#>jZkl-RB&i1dB7D}uQdKQ_3Tv>AjDCeBX=jljr-ZT zk9Xg7EinJ?g3wD;#E~(|(fk}TZP#_1%rU9;d$E5%oLV<~EV|q+wQ89y`zcBUXwY|3*^?DmRL=HRL<7U1;f;jwW2#|6 z>?`^*c^y1V|uDd_v`I}f6o-r~IozcIUQ z;Z)|AGqbk)f^;!uVchr7sE*3=WJ~Aix78z62C%Kqv}JVx{PF#*4Xn2L(oj(0 zk~G^E0~kVaI8)ZRr}={xJYmWI_P)O#4`Oiq!TYD5!)U**7kN`RZirz2$3XY(E^E2B zC%uWHU(c(kyF0y$p<#v1wla7BQ8H*Gm%!Obpl|@9pXYcGFeye^e{Q*`z}zWDg_zgE zV4|8GW@t>?OYy=Bq$*%Scd^A-Pp1n8?bw>KCqa0L8scf*wtf zrq(!4Da;F73ZCzu>L%h}r~G)6U=^n7553yBCrCtFjDjeVcQ5|M*Ua%J_#s2U(K3-)c*Y6yZ0rLt?Y{({jP5rH7VD zUygpu$?MW>1NitPu-)R4W82EREA=jhJk=v1wAXK{vaiXJ_tI8^sC^i6sm)glgkCJ4Y6tC5{#8Ta3lbG)# zh2=hmuTJ@)NeuBTo2%t}2jBk&9+ke+n9a&uiS|C6!}#{%!`hVT7IS1inyaw%ballK zQp)_->AkX4Y}_K9jaA5 z%?850(y7POUGCDM(h~mT{$l>wnl&Ng-GNt%{0Bopk7y}F6K3u}fYgfVpyN4nPN%k| zSR-iG2(rK=|JumXkj{Ji6cO2lT@uWO{x3OFO@{{;QFbdq>84Y=as)U-=!NxLSBJ?| zAbbP14R%{H(N8qB%kRxG2qq{NPauKVtAM(T$DzEWO@En2>#a}p_nf=vEO)o(_r~6t zL6(MYnE3jJzZZ*Kn|MN>RX*FG+vLrhB2`f$Y7(m3ZQGhRrO=Ea#otGxa)cm-@;#vv zs?C$`-rKsKo0dgk@<;8^E=$TuqU(mUu1V&LO<%&%s!mf@$&e`!b1biGtpv840adPNV(1yHWup_^Py}~_&@JSUq zp(~5Rgy}RpW#jO-P}Q~#MBZnNN7D zReVnB(-Y6)zY6kamp8`*BK|W#n7E7*BMW6t(1PY~w9AQP2%L+4^$Xw;T~rDmR>+S! zp<|9t^Yg7vQqDtPA>O49}12)@h-QDx;D&NZZ(|U1m_8om8qu8lwrI_Hlo)f%%d8 zBERyKBecZ&Do?y?y#c58=Kdmer6&EC7H5|sfI3hppeyMc3|9^bgF{`{N2a{CGO_C+ zA3(fYj0`)!$U&m6KrF@H>xIy+$|mDher2C$GEa$tNlr-8_1DqDakNFO3Y#4NHHv(N zMrDKTlaFewOocW_IVdmsXRzchgE{~8ANZEe zcK0O{@jX4B1brj28A3nvd7e*sJTlT8PpEUrJWG|s71|>_OFU|dJw&HOwub8b&sJ!H zu!JpRZOgL6ZANuY%t?)cw9|QGcq~^$w%z)(aJviF(fOMJocyx;R)00o1kD>K%~JR7 zuag%w!Q>Jvr0Q`%O}_o)rbkNAq2oO&)lZNmqCCl$t)M&1xn#++I7r4L613dRw()ZJ z&k_|rn)?Kne~aS1DqphhDMw)C{6G9oE%N9H4 zSB<8^qVH0R0w#wi-UFyEnzkG**lt~DQ;6)%skPBt-~KxC#M{ivEGi63!6gm(OF>4h zWn+^>P~78=Jo_9Y&5?1Xw|c$QfYdP3;kL{z;i}jKLr`4ch_9LKeSKQ-t~{Q(;_w{# zhTrW>E*msgJgR9D0LCz9md8Y)FeKgYDG5rA#x(Ww{Ww#J9RyDOr<*4{dgvS-;6y7gjDl0>yEA{5RGHzohy6ee%}M#PiD+vc z-)|-fcv%YRt%c((`vqC zKRe27!pC`TNT_vkVGv>Ld?t4i+o!sP4mY%skz7d^hPEh#?nRq{B6LqYkZB*lJ*4M_ z{h-mgUvur?z_|p@l4;F_U{4T{(4k+;(V~A?zaLLl$>Kkh5g?ooR}gi^G+7{p@=08=O%1dROePRBg9_| zO=I>ngGlkeStlQwWrwTkx^+|??M-=7AL{NbXr+^I2hb<1QenX#b25}ra=rFJ)PWA} zUryz#1@<5knF;JRT7~(!ih1h%yl%``K!^dKY3ceHyF*z#8XJuv=e#3Yx}JKjP}&?P zu4PxTll+gBF$XQVAAn#wri*i5yltF{s!hd8`GrmtTDo|UWVJl~N5Z+~*NZ_ZiaDZ~ zLMT^Cq&7HHT)S0FPjZG+fv6%?3($eYB%4=tiM*K$++1J5yGM$^>K|@W;Pn1{I9mPK3cT-0j zuo37-+ee(;rj$7=e@hr3Sd&pC;LlJQpnqn-dZ*oRea$_J z`Ck6zkC-?TT2rPAntZ0h`1LA4#t+RWp`4NGj|^@(nDN_&nP1MXru5g0%}&6W6vH!e zw2HFs13zh^3VSx1!ne|L1r2BZ_4XeEGv`gmA+?*6Zoe=eAr&)9KlWc71-+UTn>5F9 zQ|?c)_d}2Pu-<%m>N83L#A@_dTC_Fw>0QMfX%l3hjkI%1Q?PM=3gH4P72!K6)hZCI zt5>Bb>U8sc&r1Ig?rS3G0;}&)AqT}0pA3murVH+~5CWJ$4zf@K(ySn3^S%%U=~8cC-)or&rDU#&=^@Jp)oCrci}wH30k~rmM z*+EqcL?b83G;?NffjNGFn?H{g+w94n@CVhCdq&18_?Pddsa63>)BiolG<`0g(%qd? zSwo((%F&PF_#(`PnndEka?k%rJ!obPlLdu_T8A028c$r!P7Q6~$D0Y_wY34RVt4OU z)8F*-sSGCThw8+I2-AryRG+r${zi-F=iR>hnGm-5-9iXsE38gMn#VAzK6WV6n-uMq z9H`guQXMcvTlBOe-wJHbtL5AIKK`e7R#$8bc^dgs z8hSUB0>3vx$t_Q1$%jWaa!?}BsuP_4@G4%lp9&+5jZGb}qh$0gK!)1~C-p_t*j4rt zOE%BI$U}bG=Z1K8thaw!%N7V~0YJP{HJX0kMY+a8KAg@qK7|$391kz#sGbyan+H7g zn3cGB$o@!^O`BQe#7~@k>VBq>`!HCkulDmUb;6{g>KTBFGBaQ_P?Y92%Wm5~BKO zcm5HsyihorJ@O^aNlA@o3R25^A*n&5`(xoZf9dY{W=}G)<{^2le5}@72wp*ymhaL% zXAYb~n#C%X|5d9TCb=AUa+Bu<_RRzeVkLDMw*S`%YJwF-z>iPD!69kY za43zH<7ZIVY0TgBB?(v>!={A3aw}yK&y+!!rM=Am&LU*F;F<`oo7lJHqgSvk8k%!4w+7@etQ}5T=lD zJ#Q4pyp#BBNG`;wzzj9LwJWHz1#Z_-*czzr#90i(wFykE@g@SQL$0b(!{a^%cPp+4 zX%iEoVU+4Z%0Y3|Juy6TL|IEBi@$Bfw#BiDJ$+0?3#j?_b{X!?jP~8hnxzh^gA(NSUHl!$kJTs+`apJQS0-DgtbSCTI0 z1Rd0Cc7z=%%LAAGsF)SXu+=WxOLl|51>Ptb zHLoh0Sic@xRA!J_5r;ffh8-WB!R9TPDdS{$8AW)IZ|>6-h8H%qV|inqzMidAClicA zMTl&wOCLC8KZKYB$cy%eQ_{j>Rhx<{43XE$v7ei^JZxKa@^MtNpD|Zy-S%o_#1lLE zk!?JuHnAjwI?BRLBr^*T1!kzb98U*fSB9hT;ijd^F>xiu(c-LINIiziLy!=B`deKw zc>=y#Q*%2nNc8jiQ3#O1p=jbPh6>tFq97EqcPngBYIM6*I7KTeuxU=hvu01-e`?9~ z{^3xud4{Be<|?)n3yRF3O*Ai%*XjHuXvV54kC@4Eh-|NaBUesdHd4mckn|N64C;#g z%@ltsm4VTiO;Wc2t?#eK^{JKe1nU+%uciy6vJ8cWc*8Yk{N$ z9`}rn%Ba1=P#Hr*Bq_I7D!6L7%|LLbKn5}nm%%bfk*t71>MQA^$DLA1gX1I9v+k&C zJ*z&>quZ2UiC!8!UNG(`oMW7W{Bv6(LVfjjgJp2U!@{|7rmJl698bo%pt&iJ6;F`_ zYjVNrklI^#;vywBgjv_K7Abn2V9(SN2CNh$IZz6Auu%VKA|ka;hnq(G+9 zmS}WLhiaE#3BxZQO!vp+IKc_2krpP-^T?aJ>hgybL7r)UwTPAzf*wHG;j@$JKt;sz zxVaS^iwokR&Zdsb$wHssWJN}O6N!5>b?90jGs6m9_&rBo^(-uJK0VsGakfVOA9el( z)OpOkyUPA}Ph<2xS^>o9AKuI)TAN`|tyCP?pA8$}&apMVF^c_4TXmosXF6oy|KYAL zs*+8P%wozNC2|th93tLn_?0gXuEzarg^^xb`e;>U+83`X`+nLPZyIRCmQ(ClmYL=HDk`~5{UDgCoCI!u`ztP5~AsT37E*%M3Twv z;`|@(bhn{uc09YXV`Ix<`xkd+aaf=ZOkK|-p_+yiE3hEewMSJ+?Nni<+1VM6m zqXQytt6BQ7U7F<|{7lpQ@451x$Tg3e4$oFBTqxT1gBInl`DzJnGCyErEqC29+iOBD+H`#nh^yS5VjYanwf=%fvN(bYj0p^V-=3DUo`{!%p5Bw3>qSF3 zemO^p_XGvBA=lS(hzH)jfGNcPU!HD=W`-zd=0K{KD!k9i?$wKv_6~O7^~h)tF(&w* z#+*3X-1rlN+?S7n>x2rM+`Yj^QF2%otmaA>CIb-}OjD)5)@Oz?FLb>=~r*|YL z2knWDCa=zgEpR$xD@PzxQ9?@-^!8gm#v~a#GHZ-F26RDmueh^=tRel{Q;@?0#v$H& zWwz3;W99Da43~Y^;@oSHBmcY6)Wf?-^T9ib^C?Byqz&gn&pJ>5thO0s68CYE_~p#W zzt}@48}Qho?rW`ZN1dbWl_d0quwXV3qVAqVJ~jry_6}Bp6XNmGV(8&e&~oD zJl9Ce=7d(3hgtZ{s3~l6QA(ff&aDVoT4%pyIG?UeL_%xInJm})_rE>oqTfA4-m+B6 zQa0bS>?05=ga3Lh-&8)c{9d zk#qe45a(abCpSc^s~k-EFXpvT5^L#~Jos{7*wQ7bEUH>&bSU9}xg?JB4GkWiB;YMb z2vu(W-8iINbe{QQKy&wCEynOsE+*Y589|5=1kz$mLphjWK%Oyb<{feDuzxxD6)GfH z$SB80u~@iNMS9>Sn;c3G6dFU0*^b}Kor`Y!gMEL169M-gJfOXdTrTRfq)Vkz_*$7} zS^natR`S;;nKp}%Ch2!ehlhZ_s{$8y z@7UMXF%a{;nQaco7}RlP_Ic?R8{_(4F$9+(bP?jAJFyJ#VT{VU9wG{kxs{r>Ts%EE3pi}p|l8v_VfV6 zy~o<8q?T~I87J(5Vy@&uZS!hDWKX`vt|8Z&+sko^w9{`(Jp-XCY6v5C3&rXGGsmCE zi>wT1h*SMEM6ktX@Yqzc)d9W3s=fa6l*en84;0RB^D0l3gWOgr2DNp!@uZ2~Zo-8VHkowscL2+{}aFU!Tu^ae%k zXrR1f3{zC^^mzXUF(RD&Pcm8ifd&$8WR=Oa_j}5nL2)O%uz^0yFujJ1#(-5-JciI& zOCY%}dEGH?s@E-O?zkuqe`*Nva*j&nU%TE4nm`5v25iuU$=wgFd=cEk4QXL(=)*j= z?O!xL!0SC_AobDKfF+yJ-hMIZ#l@-+Y=djbXgdTvrP3L(*`nb?ZKL2y^k`crP-9@2 zSA7#<9o%V7cuq;__>@u!z;aJA70%umXkEok{T0TbXAPx1!`Z<=Df$%hUtyj1R_k~B z7N5J~!{}+81~RgPqcIJ1@V@TNjG~IbBkFxv)%_75LW0}t_vcfzzB57T$87%{K1QVJ0#(`Ad>qy8{D zA!jHCp8ak9!dA1$WZWC}PTPuIsU^}eokq|Q^GE&q|J6SY!w$iMIy|MS5A6DAbn{gJ zJ+ShFjEysuTToVkGSl~x8*kwn`JXx-ok{;H0dKCZU4jR3;((YK;Qh%v9OeJ%v#_@d z!0V755=J5A_@9$M9vg8c-*+@!w?!UX`-1k92P(}6)Gs&#z;_>11X9~{ zdXp?I;x@l5f91-l`FEX%*qwu@p}*pp@!`s=|6BvBq+z|Qiw{TZ3`DWzll3coZf!RMqdA7#>Uei?jJ;7n**O?n%aRucj*y)bBMHuhI^d1!bim25*qCCFOAW=% zADO&N!7#K#5 z08)v^$qWM1sLF8X8i>;~uz5Og@@2O_ID(rkF$FUv4K_r009Y+EWFR375r5jfPwrxF z2qqr!)FTjbs<1HwxB5>zCiPrPG%1T9t)Iod2C5#H3W=Mpk)$J3_==E7zbPmN;m>B` zO)G|{jI?fVpi-ypY|=`f+$^s#AaaC_!xw>{Qu(CFe;r+sJn|JysrU6=Uhwn()%{#{ z(QFB)u5^!1qrpXB2n7pX*bDEWMzyQvJg4n3OT4=*9&sP~ zkX<7Ewdd22SDZaH>X-q@8$>+x1M%@WFb-3)h(+e%A^706RaoU5h25x|yhtF^-aR;**U2a3ixKdCiF=y6%~ zSH4j#(KZIH>2t`DKl4T$=E((jE_0P&e>3EkNf%h@^*hz-eWF{qTRXmvyu-Qbi|H%^oQJc*UJIe9v(HH*2W5V zb{A`Syzof-TH7NL)-mn_o4fUri^ff1#t4?sSs#ii4S%gjFa0%8H^}$&7-pw|7I`9P zZ1Oo$Sz_=Tbvob;*^jS6%zV{JKG)mG?=fi-@WxcjiT9C{7i;8Wgq#W>NL=(3e zq?1~1hAirnE43up66qHKu4Bj5t_(UB+3|07Tpceq5&_zRMR}0No_BpP@^GA+52I0D z{ibDeER3y|^n({0gsysgfNl%rd?TLvw+`B1Tm?6g=Z0(0?!2uJ3FN8R}Oz9xh9?-X-_3Y|%aRxM|l% z?akvD_tmW&g9rwwA>q6_b)wY_f~6e2PsK6nErhyV!d}HE}iY` zXHg)(0iF&&Ag(&?<}KauuD`HdyWkDr1o(PiRSwBMBP={ZpNSi}tNr-(WV7G6z}%ve z#D#-Ne}3!%@F|Ub_^n6G_#!J?*sCL~T~NKr!+m5_tnpiu(j@Mr7GWn0337aZ^ypQR5Bn>vJ>#vuOeEu_a;!F%`y@Zh=S{>&iwh!mQ6|vWVqg)x14ZKvr4T@X zSdr6WBhgniQk{!{N@>VYiSgfPHG?i+QL&&q{O`5Y`)^-zti4d>OiX^zv^V?g0^X>3 z@`{-Li@fQT@|xf%n)chBAq@am&PPl=npI`{&(O$NQ1Pr2b7~ z*H4K!hnQpx{N8!6wK3mX47tYp0~Cv)TJ?!Yx5$hA$uidRody81rZc{q1RBfnFPhj&aqgxlx2MhT1`mX=t=O12tHi& zO+U*tv~)IQ<1AwAny?QN!-e^#djHuKM=CyXUm|kDgWUm#zQOz8B;HU48lXR(XD+x9 zSIQZjCi)X}IQHLwr)o2ZbtXXCw>LU}WqX&Au_*)zh1MaXn{FgKnVQ89gPrJZYst;m zxvTN$Chk2|BfDq(c|s_gxY@KpD$0bAZ%7=uCtSi2_yU$}IPww~|BnPoGZfwM0J4Os zv1X$_&k_`iVn1}L*g_=K+JX&uC0SJH&expGd6<@bEwE9$(0rC!D9fz+TD}M@f`P$8 z%$UM;@-FUupDTe*Y~nRC{@c-E!v79)=A2N?=3Rn#Bi?BAZi?A)lKZiYh>wlo>i*Xi zXF9YdSvhA6$^S(lk0%k)j-4E})EasK)q;fzXB?X(znQDDi%9^5z6UB%14o#zrkV%t zN@q~e^Sr{vScc_H4=aHu0zoS&i3`uFX7u$(yh2vqJvutqBMfsM_H@twEoy#@;Y1Fl zmtYssPY>DED6ca$d#fm(^Y6%GZ6^Q`lCDb8;9^1XQ{IR(4-ylo8VIQnKz9ZYYvey-d91SD_IQ)@xeb$yG%Jzu{cN7&& z2J5*|Iss96`Y8CXdeJK^+SY3(Z7m5l>YRUD41Wi7UNL56FK)tAn%(IGT_A!dSbxo$ z8}ig*Yv#4RYA;uRkF+Ih`WvobIT1wP3i(mb=yXl?*vPuFOouv=X&C(M=sVNBtcqmp zaTMuV0iMm08tZX(kk}R)9Uu1R;2hQ@l|RATmn@$&J0qO_F``17g|NS5G#hQG@DcrLi!xZAE8bhG>YMZzjCQP!=$QoWR) zd~uXlop-y=z%oW1OyCRwvn=nI0=T5Hrrdf<^2e6j&5&8Se1y1a2sDG(?_4{nw^0MH4V8Qyk4eMJ|s3j^v;I* zXa`42H)SzqHaA-&&vYxTHpXd4jlb!;&uzHfAG1qsN}nYWLvuH5t^3pE0jGLR#Rujr z61?P5j1PoK&SKk0ZczG@N}ooqpRZ^YfY+R$89LB~Hs^53_Ga1tV%q~-m|2m9=>#E$ z*2_d+QD+T{i|P+xFI4Fj;m(wUaLrUuc-=CV*d8k&%{E?Pr?TkwWk$d{X(wlNQh76P z7BZnu^TH$4=_sRvbUY##ZAmr?_EuA`uw#G91W)O*JOH_qMF{S9#0a!!KX3vF?(B-q z$VTj!N;J`N(rL;LDA**1!#Z6g=K4fHDS0tXiRnW2cH(0$r!r^&^JydC0OO?4ABhyN zCQx3t>5?vk%ZC6libM*c$&*YY5-w?BQWBh7Y$dNd;)dR*>2Ip3B6rf9?UYQD$FfTz$kUDiV%6tF#>mn+ z20pSQ7tnf3nr5x;WZ%nAWfW5wMt-jd$&U9BZWQ>s-;p*5v+1=unJ9_P;b>HW8$J5! zh)S&A>9j^lpw{P4r`q+%Byr5Kt6`rRlg}6mKLWmHO?}5(F=pyN(-ZSH3a^o3C?Jh&s4rDH=N+(wk^VaDgLjsM*+m67KU)_`oc1s)s?ZU77WyA5P-CH#nEP3n8DipPlJ-K(Vk0 zwsm9FM60qAHP85Cx#_8>IH{mB22}5xJ!X&vLF*4V?nn80K+sy7Y4K!13e`P<8lqzL ziN;742X^w|a$~nAReII3JKz*x-$h4U{ZH2gE#O&a8Dz!lBr$;F2=wjb;#gg-&`V{C zFs^1(+sVy>)Wx-^t#n{b&2*|Uk9X1!qj9pdu#!Q;R*lE0iRYSUYTZS2+albPOv=Q_UEexv< zjc5+g!~5?oOE4@i;Hv3N8rR_gPK@Gjkm*RhYb(a0MB?wbbpNTsi34*YQ)^UD%aZJ~ zF&Vj$n2$H%u@PUh*k9f~MK!0NLhd~7!{xZ_g*)oaZn}LtRF>%Oc6EVbOI;CU>)IbP zlLrLVvx`As5R>vQ@NTG)ZT~`EyVcf9dqFg3Vgx@eZ?ErJ=NaFVM-6y=vZ%-N`?^p> zWPRq=2BDXA|JYU=De;1ZcDtg|eU*dT$9p<2s`4>*Y{TWw2pr|>0e;0hx-e#Cff0VssR2|>N zZ8J_ZVs*GcdClx8h<|kl)P0NeTft3z9K_-a-DO$j2l%$XEwKk2=)B}F2UqT0^F56l ztTfgVlR6v!zV^g3*L?B$m{Bf$YZ)wkh4d|&QNVjAcWC_P|5P~I8M1}g22A|*F^z48 z9urK>*bQPwVkmzgYK|bTnSGM|e|pyirI^`?!ToNBY_8siq9dez)!(w>I~=VTa}&W3 z{McEVcrVGCJ&AI~lXENgUBr=Lcmbvp;q?xB75Ctm10{#d#Z_L$+XqgdfStwXM^ z1g)|^;dx;zV0izzAE3#_lo5%DpIsVg_7PEp!9)1hwXR3lza8}i2rfdvTvm8{5~M0;nR1{(N?r>;3xB2k;aff_Ehe+aTIGg1K>``7dPLk_?h+alb; z0i{!=7>7XTTZnQ}qC1jtoW7ugS;E!^#M zMHDU4*D);JwivmUxSM)UN6AYeB zPOT{md_HM*<0krgj8fs6WoDd_YP*W65Utp9)!6 zBna!0%>b33_b)_Y9<398vjGQ4z5h|(FH%b?RNIQPHet6W>^zFUy0>V%HJ%!Ep>s z&y-xTV@CI-YX2k8ee6BOa0N9SFd~mVeGx5a4$eDdeBR+)wH&be`C7?Cq3B||9*I1* z&@WU#@ya-(cGGYA^{P~ri(KGsJ_n=XKYmW5ZCAu{$<{hWOsH88hB zm%752=hl0&!MUe9i%5}6L)>h`tvXlm6h6+~+UM4g=YJr?uzc=pf!5Ma-Jl;?i0kCQC{aJOURvE(Y$oC=qS*scv zPRTOHaOgI49z>)unTGo6-SA04*u$VfKIKSt?Flgb5rf z%RbBSMpk_up8|hu4UGaowdIjr{iFb|hxq2qVAZe`OeBdo`bUMn(eVptf2wXiXG|HU zyE$U(gBF?d7RR{vX{Kpbde6tiw{C6i5K2--FfKMBSasov`d-NAAAnky6eov&F&n;E zs(q1?F}pG#MRUbEaEAONuF^so<~Zc?Zm5g`L`*c7Vcwmhqk_?6fm>7zJqm#jTK8Td zIb&BQkhV&cG^$*uqdniZLiutp*e-$P{fBmET!l-}u)R)hOGJsPc3QJe_~w_lSzAMI z!)_$v7mps&167e4_Y0Vd$f0h>v->D(;@IOZpxdK3X_DH?6EB59+xKg_R?`OZ&7x;C zZ({zoUBdneywXOjzbK!x$rwDYrMaGkl0x$eC+hkt-wGRsxs#Z43*!@y#!lp-M10n` z!q3zJV=3RT72LP6b=z;d5|-013}C+ETc zBv_2UNBHW9R#)obGk4lvfX^$!FI(bact5_co>v50k_A9{3c#P4%x*4_V%Neh^$TU1kvYf7OF61K;eA-&s z)a=Hw@zTICXs5#n(;#s0)Nmt=0TcAk>h+@DPoEYdb^F~p8iG2?ydY^-Eq0|pPG59*y@&O(g(bp zJT*$Ef1g!U{2#?HTF!GCUevn$2>6O{@U?}qiZ+$V9T;|9^sC_=U_jxa71*Xtj3!C(%%;q^dP4%dkQTd?RALXzD`dR42MjVjpMCvYVMijJ1Z9isC|GEMV19N zTo~d8G5?3$`}Au*5(C-IzU>HRlf9=#H$J}G|FHVQ$pE290gK0m&L2Q}A>U)O_FFA$ zv)g?SToqmy0={CrN4tg&z+TM5XkkL4zkvgPMUyB!1$>&Ql84%cZ7zoTJd&V?m+S3J z9;%;4uTN8_1pgm%?;Iq_->v($ZQGu3y|Hrm;97G)dv7DbD=KI4SVrT1YYU}Jo#KioM6W@RL=KE*Of6nF~ zPr?7SH{XBuvHLG?zAKFlM?8*1pA`*N>q&77w?XAS_0)*fuzJfG&jDgf+6>ftNhLaE zROKX!rNY@AhHF7Jvw}Gjm69vpy<(K~QC`Wcq`$|9WA`^61EK17$A?=o4Tyh z%@uYKTunYsj+=NcHk3t^I*6&| zxtFacJBaWq)p&9!K^79(VcSoZ2h|1X>%?ZO>2PtE4TU|q+Nc++?x+5x4m$K98^%ZX zrn>eTeR8rzCsnPvoAUG!LC5SYo#U&l%|EetDayR0jbP%-cW-%}u<}6Nyx>H5^1N;l zUY*msDcQ;JMPooG@VHZ_-6$0_@BKO~KbM@3!}&*+6OMP{6p~F|v)+Fb=5+b~i8dNs2I6EfksqaAjj9%hOeOQUR{Nogc0IGvse=%#~cuZDHhhjY}&JS@RF z427C+E!=d=)x`|b)p!~!oyYbgVZnade$p5s(s1VTCUgtC>0I0WmjOgrAn%y~Cl2GnQq1dYxL5WURu z`zT}aA9p3*C;Z>+q53l{3ZBtGn`hOt#N6~ zBs5Up;T`}iP5}|Hz(KTe!Dw5Axe>;255n4bW}BMS7oHi@d~T(jtr5R>h5u822l-k7}K<< z1Kjy3*YFxuY#$%~h`U0hv7*Kd)3mq)oLXt0U@F$%Fr88J5EOL-I9vFa{pEO6TRm1w zJH*-*jRm&{>dXGy3hAU-rY^rt{O%@9NrQ(qTo(r3LNPgm9?zUPMkG@BVHWQ{RS)*O z171n92)w9H75nM#p}!Gx&RqAfw=N1sJciS$a)j{tW;k(&SlUVtuy3U9;LguIz7HuU z|C|-e-qeA@J+$uir#)a4vo$o!{s*3oEZNqxE#8<1Mbr7kihVyeZQys&#~tV1WvWdY zqtV5h`0vEw*K%>f@xQ$)a^^F(kS!5hzt+qg?V{WhB|K>SV8@0;x&y)*0$gf#0t;6T zM_`+nVC)Wh7s?RjQ9IPck}Z7_m^1841X24^wg^s7E&JC%MD)NHiM( zka~P_oH}_Cn$jJK7TFL%@;geNc*IrT5+cr@p=x5vO&CkzjU~?2FH8m^_jEsDtir!U z^Beq7dS&G-nW_sR8MI)(ob$yeauB63tuD3ki*o3d<&R(<1gRPwZ8~(@oE7MW9B(jL z#BoIWBaiv{?{o!#i|92Ty{6hLNjTZ%1H>*G+akjh34!tn(AP28g^5cq;A#J&-U9OE za|54y73}BSjFf1P?7+QMlo5Hd#RXbVVH4+hKn$p?)aclGQe+BHpxk?oC7{MyLnyV- zJGwSPZEdzP&5w0pL6HDRC|p#UoRlA zOHNFU9$n4Av~tR6U1Bq=q+=j=QNk(X#o>7P4@)dd@VBhZJBlliu-6pN2G*UIx zz5T2eHE5-;S{#{UJR=ll5!yIuqmszotF6Jgi8Ee=Xc2@0w<5;m%?^B+DSWbE@JLT^_T`XDbCSES1vhFbHjwt*A z@&-%qsk1sQBQ!B7-LBn4JSvg|jd%ad)+otF!<>Wrj;)HSmQLeY zi`ZG(Qcw7fULK%Sn$ z_gi8F9q=;}hjE+7bd1uD;_%r+HAMoD*iK0$aJCCC{`tu+B4V-$90*}3-}sR%Xfur?To%@b$?Fdfljw$VM0lH9G`ugojdnnrUIz)&0dHGlS1Z z@3Q<#*t_w0{f_sGD$vLwZC1+`@!=tPXF_LlLT7uzODg8`lX*GwVD|S^C)3^~q$)?k z_?$S3axN5ufMEB)VtQ%Ckp9<|-J}4_f}s&!44u!(v%(pl2fRvK;7TN#IBy4}M-W)t z0UC388@fUN*JxvP??GmUl97)N2#c>Lb}^f`hmaKYV?*abd$L(%#%?h*g;?PJOMZn0 zqqMBklI~24v$$0ZI5=rc9T;Z~CxZn?vc^p#HCMprxcSm~rK;yf_f}RaPTsjt=wDMf zzt&R?wZ5Zh0*61iL1w>(V}G2#8>MUv$Exj-BZr33q->bF`=>%y$GRD+uYJZgc{uL< z;axMT*hJlJq`oi6QzF}z47H#90%H-@yeVMC?LQG*Qk6qUR}0!Z?*eH>53as7=_imB zQ-mp2Ws-!RAp9M|>L+{1|KnCJBr;nq`nB#ie*iVl-HV!Lwtm#8lfwtb^+sp}^Hh>2 zoOqEJU$v+@ilZ5KjrNWr~>M;qv;+ih{Pi3&jJd60tE9m?& z6#IO!8sQ&!wegdOs(R+S25O~>B0omn@amKioqHP^5gRnyK07ttzZbME#*MzYw5%@x z%dk!1cmT4E`1C1Nq$$@%^{sj?Cim6}xigMwZCJ!~G9e7jaNU1!?v>gUa!`9QCC0!m zpWlmoMB5~fDUEM(o+$yy@S1H-Bk)7AMrC7S##LR7bILW>A#(8Ec*`!sTKvm|beMd4 zuX#`@Ing@ zEHLZktUvaV+Gtz&;f=WPiu&qVgMH^0&5#e&P5Z9oz_p-gVQmT3e_0Ops#!8RQ43+9 zOaz|MR`fwROi01`OuUL2iw5BR0CS9-qzc>idA(5)*o+WQ4~{`%S;+JJg-e|4>L8X} zmy!uMyPDa}QcIXnOpRjnqjicfUR`Zi{GceOb4oKXa0BMuY=lMHBOpNDw8wK-Y}=x% z74Q60;MHV~$yYE%h`i3$eu-=|W42_kfszr%L)nyVc2yhVDo5`ny3y#$wyrif6~f$+ z>kg^V!}wR+F)rv06lE+$_m#Ve9Qu)S^`$zadEk|189`HGxliTmu|CBB^+J0bVWN_X zM-U$yvf=Q+zk?>#M@VmK#mEQU0I~{nM=;VGPf_EjfuXE&jJv9q_$lOLx!eU*&0g*W~$q-o6;Ogp7j)1D+`;WCYLki=?nN{(0yyD3im6bmU_KPj~l&)xwBrI&~ zG%9N`9wk35aors+@ADc6*Fg%-E-&4f(j{doL$^B5eayr5qCZ&+=5isBIkXbmuIsYs z43VPunr_?D(TCx~ut7H#qSgH}M0ZWZK;8z1SrAHNAg8Ms>+B$SZBIjyG`_NjrmEO$ zG&>M@VK+}YUv2yD<;2sj_cJPMT0fYca@fqe(8{8R9#dF4xQNU0O}Ph*w4bWkAD%k# zrXs8Ic}x?# z`!~2lU+|+(2nfIK_RzgrA$7uj621kR@0c&kPr7|X?8dl+xcbosWLY^LA_e<2u8pV z8S1}m%KCw=QgS~JlO2a64%vsucy^-2&v3OPdxpyfo(iG*`9UGBbTG)ms+)YT&_{m# zuly{WnNNd?Y3G9~?h%AjzprBbR?7L-`Pi5K%&q?Z?`9v~Hk5!vpXoBKPNd$>acR*6 z%hd^D#+eNKG#4%L^cqv!>^YZ1a$k3Zq5bBZQd283nw+~f0zwWL<7)>f$hrGP zm+}u|uK$J>N>&~@X0T^||EcbD?eYXzk^*NdZaBmwCA4XBHEx|3EGZk(d*vezMyocN zykPK%ex5oA*tIuAfo|^5RcSks9q?HN1Xr!O2i)YB-Wr~CeyER@SJdioY{(=LYn%h{}WvbZJc=rZ%?2C>;^`HZ|BAC+ z;JIIcxIPVSb0C4K%8C_5V*`yWG}m59=V0zw!c_KF zf4z`p!VLY8_$w^*H)_c1;Vd2rU~^+ka697NZBZ!B>r=4J4Jcqr-fDkH1>s%?4a(hZ zaVSo$Zw({{f=jv&x{?8+HPqVyFbdsjg+4Rms;EBq2#r|JbWqI+{{E4axu-et>aOJL z|H0VOmABk26?(+eA3@B1TM{~7!||9{uUFfOgjleGxoYhPTKhOuQU{Dy+#g;SwC-(F zv&MXlb`t!zpE^0ru#QuK%6jgEF4&97XLDOgt=xll;wI-RWF*$5s~ijYpn6v?i#Bh= zO3z8$ZE23h1jHNmL!Q)u>Yj6cu#eIbN{xpb*=Jp-ykRgX!dk=-n6l7DueII(#b9~y zY9?Aiq;iMyzp7|qFK_`>2M(- z)Lc~$Wnw$nC#CdBZc>wDxjAKNl^@8~%#@hJFd?#m4li*C5? zNuQv4&(v!Un(e&^D}`gb%yWUW0|R>q9?Z-#cXM2riUlBe#0>{%{3bk5lE}@ha{Kxg zuNWziC$)eGP0yuzv&JBoos^|hr?7r=j$5oJpA03r2ifNR?{GW2TIN2!F}I1; z$JC6qXk|~`I++m|E*WR_4#MQdYhFVB?GuT!IYkAf(<^b1KI!XzEw8M;pTcqe#mAe< zh9|7J%{^laHfACPfum?+;~_1~rc-#F6Ruh9zHWa+;mzvIUsIV=C1S5frYbzlt$i5B z=Bi}am&;xA@U&K1=P2AO&2oOyx<^vqCp%u#NCy;V+i<3Y#T@%@Z0!pfjBfl+uV02VL-i3 zv*`p0h5pQ<-ctPd_6>}%G3eB8t^M{p&@@kw*Q?3}if-=C;&|H0{DO2}TCo2g7{xzY z{XeQcJ0mmefAtUI`Zuj#)x+MDh(XcF+!W^f+wq$Z5dG6$NEwDf&eX)xNZ8JuNQd!z z1{)U}5hp8?9t?xBsgs?Hqp_*ezgU5yqn)vesWXudgQBQ7gQ}^!^Y<#^-=yI`NW%Y! zD1I;z{c{N4`w(X#V)|$6|4g!f&u036_bvXvU>E<%G``RGpL1hk`DY9NTkL{`>0kT$ zh9UpXE@YhWBw~B+H5QG`L?V%+e${%q4?xN!udK)0q!&qe%@&KXOT`u2W}uB+d^~2} zjMES)t;vn4b9(O;#tVW-?hb^NnnOw$+()`J?=P(#38}E5SK8Ln6k9E+XJ4MTaP!n4 zWOgJ}q%N&_Jf1!{xIEQ@ewZqDwHi!cVmgJh3}2PXhuF!6+R^cr$X~V=)^!f}g^Ropy(BRnP>ia%=aO3Da?XdQRuS5xQaa5GZ0zf(xxVGexFnaV*bC7DS1Ra5 z2^ns`f4}rs`>KvQHc&IpIbG4@o_~M)6qG928T)#>-hJ3DFXvK!bXm!ORMV?wxD2kC zI%reAcJ|WWg?xd+ej-EBXXQT^YL^|*lnpT`9OToV zZkgjT`cA}Bh9WE|%oJ#^a5rC>v1lXiRR=uYTkx42fj+!b4sxR#NG?{QsATf&gwnPU zA5__v>Umo9Smq+OSBVM6)SnDz{!|&t)IQ!?>lK+h`Fqq^1fy}Yk`L!st~mgYak>hZ zII0br`Bc`Sr?IkhVP#CifM+DP^&D}p{S|heI-X@%4Loosx{k__=VwXkchjK`&qipb zmkIXb!dl?@Rq&iH%h`BRpH)aIAcVUS4PWAnVs?#J($WJOsRIUNgtsv`B(rPu%V!*a ze2?A-k393xs1e(y4Az#vV-6}eo(Q=F}M!L|w z8xolL1EqY{iKMb3q_AXIQqQAM^@+LGDp*M^=#&;i|DGSSOh)*icK&L8LMl0JP$D2a zFg2X2Eg<|74Qs@6LbhkamJw>dRFJmVn9R4hHsQjyxDUZ#~_BV z!_!%%*yYzN&NQR}aIh>0>f@}sO(kGCNXq#D3qg~!Wg*9dWqRPQxACxdf+J2vLzDEe z+GYdCFC97pfi~;8CuOi0HZB!x866U%y6d*D$XG%%HsK)D^V8x02USxCWOIj9WeSXq zgD@?Uy=o)rhYGQ82YdipRzSTEyyl;9JEwJ!<9mMYyJK{=mxFSG*+>!l<15Kk(3l7W zv7bS8$nLn7)Hl801+K8|TU>i+T@2?o96#c3-N@&3t9Gd+xe`Pqe_m5RNoxKs@lH#R ze01V3Ffz%)HS|o9vrr-?i9=%8IOf}|^rfs4(CDqpk8DVB;8ne_iAb&bIJ8x^3q(7j zpxfR>xh#*;bv|A|dg$>%=ZLgF9|5`y3j$UVm@p<_V73WdUiYnLf zF#zqnfL9_?4Xc5!{Ya{gXdsCPfFpL3W|7hMtr`*miVW%V6;1#&3PSf&g__KJ3!Lx9 z82Z2}40+V6k|^yrck&TZIuYm1Y?_^-HmBKlw8V9soJ);Q@cRG zuj|{kL7Imgd3J2`@JElRY2x7AM;BZVc)5H9$`kzhz2m}qgHSX3G1QlBB6bqGi0t98 zzerT{3N$sqhd#OL=kEdWgjh>*XLYm(lJ4p?arN zz5=h@d&_zn0CI^0(wEr%fR%>*dETPc4NCz59>oG%(bJo1e>Ln zw>E|deY_{&R}WB!H(Gzg&nLQc>36I)`)03{vm8DqH^OX5YjyyP{j(8SrybB@T9L&7 zPMY?X={0GXc^^~{2^10YFQ``*ucG^<65g+J2|MIn+Bp$|GsoX26g%+bAosu=67Jsg z^w(CeNalS{tqurb47EfDAN9czy_CO!!o;r$NXFppOjKt+iwWKcERQJ%8^uyJZiUKx zKoM^06K1OuM&)AtO)PK>1@O=y=khXLFs>;WzJB!S64jvhkl!{h|E>}H7C zbfGDVZ5)ZUgF4Z{VuaafjuV>8;S*!&qhlz8#$D zzcMq&`jN@DVvkMk0Fmyw?0D^Qggfd)<-NHHb39(a9@-cnxhLfYkT>Vp-G=xMRDEq% z64BOQKVQ`qbF_65AJgr}FT7TR`R$sFHhWP#Y5l;5(TUAf66h99PHMg*{s7C8z=@W8 zk+5vvN+&kOXF?aizj^TVsv$Afa3!y96hT!MF^jtMwy=QJzt)RL3USVV`Zb!!*NhE| zu4UX=WBZ5$7=p8yXurO11SLOLSFbi{o^Q~8x*oae=-^dsFykFXTRD>s{;y{R9_Tev zlqOW$M6KC=F`1d5UU_Yzs{&bEZE4DQkL|`Opo5IH>dBxPwS#e<(;+<$3?0Hi$;ciO zou99<)=D5vYX|pT$w#L$Jde)2Mmg^1UMK@)ehU`nrrP}df+lPq)yeRBSA3xADZZTN z8EhF~headk>Fig;G)M^^$Ygius2jYFlL`p21Q7nu#RGc}0z_~!PVx*E9xG^kKay5| zwE86Kq#+2M8QE>W-+fOe3df;JxL{tjPosZrD=iR~ZjcZKyhl4(rZ0a~!0=CBrrUE3 zhD2w&XM}62-?q2~+oZOCk^Vungj;SWCblD(qOdUwq~Bz7oS(gtEMbVhAcWNBzSWl3 zq{eNtAD(e+?nc7h2hnyUXZ41)-l}3@GDiV53jM}J;GK#LHj5#Gw&4i=4ufQR%47~X z_7p+I&xq8Es#jN4puQWZa|zJTV~}{@0pvsW&G~|XG)NV0*`Qz3C&r>clHObz4p8!h zz(zzFY*tSN5BQy^MslN~u~0l(p-_d90Z=?fu}}vUu~1%9c;AB8ktbuH&mp$>tjvHZx8{EkcBP8BB+`}GkHhui(&=Ris3 zrV`V6_N^k7vQp!Y6auqd_!sU&EXPII2T_L@X5_K6FciuytG!?RBIt;!P>*YO>_COe z9*+yC?6IDx>xQ1?gRL)m$l!N~I;w%Qk62|vyp@H~>*S&<67@9N6c$rD6Ikr#FEU{S1&vDo9Vc z9a?e8gr!jpTbbjF*I4_c#u|s*o)|D{Zx1$?^t-(f-vZbpD-jd#7Ge@-ps+$sx>V5_ zOqX#uC=4j6od?Cn^7+zCKDeYR}EO+S4K2uyZz~$BAzd9w5@5Tsc#pk4BfiO8!mw;L8Nv9Xz;A5{}wP1HCk z39Qyq?_7Jxn)&6q&a~`elQ_QuTA++lGr=>JdA`&!;*o21Jz<;T zt{LCWj6^u2_IXKZN9onHYEmQGg^d(fEjTB4>dh{934~DSWb1(Zwya;mkI^SY2+1{o z@Pj)=WVolPp9r%tv4NaqX^^O6lzT|t2h7((3&SC*+sTIBEg4T8zS_X8j9cw7Ham%# ziff%=C0>?cG7!kk1H~(1v)Ep*V4%^{2+<}(3oO_F{D_!s^H+%**sa=|#Mdv*U7DdS za4AhWtMd)a?o4~Ukiae~g0WDNVcWZc9r@mY2PjLRsw^3s%I6^GighK^^7YtA`Xt(&JyxmFvu1iu-qoSVTYu zy!Fp_kRCZ9h3Y&|#^l!LHF)6Ph&61<5Q2wY z#rqXc#rW~>Spv3v;ZmFd9$cO=SO%Mj$yLox#cu)gXf0m=0nJs!^`3x?iMIgjDIK32 zSdeu#NkDN@V2yti+Ys=x$FGpvnVA*0)nBB_oW{R}1`9dOSRcT7ldbW(o#~?Mqp(KU zMA;+vQLBPUSfCD4Ch=cUK&i+dwljh#6*vief~Z>9Qc*Aa(xnsoPv@YVFP6X`HdzV> z)R(I9pyzTqx*3p%Ossn!VvUW$U>s(s30RkHBFRmI7pL_$;sCTU zeY51~LF#hbYxY`?IEX`%BWCdhHVR@(S?qi_f>a0w2g_T?)Ytsto#;%+^EAFngTx6% zi;9b_1%dln4rUt`)^;@By#tb^GW|gmD2`JyR!3#fqOI0kv9o^bFE zh>RoimkdW0at^TDO6{oaMwR+Dw4+ivLMB-;u10V%p*KRb&inF9@*(I5H%k{}$BNBu zWh%I4=%z}E*%yEGa``#>~5163q)e~C%WJjpd! z^*JGz$TOXer+jy>3CGQrS$+&>zqG6x&Hd1x zxm_pANvl|%sl5D&zZzZQBIt;11%sO8D03Kcx?eV&nfC;c8q^Ri8Ft>@Om_dJSAsEV zP-VBA8ATGQd`LgMDcv^ACPo@B#z4Rg5+)BVL;b55pQhr`i)_SzjCAqF0oY((Z1&w@ zGM^i8RN>TgwD znuCm4K;q}n)K3fOQ2n%;%9SMwS~=3!j(bl~tGBZbz~tHjw0i5fE#U6q=j@NPS)o)B z_WaF32vpAof*3d8IC?>s;>@j!GNMj(dE(Ao*PX>9mkgl%hh^+}>QmjXM>2%|R zv$4w*|3-rTp8i;3 zEzE0`%_kwW$Py-myvPEhmK%F9^7E`5jK_$=q*HRt(EB~)jO4qP6nazH6SG8ghWQZ* zrEt8YkX^;}dqPxj%x@p1fM8f=8wrgPD9x`ib=>@@df-bs_Hm7v4-+glN16h1vP(JW z^gv@1nMbF;&YN;2gS}Hf&iBfU=bLFULxTkfP+%SCfcu8mQO5>{mP2pPf+c0&ABxDB zjGV`b5APqxz{X5@hBudBotU!dVcIobRe1p~mc4Ud5s@{;$$FahSdRU02;g#P;aQA9 zqGe|OB$Sgz$Q3xfRE6iA*h>%gXj@`;P;rYUwP&(T2nn7}>~e}oIPq8^#L#W5gXsw! ziuOjg7^x(?WPq*Ok|da3%M&%X#a}VU-V?j5r}-HIA&hUBs)!KX9C3y`Zlm=hPnpAx zr&jYlig!UFv@bNrPAst0aUeNB+0)_fnXM3|C*R`x%Z=ia_>df7dEb<;fdu#$0(LY zKhIlhvzupS`coE&o6Vnvxbqh(eQ>eigv3mmY{1?*n@>_GTde#J#Wc$yHRenZ9{6l_ z483wXx)dk=xy*N*26@TG;)+Vm`o7o<#i56%(^ZZ@$GplveEWmB3jn2#Taa+T4&hcy z>VC>|IRAg*to8n+=bN;h=e;6oAd_e8Q;ku20qh_LE{)=Ukz8UO;tT% zZvka+TadlGkF(iqhmC4=%YI5?`sNu!25pn6{wt4qo4tUJ=mi$oRtSC9_fN+`)K*N8 z?{5t!rz!CHV|Fnn`@Jsy2~#|LOZk&n_bsNsc=7!Rl2QA5N(WiFwKvy)DAOn7;^>?| zLGVbF8QEcfTE>uD8J+uLn(P1l^I_rsD(kNOuJ_ErF}4}g{U_iyATS#pyvLZ`uI_X4 zHw$w^#Gh4LD}ys*Obau`u+NAOHrG_^NrMb;=~hH$L!v!-2hfE{HMejq(ZecNm%2-p zv5Y$+SQ9R&sk(pkT^5}*R2bVD$)cZiKTvD_5qR;Q@g!2%WTq={j8xUOcrk2K1$Y%R z!D{T1aspYp15Qokiu&_Lqw_k^Jl4E(?WxDp;gu(=6!I`b!M1pz{`c6=f7ZPGclNU>p7_mvb`2%m z`zeAw^MGW75i2z`aT`o!vhHky8Io5~_(+qIsbVF(R(E=SJqiP-Yw2xSOK)$rOUjv; zs`K}}GT(oW&2^77Ad75F93MU^tvJV6Z2z`=?YWX;In8IA8XGun1MqCcb#Qqsc|Yze zOpWctUZ$3(7QQ*|_w*7|2RrA6^82E4`?CDi{Mz*Ce0H}G-8o0j!cyhY7PxFSU=ymy zD929>!*_STjK#-gX*Rqdw$KdnlUtFle#g(;t&Nv{h1#Z+h(;Us`NQFX3lg;?kY5x{HV02U&3ezZYT0xyE5zMtXVp77}_4MrpN|EqH@~B6DJ=ldVhn# z>IdMan!ADK#`%1|DqHDarhb2UIvtw(K-HM7$A?2>#nb4P(57jZBu~AvfVE-b{);NG z|K}a2o1@@9zROT1%jbnS>g1tS4(?Qsl-qI(8z`|u>B@{IS(Tluerig&H(IF;xR&KH<1#)w-p1D`Ufts}8E zy(V#5JArBZPhbEFB4npey2k@falR4kiSG*f7k8~#ZD)JhJPJ0w8Lu#Aqee}A)vQkqjg+Vi$N!SOw^pWg<5P5epeca2Kscm$jYkyM~I6$MScT=jQMxPA>jh0#N5ig>DpWnQ8rT9E&4bc{pv4PD>& z+phVg3`is4$i7vX@`qG9_|WZ&IsQ3FXvJElc>&_5z52V0R09CHY*htq2G6BUogHTL zP1M_3?i{Sg-K*&t-C>2_BrJRBC!X-!&T7V%$J*%Kwph6s2xyDPh79rsKMC84b`1q> zPCb)Y6x0Kr+4!^a3nr5fKD&lBvPph0xY1n6r}K_Hr~avLPCnXTrVJBoyQ$A#5MF}u z=lS7|o#-<}=9vB!_ip;qxCT-=q2X6+|N19`@d?m3)gSZSw8Iwo5M8cHQ5KW=bQ5i# z1W(p&q-6(BVeKJ_l_0MUl#+!(DNOy#reLL3j6{XG=h?F)0QtmHMY!r^~~Tim{+pMICU=F>GGUKjPWD-&L!6F zVEC=mxK@9f*ZCRjX-fVv2iey}1xPajE~35D>LM@VnuYyUXL9vHAl1)h5o zsHd8p4ZEw$!%6?Pqsj5taM#08pUOU(#uY-$y<+~~qgEPw)Q7E5$X{~U%=|46kxABz z)yy%cd?%ABAp)9lk)pSjPO!_58*2+T5&%t;Z`P2EOlVjQaz1&UI) z6iMsA(VfbtHNT|FnrvMtme>gf%FpZVhAyw`V#Qj-|B;xnScJN+8(rpTK}>_`(X=tL zibMMFwcWo4(H*RSv1{WXfVUqPR%y=8-#^|}O$~3o7*Oa7GO&p7@tBjXz!z1pMTZpL zEsaJ@yZ{>$_QJBtFB|e{&r;KD9(LO=Mk#4dk7-t66{2!)lfPDQ#Vz+9epsJ?{)+X{ zK|9NzP1Cin+I;LR<}cqmsOWOZ%;K36kk-HqU97BV8NaI0X?spg>t`}F(1>Yhy%C&K z%{Z2}b2ydz>Ke!SC72Z{XHAxd|2= zCL=!pb1YG%7}~Ad-}mQqxu+@`)31^ojt#9gk`24a`-dxLWe~yq#B?0Qnx8GHpyc(b zhbh}zjF#)ieOhvT0?3b3nbzFFD_ccRb;I*(%UVZPx$IBKA+PW!QzVf)5o-~eMeNur zvD|PEU$q^!mK~&Lc6PVutKO~oPJT2xi=Xf71aS)tahmIER3Ah%~TSrjzk_`~{M&2bG+ME0rqMg-;a$>$P!>$KnRlM?@VLdjn zr6+3ZS$&YP2d=l-qyc+P0|T;~5uKt&wBAxgKSRHGDGklG?cWA~Q>i-i&@7H03I^ZY zfARgDRc=)L>*GoUPaUwXV+Q$tciHZlU)EwP54l( zsU>i~4PVErb64q}11n${betz|vkHvK_g3oDh-Ub)Bizc@U#)q$xn9dXR-hJ|rP)6? zn_9x@3vFvXe_Kvx=4M6}zEMVHmENns_VGn?K^<>eCS+f45DR4U{4s>E$BW3J_rX>B z;qo8{gf`wYbT76O>iQ4{IjSLWraro>O=$m4i&P45uly_W$8_j zVdunFvV52BDapDR9_A0-3KKcQ!rrMVQ_#^-uJ_=vAN%kN1BD@s&ii#0b}3OQaCnZlC$!8%6D7693(y52-Bj~+}~Yvm;|Q-K4Dn4+Ynhu9@t zN%Q5RJ|&{Y?PghB4*$S^J5Lmbn}OdO1JgQicR0jeyJfiaj9PbPbo_Gqm$99|E|azw zrbBt{-krZ)xGiUhi*BKN6@g%{`s~4!#1#7(rijmb7R!E2<5qzw*tsY9gEko1 zK4+hAS|cay{e1Ur>d_Ziilgf-L-N*9MsUwtP3|&s|M&*Yw}+8$y%t2AbG^Jqkk>#h z;M5-G#vb7fZiPoTeXox$RA!Mfm{+7{3(a-j`Sje3Ye_EYz%kdckuT7_hyEz&1{`*g z@+4>1%}Z=(*G{nnoIOjHN{?pV2qoWI0a62inlP;j5OZ7NHh1_fV;g#9WC}jib&c&vp${Q~`a! zU!H*hEzMSC)ksrc!Ia^vc0iBslzOTb)5B^*sjF0McVhh4mbjsUnhwsCG^C!fiLodL zZTN7$KVCo^i&+%L7)6q}J|F(NX_=89-xW|t07MNqqiOL0gr6k+Z~DAK@-NJYRf&&Od5}b26eYu&7txEskhWjJuN@RQSrVLSM@yryh#*vCguiGf%09IA<3k?-e7^ujqRp8vx8(bWQ^4W0BfEk-sz`#Z{lI#S z*~;E`<=j~Yv{8>*D@>r0S~GbLP(|AMPlDwq+WS$cA<-6ELL#IG!?^zDwJWrRFRl0h zjl4#<(A@MM2CH~KE?h7N{*9U7sEi3m3iru8zl%Z&FSoWgw`>a((2^(JXebcf^Tp?$ zL4&UVdv;3uJ^v1O#lc-! zd(1R1+3wmtUVE#M6+E|7sN3&m0gX}PE}h9A5gMCvY=Wen0aCu-pA|ta=Jmevf41e%Z{4Pq(do2h=mS>oT zi7jD3w6Do25t%FR_p$!v03i=R*wQWRTpzu;N1NLaccxX%b9-xZmYsV3o9M;xM@$6^ zT2FohT!q~hhuXIK>~9;M%b#YKCmA>pjIoyok|l-I*l3mO@bzO!Uj3u+CVa)%D$+J1 z7!E?ZtKqHUOvA*Vu9yr>B3)WFM0MXK983BvZNNMh877-Dl;8t!)m)aXx$gM{DJ

    `J8mn6^^Zc>YP)<<>A$xI2<2=%o2J7cz{Ss0=XBnWq4z(omCN(6M>r6id|Y6c zOh?j-$}1Xq1f8!&m@)@2B&Ji2!p#}gTD@zjMCBA2fKpjO3ukC2Qi#zhB^64jZr)mTcpT! z#TzA+Ni|5#7Oe#@!&&c#;APfb3)8lo@6E|P8&O{p{Xf)wV~{0JyzJPvZR3n>8$0&Q zj&0jEc5G|M=8kRK_730tukU*=?)Uqlqfd0i>FVl;s_M%8WhNPgBBKciyjgWi+bR*P z`Y73VrVxsGrI5H5JoKF~gOCYAV*FU2=eIUkt=-HQ<*!Jcb}YDBA>0O#1eg{_17;=@ zWbMRMIShK;vOPJJC-&wuvm1n&fUuY|Xc0P@G=mE9!b0LQ)F$vI4}SG}ClwPDmaU~eG z=C){QL;NQjI>X7+zl=CJ<)qtr?X6sslTG-gfzqk;b7hm-qmj_5?MHr}I|+W@C`M`4F0>&*gUPP%*YEGuH8@)Oi-01WukgC@6TG|5lq>wlV zaes$t=W(n{JGSy-Z%d`D_-3BW`rhwsyoq|5xLHrz!`QS2c2tx6`7$6FvAND z%b}H`5<4y>R%iUC5+`XkK+ehcUWEKxEL^4#_$yD~jeewe7m6uSBW{d&s&In!e%}v!dH!R%GN3q_aythdoKw3;+=-SaYCjhee9d* zKVhVgVVIqJblw)aT_7VC2W*mh`Vh>L6(tfFGBW8sfN5`E9=$`yzW3zKj%VRWx6)+4 ziqy-*sMKQL4T5!4fgl`p#_wgPrK}ABUCMb|@z4%7ja_uweiW)Uy8pmsu!l3FLKo;d4{$Y-x1qo_+G7JvL}a5$NL-8r?VZFFi01#!44vT4{yL8vGo<8Nq=&- zSdEvmwUv?LeeyMGbW~7({;IzmS%X|WJ^P8JBw#Yg{1?M#>@efVf#H4`JUjU%D`m>8 zB}?5}$^WWSc(y(z`LHLSl>-w@gUf$;D=a7l-}65BvY(Zgk*uLe9FT_n5_DTiAV4Ya zFbO13nW-np=vK+mxbxE}slJi9XB3KOLVGj#EjtyPNAa0u>ZN)7XgoSC#FS#J0hy3? z*mM|npCzft;*%0Ez=HbEQM~HtueQ&Ex`Dq`Uo;s2wLmTugOBIH!d0DyEq@kh7~H2X zj-z8#bZ#mhsPhP#JiS*$C?5BV5?b$c{=PThCQHvywz;2IiZjA(^gGLW<)ftDYQo@? zEya{~nu`7x1M=k)K_lZRGO1vs%YaUgNLwAp#*Gr)Yq!jNAH_fqMbYyO82%i5C#uz$ z9g)7v2{V89mI@oRYc=jQdw&Egb#oInV3b*IcTA8R`|_%Teh^!RRCp=;7q(f3FCY03>yNM z{JW_Thuisd$XpSl-);_$@4c79L{8EL#{Pyu)7y-yLU7buEo{F1Lg&C1n>I9;3WS!; zn$l-@nUC~|8R&tK(%Vf#s4GLbN;-Dk)+C!)Mp)*_mxc_CGR^P$ZV_B ztXutLC%qvneAJt(DbGqr30^Z^Gc_vX`InK3nMBhH5#_vPS3xF-?bZewQ>)RIO_i@Y zi10-3cpSRIOR_oXiz-dv4dtgt)|LoIJ>PP0$2lKuHcG2zwC^2eLhPpMp&TH;JfVYI|*?)kyr>iaZ z442s>kN-Y)-zaN-Ux8YS7fuEz_J3Hwx-$xu5%%l6>F#-y7kxb#8%?|%dPU>l`cxrm z_$Jz;UZ*O8K{)oW+%&SO$XX=#**RFbZIu=--}kedRh+c`hzK>oRQTwIg+?0g5~yV; z&qm@Hu9#98h$g2zOe`OHAhd@Im+#)PnA?g;kBadxySDy(-dr>HKb%}d=U|z#>0~+p zS4HCn&&dfyV6OZe12Vz}N&8xxiLk`P+2}~jb;nrCDL@wu2iOtfJqI)GGRUu7hR(6; zK*&UuuFrtoiIhW5Jb%6TjM~w6!fsPoet8mLCHp@emVd#De$YsElLF*uji+C}F*f&y zV~C+Uk<(k_P_3BSloV}5Q@~#`)nB{P;7_wKC6$N5*o<$+3#ZiispC4M8|hk3uK9p`rTSid=O)}c(2HS$7GyHUX~|3tjw%_G z7!$V0(H)l{IK0uM4Ih--;GJ|AAWErnBO1(CAbMDC0c3Lftohik0eRmC)ufqK6^>iL z&Od7e{FdlHvu+ge7&Jc}NO1^1nleTxmk^PCPyOy|MS6LeyxjB*N|+ZCQTR3gj7fbJsnG%Ue*`{pYlkZ)m7}4 z@SHLl4E}3LiDiSdoM^-QH@E>b+3$o$->31A38eLAKm8A~@whMdr&N8E{4ucU(V~f4 z7aG(6m6x`ZcU!`czc1s9qv(mGjpm}GF_@v0`p!XoRefokh!r1cf-YIu!;utC$P5v3 zh`?O{6#+Fm>32a2{ONH1q^UGwBS&y4Qiu&24JS3gTeEdcGDzSzU`txYCShnsDB^I( zlc_gvK=7>u;&jtj^4YScgXC9{2*_%8*i`zKkMck@y&Riw+EYOF^E{{rH-N}{J(X`S zgA8Z`mMb`^0(nm;rH`{3N030(BDwKXm?_Ar9B%^K!agE(*vi5J!YPaFgG-SCA;ggn zALelXSiDV$iqNdrx%UTbvX`N#N3vO2Uj_1^5L+Iv)K5v|3gAPb#X?>f*4EkYdv#f` z#W{XYLp4r-cU>VADIy0x=3nMrc zSq|zbnledLLQH_G;W$ni(K*>ld?<~S>$%(<@e3-7cR#i z{{ExVqi>RC*P9Tcw;h7SZL}#TmqUO9bLXS$PX%`VJ7xSId;ZWnwWKWV+*FiUdQgQe z{@aRv{>Bo>s=uH{!WmM`xpp-iY{vc6M%&Ns&TD8#l_qbX4PA!T>x?YEf9bIkXP;_E zX2k9sBU`#+^gF|rLo|}+YaL9|LT+GFb0%7&atSUnNc-;*skBJQ?dtS!@oZvCndt1m zrC9djysNN_xJGv7<~8BaNn^cN7{k)o^z=!ZDks5}tuZ$TyYAFjnJdjmC>9GC4!t5U zL(~&-+zx&S(>tRuMtu{?p!dq2-Em-DGvWnI4lMat?UMG+8j#2D3npb9^WyNIN3sbm6Z<3KsgbqS9%9>epWT4^3Mj(0^uuK=Zr5X*)i0De7 ziwhTK;>Hz*Ap2w}I=vDqy3~XIfB4pa$i{zYNe&hO^MCTK|85!hkICNu3cmGU<#^wE zx&P4G{a1U#|IsIq^*?la|H-#@{g81&mx^hBE z=U;L5mosMNxH9v7JCMoHB&SxucPixYT}V?tyOpBu;`O_GkGU9J!ClSv^JH-rp=`fi z&Svha-z@vH<@&u3ot;5klWphexyZ@+kZ}8)ro0-rK0xdK6~_1(k0u)K3n3c$XYr?$i*YEfPzfZ1|*;&?XSc=;De@O z(`>L{Hh@@0`Imu(nophIQx?40No4xD1}V(iWpJ;3y*)xrnOe8F}jAW|q`0zyD<4$`>4FHtLxq- zYdzYiU8!qVls8gKZ%ezcprN_mG9fpUuK(%_CaUQ27CiWhcG+O&4tBVzo#3i)>5>R^ z6AkL~-B6?O6~=J2MnK%@^U2083`_ixCK!d96^9hTR?*TOA7cC+Kp-#htCiLfe~`q? zgdbUuc}0&Ukf0IOU;34nMzxJ#B9gmKvi)

    W3nqh2BDt<^o$;3S&bW>ajUgV0QI0 zhqtRK_xhR=LUcEiIZK*Qk`x>cPR$tnvOGh%x@O#;I~C)-0qiTS+5iAb`;uQiMoLcL zsLxsKQ2_@>J0zK7+6iruKu$T#V5hayFk<`~rDBs+)Kb+J4Jy zVX=QUmZ9ruk}rnJ!_KoKx3!Kh-Sstnew@VkV!$B_htS!w8QBmR^X|cOI1_0ZG)0u% z!|2|y9Yce;Db1~f<6WpWZotB{OF&wZMe!z7;P(D8@Pi}{KFGH2YSYt9M9wz3JcHq& z=0%oby4x_(+X9J2`lH))P+*cCnr06iFA;>lYrj#;wr2>qZI3=4)cdu1)?Z82%KiCd z3%+ACYrbp9XPS~;-*t0`+qb--fbr$-r#8z?*oth?ZDxJEH%j<8N5the9`j?w!al{C zEkY&exaZbh?;*z0Wev`5KDW}o$KH1G-rAp=(H6q>H#^(ZM&s+PA>hooRF*Ryp7Edg zYLmAb)wh}hpVk)=P1&tc9)C&ilu7DS!PQU;Ky(WX-zCp@0gZ$oo8^Et1S$vzCWy{j z+z!{m3T+7ST?sd2iGyKh*gyG?0zv!)n10W7f&u7-UJ|XaJeWf$;{Z@^CF?3csmDXDq5CANxL8h0zHQpo*I|t((T+^KAIY5)@p}bOUHHV5*tqoYlG6vb`GJD{Jm5dAp zgE&%aI-&LmBRP!DL;>tiqQA)|Pyvl|j;gX)qoOFFA{I=U!bY*7f_%)cwSdQseY?LY zH#WS0j$pR3J+0<>MAk3P*wjrp;ZKkc(JWtAh6k1PQ%E@CQ%s>yU-TBH50a6YxK!DP zC?W{~WwettG3wWZL}D=EzqZpih=Pg!0H|h`Z*NivP{?DZoz`=DdwV&?#GuxX(728-b@b(@yqMwU7N17#IfaoNT{e*6c*fC$4OlD@K4k`GMgzQCDxn>GDCOUU2c5@e z2u{`}*>{Lahk6ivJTy!%z5b($2=Gfx28P)g6ol-VE`?E7-BZVU?oxd1Xy;nGW1i|w z1naF2;4=H2?s3c7xp)P~f2r*08I1s^g0UpJgXVLyjtS1l#*z{+6mzCRfzCxbH{Np| z?qbypL8_I;f=tOmg=~NmsnTPl4y8v7j4|lu--zLcrfuVZ_scwR)1j@x#fAWmeoNFf zdW?Wo&dQM5^saFm-Yj10+GdhE9zufbEp^CT;Z#H%67>+K@{J&Sa?yBd@OUyS;y_vE znZ$A&l93cpYM94(vGPD7auF!+?fEhiAe4>$WI+nrav>Wp+v2> zjPuG=yKz5q8q6O;gOY~ueogG{sIfK{`sKwSO)2CK{bb%B4mdo^A#leJM^~}~hgiaJk9WJMN4r4bpp*_#?Wu-E>R&mlz|( z?)=YRE9-a313vB-og!lbY=bzw?R_;DPZBY8$dy}7^3@da!@)jbP2Otncy9H!(YQ@Hk?ZCu!1S7bVbvPuat@jtzgU)#_O7%w#vyb*+)acD2 zrMUf%YRrC6+ArKA|H z+-yT3$Pk~c;^w;oWHUB;uroIFjr57*F&52Tto#Ay<0&*3a7AUwhOBZs=BX5;9#?E` zVHXN<&qV?VqLgXgJxqyXG! zRX)~;=j-H$%+h_Z6r0ERCew6mRTZ5rdZIR%~H5w2x zKj!kLE7^V9W+>Pv56M1u5in2ecmQQSc5s#!h;*nPT2h$%>FHv2cpV#x*B=ih&86m? zh~lWA)@+?aVP(Hu2h*K{LWWVFOG`j)FXJI^q2 zFRIs~!DV`ERHS<=di$Bfc}o2%#<5i0kZGUTIOylDYcvfq54KeyK zyYvXLl(^NkM8LbGAgl)&OooF^^TnYkHyZEaS|~TXCUW7i{2^MD_&paV_E_I21%jRn zL7EDt?d6K4ah7Mw`VL(7-N7dISk3P?U}RN?vVenJBF%GLN=h1Gt)t(%Ts(txY8ST0 zC!^h~o7Xtme7PM41i`Ox#U}x+%$1hW;k7%8IUfo;@n|>BUF$}FHxZo)+0V3>00H zwqPDMs92xcsf|h?`fY#a&Cvg}Gr{ow!)viX zdg6IK4>*+(QeLOXAW(udY-oz`4GC1=xH$|#b+do)7S?JJ1_>;cXzGG?fQB867fgR^ ztRfr_{u+o;lSMIfW!QcVvthYoJ$6ZCU(E;fF6r-2v}c^Xc_f+(x)%2YOMbbc-RoHm zDiz|U$P}xFaJ2e%1(}Wjh0R*~v1k>#qvMo4)L_>`67v00M2*YZldI>!P07EtOlBR` z#6H}_2lBMAZqF~h$1pv9WtmkP&oUQF1|<)|-C|*uUHa?G{5rf$dr>@BiduHCphb*J z6F@X$*V?|lrOzpvnEJ+mWS4gg4JdL&S+wo4q8n@4W<)~U-YEq*=1g@D4a3;N=UQ9dmQNcYU>hrr!wLVW<`OfEflN1NsFd@O zCR)($$> zL!`G6{pkbA%qeK!=uDybv_=75AHdwkj3PK;` z4+14VA7bCYs@L56KPVbn5@Fa$>Ow*v87`a{hk~8STJ80A(u{}Oxdo+;a35t_q_6>M z8afsr649hg)X-qDL=pzCbLR0*Vt4q7;zEouB|`3Z2Mj@VJUd_0CQlO4Exm-dJ-RSQ z?goOuYPUJzg#7S6RVXwBTuO`F2xU;}VXqMtAO&Y~+-Jz=Ly%Qsilh$;6Q_)=)Na}S zkHL>gz9W9Mgm;Lyg+5`g954BrFEfuv=t~cQW6(ING%FJy_68m5Uh7Tq-QavBy5qzG zvt_yx(N@bvWWUd$94|Aw0XTp`wrGoG0F0-_?+M(2optN8I%9|&qG2-xs|S6pUcYfo&;*nR9Am|+L{S>$MRn%G|fNq)k?@X=8n5>Ae|*j7cE zp0o&+EVr2b@?6~NXA)|uq=gHzree{Rbjm0+w1GONvuO}P2fU91gK~FpnGY=HHFR8U z?uGsRxEOlmi<|_!-AmVh1x@9~Tix+;*tp{O<`GB-52BN0sH3owm|y$N$4x|j=yPI-`9IyZSpVIF>wgXC_YcJP-$fSx)iCUT1pNU2YUcHS8*JI(N&F+SSm*;) z#B!^@Q_|FgI5NmWsS1crkn)6rbGAs3Yl2WtIx_Cs8hC%2bTd;EC99u3xr{LyJBvVMPbah7M*9?I52nAvUTwFu{EuIA*rQPtj@)Rj89D(2+=TEO*o z9PpRrNvDJH=T#KnAol*%{LCLa*oU*wu078!uMp`6h0~9`tn-o2e(++W2>=3 zk&=|NMNmcIGzrmTVM2gu+~L^aF8#M>g|chnY4?Pc0~+iLdSODQvhMFL{Mr22#O|j{ z8mV8|QeuC9`SPETvwFaM`Eqmey-Y3Pji0hx`Xqg=?5R{!R|Qc2NGXAf9q3|yyrOt6 zjR(OpV_4d)<7)w?s>px^9$CrE9K~{Di|#PR!eon%GR10Pi`E7aSp~)3H)g9KgpS{0 z5(0Kq0dLD!o^L89e^!h4noE@O%pJ-;rx|%aS1@%%nc~L{s)>T}fz{{?n`$PY+$-3=Hze z5L$RrWHGjwoJpJUyyg5+7t*NiN6fA!!XGD1y3-2d66x)>9RaGUC6-!-G zWXOYlpyGo-)aX12Pos&{kXFTf>~IZ&`q8a%4m9l)eQ7Xc!4YXLKhh!9;Q{oL1=kB} z?i{Ywi6$=;Io>%2R2IpwZTd2<4wej`_>&D=5rV0k&6pUi!;{@zL#I8dmW( zSY<9l7a40svUbFC2+SWacPyB0|TqjOVT26argY?J#M+KnvFo=+os*RQTe>70GK|tCyPf zxcoU{2*jqoU?#pFX5N+(Ck`5DtTBky7OZA^wGO>wLLLW=k6z&)s*c(n7yqh8+Ys$F_V z`c-$&kwOp3U^0S{Lg4$gRgLF)hqJzV1)M^YQzoEfaf@MEV}c1+Uo4{Y?kl2`>_i1q z-?rJ5sfylxpKD=_NdbQ_VS9*0i2HJIb&UEWIf+L)m#J;YNzV3`JW2b4r|q&eW_ z3c`bAGd%dR62e1G^sK{HORS^s^N|!f?s0Mj14=BSbN?bBHk_p)hi1F}snG-A;;1DN z3`mAyh*=brwCQ(HnxH0z_aH4IW@`nA3rNS@7B4_z{fJ>6EPj!^_R11kU$E;ne}{-2 zhNz302-};{L~it8i4f=~?$B%y`W1-%+|1IK%XtLa#LV2v`_w-ii5pvmV#=Lk#7#V= z38HcR!k_!u(?#*#=3W4^ye0p61wOMhqNQdj!y%DVlTFgB*s%~}mfJ0^dTO7sXucyx zVZdBU*R#rjN+U%n_i}|~a9r%O({CsDC1?b+Org@75x8$<$bZ-so_SYh^y^MfQqDt% zP1ZxFX~(4ur<6AzN0M+BR)t`hmI6c-UL|K{Vjg4`PKCd`K!rdp=3mbI6$L6eii=|X z5!7)VTC!BXqpM0sP9jlKkJdV0I>TwY$Cmt!hT9*6D;Q|kA!2c)F(hKhwO5rD7c?fp zDyq#aoBD2rQ}*5K$7pGhBXMy2f#Bg4SBvatW^kd=FJs0aLJNkQrOM3L4LUT@>VLQO z#gZucNEn{yF`Q+cu<=&ZFVlQG8=NgC8=1srHwi}Gyk^N})V{~xq8<KUao*sORH zv)mI@Zbey{P_Oi@Gdx8q&lN63Nk~?$;Wg$}B{KHVml|UgO5h2-B+&dijTYPpIXTb% z8un@#E@4^Dz9Q<4r0HUTW4#sTH)FZGJ$9Fjo-fnrV$QtVUl*mhU6@WL(M$9Hva+;j zy#>Ze`K2VN;HE3bitV6f#j047BIo7wJhVFiCx#_J=8;vA?#4XbgVLA*{Nxr*=Y#$L})kXF4D0t-BWhf!!+ z;SJPcrl)cB%yncU%Zr*|IgQL%$}cs$>I_yY+v&1d?ws==9oa__ZhwyTaB)++Z)=or zMh^`c<+=Xl61C^CK{AX_C|!K%VchxT2ltDbNjq9;xP1#Dmh2!9*;3rd4>BK1W_AX> zpe+X($8zXFlmnw6BgcUMBs~WYc2;K^s_|NdullqOitngS@(M=)y4az+6j0n-n*@qJ zo=#Y$TmIdLU2GcKg=s=y z;Y^D_2?q5FEdDZ?5*dUlqass58Wre#6k7DD7>m#&2)MClf|(+pLZ2Q6H75)zxM5~& zV8S^s>n`=pU*|Fv1Kq|5F$32?*((TV=!}meWKgPYA@O(a&F_d@ zgR5u2Lz+{I5R;Oo{8l-v{S!O>5L&&U7k0Z~p=Cl>7m{wCLs#!sxLzN-B4YzbNty@L zEbK+hscES21Fx4Cc9URXW^7l&IZ3(U_tVyI4g&9!mrHv?H5{9oKiMlX_9Gb^bs@>o z0b>_aSxWK*;>^buQc#EDM1hFFiwWh4Q%B-RLx{kWi~RHR40de1EU@1p32ngTFf{#a zET$K?>|naY>KaPN)w2QBb#m-*@E+%bV}#T7PtKm9ufI%c!VNLMq&YFmZ9N`7Ssl2p)Z48j$iSA? zNWmStXgw8VmSeufnV>a5+#6awA!e?Emsa7M{vs=%;OukzILdI>9U`qQ-NB`LM0^Sv zY?_-ip;IJm7AXem9^24>fc9(Vc_kzFqP+MgEl|Elsw3G5FGLFmGs3EBdxI>RM7P_C zS^y!D+YfF73GkWKiIA3EICebi1%;Vq_)8sOluPgT;^N%HXE4Hvbp4E>!3k5Z1M3e0 ztxsrwJDSG?dMJX%9L<-Mj8i_y>B{*c4yxLgNn;`(k(YPJJ9EXSA>s)+GtfFKxkqpm z1(}yuCdv%gb$vA2O&4@GmA7nL8Z)bQRd%}elMM+JQ1ESvC^12aEUS58gyu%tlMWkPeL1E_k%WsK~eNEic+cSb~W=Gb&ph zc_+*d^V~0jE|HPV6p44LC(GCjzS|K3KvWQOqhW~QN15A!Vu(4~n=@cy_{FE2QBK%r z&1Bt=eL+;oNx!zYNo(EZs-VnPOc1>3n@RDpD093|YjxMZ(V5%7NpUTjw!p$+QR$WU zZJ$u0gOX*vg=V)i4Edv=^Y=uK+hRuo8g^b}09*lyI7GT0){%U0tP>5*6H8;5V=?V;U3HP;ydb--y!X)nDw)>xIV(AlhiJ-jexg$rXn@a0 zRqdIeReralRzNaLldflgPARMz5*gVR9XGZ}J4F)=a95D(iV6mB3QKnN1tS@v*O=1g zio$YB;d z6aRMBJmCRQli)|5{r$dNB{EvJG9Jq8Bf*W9CVmZVVUL_9E@5xMgoSB@UlGc9IW17) zd)t}b^fR*#bNNQDA?V&&NNMu!>d=U+4 zemIO04B#+@DKU^cpdcEEoQfCZ2?e#AnTqLnNvEQqL@{ZFODS3}G2{ffOcO@Q$2ps7 zm}AVy+hIW%M$z~^o6d{~S_A@Wg)XFySOmFQG={5R36@$Ap39jecZdpFbC9?ZiD(L{ z>snicej~my`QG-gUH&G%;{S_e{tJxvPx&Gn6U%?v#Q^?s&;RG@{~9FoUsDGDOZg(; zzdGLh&q(Hfls^7v`QqUZsW@DT)b8clojwR@8|D<`w&^^?29x~7cwiB_xLJP&I+n^Z zb%%P5!G?mE2 zxGL{xsDEjZntJTh zVuob|>E(Fv)q_bHAeX4Nhy|9VYlP~EUntDlS%*b4!8C%TmZJJQ7VW-h>DpA#FrGNd z5D7zsJN(MQya_r$t&$ts zc11|J1hvz=OAhN^ZE+NeK%@(}1dLCtI!D`kA90~J5$o|3Xdx{)Vskmjv!Y4Uf{Cms z7OA(QVyP|jJAwEaIarD)5OVlmf8?#9jQ8fRMa^SN7J{$^Y(H(Jc;pG8^nYdO$P=fc zN!MhLPN&C6+p0dWj~m0S+N`4tgKWmv7~_U_>`&2*ow60egWT@oaO~Srp))eglEl|e z1$%FR_=U;8jKVQdpNYC?tUdNUB9^H&+@`sKS=CVN-<-!0^OuGT@ZwrYy6&^&PG;G< zK7AawB+LVF@nrdySt7<%N?uQ`>QZbf6e$%lkm`Uef10l4TBM7t5Ky(n=_%z5?72{k zip41CjOJW$t=yAi;R>gngK+Sr9Y>?kWQ!^U6k#%fJ&x0slnQ1;rRi!}h)OkQl#L;z zgs#a_xJidKO) zq@EMeBP(j~qm$I~D#Mpn6iBWn5HnFt;NhVA2gb}uFE$e(~QS+4{&cf z(oO{(U%Z-QD{txI5o?BB&zD|#VWTl41}-e&PkZH{pE$==iNNdtGv4M;>#Byb*6CPe zyqAsrE94m&*mC)K*X8UdGzZk`k7O%D*&(rmjtQyCxQ>paM@=~*6wSsFe|9M0Z&xOM ze2IE4;5NZ|s{`?nfv)T7M8c&%>9Drq zH(v_qhf^IkkG3NwaGQ8F0G<@2fL(2}o-NaPjKSsZwLW^2OOCuPHhcHD6NyyCbdX5! z<`uNRYj{ON63Jx}75?y*wMD=baAl8$&8gnJ8r#QIcDT;2G2+ti}x;GM&)y}I`5movc4a%Z!50D}uW$54zxr;qU`9?e=I!v?_~I#awr|?+n;YqwJaI z`z;}uTSl1;`};fTfK}S?y?=3ilmH1=LW-nNTtsYE6!(wf7r$jU>`;*TEqT?wd#Kg% zO>RN9mPdz2>2Qw6$i@O%>oD10_-Q8=gR(A19O=eHje;G*H&{EJ8b}cH>~XiO{0b zFzS}+hwI`t6J|Q+5J{#aP91$yXBHwwLajxLGGI{8e@7f-kQ`AAV2Dn#mo6W#)q7{JfuL}&I`)2B zJGF&oNZbqNO?@$#Dl^afs1Efwxe>5e{8-rXbNm{73*fk*6r45+KC?6Gfj8ipEcsEP zfc2VV=&E{spGbQ`g@umvndbZ}^i2Z43bL3j+qw?U_b`9YtfOXA*Q`T4{>}wBNn#Vv zU+G7Swud45gqmN)62ZuGB-o=Tf+6yGylQqI7 zmH@j$45a3c2mSBFSVjZQ^R_8vP@?(gs&08z?+5^|D;q@wSKXzny_Q2>5}Y6&A}+UZ z^EMcsL@s08EK+GIFzX$ZdOleyE#i7yYlbK48R98A$>G05u&XW^eSrgSj2zkij!!O2 z;a6C+mLbcB)?VaewmPTRkdL~QXUEdc&wT@ndzM9n1aMsxSf^}n{XU8hF0=R$;=W0= zKW)Hc#Rq_3#35vY?i;!i3n;;1s6CHu&|{Sc@~Ht~v%B3?u~pK+znu?iQeYJ-cY*NR zaKl5M3pk}++%#!umPbNL(Xa$X4u=hQyQo6fq*ASQP%(4l4S?Wda6>|#8@k4Vv*_8J zP$<`H83Z$+OV8r!dQ`W#fY-m$iMxy`A5hJ zBUGW_tLa$<054DE)#dEF*BfzpzQ7rh=bV#^JLaLM+t1H8&;z{JsHOZ?tIs8$dhU$c zFANo;s1H^pRAWkgl0Z0Toh|k+AAN;>t?h4Fe;Pt|;Yo(=Y*J(^yJKTguEsIC`=m2c zVe)Zk*#gvw#VlnVBfL;`U+E`&2evsWM(NHWTTBb?8$#@ijVN9nflwtt6cf;W{`#jN zd>9lDG=w|?f8VaI!TfdCPV=`MCb0ti|-nZfNM_ZUK z5lS0qKhuWO(^8f)+!|!$ZzGt4rFS4Ql}Wwv8LS@s%j;YMhNw(q10Jy}N|H|VWtuWv z1xcSJd1z^_K>8f~r)Ti$82#CtnLw)HYg5HCTe#)3NM-R?{`_KYnn$PptKtd!Ey&c3wl^yzVVIq_JRxNb{P`72=zWvt8E8_}%4+uC-@{GutBtFZJ?Q3A6|w?HucI^QrfSc%FdNA+ zzBaF=9+~04mCT+&AS-C7VdYYu6w2S6fY-nt8+TKcxLE=%?Tu^9k{o%@$2HpgK0J5S zN5QYgSb%+}dixW<+IIY=%5$Ci9W~3!k@6CiR0CVc4*j~xV8q>!O^LDL3P~IN2z)Rs zW*N_Xhn%6ki`j~sCLraa`tJ2@YsLs7lVNNDx?ZYs+xi2?EBL0{IHs8~AdoJ}3w zBW6xbHD`KndzXS``dNKtA*O#T-PST?_gCl_%dRp?5r73~72rCPCotG0@jlV5<=1uexkA6g^Ss1u&u##utEa>l_rwORwsymxR z9!;~`F(thTyi7(+>qIXjH~u@DISxTmBaTBi9vG8-MU0XN$hpU?MPCKI1R?=0=~u#A zPpXTYzyiht*BJ_u}$7pYmV%Nj%pP*;s9u40q;7E7H`1lL8}>A)Zn5JvtFbKe{!+t+N_Hg4PQ z+qP}nwr$(C?c06Zwr$(C?e6K{_vV{7FXGMoIWZ9xbt>q@i-!a02BOP6k#)%WEZdU1&i!?jBjfXcq{ zF)qU!K0tK#nnVEDrMIWDm`f_a!ru%>o@Y%V1xlqdol@SQU}v4;(74ExNeJQ%o-(a zLx{M^I~;;2X*#*a6Fh!lwnblz)wK908~Z}SRPI8}w`25A&H&$CE;Klm42bGVmpI&h z4rKp|#y|%wcrd5ex@4lvBk=4mPMbb^d=3aq(t_q;avr+hSY23b3uFG}+%hM6#_0>K z?XdLth8YwL)R``irGqNLGJ+n{Qg~eQo*}BuT7yzU^X{hMjRCdUC4662JY=Xg6G;9Z zLM+h`W>xo9Uu7M!#a(VhCe8}v?N?Ps1x6FX>0)Fs>9DBVem1aKEZ7Pmsj65~j|ih2 zb_D>izo1sltM;G%a!>Zmzn)mov-n#_xhN-@WIxLik`s^B)5G5@3x{7&GAZYKyWt_* zsMk0hU!e*0riGPY4O~+u)Wd5s)>Onvww)Kq&4?RSGr-mwAMV*Tb}Lc~ z#?YoaEqBR%9$Ka}ZmWyHIiZ>`hQBCNT5d2lG0J0oddkV@(47j}a0Sfzl#U=v9Ks=- zVyO@t$9%eajw7HP^pU8~X?pztdiSiA52g-h>Pvs)dP)ynM}#)v|ch>GCv z0R_M_+EQ@)Qy3Zf1-JfA8mJ_s@l$zRDHevonQcJbQB=8^(&|lRgsR zyjXifN&=M-Zl}E9&IaS2p5!cr{KZd~N)o^Z6_U!Apv;X_wic=@xr^t_Vz;KE#y(m=iiV%*hRPF$j`g?+~C7{tSZNeq@}332|^wKJ|e+8&eIfZVB3NSt2o@ zKtA1$p~Mm>GEPsf-T6rl?2SlgATr?@Dx+a_UX=pB|GF6ds=#zgA4SY{b|3#?ks%! z9J(OoLF778aF`_^zah(rp04cEyd2d>XrAQ&m)~4|p?0Yj92ZE+%oiy^=;USY$hodL>IGt1|g$9@|~ zg_bPqizoTIaWmF!3)&P_b?EkQ@}mQ&ujB(%=hD&I0tf3g!_2U|+*z(M(2@dnSn1z3eQ`elrM!?#-4l7FSz|s)(?MQ3EB~;f?=EHKxD}8jCHBi#| z_pk?Q^6y?pL84CPWZ_(Z4neYtDFqWL;1|@n#iEb^uA|&pHT>nRamq@H zjT)D?@4XMBEY4fSPQ?h_!roUovBA4epL8Anqq-f_{ufHqvALjI)T$iOG91>~I?}C` zNN>pTQwf4=w}ocsrJybEdKjk5yIJ{R{6zM; z2!@z<9uTU~KXn20pn-b?0kK+(Y931bY?7JrE|Gzt2q~pLZxMzYR|W&!ntEl;O7}DR z@VLKC|3r|%pyAFL^DRc;-I7IJOIVNnx6AM9=5cY|(F&dTAlg&<{$h#+BF? zxY=xv`A6ST!HZQIb$>u5@VCrBoZv>3>bxl8DF$E!TiGOTC<5AZhUVp#v+x;beT<8~ zxDHdeSh!FQ@Zl_GCU${*@h?YZ+VHoE+9r6r!9}qQro{T#3VG89=_FCJr`j?>ZCOA3 zHh#ZrZjCrvLCvI;0RTL&oE+y|eSRS+8jLzGJ6C@6U?^%r(Rj(N)CVT-pifa)&rYa? z?U&XFwQUe|sRu=Z2c*!cDXP#;(|fe3qSEy8urU~nQ&C<-Dg;$X1plyABpeQ4tJ>4< zdKNlBovK)ZB{C(M`)qm+`ykrkc*UVCdZ|UlC4Bj~Ni{QeyDh8XWsB4Wj@rZ8X_-aI;YrY$AWSBXUd>;^`N5U+tp3xuazXm(w>b2v56y9h!#w zJbmgh5m>m$;H(rs;JdQRyiu>%r{UQq_VdZSdrL0!eLNQDPgc2C&462U?IEjw~Yge!KFPLp`3lsGku(F z03^IMqk@2lPoQODGfL?t;Yokd)5@2-g)5~95$LrRx-6CleZ(vyr|hR7743)G@!V>8 z-4Ye}Kmpcr*y194Oij0l>DY#=NK)5tk$BVuao>J)noT|BaN&63#}3a-OO(L-q0;HGs4nIIDiWV_F-bZ`c33~CT=i~=i=pu`YrRF z7}db=?JvUUT8x`6hG(|JI5zT`qJzR3`F_^^w`Ym zm(&pYLWq<-A>ds7Hv zE!aF{n%25Ukpq**E6E3Igt*$9MX*5&Tq`^5VV0RAi#B_P#jJKVYIhtkR*jK_t}Pgj zz7w>|_csYjlmr||XpvwfdW&fw!nG-4hY&eE@SWX|O}IdA%ma=g@X@`zARU{@^n>2C0;(SE>~bt6mOZy>6f%A@_Zr!3utfEn!wS zuW)ZnT5-ZiaqZDjU7Yr0`Y2;Z_rI>NOG`MJZ<01z$r7hR^sH6>Fvgh&?i`xd-`-29 zj_77lYJ6cy2`OE6x)1@g9u?w&Zlt*vGJo^;P}(Ti`MDs8Ayh$R9z7l4F)A@#$*szD z>CQ3zs?L?#JC~sDS6@pVgeS{ceTl?pyD!4^{iGj2Gsfd~ow^ui7G#dOPOH!R0|xCs z-dcX_sXVtK0tMYcS5`npr{*>-#@X(4BwdNzMj3^nS+W^3%C3WHLcz1BWWq1EayQCwMW*VGZ5c)OfRX|N>n5IQtZ+9)@h{d8+wlX-nP!Dgt>NVdrG;# zKtJlx}eb$`S(t5S6QgszGiL$qKRjteUCkNfD*`gM*m=4TZmk!H?4N}<4;w&WRGZ=6J8|65S=0F^K z>(1YnN5K8YUyA!MtOW++m;+#79aZQQ2BT6{eN{JshDeC?;>8(kDeZHLneL`E= z8NkD#rcz?0{_$kL;P*KJrT61&6v4-9f<@#ccSes1#?8xXU{i&{ck~Iih>&!~J5gdH zV+UfvsN3Cw)B=N&wV(*|PK&j@4YUYSDoC>%G7|@25wbSv#<~krKGetv620Zrj`HD| zs9%#xTM;QW4xIT!MV>EMHPM~dlyiiLa6X*dW&l5Y;VRC7n~3d#VN!N*hx}~q25=d% z2`Qn6)cf3Gq&Czh@^q$Hs_7IggL?{+p4Au=<8Z);^+Gjib&Hej{i&^Er6tHuTBi&B z0%&rbBJw!ibaa)^4}Tqg$@9lrSld<(2>Gip)45j_OLkKCyrUeU!$~xuK0K!EPg(!e zfpVOsk;}SDuH$$(vTHqbydtXljwL~ysef7jQOA)XmPrbIKu#5U2gQyqkzTN0>D9;S ztx;;X!0d-5y_<0N(;OrOZ3#NnhGO9HJn)KGkFldVYqJsB(l5WsHz5NQB0NX*`T|^P zyPlniCIN1hupb>sq-G2UEy;M2mmuj4gBuckW;i6T9ugZyqtI}~|9BH(s9Gds6x?c$ z1=vebJb0AUYL5ii3p*1g5xy;469(a|mmJBZwvkmm3{7nw_3RJdKEM>zH0kjLW{MJa zh|`tQjjg1gddq$i1Y@^?50Cdp|EXlg`{BbSQ6p!hd;H~;4H!~<%~9#^?M|F+JW>(z z>un`gutO-Hq&dUerOFw^)wbqf{CmdqY|~T!&x~N7KiLk?!k6-Q9(`K1YzrcNW+B0A z>st=Z`%_QJ*>$BhPYf8L*Kl*$qWT~wBqnZ1HHcuGitMPUxM^$0Bf&~CtseWCs~Z$)y8m)# zPXHkGcX!czCP_Qbr;fh#PknAl7-Rhnq}2}|7m=^s3DX?P?7kp|WB&-**6bgUmrZzF zNseI~8is<~83wHgsMmDt-7OJQ;!9|4!Wi5xskmv%cCyv&1ym7;-)c(4okj>P>9f(V z0l>Q5DaNuVyLtzCthU{mvp2C`2)|KFAxC}QpJx0fCHARlBWz8lg}Tn^~UszqG&|#1akq9rWEvd zFi@p~9Sp3*!CdBFIRarY!R0(SKe%X?QZBRPKtnS*!u_sbC~`umg6*TPHciuP=m5$P zO#-YoWh>n-D)d!U;C{9`RtY>}cZmZ1O@1oSp7Rq^#f}T>`e1F_jPM zfQ)t0fDl?HX2wjIHSMs&_OvL)1$hi(HLpy)4bWA(rw}fBau&v|CcFpox=&?BzaoEx z_=*OwXo%*ZI;niZvkg+WAg$!iZ&2Gx+n#|d&V=?HKo%RZ^{NAy%UekJGUZaJWhmoY zVl+=YHwHcb8b^5Po$NNi)5REv6}G7<%?=buNXR83+W5b5tgzGiB&U+(DNfAQ`x{nx ziVwTotUHBuRsO@(%1=P+xC^zCdobli`b0=vP-;`%?|DSP7`oOk&R-aF)_`hZ>Ia zESE14IrymrGIVg&LaB&rE0um{r71ALMznDIyFm_KjsQT zRv&hPvDJ{$fGJQ_<`6e28Ns{<_ep-uQ8vNa&1KTLsczFhBhuW(T`#8s$J6~9s;QT9 zTxd3W?>dkTC478@=eBv4Vq?{iwVl!qt1-$Qo#olxsjeL{6MyynVAHU=kG{M^Feii? z%UZ+X4#aB8rv4uIzQG8)d5tKetz{9lcZryVzplBJ&Jaa2GmIO}Sr5w8g>Irtp}VeX zEK^?m4(CWpgY&h+kT;j-3s6^n22_w5{N(8^{XQg@{f6RCpzRsp=l96YmSSLe!Ne^`EY}9Gx}=hn=fj!D9A1LZ+{UTQ`Sw`s8mZvrKc{yalF6GhlPyK0>jXz53w~-PrToA^{J_h8*7RV&7gTx%r5qZ}arr z)r*~}f_04*Uo&2HrQzMY#GF4Ag%9`i+&iJ+4~JUHXMW3=kCU7Y&|e*18dfgo?tZOO zu~4e_3v^L5p`2cRu4Xvr(b#YAr4g<$>%ay_%V*|y-ujW<3s{4AFs_VkG}l{GfW#DX@k`RCn(7L~E<~22T+d$@5O;hkz41Lk*DL<1MX{{AXCQ&l>fKt^q~EKEP9YI7aq#bQlAH7Gi>I7 zRLri^*3whRJxdr}0*&Il-SryQFO=P3utR^yBPh0oE~l#+5vfhQ4^xbD(?of1ddp_? ztO5nTMSSh z(;^FMZeerwhO$Zab>_OY;uT40r$r47$cueAg&VrM>3?2Dyx|(i`|IN3psI&N?CIz# zD$$A8lsxOUA9)P4SnKdD%}^I4T`T(rdAVl>+W^tNm<{>)d)5r9jgDg$W(rd>!m4{W z+R%E!&Uq~mN2)#$h;xAlI|Od^wSNxt$WHN}UCQ|iKr(GZjQLfDvt}fqC+T-+`Q1n! zKI`%GN-%kgzrNcDVU&n=M-UTmR27yuYee^;#Y$#Kf7>WwOzowTl}{|F@3RY^8;pm# zMsHfg@xz58gBpI5V?}QXtpD2Rb2_PWR_RjBJ{II;^~O z`FBW*Ie>CDzY#^Kxi+|Sef)-tEQ{%JQm;s@Vk_7Gpvp<6?v-4kyC|pSzk~#R;(GbR zqEHY8vQ-sdZ{1i};2U<+M58?6u936O&EsDsu`E|kWwy07G@JA%1U5@i+YihJoRx11 z^6FDIv#)tjRkUE=(wuW}VPCG>7d}6#-{R4`)!5(|df+c1vDjx4D5k?9UFxN^4E~C$ zhVQc}$gT-rhj~}+dx-L*c2<;cNUYjL1>PQX3;X*V8Vbu0#&Z^_rVP|e^;X90N&P*O(T zQWO!@G90bv-_V~pHALuw{2sD+1YWs@>`?w_BL-4V~^ zlFUaIjuEZ47*LdnUsQg~nA8rn_Ea|q5Snf4Nre`5)ZT--7^7C8CI-Y$8WQz0xu>%& zz?2#dq^)-PJ91W;N{FyQu>6sO4_r;}*cu@)b(`4-40H%~cX@d$)}N~FAFG;XNKtnM zJxv{?e(uL>xFw^G^f}%Qg(oxXGtH?=zpdIa24(kKW3;)K-y6;xyWqJNxeiI}dUl=r zJ$4dp4p~Gk5Bnsr%yCm7uR}^;CE;4^6o=G4sNrT1pbYoSNUeTGU^(_d<<=yt+PTFN z>j%rWC9h*Uqe@U-2379F>?u)s^>OI*$AhG(Jo$`7%`(pHpU3cUVV#$tqzB6S#TR2r zDrs3xEKl2(2-=ZVrqbAJgR9Qm1j??&s)JKC(#&D?2oCn;wk^IXHLqQpmv*9&(mDZw zgSvonx$Ol?d>f1L8B>G+Y=hx?>1^FqVQb3~+&II4zZN+%h4=c)Qc+?6%Kq=@vU(hxY)<2_Q_k*K9L&^=N0cuqal$a(Di zT)AEZx1S9~JH;G7Y(a&qj2b^i@?r116ku0o)}0l9uw;;~7br64aCyE>21T%PG6mF`zc-_Z-=MEDAiwUn`; zey#4lm+Up(zuiB@HFFEanskaAUWcq&u)WUw8f`DW?+>j?N*{8?yZ`F9rS5uitKZNJ z>@>AKdZ*<0vpY+Eup)<@>*=HyQXm+)5PZA0HTik^8G41@ne;&AX(sgXT_XN6f8Ukf z$?FQvz+;jD3`$!c{8RAf{9PN`VXeG9y(wVIAuIKL#iD&~nQ98>pF>9-u#s`hdf9b# zxI13-Brdc@W0@)f{#YwH-K;3_)!F`ZIE^$u-8bi6;ImTla2njr;$|)I+)pBQZvXUP z%)dI9I;Yq9L-09d-0VwYQfr46seU#;&FN9ct>ZR#d77gEIF}oHYCjo%@^kpPX!)Ke z;0F1qiT`qtny&a>;elx{`}(9$$F&sW#hXLo=8EgWp6}Nv^yMNp?cd|Yna4r-{1f|u z)2a5hQ8k7(y~-KWWkvlatA*#%o0}>d85|DGJqM~c!~%(fOstcW5=+V-V1rG##%Fhh zk96PactubD9q<@5m8bfGg-!nucvPDRWLmf9Xr(*B+6=AZRn@_Z$2#wa!n3y2sWyOH zWwX(qE#Yz3eoUUYq82+ha@=Aqklb9+@Xc;GP}DP`EvtfKM4{SI-PyXPZM9;X=-v4t zy9u1nq9kaVy-ex{(~hz&e-HqIh3?a*g#^Q9aI~>sNGwO+%>a2_Ql3>jlViBSoZHdf z8PeR*b3@T?R5!uj8-#6tg<~ue_m-oW*z3nQnC0me*D6=>we*z>>8ZS_rjZkKe}87& zd}X+DNg&%`y`>rHOIP6HNh8N`1)b|lx)gjd5F_B&DSRh>0d2hyYfYVrs$&Zu%uJm~ zGVHS>ac;M|l5jIEMe6JELHiwOFZ$mANdM+8W@2Pxhx+;apI!!frhl`x{67GY81Vlg zgHeE@6=B4u{|~AZT9N;=-0i3pSd+!kH=BOK4L6h*9ifKe$LQU7{ApG9&T2*94?(mATGoH9e~ z50B3S@Ugphaj2=t?6R17ws%%hbyil|rK9TIeHJ?A;=-(iW?Odeq3rs(qKuZhSPws4 z9@(8esaw1iHB5^(Oly;9j_LSe^nO!$+Skl)bH0t&-RWgnUaad}2&})p$hMz0$y5Ge zp(#xX4PhC2^U-qYg}0e@R=);^IR8 zLXsCio4adcwMpyh2$9LbNi}mlP^-DZ=scd|EVt0eKTDg}4x6qW?09n+4N?Q;6`1XUsHe9qGV|g1 zBCtP2Xiqw21f(k}KOLYRNjW*7HcM<6)%TmBkvNA^A9bbm8 zX+>h^neLX?dHTw4VwV_ZyNg4Xx3i+`ej#I)0ALf*cV{{gkay-Ba&}{$42^T~ruZh{ zm}j#TDz`sPs*0iwJ%Iwp#{SSp=x=;}xG`+Hx1(0@Y2)1~ed(C5cJM93=ho0F%SLij zR?R3hyYO1|87czVcklE!jg(Z5rIOrLL`6P0&f;WGA=1>y+P#HpXE+chT;Y#G`ZQgF zQFe?Wq4Jx=y&sP1x;PC4tK4`EuMg6S>lgG@DFdXk6O6~{x|1x3@N#Te4U_0|10f+T z1HLiKAhZ1yyGTxAKWbLJd0aZodWo#Qc_sA6w$arOeaSp{3{r7&U8aT0>x)*h5wH_4 zQrmXwM6|K3M%>)&AIReEUg;oO-p|JubKE(_j`j^o8neX7O`m3V;)d*=PTw{9+!YG9 zi^pok)*RmFcetJsTE9QTXc&Fj%Z%LtxR>*#7S8Fd(Nfe8X)CS1b8AD2B-==VF5<2_ zXzaPO$g^79#Z2W7($`}epKbUr5*OF4txDt49X66C)f+}M&bEvI5{S}Cpu!l#72lc9*`E{lE{ppPhot(+^=>w!ih}W1Z(TBfM$CJr=IV_dHxpAciu?VKUGJ;x9wLyfs!8B{Xzq`}N!7%^wI6?iHiocI5)R zo5@!mJ74}j{!v=mP8E73SZ2oiDU<#cVst*DWOF+b-dJOpi+HMF#C0qA(Qua0vVF5iM`L13!df-aO~^cramjueG5VXrBAb>XTEa~- z9jviErAt}$^w@OXI3S@oqK>*31q0_2$HC%e9vt~MYwit*_lJ_^!_15Kb^-_b%iWjq ziZN!+yl`w5l6e(f#m-VsI)IR#A^w7>1i4MfrYV*tl|r@ zWT!PlXq@oD(q?JNll zso_P5)ll~77{dyxrxKyu%p%CL3b7cBs=J!=C8VLt!UOD9zCI%;K$m9;`{R+wG&+&U zq^_-r>8k6>Mj3dl!wwJ+k(BdT0*B(cbqBR z-;!km$|Wk>ap`mRxnrP1NwyfutyM1NorQF+Pv&giiEUet{rZ`!!Sw4w{FLVuvzI+7 zE)tn*WB5Acz^}%A+Ueo!-B0bvMRl_WL9|%*)gFBmC`aOh*}~uZ^&?Bbs9o4*RQY2x zt0X8pqp6d=M+wBwK&>hpo?RMppKkU`N>|6{&WjB;Y87CN-weu(&2eK=<@x1ZHszG8 zmMZ&h z7sk#|4!nytvM}k@Kq|D`5*G+8K3j$;FJ~^sDLU)Kx3JNS$TyQ?7+uCFx72r)16aGe z$4D<8>s290yOx>B$*fbV2wQ~P5-kYWK0Kh+mi>+d(bX)B?g=j`$^*?K&4{)^p}DfV zmTk(G3d`e&W<#uYepc>aW;nFsKJFMT2#%y<(-3;L$8Ntoh;IqFmApn`LE(>7qg0PQ zBJiMjib5T_sR9A;ZUU)^c*JVZtEaA!d1GGc9`qoSVDN7G`~5#6c1cdMcZPxBPvg6E z@y8w>~hW0|+~2Gj+FjiBA2h1`L3Wq0>y z;2n1`Z4J>5CE94 z4!^$)Y*)mMA13_<1RQU!UkP2I%XPdh3i(HN{T6n;9)fMDnF!6Y{${5}yE$_($GrXQ zqV`IRLuh+Ah*;KH4sd2nik@?XpELs9%9Ih`l9bX3#+mRyA-5~gVemVdCmHu+L>ql% zvA#}vPB7M>Fv^&-nyJpj8V3zS5t(a?rr@5?xZmWduz!9FfF;&b6Y;t8%Cx@*+(I1$ z7S?VPS0CAXjoML|aZCfX>wtr()j?RapC;UfWg){)=I4Oyy`=%09UD!~cA96Fd>Ae$ zI?_w>Fn^!HxDav))kl8TpMHducFq5?$*6#!=^bJnIqLP1ypP4+s)id8*tc|saRXoB zO}v&>3zx%1?G{KKK*xP(*JHX=p{aQhdt`;zp6r-Y$3uKO6!C=`I zU1eIlU!7Q3f}i{;WL$6}NGYkhqmAMtC#frnH zs}~_T9EN>tbEHYMfGzA3@4ZuC&z?sE z&dO-7CaT$V73yn$?azx}C?{!qClS$FwegfJeHQFX!Td@^YVz2eO`aDZ=n^e!6&f6R zG0&R(GmAldQ(c6zNp^WY1lTADuqM<6n&<)NNTRHuPEoPU#~>&eE%@Np-2Fo} zdQ(6w)4#N?F`DoZ1?a7E2qL)T!Q}6?pd_s|tN_q@w9TzuyF2fDJ{ zTkB00*%|SsGP+3UKM{@E`j^Vvh&WNxuh2EwY5~Hw-)|&8?(6Bn!Z8CL(A(qPqrO(SE=0P zJZxLpPVnsRGUCm{>vI{hXf$%gZUW{<)n>xlmpZ*WZifsndb=^$iZird!fAh`Vx!^6 z+zn!nnRzJrd)lgxgi|!yjJ+i6T9QTC2TA0k6F%epeX+gpX5ULR`Tf;HyRm;F+=8wV zdQ%H@*aC8S+1lB82(>$_u@JWJl5iq?jm_dEIv%|V*W~^ReBw1U*=CBC=4c0U$lCGq zB8w5t{W$bo&xS{Ek~(rr3%$S9x+*u@@#rJexLAy>k;;Qo|80k)Rl`Lla<{KHsFiP~ zFj%hqUxPR~f&p`_VKyMDyxGssda3)ZK`;{he?xVOc*vvME@Bb~FTr?mA;OB;qb z{z^lkmP#BWB*0Yj~ZoiDKPwOLE1|IGnKpiB5sms^~Wfaa5VK^T2riXGhfW9XE_R26agNV0Ij6 znm|BC(Quu}k7OtT>1u8(U4J`3m7 z{<{**IJe7hsvdILGX~CBf`=T)6&z~GQ8b?Xv8aU!At^K_SH-LM1ALonT@UAPS{upxj9JilvHO|eS z{=>H*RIZ0#0?CHuUyqE9!`u(GOD)(|BbrEk>YZ`%*i1aMTLXoafU~LgS7b?Z zPvjSbEXG}46k{ZQHrrgy4_~%oIR8fW%2aRh{YymqE zm?Nv+Jy(G8FHVL63kOcSRi_UxK@kN)R&36nVDmg&2M}9|>vbyyRi0y(2W_nPd)UG~ zOP&QMm2-|+nJ%r{Z_>evrB5Ud&fi4B`d4a$6ut)w%q>DEN)IsWcC#(dqqG>w4imMNx>LDGqWq`zMK3LXk7pL?BXONz?snW+za(S=JY}v2#s?P zf{nq3q8;Q-**U?$lF;PcbNM?brHh#j&Z^^bC6SI1S>*I%v2*1{LHtaHDzy1Sdp^H5 zhAni3diW~b&tbe4oPFht%?S(z2_?@{XUAha4?KP*EdQQM?jZaQtONd>-+OYF!6`e>0@4j>a?=~#M&Ian42pF1LTOaA#;wd-LV5IF+t3BaJ@J~tG}a#!}83=_{ffR`0c}obs;m}l;86Fa9sBM{GiJ*;(1X-ZDof# zNLE+at0^?_LA%VCn#G%D)+an4F5Yd#45<0KQA#c0kE^xOCFM#khxp0(x3Ruqi1~m1k=ca(2BbZ2riFX$?g2onWQA5;=${YhVaX*$|ogA2~c}J zw8uyaT}M{6t42wVr(FGUgJACbo<<`@b;Z!nPr^qN{E!7H!gfDm0-f1HnfFZX@38DiWye(- zYJh%1XwWJq87qIBT4jHY+Dd%N9*00FjV=*WP)B6A$Xm{?475r?@384y1E z8K{~cj?wog%JWZgb#(mH7PPimF?ZUNuVL%vIfT4qLxi*sNkIZ0;^l^CJQOF>bd4mT zgneQG_I{XTVA{{qGF%xWFSY`$zLO5|lCp>kmD#pxmL|p=L@gfrFGL3meZO$+f0wG0 zm|B$D{jA^i3C_0>2sfKX7QlRu+^o|F@HwJ#@#LY4$ZMaHq-eB0Es8QKGtOFb#XPfI zd1UG1TdJzz69o7`&ciN-oI6*(De^<_M$};ic;HRyiU6p?vP9NOt@@x(yOl9Y&2X5h zR7+dia+n;mS-8l-X3z=++*bGkFS5?R1N&2{*{QbgKVYr;`=Yw7>OHz9lB{l*wccZg z^#)cAN3JhM-Ef5o(eY%BL&mm}7dEQT_Q6a%f!0+UN}CtmNDH5;E4g3=PAB5ASbwOk zMt^Fmqnt}HTNAn{UdYKi@9ZmD2nIUJ8j~!&#$g znUz%C)p*XUx0(T0>Q`-xqRJyY?)9bR#u&BHG?#I7BI_gIe`vPe9RsaecwYZpdK1py z@2Xw1%zaSk=b%+&3Vo0E@B}3-C~{{!K1kck@K8&%8mJ|S5Q`2QzI$E-)@HT`^knB6 zL&f5cj1%&KUfCq)P^6#R3I&H(T*Cp;)v$Y8R0G5-Oy&Bq5#%Z-&T&Wcqqy*t3v7`wt>_EC-hAw ztRVh{FW%X6AZMc@;!f^Y(8#93WoHc!3Eb$$eTXRJa6{0qz@}NDJ|vS6knDgUO`w>)91bbldZj6*T`U=W*VT$c4{5e-#42YWRur-8{}z(-%e!L^gHZb3W{8xa?MPm zL<6$3g82O*U|GXLHgvkl9NS857-A~l8eyCTi0CRrO6NC!CSwvFt}sWFLYsS){jKei zDJS#nG`eFM=KxA#2ut&w40DLJg6z~SLm9DNAlB)26_13y|0QF!_7~Vx?(J4RNmjG; zz70U)N_!6ebbTy2*a=OXIHb%(j-$ZK+pLpU@Mc^JXDZIHTQW3gP&ei9A}o%|O`LO^ zd4Hhc?$&*e;n%cB>Q+EvZP#@3(&7(rh7KxyCc-=gLgk(oOI&$TR{ z1|~-7duwgmnORRh@p%~9CG&3w*Xjzr)lS(;h%oJx2$In%e{ z5=0>^jIDuR<=5dQYR;*s;}d}fq<+3K?U`P(pRrajZ2k8FmF-J+U%BP(%9yvOj6TD# zDy=ZW4ecXBa{2vSPPpA2)U6Sg0#_4m@pQv%^POxyp07>SL+*E#G*wem(zaR(xvW1V6}n%!yt6&wtGSD6i&s4e{^n>PXmxGg3A<9WDzPRj^X{>k zCTXYKg19P?f_OJ7f>&PyaN4#l>fX#`ORC2Qg^LC0*tbUu z&=;ZQFE3Ii`qbs?!^d&~Uo*cN;l2=0e1H8ed!%f1sB_%aN%@w?W-7NgT6W!9ni9Ww z>;^t7hG8zw=9|>Q+_31Obsvt*+S|fozGi?GQJJ78JQ!~#>dVv$<_xGt+cY^!y(uUq z02o8A>}q6CL`^!KXh^}TvqN09GIC1t@nRF320tHDJ7D*V4aAIQ79N*ipkgMR3aXvl z)Cr59hDH769n+o`JhtXk71Cfkahyj&hR7}fPv{4x~03ikd^s#-9Vj~YnP2eqGJz|XAt_cV7`vM@g*=}^`X$41JY^z|~B#0xoTq-?+1ht?yZBWYPkMyB&7stQMwycxZlSG0prb@YqIBT5t~`5npUD-EoQZ(HvzDu^L<}f8pqxQd}b5D6VaJ zDyEmBT#oe~Dz;v;d$kEv_%3l%@G!O0X{m|AdjVyf+OFNv!Pf;Zwo(>CMUQHoqZ3ki zHCau|;u2|}=$evce{J}<)R3YBA4iTheRo(&dF-K?ux~6fM`bFus_}jge#oY_3GL?# zdQFYm0#c*)Sq21=w_YhTxT-we@k*PK?Sw_?OSxJBh8QoUMqb6H%IL#yZ|h5iny=6q zCJwBel^OV&!+u#Xe)4u2qDOZ`k+-4#M()g+`&b$=>`)3NSDi}u%CZo7UFzsWc}Bzy z6QcG5v11kpcZyTJ;@eB8)mgtGxEVj}&%#F@m z=0^Dp#~ap>U@l`(C(mB&7^gu#yXG^HWW-U9%kqdW)hZp5^Lnin?DWZj3pRZ$%24gC zSm0ul-Jao-5UZZaq!ER-Zs!vKZ>b?LHXh4e6X&CTS3{3dY{6`8Nb`A_8rP>aq!jK{ z9|s**tM#@uZ|3Lipr>|q$~@P&KLEaPqaZluWWM3Sg7W*ZAoR#P*G;CG%dH%em9e# znLo*3&&r8{6CPXK!8;J(&>=uEo+d z=MS+-;M|5?FI8p6cl=|7NAc7Aa^gsAW->=g$2k*^r~tR)^q%U++{nOZ>##xCM^x z|#_w3NWZK6F8NWh1G0Z{D!pPz}!{$HMnnq8+GLCqgd|g;r2G;Fh#n|HBM~hccU56>)iz~ z8{zz(`W^${ca&C@DwcJ(*3V@&0m4u2$^=RxzWg}A*DE_}uOqrHVOcreE6$jf`4Kp? znBY4zIP29aGWY^p_UsC)(w`A|aUYj#-+!%h_4>POxY7Cx6u~fLS&?(JcDjz?_@juA zm!067eMj@#nHk0Kjk`^Cn)t;sK_y2=IX=(3Wx?wMjE{v#?%+esC$T?7EjVR~F20HH zEp3O|=o9)3UN|YpOjlf2Y5mN&yG&?70_r%n+cePi`W>U~@#qh;-}<-fcRL<37fuPP zpYQ{gXz^=qFJ|=T&5$M--nI`OH@=o=XUJfy@=h?gb-h3i-E`7Hd^M{mi_+FK^h^i& zx6oI?ejAw^lIt(m41%EicGKm%B+TX|Xt&}Barp7U}dEAUW z3VwYwcz(0pEMO_^bDnL^BhWglQg~hs2(NVrl(?kmcE&QR zQc&aadaJ#DitACjURw2!w#%mLNQXx5T>Hh{ zgl9F?rnUx)=Kb#uPe~STb6i1icE>I^l2>OocgrQe)MfLn6&lk+n$m6bo-6aj84CU?% zIK!RW>x9bak5@<4SneGb^o_~aS1;lBV~YEKUrZW`n=kbbTJL4`MBhfVWPj+sE!y(C zHJ<6zPwr=7=WH3{X9=6yuhDeB84kR3Y;aEy=?~?Gom*K-T7TT7P{!9=7T*bZq@DPb zao2S2MNLM|4ec|LxNwT~h?Ib#k2^{meI;VIETYRn!}_;5`+^819G_LgO;NwKdVPls zZZlaQU1vCtw0@`AFZ~+z;Re>^ZbS!RdM%-fQYd(!^|s9F;I7u;j<>sjBJtP>G3 zg5%mL@~gKK*TZK;!V~xErI1V&b0&OL%$+eOn~2~~osoP@jFiF}SR_cQF{e+*_~H4O z%*hGfq-FM7Zbz-cmI(3Y-87}b32_a;EE4Po!Mlo6Hu8c_1C6_1lw8kBDYbO<*QUvZ z;Rb(Dc^P8_xzB?6U(jz}qkjM!q$HZ*fTeD#O|OzIanXlLn&T%d#hJ{i*nP%VjAYrByPqkN*Yer7HCsP5 z#aB?^)fLE9pop_QwQg9k1__cro zFOuPCjv#Imy1M1FI;uedT`()YWHjDP?c185rgy)5Gg{Ot^GJh&p9UORNBHhgkgVE= zhW&Qk$(}m1YnkE4zlG?X4z3?qw7vlyqEN7vzyNehY!OPMSlkL9UO5sfZZapq~)MpomWA6loA#^Fk(e&7p_GTi`(OoLdM894FG3 z0udasJ4Ly2`{6-9WzjI~0RG1R+%??iG6UnZ4G*#Pn0Y7<`UCDFnQmcB?9NUna5_ts zhJnDdE{e^BnwElH$s9f&Sa8#FKfb$b%vU3(jFt&`{2yB@qtB0ewy#f2Y1UF_>PSXI z(j>G)zt3&;Rt8%8a*|E|aJebB3d6gR{ZZIB_Hti%oeZY|?W9?Y6m^|S= zSJuyk{c*cx2r~FCbFn-o$ADgYYZ_;(*)6~q^$0gPH`_5t%^SsarU-p4bWuglyabz| z%8L?VVC@EZQ>|n{ii$Of8}IPoCC!gLWBo6-ZgHm!agMth!-O-u(~w&4W%npGnM*#3 zIhUAe_l`9{i7j5l24ADdkk^O}XhsVC-MA?@ zDmNuI-vEbZv-mvyK!TPml+f^Dxjz05a zGGyza3l<*ac`T)8_`8-mcEy{F>1D~g@e}9yhHLf9w~!+*hnS78Mma@Z^CvZa_5~?H zbz&Sn%r0i1^kXsdbl3=6`x8xF6IzTzm7{;WzLiMou~~++09(AKWhG|eiPcV9PP3~+ zpvdpKJ5exmjT!_#TwZk25l^~+fa_-XSPsv|#;0>q;Bib!{V7npvNWxS=zPAeGr!Xg z4^oeDvlw{5q8m(~)Ejc)9yv|LCl2=afU~5zt^df@eswlRQosIcgZdNF{uoCE5^yGe z1<1Ilk~4NET#g?KTx2Q8@V8Bxoa=okyDR(&WtW2DKvdvcfwnRQF#iZ;vVaLB4+B)c zkGav@_m>#y@GYVjxJ?%q8})_~G#Obl(3YZ(wN>=Le4SPxCi>!;%d)F^DI%|G?^wJ# zdUm;)rJ3gGZZz*Y)N;GimFjSHYUI9*#g5vt|Ha(L7-J6J2J||$l(XS@gBg82{N$5E zph_ol+psODTwV3!Yqy{6!(p&%$0{L}8ym;JOI1+XHHP2rrZF0%*J%y+yznaB_G&?_ zN`Gx(`?}pg>E?5Z!{Gi%$MG@y^jdny;n{V7#3d9tB4XV{S*u@c3)M$_)3kR~zN;TJ`4x&pHcI8%Gux zY-thNLfxKRIfA6bVd#Cn4SfCb66RG?f|qP8;-fK!eB6=>B3J}g!aY1nJYsO({rYe1F^awSIf{0SP zi17IbQm?jg-^caCXxqJPQ}aP9hY-LOvm@?jt3DmDbn0l+qGN3{7|{}VZqTm*SIlj0 zz_g84PKAfa?Suxz?8-_T8Pn1y+rrj$)rew8?Ly_tHJUHty;`NGasAIx#ols0wFyxP zEr!|pL0&>I8ft<@ z;{rS+<{=uTr#fr)fJoEG)^mZOi~*!oTVJ9XiLIR&mS55WRmi@=N7yCd`}5){;C{di z-+W0nMGG9xMM9||;>p(=*|F`%UmnmdsJux+Oaz1>+4?0tAA+z98pgzld&>aG=i(h^ zM0Sx|$+CFO7d{@5r$xs(xTh>CJO8e(A;15nt_)Ed4JTe0C5C#ZKV^SYXB!t?t;@0M zIrM*GwVuKp)8=?A)o?@;_;*fPpP3y z%q z=3KPq>XVIW6p6})*f0*VwYXL7=su;Xd}$F=Cyx zK$}HYf7-Xq`K!8RacJ$0IwSwS1cQD%^51KtlSV0NNua5l-B@&vsYLj?Lt7Bm4 zxK)ANrgmaB7LjGcbi*x@*r!BCn~+HhU!@m(5^^CKR1Ff5H{{zPGsc81{pAfG_lYD( zGHwProQDc}tUDoEJyyY0Lu$(6d^3a)^1Wye)DM?hQR%*I)M_~Of?HR8fv<0@DENqZ z%}>2jJ={5N^GU*nmLVU4yH;@Dg0zrO5O9A1Rf7RG1J3MzSP2&$b8{TWp0?qYKug++ z-ExG*)H$EtZi0f0-nM1Kdq*wA&s`9nkUWX8H0%e#VtSAEhoa1lxMr#hAvWDodueSqZeGYwZ0kT!&fH>X>J?yBLPs6pJp zrb~?p2YkQlg0vlLX_@;IjvmR*7fp@pS3sB$K~HEJSHSi7J;<%TbmGX^1l3O-@j&9z zxWr~l(TX-ATxj3=VuW~#<@h%>a9ay_m1Qs|L%!v4u7yopY!Ar|aM#%g=Hl}zZoi^G zo8s|v10HDj9#lQ_Vf(xIRkIP;Yn}`u0B2i0M6k0TFKB|B>2BE;Dml&EDoqA)gWDQ& zc&{=iT0F3sHlH|J)RZ58p{sp7aV=32Gk6m%!padJx#8KLVQt`$u5yQ6B`h^3;c(tyWnqRQQj=Dp|EA z=>%z0>Axn%2L;VZ*)c`pfY9v8dPpa<(Cpc}Gx0PTI5xw@3J259J7OC@376^+9BZwX z82s!oMQG?T05rB9yOcb#*9q202ZfbDhH(8ABDWFSq&=2VsuRIVmv3hF6GR(J5y>Y6 zBjnbPSxz{wIvD2Sw_;nmCFujkpRBrjE4*{WKBx^U84HgjA}#60L%cg?N+Edx=#-DW zz(Z;eTJii1&FteAEA($aD1Q3nuDkUcj8SyuvMK#;14A(MV;H=NJqgPi-+P8somx{v zo#0xb8X(7)DVdO*u~56-rR&L^c_IS!>94eD>Qm^sFtu(f_w6~^__~gj3}JXA8PRU% zw6^o08jQI?q@)eQ6M~M`*fi~7#FCjp0EAx-?8s+8x`U2{eW|*79nk2S1t#IN39es3 zdQQIi09tNuE#EGR2}rQ5ZrOT2BVHmj{u=!!mb}V;JBeq)>bG>2di=YjzWN_Y9gW-w z10>bd!*`9}5xW_Ab95^}ds=XF6tl;R(APZ!QFwEd5t`x|VO5g3`a)AHac8TGs$C6 zGWGBB)4c;C5Ud-(2V13AoZ?vax+?Pf55~_;tr2P}UAv6J7*}fe|DNLMbYK2mQq4nf zY0)1fb-Z-s!-J%n5B`zV?I(XE)r{w=O5+}@3l#yodP$@9GnukpPnb=R0`Q4!H3zO!A-0~C8{Tah* zL%|ReM$wPwhS$>SSyJ%_pq3Ze&d5WGEQ|AP+pEh*x83mj3(Ba!(ubfC*Q`9#MGQ^o zB1~Nvl2d>xRy>C6!8{hIU_GysqxqBn^MU-&T6v%U-HW-pq4}QVPwG*l5e zd}l}YX|hD?3L#n~RG7JoU_~pWU9z^=peTW0`7`Y=%DwCj;k8-)GE#MBG<&-aQgu=^ z`>=L{A~stZ9Wl~~ZTOyg90S(G*Mp{L_C28TRmvW&ZPvbE1Yt=cwE1!W=ohTnVzZcf zTQ-FlUYoTldB?k{{>0GKPX`JZwzI3*=u#O1xR39kNpe%kqOXMm=@{awNqmmRmiyqd zHX~485yvo5X(vvc`SVoI{(w4}(N8g(TPqVF$cKq)WoJGUVO}pQrz`rQZOjs{b{EM# zv0){Jy(6-pzR#o9_KL*aRTA(lo}H1F^S{6rtQ*f<=38@pz0A?4!{OgB*tPu4)#=ER zEym}K4&!=|yuM*qZ=qt}Ce=527j0XLmD!rp$cW@Q5oROYp!ea@@Hz|?L^jLBQL{*O zmQFH>+cJFVq3WEiYOjbT`nv6(TpZUp6G(UUW+`@+jekyhia#5~#f~E&@DrieQ68M( z!L=X$+;M-a%5nsObAwExz{jb688IyxbISdYH^tD;lT+A?S=UwuV~pq9cbCdc#0lLR z!OGmddSQ&-dNzXvBF+uPv}cKY0>qk`mbcZ8pU@AJvQG_ae(T2%`f+Ko|tg^6e@#@}{4kL`mUW3D`{ zze;Ihw*!h_G~`+jrb+HorFHfEqcwJ9=7EWK$@cl2e{aUfCvdp@Neu3bUp6Y#M{#ZM z)`l^|yp!hoTd1X!V7G9`K95g60V5~Ehcn=Os?~vP$lvh_i5{InjGPEx9E*&xVSo%~fRtStEpmkJR88r`mD z^h>V(vk>tFa;P0$n*pnN>JY(7XtzPXWT@S^VI(%HFR*ynT!Ku+Z6Hw)!EK}~pWCF~ zlql-L=C~>K*uJnZ*LH$Kg3-UF)-Jo}`)=o6nFh_US8@g(>ws`6g``I%68 za4@@%!>%2t3F)q_&d{r%U~V`fg(=bEUB^u))r?Cf`B6o^xM`*A@c_Wz0-8E+S@yuL zN73c)4SyH(#M@u42my_eisKQIG*rGJdW(SN1$1od*{KsiKh{pn$A7!$h7TF_Un4RrYZcrFh}AF3fIV8=OMu z_Bko>7cP=}qiUZwvoV~X+&52t{Zy!t*6ZjdJkSI*X@mGkfPBxV2+6nqu@k1Z1-XH1 z5-nXI@%y9RG!Yt!oSejx_;b#4wWx_#OtRtOHJ+Dxg1WDZV&v`ZdR1>PtFS#pt`k4I zWz}|_@sGLJ?0rf3B^x^KY?&x-+9V9Fn8Soj>`j_kk~y=jL^N=!6YUR%NV zr5PE#0&#%$V_oLmBD&u<4~yB5XBlY9=0hSa%FmE(?kYL*-S@-RBe=#ZpNi|ZV9#;m zRWYj6?C=h08!CbUpP8}9n1|>UWPQJDe$D2~>6xN*;5i@ls#{`0osj9mn=~z-L2VcE zde*sM^TsbPoQVzV8U_u1;0-Pg<0fU6lW{rg4Jc>lQ7D1l(O|_Ft2`4iUN=5_x$lp~ z#(RKb#AeAC*fQnjf3l6`sd)?&cRCeB?uE$;k|oY#qSdU{6)r6*VI?VH9UXBr445o& z-$sZY`7B&oLa+k+S(rJR;C%q5kL+cRa*~*M^bX7>Er629eUph}uj( zyo>IS+;p&6dx9g{4Mw>9*!na-x5oz)EWht0&BKAGvSM}B#+I^fi=^)+EVWNB0`W(J zaw^5?PVzOHIP*2SeM&TBKdh~|Uy0?aTY4?bue$V6f#*HshkNs8$2($F3WaXK)8Fcu zEKkR`)`JYS6OwP4FKU0~m8ou+FM~iksZD9MexA0YdgB9r6@eyGy_(S#tR4llk1^Ex zjn85P>#xia%&t_-j*!-wse4WvOqXT3N=cott$uR0$9sA54vux~beEG`m1{B2WL!fy zZKr0dVXCi+YLMld@Ue}~j?XX7cZ6lik>q!SJp_D!82l|8C;+WpL?1IX>>LgQl}Anx zI+Bq2=YaOsl(%lveu)McKItE75HeM!+A^Dp1P(C%IAICMUC`2H$urUb`QYG%s8tp5 z8DQ$($&~2uaY4^G$fQj2AC*U$i5JU^gCw-_Q0`AC`fwoZnpuX^rLaoUtA<|9$b_#z}C5Se8^3 zEwC9>Su2)W$3JzqbCxP+F1y7_?0f<=in1}Iql1N+!vN6@5-xQj7#lI~rZ%n!8f@6t zOH>MD?Ndea)3dC@MbnxtCRIm6tDS~%>0pOBPnaSWh-h#yP#zwCB^?Kv?{r!*Y=JUk!h?G)5>x8UxJ}4GBG@m z*RQ(x_9!KhSPNCC`+^aRon(Y4LoD_%@;XB`yV8kdUAwXEdwiD>2L>?PsOn1dtleso zm^8k?Lvf{IKGhUZi>(gG0xr-AInsIdralq}=F z#t!#htr;HPolLZSE*wV%--?dDe;z5|Cfs|qNla+>a|bCs%ky@N2_m0D$qRdzij)^b zmKVq14~=GOG#T@WoO*s`g1l_&vn@CEG-1;6CHo#+Gt#g3g=IozC7RUew+h`GwBay0 zEnzmngdN$cuUP4h>Edoip*~&3L`p7cjaQpG`o{Szb`LmU@uFt_XQuDd`yb`)-=(+P<8inV!x=yhDv& zSwSb(1P2-`OHPQE9C(eDQj|_)ENgTl3VR~tsCqIhYtIifjzQ_K*Mj;w@OzZqox3QC z_3@*NB{TH**B@2X91##yP)Cg7xffxSl1@Ozm6)-7P#L#NP2yE#-pQ3Pwlcv7Ei1UIE_-B!Et_{&ZLrA+vcO#tlcb_H)0hB`frrsTc+e%UFD5_<`~~ zYz|1*>7K2qkm2LqH`8wV18;ZG7Y9deW_w0$#zz?N8H8|<~~P19HiLcn0Qt^)xpizID*db{usgw4YMi*;H@Wel#H4MFE0<$ z66X4wu?y7~f>p?`K;y3A93R%7j+dcsPG%3u*PK6Bf_-1Dg*IE&1LMH@4A1nB<-G10WJiZf%e z9@{es^G3P*xGG_jQU_xII!5V7MyrV;JoqL^vKBB^QGEEVNP$d!Ix9q0qIC*H8@=f- z6N_r0g$~M38mCHReV;-%sF$pwlx5F8!)50J4Rh6wmpv&UF5~R=_Cpdgm5)n0&)ABs z&OP?oE)#1?%efEynK~iKy;fm>yBemxSfp;3aIA}-yyJ!9mq=h}06Z`hYO*tWWbgs5 z5^3dGyC~o{mG5{#t45@FFot>~kSvq;aFK*CgMsjwx~hg2m>TDw;Yjl*+cJUQuOamC zjUZvDQ}xIBtlaaO2An6)c<_BY-&T1>_ZTQq%^J_lk>5kjd`Sx#m$;Gj$13yEY=UnV zO%$u{NMX+;!UyZ1rJ>>s{#735;)&_vwmey*w&#tR+DV;5Or=$0-7Z6XO7osHwV zXiB`FV??QGRb8&28|-XuM&P-k-Wo%3oNvVTiI!dnHs`U9B#S7Y(J?JIL0$j75kBE> zr;qN&CLfg^Kz}wHDWOrR6mVtN*S}{xkGuΞ{Y$KR_sNy$pQmgRVp`wbzG{B?{2) zZ*+sMWIj3V$UPEW;ew(QLtPa=95w&BkUBQVKv#Cp5rxqJ!tgCZnE06qcle zKdrtuog4tu$ztkj{Pb6o_g1-YQIDDAKtaYVy2%E8LDuB+c-#=jGA<#D&p@8(pNEcy z+%_6t<5}^(F)P-pMgR7(csjo1%GGS{UfcL|N{s(#8*a-VZTmL=plv`b+-qCqgSL@- zJZKwmQfT)AgyMs?ZR**W4?)TNjcYfJ=JWd}s7_w0Q@v zj}0IFC2Kdo21n%qS(6_hp}&{4y0YoNWbFwcYdi-ASmI|5f0_{X-1{bkX#2hiX_Q9b^WPx$~M~g`^6W;a|g-g!)p7@iFp!3JWkcK zyiw)va=x4Oy68Ex9LeRYdC@2Z?}TVBb2px+x%ArUO7sAMR@k`^H1dn$AhAaj;RZ5370V(Y8H_0thz>l4HBE5QW4x9G#)rNBjhne*N-QW_hv;nslF zOsw2jM+z7hAbR6?tj=^`+Fj>w%uN^9=dK9j6q5BI5B zYU*q3v}499pXDodtxOy95fRyw+$KEfeDcO%SM4#CIPlyfEM=h2P1*8t1cVJKDo1S6 z89~eVJyH3-%O*=tegAC74xM@=hX&JCeTmiSMB#5$=BSY-cLW#78AxW~T<>m3aK%lo zGYLOn&wnYH6Nk>k%cs2#p~Qylt^jnDDXQEguigL%TjA_3@X&57RKJC>y=CGqcn>r8 zI$jtaAlTf2L+(31tyFzEhNgL!-2YFssgS(?UgCfyi zi(EHf2E5XiH84_b=cYoUf4kxYA&!<#*FrF~kaf+iO0*U1WNS(@%==905-ePQtoK1!fj$>7uSv?x+0M(YDNouwQYj$IQ*AN0d4Urh((uT#ea~HJ=R>!QHH`VTl z!<4SwqqJ^e`Znz;+}@~PsCH6sF+TJa;e7yxQJqCGeo%kLqgoU*?~<`+1`Oti8qx_q zvb**GwxJfn8WR%!sCEhDUGka0;OEUHN^OUMcMZp{v}!_cH>L^nVyc=)h%w`pV=&qr zga$u&;4G(%y_tZfU=!qB$4+L7_@p4ZXzXc&ZfUwiX>__INgren{ky82LBDDYFl8Jh zCmikmVWApqHI~{cO~Tq^+FB7egI(z3R6YGY5n+AhDT*8zKATfszi3z)1|WvoFu_jv zqKo5BN47g(HP7t!f;FeU+N#6`7M)-Pe4`2Ns7Ap-q;J0P^B|>uOQ5yS&asr8TOC$y zxbRr}>|mGDgTW)V?^Erf1=!#4P;;TvIA)Yw0jG4DlV}5(79JGh0FPN-)vzw)-ez;zrQE{z#Muq39|20G)haoQkWS+&D zfA;fvmevN*bE4N>D>sMYqcB9zf1Ch9I9*!Bkp3jR$Ae}o+=!x(7__?S;=a7;l5q05 zr!twB@Ie>~*c2+!U>$ zQpiA5BlJ%x)DkF}%%;bC#B(-{`p1g-X?auYE8L%qyQ1XVt|kpwhJj2~DeKeUn7iN}#)rH|S$rkxvKAza9d~j*+>_-<`Z{nKOzPa?M zR9i`(zjWP)lOodM2%Ex+2-e9>+nGrmm*#%Cb2S;5)H>L^3?aU{LfFwh`L6C(*W^V~ z2M~@0(qEFD$Cp%XAfmI!dmAM!A)c9n_Rk{f4$Cwer(YE)+mU3TY}PHi^H{E?%l@!j zrL~emiryb#Pzz&=ZLhmN0CkkWCP|@87d_QZ+J2?Z3IWmX`GgYgO&z;5XSB2=XV|mU zwk~Jr&0kNghV1U2w`!T5(%pfscyDdeU`WpKXBK|%7o<35gv!_55Z%o~T<(-_yH8!N z0~MP5hGuWAfddO7losW0+xb&K`|mcD&AH#wWxg5Wu6uL0ZXtcZ4~y$}xH_~eeRDI> zI@XS_OE}N*>8i_lPbI}yo+xng_H8|E+#4rCjQQ?EmsDjYus{;(9Q6D~-&gZG_ke2? z9BlYqeSLmafT`r_#liFbl6QVc<>te8@Z@dyU8-fqRl%?O3oo6Z*^W$14t^1#!8>>G zZsMAkiz0%Tn2F-9AkWI)@p*sV{t0%$+)=!I^f!vS)?1vcrW}!Lg_E`%jI)$$?w1wL z^J^cvarRyMw5CGXPG7(oxNY5?f=o%m(8zZ_(#lTDn|EW?BcrE-A)3V3Y1=QV^=EYW|b8L9J36 z;Uoa{vq9`rZrb|Mjg@!;N_&zaFK&K@zU9=)OtE(>U=grkjRB6aovdp#Sf7+m)BiG< zGC>(BlvMidHI?p#8NwIuzot{M;{XpbW5{B_u`iK{w_d&{@hB!qoQ#CUVPr?|lpQ%i zy#TCKFcuErQee8;HT!#B3K-|VZ5*X$pC6Zd{4h<$a>AV|d<;zV#mX`QvsOjWDzrE2 z{x+r(F3lx)e}ZMC^ArIs53ylGICqR4&3?6m6rTpo9s%gy3D9Z@;aoaY;ScNO3__(J zA^J%!d-jl;G9qfrfkLaE_@!!K0`FJ`=Bc#b9*Iibgip zo!(jslGM)A`=7b0kp2Lk8fiT@;qwN)QGqSn_Y_5KqvtCt*c|vshIK$jfHyou_RGZg z0oh(^X=OaXEW_JZeI+3q&le9SC9C$A2b|uXD?JYQC(8n+yzsjM&==ZzJ0fkn5~Td}guZMkmsW*^7V3zQkV}ok;xhvSyb6zlo0N@JM8`qmCfiml!D+IhH|4b>w z;$xu3&m=7Bh`ip*1mG3z3A1J-4mLjct&uT2XMyJ$jxGgx@XSz?V=+FgpCgu~Wa2{PM*feR z8eIKz6^hBejRDGX%(*mxEc~ijlOzXDT^X`tGHPhscAo5*4Btr78o^6aA>O$~tY0!V z$a2DDp*c~jCu^(56yft7>|b(<^H1FSMHp0&^@TL9O`t%~gl-Z6@15M7DZ?&Gn)|mn zo)gRGs%QEP4qJ8{Yhx9RBTjqBTb9Lbn?Cw95StC2^=o=&nU8O3GQ;SjF_kt6(rRW) z@ySM09&IUM9d?wI5-6rU(Z^4YOrABX?_@8F6Qkt;cv(CMgxVsLTyZ!QL3v7PA{W47 z7;peA=I862eC@u!afjrlxPRkib+`U2FZ=oGo|i53ZG4IRVuIoP{%+d;$K6zeI#q~w z%~~Cp^MN>x4*w%S$b4gtjI~9xj{*o8Lp1vwfRHHz2$_aUZJ(G9%|wUwR$m#5w_>`Q=Eptmmdmbb-(^%7-U%`GOoU&;G%6 zTj*`OGAzf6i)U&Hws?L4W&AN%vwHUJ4>!Zymc`DY^%Iml7%om;C{BpE<}Nj6#CM$w z*Z8#?y7t3{f6;8-xtP<2H#?aVCVUOu4}5rKq*(m53T_~AW%x{JC%gqi;hsFKaS8C| z20K1?aIcF`hk}QNz)K_*d?jt}UUC*T{`fO{6=y;D!)Xz!wjG;zt6-qIE~+I2<;w-i zJJown^Um_`-H!k0%a#6bkPNq$>EB1MBMc0-j&t76j$WK<{tQolagQE^g%hz>c}z{b zIXUu{>UJy!*aNfEM=@=70TREdz0##nc2uHZF&}h2R$dGDTdMX9pATuem#QgsO$!yI7kPJ@0TYQW3iOj+^W%7nb zKSWM8kGx?RaAV1vl=wyLyjAc4^r?z11K_k)eLORju8bga?p-S?U`aoqd#>9C_6Wk@s%yi9!UDKO<0U|P?3Oc*&_cK%^`hEccs3FllO0kvh z0-ZUvNEY3D7l6rM8E82Nd{PnAvIi4H1Mn{{+m#sr#Wg9KNh1lE{45--8+(QFL!Gcd z>^_+MN`P1K58Z?U+0=3NllP=nX<3Kze~?HB<^5og<%VA9C1YSC5S z0I)M}{>USkHoH-|#7xuLM&(`~`59N9-j^Es`?h3pygE28AGb~3jphV;6`?wZgU2p# zfh_`AV2gk~DK~cf*-PZ+T?nE>k1c&(>G5}z*wW`OjnX>>P_;I{kwF1~D94@1djJ5O z5vW3+Yb0j*K4&UW2DTTMyglXE&6&ATlIi0hLTSOm1$|Wp z{J-OZPy!}}=S+-Na@bF%z>;9)dw?*r908gZPx1e@w9sMU1QW-jClF15& z78V*;WVD=6Gvl3lp*Zc6$Sn}?SFJrg1o%4*;>Hg-tT&gU1*(LtXLc!z;4^Zs)GLVf zb(9B`X4UJlN~@YsOX@8cbCTPx@7GiF)8I|ZQve17pNqgI_wJdH@l>zrKZTIf{N$mZ z98)^AErHJE@rEaeU=ApOhSFO1bQJ1qJU*r@V1ha5Mns&`J=t`-_iX)*8f7GeK11jD zNXYS+BtvZ3zb_3i0P|%iTj>e!{VeoE)fMF)0*7YrZm7LMEUA8!Yn3wS)j*+8!lJKb zcqh^=@CU+r6Si=lyn6r&`)|qH`XPC5XE^Z!7RRgPy809UuWmCH^Ub@F7V|J%$((4X z-}fWL;=%`?uo^{+3&INXUJ3~1V20vajm_f%ivlB71DkVN?rX3n`~`crs-no$;G6#0 z{4EZbT)`}@pKpo4w3+uYSZbm22*}Y&{EWXIVlZRN_EC*Xy~pfLNlKJ#0`X}H^M61n z)q{TrR&&(Ver+^9dbP&AQas<*WSb4d;G}fAsGY(uw~P( z$YTKt>u=>PA`_8Xq$L`nb{H_xr-yaZp<(UGfq_n?1dJkI;rTJqu=QvL3d=D*mfE_X zN&!nOC5>nKC!8yXwhvOc^dN<~^c!gZA%&w8??2oR3xJoowd#MQt&#du?CNDlIJ8cO z3EGz({HxfdKjMmO95g3#kz9n3j?1mn;ebH?OBQ8Q_rSk`=IOx{bU>9(tax_6UG-a5 z>mDW)eOwdEud@xcDa4MQkc9YvsX?oD!p;5I;9(cQ6S@na?&VI52esrD6PdBGA_3Fl zzt|eFoqt$B-2Y?&Ag z==ebJ*gjgYx2725XCqNX+sv~cGZp{uVR#4Q>wN6x{m_1a8Q=#tb7m4pn`({+?!ncY zqEA>d?pFy7iV&;42Px!nR}^x}(;BYSf#k#Ha>8Z1YqU|YZg=w#2|yNME%t5C>aVmp zDN>mKD>rSH2Bg**Ju6mIv^@JQsj}j>VJA2!3ur3L>uxUuEL6F@=5cNt0QRY{AwzKV zxT6(W?6qH~kp88G^mOIQVkm#@rid8clhgm_w6H9XqR1-2BB>=u^3`xbe2VNg?F4K= zn03b>-f-O3BNP&I=GwO7(Fv6gOCiCF-Zhr=ytgOf>V;0%^Sv#(XCC{amt03Rcr7bw z4^0;n&~z0Zaz#DF;Q|nc%3l7e22keeY{Ij|Cf>LT?AQ{cOrLgm@MY zBns^(l6N)E8z~eN>Gh*G<|(*&2B9?S8~*u+F6QL!e~Q8_n|OuZq!RYzr(gTqrF_bq&lOgfevP{0G*1$88%zA;rBVMRqV51+)n6sqjqkvh0f zaI76mG0oXMs!CTmy8t`+-xGP&XK_IfL|)GJU+gr~13Rt#hn;>`c3c_x9Smisowh6J zes;D%5O{m_wysf}=9{V811^$fl%-Fp>cuv4P8w)$9u}TKHdXqQun$nC4UW2n zMwiZVgu!w(v+rHhiTvR|B?eWAy~}a;?hq#|(j<6@z^dTYOa@i`lf9ogEnB+8qwrt0 zbZhsQXUm+HBbqJmNowopc0uc2G zU`Py*()Hxeni1I8UYzIVq`xQ+UL^I`Y9}tON+fs!8g@;?Si#aJIBu#Lmw#>mMBC3- z+2a^vYOh!)_X^8?bOH@G7eLhoM(Vu7?i5ay$7CcGAToeL(TK?b!h$jHlD?S&KNvhg zcSV6oA$S7qrTwO0N0B4MmExMl-}g2G+{btK3;WMI{Lx>R8KLW@W6QetK(xx;-wMLp z(+^n9w=e40;w_u3KQa9_d7H9Uw`Wsr&O1I+O{8Q+2qT{Isat8B(2RE>(3#cGNjpGBpzg{%{^p6Uv|D}SBa(}5{^t}o;s@|($qvUD09-e{+ zOB1l7*LX6CvthWf<(p>2;`AYa$3`#aSBIx;E}eJ`TH@bA5KG_ZYb)YF;(GZIa2*TY z&=52`UVA`73muSD3Ui z3oy}4;F~9s!14&RC@kqFRaZuBzmknwWdyT zIN?%vI#INa&2d`_ZAo~T|GA5`Z&&8%MEsup$>p=#GL5q4U469jkDndx?c0-GYYdc{ zLQ~b6)DkN|3J>V<&u=g|0z36TDxAvn@G))^)mp8DR|P~DY`^hpPTX(#uv@ER7p^qW zSb?Tpof|Qxq40%!XapVdwYD>-_6^GxyMDxS$u)yXLrEEl|J85O7gX;Ho_aQZn1Gw} z;AG#AawzG4$&953gWR%wISbo0rUyf%6jqkkTi%CF#niGIF(JZU(Sj-t{z``^m0-q|+p+SH$wI>BVv0Y>nmfd4qP=hCFbIV?Z<7A?rx14*t$w%;{yOJ6!eHh(9EAUROlnxEMjt_u{L>BZSYB0Rw#}MZSQY z>sX8Rr~t>eA}dVlbJuJ?bQflzsPL;CE)%4^m?n%cn|L~jtr1$<3W_k${84n>O8FV( zyM4gPRf_(mReEOP%~z8%ufzDT^?KomqiU#7cIEU8*fbcnh_B~Amh1TEjV1KbtdV*& z)^YVKu0QNw>OQJO@tUBD=oHrEC>-9x;>mV64p3m={I#(i18i(hGsMx~Z)~T4ESVF4 zuHTo%lEf^V*Gg&GYS;fk;wcpwqDnrK2AtF0L53QNZRoomH;Lu%DzE^{F~E*YK@DcC z1&VNK0%pwTJ_9axS}_bZ4_&`Z73RlMcD|V^q>rV-e1OKQ8oPizh{g#Pq=Z6BAd|fE z3ZBY{tdAR+?HjPM4I(SgdjfA`*^&N&HhXN4t3&#RpS-_dUe=4(QFM7jrXMWesf!RD zGzWhy3XaO}zYx;ka%*iKxhkyI;Io~NmnJ9^lJz|#%3sbFK|2_AtT<9Lrwe7?ka z#3oD@xhBUEg`xP?3ZIX7#tgccXk~t_cuknmTXci<=^F!DXY~^tBzOKpBu-%&g%{b% zyH~bKcy1(CvuEw60QOoS6ehUkxePUPmss6O_OC1Z0W%j(eLFb4gOr*U?YQ#lTm~Oo z=&V}z%=7Ua{4ls^e*s%p29qCNlC6l^8T(D1MRsla^`Hsrn-&kcR|(A2-o*KViVO?i zK;HE^!|noA*1j8yiPmV7E}X*0{||F-9ai<;ZI2=)selsFAR(R74T^Mk3L@PN(g-LL zij+t@^>EQeXgEo8g?IP;T!(x=&7urmd&{D6 zw)4Ci&LYeB42Z!fdF^2Ex{v-3cpc%v)Sf)M@zvqMNLUEcXxq}_EX}Qs&LNpJ24-X~ zkF&(sDEh=^e$LV74c#?b)nZA?sQd#Y`B`@cQz_ss8QKg)WJ7tu(Y))6;TDaDGH7l4=3OF4>@q8=&mp~ zbt&Basy_E1OMOfH$EWc}Iu(`$2e%POaIBMy|7)B9@yZR>--w7dUZfk3QR3KoB-sESY0@4x!5M)UIR-b3?fUZ=a?~bY%8h58tA8KdVv)^tCz#6%5-l{D16c+I>X5$s zzcU6hvA+bq@YfQJTAm_9^&rZCCS4^nfyDfEFok6`D!-0|-rD$F&Nye1Lt}WJ+(f19 z_dG7^1LOB^tTMMHn>f9R3J<)x#$9&C^M0o3WjmAGCv?cI`HB2|%Vb9^Z(z}X*Fd%i zfnN7G04W63#cn8gtq+3V6>~cLFZj2@Y_BT?&l2a^lXiedIEVhQcnc9dMBzG&xxEHP3XbT6vo?Hys}`G{ zVfcbK2AReijjk;Rw%*Nk&938FZ%pmtg%^~b@6@Y*mTF3O1=C4ZF8GRx{eSq1p&6m& zA;6kV5OkL5ao@$W<4A88D4%xbjOQOt=ps>;qk)r*deNw_aK?7nk%xfPwd|*l^ySy& zRf@qB!)1y=?RxS40W>P+E33|;(+q8nfTaQ@)77Z>^a>;?BEUvP>#MRIdVyfE*$hU- z(|bul6UJ|X{~d8g%p_#^yKQ_KmuK+WrE?~e1bm=?b4C&G(mCS_cZt-+-@-vi9m!x= zNRe90CGQblNKQl4fH|#n?;3Xru93|t9`zs^TZ@hPl4}Th@wIX>E8qNK!tYe->P5pc z2$s0T0Z}QlX~FT1rnUb04nW)?k332rd99q#*te_&XgKKo-Sg!MG#QYP31qc9O%bf_ zSo+?l#r$ZJc&)q{NVUVS0r%K@VxEi#!&vhB-1?an%zCjaaX?P{1DY7U%C2LgzJdnE z7X(#jH%%V)hiqHne+-{Ft(4nh{?hWSW_yT58R_k%oE7%V~Va zBX3~j`vGC|lRGR6ofHXCuH&xo|p$ zC-KsPK9_@K<9`_}Uk66jrMzX8Od(WEBGs^7X6xXsXkD5aVlh}!ad0Yt0yti14~+`f zq817p8Tg>}P5oSzEXk`s%Z-hOZ5=?cVYFt0o>YaFrSt4mo7HHrOejMS=hhg@L9$ zC(i)6=!HAVQ@QG;j1{iKksv~5KZ|GwrOTsOKDe-AF0zA(7c%E+8&yvj_rLgemHSqo z?03qS^APQJJREGsl>Zot@(7|isBjS2p}j)}I@Y61Z9etV7WF|?$epXWWwMU2K8~c6 z;KFt}VloiHMod0^;RrmCaRBJHF_zyP;_`VWNIJF61R4_8mMh@FI(cEz=zryUoPk`A z%uL$rwGUeX>8l(8jhPn6wW*v?pDi_7Q*P8KCQzs{tjl{qT7f$4x!c!D*RD?JLnRA6 z>0{X#TG|=jz8c`$cq0@ln#ZjK@27fK=R1#`Dd&NGZI<=mkI@I}kF&SOI0}nBP0ZG~ zbn{~gWQ87M>`^MB$Q!J#s9-cBUiVA*To?8%B;5S;`}XJ~ut%P>1P)-zQCP}>h^+o& z@+{|+N4*Shgex%tVbUnLI{G3CzMUTgDcu57?t~PR#V@68A{bJ6(QfIr9qT3EDdJbD z?Yegxg{{#qMPs@ZxP{?K+$`EBca@xOtu@q~kO~2B*BUuuv`x`Nms@MTc~NNB?$|s7 z4Oj=C`!mF>G`)5dQW zyf_w`(Q9lGi|D=Jl^>pY>%!>(TIKcL$BXL6OLaml7*~#nbhHs{5+3}$`fp3DB~J&A zc!om5ta^-hB)F;0n?JbrbI)yGj@@0#LjcE4vM$QwBzm9=RXOSuispuP9leop6%8K7Imx>VMo)0h(7 z^WUCsA3&wglFwT{ZRsRC^OGuONwxEvkR?B6G>l-ew&!@=(?mT6l4lxW$uo$XwZ{N@ zkE;i=px(W(rjPNuTdT3f67fEnFAdM*$G>RUxh+p0H~-ixqY>O5Yj)@bQ&7mH{tPJ! zDX>ait-ClHp0AT3y2V!?k1Uy_2w;m` zOuHT(u)OfIUD*QE48aYtoXjH%maolrSfLi{&&c7%no?%?Qn!#t5&2Q}lJfQu&PIX9 zs77_z_^h2K=%Qi`WHJ7%STj82Gg~RtI-~*-c1m1zb3+?Vox5F?FN_t7gw?<={53LEmpV#Fydz=j6@r+O;@#Q_eG zLEYomZ_1xWsd|_}J>g^@Xcct#$W>Mdk*gdYL%Ci7co+CPW^I!H2afj+~EMQZOhsO4f?)ZE>^Wn#nW%s=Y8_X_?thx3c6O z!yWD980w}0_Fin=^*i3ca*@K?Yy5MTLr)fNYM^E_>1JvId9`WTkq8*SZl(_D@nhDY zrnT-V>s(h>V8l8e9t(iYbW3BZ4A<#jV`7cy(bpEY+y*ahbux}@#=RvGu^aE#p6>Mo zYO6A)=n_II)*q8cp{ee}?dCtHx;LCF1$4CGA_{WuI=c6NiS@`iIGOEN~xwX4oB9+w_~sdHUeSSJ)OekR2kCRfXwb~W{= zu58Q-?CsK*J!Ut%qIFN(KLKWekMwf5;fB80^g8 zuF_^GF5|q&F4JbJ;Z*Z7Ai6Mk5AN?0m5y6e#iqGZF<6ZO6~j;MulTihpA$T;u$aQn z!8byYrU*(I)JBp2^aQC&Urd&sG9bz-foT2t*eW4nrh};BOJKc#7ge;tK&)y>NqmGW zDv)~%D@qv-^7tfE+`EG=+AS3#H7u%#gYGBj4DM%>`+qcM>^WVe0t`0 z_S)BRqI0JuP!VySTlviby;)S!5rChHz^TY60%o_E&%lU-$Zs~KEc}B1Ez!(P|6qq3 zR$Z{Dj}If{6o=bJLS%nWY*5t4!B<3^nSlvVq$%L>J)J9Obg@@i-4tgBPk(Ye>+xfe zwHHWPGkl?2$jyj+D0?BGnU=L7$E`C*uV`AoycpRcM{&WcyJ=DIu##`E_AcJ!0){j1 ztZ_TxZ2*hgs_G0Ct^ru_9R$yDYci52Zar#}GEkI`yW6Xy`WyNsTa9Je1B2TLjFro` zfIa`oihq0l|LE5 z4dmo3>?s#^Joa|gxX?P3bK!CH=;WIjJ47)I=C-o{eWgVeB?)Vis_>K5_qzk7tb$Y1 zhALI=Ef;|Y(cOd`An?Gvn(Z?1AcMMfaQMv^Ft(XdKb$0uk{9?l;YienCvm@pBV|@; zkar?>U8K)ZQnE(ZfsU$aWC-i1A#jbC?6y{-;ooChA9JL3S?KeNu`SK&`?t4ZYo`ZhyVj5ShtBu>vd^)COpbjB&4-4&SDO+ z(R(3!W4^xR^&;~lMm`_w4Q7qaWVS{%VPQFPJU954PM7RoUHKd{N(s<^5H{MZ_nA@ zM9Zml+r;Xc6^_GP6?~l%U!FX}eQjDaE45(p0k2Ln7Me-=$Xs1#Pd1}Y6|V*4tP}bd zhr8wTQs*` zLV?<{!j7vofW4;G!9AHH`&>fjBp)O+6jQh;oax$Km3b(vq4RPbI|u$Pi;s=L%4Yp} z=8d`~|E+SiCR!KA>=Qhn6~^X+-A1%Mmk;S~cFZyLKN30?_uHwKAjX|o1x=X5sRGdT z_VWJOUt4Fo{lI#&B=zyYjGcE@?CD(MGW4=VdR8G^H;C0A**|%*jjh7qGmEWbO5fx7 zjjz?+ZF1Yp{YXJjD~cgr9u{cI^b-i3>+hyt2%VXKDXNh_n~VU|3F1eO*2(<1rl~Al z15J;X_6N0V`wHvvIo`O7STzZD4(SEDE|4fruK0ee3`pc@j4iv#*`LUjyIt-g zcA-dmlatV<{@}aVB$~&WKr_%H0fFX+-(fn4FuP3U0rYs=A8XJ^1}xlm z%yVV)8cmgb@0E#E9YV=$Z5x-Apw`|OQ-O)!1YgVS!#%&rCsoY4q{SXOd>HzQWn;|z zi22LHS#1RwNWw#(7!^!~C~pwxi`y-vBTCX}WvLokDf5%*XxWn2--%CW?nO}|8Sht8#ZT4H_-w)zWOA#F=0!Tk2 zuUxq;nQ8?M1u|4Lh5MT1m^ZcF>q1_F z66DtET(H)vV}noPX11 z1#4IJZXL%|pGA@=i8Wse&M57E>qjotn>XXwq&yd0+B5l{i6o9Egyl^{NAvC@0x9SZ zd}mJKw9xJZgXRkiMXy)PafUtQ&$XgR;wufM`qE3m$4z5IDl=SVP)T>fSvaH%V~%_t zE^s{H*sicy)?MdA=2PtzGHz5YA1tE-!Ki3R_zMi2a=eJQe`gexWYLJn(OHd?BA)Qc zWp=?B#nE1C7^5gAJ#>wJ=m6UNi|>GmcGly9QABagLlL`T6qz@;qsW2|3K;pKLWMz= z?drskqEE;7^v z_-`{PHZ9?V)x#(C=Q8A(_L{T^)NIQzm>nz7B&k0vE{xxr)`=35&$WJd^oG3&L{PKL zmUoX?eh3Y%O9U!pL~RV^+E5%+Dv{sMl z5X~d9oYDmMBnM=t)?`OjU1X<1*=en??6fDfWxlZRowsP@P)gvx%9ry4D&AHTRc9wn}=krx|~5VlxXpw)4?+VGkzLFakGKq z5>R>g+{m3wP6vQ0XFjt=kfzV=PnSw1l%OVU{)^&w)l_z&NFPQdBx7D~u1@n+g$Ev$ zG;VTOd}=kJ-=%=`L7vDJpz39Qf>nlk=PK*3OO!hb-QE%b->dmKfl&qLF+UZQ9|c4! zWq1KmzFLjyENm^fN)1vAKtS46HJ}gWP5uuvq(ZwWfHlQ6HbL=(d5FVnH)`&pXqOG! z!XW2$A3=>SirW1al>*J2Pp?}!#XnZRUO)Xa>~9%_{f++-_V)}5`^zpgS%xJ(=D5w@ zjDeDvt^}lZGQ(v9l?XGZE(F%2J!yA3d&#^B{_2m;d1LZ9j^%o;UX$aXOeJ@u_Tkaz zi|lFmtL*6~30W6uzv$br>}hevH)Z^A{R)ucexQ94mbpW!T82bU0y1}QZF>l{{VxkP z^#DbQl*CUzZ+jkHQ0;H2vknVP>O5WYyRzkm5(}*o+sZ%X`f&A5dALhy692GH4~cR< zEu7>k*xJCVHID^sZT_g%bwQ@ftqqCoDBn4xGaY8mBSDT@IkZZ2^iIXQ)R`u7Ug=Cr zU^-J0p1*abJ=e+(7lF>yYjCH3iZsgX-@*TRvtNH`{IUxhQtw<5fDf)+5P;Kg7X+XM zqGE0wi~v-=OrM6rQ7KAP9<*Ia{Mb!l1Rzo6(p?aER+HI2LqP|^{y^qmK^eha=- zZec43ftP1jcuD%CJMjSRf9|BxesTjKix^^Cuh^CNy5~|mKzaVbYx3*0w?sz$wM=QR zCr~w_-l!T2z+6;pLefq%o~MBOF8hXJp#1QNU4PxZtKWVHt!%b5yoRdRC~`&b?IZHz zQO1v#q0^9C2nwBsPXKPAgLh~3%@uNm)HPw$eD(D|F;=fdPox$qHm4BXGaYYhlzCEh z3NOf|TVTm8Up-!sC;Hc^q*R6k9p3Dk-cms@qP)%5pK14AX0-8RG8&ni398hGaST?* zc7D&CL_*)~xAjK(iy%6r-K#dF2R~P>$#anAJ^q&4k(-Y<9$WXORB=r`0(LRy5f{O< zxgoX;P_<&sP-TW_5*ppns)1)cDGvN9tiv~z==T5v(~nfu8IhHb>sqLM*?MLDBk*&9 z*3wAdpO?qw(kQ*Ox_Xh^=?$~-gv@Wy;w^E8)^M2 zsn76+G}<)obfh!&%Baqim4HpS zks(@_m9bi}D*JiYnJS)MT>mz^^U4vB_-A>zEU&Xps@9vXChek^v7X^Yi{j@w^+&F_ znDiOh*p>i^|BV$A9w6~AE0(V*JY0MeoSzUb$I|KLz#^P zFTXBY2?@BKC~iM1_Db=J6dmMhBM5O2pi7kRZzaARuN+e0-JX8yt=KD8=4OnWNDrI+zn-W-^AV-li-Lrmr^m z$+^>47So&M`KYC`?}5YwJ7JPKRu|#BSHqO$d z+?kd;Zir^FjWSi}cng^`zp&2x7+y!7dSEBBD1Qh%%#q_^`M$lieg2Emzh{#!ck+zH z30pkC)j?JzAcTNWEa&+V4P8x0Dn(YX0|LRB1V~mDOzh$aK^ewc5>=CgOTUXw;N<#f zSO*#WnD}UxDxA;~Wl#{00TnC7^fZWL-EO?2+4OxA#Id@fNi_FDajYBf$-zrz-6pS> zJTeqQZDv}o$f*;n>rf=M1|51QQ&1*(DOWnY0A%}Vg8&x~9+(C@$?Ke1!gXP?&dXAH z-*vQ%w|(<2qcQ6DeI81`h%fo34CXSDo%yuQ+N-D(=7MZ(`PrxkCo2_I0Wo#AIkF`^ zN$xJtx@EpW4|;%av1-odC>;F&pT_mGSR&M*l5I|k(<_t=9o-lXdi&~g8FZ>sAloQT>6h|poen}#3%QWetc)wyh=5f)ZGtB4G zEi-#>aBA`zPcBiWS>GWvCd1cFB%EcYSXdby9X7dcu-HN)JWSXAn9wIfcD7=ler7~~ zPhR`S8qb)0%q%l}ZnM!LA|aVplU?(Mj8?T8C;?;ufe~(jqOcnrSyP9~O}@R_g(zcL zF;*#dmO0PP;N;uQA7s@yYV3vh+xwJd(ryvsfxGae^SkTE4-k`~_~4Drlu!b~&r!zj z2*EGH5~FK&l^O%BwD{FWy=(uQdVSKJ4-Y;;bGp-|I<(Mdiih;Dkr5|O0p4Ebgek8u zvXK3)q|UwMWf{*Hp+1#pVm*+ORt5sD_U&LMKEcypdLbKKz{EG4v9cNYXSs4{E4VJ3 zNv^to^;mU(uDS{iT6h>0jcC+=BPm^xx#s9mI>Kh;?XN*!WvJ3z<}XD;Gg^T~`&qioD~S?+r74Promk85U6WuG{T zte+hZZJtkdjHJ3G1hsq%U-4g`Wpwrddf6bbQoIpm-r0AA@MmvrVB-J@!X*>gL@KwC zF3c;t$iTb;%2n-O&@?E@pe{f*$L^N2!arM zoP%rhDzSggfP%Rhc@zzZL9{J>q3(Kh(V|6w*&~kEb(~?8J{BZ;Jn_wkzXKA4ku@zb zgrq)D#*M55`jsgg`Zi{iJ>zPKDMr?G>~GK>zGEoEh~cn8@ZH?Ht=&&gyM?$Z_Z<1% z!LwD!MrD%xP^fwsXIzqm>BnV<&GLAj1}CS-_;}kf0@5xnVpq8%#$VmJg~O{X+=!&CdFGB&W4)S+4)s z=aGuqTdzMSqw75@S?`G7GCdfACr~8`0VHFLVO}Fnv(E0YrOBrElox}8^X9mdy z^Tk`4+GxomAAbFODP`%!6dNG_lw5(htOS^uLI{#lX!~0|F)97;yIGh`o>@cP*w%Oh z*3JV{-rR_ZV>U8Np;fQChVh9K7_9iVCzC`aih@Me{rH-qS>TbUNXjrP*`QBF6Bp90 zEm3^7Ke0mt4ziCzo|7RGrIA^imI=KJ_+KInpS36JqVzka!m7wH7(M}Pq_}%2l80+& z>_MA%S}-?nHOR)cJd=<+`f+)B=(q-JDjs;M^QDGne)KL-)khS)qV^q`4M7wN=j<_?e>NGMwSIw*y+A8*uvwf@_#$mS;sj`BI{?|irSNx{LPjajjwspgLN`fKQp z9zLnwm6w^XtSw(o&#g$1j@`ny zUMFNNLa#v7ws}4%7yY59?wtcSe;#^yM9JV8a0lK2b_8D8EGCmzV3VjYF>bg);F38Q zUG>0^{hH`SZLjWPXF$fRH_NC%Dl+=G-<09eMJr|qzz0&1i&lK98I)tq$bmKQrp%X^ zant%9J8&b20jY@o8z2>tzwh~9n79xb%ptr~q2;Lf_2zn&fAJ4g1x5L0NJ z`G|Qu_8ZZFq=vhv+_F%mk?Ydk-UOGJ>4ft(Ol#YDGE~xnu@Sv>A*cIOeCs~0KH~hL zKSQb7IbwsyU*4{)O@Axry|!7XB05vw`3{*!gD8K5G3AFXe^RN)6KnK)@zNz?>AihH zx9=N1YbVnYf6lgtB}L6k}#`4-Rn81~V0(31%BSG3edQopn+;$?mL6o2ePc6vzZ$>mw6ezmxRhocZ*n z_e#Or)2Mo-o-|EzE4uJHl2f%rkl}v0e{+-aG#2{&nO* zA9$Oz$0Hbe)7ky9g4xiUR%n`tiXS#H=<^|t0O=kf?_S8V)O#0N?i|bTEhLq+E8UAx zFj&nFK&GNirV5iZ@`3P-wEI!kOHm$S0jr(UM_S4vOymbT7gz&R`@GDiPCR+uS;+7MnC#X^th-3>%;0{oee*f z>rtp;5qy#BQQOg3J;rNk?Oc5RQOMlIg1`HXNT^6&@x3HCx#C9z;iFzmGBETD-S0Al z_1lAYQ|4FzF|Wp-Sietgsnva`b5LW}^l2}FtV#GGwb7@K_}laUAfB6Kn>{R)N57J^ z;aq*L>oxa&PvoN+hF~<71x1}y2lPyEQnZ2FO@Bo2OC|f3P(X+wY@7^aFhInp=2smS zN2mrU-b#fYjtoNT?^$r-uxvMhQTOOO2IJ$cLskAQe&~^qqU2I@GZ6ef*fbf6xDX{W z`NlhRg<%QYO7Y_{sb&h(sxa>Y3I;=jJ7e(lhgXsT=skZRPUL!v{QLe+f0g zrpdcFM?C!=!*5zhtObs=gvO2a*)&QqWXF}8(<90fZUML2h8Fgwl?vX%eh?np)UZX0 zXtWEyGU{5wNxt(s$yIz5(-{iYhP%m>Cz zrGS3_FLL`t)f>F^FVP2VmF_sDN*~_Hbqecduc$bP1c+$AfD8x>Aw)D{3jav%t58c5 z+eF7MeDy6Ko3hD$Chl)<&EeNIS)-YTxFBGp2!K(lxy&62@~DP^a;`Z3AU!%~lO4sB zE>=JcKBFG6K0v(=4V~fbzYw6I6KiDvJ44x?3>rH7P{Gjo0~a=Q7KsgVY2LXSI+<_B z|DLmvXtAV8PWOXgjxtQN;0wWfA@0pIf#qyWMYl0}rL6p@t29T`9)m0OE*cVrSm) z*U_`)wTE-S<3LPU!=$nN6*WqJ3DFvHr*Xs5yw(vuR8v0%{=Kl>-B3-!@B_*jhzj|# zOvabi-B2~5I~Fq)EvFgdy(@kcT#yybxccB()%AQF{Q#~XpM9+jEBS>(2^J3rTPjca zv9M(VTvy^W5x_4JQ^ZC?4+T`pz07E$H}&*pICy^zQeR7kQ7P}>odX$?kr+Yk&-){X zgk)aSKJ`0_i)PinAo(mXS+W-;^6q@{=qR??!g`3 zbgve}!b0zaY`7sEgoQ!3kLkUW4?hkr=hi9i57e+FCE8 zlpWg{PJAy2hYDV|KdQ^94se)9!(Z83JAzQ>Z3gf`#K<^38@XYvE`GR-FnDci59F(lXOqBDs= z8#L$1e9NgZC->BM0Zl8EK|&v#b~gjE*#4tW`tzn5^4h5kwEU3_xoC?wpV3hUF@zs5 z&OrVA3*CJB@lT8h@hbn=pQtdxMf_MPdQf@;)U->wI%@5ogiCI${h@0wm{+HBjg2rt z`$b8uz31Y*42I(l%XwthFE0Zdg`M>pOtG*(QYf0Hgxk%B`ii;+(;M{qf*y@B@fNKC zdK_Rah9c55Pk3U`2?+5Z$PoMz%=P!f1!F74;sMVLk0>CATDL22K7HNr3@3yWSH4(! zx#amy)b!B7$9|avM;n%Ppo&d~G~x9yijZ&{rU|d_O|D1pOH5nUiOQ>=-Seldk2rco z{bt1R1)|W1SyqKigwVTXhT()|hKzUSaN$o018RFutdmexvoYgTh(6tT=%`Yx+>Z1m z4ZX~D8K}BO@fY@fF>}aXE16bRFwSedp0E;Z4G5P!ysYdaOfKgxWM3FNSU^Y&2iQSn z4kSQ|L+qe}9I@{>ZTN?ovs_Pl<(lQFSMGCpWrn9bGE-2{*kf=o?8}pJhga-i7&X@+ z>LU44@V1)0=ANCbC`h7NJ6LWRe1(`}O2?*|@sa zgy8|NeR-}){y(2_yc24h>Ury*Xthk>`}{>km*^Xt}lUmjEmvSl;bhW zO5-o`CGf%`>}@I3M>f5tT--L-B@MC%Z`^$*b?3*fM|AA{p2#S59tnAu_g@_^@P~*a zmCQf*qrXA!O(o?nI%C0iXIG@oL+1rz+`8M?(9as^@|r&{c)uo6kU{@mcq=o6pnzw* z8KE`fF5%KFXvvgvDl%J{7+VbrDH`RA@TcKw|4y zaG!nS{00CUjr`8CAEBS87{s5?ss^Y7VZIEIh)*8?60w^3JB&ok;ZT&U+<5>lD~Lz4 zn2CU46~=f6fZ`6>eE@&!=el6fZBnxLe$*J}Nve$vmmK2z%|AIriFat(nwfiJ@U^A$ zdi!c|`r{y;(%c7lbkY>s`_3+aO0)4_do-WV#bChuWx)kZK~MT6^phjzk$w*~&XI1v z?8tce-crBp-B$_bi2F|o{rhEg3|UIO6HNW5&BYf6gFXiIO{#uWb!aRC{2((4d-nCy zruRuRCP8$D!wDJ-Zc#n)YtjH)eHM!R6X$;4v*6G+tw`T}}~F5dlZ= zh*nEaPnxgg3fPm^pQ;?HX1EN{zII16XZo)s;#(zT_TNavr}#)6w-GN$#E(6XE{yav z_kfZ9aj;Z!AvuT?DKgv7$1sSzVrACT@1&LYb&0@OnKwd}scpc-jCRbv4IOp@M__)} z&6-o`Kx;`ZO!Cg}us$6F>(emZY?AL)q{#nypWcNi?;usmR2PGE(-WNRv511QK$jfs z8Ehn;P;{JpHPR>Ij)@4OthyP*t)9x0lYxJ%RfjPCF@mC-4WGOK69ai;a2_ z7j_Np0(!JCTtSbgEdM}{cF?8Uue$WR2^jRi-7)z-3o;;80-`5buOz zs??y(CCTB{<`P*DF8?yA>Hm0G)NUaGo?)i(s#8M2fmqHkZ)j5VqDT8a3ftibIPi;p zX;;c_t^B_o6yHgqp#5h?6fyq2NB90cBUWmxbPMRp$lB8s)^KRm6)KE4h#V=K z2llM0c~`vx%%M4+hQia78lLeF&lXX!*#!DL>g^*HcPo<9nOoWNiWtupg0~`+l;R;g zz3r!tI;)gmr{9oX`;vPRAN!fNLjJ3oh)mFEx8zA~Spx8a;LbRO9}B*aQmSqPm;MnN zC1a7EA!9cZ!rw<;LD8r~0lS`@6(me(#Zx4g3-=6yF7|bg48=azg44UR0USkvjWa5V zR2#P;>|v^AS_I?wi6c%8@ld;H{T_*@PWyf`Mc?a=(HPPgQ`qaJk&M*>N5-XuYYkTM zExy#=O05X(UEECTNCftVnRlvZB-w}j$6kS^jDDXwh*kR!w+UeO@A3{j!*CdmF5XnL5I>cWY(d+P~A zoo6U$L|#zm>JM4kuF&*BBZkt1;Zt%LnwBD)P<#UJk3D}De+Wa<;+=n>>B#)6>wBE# z-BDkg-t%wZUZ#)Dp@AD@Yy?*Kk&^;Hpv>7PHW0+ZLrLn#AW2;lqx?NcQYS&?EhfL0 z8_+l~uJ{I_y7UzUJY>GH&r89O2jIKS3qftdN7R#h_jo@?;Yjumj^+l0pwxoUy zq0VOQ>C)=kImR$y_N;Apz<#`=1w~B^D{5f?JW|`jMZ~Gl3A_REA)s~sKJO29I)yMhOK&TlG#SE;2a$# zk@~oLUejw*{GLeMuS|{;1n;rlZ_Vz4DlW=+>%&&#cUpMlvo)DUJ7Nibw5G_Q^^-k0;hOH zs4$QKP!2Q1Zg2uXnO6>5nyv)NBdlX$_5*9}L*B&$!m}*zV+oLXod7bghZP1tw!kv4 zabfG8+j3$KQ2xQ<@qdBb0e?x($B8n?97et8bx2CINUSxrY!!SNs~YPN+e}&SYIwEXg`Y zO0}L=Y1{{Jns+ZNKy?Ds?Sj2ik>gD{$ojS73OJ26Couof{XPF}R&(xM&VT6>AnfTM zLi|AA&sY)ea{g;Uxrm4g!T>Jy$H6ZMMd6|?AXu>;rvO?vwpb(n#Pz(aSO&E${E&OC zQT@wQ7UfkBfgxuYj#(TVC{q*r#|?hhkF><#UMBIrf67ni5y^Wv%J46zq6Pl*sp#x7 za4On~u8hso+D0s+AjO3(VZ-R&(o6IvCHTj-lU3+OFXWTokvoXP1mim~Dq)f*oA-&bCTdBsiy;?0p?6m_m-C+8t$O_P(0iqjI?U*CL>Uo8on zMYh?v=MzO%bGn+->xw^y|Fh$DvXse9M!IGk?N2eD`0k%+&Rv*yteLKn?|7|$=`uK+ z-KUS(KZ65bVx!q{?D}Sqe6#x4$yI4l*V5Ghg~OCn+>;fZkkDv>7If2Ox@s2{jXwXq2-P(m&X~j@{U>K zB!bRFjHfRv;N@^u*lkKn=43i@-;gR~`M1?&02ZBoW~vPOQ+KYNsrNI%TViMtrnLjm zTUOaUd@>gT-k)OuLYqpr=~(O@S?g>&dvlr#X)9AXc1Hc^{l5fE=UiIyP3CMp$7v+{ zz@^Ikd(ktXDSo- zJXj9R46`vRG}@FlOl7i5pVPRZEL5=P(M7Iox@dOAJ&~vGt$P0MK%JTVl2bS0yyDac zEVKJJ?{s+#NAg4sdi=CgWVX#vLJJOT zfbGf7=R8H;=OGLJ0X~@dE-%LAlBujNh?&br349o>3V4<%w@G%QBK<8J_~Z<4Tt21W zVAb*{<%VgAQHF1OS9)YcaFw~sO@7&?A8Hnbs`O=F(uOKb8SnQPUhS*yel3*>%XY>Q zyMX-?dJoF!;elhWZ*?(UA`eKs_=k?lMgAp13!-TD{;Or~f56aWdbeN$izn~l+v7Y} zRRgZ60iNn%!f`cpKo5qPCDc&Hj^loU@0EWU__gPa?)Lh1RIIPh=Z&6L#YmjEVK0M^Ufrb4AVUnnwZii;~fnX5h zDX*sXa24%SlZYmzu}`|0rYy32srWPukwiIL3palPfeg1@^2kl-=t97j@(s%VPeO@L zY)v9?v|z91mgQSTuOfC}hj;&BHr1SnFVVaOFBmE`4WQA-MwMH@kedcc4J;Mf!XRx; zAbI=K=x7KnnW|M$9Djh10l~is4jbL%l$zoxF(M>CI`FRrY=j@|ON6N@XBPplZQwoh<((eVogT;8M9}pJ=3RXaxbD>#Mb(_pLAGZZ%-CyMPoGTiXk3Xf(ESQV$Z)xbu_D|_uXC9g{cu`$k zg{Ai4(~c9r-H+u(uREbx*PY@wvkvX1w)SqW`dYlJ-1>zV%!L0lj4Gki0TS_Uw@C$j*sC0Mx?Xn% zU4L|yO4*4pw&jkyYngBFhrJF z&mCs$lz)3XNqLqNIUeG2{FtK|*3fzdTEQ;<@VWa35eY`jNkuDLVQ+`{psfVdIY_h|Z4>-ZDJW`S`|b$|_;Mj9$PdZJbNXE~Zou$;jNoCW3{sWjo^J z$&>|DI$ECHIxz8o2mRC;9mK+nh_1u5HDYJp_#F^c*oiWR>#HP7g>kN>vpjJj<+`H` zq+G<1lI{g}>=IV;Q@E0H#kyWdxzL{dF69#VQyVitR9=R(HZ_j+=z~lAyhpN&s7F*# zr25=hxokqMYmJ@JPwq3mw1cD#u{6g|sQ7R8Jn*~SJ~O}HCTGI56Lpw*SGIUf2+ zsMltJ$8)}o)pPsXlG!&=yivR@X7*;A1r*Qu=8wN#w2m-H1!;)92^u5Ty_U`0ztHQk zud`YB&Cj`{bqM`Mf{ml<)SBo%j%xmj{p$5r)mMr6dJnN;g%PZ9d*O6)IU3aaF^XcC z5fa9q2xJ-2;FBO&efEGRA#0X& zH)y*6y~|w;hiaJKCEiajuVwIVG^#RjoJ_~fMi)o z4>mc0z>mCSDJ%%|x9?he@{l+Sd>eHc{JYtg9Pl4e3+*Xcnz|!_X!+e-^>*BB-h)N0 zy%wl)!0THsIqA|?H5pN&hnw9%bdqe^2U{?LZ+D{t2FC2mQX(p&-!XeZxU|iy59fdN zSH9z7EhwLz4Eq4or}hkGxHmpx{Vc~MueB79CIAPrD}NzD{&^yQtph9MW%{9dEDZ0yNMTO zpTA1j82Np0Z(iqXj6_%4iR%>LSu)Zma!zgaFnHx=J$Zi(^d0NDb#?z#{9U6YfiPqP zS?I(cr8Un_lS5YHw2GyWNAn+vN={U&UBNxcV06qvsTqUKwQI%q4+seAXu<}tJqB)q zm~sn{cJ>&$Cn;|}RT%kJ9mB!;D>=R*h}3U3WC$ckAlx=tc>NMNUb&pLEfY0B*N2s0 z56mwYx3C2M^DQhe!8w2lF1$#Gsmz@v!pJ;b6&+B=99Rl9RW3nx9*|ToK5e{`0j(G7 zEBGtOo`U`g%$H_!SnjUJ33`z{p7^xvvnlw{AOgGMljKh|E*1@QEp8&y#C$?B>bSwr zAM$x*P72_y$7>xy3D|r$KfEY~ai~%>17vHs2|@*t(M9vaiy{I4{C#mTXMeZ>?V-UBsK9E_<$~em+L(@SxKQ0y zzZoGUbt`h#N#PT&>(#BHzIqIXlfd#RKO@7I6_>O@;v{EpxqSr*Eu?3LHqhzzyOtb0 zC*|ma6Xob>)#VIa@3=yw+7Bn#pN4yHbb$M79zKZ*l7+6+{p~eu_(q!ug#u@#dTuAV z+S+seCS|D`J8GwH7Z1F=lFye9yd}OuiHe)Xc;af}9`o*p;`0X%giYgH7QuX za{#GRT_i{SR2p_xa(s({r6%~!t-J^IILpS|Pxlv>rV9m{JSuyS-tC>`cAtyAZ6Uq( zWhBF%&)?#Ng`&;5Y-s+(oii3XJCrx<<(E-v6ln=Anfe+h49B-FC=GvP-d@jJB8vUSfVP_K;nn~hFP;^2eXXmu@c6a z)625!4C%b;N6sbo!((~de47>w-EZnXh$OgNb|qM?fv)6o(Uk@^F1pe`rFhYmW()4Y zIYvGrcm`ewVK9Uqs0fu94SPXN!Srx$5gSlS{P@#62hGgTnOPTw|*DGwJRW46S+><8(qqh?}q8Tt*gcx z$+bnms<4Jz)+1`lFb5ZLkG(C!Oj|RO#XpaJDmUtPa#i#Yl*ov3HD;FBK)_ZIw#HBL z&Z~Sdtf@})Fih$+giGM;3!mX85&&9He@Y~K?gy%w?EIvOy^R9>yq)y zr9B?_p&^sa@EWHo`$X(!X!kIg6}3`o$4RkvxjB>G%;#_Qlr=+=Nk#r{L z0lM#4+xD*qh$_G{v}Ga&cWFLHXFode;@}Rzhsq}Xni3t)4Z(;8b zALAItE@w(OKb$rOeqnnCPbz&A=n?q;_mUfFRQs*url$Y3rQn7e_?#@mhsli#En~Fm( zKJ?Q_@*ZavI8$X}0@qB(6ygu7N4^ojKEqyod&@VCQ_D+c!X%oS0p{aQkwL72Z z@rH8swE|5{vJz+}`o8MFsC`jeIi8$1Q;G2|;m&8I@l%SGVULx4by;v;EiSH{$ZI=V za@2hM&c~@HAlfK#RUY3HsMlaYM=J zp7lE@t3SkME&C6%`A*T52t5%o45C_=QSz4S1HrXs_1Md&>rize!oAr4S0AsOAz8x@ zbMclsg}#Xhthbb8+1VQXxHewGb4uJtjhgX5I*DkCp;6{jdplplm~e79t+n^3 zsMr*UienaVdW^M)9uAtjG`bov^e5iZY~F!)6*?oXs{M3E{+X24e8(%1SMWK@zWC8+ z{ds%^S?yF>8Xz~wfm^)!)9(SJh8+uc_)l&7Wl8f@@7pn(s{>^Mcy+2W7mHjr^Zd;@ zhu(IKxfkhWIIc;C*qyibL{8iaAze(8Hi4@T5x@Xj))ut`4U{oKSgQSvICm*ykUfl| zi5he}fQZJ*v1{s%CKwfR1QjLW9IbB^Ep6mjH-udSKZi1LTejgk_`@IT*;FY_AUWvw z!z}{(8gM*t^k4i)pN6^btHS(9H9B>rk_Wd0KVK=xR_ZPkWcrK0E6C)P5~8jYWH3L{ z>w@UlHM?$IVVq5suOBMfW>DM+8wfe|;$RodKwXI})&Hh*DX&^8^qSNqx2i~X#^-}~88u%ESt_Osk- z1wy9?(OUm*Kg;&Ves%#5sRQxv{jB80em0BtazC5@_kLFYpZ%;c*v}UKy`L?;*w4D3 z33y1sXqq)}Iq;99bB{^wVsrT1dR(6UIrPh9quy0_TciL|zyJ1%Hk0dV;kU4!x<}Dm zPY0|q9_Ve0(oxxZ&UWiXqBxxlBE2SilW=~%9$WEVS|lh;`Q6jDcc#|c-BPhV>~6Jx z4I*yuv?{n4<_;?9<6(-I=XYE8E-<-eHW&ON3snsj)0iAaG8y~D?&UO8RJ-7kY*=hO zh`nT;F2g4s*)9iZi`z*G?u>YC2<;tXTbdVd+TSy6$7kOA$Wp0$PeZawnJ%Vd_Vh7h z`+zszg2~}8p@LCs&rsd00;2tWO7EflS^7?-B-`lci!w<LIRD)~O7IQA)(Kc+jQ1cGA= z6t8E>K&+fHqvE)kw5I@M!u78C+a3=g0kd%6aFQVQnI5EIZlgcEHKl$jO!lnP|4+i? zVqyFD9AyP-@vB&4_>;IxqDmF^>3UQKO7dlUZtVEgYYZn}xaN1JOkBYmYvsk?=!z)J z76X>6kFJ_~xa<7;9tscTA<;7UTXAbS2JiKJ)=fYwSYl9i4mbq$|1aX+IxMQT?b}u< zX=$W8m6C3yJBDthTe?A7I*0D=?nb&Bq>*l<8@>fz*L`32^FHtPz42|^_XjBBY%|N@ zoHge!Ci^Qp2dj3;xtnv9o0WRdrRZ+RH!9 zIC0%{rI*wQk82$1Tq2UT-`_!it$fOP~yVeB>^r;zvQyMx#0=j zblO#HHU>o1Puzs-T)(WbY}lz4Hqe%vhKtQxJQMq2AY%8APV?wjX5YRla$nb~B-wwV zo8;=03AK5=^ZkmTiWeu7}U za;rbfAg#;qK6^O)R0xJyHO@nK2Xb6+fD4~bi8&t)ga6>ka+Z`DV%~(&$c;YO#ml!( z2Hf#`U_R8>|Ag6yOd4eVKSYxOJk!|W ze<+ZuD`=0_!J=?f)%1IQO3grw?i)2jgQo0t7ZcG{%_9#$vRbIK88x)>h*f$%-_>=Rsab-LpCz6ktIQ=F6#w#9q&8=dWJ?z2>=FqqYSZ z{V`vThW!I3eRKf7KX1xX`&yRoE-=HhrEK;2<~@x!-Q-v^1dV29<)C6Qj}FjmF4%+l ztJ$0%|3Vg29#;N!u;#+)mow$Vf=T_Jy2Zqs#@Rmk|3W1XFn$LNy#I>A_OGY6F?IlP zty8dMZ9WCwQwNWOb(8S9gBP9<`T`ty`_#e1PJZg(X+3xFpbKdgp=tl_;O#dEQ}7`i zd{*6Lp?d(@1Eb~q@;`v7-8kMF{*3I13ba2>sJrXb;MhZw<=+t79NsC17Xntjub;yU zpQm`2O<_BtmXL(^01XQbI0kGy{%dTnBWxl`F{JZ6xiOQ%t9du$ zV*bPFrFx~CgF8w)z#G2;cw>NcfIgaJEPtx_%YMnd&DsZU5QhQK%)nPb*4$gmF)030qtC0RTR_i9uH$?L61k=j9guAgafMTy<`A=xj>{+p=UHi1XmmWKR ziVW_I+LQkWOe}bx-HIQwjj;!O$%jzA5Frh07XT82_f@;{FF#Ni>)hGp$@08QlPXp<4AB1O z)S$8c&8d}dii69c|3>7U|K`-xR-ZVvA-aEZY8q0Ec|Bl^wLq#B=Ch%pe?$hg)IOH* zWB>0a1_zp+l%?}x99oH`V@z(a6Tby()kqREQh%U_;%oYV-%ltPU{Sd;yOu-d9JS<} z;A;yaKoys!)$#7)CC+C~O&_^6PemRt-G;Xe<4ymFZ9?NMRd!Nk`(RjHOha#TsMn)9 z?tlS|F{(fH`K}{_cR<_-)NNH>V(1YbVSInBu(ES*dnvL_qT*>;IR$Jl+TlLsVeC^R zHNIAQGcY)Ftz(Z0E6JyB>gMnQ#S`uoBluqDC1e%FDr zYWb+c-_!_<(OUC{CODvDNbrs^iAW{}k0=Ngt4H2gF3V%-71IaR0+hLBv}o-2XDz z()o+Z|2A7T+@N0EnrQkg&h0c-$l%JZv)@uJ`ep+iR92urF1~TXiHoR~uSrj4*q5(D zIc@uO0B^5NEmp{(;M63FV6WNVME6p`WWPZp`!*qWQH(Olz8b~o=b~HvH+5uYl>Ju) ziq{Y@tSFLOEqS*qUn6~nV9j}&M%R08Y*CLw_zJ|IOa!gYR^w{g;4ZPKx4l6kZl0El zqk?6)+xW-FDNBMxixW{thxDF842v@yzhe%a6a>%SkTDe_=%Gl4?WBhybvIFX_8BHa zSV&otgcDFnM{nqSDtZ;S7kct;$$W?;j-ZD6%c$eLAOp{^12k*c1=tth)#J3t8JuLY zb6fP;P$WlGIi`qrJ zz|$myMsB}jW$P&Df*g#?y8S*Az|WHQjkCEOtH2$k{1;W$)Gcw!%cnko&D8pFFDKU{ z4o1=Z2)sl6)msW*it#U~jMLy5D*Ny+sH~VZ?Lz7H2`Vct`95c!@c5s3&_5|_5kNZk z4-8VO@s-FeprLyfULeB!nYa~<1c=`{kkD9EUeG9xKV?A~?SPFesgDTYfMbOId7|!E1__)OPSeZ zHZ#(E@yhy=l~Z|J<~i}vBsaB;Q)iK$Pq%`bF!kK z8;1eVvrO4Q{FSUw5JAdoCT+a|;>(2$8TN_Yo&U{=bzp4wKZF;SrEQGle+w@@K>iV4 zp#3Gh7$W>8Ui~b*K%o4$@FH^nOp^)!xA5Yp1}O;c`1{$|OL1c1pVT3MfZ!iCq0x$e z*@ViTZ9+)@S2m$FL?Bv05Rs(rQaZ-yHk&IJW>GE3nykYH9YXh`KSoY2A)tYDDA3CC z;fT<_1|muFGqd!ci8xRJc5CNC#v+T1LP*)-$;Kafe5t) zSB`mrfhP~I8eS_sF}n)DC-u|7lO-};tai9B@d{jo2yP^RJadHP^aaT)3`l1|U=LuR z2kZg-vl^=1fIIzQ)qjEGECfmW{S@&P#Ofl{QxXX88#x02?fz<63wquIwEx#VfOpiN zJpdw=j7(m|8TLlfyzW^d5LtE;@63Bfb_@O>yD0xacAH(yl}>J752&m<@PI|zK>yQu zC+7c}cOs2++SvFf+y3zQNrZFuha7xT@Drdj=tP>K z4_VL5?mU<2^DbcG$)W$e3wY0wWi1hUceqnH0qjSm|GWL@^R$zHVS&mCE>Qh(Pt#5S zn@|xl%AZ2BFv6cgGa*0GA7ZyylJeh$=1TaCn%S~iRZ+o9_3SF6Z2n5p#Kvbkj|jAIb>O0PHQess+JMmuB8frMe;&8rI9FN`z{}m zM1+j({(hd`k^}txY!bs#|FH1cUm@2;jJxddMXv7d7_ZWd& z?t88K!}RyY&18_QHmjv1p(cyLvIN)omehWz1uKtHZfdEa3+aNMxHpnkdBMl?MI&55f8`PM*3@A-5=CiWL)yFX7kt)8^tNG z^uT|HCE}+gu5;;@k>497LTvj}awDeMykBlX) zWjFzOM%q&2T>GWiU6314|(xZxg zU#)r?oNUWoi(7uH$F;7e>p0Y}gCD&zNt=?@wQjp~f)`GPP|o>NiVIX&eOR`jYwi?D zFW@n6%A}}W9mX_)!vUPpTx)9s9B zH4qsYN|t}ul0RPNkE|Jf$6HY`9GL?zh~7Z7akD=jCvGr}kmq4SD%9Usjcsc4)+ejF zhsfa%{!2H;U(h-KnNhdU6fvvDE+?ls21)V{jeqYLXE^&*({nPb&c>j*PiZe=1Ewp! z?2hLp8Re$BEQ%9rt^ibFc6}}8G9mSqgXg?6FxXGBFqwk_wh;0^!6+GZ<4eR{D_*3I zr)$pldnRmdk!7=Tnq_WD*@vaFTSE-6%xRLJnastA3Exwq|E?-V+zkD!EZ+BIjkO0JJ>UhcuF3x3ZSg_Rzlg}Ply$8-2J0q-9AD|9rp1V z{l&Z8VjO##l|c=$fDs9Z9q9nSqgv3%k{s;6*!(Bmz<-Pp|39#4nACn0nJ@I~2}`Ym ztOlB(`5xJhZjS-J`eO14v|`;FT68}u%rvL7M!|G@R7($Sdgkanh`9zkE#{@>$@6{5 z)s{AdtiI7MwjQQvgnDr4JxYV%l6`JCBSdt{tT~JJ?a)At~6Wo{aqDQp-gpC zz(wOP2|;aHKskfJo2{v*Ei0p%oXP@dTx30}TK1^VNq{%QE}g5Zd~+{v=@KY&JH-}g zrF?95I7jplZw8hrFQnqj!^{@ojg-Pkvf=z?eol2#+%nbVD6N8PYoX$nu#l?W9$w)^ zf9!HC{rM%mM^TVW13{A}b`P3A2gpS&r5-NAZAsblM%oUItzYN_YEgk3(9NU)56Ei< zf?i&<0iMn+$!2Bv>dG4KNjKBrC!{SaZL;|H8^&BA^zH^US@vw5uoz4TOVxAKrgyDCTj7R;17pB`>qwtsTds4X3#QNco>|WR|;_ zCUd5UKNPHQfiP?~!6Nt0b8sbdSSFcfLJ6jFiP_}$+3xrsm(yO&lU;5Wk>o~NALyh z`Ro2CUS0vpP9K&12knz;kF=}tKX`dJ$(jNvpd9X-pZX-BxdT`#Vk^&o&s_|seGjev zz6)Df;&~bv(WjhAZUk$^4k9c%1Z%q=L^Fg4H8>Fe%eg}F`>9fQ+$C37uT-%b#f0W7 z>)kS8)UK4oEKvt#%x+~(AdX<@@{RPiM9`u+uGcO68xKnl8HF8|}NV%25c4(;Ai@XKrx070QFQU}xy*UEK_PZ3kRV{H?*l7@do}D3| zrWGJ)#*YJck;{4kR;M>ADbRT?maRfNE;!p2OdNu;k!98QrWh=mIj09!&!w}@chxNt zI085AM2wr``ihlBlGy}A)#$fX6fIu@PtpN6wt9<|Ywcc%P+kcvk=V45SC=bbeA*oNKM4we#wdbUq$O7kR| zvvUpGKv4Z`qzq_NhcQwOl&T8fwl#b zEv|bg{C=KKQbRp*@Y%&lP<{_OuT|8qiWl?heP0K^&mh*Z_z2#x_xB4!MD3QkSJ1sn zjuq6|FT;JciAg-AP+6P(H4XKfk#$Vr}ysjQtx=1ql(9E3?;G)|gdL)q2^1^Xg@7KUaf*Cma)&pO+yS zy+6Z+V7o_$fWcE3F)BDvMY$>_meqcpm1>{jb?~iD)gG$$)BF8;UZ)G_cRDePbUGFh zBXcOg!+cY9ZTwabHH0-^oT$=P)T-GwM`Gb(qi`VcEmw%>`lOBo@zBV9`}hG0UbZ8C zMc>|&e90Jfe=XQ_(U%$FlpXYO$C;C64I!(a-8-Lqm_H}@Lmc&5@ZRDmfvYBx!FR`p$qSE{ZTW!Bj2|8dLc~}Z@^h!>08}#9R`+-rhTT&Fvhz4>R^pOO91pWDuQ@LBRH!EN?-eg1oqpbQ% z=K=Ew>Y}|(%yzO{%yu)c1oaRc!WdmXoqO|pIjM;hw z^jVuB<*)(%g-o~~VR~UF%QhAIvW`m3JeG9l>bGh&O~0T6XMXRGh2B-wZ^19|tQFT+ z?jKjx8=n?jHHu%jk~)v>*0n%&c2eLADudKPX+8A z*w$m!Nb@Wp({7eBl%iq;uXw;K^Zu|{l(0|Ah4hZ@J|9%&Yt9mXeuURu=mzl>B*54pVmF%^Q^=8$6nce+PPBf|eF{Gn&MV3wc*J zzRE`(?zu+m?d%^ICDpO#fsPB*1?hiG@Rx}1^* zLzSA+{H{=|uOhMXeN7tKSgq2WdsR2l>ec!qPT-q}3hx6IlU@3Qu{BG1nm>i%TwOqM&TpL&=V|cby z6J*^A5ntXaLGDf{LbhPPGMwEJyL85IdBDp)Yo_=2s45gtVi6iL0{0CFIa(DxA~)$( zN{viGo+uwENwx;^U+x(StYEZ8epNyWw1^(NY{5g~%sm|Jv7w>X?JYLbDj5&*piEw0 zomH;ch%z7XrDN&6wE|&49_jy@@oUq-f=+4nd6+0o;R~g{9vI-$_^Gt${ce6{E8P&x zU+QH-qgO9Z|B!0i@@93eYK!DY7=Jp(ap)?mqHb|}GuTE5%Cvx&UP^EtU31-^|+2k7DGHyKY!!_Q7444? zvyP#Tq=8@WVzTa%ZRu;w93n9|$J~@8bvM>E*r-gSRjli9Twkb&vYfE}g z>+7N3l+ExE-a(FyP#V|F+gGLRm#<0FgZx)X3wPTFex);P-R|VhSqt;P_XCSagL}ud z#WGIPWw?Vj-f>A{xv^wh96KzhL**{J1%Pm1BX}oe6 zzq%7;Q@>DHdsEv?uJ8^6R?rBmH|)D`%{Ee>=cvVY5OG;-?<=-RC=;5r_dlj{x|^G_ zgjNX4UPx7OJCv|~ZyWZ%3O-(cheqOHt!1ym^8H)gjy8idMMF(TBk@WQxzNfo;!BPW z|m?JRmn>}+PJB3zK5j;V3b8pf@duvG_hJb%x4{Reu^aL^A z(PE}OUO3X04e+>XAbnZ2edn+zjzB=(N|mqHAphllx7L#vv-aVGc!gW3SSoo>4o`ea zpmavh;!I4sIL6-8Qw8U4@~;eTy1xHd4F2F6Xex7vB1)`k9}H6 zr!WESVn#-m&JX9>LUxkrZqAJr4;v(BBLXx7_K)`D4?CNV$(Qyhk-apnkMF2(T^H9q zcx-+z)5AP2N8+bm++4UiL8vd_4>h12WP4O17Y5&-Dqd?pTn$#=J)|OxVB~)_lX_f! zFmjSDJy-HT9#lG{K{mHjUY^o~ZEZ+s)Gm@D3Vgip4sx|)Yw7!CR`59B;nwZ#(lmR3 z(-l-;+Pf2;On(+Hc;$i~MbF8h#mf0u%5@C^fkc~HHJbW>oYS9)wQ@3jwq!vY=5i0h zrEvGhnTCdi%E8%+i?cp3VzA1c>0BAB`WaIHJG9tV-6U^(=pwUGWT= zZ^`|9i_psD_JrQDwJ;JLTAV2aLQBXnTLhmy6=l(FPaF7dDVa=mdQp|n5v=pr_L3tk z>zaShYD=*cOrTuaK(QMpklW?@*uyxe1wm<+7>@-tZxs#Q6>lB>jhd1o5}EYPOtUNA z+G>~RZcTC0YXfM%xS=E}71n^AcO4KEs4+zum{c)Eh?OpJv$#ln8T#Y|pRp@B&w}-P z+nOq+S}zA|iJ0JS|)F@MMgL!LY8?3BSBOW>)^zV zuMK-5)(q+5sn4{1UhuSx+Y3@th7f##9zktb@AyfB{8Pe~ zYHK^dpYvY3vO(Ij{#s@{WRv@C>BoOQ&ya4LDq<{?e@nI;uB~yPF|QpNG|6eGZJwKR zu|=N{7ucUVup*{(eN*P1zUjbKYu)Y<4*wvSYMoV^>3XC1xGqEEw}I9Zu>^#xI!lxMKY3IQ>fiQ6Br?jS279&OJ zwch;i`F{ARx%&#y-%mTk;0%d0&B%J?N58v#JJNUP zu$dvf#xa{F|4^^BM%~;~>>yXm*hhEZXSECg<=MITI#kwJr0k2Y7KTmjs|jkOWSeq0 zHDWXUGT}pg1OXU0gbk&h-!CpXpAW!3IZ~_l`AOBu1sE^I{~VS0+rUS@{sHot82p)% z^NB!3SJsuU+w_Wvw{}F8Fu2kk!bSZM3my`8(!#(9^}4E=pKxMilwxA8u{Tk6=D(3+ zz=_kT?`V~a`BY~_9(6v~2i#ln_A2hnOrMYOsVG~Rc(6_hKROl=GHisED0(!}1V6}0 z)Zn7nAvbd$fDCJeb|6nvEo6@8t>+Fb-Ca_hubM3{)9YmGOKogVI%YDu+QO@A1* zS{|x|M?T(}zwV&xI%zB!I-cJwc?{bwxryEEw%oEzoIgasI`TL-Z+ut<1*8o!#wu%!xFN zZ>1^~+?75aUuxT(7p{-g?Wo<{+c~#Ah+bWv*UotC2TefNqWtc<9;x~4A;*MIH;8Pd z%uS?en@cn*w;F53k=r8uZVOen5cU45q!ZaH_2QhfD`)TZHicg@-~L1qd2)qgt%s_Fx*$^5;JZO+S1=;xOYqp zk>;=JXh__U7>9J7a0tHPMVH?X&L`BkB}+wS+@#v>j>s#hfD~jSe~%_fSH)#-|H`0t zPE!knyHCRh%iEqxUhV{bX3-*^WCj&gyV^&dqXU7yaYfCycQmx&Ier zm~%7(4Dw@TEB0y8Xm|a~QJ9M`ZsNK@ObuZ~Z7v#!{cP?U^E@QO6qp)01${O(=SSWa z))xM33!7v|{VmWEQ_%ZQd+*~8$Qock$x~z5#Y234Iyuc`wLkhsunZ7$9=9NEG;*k5 zK#y`v%pe2PoZvY+wyD5LN@mb7esXWDlO}k(hjl2D6xZ!)bhjoH?PyiwTAhvUYIV_8 z3`JZ(aarH-G8pOJ*2?#j*@)O?1j=;p>%b#_c@ZyWuAj^dFn;Hv>4Xc>xLuo@+LpU5 z;UIASqSWtzo~D%`VT$7qog^K!##H0Hj)7QJt_TtNcD-^H%lN}d3I|~=y|=$%36nf@ zzWTD%d7-unXpJ?+KwavT5~gmoW8Ab$anvOih7kVyG1)wJTa3QiGzfg1a=lsC3^*Q>|1x0^SLhu0t%SAL6j9Eg{{aM#&WFl5Q3dP>_g8Xcz;n$X#h?8cwlO+d+-V`ccp zY2GTLmFJ_$J-c!I==DAuYOT*@`AKfJLJ7xP>Y(oCSKF4O>Pq=I zL28S`=_C~}Q8pm%L^a&?GX;Dg`ICni-=`!~#9}3uQA`ssCINy-3U^9I%QHp^=ifI`RIIQkeo=V-dPz>?7`wZI!y`D8WXit)l>xxaxE>xSLQNLUFO2=$QfIfzt4a9wyi z6~N4_>x8(-N*Xje+T`KogJXA))Pd4@sA;MwH za8dKZ^{@K;r63CSI;M?CQ?*|S)i!sWx7V%e?22CQfsVOVGelmEM5(;tr^i|eMmmRM z(CGrHvsg`tox~K?Dm}nHP{b$0(oFJixKt_iMp~yERHPl?1z}!lzD-7F(b^7QNY8SU zwh)x32f3_jMkKh?O5TGEFmF^jS=H9y)B~Nrgf4neDElvXP|Wz*p4$C7H#GJ%xKwRF z6vCrv#a47Lpvn$gj)2_UO7*aUd-MC6j1L$7!*$-Owft0rad#_GJl5q0%o3$rRi+}+ zCDxYfcRXCQ!ut7dy!kNV*GDn>6=AEw1!h_>VCz4DRFsCV^34kx(47P1=$RjLJU8>T zAoq&j>w4jQf^F!b3o)AsLF_8UO{CFcV@+IyA;Y#IvAb7zdNHI^kowC^Pz1o@Ju=sm zimSX8#%e;xwG&6k(sw%*34Z$Z-BYHdt&Dd%l)rrCyMgeZ5l$58f|YQsNwm+#-uQTK z^1|D!&Oi$OVnUa(cRZZ%n(c zVbYPR*XpwcpPDzGvDmm@?qFgcw{J^M&D8x8We*k%$vF_U&Yq1h$_m;=Y4k9-?W!l& zUa(K;tb&OAV*Hh_HrpHVH}!Fy&R58pvl=?$)q$JPoP{3h5wdY-b%&h1*T?3Xeebp% z`ILoxq21XRe*KET#w1SOjUWBYRTTu%O55&s%CPOmfB}g#oP&(&G)vlOMP4XJnGv=f zuB-%xN;USl^ohdC-Cv5&ER1+9~!k@ zqJa>JgEIx_!(gX*oO-cJm#E^jo6Rj_OdTz2wU%X~=iciJ&s=+3Ptfywa~rNg=JAT! z_i_5ugdJPeU#I9vZDu9v1!ZA;jOjj-?4v>Z;8Z*iY2WnDTy2tGQz8XYE7JS4@M*t9J{=03G!Y`i)%2{aYSWok+^`@X_%XFg zbk2dKmU;m~k`JxYsD<7V>~EPXHWXBX+UpsttH!W{k`cm3-?BKnyZA~c$_lG`(|Nb9 zmjE)qeTbyYo1=*oyOES4bYT;7_$_!LgXvqRpZCs!0f!|xpVvv|to0^?jJjre)_p1v zts)_!kWv@;&{a3FVx^ci;YeE6Ah38HVu4ZwsjobIm$0GrWis)Y41V!Qi%FJQ zhFG@x|E6f43+K9z0clPfOuPAZ(oi_)*d()aGL4U0N+`!@SgY{3J4Y5yNF1DXr;xed z8Y+cgtJOR@gw5x0SmkH%v`S}~`CmZW7@XwVsnI)d_En07`A$!&em$3v$i5qw*YO}_>XmYmkYW4xjUowZ^_78Z;t&y=iEzWX3^j(XNp2Y}1uoXL~ zHQYD}<0`w3m}Nv!?#Nh@FlBLQp4Tujy({pY5LBx4b+>n$m#WmHL}+pAwH8oMHls*K z6Ua%bzlqB7eYAOxyMeSH9$WAI|R^tQ#^oPEVxZ5GxJ(oG?8w8f3I5ih8T=vbt-MOf6K%nb-0|t4Ru1 z&@XMrPimQ+)}^kUG@q$3iJ-u27sA&lKf3B*JTJ&Gh$|b?{&-64M@#4!(Z={3A8LsD ztxq^O>uajL#{wDHeHx!y>Bc}TZLJa1C(gH-7-~(ziTE%*UbV*xciBZ4qf@kgxq3)t zS3yR<+36^@r{TK1>943wF)#`8rBBcBq+wUwp9ZJJ8M4#nXt7e36uzyxJJWQ=#QK1M zGYkiyI&AQ=iaJUzA>Xs4Z$eSc#%tK)Dys6Saf2wntmhbnbt2-+R1RrDAVosiC$T0L zh#cj-5bIDatSEK9?Hh1<4V_0C&CAC6TZ-Jj%@jOR@Ucow3#-MR<);K~(GQl-eTkAb z?ZPf`9BaAorziFhNd{f}QR2-4+433Ahs(AxCdSsEB=*T>sR!?Nl5#ww1Gcltvz_~R zO!Vl-i;jMJjtF1Zy3>Y`h#8xewCKvMsnrN9a0$rmS#aD`UqdB%h_Sie1tFda#NcCL?W-#V(&cbNL%hvjM=`gSsk)En{WHR z!ag^}u(H~zfuYc1NqL=9mHZ%;As2_~OO|~!7}{YFmmGONB`9DRU$tvk?1Lhe=EF|^ zjn5F?SP^{jz5=_#Y-%F`C*`B@gR+#*{i&;2x>0O!-{*emKJ3~ zG>k*RIq+DUVocjqTnG&Ws1GcKmNHDqRWX%t8kmO11KK%}YBNdVMlKCK(uKZJtXZpGy$HX_MI*Xl;wQx_-0rh7G^@kDT#Q-Lm zFT9;-k-Dx$C)b93oUs`DP1D%e12`+SXy_C7ZcjH55vxNO#xd(qPJJ*tE^tH2wT z6jog_7}*AemMg4Y9y>){%1M#nYaPWzF%>p7SxlCGw0vVwfkobaTh{Gf?y%G9HsTyv z%cgG0{-@e7xFm@PqKHe?xa&LKE6VOi&!IMAXDIVm2rxZ`h;Hez)t;XB{TomElw)7 ziXYDNs?KS~ce#c16w18b{ts1|3x1d|sbZN52Xxu40tgbXdABOL6+@i@2t>5k!RO** zQQR*=WJ9CR#;vs^sdscYnsP3f=IBsM)e5zCkrwT{_AXbWnI~^GTPa22*?vY-8g$4? zP!D>S#(HDDb;epxi22xO7Efg|TOlu5kw3ZMl{yWN6o|%T3filofEoKBN!rB;!rma+ zWYq64FIx5Iah-XeVvYK~dDf1pbuN97%#!OhrEeHPxwEE$2>GZ)YS5>f_?5}ptS+5$ z?OKZBb$@K9!xxxDgPhZbc~jaw*Rrk(#Kbk^%A&$aPZBk?E48SYQ-cs*XbAo(Jd}hpTAwfu-fv>CMEyG0`kH3V!j4y>nw8rk@<1^$Lc#kx6_`Zc3Nc<@ z-ZBKuF0d0y+KHrOd&hg+JRt>9(P4%4qaPdJ<19MQL$z2hi(o>C7EHm?v;@piawWZ9 zv88k|y^)b>NMpKe@uf9Aoi{;Ez@d=#`a!k;Y^Q|OHqjn3djece0|t zjIVhCn2LY*gqo2r)t00=mGw+)^lajO=vNf2i9|yMZUR|PKR0HL4I+odU{srM&}CgV z5vyUcGq0vu8#P(75$66xZ&4!UMNdR#GDr!+2L_wSY7H^SsJ7fjHoxBjkr6EYg)b1( zk_1AJfiOy_SD<>AX=`F|Y;{IsOc5TfprKs3(r!ZMAa68Sg>Iv>HrSeX{O3++t-5U7 z&Kps~BLPaG+KH3*${V3?LIZ2KK~bwQN}vQ2Td!HcNE;Yb1{8E^`1$yf*#s8s@M5(W zwZ4qga6NqS9n0FA(U3&O4WjH8QnKHn4W}u@&idy*XP6REkJtxW(!U`eU8|Xk)EDe2 z@}(%Pb9I6%$o9SU)sL_0P1FaE-R?v6;nKM6(0NM)xvq<|iK-cPHI0+3b4LnAX{G9m z1gzmlB49Yuo+y)H$@QJn4auy{RQvS`62r4>yYefNv;Tn>IhS|4WFWp0$&5(O^HZ?lwS?`b@$0E5#Cx10{N^f&Rj#VB zPI1HO&@2+s(Xf{qF%^Yp^PJ|Y+4HxlU#Cu{(Zf-v+lHLu8pbOP1Mh<_zMRMd`nC%&Pa`(3g0MP)|hL4S_^#FsqU?751~Acq8N&9? zOug@QKwjQvnO60wG1_GaG)e&H!^NH=17AO_A_`E=<9K==3>ExWIHk0z56~q|#YraALp{RBsF< z>b(b2?PFyJGWXyaJ;kl{Nhas^tYtuWn61&UH)n?BUZyzp4dE|ZGZZ< z2&&Elv6pFDcNtJMx8T&V7A+hYl8zmj ze#R9MpY@~vB8p3>RTYZBB&b3e-9&^1OEjDpkz|fkE&Wd|;9YdCZUMO6l8cH^_ zX)Q&VWj5^;=ae^r!Vk&oKo+!1o+G}uVw9f(IFod1pA{uB$2xK_lwOrxeVz1 zV)zMh+@1xmh*Z5#Ooq8#+aE$ZlV?kIP75C&2KT|0)eY7+nm7%Vm?MbWkUr6SRm=zu zmisn2MjE9um+-xRihPoa>vKsk<}hKr82ns=D%u>jND%8Z)ZUlPoYLXW#z&|$Z*SYj@tSj^_AP!sfFy zNPE3g4qrR~RYF&2uzr*f7`Anut3OtiS>MTGMe)3kAEC&cj@ZW=3QQ1?{^0H;zpIBS zvQc$4jGR#OQw5O_pk7aiwOj05+!D4kz&2qa#?Dz0j0fZN)VkYBR9gmHTxGT#6w^MG z{i;7buQJBYF~;(<=cydX$>rKwSfH(F9bRNcq&G9pQ+#rLxT#$*wq^E>cdgw$A17Ta z@xp{aD*CQi{ZXu{KnveT-hR9`xKcw5n`vuyh=#Ih?|cx8shnHewQk#z4@N()0umu+ z=H~)AS8|%UvDN_3{eElcTMcw{?=EFnQVv(-5L_r#d6zQ~+)>i2CX%0(S0y7@@x1j! z!Br`+JzX&lH;9+lOXqp9{|{?#9TrvFwGYz+LpO-P&_j3UkkT^<5)uMKDIg$72uQcI zbT^1diGYf9E2(sdfPkcQ>2DABbL;cHug7;B_xn8mZ1x_mea&@VYprvgYwcl}TYt*R zx68d5lFtQ`UcctA;h59&HHn|Axa0QS5yB>I60Q5V?Rpd9AX1_(ZOWTkR=um6G!?~U zI+(KCYaEPH-|;%;ADnvEzrRh7>+DK*AXtbgDWCzgAl|@+6Mo@b*T^ z7p7*y$4?F7ifDx87UL->-kJCKHAfhZuYRU{>0s=r3g*{UBwP<$B3{rYd^$5*e0HR( z5xp;bd!1C|=g+m4Tj(pQBUt5FO(xp{myIk!{puy;P11cC?qB6*v|EPo4=bp>Uct#^ z%=|OwA!l&}ZTZfP>#O|SAF&6z!2*5Oh8;kItTrou;fG0lzH~&Vck8k^Y%bQkjGZaF z+A;N*g=ldDnPRa=4fo@# zX^l4am1T83qOnfDe4T3OP90~7Ck)H3%ZW>tJ_VD^lVr>Z3ATCk-%}LP@(OkBzu6~S z+eXEHRAId4r&cHA@cCsdt9bKfcT-wM?$qr&>*leE11bwK`Kv{^tOt4!mH9|oQLc(@ zf{&6-iTCNg6bxC`8x~2r&UJTFOD1)Fvf`-MTo6$!W!$Q$NiYLLjy-HgH8pDVzTUri zv_XEa$+2caKS){>GD}BB@W1ZyJ^hoZ}0o_+Kkj&#q{R4XFb-A}+ z)eLD96)qYnV5BN8ohZMd=GrJ7M5{Bo%VubZB140-PDpL|{tG?TKGdv-ld z^%J*y^0%}Sho)!gvX5l#jy%lKqs(1|>XUsxg{~^8$X-MkJb!8J-m+?WeD3Yh@s8Oc zXMDL|Tkr8p54-^fJ7p?x&1I(>KS?cl{Mr#dwwm#b-HRJf-evpzNYs8fmr=hdD_;_r zy|Fygm~}$tXN{^pQ0^)RI~r&N!88Bds>Z16wiiPP_K-oa?i9B z%dzY=$XVm)$KGD;zK3*H*YEn7$g6+2lu(?IShSo*Gyso&w+ay@rZLrW%Sf(7+C8b_ z!h;`Hlb3JSW71QXNG=Sp#Yte&KC|P{?DF8k&cCnUr?bTXCR>sd{JFx+hVY3?8s(?_p%$Vw>lFuGJZcY`k`?C66q|(+2X20wqHlTv+f~xXJ7XF^4r3$Qr^iG zGR|v9Owb9!yp+A0+l)f}{6s8>{Ifd5$q{CXlc)W3SW1l(bLZS(Fa(F{t?d`B3dG4R z8QxhrRE-EC{3-`BcR_P<@WlgS54q)$v3pq=&9!2c>vxI$h+GAU zwnm<1;_H;~3rh2ozZQ!!k+rSOW_@DRUPHuZ+&8jZe4mW7vI{0wd$N3+VP*if#{w35Dig z@GbvOH;unP{7inYuWk0D$c|)XEyH?2%>j+U#~Q=y*LNM-iOJ15#ZD=^3;Ad4-dYfR z;=Qfn2=%IR3S*vJ&bO)t{+o~w&OiZXVlOeQp$F)oWzbYV9vvtuN;v7oYMbco6{*5D zzRA+hd>2f}Be2)@-NF7Xn@;lUALuK1TQ^d(O=4zAE3R2jl}3Gc(%4#6K3vXquZ)Vx z8oi}QW?q$$EPJHKQb3!URL=S`<>g_-n=yt*Sf)dBJtr6iV?}mr1+q0$#=7y62IsNu z1J4y6X@9!@oLoIazq@lHeH66PkblUkHlp{%`CdcKp__PBJyKd%(#KqALR}L03nNu} zD+zYPTdC{ea&P_WUA`qmYABtt)H$9@3`JA7aKmbxLcB5sCbC5;od@}ET*p8Hsy_?Kp|2PuN(=C*x(-F-UjVt}U@H%n! zS|=y_$g&gT@+VQ>@a8R+qR+vw8VmMj@oVoy%AvrwMtzxh)}z~weR=TSxbiP?UKJMDXTX6erebgXUqALPW6RKm0ElH51HN~%FFf98ScyV zPo~C#G;`AJTKVPPu4%`9@v_lG+B0E`YDu#_ofiycxBAL_2DRNfo<2R<=;idV>iIJC z?a}kTI;)DWaiOK}^VRJgM{Yqq;8DVaSDyrpfg44aworbH=JHM`Rl zjTfXp5ZBIza_vk%+Yv7Q@G+{~lf0&SMYle8hy8SL%PmGKuJYA}(p>52+nX<!As zI|y(~%@<2$8TJUQE(VZf|xl`5}D;Q_U71xtF5x8KyU?nOR0iWB3CrhIP^Az zMz+Iah^+=rws5}N*`zKl-FQVlmH-Mtk-4SJ$^UUM(M&R-0}S?OE%GozT>$H*JKj!25mhk_CT^ zpN^D1K)DD@_dy)!`tz$gn_CFN6Cyk5^Gb&x4n9mZj!LWEl?D5(NfA8hQ z=_%WU)k*W-Y~QOTa}UkewYM9QY@);+CgWd}of@dC6l&g94;^u9a$OVqQBh-gXpL`M zh2I%2(2?qCwCum-az0P1UC}nyk6_Y`!+byITmja0yyn9vZI@V3PJz_=I4nNbdAg^) z^$kbpS|m$*Xc(Nb{=#>%%UVuA+{c-r!ko@bWhv}+lalLN*^p3f0;5%LeifKxgb-(G zw(+fzeX21Dk9;nXvx~tz$>pp9(P*@j3qfP4E0N!kp@!G}o%~7o_ChIa`NpvXQH*K+?s=;J-II%2 zo2IdiSk+Ox*E;W|KIV;BbEf&rnN=btQf#!qd^%!M#p2^!t-_B^5(7tD!&ueh8SUhT z+|A^0#BC(7KQf%^V|;3IA9?tr;rsd!D1X1-d3;%-690wCmrv0hr|z>nKbmf%?PiMX z2Ag_OH;uHVxJgeG4e{p&NEy^^f<2xo+zZsk&IJ3rbAcjTN5o#KmTEN7_k=8nm6l zsu+!+9<%JBj`aw^YX5>mNJk=frArH}*7q5g9W}eBs%n=^PFVwAlLd}{JcmRb->ywp z`>3Gc!%w;*b7p;<;3RTJ$t6ui%e2G3{Qn7IJCflkwP-%3GWfh3*v%Ob#V1LJqOj@^d z%a!2zgpE6zEUi&D#chiT?O1NukTC;0+0=z~`Wr%9)+1l=E9C$d12 zlpvo&+`@5Z`;4GMV-9!j+4{#nd$pM!3M>1=IN4?b(kte zB}L9!e6^@>u(AL4b1|!-DxG*e0p|t##swB1{ z-)RwPhh(-6bfuwG;JN|<{7_>bXIvG_Pnv1ou-Nx`1HS7*!F=S;>{N_5{K$5r37Q=< zw(*msSqiOk2i+?sGtwECH=ldo>~GZdvN|g>!j+nm>tE+V|A9=ly?InE7${GRuVTx^ z_E=v^zISeAYFs8ay_J!UG8)D+qn6I#Xn}MoEi-pBJoFJ(M{4xjcPn84DXLh9}iMlSu}*|J;%6&ZwOf= z4nq2d_Z6WxgbG-L$t)&lVTpT*NGx?#XgVpIF~@03FSIX6oDsdTwi|YSG6`psHCWm1_6#7yZQKc_TdnpGVmsu#Al?4ceU+n& zyP~)^E!xK+T21VV9Z`1v@rYE6Az2I;<(^In3KemLGe06j%-N(28!@e!Or`ALql&A7 zI@K^(M8M28G*hp+mmA49#JN3IA46os^2@Qil!kMyL$!fxi&1wjObh5HSrKqe{1Lbg zS;~c_M(WD4yCB29r@>O;r}>*872}CXanoKa=G}JHz6R0*JkfVL-~AG#*J>7@sG;fb z#QYqsyIp3*4TJ(L#;=Vqb9OSuPrq^?*S`7n=uVR7wuY`xPEM#;+wGvf>;y!Ot}WM` zn;aG}I#v69Qgq+k9-@ZEy~Z#3L9*?G{fBS*3RThOk@2b}lGqMujL1~m9&~eWt;Ogk zQ68r#3h39o_9EFlnD4Myld!pU=sQ{Q8)Z(zWAit7DxCZX4U*zJ>yvW*TkawJLQ$i; zPkXRFh+OecHNCKW@2gi|=&2}5T0+WUXkPwr>1)>?FCOyBHi!C@c>yGKX!JFA6`Rz{ve1e~qxJ$< zN=7dpX}#zC29MPe!-7nMSvVU`rs!$=(|A7mm@z)2FMAJByVG4TVn*>G8M(h(!Ps>S zT~l>^R+mGn2qRksO+A{xfrsE_^_Jo45~v1~AF|F-(^8h7G+JXAa;1b0x;NKQ0@M?_ z4f0>mkyrn0Tn%Xq6!Vz;B(g=DGiq-x6Z zGNTA9QTecl^FD=LJ5$I|t04npmX4iwzF%Dt5RWFYpm|AW%~yU&yfUcBukfW@Dc3cq`dve){#0Vy41h2}&Wo ze6=c7;2YaufgarRZ!*dcx`pou_|9mIQMj^88RyHRF;y z1PmU<25h)cgY7El^k``z!HCHg|8^Y^s%1&rg|j+j{t2nCR;Tv5da!;R}dm?!3-tr59Z!UgRL#v{IlBKV@TR*VHvG~5C-jM&AOKUOeNumd> za;;hNx4u^X@4KsS@aAc>7PSa9^kqjwxy0-MC{N1`0gi89-2{>x*Ud{)=52n5W`^2& zJv9fP@IepipH=^P7d!yP*bpkaD=eM(jepmfq}?ncXiY3 zv3du8ZPSC+g+RsC*x1@Yp^T}_NVDZCRERb#3*KSEk_DWj0s zHYwN10^4%c(T{s$VqNLzFKZCBE;!{WjH=;dem{H@-d>2GeADfnOF#(5b4ZCe_6`yY zmDO=>22D?<(SSxL+55{h`+X`$xoFL)6&G))vLQ=In**bYLZTeMi|3L6^n|>vs8Vo! zg-vZ_UV9)pPtAKB5yYS}gr5(+;f<$PhUHsatxZyt2f^m<5kokysgHfoepU~;@d$4; z2%@cv&+cBBPyBS$e4-2%e@0e>1LLA1*vT8#?e|MS#BaZl!Eq_Dh8^Uordl)Y1`}9q z;yX_+cdG*4W%fgPtnI1mD3NJ8ECFvwhRMY?tRM}Rzy$nxKB!5lChi%`jWkeNjEkyJ zqhkoNnh#a;d-*mMw;uT{LJ5s>BC-)WFzq;Y)MIOE zv6aF`q%khfyxxn&D{IHvX4O}*#Q_xY_rzlRosy?qG6#TNqHF*zDUeuU)5%vHehQAd zSs;Pk#R(+eNVnHPV!ZZaiwPW9Eh0Rm>FX3llDIkgQ4KxFTQQSB;($&W2J38r+Yf-w zBa*`QgaLDo#@U0|$6XSFA>RwTg!$+KWgC>TdfbM88_r-c7lKVQ4#i zX9Jzj-CENY=f3JN(iF2c4R;ph4_MkIxvHJt0QXKWT$6dDk~$+cCqGxW|5((0J)Mt2 zrC^HzN#gMNQ5@czT13q={{r%DeRV|9`>GL~;Rrk#^)gajcU&^T*ZeCbde!lH$|x0+ z=qFBvk}-wKnTW)g7l54Lu#%1K&hMb}F>m z9+y#hyf_=^XFl2bO9PutPHD)i<9;BJwQrnx6w!u_3wOcx_ zZw*3_I&|Hihja)!IIfgJl>tjTC9}n`EXmnWY|Eq#P1na_cuJ|*pom$Gs39J(Q$^|B zV!}%v{re)} z7fC$D0bj8=C5DR2-$)a>T-Ua8s7YhN}UHj>H7d40)lFnK` zfN}voJFX+#B|>6&-X$qAr)083RTYSAzi-`nmK9TJG~uKA@(B^1YAU)J3^nyS9TPa@ zjiW(S+HoHFi~VgNQV)j{FcQN8@D3%jX-Z#Oe&etsR}*_Ivu@2)Qg>RPhk&5()=bkW z&g1@@kCd>VqzVXwg(Te?Q7$xZ1?EEFiuwuU$`;^=oX1r&u@HsSlR zbUeU+1-*buc2Qf_7?{(JOHLHX*4LKH4Ar1UA^@4)#l#CZ990Op##-)AM*1q1)r?Mp zcPyCol1-gB(Op(BVp=otD6t4Zxu(HuKWd&)pQqk&258J4&E$O9tXtP$Pxtg}unfX- z=oAqtdx01IS1GU@!+?g)t?S3xJ3=;`DgqBZpA(3zu1+hDqNfa}fYV;*&L zFp^6oBC!8eAkgYh>B|JC&(Z=_HjHvcttkuZf|+{9B2yuS%!U)HIdan!YB?nwEWCm# z7)Z@X?qyZzIKz=a=scdva~QOI7xwf>uNd24LzJ`tddQ|xd@vDum!!Bwxg3@p6>1I0 z+f=A*#OU7p9<1#HI7D`B)S~$ymG4PKD`xO$eX0oWjWNZ`gH)+67pKg@QkV-s^nJiJ z5Q1kjfs_Hd^%9<^c!)Q>mPTWUA?n!Ym(Qq4Y+z#Z**XsIx-l%W_^UX1bIou#Eya|l z=?&yx1p+OF@nVPhb1UxoS+h9p95bwKthh)gq4(u~RR~Y^IX2y_#g7nz#8`kmYT&A$ zz9gsgL2=1X5)mZn4k0;rB4d;tUfg5#T@(zY6Vqs5<4FrV6ztcGFW}8g;e_6Z45FYY zTBBv6z~m zUN9f!K=%=1`>L?h?h$7oKN(XBJjl~Y{Q_hn-$$Q!j4ESYZ%;<~>GLSpG&3r7enB#w zV1~-?g#wktAOv(Gx_k#0C{cyZ<+dJON0WEgBG?MVY4}`o&f!yYAW`f=)xrW42$b$d zJ|TY>2+q}%9iqWk9S`}PDPu1RqW|5g5n=G<cc9H zB}_ks9Z5~azpWDpBp2g)_)%BnT9!S>A<*2o(eafY;ErkuWXaeQ!gSg< z-485ihFlbpS~s~S2vFi~vER8dXAmWR|J7QWHogFq#1HYd2XS~=4zS3Q@FsZo>LAM0 zhmL51w9JL*^BlguOU zwG^dO>kkt252gwULxo?UAy8>ZkJbx*E5eAv7R%BWng_h_HpCdB_4dBkC0@F;R0UO4 z-g_U1I`e6s3hYBWY}ZekS(Kf|`BAsvrSt1WpQ)RgrSZ%kD=z8;X(U7v;hEI!e(@cP zVgTAoYv^*S-E)xS`vq^LxqK22kvT+Moj@Cvo&VY3lRtKDKO1MpVyYmz{IF^$!y5UoR&$VL^*42J4@ zIDUJ5ssJzU*nu)NxV;_<=wK0)$QDL5^aOaX^u5!U`e6l2RSMfY0i_|rd??U@8hf!` zb&#j|czraOkN%n68)SN^pl<>q6)#R6rSZtpVX2%wUW*{f3F69-e{Go(y7oIhk}0Yv?=`e-n#HlUIr>d}cJ(^?Y_FjN}CR=<`Kvt1y8>YE@ET?6^QfU5|2qDT*D z+?+u;7Tq8WA&#(nR>DV!hAr~fakcFF~n;=6=k{-}alu>@>*g|Qz zSt#)!n&A(o|8T6{%I~%}|FX}*PjNdgCMr~DjMEZm#RSNcq>KpKW1d&X)q3aJOL`@K z$mOs7I)U@}7H%L5Uh!fi5g~WZDGW&0p=NMOI`S_H6f??AKqqCo(IKBq`L9k2ie*tv z6(NJbs$>K;Aof6JLZ9M*9;d~q{?=T*9^v$Os+g$#&BHe&QA^{g5>LTxhTs`2SFWg= zHU5s|D~syH{qA0yc!3t z>0c5s&&yK0UGpg$m7>q})LOhjEafiS6&eHuwI!*#9t8|Wea>j3rdxr6LeD5!X*tl} z^^V{4w^#-uYM$E}CuJwT|m+a-{UJRwznQ^5MIy}|;Pc$kR9R{shoXY*yaNz$JS ztqd=f3)>=nueDJF$sKD*n%#xX+8EIJbhibCy^^4ZywEZ{^2Q`Mc{=ST=yAXLJD}O7 zhibO{pg*fZ~HMoW98bldf&@fkVV7BEx43r^6SwIIrs2)GB|1-%6 zOx5G)`Lw4bS_>*;0CG1l!joDB_;T6udYzsLEFfhCQ<=rN=EKE6sFGleabczVAuuW9 z#^?*U*cY~e@%>~#<)@yG+TfU#+@i8G4#@^Omfh8l{Ev@ ziJmq}hYTIdRe;K$L3t!wZr8isya9Hv4ZtmEQ7tqZKpO)O54zs5)F=*8HQ1tb9S2I+ zv0gCq-+%vnDLM}Cq8t`i0BRm?{RCu}V5#$pZ|j3t+mmH9Gy*rHIFTWpqyh3l!Bw>^AGjV;u(&mB z`#C8N&(}C#3ph1vdm2n^6__z~JRkKM>G%O?wdL!4Q?&4r`sSAaOVe(_4}9HjZI!L{ zpt(j;_%;7!i%g%GyJ2Xb8PK%c#z}CbUhx&$jh1;Mc^_zGkxL{Y@POw)z)<<#yuquI z0M(*Wgadkv4^3V`0pBFVctz;sd;2Bp6g928y$M~tO42~!wc$Jr_Z&qS6E33y9{=;vLmibz?vlD) z0Yub%X=^FL9EU|S)J=x_MHPJYnoqeir{M^+^ily1FU7T-dSWaVLjr@U#W2D|%Pn#z z97fwrRbIvwI=r(I2QQyZKcU|;z_xDCv z?CoIe6SRkAC+A@4yzAoquHh9;h1abELDoT3bj>}HeP+W5A+4{1Jf3%c`d_>XOIh82 zYi?-qT`K9hQ@pmaHqtxLRc|ZWR4bS;M-%JLkKMd)tf4rBse9;H*xkb%xtrB_U(fPr zaF|cn+b@@C8%GW*E3q({KfbYE5a?g+lL@P`LtDg*xO?zGyxEWdgHA^T=$S>^f=+utzfH(3lwF3Pb^FWN!lk^m>|as zPF_HjBNZv$dzchpF=H{GU)&1S)fwyKGXI}RvI6>pPUnfli zRgJY;hNyyz6EB82T6Eh3IbJo_yto((?pk(UgU&oW=XW{@AifhC(##J(4|tTPWW@}A zaGv1SxI@P^`L4z|X$~%{p|#i^viMB~nV`9i+< zpMCWzF~quGj?CT5Nxb#u5esv@8a;ZeaLJoxR<^LR{I>wpq6o`bDedDnl|Ld z$u(;XU9wM#iYkd>zO5h^1xpV9lW7L>Yn8-NPEh#wo1rIi-{k0Y6=m z#(f1<9cwYggU1|^73R|j>7sYp!(vLN`0nM=@J5XU(GU)FHjq@>p}Q33(VQ(6%l19}+#KzgrnUu10fFA1odyQM&r8MMTZiTo)x=czPHoj=E1oyVn{^i)o zyfi9^_3P)~mS?G_qzufUxJj?`3z3;xV+-V5S$pWpvfJEP;4zKxP9BmDPm&x&jMPg> zMrlw~8S>J)E z#kmo@_l{J>rR=p-LVjx1*rN~eELCQ_R$)nOF`}`(Byq>)}WxV)$|Yq=?kE zK;GxGJfpDwdTuWS3P-r;EMc`;lcFUBZi|+l(Xb2cT(l2N(ZK((A4hpRgfP*JGL+N5 zh2-o0*XXS0pPd5?(a0MU1TTbi^I<5=h$n+P<}=oc9fzwpUooUSMY|60 zhIlVi5=GE;&t#VT>v$GOt?f`?KD?F2!s|9Fl46;}=i+5;%jRp)DfY1g@u;>FG@_k` zOa%h=2OU6|Kq(fKy>iY#@;DJS=;n4>i@gC+!`=ipb*)=L)s2u$1rqjSWC28O1b9?? zrryk7D?PgB(Tx@B4#i6^c_)3q)eUm->EOl0z-EXcrU|Kamp6$xCZv4+!bj^ChF79s z;3=gN|31xhD4xd}x+3NDM~F@2EQpRm2@(1*)SZiCs-^e6$rjFTfoWSLhGnWc81rr> zU^~JiHc{&=vI7%FiVk?pk{!NltQAt0bx4XcBEj5>l|pG1FrQ>Zg6NRLlk1(mh#26I zui!@U#Xr*Z-t8)^#V-1;Mi@bQ5lLrbA_0{L1ZcO?LCeaI=+ni+#9LklOA(zR5~v~kxh zQ8ivl;8x{U$~=VCIu*#YM7qe+9;-B9EWG=yjoKXjz|!>{uu}?vMCBoQ7d@Pqo~#ZY zyWInJRU)onh<}Q<{ZngEv1@lgCJcv2q`C#0@>)wr+N?hvk~|np_?({~FY4j4M!>b? zR2*#4cyBN)giHmR@vT;45<>+FRm7t#kUlu4aa}4k&+-@@Ki83;>g~|II6FNguC;$* z@-RRuHM!>P&;CBqdu599&6F{>a!b+J_)gG#tmT$D%Hq3+aNak3k{|ib_{#Ts_`BZX zOpY%bEq&Gag2n=y+73w+c`ev;Pxb!#@uJ?dQ&p>E{N<~ai=2N zs_17=5-U}v-BB;x&@@B@ZAg5i{j2kuq1@!Qxc7XWk`%J~TH6tEsJ9N!&3hC?eX3Mw zUARe^oo<}oHdY%!S069 z<&rcez=Aj4CBE|oCWTuk;p^OC0QP7Sldv+zq$*E&Bsc;6DU_cL%!N2$t*R}N2Krg( zJH;FXbyo50K1{*3v>Wg$h>~9){3Ro!JuLD_uOOeyfHKQz3gQpeIK?Xu&bk(%bdS$HCqqX(b#jy;@**AlJIK>>Re{ zuk}PGeCb;J3+3$yf+#29vf2xK$=U5B-~%&{${7N<<&z#Q6@L86DRKka4H~AOikEUu z$Q#(mOTQ&Q;=IeErXrRJITy!m$8tuJD3`3?9}gaRd!wv}eV5s64U0I^7_V!WK4d^M zrobs3y$i}O2#!J=kd!r-y+#z!sBkOZf{AMA7h;KmZ$;l{Hr3q=<{(_QKf$raP`j2K zqV;9LK=%%ikiDMGVL6XO)Knz7Zbj`t-aKMG8%8{d9pYyP9`1>{>la!KKZ{_XfGo6{Vh7#!fRTKDmbFZj326kG>ok? z=UYTwU{^&!_hFu3Js{f+0E{jaf!H$uNK3yAFS}GAZ$(Hh;N$J>lBFjheXHrB z|26VgKm%=x(&2>bUwbpQfM>#2c#bf@L?y-&N?@-=BgQTwnC9P-`K|ew3bh8u;AO2r z6(%~G`ZKmW^Fg>TCsW6xq>=VbQuZnNRF`1$VGN69N8VSuUN|HHE^T@uKh;$}S^V z3}rtOTTnI1%2DUmXF6YE4A1uN3SB(~L7Ml`t`<26It6_82a2GsD!Pi!S0o=$y0EGX z$153Vz0=->edW}*Zv@1^QP^uvn^_iE$43Bn{nh?R?iLkXr(6IMqk1SRF{%)w0f{k~ z^}J#uePB7KH*YEmgHqlmVy5pZH}3iYJ1L@{Z$|G=4J8JoCZ$8QoY%129TM=!g+0@} zEZK8LA1$FgqA$fA3iM$)0|uYm_lac{$`pIVX_L+&!M4zf;TQ2b`)uvwErS7EgQ;M0 zgESu!eY5e)G(=c=aqF{IoJ9;H86}UwR08f^f&1~6m@+*;=3sm-7sqP~13v6yl<@<3 z2^Ok^b^r(9p-!*Bm^x6@3{<1PxnbzS?0p0j3k2FJd#)D>G^?Eu?RXgIV}-5=&Gp`| zL)6~J!P(fkRzPwJ9R4N~N~9Pwu6M z2&gD^{irfWu6T?!3qAY(6i&ESB~=#YSMYvO=~4Lz-%trd=NdmiCnHsO?}Dj#?~Vjf z5i(d}ZDSCp8$*>J;R%{65;*k`Ks&wg1mO|+PVY7fw!0$~-JfGeV&5Gpq>{BCQOb@< zy}qCPgL-Nu?Su^QLiUsnEXk6b*Fc4^NA;R>Gr&zN**c6X-M^O6T->YLdDse5rY&t2 z8`u}!R{F_zb7#e_9N$t~Ja;OVj|TG6-oVL?%^}(P=ggjCcY?o?p{M1_tVyZoD+Z%9 zW}vp`Q}(1bwtF**>%Gn+)+8#EQz zPN*D_Yj9%GP)3Z^=c_wmN0U1#2;_R zI{$2|&^`)~e;qQ2O#|#sTj(910C;#Yu^HZ|GQdeZ59odI+uQ*g%ak@H%!S7gA%!K@ zX-z$N#dCMj-3f_K3pHIX%P$-t$+uE4}pjNd}#~1 zQ9#orlxT>L382>>p8EOsNP%}li1qEm2=$ugdBTvSD=X;m6$P-K_i%0CXU}HQscezfh>wDo=Tu9 z{nH7w0IRsL1XD@HF=3lEORblT<;6tk)Yy;4u#askXp+A}_GAJK-<4Fy#Ukl|R1PHhVgY zM=K(I&T)-U=OD@10y$jJ!J>sbyJmz>|8i~mC(0oP9)a$J-r%)wl(KKg7n>udU2@gi zj&`Kp&tNyb3~fz{WRAJ#5rBTxAW#Ww@d831wl^=G>du|l+TVDNRZ`Yyfq%gT%R3~q z&sX@?z5rvK29&GcDkVm^L${JGRaD(Yqh!_&^uwxy`EtmTKoj-V#zb|TpxxSO%~YUg zf1nJwVj07NvV@wq*lQMAb35SUHeqB54rkIZOk3b%x>OO$EFs|KcqTz^%as$N_7$)k zg??dchZb%Y6izRti=HiUfJXTuS-WY8i1u1FDurq_1yOJD?5ceD6d>Npu+`pvmF>2F zPIxr2_SCk+UA7Ipu;~v;-`Ttol~{_cIF|PdAkp~P`;-Y&>N@K+kvl4eOl^4S(bM20 z(=KpTCu>^5MR$5ukN0`vfO8xDE+0H7UJJ8!Zrm!VIWdwr-hX+>+w}=K?_`j)_*uf` zbh@cUnEq=yN=T8a5*I=FL*wZ8YeIKLihSXpL+^{Q8P)`Gzi8Je=a-%yh&^&8(H`s)M8v%`T7IT{XT``}Ngk zI)Tb=+OF^(z%Ux6Rj-=hv-RyE;`ftb5a#^_vkMGD(v?xqGz#_$!W3cKWJxD+UJXt)IB z^3zS9?6bWlcH0dsStgU=lKTJ|1Ktq+=KVt}GhH`Y?#2g&{EtX&Bzs)X6X&9cyoxzt zeUW#oU2!<3E0=DKdge7VWoxWDQ`Q^fSIrVle~IR4>5e>4^b9<{|7J89vK)keFU^mm z-na{dt0omnx!42Vs=pKdqB_ln$%?uN!yHW`9y04+L+6cwmB+qJ#5e03$?5%ryRo)8 z7@r4UogY8Z1BvYuZ_dE#6Tb;2$s89gvRABCG^z_>vsWy@i=EEd+5z9ejt-oThRkx(s5u?ad*=%ov@y_ zDzj~|=Qcgs(%Y9F#)Tj`1+NjjeGA}U`+&D79^|!G=zJGatR&?g#`UIU5>c$&iq_r` zV!g(6i|`)DS|@#WV=#HU#-0jQX)2|Ej*x|gMtlN-KthYtB%y$^4{WKEV6Otc#cBe4 zX0%1I#+)Jx>RzxrRg8rfY5-qTJ{^vLRWZ$cc;Qq=BG_k8nYZQWQtTT<=yClOf77;V zX2y$QXx>)HGqYB!2i|Aoj1bwfqc>*qto#Q?_}j_$N{4hdE^^(R=ZQOWNa@8_Er|oW zWhWBBnA*2Sp4Kla*Bw9K9)lRx25}#&a`+~6+0_?3m{LjbiyU<8&DnRDsGc~t#}O(K~_tcMdTkDn!;(Cq9|u0 zM`JdQ`xo7?gr!RS3i@Hf$)@3e)6gpP6oK4*O1H3tlN$)|w+G?uk0L|uL<%3wP@40l z38FLeiFe@84if-@LCWzK?gku;zN8&2483D4?>UiqS?)p07MJ9CB;{0B?!L{P{mtv4 z6mnD|=ja`9Hg5Fk=U`yehXU>54-2DTH;0BglR09A9g4DFCT(JQtckitJlp>&RrcLN z^BVJqd2F4J54As$3)dT%+0SEs&dse6z0}*FZ_IiC>fSApdH6kDdgAAUMU&S*D;P!0 z-!P0VWM)1-=IL?V$v*4mIXgF|wv-&}-8>z+?Ut|9<@x%PM2-G2>sSu-%l&?uw%gBM z^r!i-y7W#bwal&9bE97zPbUBL{|RcV7!b2@Mm}(Nv$1pn184WG?t%qHg&_hE)S0-L zu(;&!mqq^dvWyIvU*Frs2Eq@ww6g&NM>iX1cL?e(Juttv4bs6<@xB-2wgB*gsH7-F zLPXFE%&%wj;J$~Owao)C>VCM}ee0Vx?vUI3aAj3~eH$-#esw2cHYH%B>V0STt3j$l z5Q)DgRTYK^qNW4pR~3hdi(j3}$$|Nebgk~%SpPNL!AV#UA}A{Q*Erxx2)O!dC_iuo zOd%@y>vtj$K{3H!SE9hxzt#_o6a%h)&ms<7{hmbvxcWVdBt%gB*DN9ez}2r=M1Zx3 z|C&VvSj)eb4Xi~3Sc~|tSww)fNc^4!Sc}B(S%9@j{GJ6^i^Q+BhyZJm_&p1-7Rg_; zhyrVo{56Xxuol1&sQm*r4YhAdz#aoedjRZ-(BH5BvOj7h%KpC%{r5KW-}JC@zcT%m zwZQy3mQH{lpmrY2Z|Hz@w}*&;`ES@b*x9?I&aOsjTRyl4ad-0oTt(T&`aaSI-x(*3Bflat?%5qgNBBNg@*nIuSJc& z@?W9fE{oc$e~LutZzRIN*?$MhUtSFC`@cac`?=5>5P~j02s-@(7}OnCU_^d{L7DoW zf)V~3%x|-xO!XhwMeMIQ{QrWvgBDC|AAk-713(i&a{Zlvqiod)J z!1XWF{HJh!x&Ob}27m*)g7cM;=udD^_xw*ds9^i2a76!x^V>TASKY#vwjD~lH zdHKJQT#f%94tA9>|0xp5zmfcQu>a63;(v(zN4scOW|8J2WcmY`UvdANm9G;1KLjHp z@CyvU#s8HQBmT$0|M4>%9S;*tdh<^?=IWL!(}?~e`ekT0O&#vU|KlL+!x&F(^U*ZoGV0|Yb0A!EG zMSwQ-$K-!?&lQ~CTINcx|5G@>lK*c;1L*ocP-YT;GV zFY<@n{~uDb#2?}xpk{QMVKg`{8tEU&`RbnkX_x;C0sImGzwILU9~$Nl1aJkX6%8#| z4;=Wv*-YYB{Qu50SEb%Rb+TUq;5V56&^D5PivK%kfhfU@gLe4Gn7O*=f7s@#R{f`N z{-go`!u}6}M)Hpo@E05+G)(?K>Hn*H{wJLOgZ>x&tpk3MvmoGp{|THwasU7a7Y$8v z1TX)8yP72Gjw>*~UG1t@@DFVx`dbG4w?vKPA7}tbHEpO=vxG(e2Q0rt!0%Ke`R^R? z|J!kp{6m!mB#l5%$cXuhI#kIJ*ONzS`cXx*%Nq}(kJLlZ{-2d`q z_t|fEc4yvq=KFT0)8pdl0sMI6H<6&fPIct@>)z=3>7jbHw@`oPp&IP>%m;q7Z9=B`_TEp18w##p`MG_0m3RYx*BiOX!CLUzs7ef5r8nG2Olua{VvS72lL}`)PYiQIx1v?J<#+X324A{|AkAE;d$6@|7e}@~2)_Cl6J22b4(YaTEZ~&?W=-NL$ZhxUCXI_Av z_!Cmv!?Y`#CQoRrmozNjLk6+k+F%Y^HfaGQ;3miU%aYr4oST#u*%+u0ni#R~cYR1g zet_vlRX?#mSoeCf*y0~wbGR0dZQ1K)ohta?8b$%(DBF_=S(ezMkwa5Wd=7Et#zxAZW6k-=GYnMnmJK%Aqcniq%W6b0cukEGfbxCKw2|w zmC&b!jNY}3QX}D)!-L>ddJmaSm2{<${uo5dx(LL-F6E>P4Q^X!PxiEb`mE_tz`@_% zNxQzRdToTb+L+Yro-}$8t0JSySdg6FaTH6>foJg4^66g2{`n#j4AnwX4$Y$SRUWJWw2t<*}nvNQ{t4XTn&F{|aJEyJRT*h>40f z-$m^RpV9$0Bc>8$YLvOwRJSTEu!zU1fWFM5CoVknRQL7viHvoUy@>TzTk#liyrbjW z$)8i$wBaHub^mK6cP#9-`dyEoP00o{f{zv6v544Wn5sN}oyRh~Q4#*!AbQgd+w70L z$~wj}>}UZ0SzC2Kzg8PJ_g}%Pc{Faf_Z6wUAFH-wGRp1-TQpzI|1<53+W0KmW%KOy zEsOXWywUJ{5imFThHZ}+z9c4E1%A5ofcbTjW{Gt@L<{CrOW+hUa>1U@E`&KS5-c}a zj3~Ko2&dHiIy<5`0?cNzj<_GMR@^r3N0%IXyl+P#8TT`P)YpX(Ge!=dc3GZ^!Foh~ z&pmjy@Vf&gPqFdd_+w&{;;f^&P67LhdB2TNwg4wvRj~WyIbeCo0LNAx^>M}b_K!7J zAq)1-AfN1`_}(n(agj!sXGTZY8$+yovb5^;XyWR><$0O%dKB1kOx4yq6)IMLtSdiIQl-u~Om!+c{y zH&H|k{C4zE&maI+bbU7A>s-bGPZ>`;p7pYa?i@HGE|YV%cOuBloU{>j`(qy;cj^uy zm@5a5BD{VBjxd|-82{%D2=HcO_~c>|zHX4!9Z)ZEDuN>OFG&8vv-I|7b5wM%)DC z$INmO$ZM1mqHsz^eKhTmJ=NK){j?uLtiSyXQzH#L;Nsttm%t$TJaxBEQM{j&v%soNW(pT=xlQ&+@G z!dzgb8ILNCk=H67Y**)NZzsCQ9KB}dF#RD2sEqeRB&=G5!(N|JAZuK~?5P!-+S|My*^^|4GneOL=o z*6eoTzeq*$0+Hths`z&I!A0vPIV& z6+DSACfkv@)Ydf%yW+r`aHYb>@y-C6D+DD*NWIJ)SKpa%TUie3(sVAvr@08TPm?GsQm)fQNOI5-+mXir!%G4s@;QxPuocL1rWNB zYKRz#AA=*bPlw!#w8J?3a|672JXsSK_X);%I+=CsHd4@bW7Jm|7@lR%*{tBz&VCh^ zU7i3wvrK!QWD)YeMaXYt3>Td)l=pe7`4;}3Hu|@;2DoxNZ?seuL(9;gTKXQ)!zR?c z=L#L*h{hJtDlXs0M-%%M(T$RI-UifaHiNbR-`*<6uBW@51Tp`|EBB*v|MQ3kkS<_# z{nv@g<@duUYDqcBjvszY8b#O5%*Vm%0f|=jc^)2&86nOjI?v8pFfROG z3i~?p4ANv?7?@W>!y1$HCK~4;H+jnth-@@>SI;d$n(~LwYA^m zVQ_}nSGiI9QFqa?WsHe7BNc^KBRa&@rcP!X!bH$=s=8nh=Y}f9TCRs zM;84!j{GG#8T%Q;()8sT^tq(2s``WD~={QS^m zcl;psJn+aW1|hc)Y~=X-bN}BGL82edh9J{)W4ROTzwSn3D8$IB#p0x!HUJ!5$1Ov*SkK>-|4OV8t*c? zDyUjm2bVZ5MYj4FYn6-nJ{Y+_O+LE<$&DhPR6fGyt@e;WF#d7-4jUF69#^W}xtrl_ zalGK5_fdA==#-=J2(mtf05^iMMpq8bjh=GoVTeNPIX=Gg&1M`9qNg$%#6GY7iU?S# zu`-IIa9z(~eX_a^+J6f_Zpk}ac?fW}p;|E7g>0V`6MHNcopF0X_M9z=7nW=7C*l2` zklqNlCGy{fMZ0{S4Unf8lQp1_6+0x)XE*O;@M0f`AJ2S33|DYz+OkvmBar&bK06d1 zZUCTKSa3%)9KzCYy7!YRV9=fieNam8mR`Grs|q&F@*i{k2b#`K`w=O5RyjI+om zt{aun4~WNb1FG{7oJ)!71IjtF$9?9>;u` zqjJp23%Ejgy)a+gelLyoftV9n^*&43&GEUR?ZF>Cn=+T!}+Q1!mUK)k{}(_Wd7$aYk_m%REcYF^N~Dpi_O3{wl*gJf*^fisMzmqJy9^r90rRjPvj{lD`T$B)zl zb1~R3z^}S%<`|oM<2QrH$LQ>sR_GRN^tOM7&pNqAsUlGN&)jvsdh?e0b{mB%*}8K& zmvhFEr}c))VECiUtCaTN)=#Pn8Q6J`Oz(d8fBtO%ZrygK>4|76`pqH=$G)D`PvZ5s z(KBi_YCYWW?k0bcB@oU{E%_tZwdCD<$N5Aihp@K-{_a#G0m~bx0nHJ=R?3 zmSo}IXw)IJ#d77AFkKe@H@{=d`}1TfBqG&LQ|K*S$~{><;4LWgE;~Oubd{~YLFCFy zFJ~B8f0$esBXN=GjzIdVT3mF~CS6J$HZq?TVh5 z;hr%2I2>L|IZu7q>sgPba_{VZr82HlA3a%~H8Y{?Sw}{R`Yy)RqL{;ce0Q0o^l}^L zsHd`h+Cy4$NOVg$gX>BEa|QZ48_(X_zpZaUe4cFPV7!N;HLCS6f`f1czuuovADL=z zg?`CORP(sIut)deZLLI<(@;Nu`wE_yN!u>*K?Pa}Io z@^M^jyj#4KJ>ExHbGUdAvtP znNP3o27nBCGiuYz@m#w@sw*ZME99&0$=LFbdCCJ$CUWXvfu~xWkPh#U@6tikTjZxj zMFLPI6_y$JXGZG3W5mqk-fw0I-sDqJMRJ~7ZUqAY=B#oV>1G?Qoi0Heo_pj!vjifL zXVN^_wM>w`u{rnX&%l=+fjuSlXccJoekPg<)ucV}Df6UgS^!P?;n-)%WEPB$9Tc+v zOD35h8*ZCUYw}{Pn0Z<~+B>F@ltNMXD&2OBi8OIiC_=}afqid|8u0}-` zT_-?b=(l6C{qCbAfpLQzmooD zbesL7k&_#UxW<~K@8ek9{U_uqOX&v#{|)TJ*rpztUh8Kq6SaR=C@x19-+y*7-{#q5 z?K9~MGzk}?&&tQx^{Q2Xu8D`gQ#o*^i_B%m5}%f?h&5Z20+yK!NNjh&`qShxa|(&y zegMDHdP5sUt2HrGnL660wXe9v3HoGpJRySj_O?XmOC4@`&6A;Ah;Lbg%v4E(gRbSdBQZ1s#ue)VaEo-z{P4FPX|D8(@4IYC%dJMZ2J-uYm=L)B7634|> z-(qvm;Np)m71SnbM0qdBh_dwMQeNXTD==u|tybq209Av8IR=a}(#B9dQ%R)>FnGFU zmuU=31yZ9i_cc1bS=#s`EIua|2vi90C7Ib=>CmqK`Z*|V>AxXQGQX82�BidF#w5 ztcZPED^P(U9ZyHP(X7^XR<<5}(0>WS1T|oAsQ12*PkEjZcKtK%U7iQY%Z{un-Q-w% zzg`T>%0e1RKSI6}5?uK2VR^+aLcR*0EO%x}bgraXtlI_EX324_FI%<7`c6v|dwR?{ zRd-Z%>}fgKBt>X<*{(vfhpT_e(>X{sDz-M|6F6aQA?!5!bb zd5vX^a1C_DCOO9sFlV*Is$fTmeeR3!y@lv^b8DBMg_>9*f5*MAdI*(TtwN&Z&iBNQ zw&fhlL3h;Jy2NVtXp2=gV@&TSsDGLNS=7lr<{K7#3w?gpZFkg_K#GOv9o4nFnbXB8 zYAs%yu1}e6|2K$pk&FQ`6NzQ_7p|YHo-4Y2YyU?8RM&d{uX`}~{4ev4|NjniIQ!-H zf9BE{2g&nKT0u1xuLO5Brwqz`A|LKCmxKqCi^R+!!cS5Nr`C<<$3X4K!bTtd2w3W`@elPzAPc%m(3vre( zrloK)_hzYXmFFR00DcJLR~uNgzVn%MPP&RL)9Aq=rf%G}LWM;m__T)MuZ|Ni(6`)x zHWJg8GkVgU7jI>&;641VSH!jHBWsIipRQu&Qlx7e>;(bY(rmZp+2}q&e zo@C+T|DrpEKt~BQ|7#xq4P5)1lw6Z7@Yl-GbwSJK^{2|xIdKONe^)PV=_JiQh)Ded zSrXl7qu6kqORKuR6Ku*mRW%yD@%@F2?kv*;&QJvF5io5OXNp0`>FSFWkr4i3DF^qs z>$@QvG3$D)14H;(k%U0a4?ZlZDp*o`-9oF~wmfKDly|g~u21q{5$UbQ)U+ij(tOH?M=7(Cqk$_!&DSc{5{mM*Uz^>lPQY;gl0@ zBQ_|&53JS^zut^lb+3hVW?ZK+cQ}*w^k!G&jB>Sk$F9HC6$E>-EW3DxQ~Qi?0>aP3+6X2Qr}=BRyDB0 zl8lTEIs>2BIB`d!$=^X#b}9Wut$ozPsUo|XwY<7HBT)~sAy+m- z-Ca&2e`6B=mzpTbd26}%M4)Hb^QvUJ=?Lu)%rL=A5{JZ{Kf`mMs*A;mIU%;(!S$(S z?nR#DWBE+~P*GByZpwT=5kTDtj3@y%zD2>mG0g`@mO_2r2m?k5RqD4*a`4dpic`#? zHm-Po%De=z6n&T%kJhPLk9M3CVVe@lJBqyswauvh*u+yRGl<#dL{yV?Gv-i$(rTJbzdfzdG z8s>0k59xkJ(<%;VA257wB6D{-O%5>^;?f7m{2kqWixYwyulL^0AilgnJ}I`NiN2MG zy*iph(?&$fIh83!pzx0f6{>~e5RP0XE6gKp2uGF96J51DDhqw{^UD#*KSZE#*t4y7 zRxqIR`7fS5`KHzPrQS*JA51!_m~V!bO-I^>xXlqc^hxE3*@+s%rK1wRr@Mr;d^c|4 zAJ%6NO>7=j9izSr7tb>Gz61|5?|G()ToulrMAbHE4NMCw9c3R|G*eGL&RL1To~KG5 z2|={8bK&{^UY~nkNSor7k)Le{WH24e9?$K|&-5!!AJ5%8q)L2<$5|A;%4GW^URE;W z8d!V;ZCIQb%(pokoP!=uQs1_OCt`zKO|`h2R4NB~#7h3^Psc?4zMA2$7NRS(XzC%5 z`$q+wv}@!u0u6^V1GYBP2_3AL3^|20$-N>O;TyffF5}^StUIQ(dDtntkRy}a|?>JPV zaO*a<2zwGZ~_ic`xo?zlls=cx}?L{e;Zt{{(b3;Yo_GBV zvn8+c6O+f8c|Bv%Z&-)Ep(&$$v@Hxut}MUs{ww8{)6iDdH@z!Z$^2C?IJU9krcX_z z@bfTePpuNKTj2PksB11TJ!_dr_~rt<6?_=7Ua9OEU$^~UgFT5bg0dFlgM8u1OLuQg z&(~>2X(+$0GsS-MjWV;@h+6Xy`olwSE0#8K&6PE6aIlK#ZY*Isb4-+wGKs0=JY%wB z7cHX%YLp67gFqtC?1qJ&^XCn@G`&!k0)Z6RU+=7$)0?i`hz0{4$sp54g3Uex8Az6S zF@3a(V00PBg}{8BK>r(#LPDb>fg$ti7|&X`!f-DNskvx4~dMwN#N?453OMF?AO`U2+fBnn@<06b9FyhwwYBX@=?!x3kg5&Z4I7aR6F~$# z+CyW(V%&1rI^HJ9%YQ8RXGX{$?*Qee=UoED^sEGyNqe>Dj}_eIW`>NShvJE;GMzv` z{7pp;(57+hWE22uQ!#&6Fdpr<_-R8~v_w3S(>70+Y+{5NCmJ8+_1};W4>e;ax*-yj z1W{f$LI>m^>fPU7e&0ab==oc*Bc|yiPMcICdHX z0w>6ONq&C#EH0?=R?$9LmH<*AJJ!2tZ7JhY)pDroj4XoebtiOSclZHi)8)&B%!CJp9S8u}QY_T)!QmPe|I~F|_0niASoNl_#Q#0;=wTp79$%yRa ziuI9rIND2#E?(`FUnohhUurU%aXegg^b=X#|NjJ{R;Gm)75F=(5f(4@_Nn1{*ZWr3 z5KQVO;x7)@(3JBR0>f&KrN*bOjX6gs>lV7*~<<7gWcL@__#iP=x`9zWQ@Vir4 zKj!!uHO8&`g;i1NfVijEtULM+2#|mLpf}JxqnNPU)uYZfvmINOo{O_H{z-TZO(kXw z86=R&Dap#ZG^Z*yXHbmjSgxBPuCsJGi`DSFO2D7Y^5K&n>M{~h6UTN$k_KXv>o?V5 z>yxI{Z>s079$(AHcz6DtHbcj01X}_Ay6yN)mj^+w2K=gvVA0a@@&NR7+nyn5~D@Uu<)tmLpKj`sn;llr+9f~*WDSu zhb)kG2G%if!3oC+=|=E@*xps~eCVYpl__b!Id{g=<8q--FX?kQ&la}rHrf26LcB5J zR<^^*4jKC6i#h9o?seic9(+@N;`8Yek_@0m7wdd@5`t4!`&`2S$@drIqnezS;squ* z_u~l{{`-whmG-HArv_B5-XI@y|)VMd)O~ zrkA|6{Kp0BW>ql%^NX_yy2;zjt9?1IE;mNHP(H%pOuTDfgiA{zjU$Ev*#%)h(SjW* z{wy#mIhtnx*@pFbRqklu#LXhQA(~`*v7FoS(6w&FiZne{&ZpnpUZ0D%6ZTrBEghAw zPKc`h2UBct>pvah2I}F>y*{-0OipHznx5__3l-bpRPs2@W6nfI!?BT$tz7(X{F^Qz zTG|meOh11Q7rcSg5az*O=bPXSw99#VzZXVf7i+vMi1}?z>)R6VwAoFE2Be;CWO_v}02S-7#}pqJxk5CIWNVbLPLJm#E3#-tHhr#$l`T`C-344Y0nAilYdS zUVeMwyJ@!aJ}JMJ-CjJ&#Tc~sOR^gsXx^5&a#z1vdZa&FdE0?(HfPcizc#Fa*kWnY zDIR0Y{^)fnw`@UiMprMY=t~lX@_gY+BPtigN~-};6%92RpxQ##kDUR zim1$E*XjO|S|H`?mse=*EaAaGKKuRYIc|4CyfaMXwIkkWZ~H%0;&$WDieh0Z zjNFwtI#1tMInjXn&J2^}SPt0YnZ2z_seSyXr2S+-*7y2-`RLXWl6s<4f|{gn9?L@c zru*5Ei~P{xb!g|A-ePQr4`^B4ENeIG|G-X_ip(mZYJ?U1k5kIUIAp2gH^ua^plw!# zrk`Wrv6ZIp8LR7rHtcW+EwQJk~t+wgLT(I5sX?bK1jscmt2 zfG|0`@Q;o3^4@JXsK?`u<%8ZuI262nOD)Y=_)IhqmwM}n904UIt!a$RBH@@DM5 ziHq}wcdPu*(Q}JHK?4u`lG3Jq*z z(UlkY&*>ExY7vjFgA;8PQ0-zJVmg-jI?JS&Lh37Cat)e&P-}z5_nca;Ul%6dmIZop zQ~n@`awkxSfv^9{6ENuFW{AKjD`K}sLRcM>dL=0^lYj|Xrraq=Z|v1Chrhh!1+MP= zZ9GVVK~UT~sF7T+!AfF@cTh`B;l7w#3-61#wgN}79U20>?;|{_ ze4h9GO5EmEK)1fclLf$=dk9V?Uwg1&mz7wyWWBMm(Pr;06uyiqvH|<--`TzJ3W`}B zDRcaMs)*%kB^HQy`Uo>x&)I@^4s0}!N)MeCs5F5g2i{-79SK#>x5r+3`c+w*eJZh~ z#m9e>Lxj5QJ^~1v$TBnZ=rNP4tU?;@y;(dItJ1QT62=kmtlK#5NZWCU?6IE6#PAhRPG_Eb1ms$NYEgIVCCjk~yuXQDPZe6bL}6uRRj%`1tv# z#7*Y4gCv$Swn$&CQS2~r#nYz8#yAl(F+gx<1SX<+_)&CCvR?>x`-sP!k`K5I{^^tx z7Guf0^C@xa-(Bs+&b!mgUddDJVf74Y5Ez3uD^C0;?G9}JlB=Il6Le)6mFP=A&wfQZ z9>4|dx}BE;yCaGgsljD4$FdzF#{$zlgd2%!VZ)>c+BNUM(CHN7TF zlpc1Tq&Zz%X4}Fd#2G-=yGE-x4Ji3z$^M~d8$)|szhmx`osfZ0qp_fprH@oI5rtbF zpRIokdE|NNoCn%T^S0o@w^UZ%46LDLo%w9;g6+?AZ&BG#r?;DdYR#q#xL5b_Iz2t+ zq7p8JGOvO$@ZG&{wd4+R*EbLPhkYZ`ZOExXDD%6kV#w@8t1P>JdjP}kzORJ|wfQ=* z3+uHxE+M9h033Vkk_iHO?qO%0*}4rEn$X!hZ%@^sjIBa^h6Bo+&;KKh$b>e$czkZx z>xPAvQ>PLWa#M@?y;fbZ0QG3&U^CbKSI&!pyYW3vWR;NieBVmwe`1Y$6FRddj)ktvF z0IgZDvZW8n1(!(@=xQDGlziZB;IAHM{CV*0Q=_kkHF^;+SozO~BD>(2*u+fwl8Czt zk}oaX&H_N?p$0$3N;8dnpA^#D!N8PQPFTFW_AIv)ZO6+$t;#g9e7UCl*QyNbbP0pm zP0qn}my6t(lY2k!Us?jhm&tSUe@_CE9UDE|ZBjiAV_Ub!_O`|>b~t$`^s}*RH?vy5 zkjH=8^Zmh9XzKoXzLpEH1LT1@G<~Ac$gBz36JqXdnMut!Uh{_I5m1VOI7_#l z#y--fLux(%gwE6Sxr9~$Ephe0ZydJ})1jPUyDH-#Oi+3-ap-BYaP!HoqfRrwihY>Z zdI>sv{P0SukQLA88*W(!TYS-wxGh0k1}^k!swGD+hlT204cfOUayx&hP+;fNcw-g@ zGDKWQJ1E=f{OHFl-EV1zxWXDNL_x+AQxVwJbwaOi)5SOj=m3i`#0d*OIis#T?T3+j z!1;pk@kf(H#zc<6cN!9TnqN8GrAK3I`(tbexrwR!udn8>aDZ6D2(}Nb;~?J@-Rm zb2paTJH5Z5SU8-NHTz+5iho%`nvq5|&y^+?5Zu5waq{<5j*C2$wy$Q(V3nfNdoPFB zOxpW2ldRW|R?$UxU8Qd0dNn)Hl{O@dX#a^jfn4)TbrQuL!%^Ru1J(lQ(usFLC`^*sRr(eaopNhS^MvD^OG;wmpBE9PN~%fUfWE7PzvmPSu8UOQxC)@>-^op`5aEUr?9ptw*g>KH5s8W);H4#o_%hk$9s$F`OCxDy z-x!}rXD1YXP#0ihV8FAR6GU#sP8Z==e7S14X--b5@mC!SO7{0J{v_I%Tik~^G9f?J z+HDFNVH)Itun?=S>Ifes@^Y)xV{rUm6cL)0HfXu}>zXrm2WV-0FE{>DJJSvcI4APi zYOl9m*8E_GqJZtAmGtQ;F@`DUa?YqfUkAsp~Bm`$IW54Z_u#asw#d zMWcNZHF>n?B1H$(W+_~?7Rd6!`7?X#fYuec|r*W zr>9fQs0`|x@X6s6!FwvYqGbm{m<{(e;ZFP1R$0SjR?!P--w$s4C^79!QMZ9k%PB&0 zA~#6hK9Hpo-_x5`VI_*C?KTl?2N+TJq^*YB`hRRiV~FBh63Uezk-_8CT2+{4NzN~? zCugBqs?r(nAv;PDqL2x~GNDsPWzkFBW^%&4beQ%tUTZU(x0l-GzV;0Lu9Iyc*;X%C z94f`G*ySYxA!7MgTCInWZ)!~5V)^n>2Bd&nu9cc818PGbRcxVgDXCo@J|dqDL;4et zptRGlL82qpV0M?K(Y%(1J^8~tz#r!8NDfrli_@!rZiWG_MST=qH4UD@r2_lWvQ3Zq zt4HsvcCT&L7QH0GUFYe`q1RROAkv7i&$X|dQ@6AfnhoY4cOCrc7j0NVC!aKYpDd$U z9Ew#x5*Xqr-I`-8!F8KDzHPu%IrI~XzJxO|`0RZ{hC6>n%n$g;!C$&9!rCqr95L;mpoEBvhx<-N3EO_(ja5-cOjQf*#5+w=Bv5AO!nNrRSCrg60c2 zP#d%Q=u1|JV&XB+=G!c$3Fjmi-OxY^FG;&6WEMXi0pRhfD{@w!r6}Bri+@(g02zNY z_I5-(UNZOz-}qWFUAr=Q*YC(D%1?^o$@Py8r55nZSyV}{>I2KW<@cR(6;0=@-*(mW7TQe z&Dxf>y|}xHmv6czQYl{=#U0mHg)tN1ECkvyo^{XKPeF1e>L?YL(0n^Gn%@qeC@Kq7 zxJEPwhd9*h^~#%9MUuCjFU~k`hpfJzmi9a)raUJN@rY1!^I_yi(KDef<4!20yZ-C@ zjv*0EtMU@s8HU{5)Q?)FPV}=LX}JU-;5hE~cgE3HkU{H5mm0>N*ZYT2geS6SIZAMY;-96Pe2M zYFtX8$VfvQL6OeKUyXKD+ZpYFB`e(GqD&!|T||^(at}drw2BWn6=o*W{$G|x-SK>k zOEJ_-eqfA{7r3)SQm6E1;ZO zsZ_#O@xUP-KNG>GXF@>((2e5~d!<#&X&tqA%Cl}tAG{v}PCAQ})Ix{)5;@}iHCw^} z0Tagc>LbGX^l=3=Qp>+6TNOK0{Kqy2OsxGPvf|}pJBs3-FMYoyY-8VqL%hrUwLr~v9ATKZjaqshv^8o z&s0>$V_m1@HeT1I6j-vZ4MZSxKTT-XtzJvRozN1$j+MSuGLmdT3uR7vyYS|MVGq5$ zqxSshHSE_Pfv@ioY#;2*Tb2Eykxsr5X0xyqrTH0SQae8tE1k@WLPH%#h>}u|A}C2jr^mWy&MMK^x|VqD3Ye_=)=Y&m;X#pnfL54U}CDUzMDOm zM8t4GX|!(1aa!}rd3DN!vDl#)IXpAqp~KS@9W!AHsa%Zq6Td1#w3L6&3aVcLE~Y)V z-x0ACMRJx_s@}vFbRJ_$+yP3j`tS&|gCuPkb6~l~Ut-X@D&z3|!!d{%F3=jnl~{je zJ4s~*Z7?I_NON}`@6{MAEA&jR4KCw;bo66`tc%8dbnQZfiO!DHnOQwHWbo); zHnG`vbGzHnVV{9aJN97)TUgRpyWtw(|WX9dVTafE6}95 z;b`lat$p|Im%w9ly2+PhT}1@tVXZVS$a1VPNdQ9w`NTl}H{$BOeWEP9wmahWB# zlCxheZ^YtZYJF8)7b#Ft<{#}(K`7tNWSIgE$0OWbjTxDeQ_B(90P;5TRtp#X+48wC z3q=x><(yjSu%q|fzgp}V8&OB<@*Eak4|@iszp2df+N3)hE|BfHtK`yXaBiwjAZc-F0t4xOfLVy(AoxNKt^hZVuUdez*ao8DlFLZ-YA`HqmU=LCH2!g}#< z_4`+UU`eqGYYcgBlZKG=5miWTU6rG2FDgxAY8hZ^s^|vWJ!0t@7J7{Fhqjtu)0sTazmL{QHI-9^ z)MfQql}v|wtKrk_kjRra0CqUjTYn^d+?M?JK3YGuL8b-YTqsIO=XVeHY$zJ;Shx7h z*(=e(IIjLz6Nbojr()q0ed%SLiXPgp=|Z_%qOOKy3d>dYvbs#6@Ur?8)jN9%@E`JJ zuvFONuw_$|-0$0{%11bhr1nEX>5eO^U-hSWG-l88S4>24;~gGCS#m;9HZ<2pbVqQ9;4=ly%FKyA-#b_5`cih%c%8(ExqH3~p^N{5nb{qH=r8*cbrw|ONMN+NFk<1$jBrL41HDb2#;s^q;G5&!(-Ub|y>C^F<|2}(-?J2oH z(Uu`P;XOB)UL~eW%7P)x?YTlcVdf&KWftotROiA4Rot+xOH}JjciCEgCT}!*v->d3 zquaM7KXE>$hJZZg3GB2UL=Vlo#*=Gyx*CiI3C!wD{>aMv7&a(? zp}nfS{ZY%r%q?Egyd7z)9g)hcd~pAb(=2q@u=@{Dkq*Y{%et%vDxHQnw|vGS#=UW5 z{MXm~{kEXlw0Wn1?I4NI(12=W&+p4w;!5A_CKLS_8MPE`Vj`{(n)zBzuY@TcUR%}g zJ%ouB^oO0|3I~^gTAj|HHu63p;g}QlUa3-QEuG7`pbANptLg`FE4eHKxeJdKEqqR2 zlbJblX-oW=+T~8WhYAOFY#APy32?EI+SQZW>!JkYzE;ODjpe6<+QTqr0vwOf|JbaCTi|`ONe>WxpWH;11(35bkot8(|22ThKzB0s zb{P2teI*YU(gR2yCa3n;p8-Msfh|2P{2U#}|HPWLsB6pCD~M$Z3uLu^JC}}Ap|y1- ziH-#Q+Sgy#^3kuw?VR&FfZer~T)UB+X)HP`Jp}SWGK^6T9F^P^bpu! z^|LCT<26N@CJ3BR(U{$ixQxzp&J!tNNWC9$>DwkK3W-%+?#Mv7VyMYY5ZU_7Fv_O4 zMG@>xf{cNTygq$dKiEc8BrA-pKAlw%5g{%zik@^8s7ZB6I7w;MeyaFNz*nBsY)vTh z>_7R}u4|Md}>nSgZM*}#N8vB0^k0xT^LDd_vO_plpX{05UOOdW2)m}9c<2yqydh* z*!Q`-sU2^p1_}cU85PA00(=tR;bVx|hwuZR0;_B6d^5&Qf4K3Cei(p zX-FzfrB!nLY)4?Yz3bEv!)U3FY}(qAod=m&VN8zqltC0o5y{?Kg8=JpE0f^#@5H9)H^rXU=?Cx!k)kAO{T>htjhtn&K5X z)}u)=AUT9<0825jZ@2>a8m-S?IdxSA^yi<3S*T3&2Uol6wDAQ!uPhWrn}248o)s1* zcsqG7?FMRAQ(0(qF*(zuiq8v?yzcFUMscr<=6roiO)o}YP^))wA(HTb?m8ATE;#kV zqHh_5{TYy6BGMODHHy2fpqHIjVO80RgKvo(lVhVXg#B-%fH_%GyZMyS0HQE2U0K5BJ#5%Xh+*X++6$gved1W1}PQ4ITb} zXgcqBs^9@6v>_g+OsWn~`QA$#v*mmGvcWp9!ZCmcJDb#P=Idvol)4zkzp zrO)sCzuWP;*5i6S?$7Iby?oH#2sa@(Udju!@MszC)wCn{&U5Xp>4UatIhkWt{k>+vqlB{{nc$^MY~iQHvEZlZh!uLCy$>)X;L)Qkcz{FzOK=l@8PRJ3_d5{|H87Cz%;PqeGC1Rr~yhr z#or+!HUd^~Xw_PUVcGyc6$1Cl$0k#OE5>|~-CSmjju_x9gs$X&ya$=p=3mjx-0Aca z^(q}9I}oh%b=mJ!$`b(!YBLrUVF?|wmY?yJ?ycBn4;-nRSoB5p+VC2OyYLFw$Q}dI zW7se>IG!Qt@;lOwO}0lY3`Nai!)EMuet&9vluutVuxvjeJpalL~F{TsNE(}9EO`~$s-k`UQhmf3DflB z2d@(i#kLu{$=LO3+TZgc=TJe540A2M@?DMaFtqH6jo?d-0u=(%6)K$sBxW#Joa{cN z*%CPQ#kie;hw*|5{r<0!5o4J_qRq=vf8Oa0qU9~r0N0ZH6No+*z9^`Cq z2E_9X2~c~@6mLHkmjiO$SLf3up5Jd`-3tP0-CrwHsK(qWbZGwp9ivn(6a^R(IqHW0 zHPj7e0#)T1B^n5%V#II7{$$u@UG2A@b_vU*Tm0CB++Xl0Wkq48A7m4BBpdX4p1A&X zoyf?VXJ5gWA2QlKWPToBjE#8H6xgMxjxK5rA(m9MGr0rTn!Gw&IE%}&r}xI7pJ{rJ5AUmRfnRW& z-1 zc~r4vTW6^am4KkVQ)CaMIt-B$2y?V$G7oMkqRiLk(X0ea5H-AlCUPh|pc#^%XoM}? zO&?(&&3(-wmE36>^2X{1=v#^V#M?oUM6l&d1<|+dXkAjRa9>RpA*)BCZufG+ps#&H zzpc*v5Cubt`<`i|EF)I>pFx_(s)VdT%^^UBzAf_tq0FRzvxQWR%IE?^T2=SPMz{lP z!>JmR@!HtMeo)DULuCcYWf$vm>ks3OITa75^;^qdiEa^JM|kCb0R6evyCjl@Pim1@ zbiUz>I0cf6>IU~;?|Xf6z(mvAtpr^AN8X^{02QcoAn-AzNgy`7RlmfdllWYQebgn>#L$(mPduBwK!0Jmt27fY zzf;oW1KHerkBk2SM>&OH+J8V0lp^WBTP}o#$0{s@win;~=1`hh4U91l3qjEWprPxe za>KQbt19WARo{{eYIa)OEM(u&AE5M3s&F|Ic?24&%!{I~iSxFxAAi2ep|X+j`@hP` z`FkrB#zIhr&F$#c-*66j1R>PM_P2RqC(}Ju%TvwciGzjEETFSH&u`ODwJEw0>9^5u zWPHQCG%+xVUVM%W`T+J$64(uF3Ivm1!Wv)<(g)oog-|oUvU&_3Jnh$EFbmG%K z1+ZvkdO%Y0bIPz4q5zM=6UReb{f9AAa2P z+iz07$`S-fq-yd0A~Z>TNU(AIMjFD@%0O^Is%GS#&zrKY?5;Udo)Lc*{(R;e(Kol) zms|5{x0hSRNS*fDE^JA4d1c%F_))B?W&rtHp2)V!QdeRSs9?sTHIq?~fom1AHsi%{ zG)rs7fc-IDUA!IcV~%N4n&Jk1MzIrx7Eit{Cx_Re{q`vy4-wG(!g2dU$fVOO(M%6G zJm{l9$&Wh!1!&sBp)fyB$|cJ;5jgpd&p3)Nk4UC(2s>~qwL998TJg$; z-f#nww>R7%(?{Ze-j69+4t5iOEcgd3D?gDYO&x@sB5(133}$n*T+d z)&tHOv?dz$SPaGYaO$-YO4x~s`vpx;%SpMF7VWUe36f5Pdk#(`{;aOb7W?wir=OFz zdNbxK9U|rDjF@Gk9b3RVlD0<-iE?qy;S!;r(B;2TAxAi2;1xD8iG52wt2 z_{GA`Xj!mUazEWbag;pwJGM765;3|aPJK}gr)1Q8s*~It)|Q1r^8l2HF_Ow4XX*-Q zNx#qGk6)DNcA*|kef(`0&q2MGLeYgYtCRNN)!DLo$5$aG;n7mT*PZ=O#g{d00k@DD z;+-)35-@(0k1ceG<4QxFZtVIsmZ(UJ#s~|PPx0Q{2(DAOavaUkt8gzxLq-K8j_*PZTG-GXG2HvHQ7(Alw;`gG>ZizinPC zQVDG=$=s;-yUpKd@-5}&?ta<+aoPOH8L`2k@#R-5^DTQBTBa3aG%zJrgk?(r-e*ot z3`E(N>cR1ZiKh6| zn2sK$zqV`-^;e~lh6)9Z!+bdb@hq=jrxl97xEj^f-P*U4YB6{FZWSM1JShf_MUsyp z)>Oz;DB9oeeCX0q&0(r58}S}A&7qLlY~E~T(k?Q>+OlGd2N6^%Z0+w$pMiPuL6MCF z!`?teVV*lPCp?mKvG=vKW)j$RGg>O?a0Sk6V@nNa=kl9~iKHCNJC)TR{AK*SsZ^2u zI4+Lk2SXBa6c(f5>Dl#hBX=bRr3sQz(w1R&)>fp$E3KqcvrB=J7`um8cf$Qau2dto z=HwL(asg)>)%!NYGcAn8qav2ufUXFyf_assS~K+YHG00GCm9xTe8sP$M5=D;4a1Ov zJfqT$Jb%-4T!r(rw9*seSk^bS3rF-Mck@$)p$Bw&imbq zR1J;*DWI{lO!%$({=Au(;8$xc%X~m@@*7xAA+*ysY)5m#G6vt`^U71jN#WlbzX$qr!*=N4wQJ6LL*CTD8{YQ9gFyq^ZGi zJ3)qW(-g>5CFM~pBYWy>J z%j)W@aQ+g00kD>6SO^uzG0`~+@OXNZDT=~Lh-XlVeSRN9hc@1b{!!)!z;VZ*8p5jH zf5dOtB<&$<6uD7#oy?u|zYG8=2;d`&h4QF=g%o;Bs1LTbX0sdusJFR}Y&==QOmK=B zvy>!~u!W;fJZ!_pv;0Fmr~I;oWKu9Tv(Sz!v=I|QC&*3Utq%v)%pj5blIHlssskp~ z*S=5}zr~M0-Yp`py`7Ekpq_0Ewc`_q?57xW!CEP0Yx2z9frbH23!kwKAO)^r33y>C zI4W~hJSPCG|H-6PK49hsCAYl~U{CcfH|6L*3s6=Eg0Ug59vSa`&ncygSR3C2Irs%21_^A0afH;rb*LttPKACHZkVyQGxA$C4Pr z3zT7Ya`qfX%f9_X1^p(U5;5vCpuVWM(-^32n7%=uMFyA;W69>H9l;`Fdw)zaLo!tC zXhr6){ZET+qF7dSU?t`>2n`!r&g2k%JEVN83&{qXrLi85DuCV)1`7Y$>UNB&Clq_w^qZ7|2v<0 z=slQ%c+9x@;!pqZKcm@d@Btpfq47xE9hQRva33LU$PFpr0YSntxieKoIq9DYFW>|Y|GjZ zBHqko3RG)44#%Pq7mFEA|CCh4_PAbzdK0I1%WKA{ogOaq>&$V{Su*{9s^KG|zbX4iUgNBF&=xcu{iDET5qL+EN z5Enho^g@_`fD$A*JOlj7eu2<%?LSeY?p6m*a{LeRvA9;^dp!NXhcgm1U}O#RlHrha z0uKO6GJB-= z`=$VWF1D`edm~%VlbA#55Wv3Q2;tQQyVI6UIT(|&o@IaH8Zmz(X1++eALg&QsX8i| zTpA)$wO%Gfb5mFM*Z$0Mm;bqZ3SBkwjmw(vU#1+J-6joG zKp&*FTbFJDa8n6@o8G8S(#m^g?s;CnT9#Cs6=^txkeO9Vb~govnc1%Otr2G6@JjNo zW(H~rn?`k*KPT&H4;ncYThz*GyE0%32t;AoQcJ_lP6G~EskXjRl%o5wJ-59 z+EbX}#!unDFA46-=?lRCb3sBbR-Snh>Sqam7C_b?Sg$1K53n8^hF04ZV!Z;v42O>k zz$u39W_w3t9^UZVYB%Ya6$|Eer`J|V-m)sRc@_C&v;Nu9x4$9nlOPFiz;Sgly?$hPmc0|JoDY5Jl_MvB-XaF=qn2bWNG2dr)Dey^ z9owFF;pIYm@K#OEDZ5*QjV^Or7 zSQ0TBtC;XDB3Yl%3@p;j2}v?De0?46_W=H^wdbb|ziaR*j=K|s7jzs}hq)6zhUbU0 z=6=}4Ce+icI5W@v6Z}qKtirObv`$QhgerdSaEMTET>3A~(=3{F`})v)6BECX;)O7J zYlH|``f=?6}M4Ta4}^R3Ig>ETVhG^pTW*O~R@s2|Fnd6R;}!d2^bKUPK2N zHb9|>^s;^Lz5!06Wmt|PIU-j7x({%^e3>2tRt!!@wC#zRGK_Dv1-(KhBT$oF{}p6z zN_-Qr(R8OVWuYe6zBx~{NJrrK{Fh=4 zVTHjkYrD|8)|BMu*Qp-Gx)^-PMadFp^B~3~8Y6JJgz+1hXiuUSgqz%sP=d^s+u!Ub ziI+%Rx|qk10mag`XkQ7%#F%2OoVR7;Pn^bgpY(8s{O$Kp$qMayAx&}Vt)3L(CZG2O zy~_Li(}7*2RrmpY)R~WL4(`b2m~< zlH8MiOGVQ_ z>vhxG4U1OvkotbHc}deMi=cXukzwLBBckjT&v(GZgiro+$FsW`1*TGsv!rns>WQ16 zuVz~PtMefD)X_Vh+k3?eOQgbcwm9$fP@!>dM zwjTqhAEMI(8#PuJ0nj=pyu8*}f}JRnWbC7{Z_z>fmEGYe*=RkaE}Jv@u~(jN6K<{eA#DpWe> zP84{bN~nQRX6}O*kFne7_dLsQ1Z8i#li8xJ%K4d2RWn79Lo<>;JQizhCbQ;P`X|G@ zepNPm@PbI=)FoxdM7y4CNk;oetxT58D{U``hx{*XqHuxR5n&JjY zZ0|`9;jBbOO1VSchMxY&z88NP^>=5c6>sLg!iJQF(Ce(?dt~z#sT0{EtFDQBv9+NF z(e*?>av2=`jUTwbE2HC>eW2ZF(CwdpIRDjKrHeB$GL1H`wTj_1K;;YMUR2{%Xs1UA zm!=Sr28d$;_B-{{2aHy-V$}HOuB#`>F$M~|u!ofM9ngouM+K}}ZOTO!8CBK@7*@Dnc z!2?fZ(zH;Q{}j8*mlyYPWbSix5D|1(-`lTon-(dZeFPOk=u9J@vTFT(NH1con)$?( zG;THevOtiiFL`zWMo(NXB&&m>GDLCc9tpH~@{(s*;d_)dr+2EvkjU$x9wX24D@~r(W}s-L!nn zmr5hn#L{n*i68wYN{;Q-5+;QLGxhg~kYg6Nf_A9v1Kzlt*`!_I&QhfKqE|SR-h>D} z`MAse(Mw3j9ZOp!m8ejS2EpIz<9^~{LY0Ww1LLOu@W1x|Y8sdpYW@XsMHuvr=^s6Hej&48^1wb1#PrxZ1HS_|vc~kY?qn`5YC;K~Dg&=3Mw$Fm%0~ zZK+%8Z4Dhq2X%)Un8H-3YLk8Ii$rTJm+CvAB;u*0XJJ~Ge{2X|4-0PKQLvO){a(&N z3R#~?@nq8TT(yxbhDsPaY@-{H@qHwR?EJuz(ut*?`H>k`O!RA^GhM<^`mP+yN@3%R zUtAV_>a3mM=B2CP6aFN}iFYPZqZ*bLIFY%XY4=yx#Tw)P*->oicZm!USGJk{$CfUR zUb3C0Z{+c#Jg=G4og;eAB#KV8gYLq+h_`q;H;4{9Cb9@j$DbWHUdj{V89SO;nw))~ z3f&X09H9+IN$>o^q%B+oZdZ}Py*0?zVR!Ae-ht_I5bah%sTJYT+W^QwWaD@npo8rZyL+ccX%Ubp8-6`HU!(d1>R7U&Tzs<$p- z5^(Uo-q{&%hs~0&*u#C8^;(Awgjcf1Ffa8S$pM>fqiH-Sp42lluHIeqT*Tm5f4P+J zMC(!-+khlSbw1N1jZCKK+f^~0&Uh?V>28xF@G62?iT9?q{ZSy1zO{S0KGtvHswAz| z_mcTwRq!^0;h?55p~CS%>8?84DhC#Zn@4d|71LW!3P)Aw(K%2DcY$!RJraG}M%jZ_ z3Cf`e>!P$g0eM#Fl%DQl{$GVkQb))`V$=(mQ_OKh;4sqZz-Mv!agUy}ED?h+zt3?bvg(ehPu z?XG$7zzl;$N@ZosU+?!OJ2%PK@n+^nB7I^sTVtra4uMr4%FSUggQ8PwosLSKvMmTrwK~aRtim^1`xVUTFeu@;cPFDDO9z8?k(H3qauPxzW2KQe`;etB9_J;!Q= zN68W%g1sB&C2Z|_UXnto#?6F561NW9AjSXF{(gC-e9;^|W+5OL`7n{&){z8jkYFRv z0zF7MJf@!kCSdWoOr4o%U%Q^zXqCW;4$Wp;ovv2O895u?Ox*GLL!_3kC8I-r*I2fo zlcCfmL*I#+Caa^}B5NvvIP%c1;N{uYd>z7iJK&tYc&U-TZjYDJdQ}|r5p6iWFnM~O zWpNh^c=MP#h4I`pPE_yhL68;j(aiI$gLbE>M6}8F!6crS%J1on1)4J-|3HicVO;0rZ@=vET36AJ={&4sAd%oCYu64tfMU$X@BAJA{7K-v=OF(^@+ zWfe!{#tx@({qXP4$E;lh-pKp-;4J?m58z+>U=`5Z$b)v<37U#1nO$~EzF!{6m9y7W z2Sruj$I!WGTb<7u*pHPLy|*VpUf2KuhT@%e2Ua(ZU+liO#3{ZcOG;rkH>&CuNo z6;|VqO=U;T3Utg0Vp5rkm?xyFL620}{7J~7M+D;C8f$SGx?YMkr2JFI(af*2*zFBE z0q4}Akbu;xs{!p%eryGXg?}v!VK`Om4AtK;I#=p$-dt4k3_Q74$KP{tWH4kZcs+NE zU-Ax#IGIms0qS0Da9k_dAjepM+x zI!t6)Xqk{{Zt;3lqxL8#(_k}_PHuZu0ZQ5vBuKYr!U3_267IW2G7C&<>>HHbc??E; zhxB?tx@>$~`iGe)wjCorszYnSUu?oi8(&>3a-rP)tSOILzQ{v)1D%>5v_Yh+Gs7Dj zOM7luJHlS9!5>|^a|{Px)En#pBcCHr9$JUsa87n$F2=p8ukj`2#Rg?$^A=R}>%Tq- zj!e5-SA#c)C5!g=aL6)gNH&@Aed;;AXc}cs>}?jr`uDCdJT5S5YE_~_u-D)68EcPx z!^Db2iMxQ4xo2#5yfKt(Z$Vto;6udm%ADs4b?j+B==h;~X65W|k(t%b^Hi-chdWUc zWr%zXGC5k;@9Gw%h8&pc+r`JZgnPWK!4N`}D3p&U8a&hJP^8#F94=Cd+VOmE61>;7 zt9)A^XwHguqcCq!kDBsCvDbL-4zokc0P3`dM?Qhw;YpOk>Td9lCi?)kd!zOho15s= z-{40kg>_S-_##7!;(j;sWu;4&b9CMi^L(acZ1>ZZrXZZrVWaUkvTr3T+MIVwz_-+5 z4yOE`tSlZpbJEMM`e~M_4m!~(K_0?57CyGmzmxS*3dJ7gRes$)ZllZKn?Taq{|%nu zt2=qsFW%o^(?otoGF9dbci>Fc6nrp{ie?^APz3sPyI&VqBLR;SLQMJe8rw=4Tu#!> zPaOZkzkceU)Yx!OKziOHjmYv&&2H-H_j^`{K`Xj+`HG8G50W2kA1f>n=pm``!3it0 z_VNzP&+Onuo7MweUne1#EHyBMp01QKAjw^j9}92#n5#Df3>h3YuB5&byU0$4@_hX@ z4Y@BG+~l8v=Ta}!M2_;3nEi@QUB{AQrCu|Z)}0Y~HF1{6)m>|HgZ^WQ3;|BeV??r@ zFRZI^8D{e+Tf**Yx(tEn*Fz_Ky|vy~R|U!XzcypP&51m}<}@h$Oj)33Nu4=P`K`!X zSe+QynN8NR9ckAA@e{pSu3ds&ojNl$MbZ>mFG+bJT!~Q}b>s7>s+TUMo+XV z^OA7>JX=>vozIRJm{HDt^Vn3}3aRs~*w{AIv!|0ptkT7)29#uKEE9Caem*jgVN-f5 zmvEs(B1qfl#KQ0Iij{?IF?!Q=eloX<&oTHZ>rZx;*bwZ?keS`j2;$guK^V|@T$z;- zQ#GvF>itEcHS%w$LL_~%d}AdkE3rjhv}H?(kKQ~HXO8)VeD2td3}?6$O^N-{HJAOL zP~A3wXSwR?_Q9tbAWm8eB+QU`yQhR%CI^~@z@&>>gd@0{#wik=gvi1+&+z#+zDJ)Z z-@_|5$;27@HZ8Yb{(N{1C1ls0mDh@DgAxVeWNWCcH8ft% zyk65qlM9j+e?BHH!w8SS_q@c{h2a=o+2D#_VMJTnE@WSlbxjzYaC&SFD+@J0nsT_v zzZucT^G4&Ss-I)53CN$9=an3EUBCmRP|i3M^X(YTU&b!s9dzs> z$a&LmMSGb%?({5ml|G2K%kp)(QI8@7dbcE;S@dr09FMzLuCe$u#;A+>mC|4C68VlDW$?>#&Nm z1MF=#mHq9$m(N|OT6Vk`n^JfKR`pX{pS%h}yYv;^eZ^xNp;{IS{4TBf-z>PE_^T`i zpRj9NU)tTBQmim97{qzr`bXYjMOCJ2!O7Ay)6M22w({fh;&uOWt4nP?Ol%<9lo0Pm zmFfhEZ~W}q|NJZt>6oDRv%(UPoVxvTA-(nS-6+l!!O=R%F`a_}ZAS;tbZJwzs~u;U zxI=&ouso}M>)dN;oQ)=6otL^hRisRh^c^jdoM8)_Ph*_(j0FK*ky&+BiN zo$?WTZxgjYE|a1Qdkws$p1NVa*yzhqlkdiiQPM2aQ^3@w;T2v6m0B*vcpCvq%^3o; zljO5#t&Y3Pw1H1Y{;B^I4}J1h$%XtHpA}&ER6k!)0|o*H%-rBg(jIR%GCO>EA$VNF z`c{QCzbJw?2=J651ura3f^SAR_UL0$@uH#z<0wpQ4?pP*GhHQ2KjShUaxo?65FYc2 zUDW%hAcy;T$>)ugmM(;Wq>$|MH|jQ4E=4PH6LwLUMoM&q)2x`stn7p&0i#2}Vrw77 zsV$-Q-68oNpiJz5GSMCrury9|@IY*IgIrj4n9^Wl>gK$nrZV9|gF)BYk#o$hEwclG zkpa>SO`pYRaBmV{f?ehnU+9x8atjCX2$y6uU31MROqhI{CMppHlzpe|?w65C^cRN9 zOY;_mH+QXN@8CDI->LWc3Mf+m9Yb+hPuP7j8&jyohUlo8M!FWDwe6(5SB*^qep_ee zc_cQG_`7fv$@%kI$5}TmI>1#Z&KlSF1n0$Z_Yqq5>ey{=4t@42E_T;GGx*bNt-o>n zobD&06)H3j$o#Z8xkSvokCGroyMoTWEyz=>1hdHYnZ%?VDkJqToj=ta#u4%NM# zdV3hF3M^<;+_)u&)t8T@PJ=~Zo%cdlFRGZ`-{oG<_NltFF;@|Vw9qi~o6`fyd2;r5+UNf3_ zKDv7LbOAN940>s{_Mye@oTcXQk#1fP)hQuD24d^%Y0~<04kRKb{_IlckxavWa$%Mq zjd0m{&Em7m0&!+$qjirm?-ZRm^PZOW8fnL+T*wKX(Dr!$jY~ zPQA4{?r}^*GKiwCA4D6IEa{+3^GNWzyxvQXiD^wU8(>>*3;eCRs zqye1iDNmkng=uA<*!gcj=>)KffYrqrQ@$EwxQ?I)DPW&a(-f`!fz~`< zquOV}6bT(LMQ6+_4Bi#zteD@$LMRy>Qp0?*9`fl+Ei;rB8OrTXLiK*XzWm{TQ9t$M zIPiXBt<77Y;clyfws4dT--IuS$fXIrYyr3OQva~IdSlryFVpcLg^Y(TCerG3~h~-)0FKfV*~RZn43IM~CtU+`p38o9dmB)f-nzTg^+e zzEbr9zRm_Spo0}N&GygRW$wH$`baEoMMpy@!d1^NO=wk@0&FrzK&{uFE4Z2&5-qGkVfolY=N} z`X}cXX$>+3@pl-BzQ>1F*UYt-)xu{mNbZise#o{C-*o3u_}`Bc3M|l*ZFJ^)7D^sJ zrIC#FlLR~Pc=ddV@@P3lhZfNMj%*8wou`{9NpnEZhiRE_QUN>i!~+!)9FKf^z6$X<4>3LRNeXcB*_Ehw8znT_H_m0XeZtL_Ia8?a!kFH9f?ok2!aSm zk9X?`wt#HX1~&1WsTJj)4hXOr8jYCktyEq zsrj)~hk-X~AYG%Y%G(`mN)_JFgIqt6=moU-4}r94jU`ERA@l3r)Os^DChK3k5+!lv zBpy#oJWZAlo#DRRS*hg=qyp)E$c5NKe+7g$@QIyMo_2*NrLVfH$YT^Od#5F{8H#b$ zVk*n)PkZ9B-Frkw2f1(h50JR}rtMPozC&{EO-V~$hz&Ki=4$O=2?U*;LQm_^oV{Di zp4!nFFu_X)KvR&&$eh(QM2&c#s@6Aj`pW)T82CaJ0>tikGt$`rtPviZFZY|O{r)ug z5kQa1KN7_%Ki?&4*}2|9r*gSniRytr$G)9+T{%3*h1Y&OUCg6#`SdcOaFd2XU5}eF zSLDV;GVe~-NX)%tH{N;yNYZ^+i6Qp)@|kilUM+Rxwx+GS&+8A zJQdV#pewB%g1!!+LFB=LZCS=~(eR9;^@?D?kyIUEh4eZDMO+qta`coqA;Y7q%Kq&) z?W9N(u*4-~QSGePqC`zv52tVQ6AO}x_P7fzspAj!g3jPbN{c`?5BI20_ zrqWO0ZCJ>w zcN0HR$+Lj8l~is*+5B_vnr&$;{w7H09_tGZC3l3_6Qcy2&hmiYW+YIx)Wx3sjhEgP zDGjW>zAsU>ST*4+y@E|W!loi!j<%Tcp%b4oVAF1`-5VtyemLxX6t(k33G(~RMY(XW zCAIef!WJHU-R{6{ufWaP$&L*R!7_);^ktyA<@OP_7jI}w+Wz!S-;It$yBMIgyMIT1 zBpUPUEBpE|GZvjH>46s28~MyZ!$0LCT63e`qY?(kXztqDd%zGB^$(TbK#M%x9n~9X z(cN?$3NVY3-!IQ<(z3+1U+8)b;862D#c!g&p;Ldk{sr{Ki?j$rcJzv~%9rrQDlh8T z!w1vCyM{FB9CVo;QPcYR2u!n842fm%xxn8Z_kMRe+FPhj7)YL;oi^=$cH9F{+_7oL zDVdX|;fBMDhSS597fyi06-AAm-41SKltAiVsT}mR%lM@c&c6btcIg_ltc=$L1K;~- znX_jUu{ZrPokaaKZCXKa_YNnKUK1xU#(UMmdh0~w>Tj!SJ=ix=s>=Sbd0bu;eEUd` z_aXZ@=f<(yq?$@*__pWPz;w?R68gwVO}CmYYHGoo&M368=7z71Y)N#`3$RD9-Te zTwf6<(D4z&Mz;BW>|p00ZelOjQE)rJ!(GBO!Gc>%PhdLT0`PxTq|rOe28#O!AAmh2 z@mm1%Kvp~+Wmn7b2lkz|i+RB63e@W=^Ch4QS$TC8{um4Wkk(tKRh5;@ zG6)Wy7k7szm?W#t>;}K_9jX1A9eTG^45`oTuyMr2sWX4CZ|tjmYu$FYZYo1pHfW7z4v+~0o;vf63<<|0Rg38dm+bG&f%g}$aSMJ0XKb0>0h}2B-x7F`Q#5W%;})<5 zAMpqJE0}q|}491Kq!pDtL@x0AP;L4tgj zh$^gjWEvN~$M)_FvE>X=XKbJFZ**-t+ME(x^=xZv6t*}ypxWzB7Jici-N&H_u--{P z57;?lFVLxH=n49%w7jWFkYDmN(u49fvv zSW>xiiL~-J8g)m$p72_j@9nmI<4;{ntp{eWEG?(L<%sT@&wKztbz2bg?G42~*FL4S zKLIJI^Pb`L>&2QF*BElgofl6y(2vVs2~5df+%U7W$~rmSnxUyZuVZS=&wTTQk- z?`?Rqlch-fa&?h|cu3yhp`&u?l~pLiWKD??{H;$+EMT|F&6uyKQ}9$DMZB9jQFEG) zvg=G+tk{DyB=w=HloO1`uQcVgl##;t4XtS1UEt(^iiO_it_pYAM^0SeTn-iP_D{VkI3(DtsCJo94YcuV!mZz5+X5WEy!B zg>_tUPa0kUVxw+PkV=))SV7$e>|%X=pZ5U`fb*K(lC-*1Pg;oP?`iCR+_`-o*ni!7 zZ}a_Z!jXaYlQXp+SR2#4?2%}%%XSBz3+PT2c_rsgVH>Rh1b9ubX~dtux&O$tBTbRUh+`r@d*uU$)z*xal5*g<-Qq;6?)< zoEPm4x2MOkST4zNovOg_La$#QtcnE|wJh65@q5>00V3e9m~qD`I1B2?GcVu$Os(k z`8-x52zWvaGAQ=l5M;U4)*e6uE4rIm;Z@BlA>#)j+9-hDEkpBbjHBGB=&n^5*GwB` z3BZ|APA+fU>N_6E_Ga-+&f@RDUI1l z&3+=Oao@5Qk#9O51@<#&$ne#Jajq!xy_t5 zL?^d#@&79F5s8VCX#gdT1dLZ>Wj8Bx6!*IWQ^(r|KOLi6TWUoD#`a1A{af`JiuXZ+ zqpArkO@e_W-$!6F--APeN+%gHiW8>B7trbn3l9NtpzStv&jYE@GrR{z(IjQ6@-I+5(UoS>bYLBMz>M{-No4f z=f2%fSh6r~5jSbTKZ~F~hpdP4(3L)0tb*Wziw+N1=S#~sqNhY;*^&`6DZd=%fyAJ? z_&;hHYGji`lPG9B85_(EB!+bolsPn3-LlshvQAfwLn#+hMbewpx-fov`v>&4JN?x^ zx`ULza#`6#nUPQ%S2ns%N;>adNtfYFTH*-%Inf_HS1aVUh>^B#Ge8uR;8k5{dmt?MA zZrspJTGsBwNz}xNL?4V%ziw=w!r!cfZGtm9iyvGkEXwzs}nAY`C^3`9vAlY}mkKG@?* z?}In>Nm3(geGYfj+y;bb)AYvsGyuIb@hs-WVvui&m;cmVEmY|Ssp2LHMq`iFE^&-^ z@hp2~b7=?r5^}5dxS2}T7OUR*Se?1*$rsMM`TC?5_r;U~oEk$v0?NycLB|2cQ;aMP zZK${CS{HPs-NCcuZF3el6fR+wg--RmIY_Yki{wm}mZrwZh@t(|f7ao0ca}QD1T{;L zvCA|H%hLH2NJ|>Scpm06O@EbZW$xM6&hyyjajvvby~vEb!{k!w`A6(N`#YdSE^G0^ z3qJAamErMCt!AQn?%bU3WFr>8BUQR}BsU&d`6wCjZFQR`cu_Z7@_bx~xDPyqSXD~W ziHUO261Bfh&IdRxo$$&xSvr*)a|_qf)EV;0EDeI;GNUIX+v}jQf95AQ(A>jIlr9%+ z>I3(kDxk2Nj08{bao3Nqmpa)e)Q@Y_bu`rn7m|hk+OaDJ>SjB&Sxm*am_=tCO(sSQ zYuxKAd3XHJzY@M`AC|6!xj3FtL#?AOFKSFZd(0(x*-Yqc46q)I&v*BrMFIG`7u>XA z9eIQ2Jr0}~YwIV!dLY|Nm&#mtaZQJ%$ukAAu^)l|f@#YBMtR9^9I@uN7_-Luu{2^Q zW({=VwR3~yi7$DIt6+$c3D*B;E^^<`Ezic_fwQBSdAB+Oj)AaPS>t+(R=nsYf>eJT zX`(J2UyBHVa&%~oXu7K|(c>Cp4+$g*HRBsi{=&vt+ zn2Xt_YogiK%inT++4@lz(0F~|Rf0B@l4@=mNXh*c|8yG`D_Y~f3m6e_cu^8N1FM%jV~xobc3v2t>jD=8x>3zgBm4&^NV=ZrL%=_ z77a_+F-Pl|PHsqYRz(N7&1S{@xeRhkL%E&cr%$_ zhcpGgqbS11))I!}&4;bYukXaLPC48)PjK`0q`Za_WhR$o8J&j)K%HoFr5a z#ES&~E|j&$Y2?AX#}jD8^`YBzgi}Hf*488$eJw2VKA%$rl=%E7%!k4a%kWI@!iJbq zkXExq8y|S;&YL2EHgqaiUH5{Ae!BeaU#c=yk`d4m;Y&x*?)Q{0K!P5!ND=Qy8 z6wvNF*0)S5^9gZ#Z0o9hTtHx3Eu){^*TZVX%^W@pFI}?=c<@W64UGLly8G5%> zR6SL{rX)#TdH5G-pon9Bfi`sgK=z)vG1T(@{g?!xWJMC3C@$$POgIosI%QA}YJb5g z8pItD$XcVl2$L^@P)>Y3z0}`fJfh!{nca(XZr2b4dvCsQv@>NGSpNyo- zIW&?pnEPBS-yMbTJj-OI&n*F-D|}zOCyftf6w8|5@Jyb35 z({IenW829}c8K-@=@K_Uq+Q%dSz6&2-HhS;aXz@^n68}MW{nVAcT1B-NJW>$@-qg% zUa}Epx=+;yVS#u02y!dRS2U1~3qVR|9bJU@9iUQhmW8qL|q*eX)> zoo`q2s=JR(&E)n~1@)wOOh@?nBiBMhbG5&4DyDLx44HgV|8)uvf9nZY$A*TZZgjwVR`dr(iua+<(&t|Xv)i1(5zsAUOH#kCvtDsVTsx%cOwbnT5rGbAp1oFj6ZLA1MB_uRf^CrbG2=er~{K0v#H;p;q(GD#vmMtQaK zP@%fXW6hx9dyVpfm@4{B)a^3OI5XE@*}=C|*w*wGKj7lxKjO#ggRg}u3}9kOv*imN zhc89G#O1r0OX3Rm5H8imSk1BC{78`06gy&67=5h!1UwJOnhN?@>7 z-Y|QD1vE7Vt&U^v8rs%&vQo+UrL2&>skY)RwMzzf&2 zx*!SjLVjY>_WOnJ zUR0?@6esIj|Mu)b)nIGYst`%{XkArw57PB+Zbeske2pCHg9B6biRO{;U5-W%=sKot z=nrU!v-(vkL4;n&qu;k5sKuq+iJju9!-GVSrjfLISM!ecd{nzHbU?EIG-B!<#p%+A zRG-7OjCalqujy7l?xne)HKcAUHO&{{R}}qE$JzZmJak>pBM+KERX)3FCf$THj!a#d zzUCgQSWPXv|G52pJ|L{18qO=KW=iBXC7UkwrEu1C)*q_!8Qlf=Y( z?nM+2E|F9Ie>LRa*|?NfjiOS|;T>U>r}=+OopoGP-52g(MFB|x=~7XUZWvkxL8YWS zh8ntK=$EbmL^=jUq`SKY7^I~;hwh<~x(DCiy`TF(AK>h>*R!7WU2E@?{~1>r^PQbd zyHDpSs?pJ5?gQ{f68J&Bhk|ld28e-InnOiK#K`3!-l;{Ml&R3Y3?YEny z^V!c8(YT9KSpE4W{t5aBn=v7|ju{G$TT*BN?fgW$wBL$+Ii2xdhI1EM!U%qSrruiV{Z5nnC{iXdQte zKrU_=#I1{y6&88QsS0WuJk6QH6xhjRKQEy&{#>{`oLSaS7dqkPLd#>fUkSYFEonL zc;}HkmDCHf$iTtKjw&m3=Jhk)x<;mzHzmU`gl5U|ujFAB-3%FoR2nw2fe1dNb4Ax1 zT_Z@n9;mwf=^YIvGNt8@>(?$~YjVqy<)fOh8W!*yyP|B@s8S^Fwb7c- zCOK?Yyvrs7y&f7FtpiTt7Pv#LYuMUf>TY6bICn2rqFn&>%g$0iyX0;6+HS81* z0!fIv2`wqpgdSjzdWS(^$`nX%1ahw=YZ zP|=n1=Fju9KZg0Z^81?E{q|}e-d?nm&ZxOSXlFH(kF(3Yo3&HJ2=^rNqR(mO8N}*l z$$?sx{xzyHk4t09UH#)n%}N3p>AbQTc92C-`HaoIT^X+sDma92$C8pzmt0XC(1C{3 zt1GSnGISfQmy}xN4>g+;mF~BEpN}{nhFBRJts%R5Bk|YTx<@cW2!)xJQg!kcMHOIb z9}igq3ZIvKK;-&ELm&0i1$e$Ve(HE+pyYG*t9i5r0WyUxp783A_c(@a-aW%Lu<$QC z`9o7Sp|riU9yNQ=akvWj^yA9Tw~H_QYrCT~O7Bx}QakC;+#F|v`H}VkVypa%o7soL zl&%l4mjp@m_`rC_&I+kNUj6%RJ;jQ{`u;+Eq> zuQ2OY)l#0*=WKU&;5Szu7PNCB;R2X37P^KNv-FE)j~FqlBHzr5%%qP8j1mXHCsG63 z$L?3Y+j3!-NS!d%;GrGQvg3O-60Tk0^x<(Gv6Z}MaGeEH7Ue4`DyEQDG zkwW~@sd^o1sU@a?Q33>}L!xDkS8i`CoYTsDw+W;PsavVR=#-ZB?QbPCN?BLk2iu6U z53$kJP4xi>QR-WzfKBa{Y}Z^+fvjol5@ucOuNWufcIDE|2Z;nu5vgWmU$g8ils=p zT;VOFNNv?1#R=&@2`+pJWj0o*Ha}9@S^9Ne$Td$?Q$jeK|I}hIlycGj1L`F?vdv-< z!^c+@K%EoCg6s^t8afx@fE-)3VRQbX!`F$%YN2n6D~GyuFP<+WhRl_X$0wR4c5aRj z)ZU+%aS@15wJR+yt(W}HtQ}#BoivJ{w=DY1LSKOVFzOzSJHfCWO>H(11dd-9G=9452krQ&2Igrzip$67a1|1^cu z)%dI)3*tsVz;1D@yK<~TF>hDoS-!&Wi}?7Z@~)PCnSASjCqxa^X1`Qkpz(Mim*3Vk zf<`^a;VVU9$}jK~G&oCbq-mZh$0mY{2AkYy=Jp}9ZK7@ZZIUhStb3*AC{~JCzogO2 zq0llow;!i^9uZ*F4p4=oK8Ti@AiE06Vr`S+h|qKaAe2A3lXqjBSeAW#o}x`5Z*zT{ z%%(ricVPP|fuO3lbJK!`f6JVavHLaPuM#3=U%Xn zhaU>Edc|mqUe(nkr&PGKXEaX~P0crfKZ%SEA zByj#%-xeXN1XNaS!_&Z8kgtexGaj{trm+OFmRmQbH=j8=QA05$*h$<$u;l1Eou#SUmbu8Cc881rVv17+-)Nzn7_JTrTTjg@n-_G^H2 z@EiD%Jl-MF;9FPvFEtqOKg|#reFe+(cXl#TEVU<1-Q0xrEPTo04f%5a6N=eDPml$u zvot>FYa-0ujpHj<)NFsEP`UqJ4{Lsb7?I)Oy{_xgQ{7122j+*UTO)=pl0k;{f~w45 zf$|B83s^O@LWQK++cCG1k+YgMvgL5Vc34}s8}@utMA9*rp(L{H+VVeq(7uP7e^uxG z0UiknEf2S#_)0#wB)9wB^NN`fbNZEBEnq2{VSe!>k}c*kU>Z(j%B}y9Y5jXC`>sgN zDPqcemc_cSe{u+J)qj~Dn=J!*+7d@wG9g0Bx$!@K(63R*wHGH;PNf)F&x`btBux~e z!Zvs#(!$0C;mH{ zD7N14$9`wU`#aft6E^V28)UnP-j{>J&984`*ly!_CsoS*_d;14+)5^H=zH#!Pv2$w zN|0aMG*yP|)zq6O zgE*dr`J-y+xgA;$7pf03%K{&e%V2^j1W8*ABKa?aN}d+U=nhZ$;c_Yikzd`SO`P(^ zPN1I#66ByyQJB{6aK8(~a1^xOrO_dhZ2$X9+yNvRMF>74gkR;CN+#ELDcxN~udIVs z7RZq&mWz^?!vdg&SQqoiTjME$*sc^&VK7?do%MAcEj3ZJavqfAgWVoAW5Kt4DkEl`5ak1Qn#o2#y6i*S7Peo zbKj$)ASqIPQfsM`JYchaLbmnI7fY)9c|RKdi2yRe4GHOBS;O3z$7Q~EOt3x;S0qM< zU$-zOqy-YR72hAGOhIc?WXZ#%j-u4}%6uHqvOE*tDK9%W`kT;;&Bop__WB%5#f|2{ zjbREd@6|#V2$T~N?>8jRf8BUDGZ@AX@KT`afV1F0(pDLhJZYp+%tN zu=dqsJ94qQ#|3vcvgdmoI|m&LnGr7a?YE*1*S{Rl(YoEdP5;{OWA5W1^-xOzl9%~L z_HIMHN@@X=4#Uow7@R)EQ{SS9j1PlJMfR=SovxlR%#-TV?kc|O z25Jv=ioVxI3oHY(3fV`ADeaS3t_@2q?Y~{UpYI(_&_g|oHlf`QmYJ9_aP_OU22&wC z$f@>XI;}eeN{kAW;GCW15Ly3 zqw0<`K>gf0h8MLzcP*Y)b3k;aS#>i7?NYy;57OTMy5AiRbnBe9XNnajR z<*f&=w5$T-8k4C(e?iJR9l>JA@)vO03!v1>e6a2q40;HYyzCLLYZ$f9tKTdGijl+& zJWP+)KFhUGmK>wazjjn`y>kR;w=ge+Yyqk5d3WQF`HOWjOZb58HO}yo zM)vz*JnjdxL>rv$(ok=^iPh-+33FPob8|VzqTy_PTIS+T5D?RJy7Q0!cvDCdDO`A) zdQ$BWltASTmQ~uXLJKSYZI$T=82?LDTjpqm3s_SfaOjExuKpiUJq`FdP~%40$AljrD>DB=LdZ3_2E zNX~cqa~A;pTneTe3$k(VELl}GsvQh(c&yX&GAksPEA{y z;>NmYi>2vJUp#}Qb4P#^c9md1Vo$Dm=QRNIS81TSEh!JE8(OE%FrKSX|7qDrVf~M2JR_kpJ&g} zN33KgnHYPI?FReV=SL;amGU!76xK~VIZO{)5n{u zs_HqHziQ^?6Bom|5x5mu$F46;T4?2d{rjss-+l!GOMPvPPYeA=v&k~-7R@q72oMyD zzXZjl32|68AlUp8hHAS|<3;68v>9_-&(qY5K0kaH(XUNFEBt0D{8+&4BN2vV97 z8mA7BEE_9T01XyXOAGhEz))|o1(Fkx0!-|ekJXcG*+icMNzZS3!%_X?)OIJFGc6SK z?zyeKE7S5hIE5Qc!8LJ`-29b$9CxM5g664@>akfs-ACLHc*Xvp$1oSxm(nXiycFw- zP-2R0I}*r0V7#g`v8D6e229MsM+j%tDTS|XBECq)YOp|aLU2Jn1BJq=aT|+F3}VYQ zBrj9}K1d9N>orRuRAHA)kUX~ z&b7SvFpZ!tObWkrS;iaeyb86_;PL_>W4c#?)zVtdA0 zPLS>&|CUU$;G?W#J;6Ud^6tFG>z*1EfK+Ykqf^8y$#JATI@CfCwoAnh;b`n z_nwFXE>Kf0JGDzoIZDHs zB%3|Ywu9(wv1xBOIleR6nM<8L(T($#E`HvI2B(tX&Hl%0pbKN-T3ODaZz$L9(Mh`3 z=<=WJl_or*&mGVT1DYIFMdiJpyygZ^&A2I#0a^-)D!)hY^o5i(^YCl$r1h|-qQK}p z%a=^XC`x>kOj=w85qLI9v)-Yuo(;gd$^at~B|jXv;hGZ_f$=*W7Wk{s*k@V1XGnhn z7EyYb&iU@Ul$&{8t6FM{pfl)iDX_l&N6-8^g@JLwTA1JqC! zX}Lhi9(+xne<~AVjkj(9R6F+#w54sj%&fWy4icmzxpV-fSl75anOvumVUac~llFhG zG?lhc(kinHX9&nI22H-E<&WM&sIln1Re3`*_g#jD{-~Cl5yfxuQB)C=R2tf6UUlCJ{eFC zqjCDiC&vxHcUjd3lerdIsy7wb_y|)>d0Wg69T1#$-vr3-WY{Rm0zz0N==P>%45yJD zc>gp+QECcZb34#rgCfyqhI41k>ifpqt93WD4?7K@X9PLEoOh@`NQ;+oQ4pRd7=Wl( zGcfPvx0&~#Bux^saGk_GFPy%a@73$4YSBKo4dVLS%UjMCPFnw*q=S{~XrdO&MGm+k zbJ*(8csZ`97>`+Cd)P1FgV5R32cbk@u4d9260`E0=qlGVfKaDnJBTQ~)UN>q;dteb zyyTOsak7>kvy(C6Yf*`>v@|s&&zHC#FdL)yITjB(f--I>gelmq|3U(wyRq+cckLO! znXA~{jwJ%xrk^|Pjcoppn>5=3+(cTd`@?7uLDNOGk>E_Xju)_FftKUB-a56Y}AYmVfr{;QDtXoDs7GQokvb;y*`w&)67p&!x)m7Fd+ zWPfK3u1wBHc-g;kf5rI`5vkUTr;$wp;p*4pLQ$89wcG!#;sI>>Ep-!+H2ttiwFuD% z_q3$U>i?r9y88d4CDZgr?AGe|vqa?p6LNjuSWaxmF z^a=reo{B!-4`6}3_!?qlvJudo6=m;x{bTV@5KHlZlcB@1&vHC&egLOioe%xstQ*ZU zWWlXkOMBfV}hgaK8jZU8Z+p6tOmG zXy(+|8cI`biIF>M6nfUz;Xngt3$TU6TxFN4-PJ~i zFL0}C2A3xRdT;>H1B=-t-FgQKoBPg}GNxeaRx6>cfszI)8L4(;`-O1TK|jOBeZ?M(gx6e|}@`syYttg6q`{pz4KD#eqD#PJm( zjTcGAe^VlWM=n!HbKn&QY@V-^#Rs8vI2DV$cHZFr{QE|aUO=pfV94M{Unx3lWQsT< ztw-_dz4c-hKn*1^K4W6J@%YdKrvn7+mu8)jiu`~fTV{D=^K5#^VQ1slBt{iZVrsP7 za-DZ~o|bUq*hRcCx^$*Kos8k$b#VLs&ACPEY9ZaW7AIr(Lnw>D5K5#|F&efHR9Lm( zcBFIqldpe@)?G9xzB~mE1z2eu>_cAPdTvFBoqpIy63Di#*-mDbT9>eR#o_?t`TWP> z8m5mc{eB+PzPKOQXyHoy%Pur$SYKzpJ2ETpZ&#&&gp~L8(0D~cKvX~b8-0ux&YooX z+i$Hl-Ov(uGM(E4X^(`Fh69)1^G62>UA6K(JoV;;$^4HRY$}Xr^8bkji@bT*y}|^= ziSVf-H&W@|`xpupdfSzX9X;wbDjvJxh$I28{j0V3rTVe{4I(J1M*gF(C2fz0K`z2R zgliB3r7;N0sCG{C_20BWeetOvw51Qtzdpvjnjza>Azg^A=6LDx*CDUzi9$Ela1)+( z8Y@%CL;i!Mx(m_Y*l5k;lW)LA|D&acrYU{v(TGnx`LIrZvDCoyy5Tmx=4L^>LI* zZX>ubTvgL_#`Sd$#vKmr)4A7wypt4g(>{+5RJLh$JsNC6e&F_Fk+7BM`qz?%0_ZZl zF69vwQOTWVEd4ATO@(z=?16;BGKT2>O`~Aob^7E~ISZE}I9O!@o z2eCW>4fbrfo@@Q+E2Ko;0!l0yYQMYCoLK5-D&;DUcTWKTk(@CkEmK)-DtsLsb2<01 z2ObMB-{N2Cg20q8JeBJkb;)M1gwjmZzg4sk!Ziwm-Mpy>)QyYJ*4KlA+UVw{?IA9~V{|%zuJYxv5CSErLjEg?94H5HleZU}j z1L*7wan%6h8Q2^YPabj4mJB_xqRno}-!r5g8C|dGJVt1azw!`6`91%2HaLs^dMWOg z(mo7NTMQXJqt&woK*>BU_Xc%|d4JJg6E{vkK;K%u9BlDy!|0xoB$3`)>VX36?9SQ} z4Dwts70UjOTWos(kYp@#)DZ#Vg;mE9Gy;tiIFw#}{&0jOpyi z6wyjt9=a#~@b;;%{p2`RP4&bnuWV}~LchhL+HsbwwJ~v8T(2H0irj$`D%j1yUfIL9R_e|`r!0av@q&O8)D^YpZhnWoN^ksmE1l~&+vUeUduJ-S(?!r` z@XU*B^3t($0|eH@2B%d}lTXC~Kn1&wokHrW=ZxsZK4njIv!=&buDshy6@FIQB$^fK zZBW$5o}N*<9WU;%G^S)eQ_=kg2xa$)ob99ajIIJ(^tD{=`i83TAzo{FWyIw6jiL+C zZPTILin*9$0M0fH2y{SB@UL>F6Geu`NN@FudPXMB6t+{@+giapsICTG!`YC5Qmgu| zC)wGpj=0ga@I@p#ocTIxf-gN|`R%gnI_1KRK|8cKN+GzR-J6cLpk|l^6~B^bwW$}u zAvHd;IfXxw+}T$w6IO+0&%Mf$#&--X5n!pR9+^_M$p0_ z!x$ygD%w)Xv_*@!A~VoinwIJ{GB+t>tdi;Sh;lHw0>&Hf_*Zq}cH({3=BUeL4$jJV zx0`elh3wmZr6+Qp5=s=QM@v^$!s`UR?no_0G~&e8LjS+Xm!=Lh8+c|-o?ACRJv?kdQ~5J#VyMS{@GLXm4|oP4tWWKY&Dk? z{gD9zlWbBQc$Pf>8keHa8rOK|wyu2#Me&*D>*zgwBm@4SLaN)y+_M{R#KqnUu98dk zz%*sZq9LCH^6RE%<;I@eueueP25isH0Woxdd`8~1y7P;Ii8>+A*E(r+r1|!_zyKox zE`ProOBM7q!mY=unxYbt))&q%Q$($qq%KZce`24{&gZ@?c9V;VGO$1%^Y*j##YcLd zL?+ZO1thpR+^w)S5Az_Vspl?kc(uG<6x0NhFMlK-(hY6!=+@n8DTRmo0_};Ft~an~ zpVy^7M(S&NjTXm(Lw*L_@VbS*NfpCsoPzow4X*ygkqoMm-wH>#Z0)GrDhq%bQ-K!T zz_;mGWD3Vs$kJP?YQyiYqPRh4N1x{64|6BlpAdGnSG`XYE8O{jx5?4+RLyDzmI|GD zk_925`xeFT2_%R^Qp?S`%vQ+$`x+v%tHc3yAR z)ldcj*=qW;$cBZLOGgO*_A;?(jE+2i>m zQ?|_{J(Ej`oAyeiL$D2e$bn4Mv1FcSM7`jXU{ijDi@l77G`SXEzxK9YLn%_Y@=UCe z4GnRXa≥_-tsoZ_XwCPR0*GxYW-&<*}#2aj4ugOIm*5Dpl)MHQ0+CkBuD40LsZp zhx_|BM}|3>tj~$TrMlnUD~S9n%RuTCq#!8y>ffGW=$_H7>_uB=;t#HUcVj({WqtCW zjgyX*WCo0G|8UCOfNFO*g95S|--fKTp@%ieb%3WFjmfI!Y7nsvkz92l;6FGTrp}r> zi9{C;LVa?qFl4My$@myQ(FI~R`L;iIpc_w&u=&)>BQ_v*{nbzLPz={|m)C2O@0-}N;@52IMg@7C8=vVlrpjm;;x!=b`U^teC$dK%p1`tmm4 z)!v~N0E-@Ng1Aw{5o}W9TAT9AKI@mqjgBs`P%@w2Vjz^3Ch<+|1smUlb&q0PgsQ~~ zkc`=-qB+L0dFdn1^s-m?uz%H!z80d3d~LfQv1@_4thcTXj3mKP^j)K)nJYf`rqJ&_ zpUcyTC{JhsbgVjXV9PHT&Xpo;Z^AbL$DXAmfJ3!FBWB z*w5tc@(LGeSoW@FrjH=(0n`UJV7cJ`B63B9HG)mlaq{*X_f)HENKpjC_wwEbE~VZf zu>NubaEV?*<8A)hKv1FqN2(sq)r97q)MJvL&-MK)yu^?Dqlkd}6Qpic_Llk+Gr90% zB%VqrRhr^O0>f9w^X5HqxPN92zuT1go)Q#0IDIlY-72($wXOFX?tdtOk8t6e^fc69pSx5wJq)3@@TJ-aYvN#`Kf&L zm55qOzvd*cVT?1V%`D#mp~`v=l&}W-{y<$t$gXZdez4&@+=jU656Tk>vuU0>Mq(8Q z;AXfpA0G)!obr@dz57#r7)WwfY?Wt;+bwfdGL55%ljl9+x%C8N@x7kq%Js)%GlF@9 z`D~Mm_qwT#Fy=+=?m2kowXHlgdX6OUTPUT+GOWwcyeVPdmzkR8f(j-$@B&81|9_ur z3)MzB11<0qe2A3B6*u>dT|T7{DSio?%qpK4;r>Wv$qq05BwGt|WL?SF#uRKJGwzTn zt*lq0*0U_!73~nY)s5H~**(>q*8@rERYh<$i~=Wb&_Q|I9H8k6_OmMkAyO)<{cy98 zllCsXKp<@<@K9?f>J)9^@NR^*>-8X@xqy5- zX$u~^zk!tu&SrjfSCSk^#b0@8))BAf@agjih19@0# zVSK~;xIaFag=49h9L4$U+)j@d`1o(Mlhds-CylN61Idj%$Tf$Vs63OO`DE;>NEox*LCRG2y~c)AdXOu2l&D0z`eX@~eKC~4aA zB$8hbX=stB&3ixxtg8dP@`eDZ(e~W<2?Q8euyX_d;RMd*7HyB1-E}h0LOI+}(M?eH zp{y6^1;XL|kxYT#j$qsD5;9O_>ET1=Z17HSsHF1K?zgL67Df3e*8(ZL2jBW7h>pEc zBW~Ah8&DsP)Uz^&_nBdhUj-HBs!kF|Vj3DnPOr-7$!QCZx{fQ|&X;P+cRWI@PSx*} zdF$#uUGfSKqm9)x0Mz)q(Tz=GP_*(gf26!*!G1?&K6X&HSv<7)_pd1aNj%Qy~g$D+(&T zpj2=&>z{~%ocT)(uc*0~_~z5n%m)D#wQCrCXf(aK!EjKXHp*-*aCipICZ@hGS2S0D zn0wZ~jNycJUc6YDzgP|9*RU7%pQI3=9(sj4-2Y(mc1i!2C@#WP{gDJ2Uc3+9uV!OB z`yw?L-#x;>Qq%gX^4Z%DrK;~PoW1p_HS@+6FIC0s>Vb1GTwdlyeS&l7fMHC8VBHlH zaAEZIO>SB{sU32TQ(Kl|KRHjw>GH)yre$GO^J^X8?7O61v(ZPFMK!rKzW{B0p}KR= z_9EcO*?pjAl`6h8OGvMs^ZiVjHa`wLQAy8Tw`b$dTm`Fz>#aw)al)f9RmPsxuJHj9 z6V*)m?~j}@5a|l^Sq26sj3^#Zaswsx?9`p6iL_TcWjpo}CRc*#x>IKpU7^IdRO6{y z_tH|z=5H5{EyoI2dK#6Dw}(ig$g+tQ$3A?vYa`e~5zU?RCPHp9pwbl*w^^=w(T?1W z2flYdaMeu7Y$RIE+*ctnKIik!A9NFK$M&Mtf=X9+mYRxJC5xA31S;GIeMF@I53-mQ zI?x)sko%I>f?G&EL%#v#JCpeOCRzic=-$xC#Y3e~Og=g@$1Fqlm@WqC@eQqZv(zbL zve9PR`SMM~FXPJWOd8k;)I|)`paA+A_&V$%D}ZQ9u1FjT!v2v%hQEBokcoDt(YlJE zZ4yEDac0OqgexzOrX4xE8U5r-(;j+VKMr?X;{I;zrXaOI0InB%NbMm$)h1%R$(w!_ zXPP|i^)Zt*>v!~8I%q0CGv|0szeaCPjT@6ieB_xaQO~Tr9`02Izv|Fr>bGuTI!6ug zptE#_fR7L3Ea&Wv;zDrW;YJ0@LYG?%zwqP0?H&96MM4Be|xZA%KAhV;C z_lkt3y}!Mw-*)i2pwRDTzR9GwelnI}q~9mo#4*@xB4 z5tT{;PtQ|=%iFAU`%&n+iP&`?EIj&{G(OMG(VZZ+N|Q8u=K0vn7Y=dFWBo7FI@Q&hGI70$LXdbQBw9ZEt28Jt*Xf{`C zR0rT1z#z!$Tu||o-j@~Q*34JJ{Bw0a{j}oLLlK|AqFrzLcm|n`!_}W@5ViVMATJB7 z?H!AcJb6`KmJ>wgip*z(s#qB{xR!XP`+1I%-%M!C2V_~rGDMZVlKENyKYPgJI1Bs; zL{nK~o;k~sVKEvx>Z!}&_lt3Hep$>teRC&#bY^Q7%9LZf}wWiYbCrmYZILxZheYB?&=*oa%t}MfG%EWOz7%MWJXrmP1r@~4s1@q zt+(YDelBod`e;IK5|Z^%J01rR+uTf4ik?8!1@5=g;AX&XbfXCNC@nD?$@iaz*m+-x zG{yG!@9C1A@muAI_oW8=-Rg<(Wxv)TrmjR^`w_4Iin>5^;*Ex{P^o-JJG*4gWjfoh zc9ij|yr?jV1m0-=Fz`mbKxemL~yWh$U4}B-d1*L)itkN?6u1e)HnmLM# z5x-;)mqEsOsXk!%fwEB0N=U9JB41u=``)o~({E>qnNd;;gl9$C8_VvB{xMN*Tako4 ze5S&piJiiR_U>+aQ=4BIQEyaG-Kn;T^ZoM}s2XDjxWfZlp#OblkRL60_Z6?2M^;$I zml&zydCCiXrrR2l@oZgQ=(*$Fh;z{f*}_jk4yXlz^k+aj3X^Wb3AHWfb))f8IjY{m-|(Cwwl9IS0-^ z-~B1zPYdSkkGItu+MUDVeqIqf*`{DC8u{3H|5iwa|MM`5#x62U3NNNcRPVzAVT=uR zFTLr5$-c16VKiSUTc6zOzYbnppFwPbZw;wKQrfW=f&!AYx|O>^=tZ!2N)_>@qFz(L zB9T;A=z&mBRY8{VznEWqen?_C64@_ypp$L2wsA>v*?`nOumnLjgK57#^vzhoqse0(F@ zwI%Nc?7n-4lw47Yhyoy2?s3Ei{Jm(;K;mRxB2LNfQJoW~pChVNiO!@N;V~Qka?DS+ zQqMP}TYBM|7#dFQ!`gpCQ&a$Daa%H-r0j4FyQSE~x}j+R-mV`3y!)FK7JV>s6kY!F zPtWDF<{Vg>FMWMzO&K)3*w>z`Z=2`T)t&N#jVCsG%|k?U46D%@0t>AvVItAbCOE$( z1-z0|I{F!~bo$oapHDv616^Ve9*dwB-QI;iZ#mS`F?MUzOP4 zVGFf-%tP{>F(}}W3<+Yy4H_?9UlzF9uUwV##y;BG869$ETp3Hg;Ng?$ zy)`wj8|DYCtR;4Q6-Fi*+Lv-iOS2UUu=1x&dqYVdkTODZ~;7o&BnI| zKU78eBb+^d50;|CSZ^_U;O1vL=?Y!>f{St>S8d%&rD;dMjvt5f6kIH6nu}%$CCDxt zLRzT|f;B#{YNVB`F4l{@&>2Xm;MDGrv4k`c+vpK;G~eraGhhce{tDCX&}qRxamATw zy{SOMP_cQ(=$1lJi`>)Uj;`n49L`-X8aPl5H9dU3?+`UP7Z2K`{HtfHd``VBw)lbz zSdF=x=g2m-k3?}F*7aBaos0idz^gjT-t>lYGb2-Sy8e2dNv9Znt>%2kw-NuizcqZN z?xL>)DvZN6*(TrxFDb{L?>;)Fm+hgI4WmoK01~0`r-9hx((=4dqH&$PxTP~9xyVTa z$SXxluNz7rk@&t8*A~gjO%gG^qv9KjMeO{ZP?PtJG_(J(qwx4L7ZeGk6XoXA2C_3d z3CUB~xAV_*J0XfUamhw-hKB<*b@vv{fpXezAr9kZm#TTu1~CxHEf)>4li$FJx;TH` zDYyV|r<`W3^(tqH|7OxW)60ycwtG*RHicAKH8B_61H3@2SdZBE-Gc{`r%?*{{I%|! z>|Cu6Z6AElGGUP!9D*y2I@A-tysFuvus<@LOrCaRBlRj;AZA$>DC);D+vM`xD%llwkgcc|znEuq(aNE`D%(&Iy zn$R4c$^?!251xf8#Bf9N2&!t#o_4dBVc zo4*#V%TM1uOjeXI7OOsC^tNA7DdV-AfTqa4K}UU5=gkG|{4<++r{{F>8?H^^v^099 zG%Fq7^!$PVbI4oE(7iEuYXiw!(AtQBiv$yP!uvB-wxO0P=@5rM?J5>5B8eviG_{c= z4l@L4tTuW>%q<^y4h>QJFr0Im5LZSqjaSoNa~)Ow6zU|NKukLtg-cwO)S-@Y^K z_iGoBYYM>xQxkVVH}>6~*)PoorIvKZxkG7Rk~EPjaG;WkY^*PXpo5w$F=XUOA>+Xar>6Vd3#VQtpV|E z(l5~n^2}zb&77?yQRKNjCN@)g;IiQnjWTL9<>Beof9JJkoL(yI1To|mD{=?9VH(xXU$t=%L?TC% zD%d0~%ru#@#LsQ9F5LFM5+&}278x2}(j-p-QCxH7ua;Ir;t8q}Yr){*XR9aHj6;s< zwPlqt@bWtj3XmO8&_$k_tQ5|)Gto!FlkqpORuo}-H6ld06HYyIv9)^9r-qwQWvZPX z+R_;;dZ==Gh>i$V2JlNJmz`Bt-ER;pYtbLOA8Th+vQKcQC0Pe%PHR)i!AV*V80FV-N~3RB5MSLL$xfi`esU1baq z!P{4Ay-UBaz>xJXCQqn=a1wNM6iAP`P?4+BF>t{r@H(U2_(q#MwpW!a3Wq1X!OtCx z9YXBy1M6x#CboWvCrZ$76RuMDosCCOalrtN!SJNC_@KahJ9R|nQ@_6ZyU%!MYMao8(VH+(HQCQ?`@}OgOMAA{$~0|{ z@mr9{x9HalU`!brd1T|zo?>S{KZ71zWGz<4!7M$_m%PD6Z2Fi#rJZ~s3|_v;uBXry z)aj}oXN5Qm&p6j6R-5c1wZ#snNz*;2`GNZ8Lp1chTSebwJ6CZg^P=I0 zJ^^$C-^}%KJw^^tmT~;`W>g9Ngmri_KkFX8q`F*sE1KXWq8~uHyI99ihas7XvQX3# zhZJNu;=VzVff8j`rFL>sx>LzDQt7eq=`F%5etC&==@LrI)Az;Oe~*#uDcd(_T1<`M zg)4O+hlWh9OdBPtX8_o6#QVco$X_rr09QW4z&x)8oP38#2Lt2h+n z_HGsXw^+u1&PP!eM80gh)#`lPQ4Y&(PEYJUV_gXzoSXFrB1h8z@q&va26Ev z*M-KiUrQ2OO=~8^M9=HaR6-lCX-xp)z~-ITIPabx1AJ4j9yyw5t@u5km!l^YTx-|{mH`lp>mZ`)J9@2!yEf+qhSO7PAv~vPf;_VKKb_4@=0h)qaM=!iWgHmpf zc}$l$`{GCB#wh>b!GN59>|-0i{tm^Ws?l-#itN8RsDz#I#dZ63g-emnn=lrBpR{S8 z=|K}wkREl!IqXC!eLFh)7oot#{{BhpghttLlF_RMkPUT&2(TDbe=b?<0Qi6o*E~u1aZHui6zTQM zRr-m{+16kZy^WqOyP&iQeXGA!h~!Dni{F3u==I!#05_R9d(CZ$@4S+|hIb1$3;idc z1Y+?+mfc^fqC%gkWnaB1BtiYOSuo#8qgwQX$57{2utd_k)fn`@P!UPIr#qp*lNc*W z?qy*fbgCx3g5SJjRj_*;o4q`yeG$$F>AfYjs2g@oJ$}!tf`qcDC78ZpZhihD&5tPk z;xzo+y`BREl&Ji~tRqmWNkHdZ^yFrN_w@ra*&a*>V9dSfF(Qcq z@N{)Q%NtapL~%}b2lKx@}ePanii0-d@9x=VelkEl82>^I#2f%|6hhP4Lya=b9o8&b_{vdOE4nPkbo$S08 z@h8+nS0~FUJ6;aeyh>f}QK%Nuy3?kw%Dx3(4)hvWDtPy(H3QM-JDgt0Zrd4o*?yQO z*kz{hEle%!7Yh}6Q=zr7VRFzeamF08JCsuN78sZsLWnVTb*%#+3mJ5?h|aKe!5h*Z z?dW$fM)LHl(Y>MPvQU7@uo+7NCK0!4YLQXvd6Y!f%1x1{!-fP$5H08#<&T~Toi;ox ze$Y!^a_545%Ag=pP@Mq#xfvUpQr3^kzUtS&m`U1*7$S37;TMVAs}9Y_p4_VRJOV#^ zlTTb+jeLNR#*4<@j3NNWC$m9ho!}5MRTVg2AWiP-s{r)y^&mn90=U#o zTmBNhi11n{G8GVZz1bQegd5KjLM1L-^cNryCBAJSBPZdW#B^1je}}a~O;K? zmIY2ul5ne9n=-4_&D7P=~(-}yt%juWD8IH(dQ+`KihtYQjJNL5ozw(ZVymYR9^6J zPng7hdxSvZ8X6Fo&CFO*}m^SxsE3G^D^OPeIE*q)KBbNjem)vZ3{pY75|SY zhT1+x*xdF^=t-s>g)AO!jd%FCi4z`${G*msP#l2KtzaK7rV1U5l6{8y31MXbfQS27 zQxD5YFTK);J_z=Ef8`m&RzB`lC4!a;7Vaixtd*Wha_9VtFJ*vbr36b+ycU?i$+Noy zPaL5AVK@lUvTy?(=)@Zh@jzn925TIDt+?5PK@*wqkIpJBPUeL=f*k}FI)gj;}`b)Dgm{N znt7ZFpmCw+G3C>A=0{_p5Z3{zjW1xIgM3i4G~rT~A=&8X{;+ox5&ZlAprT~dGnrL0 zE8$4SLFCY0-!;s+`|#6BLyMKW*Nct&2D9n@I9{M>16myd3U;#BQ=VQL+*hj;<<6^I zJ^?5%d|9kKj_vlwv88`#E)kpNmF#^aD^q>EnYhcjye-gB2o9>_>_n=m%?+EyFsoCa zBpcqXPykonmb}Hlq!b8q9xKqT!>i?As^?aZd)t|o9X0p9`RGIDD{}7!Vo{&UOgbTe zJkQqho1W$T%Br`reSWSANNF<$7@lNkC#dKl zpLP--?jNsuTki@Gt+&0ckD0zvzIS{2g5Kwb4-<@!c=o}Ut$DXA4VGoSR5LpXO^1bC-(vhCn6?%NX*@Pc(8+i5`{k>?>LxD^?@~g?3 zZ<4RvJCVoJR*?ZUENbAFL{gkT((D>W8IoV_J~kykYu|_FljA;hH|clS^Ie-Ey?p5{^luvaJvMAaERCOLVYzAh74C z??Ka71jeb=golQ7Cfki#fos%=woc;_BT~qPBgNM4Gafv;r*6gTB(0LEAACbJw7)?HO&bpoL1X8))FNTe)ILQ8)7D#&K!Nv41T=Bb#|FKzEoyu zzYLu{pma@k)_?*JZl-;KE3@DR_AO|l&}&?zU2N7zvo(3oku>#iw|E6(|KA+eo-)|C zxRb)@i0OgH^kb%WYv$m$hwFKcn?2&%%=<`Tt8dBk`a6!0MPD)vnUQ;kvW-imz8$wh_vgo@s}`q+Y?+k4&a5k9 z#oxSrpuim(<@b`8e2shTKW0VQ)O?mnhjkz8mNiD24r?5|l2WW|Zv{)EZ!;3B(~4{F zum10wBtvjr+dp#HdP~eCV)?b=Ro)7PZMdT{!#A|uTgu}pJ1hE+%FpysO^fPU_aC43qDWtqGbf=Bxi*MUi?4}s$ z`>T0p61W{3!?~=Pf~z+fW!w=D6^6~J;bKrDh|4>5ok*(l0bR&Kg1Nv9AuY=JFkiyv zrsXhKhouP~+f@pi=7)9lb+FF^ow-Bohy3csyDp6)df-9-6q?IDp0+a*5-96@E0*Q7 z?GjmL@>!$n=AI!9FJ!Hmp)ph!9?UW^13?c5i_=GDPEJ~#dd;V-)WUwa#}15t1t$t5 z!lU_UQIzE_3R%iyBDguxGaqD{l_m!QAI$4d?uo2L*Gl_VX8Cb*9KKX%@kSJ+wyEyv zO{Ar__k)6uhVLSAzwI@`%DNi&Bi1>l?|;{fAd3?h=1}RAuBkD$M0X-P=)vK;}-0-;S`(^6qJ9D+a>BuOYGG-X-LHNwM8gIbktim2~7H( zs1xdFW}FqLwDa?kXo$=WvUpXTfz77^8 zYRU#q5`MNiT3RYHqOS{#MgpXZ(zd6P%&mBPV-x1i|$}g{= zNrUNI7B>W?w#DW#fortYw1p4B$2Zc&5HVQSWtdz^Rg&E{aGJ^#%I@dH+Imha1*|O* zyT2WlhOuxBt?&!Me69EX?^xNzKo9jKpTmAw;e^F)M-;A1CmncT*4PEUKE_Tx8YWVD zdiz@I70AMBmMKlqHAP@oP&)DYeBA#c&-2Ric1l<`b;NYjo0tbnDnSXGRvILRFV0ut z_UZH%zHe&Dq=e)pbxcnX3cWW)7Rh4Q48KL=cQG}Ns(k|)e{(Z+fnPdSuCAW*9)9Wm zjB|ZUf(%W5Y3tsI4aGn-h?VyVBKd$ljlhvsZlAT07AR&8$MSQ1+oh}?RU9kN1gyChWSO| zK!HX{si~L!ma>70RFDe48p~jogE}X{o;`4)sRez$Jrj1eQ#s6mjz}0CuHYqsyr_lk zFNe58wH|-g{!B1S4^`o3Gf^Swi54^kMdwc@A~l?&(~lwti)IKu2a%sq;pZ6(=Vd^v zAMQ`+%zyc=Vp@f^HabE={uxUwNbNi2fZS5krAF;24w;gd1-P=d-UJeu69t}p+ul0G zdLJM0VX!#9JtaC|Wav8!aSZU*+#D^{gHQ01l1v6|^oB(ogHi`58*cbM7L#FsK#gqj ztPJpjfy$vz2-9MX8HS+B+Pf}ACPv&G4hpm-vf?Z!CeFmo8@jDBu@nAdCZc`cjrL)2 z5K-VgvvE0l&`ACeE1M^u>ch<$rLVY64)3@K;kmzjaGN3eQd4{LznQ&Xdy&F|!GSW% z^#{1RTdteW>)ce5^Up?TbhRJ@BTfHPOGDc4Rf_Q2E_p0x)hmI5Cn_t``{`TJD9H|; zDnE2&xcW4k*#mtq3}I*RoaJn!;iTDcR8v?uwn3F4?TKV{pL1 z+SILSvj-<3x1__Th7Fo6IL%-sasQkmQY0M7eB>~%Ic?f^E_K!BMfmGQToPWtn`4@Q zxel9gu$qSjoBfBVU(@8f?izR1*Q`Iea#g9Ms83j9SMbwMDgXJC>O#Dh0CuZ3mU#RWg~W+JBAoy;>>)M*)ZkPBD{EE{d?KkLyeoiVdSbgg)v6EaAwm7UpKzFuVn<;(emc?)YLW8 zf$M#{fW^bc4>ij~@pM&l9bRr?cE;j_Zs#GP^xA~Y2<KkPoIR+V;SLn^G+Xmc<;P!%9S=Wd)JlwwG+>REY#`4 zjc&$bn3Z#{-jth*j5N*&zpc;bHwl5giYTZE@yzj!idqt2BP$mgb;Gp(LSfjA^ion_ zMijEHecKKpJK8$!XaNI0+z0UCPPRutlU%~Rs7cgkzjD+363>k@(v!p1Sd58ecdS~g z!k?SM=Uy&^6Au2yUXSf`@`;PBA&OxJ7VjbLe;C9ZFiHD@X>UwBF~u&B+Q~BW-@tR7 zSxA<7xow~Sr)j9kM_If-{F-^JCb{_Byg_P!9wrCyQJBORU8fS(ycPb%g+?38 zD6OcKjQhiZ@T|%ui3L+Keq5tkfJ&P2bnFUBt%|CBwn0L-yQp$ zn(KIPOz9SlSU;lcED(2Fr-zaj)LG5g2Z!|Sb3jz7ES+_e4jy_Br@6-ne`kH`w;z8= zKcm>nM=czxoUU`u*I@eSP;|SwpugRPnXpZxns+l|* z9x5H!eV=GfMiqcBe2>|E3UmP@m785Tv;;Fn3xS8j3xd>ibmJNwdH4u8uMQSeZ*3b$ zNrc?b?B{U?`)_z573lz3rBZ2XsC2M^x}QWP0^8-6gf2Z1mFQOONuKm(CYD3<`VD2W z5;fj1M&j+2dI=qqFd}8!(5i+K_<@1WosA0FZQ-cHd?XpwIU;gj=A}X8!Iw&ei9JwZ zim8(*Z4z?Vc`|zvaJox)7^NU}3{Z&EuQ&FGBnRWZP8jrnp46v<+wj+xA~8NB>GoY! zYu%~e^niLX__J%*@6y4_6deP8WzulVN1y{#n^166sfVQm1bG(2e4MRflx?atjEuw} zK1j{OR7X8xCM=uAUImWH{^=f!+iU2%?zk!?FiXDx3}x)EU}*}STr2S^FGEKv>Wm zv;JF`4}oiK0wq+m*vxgrO+!SWJ<{@v?-e_oQ@N!h(H<0KFLij^4<9t`Od$%Cph{b8 zzswn!6g#47#jJoAf9q6(IrYq_?Vdr-vdH@nG}RHdjs|Z038L8g;WW41NS$vhqVVb0 zaQ^rL)q5YOhYj*AxfiX!p*;#&%*E5x*B{39b!#DqiN-4hO>#EiMlFcRxo0HnB&%gM z#Z&{?lAl-foBMc5+IOG~G-BF!T9DI(0^xgu2DZh!=&avcd+RN4*E&&yeLrE1Y&!S% ztNElS6nL2H^r!^qP5V!J(De)*41~^Oskfc9w^Pb5lMgCp3FlD`O69C5i|Q=?AkOV| znq(E5kJ@4zJ{mUGkr@Z|Xc*sp<@4#9yBsiI+x4N7cyCB>S&xX`wHtodDjPR^P9?|N z%3hCyS8%m%k9+I-se}bNlC)yrE;Q?Eu6HbR(pW_^t2adHmFA95q`XGV7wjVvNI-C^ zk{iRVg1xzsa^F!h?r}V^R)Q+un*^u!XUktgHk`(@I$KIR=qWUc?=MHa@Ywj%5UVShZ zc$BSxn2yK6vPVz6ENfHk3`Yq9clff_c^PJN6 zOTBM8k%yr7V>KALs^}{qxa)6cvJ zozuH}j|V~E5W16aWini;_OqR`(*V$d?obHsmrT%h^Im`C-dy`7tIs z%~Uo1Y~d%PxD;NqaC91B&QIcU|}xb6CP z;f>R#-(+*8-$xUVfR~xHSOufsizxN7XkL!BHZ_dySI+OwEl zrOPWJF%4Q{vwXQ&aYzvQu3p`v6V6@&QPSq-Ub)sqJ)5X0^UwTj>4Yg4eXeTRof6^8 zq&&O?BpP9%jYx9(g$XLJb-)T~tn`6@gdGcl?m#C67%XQCCvjKQg~X~`5qdZsNO|;f zy6e70?r16%p7chQUo~H{eeR;G^uBQji97V#Vio%btvCjSFeH3cMaTQYKb!XaiZ7N4 zGGgIKM)Ok4yn}k#pQL=w|NoW0CV!j(w zC4Ia!nw?BX6Ha*Zha<}6knB>pRkXCl{yynx?p@LRgC-WxI=%MlihEl8TqkW zaQRaDZaR>5w&7h4hWr(ad@BA>TSf(Olo#bi(MwHhR|zvj*>Ym#H=-1f{(UX&Ceus3 zUT5EgFJIi}%f_+t9|u%RwkMZ7L9Jf=F$Cn^47lA@Hm*Z>HbjBs)*IWjJszq=D4VyD zf7b3L{SW)39!JzPcj!GrP5i8SF(?KFs$rY-Y{v9kU^KH|p1|AzDyK1r`7rQvEa?F^ z>lq00;aE;6eV`L{0?g?zx+Ql}__i9DkQrTOHTMAn!=AjmHl=>qUlVdW# ziLL`ixEqy^+%}IP!`9;8@aGIR-k{5>>8;BioyaVm@_2m;3`aC?_>J8hguHzhDrh43 zuV!y3AXu-j7X%J5C9U(&l;_);vWcM2nuQwgSo0<}yp@Lxk7Kjjaj!-5>⪼)?C8K?usjlQEC926ja*`OuZaI zC7{oJYm7cnK^=7305uqhD@s9BRY?1x7M$>8<}q$F{%Q-qZCVG-;ardBx)fy9#=CAh zPt=E;f5H@+j6PZ9fv9Ngyj4@^-uygv)}*?!QHCNn$$5kFhG3@hJ}KM_u3)cvG61~- zF6+w*_AKeVvdTF1%&}h&QoHHD&En>2l()s0p{*YHX$6Gn%ST~Ywr;3_+QyI%dr@cG z#vvI&&jy3dn+}69#BA$}vVipMkZ@^j5!#XT9;p^oY0R~-GsN~03cJlO&lMkg$!B^j zhQ{x`ITr*GwhYtcl%bB*v?J1vEry;YC{^eE@0vM2qFYyUzsV}^YT~4`+~QbD0VV77 z5VJ1Id#1Z*;fJcuRR&I}bnBK=J6AjK@`L}^BnGVp;VBqRIZW&(sM3$3`&~6#*5cYCGMM>c zQY|AGsOuGEC>HVvV$D?+rj^GYP6iz@a$|jk8hN%ztKIcHss&&7Pp!f<%QYFs%-6co zy!K|IKB??}!0}=jRlt;*f$GirS%tGsHj{AtO}4pFJ4yw0-0$+C6N*@=bKS%{HXEf3 zoq<5Uj~_2jI_%&NHOZuL*>Z}?3ATmT7jq`DWhbfokZ}kSnNauPe5sZ64BqSX78{c? ztHY%6tVY%vL+hpLWoa<$<@WvZwy$evA&tYcB#?$H7g8`-WY0%EwPIfEUYTyizDsPk zQhxkRED5>eZyXR)8aXv{(}6YI*>`pOt1vYh+50CE^gBFd>bF!X_<<`2-EFu<4fl%7 zWxaBw!l>aUi9W$(bYe<4njz|0Njit)s7lL`DoLd9eRw3feySwvMcP1^p0v@-k4!9q zXc|{cc$&TDaz{e~ivZCfV~RwET3}_J-b?Sdg;^TQkZhPsE+Oq_?u z_#O7&HsdtJ%~b?Wscl)8b5HO%PrpyRZ{;{Ma`bHZN}+u`U7WzEnio`1GC-2_SMKOs z*7T=S5_I`dN#JehNa<@I+@ZFuXVyc%FWQOUFTaXJ$#sk^L%gvl*{CFwUn`t$jGcS5 zJFIxp-?TMqUF|!U3ogNzRNpjbBZ8Y_{OAoFS>J|Y7L>k4p5570mO@a{K$d16m#zoy zKE5VK>gmf$3Q4CYbaA~kzS}h+yL{=jRP5m+eU=qK0`i+7M4}w{3FhkAZJtuG*>iH9 z1Gq>ft7B`N&#GY6)vUzf)qq0i&=;E&(^_EVhHPLQSj;2Cgv_I0lGfbxO$9IP%MR2= z%e_l!vk%4-1e!B}KTR!xP?oiUI?j;qGHOtmc&dn&uLsWJ>`dfB3QB4xJglvYoxuWDs+t+y+AW)cfVb4~U;HhhU4TD(}17e_y^7!wI0i!6O4(*fXsA zi~%d(<$NTMdM5JM^{W4<7K?~+tnD@=#RbZs-y%l zt6*pAp4lC6>IjkA<{40C276iu<#5R{g&FGT&(IoTuno6Ew>vP}1t@2s_kciZ@(>)j zUnMW7p4j&H2B~;)U{qCW|` zS4O>-!E;CLds;D(Mpa}vG^a9f*jpA*dlU?^0k_U-^?{Zn|YtddYcoY*2;aZ zo5$#5)~HLW*d28U;29~f*C;ne(^jS_eAQ0uwyQf7N$=`kNf;prG`nY*FOClQdK8-N4n>)|cU>1F``Mihc9QE1D~ec4pFK(TCIRkX$*I zxe=mibA5eU3mc>j2|@0`V!T!k-p+FSQ-kidAQ>~^7}cO?2IG^76trc27dH!9K7;44 z4?3;gBW^8?_|~1f96kw&JtW1NYj{*9T#ChvF6qowNq;e@e(iEJcNE!T4D40(GhZPd ze^y$DRWE}IN42q^sR1#FBgTO!nZ+qog=MWbTVTcaNbPe;am#!&y{A~} z0ZS(c$N*NA!>;h_P6gEgQ)XhvZlh1Fw$Z#JU1dB5`;Yb8rX+!Ko!-0U5U91640>@v zEe&{+#un;0MLu#?nmNGzjs25QJ_>eslxX)jqg$J)yteRDQZ^xf@ot$c=TyjaoX1BT zS5pL)jf8S2XL?Eoy{{_jfj;GT6iR_6g32(5uCZ9Jzn(EbG397QY5UjL$UmMraGp*^ z;Zvm@Oyk(1y*C(cNI~+Od!Gh(V48c;l|Niqo-E@zEouK;;%-!sWGuj}(xi34f z3V#ifD(MCiDp=BaZi&pN)P2~ZjT@V8PV_U-l6p{&f0P8NCMmFzjS5v|W3UJODD}B+ zC0&jtk0p;xNW;cD1y-08x6XK8b8;A}Y5qP+#o4C?=YiquXxNL2R#4g(*0KJj_R%T! z=;UF4xl{yxgw4a*O4fWtgGj8u@?vpW^h_}suS9Xo>3x5vkH z{rOv{>lzK0r_hso5oV7>x=UNVop6`z7MhCYdQ2T6oY;>02FYjBY_fUW1fm|7Br2N> z-BJ-jthH_%QC`E;}d5vl(j`3dt8QnJur)t7YQOg7TdK z&y55}c1=`gvb4nV)oJq)S|j@+&*P7~L%T~Hv1r@K!aeOf{h zIP0Enj0#m9m-*#D*Gb6|YbImxjcbDD-FOm$PePTU_tl#pdcg2a}i zdd|FxUBY%?rv|^j9^_runTU8Pfx<)|1G~@uQ@tC$We?lJ(;h!S_NMs1|CPO!0?^=5 zd6!Jnd^wq(>e0_9Jn7wr@x6TxbG`eZCUwB32xwLo2*{raXCTX#>@!yudV3O zaf|9*In)ty!J`v5PH^p!WZT8Uh;B~`VqZc;HWF2SxNGWdbHFr8B{_ssi%7N8D6IAR_kk zo-RJ>;Df(?fv(`S3m!r5i%})4xr}$`f9^l>+Q(x?fM2=(VVthGVR@se9E&XhgnrIjK}yf*51b$pxCD0k ze>L#Wqss%6D1A!pMu&pFEkGhk&PN4U_x?(s{kGCJqWF3rK9oBo1XL#G`RC__U9heM zcLor0amadkC5pGeHMmv7O; zn(J})-JJX?jGg5)5P!tCPI+`_P8{7yKm5V%@s$$15l|kl$Y6_0jSDEfib3vc>w@=7 zfCFzhC?5%8!DU*(Cuph!-ne(&eJ)r=M<%;Fhb$cX^7NX45b ztt-#Dk8iG4woT~PQN{ly^hg5Wh&)}e`MutLhC2SP<_2q*$ML9MGU!F!k4g_FmhX4{ zlA0b{?_fyFqt@AZ?-4y!|~#@)j;IhO?i^K;g0RO$wGoF-SY-&Av#J)n*A8*UXr zxL++F0WbgxS%`zbPTDjg@IZ)+c~wzPFR}VSL^zox*ZxbRV_^G*b?M=Lhaz}$t*1jy9|7qk ziK}v6tU6p-$E5ag@WOdf>8!3(Lm{_Q~(KFihYW#E zKlRil68yGba9x9erPTcCE29|B^X$Z1O37Q$zJ7hMU`^hmY#j%@$tM&*zv6d~oeNHy zzkp^G7rUcFdgnURk0K!VY&8Oxi-*SorZx4pDiNB75i$jZ(cO`g(`C`fm6=i zpXYFnI_w0G+z;8)pGThm;t&AjcL4RhFqsfl(!A=rZNr=SxZ2m)GMsi1_Loe8beE?G z+2f%sTwao(o`2c))_-%W*6S*JLT<5JUIP*ff6(^*)5;T%>~nKBIvo;I6?!K;WYUI-Wi{wnIgpog`CE15Xzj45D5nk zyL*pum9JjU;JB}|J8s#p)qm6LVmoU%w%8vUV1p5%-+-Lh=d&t}F?rI(7gWz91+*Qj zKxSqM=K9{P7vh2jhXO#A{J0+!T{=RvX09*nX3_IrX+DFwvxq<7A{&5GqdxY3pK#v5-IYY|ynLzo@Ck$G!p^@yg>0kU=TZ9nnHxMb7erC&28Sc1dQ7Jv#)k78P zEr9Bk-`K67322FhK-Z&UhXGh>JuQ=vCV^r<*v`Www5z%O%R;1PZv>SKevHG$0y$kX z=Yi1)of3S7iKp5FQ}htMVgzTQJY4BTN?3piW>U5Q*BKCuyc$?}Rq38%W7LMI$bpAO zO+6lV>@qq$@Bqq4k(3cFvUk}VUhj8;$fL`A`AO}o_^_K~2T0z^SUta7;9_`r2OyxC z-wAQoOkV$&Z?DC%{yzbQQa($@#=a{IwS$ z?5U^(!qm>@H|m8eR>hSqe?t<|uL~{)SxgaJyh9LcuYF)zvHw9lt7jB>J$sy={@aeU zDaMhrlT8|PJ7ds^E>Pynp0w#>6WO#ZP-dBNd+}g|uZ$!Rxn70rh9I*~oJTuGbY?Ng z!P(tO-!b=y?sb4aq%CFoiN5k#@lfgFV2VY3SBoEF|!iRyoR1 z|2|N$7r)pEFOfK0XwDiepea0LX)4Z-p?T-8lAS5Bms4usc4hS zj3fgRNgXOwU{2o6YP0S&a{-K_nWEPeNARYPD#d@VM$LK*g_x5D|ToC^nj-O$A&nDE@Xn|70{S&aI z&c1V`Ji#&4jOTRMpkBhld|EvbR-RfaA4X7Ux>*^Zvc2eLS7!dpa|>W0(>#f`LU-&_ ziK&1m;Z>UKY0S(kf?i9Mw$qDr@DyC5E8(h>m+g=_%bepFDc0|A~Qb( z9N;;!^KV8wKepNYlQPM93shAzeoF&SGz8R@f*A1c0|q!`kZ~cAX|gY+G5<&IO+lih z7CR$pN#p%j1Lk=l<2icxyKrnwgZ(x$eel=TWRDrt*8ae>kGw+CIxY)Ojh(LG{F4Y8 zIr-E>TsuN`?_ZB-TyNM1Yd8Uy zPyATpQFq_`Q_wZl;lVYK14j7!^j%mX$89V;6R~%X2Yo z{`A-vi#QqHWCg8^s4oM&ZP%ll16lVzR)2Wx4ZreB0!`t_TbOKMD{Nv5TVfVQ zh0k8d3G*KUlrf7`01~U8tZ;s-$Pi7!_a-;z?F{;I@xX4Q*Em+V7x}+mA6Sx;oEuIP zE%k_Vpgyf=W=1uTCvvKzay78q#Ag1Pvzh+k7k&_&5FaJY*C+q%LmUY6!3mE*?7vzq z?rS|OYSjfPoks6j8!4UcJpfIQeHz=8Hne3PI~6B6loVi5z>|_VtZ9=rwb7MprXz<= z+{)>Id|(-~7Ecb&aS4hzMw6roEhokX`eiww*(~OFPuMho&<}8JU5f|PWq}nUH>4i` zi!PF%21kYyJ66nL6c>cQSea{;3O;YNd<_i%)+4^nzX+%oEFQHLEgj{%bs3k<4V*a7 z2KI*HhM`vx(!K1l(i0Rt1@*sw&kWB>1jqUAd5 z_sb!o!^tef{VWe@b%w~*! z93$Dkl+JVyQUh<`j}doJY0S_Tq4#>?p#1cu&vyVwN#S+j&U(h%{~qFrtOs&lN6lKd z$aL}J61QN@+GMIbtBXaLfoju$dnoNhgZ(hjB|AN7wYhe9-H2Xr-2k;4jB^O2cEk2h zNYR;n(LBFHqFzFcl@eJvnn+?pHe#+X!pB~0;-i_ayQc~om~h#=;ul-#^KrS-0$>;o zIp;TwaO=6lWX3suQBwKIucBcd(kU|92JzT4^ad%N<~`reEvcX#%0ONFY%fZsxPz1v zrL$K)Tr^TJD-EM~^GfGm;>A{Zz|f#jM)tsoF^X@$d$uw9rr+|JD9GkT&GBIvgEFqZ z0%@)p?%}vqfBN)T(=Ny2h!EVC(-FY0)od?P*8CS82B~7hg~< ztm7m+K0X2>iE$J)GPE~TU}8xC-nx$&EB}v^P-&>U%3$t0>tsyR_F@DdjIb|Sqx9%+h8NX*k?g%$o|x$2rpdgD3#<6 zQY6X}%7tgnNia*ia)sPER2jS;WA5q_BTUQ#C`t7Djywmq!3KF;)`?y$sYsW&)FNE8B0}qMP_YFk(d9`|EulY4Ca{q^LKu@xTP&xKlV z9|N6s<1O_kXL>bT<>Rv-_`2~p9mb_bTNM;I4JEqg4<0}=B{WXqygnJ~C(`&M%1Nv)dWE-~y`;zdb9STTrKYD3?1 zBeERc!(GI5Zx&Vv!(gKOUYvzQcG9cT3o`_EpZ`Zmuj3oWEyoSwF)_jSpg)Xe{DD#eS;&H)pmVa>79r}jC-8Mi^geQm zQd;e)Uz9T~hV3j)1!Ok*#Wzn}5NOE}8b&$dzp~GL?NYW3Yz2UXo3sDzR<-=DVsljI zUk)eQhBQg20WAvxTg#yn0N9hBHIq)-x$V=l!hs<4bc4FpXt~wbjscz!eb?a zL?RzL1fI~abIubQE?@JT!?79{eU(?SPudg<5R#K+Ji`GHb90*qwqDsZQX`jh^w-VD$; zdjW5c>igM|eYhCo5||`zneVX1VaIao`;leh;5ysgs2fqi!{gd%Rm@5#t{Yc%YOp_4 zRKju-reuJPs~U~1`8kuEa|mvJG9Ftv!w+(^K;zYPkTBHJKpagpA_1kv3R1IJbz$2p zI+LVx1)B52;1?vtC!LS#XJ?VeGCHoIy3|hDrd^IQiKTz3CADKyoi+UI_Iqg#KMDpB z+a^Mh1_d|OM}|gwJx5Jjgr1iiDAx;UKM!qZ>^X6K6$i?MKC8cF!V?@B>J2o+z|m8< zA2D8Yo;zCbvw75T5b8LqAjsE_Rn4qKFM9^SL7+)*VGxy(CFG3v9zvfX&-vk&%>^g5bagjF?9aX;!be_EZHdwBl)E_t;Edx$cV@4E9n=1U;-5$AKU*XaUZ zNUc}Fwj_HWYZNYf4X%Iv=ru1^C}qMIQ`A^~1vrZWmo61Bu4_<9hw+m*@uvgJ;V3Mg z+60_krr>Q61zKvRXIwy4RQzk8`IlazDI*^87T7c}lexzaMf0_HaYr5}mI~{?zR=*f z(wilORi=m;R$~e;IiCprkf+HhWbVURYK5>A>$X!--o{f#JvHs=rPX^U7D8h+TOT7$ zKUY&aS6BsWa8BLBlD*fZ0nfi^<)^?!4;>n_KmN2>UEun?Vy-$v}k)tCXjdVM#6zVfb zkDF3W+*xn>x@m)Tk27IN4Jx#RINGHwLW$K>9REi0ZIJx)SSA^vwj0a_+mkW6Y~ATf zI7T`sn#-7TDMes`Lr(~zN&-oZLdNp$yzzMR`+Q6H0R(vf35+5YWik=C8rPw9`9On) zy4N$;7Vh!J=a0*sB;CGJWpe-*$5p9s#u%$q!^`}vKI`@6rVltdeU~GlAw`6|a#rle zI4Vo{-gGM?JQIYXQ>)VQq^Gos-!jgQZ4_zJc8JYo|dvP`kQLc80 z5Angbctzm@G8Av_B~l^lbxp5 zz6TC@Fv`z(yL-DaW{*<@pgiY~eI&ZcNxoDhJs2}p4 zhUd!s@>xZmL;EI*%^mbQevwAb~u6_9RKPzcv z<{}6;yG+)&eD!Uia2zYp z3VQobRImvxJr!Hdh~=`=+nzRf0ulR?~vi4fFYqy@E5qkAjXVkFRUvrsqbB0{p|@b1~98UOo%UYBhc-; zSLrwOW$cWKlpy#qos9#CZ{^?l#E7r*4euYf90n)cbl;SlY-mm2YYaGUeu(hPEQ5t^ z#j1IK3h*bMoP*c-pJB;GtKVv-%q<+pe0G%yOtd5m@i?ZncgNk0adx`dhOtl||0MK3 z`N2Jn%W9u3$y7?t4rW1}d3rs0#)-eMt@=GfFbWHML&xFA`tvPIUvMBTC`^hd70)ePKCzs@@NE8+27Pi*sbil+0 zr=7llR{pf$JB)`Tpvdt5pl#Zy-TmM0MhC-z2mOER2xbVSKL_^ffgg#L?E@1MfZdIx zOzd^~4;y@}{>9cWo?bIKpStCvYvtxnGh3kAmBEf4@fY)r1C7PiExQX~LI1`NJUj?n=E4T`YU7|983iOB=K`oQkSnHY3 zJ)O6>c41Y%qqC=f2x7XnX=EQLUVfV4|F`>q-R2K=lwHx)a>Qb|oRd9?Jk%ZdvX$<= zoXp<2L?cDpOz2t+v+xJaM9 z$CA7^K0WO;4hp;W7aoy^Sk_LA=+Y>fr!;G7QxERfWSnU3(oZD*M0h7&bDd>jvK$^# zWEtCU+L{S_f0S+KE;Rk1H!z|3vDH7SS3|0>hL$p+prKYzY5+s&{f&UmR9)UAQ`z+h zER6~Bzp#ID3$zo}N<^z&>VZ{|T?Aong3q(pmazIohq&p>)loSz$p=$u? z?syQ9Mv<0~lp&RM&+vD?|^PRt)pTq3?+Ur_tU2E?<$w4wrQ#Rq! z$Td+pVUvwS?%ac?!uCofZ-RSymb3iUur^sqU(@&1&`%^hcm1TsBuiX%-YLZW)hUxd}r|Y{f@8tB}wadDzbX;Cs_I)#4BR7{N@);D|&Jg z81WZoLPw%E$&-Kd_5ATm%B_P@ZVYe_xY^|)bGty^HnNzTTRew-Ns(~%frK~1rek0v|soxQeCsMp#i-?OzRHnD-oNRQ z71RGziOA4?*3kn>(!sgqJgkw^<9XF5-uQR`Sw;F`Uxkn27r)PW>GHh<*@A7X-@{gW2F!S_>tc7Dr)#~M zbYz3(AES5nZJJ|7>4lkpBFH^;F_SvW$0l)o#=o73J-1iOLmOe4LG5Avz5;oFdxZo; zr5A=$Z%(kX8xOxxJm@FE%g1g3jWoBUOjpQvP6J2nvC>nJue~v(1!}bky5vfZ*&Oj! z5b%(!+K7!D^ODEtDWn2&-V&j%714#BAOFLkb#XMFv~g^r?F~i>%A0+Xv~=|NYF+8@ z=GKhmDD5FjjMlmZtZd(!FDdHH$u10urI;Crzv1K{|58D+`|DH!v7%VNmeJy&@9w>q zk8Aee<(_#H`euS>2V-pBuiYpuYSgz;|0r;6@da&V-FVs(Ar0SF6r{|`-p@QeE_Rc&5XMPBTQ}w>_dEt;r*aMxJ zpfd85CC6zkk`8)$!wlbU3ugg^vp%kh_i^y1n=WIDWCiDX`)Y#CSR0Xa&j5xsjvrUx z#J)mrep{d;9;o?jRF`#>jy_+fU7tEG2e0?bl^-X~{$wM^US;dZ5meR~!O0?dV1+6j0CtCjPiVmoz zT(=Z&kD3OUOV7>I?#D?OBqRF~-{|_V4VMMEmd+R&xQSG~oSm6W} zqt|$NkLhH*$|(KcCi_Bc(kHHOXuuK(dtY4-Ve+2qH|P0c^}d&7W_A{#?Ld@4eNwU_ zV_lYDuUDi%Icq!?TNxU*8C;V-zkHNcU$POJC^>f8LAE~bpR&~!)+s6?A|K1%Yx*|1 z&FGOj$6}SHj>#>Gqu<8oZ-z_=40TFrNN=`Eh*qhB=el)=&Ug{PCMI=bHlzyDRpY_q ztq)+c1PLUUM`5sfc&A171i=lf9WPP^$sDozWSj5DCJCmCL*tG7F<_J1EDkk*5|~lr zp_>*l$l1#WkBR!^)I#6;gT9Lq;b`#wSKDT7U(=m&J)4yq=6fR(iAA0y9Zgla^rvM< z1 z8Q!m}Im}D%ISqQ(-RxL)1TI^bedp-D=ETtV>gAQ@hJU-;bd9 z5#XO>TDqf=1xrI!?6y+PA`O4C-BcR8E3zhT>osgkL9=zk)_d{t!Z>)nwB{>!R?{2X=P4eQ*Dm>fRkv8Vm!<*? z9CYrGS%_sURf*LYKv(MLEV7E9>Jh&pu%|1{Mz)9iW>4$sLF}n;S25zX z`v4%d=!y#56nWIrUun2tf+rDMaU3kDD>=6IViA-tIbmY>t=t~(=SxXO~kXR8_)HV3L2 zsu0`ZEls7SNu|T7FKe(b@FjxU;TMudHI zl6T@m>tCvT>4vlKaG#?C5dpCa{(L#pkzWHCESiMHQY&R0N>e6ml+j6j@!Pw~Jxlhf zzN`^cHXb}GFHebUmmQeWK4!I6M{VopA3S-V?r~|s&OF1x3Lo+a=o`$*&N^Dg!g(4@ zWQ$mY#z(%cGvhDT&xTC%M9RPtNb`FF14Bo&XNrQ2beR?)%07yu(H z&Ut$CcOTGg7?uBt=x*yhUPv={>NGfc0kduHD#!;sX4hpfB!^)OT-Jfww-fn|hih^r zTQ1+z-sYx}aLG2vyFJj{n|Sd!wz1;JPWyNimF<)T!KeX?V6!Y`$`TGa9P|KkSTUq> zxugd$$TP9GY~-e-|9>(xl&2hRk~D0rKN^377tg<$rY`Z(XzklO#m4Z{XWtivKFh-9 zCXM$7ILbvs;)c5&MX*hKxtEG?Q-S3_eh5cuygZJf$F$6HYz|!fN#p#d`DV_1l7IZD z50m*+EzA90td)1D*`~#vSiK|=s?eZRfA;**HfVHoo$>1PSWg~b+40Q$r^qkdGYh?X zO)30Fq7lc($(9^T>ZL`ZOlj*%`E5!<=yeQ*l^@~oE+)UZadY*FcK?!vzH8xzdwC;j z+p}i1yklKkv0ePRqq<$Gx-h8KlZp99Ay8-)CY_sdYR)_n63Gm8Ke5IP3Rj(pe{Ah+ zQ2pxM_MZuH!**Qj=B%ry_hpdhWm7H`HUNK5j`zVrvuCatbyMXMb@SB7d>;VS5)z?c zTb2;g&mW83z{<2Gf|VJ+N+ zBkh`2^AKMKWbsOJ^MeT~woO?ngvr$sX~yi<^RK_fa^i^D=lxEUl-X`e>P~fPr&jK z%a3P13(x{HJlkh6Swj#{h0o+UnZDqBy1L z7%K2XFw^qG*Lt15%ZSAm?HvIuypq?`Oz_0GL9vr>dD8O47>j(-&j%r-`(j1aKdsLy z6aLX4n0CU_bYEHfLWxmKMqWFzr@5}iP1)U z9;zwxKn;r(_N?5v%e#j+RT?(ZnCL?H8@VB@_eiiZ126j=KgIo*M%V*b zv)SP~^;RLWs!R%_s>X0%&Xkz=!$^mPHb6!)`z@Qj5{bRQ%CV*Blq%QrcFgzKExxQ97sq=Iv*9Y(+sr*|UQ)A&eO1XwBViRI zs4{=U!qF`mS{LJ~{(NxBg6ld?XgMg>{ke7Cl0Rl-x2*o-HI3fyc{%ibRNB<8RWFw~!P#hpGe#T{~RB^jwpPb99H zpl>fUp!iMPUZu%t*83#a!Wmo=bpt z?3F`=nuKJz1vH<^7he0A+VA6kl0%O7W9EP^+E?)qGaR8Rk?Bj^>rKE69|InMrzW5) z%mOP3W{CQdqItk;0+N>f5z(9b_$@x}hv`nXT}2n5imlKh{lYt`d<~Ayj*E4AJMwc# zqFo2FkCZMXeK~A4Ep3BD%GGEgj;}9r7VobZVl@GKpNc#L&tFjJ88tJsv7dM_reDv% zCF;!{<)p2%9Sc!Llez@m>8FXMW))b)_SsaJl|&GdT4y`i?5yRS%$eujfb(e)f=Fu zP5!h!|FdMZeRDQMgPs4eyLd%=Jbn1U`;ALOe-@g(qjB412H*qF)uodbG*1+Ao{Kl~ z^*s~AZ*a6!5BK8WD?55r?HHizlr8*U+oRgZ05LZ;O|c2URD5G%^Hufs zV2?Ov+qn~LXr_c}=M7||X^0BHvCQeszaYxU^;CwPi)qjFl&xi!^n(+t zxNqm$%2NEKFIyr-THm3ASs_k?al6;W$?f!C(R!Z)$Aj~)<8k=c z@t8Mj;*=Ms+wJXtg4Y=O3<1?A3ZJe3LX zBF+sbV*Y#+t4QfW1C{vJmztr%A$y!usG_F^D7}z!*D6W;>{I;7x=50i zijfuNZXHRS2uctI$`IGMWrWI7E!eqkQLfIJ2XwY#-{Wv~I&y}#Vk4|yJ039fDTvWu z*CU!i&+*1I>wdKBQ+UW@!3Ae-1bNh^fQIR=^Z7_`%nc{y##Gl|E`0uy2Lq)u{G2MV zEKB!c9d2>d@0-24RUfTqFyaj*h%-)C8aM$|UmV`-gSU8AimbAeI#ek|#eip=&&IvF z_Mf8w4UJPa9i$d?^lAKvL=v-R8jDssV*!mz8q768(c{~28ot|zO%R5}+_@j7cphh@ zi?`ByvT;1Wi5fZz+KPA?GP}BQP*Qpj&xhcxLG_)hJHefAs2jc4Kj2#D;`*bO?zaOQ zd7~(&yfv0D2%E*g)=~j7n2LX%JiaUx-`V*3Te%BTIIhhuyy3!g@wVJgf32LgXnml38h|Nu#{G<+YU85iZr>lho0nO;7qXvYi|S?ja#GkkPzNqN z&j3?jwS8tpweuupBUY)1UWL5inZtiY4D{Qu-THLA-^!^dBK6ag1~JmnPVuq<)$c#w zI=ZE3PdogW;ElL;$j5c*N3B(Q!By*di(x8so|_AP1K07UD%uE0(JZsbonPOFwGyz` z?Nop%L+qQxF;jqdwD{>p1OpMHX2S0AeiPuN*?Yfn(yP4>2z>J*qNZslms7zygG;cj z*UY9JABLA&G-{(5)lg6DP2EUIqD}6hE8Q0e2iCo1puc=fG$_Q{jL%VEWejz;X$EO= z-cS!tCcYM($5}&DcbiG8QrUKTgG|VPF1Nwj`R%FIG-HtUA}OrB@<*t{ulp>*2Rf&c zed`+oYz;06%Y}`5ZhT48Q>mf?k_o7@+S7^7#}M0=2ca|C?k9M&Mh@nk9?jg84qJYr zfh*EedRl*B12eTOzw1XGoX1f=^BW+{=gq5ByaS=XQ zdZszJbn$!$`wJ=Vk*JzmPH$)=%iV^1;#y_gIrZDY?VcO6S@y7?P zyEtsA-Pb7hWYOci?|ouithMT-ecI@%&@KQCj1tP>=oN;}9}3=S$K<}A9;p7UJh%i> z9=P7-7~-F7w>6;CP;@k4i8kf`I^F!=tzGc!wUqnHdH-jKt+2K6v#NIJ#+5hY1iV9y zW#)j}FdIC8EipfZQ-{K`FZPpp_>{So98^{^-~rgqJ#X@4fk@WMCepS?=|Km8wd>&& z3eo(Cd_*jNd8}ymI$<^DQV<6vA@QvE3ncj2uYq}++Q(`BEf#hVbfcEBJql35^(%M& z3Ac^`@P$7s`3C<>+THm4QEk_`J#P}dUju%5<}K2@t!WlcB@wMEy^u)!Kp>fBgY3g| z3Pou_T~tFZU%^bFqn3v?9PNQWD=gh;rk?jhN*1WrN}U_4inr-X8|AHi*@(Xt2&`yC zaSN}W?ie+2neEJm*oo5kkE9fHnaO`$O_7^6KY9U7&Waw&V=vH2QZNADdsLgHdX{N7 zb^gIpC;WQXzfr3#!D|e$A0u37Ru*0j&Cl!^xz3!zl0=hWb?=sVVp%jHSp{>sB}1pG z8cM8%luFpJ!mx7i2|vdW`MSrxn`x{*DbR-Wmr6MQLo@}_+E)EKyGra)pDEb~ORdk@OafF!D2*O_o#cdEV!qX}U|9aleYB_}RmM<)1E) ze`JzLB@-swv1`io9s%vRhcKHOGi|5Y0z;xduM@{kDt>jj$=EYAfu&ri`md!-7li@$k{ya3|GY9iU})?Q^RJPdU4J|y z70WBp<~Z`NL$utD2vz;SPV|8tbPK`c^s0o}1@Z^dDXRN|biiWNu613Ksg3Pjhxari zz7)AvSO?#S_6Hk2ODcVp+B4QYxPaoy`;4Ns**t4qJ~aElq-*wkRQ)u<$Js3kDSCHQ z=m+C^-UZssSy(viuQPOEI$bYar%o4yBksxlL%T}jwnBwzJpUY}AA{@W3jratCjm^> z7ro;>|E(WTrJ8j>mDY``M?RZTOY&0-d;HgPmw4MTS zt@Q6QchaFjCQ4|Z#l57e0+mP*1_4J0fK z_wn050~ATHk;AMF(k^X z_HKgD6mK5?T0X0ue_TR+quJfFdme+at?7!u3Q!*}v|2gCL&~%-eff*(59%v*>If`1 zQUAvy^7;-uqTK)E5k-RGufaTVJ9V6g2(r%fMB2}Mi=;M%mnVHZ^QMuJgDhjz*jk5= zzYg=_znJsq+gagSEvcEQD$X2A035Od$IQpy+Z$LD3ELasrl_->kGK?g5BLO<1k=1+ z7>GhZ|6kyn1^wSXc}q+L;e<%tCt(;ab7iJlyy9aRn z7L?v|cT_)KXlzLO95soYo33UHz$uqYgYLR=77@@Tj7@8K z{t+-eiCA9TRi1z}j2*B{7k_Fkzk~HS6%3Fw+Mto=XW#inxNyrX8x+XBprNuLRpE_% zS;FF$%-XMG=0R7QV5UIR1e8|a(n+hK!pL3SM@+S)fGgY?kLi5&msQcj{%09Zl}c(M zuicrFyaY&=)og)T!vArgQ+zz~NXMFp@vtKnNb%#c*P)30oHq6WV{M=L9uaD;Y_MJw z>8W5Pg594{JETm zm1`V}(LR^bwBr^bk82iHA0f!MN}o@5J+dp=ODLlY^_h$~r?H(l>(!2|K zql3hWR$-dh`eAzir(jTY@D+W1!-*&ICG6d;k#ikx(GPB{z23)_UxNPik`*Y&j1is2 z2mEXKvLB1DD!e5sdPt^m|GA4b@F0=_A29F%LsN^eflQ!Tn5Twrd1S4XK-)*d`d3D| zvE)@=>3u5UMGY?|fozHZcX1qd8>5AT_~A@^WFhhlBv@;!O}6aS)5rb zZr@kpWOk-57#rjSh7$Rza2Pk29w?#Jz{JGX)#BFqj%A;zxxXxI`}EUvQS+D@dzf54 z#|G2bi2P?oRbMGUp_q?GhfS%ObCDj+(l$cTz*};p{sy|Z<$bT7xCwnWo(#)mr3c12wsC{JR-c+D}iYQLn>Z zyhCiKk3TjTRNJw3!e0z+tY`FPun2Q|P!;qM3>(rccL9eF=$+(I@wCabFgsVM@dMtj12l~b%~3QS_yh@>4yKy zRU=0r^BZAqDU#Ut*Q)Cf9~c>4r_uGhEu8Zx>A^-Ks(FA~6WoVYc;?ZLBb1}(d+q4A z&w%xYX=RMrTKfHbf1JYO1A%?qSaO;Wpw1?`fI90kAOEG!mJ}n7nU*+z$Q|u@i}-yJ z>&}}=ZqC@EisPMjOjNrZo`+sl{DW%HU)UJrBHXTwZZH3#kK5Mt`u;eq$F8R23C5tp zb%l2t_S&Onar@qQc_N`(3uf_fmYE>aP^SDMPji-l#z0k7&c`CxmiBRTG)dq{nxrm91BQc3Hgm7|MZ*nuYQ zDPX2Z$9u~*Syo8bA2%+4S9@62R|}z|o3+1+>f|$mX?K(NChgwWHhAukfMvT>0Mmo< zud#J!Xl>5lzgxROiUI648-}+Y!7HjZ$W9`QYr=-B`0aC`#UM~C9SdoCE=}WxANlou zPLB+cPwsaB{@%#@2bA&;|DjcYq#ndSjkx(#xYq|J&h|@#(uLFGM;%7ij2kDU&rc?@ z9X1d5hJ{ABqD?5#;>Y{SlO4uf*JeWqL*|Kt=7|A2RLMB#Uf1*4HLgT8fwD@BY;o*% zaMm~AIAu=wQpu69DhfVixo`=h8GQapt~qZxW@Z(`pFGTFgr)A`-dd>=N`^dkCv)}d+S zq^0%aAU5`N?GBMyKobUzbQjt=rID}|`4`c8R7!=a*3tR?<=S%R5HL*L$h$j!{nlV+ zzeTpSC|E)RhpleOA%gxXet?g%5Ry?}H%pEb`tV;L+C2``YFa&58rN>ycQy|dZY7?Z zUXpx(v9|Pz;Mx;B&l% zZMrzroLMrR@5$Qoq#K@vJZ0{=#A5l_W3K+=1IyLHJ9&uZj)BGUAaxSn<;u z_M%Lgh*MiW-%&XzqpvoW{6@95 zit@m|3GK?uuL9e(BtH3!nNHL9BNxiYCVkx@1Tgi()4 zSt#$EO8$J_Y01=SsmvnZWnpulYJ7O7DB`6CPXc*!i+LW*_9Au=QiGo|8x_vSK{M0K z_#_uXX}auVnDohcg+#z!eR0N}l@Rr~g>-WG3r7vpEIuM6xrOKM&i8STIqOH4ulYr3 z15($?!flFH*aa+Jfbblsc*}Wo-Jo%Nju##yf#V-q3F;~hbV+tyYCkym2~<%fn6aoV!SQoSTe4|k8Le+qGvj_jUYQQ}u$b#rTd%1xH~S8z z^s6;9i`i#Q19!L2PNISwQYxs<=OJ=xwH`q<{gkJ^Z|Hc%B(40YLVmY>VMYFjwRip2 zt>lum{*`aa*$9JwK3Usto%1MXm45y-$e!Zi$ZamrSX#G>XUeuXHJ>=p(@#C|YucdV zw-!gGp@+e^*U<(dbgdX;v9o};xIi7vQ6xOtkpfJ)p_G!3juokRlN-zCDN`tD)WA&S z#MTj|gX7N4Xf|w<3ueppGPyU>|3HanjF_1-+RQjVIHz|@iCbYNhC7er zLdnLN$58ZVB*$uNYr^}=_a9f|D)c2y^;6YYhi_cUo@N|I+09maC~~L+p2PVu#xv|e z&VdAXb${*G_+7djx=ox$7lXx|7 zan(IjTW<>uSfm?8cCq%Fx{vp#&O4#@8mXiApIKy@JM+t5_=z++O@UMH5s>&%;YqmsP^Vwo;EHB0!hRsmuAz2h!K6WfllK->U1 zc~6sRgoN2be>lW8aq>idbUZMEQ??ysk%W$xjQjS^9p@cuu*Dqb4?Ld^IT0|}22L_w zGvIZ8A;Vq<&zw)Z>KRG}#(;(=Z%JEhCz%5aI?H^JDmwoxa4*#7=pK`H4wRL_*{kNw z^Ga|nyTI8CM7wcn1lq?eV$xGz+w?SpB%MVO$BSk`CF%I(OM(c)d^N^Z_Y=k?|*uYU34Fm%=f%8 zUjb<>DjD$EpNni>9e|4M%%cg1sEGZ=KXDB?+RU(KrW`y>LC?9;oL@*rqG=<2g7+b6 zE8NI1p8sH*CJg)wKy$Jk{Pul;#@1z$we>oiOL2VYsu3J!tNw=_Yk-`5p?Z%(;4T!3 zJ+`EY+z5(}_+r)TanlBW;%x$d@9?$6uqfb8vbbFMGnCrXjs9vyD6>X<>98pWRV%sh z<&w&s&NFZym~`XFTNZ|$T85d7tjfD>Z38^5fpK5n-VT+l*L{l7(!I>M%TDY4kn5h0 z&SR*yS1Z=g$x^E~1~=zv*~MgfsaP%F1R2ob366+EI38KCc-0svGusTuj7uygnj;3{ zm*dw~hBQn=yj+UN{RZ-^A`_}w{+LzYLBgTOexhA3XcatARe1~@gBS<10vt+oVV(od zZuS0AEqRJ^Nqxy0m|c>1{w9$<#afMqyMJUfqTyp@yZ*)0_~8Os5r@TuNubg}>BEZA$(H-soxijdo5Ix?l;g{1NIDp#edHdVWmhI`}N-5$wvy ze+;R6F^t>4l*IODXgwqMpKmRNdhAFG-&u1?4!;>8kR~xbpY?($qu1^ZS1Ve49~+nX z{j<|dvbOuJB(Gz7y7GwR4`AaHe)&VL@AHsSsr+%8?~hB=npNC_bw#mm+~J*Rg%$4c zC>;ZB%$Cx5frYc67+NPmhE|K&6!)UOn>jiYBQKxQ8mwRMz&b03Nse$1NK382i??;ruce9)s)JijH zwk{z3Dd-)pk?)ZA(Geq%PB9)jr$PA;wjGR9?kh?2gF^P&S{w7{#3&n&a=}kgSr&sc zwnbhydqGQa%G8<>2XHUB#5b;MrkY+7~v#ZV^*b!Z{C=Ob3|EPL2` zxhO43gS&`3)a-pHNGu-NB^*xR(@)Q_h)o{65O^Ns5FM2v{$_J&r%YVt-ITiblYh0|KIs%&*xpPd8w58w$v_%zpW}BO;A=u%2N%Urc-56uthpQl*rh3FXU(~ zI!HoKzSt0|+6KoB`LE!fDWgKZiQ^lV5 zR!qDQwS5-HJmVdMM7=Tng?>9a!y*a4@|`g3&#FtI$zbwzXXA%i9`W#kj>Nq9Jz2g4 z5jcgmIt;^DL?Lu=PD}_$9@UcnWW&aT~y6etPyj<+dfD7DZnn*FB@Xr4A-^;^Fe>0bu~XXuk<=%|5l22-8{`A! zPh1vDY&=aWey{v#VmivT@stbBF*;QtLAbqV&*C8NHFXk=kqvibS%cWof7DqEb#mfRFl_8N zf?lPdA;`(fmK(~7xSTTS6a5S$9Qv!?ikjP!_R&KpAD0T*d!NUTE`|E^nBUI>(~3kN z#cD+lTs=-4I2zBfZa(+ICtXWC0g=>o73L~meEdz-Z$+yY4}0OJFRWAGH61qyteMTe z684siMeKKkt39ob1HkxLAeO@ld#9e|K zsa>&!fvONj0Fn$Ee+LjUm*+f1RmJN7z|-jKPb4hXXYlaywMIkX$$i!EkfV26;y?z8Ft4(MmWIxjldV^X zSyI$hf9c8nmD`D7NpwR$!~ktIXv{X`XSd=>uLiJqFr2aYm?irk1%!J?+SBbKw2$SN z$(|$rl%7tyE&%PC!Nd<@6Y@bPnA_m_~2&vxfz`$nyg+Vmi1Zbr;+Tq(eN>y zGD3JwEgG67_ywK5&BODn?Rpl&8%q|7k5t|1Q&CVHL?GuzXF2A@AldWu8HfXGmZ_BC z>2HT$b@B6hQ`-Qu3A9We1HLFWB7BsW#%Z@hNy) z=)75xSN2U(q$xXhbqW8AM7;OlwhTMYt&sh&A&~=+$(gqfaD|6a+STOU#`H7YGK;Cl zr-+T+n*v@=O`KPXRs!*WZ`>*(^rhANz!+)~8of`RBhNP=MOoVs>}hS2>&8>8aZJAR zb~;`rN)eq|MYVh#N&DU-k?Qih637}ItG=BkInt8e zck;NL@@oCzL@*OoZc(IB}N&@oN8qs2xpgz zN3(U-5P;xm6C7jbgwrQ}DU4^pBUFVsHzqz;v==6p1slnV&?uW5Ifa^A)tqTH;Zd}3 zK6zNztqb12wTNG&Kpi=7M0eLlW8vY{bJ%12X3OGT;Wu@v@#KVWUEcJD58&euB*LXTAESi7$H~>hUP_(?!ZT_B9?#=S@r#Za!)QxFx zwV>|t$9Lca+OWf0c&QOCAq!J*b*cPhqPP7N+UFVDv`AS??zE`xk^|p@y-9W%NNX8<3!?M9ODTc*lUXxa;>iA*I-0)jrl(UhMLrzq26oIXGN4 zf%`7c__SAhuGESO`2!kkMqIBB~aDvo%rtZb4h;x~e(r4`S&tYv^+5xTD0An|pcAc`Pk2Hu^lPu%hW#Nm^X^R=V zB?%Y)BgFhs8Nw2TOUVjFd##>De(GY}G81kx$ghdoF};y(M~;Q|rEfdlYshJT(a0Y% z;8V6gp|K)Ce;noxVY~#}N9SB^i}2y~MW8ArcL*uAF8pHL)g)wEZO>A~lWWn`!e(g+5D zBmHw$y?bwk#AXj(Ov>OWZHLo=7JvjbbjT7j%M`b_I~*rYBCTjFa;qfp!{B;W#tW>) z@~{^>YXR{s6=JmC%Ky{LCJih3@#W|@6EZMdxd@^97W9u&RM5X?uwu1zyNri+E5jedbf~`>N`U6OrUpM|Jf$W5^rBalyqzeS>W2(v2pxtd8p^DDQF+U zszpls%)K>P)(1d9m`S^~jv!Z)_Xm5mxM%9W#vmIBf6yGE%9L zdrATD%Yhd=BOWZncN_TcLoN-bAKW|czy5Eqw6rRySPOU$*fgEDQaLI_C|cZP9NM^r z-WlW@Gvw_<O6(5(BB8vF@-lhuXVDfAs z-A^`*V(lh6MBk7TGx9slAReyo%EGc~`ppMy_P}|{tnv6`HSPST4EMF2+;k*YTi$Jt zjuVzK+Rr!9!N|Bv#|a;>d=jhp!sw~wP>KS$Y3J7R-o_O_Z3p(_!l-}a`BN^Ut!}cq zVR^P_CiBC>?{W*6O5tis`2Y9E$m}vOt^{r+lO+`VG7o zwc9a*U zq%H1pLUvND!;2Ct*X5eIZ8t%fo8brcoouh{@7&6plRt4Sy$IRhUBF;#hCP%9S(#_< zZZ+`=;tOh335+{-Z|$ijMPms1Q)>Vdl8!p=|8Y8^Madgg2g3#v-xpn#>|FcCkqOyq zv)E^A=X_+yA&)&iZymDF{ukG+NUQEmOJ2jPoNwfh9H6@W*MN!tYttSHLn$kSy-h;5 z6s8JlT$h>zL8A+r=(#pHPQ9g5P1sozM#eg9Y|i&k@#Z9-_}t@@4&y1icqZ!%V%TX} z{s&hwLI<8mIanLhn@gQivP{l49oPNmy-T)qhv$w?ixeAh@2~+QPne3WJutft0b-i! zADr`SeB1Xg1kP+{lr(T=O|+==7b%Wyk6h-rv{oXL+Msx!y)X~!P>qAe_vIFepTm9$ z=zF=w8GnqTs2jvWL1eK082B*vjH`-BHh-!4w}(MHHy902*Fy?j zt3Z_O=vdQV*o23RO6&@6^zhw#W#BOEEodcRxW52+P7(I5!p2=Ma&WAanm2wzHTPJ| zr^+tsUhxB`otuV8w9iW6Vk%tSAdrr@IH% zrIV0!aQCc;5$X<3su=X&Z)0vO6vW3M92n zmhaDuEeJgjj-GOCr|uq};`mxe`r3wvCvD5?5y+?ifq8klf+I*2K-~@QHT6Adp>L9dH*jM84X?v##Yv_v=C;drS8lTZ8r<3_0EN&%P&*P~zw& zlgG^vSnv;+0W=P4BpkN!5e?B=v4U3C_W7UDd)bRYV?BE}w!%93sSiIHQ;Ugr>xPA1 zX(e<%{@SRGZuC^hw0QI5JJH)@VhL*DyYy6o;|V*rM@XuFa#$whl5aCYNWE0JmZfng zBs^sbs0iz?Gx0$nG-f>@1y^;;`f`nwOQy%}XIkV0F z%;n*E%l?vP!W`yQ8LO4!nga6(p7ct55E-@UnmAylCYq$ku5dm^tur0{rY^gLUXC>X zFSxNPV?B7W567hErJd$6(HGlY>CHESjl@7yRO{FGO3egpn=?%qBT%ZNXtM+B^as$zo+XN^}|U+^VQ0@a%gKBs|8?mxM4 z-*L;;>{H`ANXh;k6)gm``n!*8L@^ggh;ns(>SUysTk#o8(`T$nkN)>EWBp7w^;|#68;A@AXAyX%bs0|w5IXe!P_{ho9AjykYFVa8a?x(<cglMwd0?O`VGYdM z+iB(@d|PlH`!2MP)Vu*tvtbl5z-%U=8TB|O9XR9{oQm)UOE$wXKuKZkPInKehaV=b zcr>laHNmf)-Q;0olW3ilZ;o_-z@K3^8o<(R**kZu(&tw!lQ(~eeV7ksJ&0BaUKpVB zFo8b}+rG$N6fw7t-BGVBz!RqgP{k2;Gq%%?!}YZ^TG;oR#%wU;jcnZDQrzasy%Nds zX7xVA`;WEmmN-m6A2lTV{ty$TA-n)bAUr$02_&A?3pxjCGm(FdL=m}GPU(KlcVBY$^P?e@6j4FHo-

    );Okt=JwD?Y5YH;zB($( zuKoJ=7@$(pHGqnUbO|%03IYlU(%mt1cRol+BhoO4fJhHLbi)ieg!BwUcY}0&H$LxL z-&+2O19R?k?Q5UC_qpyBb1Ch1=yV9YM#V}NNVz&LH3eG;(y15}n6Y?FiM~^qV`@_6GvF`0CtCIQ5P>orBo2 zX3VW<;2sKy2J$pf4P_PgS}cX%uTVlSp4}TSs_hs96+U5F>WspQqLO}}y*bQrNX5Ou zMkojVL+FKxk(i0A@8b(@+$T`inBsiMTOb0;In(IvYwT1&QA1gMsUlG4zvrW=$#`_v zj*=0u>*K^Zb=c)A7yNh+Ozu)c|1U9?yvWr=00&9*5S_f`bXggicns8BGi$cT6)kMs zW~D+n(-YU>;k`$1iA$p_HpL7$OWJBvh>?qL|5I$fiE`gW*pNGp+F^AyW~e4I>-r~a zy#4`VpvLU-_9yIWJ=?zm|3|kM6FpuvDghx-TQe?VL>)=zeJSni5IOv?KI)E7?ui-YAbH-$L!3- zMEAdXek*5ee-8}Iaimyg5c_Gkz)Ee}DUiDHsOms2i*uiSvxO6?_1^R)TI={vP+HH| zalJM{tQWYK8{GW%xa_5sZD@o?#~UF(w_nO$_0n%vBRzOG^A2T-F4;d$91tqwybLvf zD8l?3z~?*1<384U54M3iRyF*%2umlRthDUz9;x$?{u*XTc{buE$i$-M4a$t)5mQi<;R9$IZt@ zbq%}aysbyJm7K}R4DV|d8ioLoT1RfLC$O7yze*LCu2Z{OYyPucx66piX5P)yu}g-E zE&_c5qOs5N1ON4pX(AL~hh^$T#{u$sIYPa-0%sAfImJOqg5M=B`jfeDTy2#-k(FY! z+4@OyCs}oGgILOZzJWan%Ae9vaIhvb1_( zybty5msMhGRi%|U`ZfZSAKWg-Z&cd)8*oHF84oFNg?j!`qm%Me1HgH@*?^@CNC#|i z!`picd6f;;NJMUpgA~~CPS_QEOu1=nOjZBr`K%`HDKHktJbu$#-dl75O-N#B9HEs3kBd2TX24U?EkPM0wl%`0JI^*}-oyaOWaPG}0 zzwq7%QJTbG!1W&qD|x+(7a&hR4Fk&yGb6~tHO-0sG6P{~w(t8L%1Bm+55-_0D&c2) z2JqZ)O>02U)_ip=c^Y03j8I{PF6Q1wo{J03wlv`IjpZdHe1nG~fs34`)3u#(?w2ZAivR z9B?#Mv5HAR>)2Vz2aI%YAe(SR@@?}~qB!QTUGLv~ROMAuj7xX-%-Yfzlu1rB7FwModt`!_ykw0|^#sF^| z*dU#F;oJL=W&9(LGGL(!r>O!%9ORS%Zr^A8+~DWk^;=b&8Bn#8F*MlFL=Q&@#kW+L zL^oH1PX8V(nv64t6sZ#qpkq?k@t+nIT$kwdkFq;`g|{+|(ea<$s=%S0UeSSL!1n8< z-k#e)I$_k4W`7>UWGz0+tElJrP84BtY&f|&!ojWtUgE1u1+VoNHR!B2N&wb3TE)(B zAkcPwg|khD3Cqo#t6!YhhDZ=<4kzh6G$m9TDw zP2Y}L*(`4O)!n=M?v)OliD$g!{NLXrKjjr~6gpLMYECfra;xb?-*z39zzgDcFG6Ze z%pdAoDul>N*)+-%TI>rN1mSD`QO*&*UGQOu-Be$1LxWwG==M7PK9J~K-tBma@Gmj( znE(a_WK`plWjTLJyF&SV&Vk!80}-2GdHXVbyTyjVyZd5O9i>#aa)jhN6vwXL%a#O1 z!j%-@5(y+~bJGaRoxtM#!+dKw`1-O%wX;OsDJ(c~T+}>C{O5@bjGj z@P&hdrBq0p;4}U=+0 zc8!?>>6rFDzy#9%4bEnJgnJa>!wg(lCw3R+n*ibv8ZO;~qiIDw$fEtZe=}@fG5x2| z%-7v5|FoQ;4NQiZR#`ST@7zAVT$@R>SKW4Dz9!S1Y(jBiTtN&PFuc~{_ za@`VeRv*O@aO-HN&SLk-2m1{@$xA-c;EHB|bV&2-t_T7|D}{M^$e@r?R7_A}g=5@$ z6fKy6j~fBD>LA+)eM)u68DZ^^9j_`=a; zv1#&%OsuotkS5GyZcEhhubgX(buQ$9fA1}1)h_+ ze-cXaUy4)iHpSUR-&tl^kSY1jkNV;(Z*5K1ty*JapZr&?0UZNG=wBl0Io~7ouRvZ# z-U{*$5q_xd+6(5Sv14Q*;&eB183LI${4YPD4F^nS^nACd%k&#mLx?r>4+b;{*inYP z+1J;TxXG7wx1#Pejwbblc_+I3#I%F#0=UG>JD9P9R|3L1t}BzL_3`5ymU&%aNe z+Qt$pJfZng@lL;z#MzK^fbsu4Oc;m};71)~+*#cy=ftq4SVv-j*YOL}%LCl!mhPsm z@YV|Q_rIIa1r(bt`(=jh^8$BgK7{a?rY3|^Q>P;vv7ngM@hop)nm0RZBhpWc2JoYF zfcqd#<)PDsPnB_Nj6UA2I*}2#Q9549>k|hF!!IM}TAM(Av(ygAZ;pzW1x6VC(=$i@ zet*h9|D`ieJr-MhzbaV8kCKM z@M?-~(kEnQ+V^qAvTP4WZ|8nhNQf>C6+cl=dHs-LYsJA=Q^)0>fFtp@^(CR_DZ`Aw z2lu+G3N@1*V>OMuir0AHSoY(rT&6TUL?VWxyj*13^n*?AM~6{ykNHH!Q|sxM95XHN z2)CFjZBBeQ)_$1WO$-?>pQ7oKU3iyZ1&u!%GjqVBpJmF=Nd{l_%tikW8d#G_rLq6a zGWFd4Pi1QJZ%bCJFnCK-cFhR1aZy&1)T6>3{j&V{&wvM{BJ3eTnlrtS8q4_snenQvhYxnG&3#s=Ga+$ve(Alg9(OPR$)b<86!T zaZ!J6Bbsml1NxeoXUm$Q{eFUIup#p-!aC8soM6CSuqlh~6&_WeuU3koyz=MW^>(m` z?P70-`{oZi{|~_64I4iuZu`dRH_ z7$L(M>GL!vC{bFki_&q=P|NVy27`b2cWe~fz;mDpHAm!;_L+cMMYvT&l(h5>YU|*( zMI6f)$juD2hiCT+(S&VvaAcR@BzFD9^pa4sj_UzBw;tih_5f+;y z(gkJ@Scghqq+Q7|guP%Wa7$*lR$b*G1VES=x%2Cwn+^o(kIjE+@~kw$v~FwC{!k{4 zZtnjg@a-IUFH9PwOzyLR+f0C)Xq{W@qRA`H9ZiaLuh0$wqaV?bB>W09ez?~^ub=wQ z_FpU-RTUh3chEO7vV3hOo)tx^n3}k(qFMJUyAxjfB&KV~t@l|;SqK56$yfe5TAvHx z-b-!M0$Wm7ttJpdwGyjyJIl}&&GOYB9!Wg0f1imx`%2%hvtGN;);zM${{?&HjReFWTmsZI0N z;EZ(I*{r=sA~tcdL6E9dNtx3)ju7$BsUJ}&?^7GY^?ivGG;;~o4LTgt$J#C14rvLk4pT@B}>T&oTlH$D5|9#K^CnPcUR zB^U3549*dLA2qK$3oavH|7xa@7aEHps_ZKW^*7Nkc{>BWotNgYP<(=Q$}c$$K2vTPEhr=wqhQv5%7Y3?HasJ#0?SmhzoRY8+i6%(1% zt?F6YtZMU4Z#_Z2!*%3oTu-<|XWLjb<^uNyG}4>Rvj3PdN=P9;rZds@hMmz>5$#L$ zYeBB)M+l{~?7W{d=a*lqR0Xu+QETxIU~6*B~@C!|1@WgQ?4Hk=L-6Ezs-d5g6LOUC#JudT^DO*7FZfec;Pf z(16OYy~)y%4xY4B)t$SMp1j_w+7iT`n?!^y_gX~u#{0snv$6M#<$KA*_7@;YJgjejAsYl;8?o!-+^>>5Kj6NS~u-`a0 zcjzKLhtBef3>kc?{)61&fbO%wZKY5(Cm-TOHDwofmfaQH>bSm40q%G>;x2@f!~!; zFQNU@PbgHngXS(ZhfUT8>U$AZ4S7QW&0yx&c!p2u88TfqQj<$dU!ybBy%!z}LmXiI z0kKwtCl04%1I(pewmTtg0+8kvJS5yGU+2-RcL#*sg;z!dDq5s98N;K)it-=lAnX2= zus80a@szT1DeSH}geF!LDjKSFz<}=`x^mQ%x<2-)B4%S6LEn=7NYu24xV@E#EtW@Y z+2Im_Ot012=0A>SGWQ6M&tetL%+(hg^px2n0R7Hxb923-9{1@QowTNS`92f=>q zDn$3VUf50;kuj5pr;|i^*|=O@NG~l#5ePHg?;+EoP>u9|G%Ym=7ZH$ib&kQfz*gIL zLaMKGkDOj~M@NjNg6!A+EzC6$gRSWJnMaoFzdQ$uE|bZuBYY_pRGq=(+f1%n%~SO4 zFzAiq&m+Pu>(b)arRA-wgRw2aO17R0%Q>hnMpVncc24l6;N4j#V;;<-=q@bp#eEG7 zQN|Cy4a2u$F^hNkm@0D9iq*)ot;@INPc({ zjMH-K?X@BJIL0UaWAPk~jq?8J_OT-36H;`8I)n)$sQu?qHQW9_i7+qc+V;h%Ju?V4 zX7@EW7CD=boqH8%p~|*=)fwsv$SvUk0zQeGI~e5$#B$f)MC#^bGNUe?sk#VO)h3yI z8-T5>0>v<;x3=otsDSH26(M8a6$;vfk^T#0B$z}Fn_=xS+Q@}7pfy0ckrYIfP}q`*+s65yXVJ zJKb|NfVy0<`8!8Aw1(5XcZMXxyp%Bmwy#M=*Z;nVBuYzXpsE?O7yV}Tr?iHxW@{NzWJ_xc!7K_Ms$8RRb{Ro$qb9XrarzQIE*GQc|rCXFR0wr9;c1`Odtm zczJt#Af}dPeK$Sb9(~XvOuGEKV1+Lhs{i5g7%gcVNneh1aUM4!BX{%M-7Ri;?HTlD zdkHi>ak643jO<u-g_A!)bS!C9JhxCMWc;RF$%o@PEWx{Tdl#$A`2r)Z|OltWMYD z&KHonatjv}UAt60H@%R=%j_>`+rL@?YL@ZDjnd^H+x?I#%{FRya4JU5v*G~yDxQ8e zC@9sGD93nxSUpyR4vKCoUP8Vd(vB7s`i!K%C*m;M*c-{q#6lbsw0G*F!S(s6>!iiZ zaS2?sK@zn@Yz})3YZl$YsXnZ#n1ODI8za)Y2+L$m9`8Ex`)#tW-Y-na`u-F5gXcqnxl4>b*Ui zm;s>4T-PI?F{)iBT%WdYmLj-x>5$EVwS5_5UW?}9{W;tjT%*T%u%3*;mbU(s>u{!$ zMd#xIWIr0+=Mx5eJ@ykfH^2Qt4a}p@K0Z(Il%UmI z`_I7M4;P#``Njr=&R*5x+1z!C6@9Jadl6yEF-=hVT1qq#EwvS3oq;AZ{1OzWht3Y0 z_)%%C1QNr~QINIuyb?}tnxq6Kh+V(2HFg({d}d4i=l^DlNBH|jv`?UFXKx7qC{LSS zMTGlntVC5l9`ll}C$^EaZU4mkaN2z&vZ9BT$s;KBDJ$^hs4Gs)?(S_D9+|0sXBGOb z!WpoZhLzM!7r98HXGpHdIp2oSeGyhmt(3FjoJO87j4Fh_nsSzs;B&c`40jO9;><$V zq#s78;zAT^Ph;pCxlpv|{j(?Aa~WHkg`HZ72K^(Ol_e&@E<&55-)v%cb+S)zbc?Ha-fU zbwu=-M;Dja-lSw(z8F%CEfS+#isXm-+H5HK&)UC zqLxg#1I>=$*Z*?jVj5+Swr=?m!C?3~)fd^VXwe`3$X$(vHMH}o-clmhC$AH+tPq1g zO{uiMHIWa>xsbfun;Q*>{O*Uy()8Z z$j1Ew?8+kX2gbxue?lr;oc%BUV%BOglDH}|@Q5cm)+Ri}$=qUgj!q&oC!z-?@}=%L zlmiYF#OO@|f8E|wFipV%urXZPv@=3NoY;f&{Gm+E5t1Q_2mqpfe)*vc~{rvmwN z%blbNN~!bxuw7q_go&T48YvU9?s(cfOjqBm9{X9|HGM-Nu$?z{}53`!4g%HX+gKz9GE?;yk1q?v@&x1t zYbEoKoTz34m-D;xTeBw}6)72*Z8b~oON-V)k<$W$lAdbRqcA4WpE~nN+RTvUR<^}3 zrKr4kRUdOq<^mhc^Z4!fHv6k)wn_oNb2%n}7@!sG^4nY4OUi#@@~&9SE9Pdvsq0Ui z{tsXUCo=Zk(rt~xXIPU4AsJ4BbjOoWo^pleJx5s7(g)ebuDgXv1cxhTNm=C)OsJQ%<;Z3(Tl@dYe_^e1av9gW)6rnufgf*jJJqbwG=W}=8;d4l& zQs*}`R~#C`q*x5eiN9*CDH`wn=8DjwkNwr*bMZuTI!R}nT)uD~eKdf~uYG!Co!~!T z`HIT6Lyg#qT$It3r6-9QnVk}cYCkvz;H~nVC|*;mH9V(Tl>5PZKi_#W#fhY6$ZXFA z7`%jG(tV6w_d~BnMSBFb*mk}>K%4-0!`2*m5{OFR{2KTo2F{d$17Ys1khagJ>1;7V zNSsAi9b&%dsTj)sN+9D4RPB4^wOH0y{PC9H*W-&)rAzHFCn^)&sC3MPy2AW`SS`Ph zmz3y(Gx?3Bh%a+y&qwDt7~d^-ZDOL8!_kGmvdiZE4rq18)qW%HS#tye?NIaC2Ea%J z6~A?eS`TXn1Y}p?n_PyT_K3@j)DUiDdKPC;*Hn7%)TVrKoVv3f9cc0gpzk}9tPc$R zwGqL5{?^LEH6Ey!9-|U@{hb0NM8lN*N*nz2`{Boly z+JQlQ{lZ1%QQom>jGA|%6W945<3%|lr7()Wr5&SH7PO}kl+XjU)SFGmAaFT#@h8O& z;DY>TP)beZgvC`9a3rW1ifRT6P>X~LqYx1Czwl-kKJ9rzjC{3Pd`!P%f=V`GJPG>< zaUqNme%ct3p&mI`$bis}&-e|iWcaxF7SZxkvGH`0zW;fH#3O=Rl$+2CB*}i8|3Oz#7@U-T$(YGxEv9w>qIY00Hm?kls>j$8sTfjVHlj>j7iF1 zQXqAJSzF$#&v${D{*#j}ko~86rpStCw+FB)S zW2^lgB&ysxNk^WhExX~0LVYt-i)PLl`T%MnOq_gOdt^SavBQ# z)5G>Bdv~Cs1a*d1&V?E*G{du=<3{Xp5Qiw?!Kp12ySp#&La8swv)U=90Fl~N`}C(- zyV?SnbRxC|+b%Xq6>YZ6(DQO2vDb@1vS)gu3v(C78MA(<0c20>5PBs)u_WMHxjWEb zi{MwJaPkl>+C(;ZrAQf*$QZHyl9_Fqj~qwQe)opX9^{$62ZbH47MqAbhm606U?KP- z80}Y#lshY`j?|eIEKx?BV#{|+K6B5ftLw}@s&)v$PT>dd*1xn{prl*)IvrCs8I$-% z(pPNLAkoQA5L#TpO|=)22Qm|b?^W4^1A7qd1~B&(N5gs%$Y?;~OvI3zN=)7DXAHC1)VNG+>!`}K7KV8H!=^XucG2=i-;{3@M|)rE_aK0jj! zOV&*|&}#Xb#;Rg5N1K~bJk(a(>!)80Yb+e74|&lUT55#7hxx!0s9-POhxWDBLz@c&G$+JFbRmn&chHJ*EV}-678ZYD8P6?Ve$Di@vc(PBVm4+F9MJKU zN@-@o>vJF_d>&3@^o0LsX4@z&$^O#UlE}jOs5>01$hMb4&?_hDZGeJ2^mm=>nxI$B zGe)@BMmp(U)LUCMMi104g!g$pkpZ-*N}9=VQM^E46Y~&Tq`CF`-QAaP)!@o7T@G97 zEwzm^4Cqbe^u3FI{TN++pb)m92oZ^Strdi1m(?0+Pi-*F)G~4Ag#vAbr2T5^^0WeY z8Q=7y1D*Q?BixFf%>f;T1;4cyBJFrbTD2)yUKCea*KEgXeIO+!c0~8lfadW42CVt$ zuuYp{-^4{@BBXMGH&)VR{EF61?6CuXzE3{^SvJ2R9!h>fxk*zowgVJ_n##5!Y`!V5 zI0y$%wb}{F>5ftvq(^Z!pSHeS*xp1$|3-RN38!Cqii$P|JKJ=m@5uH4Xq$)O&AYq%S55B7Zj?nAGDOv( zy)wunaJLc!p+hr=KrT$0G*%2s8856xd??vjgr16#bo^8cD{b%dz;M-lku#(H4e{feA}yQ@tgW=<*9wPYW9NQ^yM z-Ve#cxY^Y?m*|mZpEo1=6G{q$b6|%NRaXTovp34Ica^Gp_BZi?Uf5_{yxv+f*|SQ8 zt>&{3U44NC)B)ajRp0e7W>ht(bmiYdim#uX0tNdi`l#)he&3do%B!E+;=Qls&zI=V$4^!eB`22f?9Z%`Uqw6Bu%m5_`{f~YhK_*) z*UC>5`d9M={lZHrIZl7hgd)oj5g6H~vB3s$FYYM)zN68D1 z6N!ICRK8qEnMB9h%);y8B^EqUet}2tco1O(n(iOj?2eE zt7&rQHJ`tVqh)u@hSy&uBE)=j<3A$<*k zn!)v&?W)}Gsf$t+bNt|Gm!iQMdgHP)T7007N)EPvD^DpYUaN`L&Fe!_AC3i;FuJ`W zjE`4#LKjw+|4Yy4)ZuO^srrRCz-&48F~&r1z8Q`V(X7(e+^Q|d*YG7Xt;Q`<+W#Tz zUgE$8{^bQ-yKeh<9vWQK4r|YK$9iRHYWMWG85aTjuI;Qf-GTob>P#s4xAi}Ei?UG; z0ae5@iNrAut*zF(Z&d%SN9p%3!BUOU*)#&kPx?^eA00x4z(Jgq0yu~t-AWPlGyh+T z=pKJc8M0=Z^**ZMlEuuKsLAG$XiYGLZ4@BgB?-9#96N!Ar=!Y&8cj|OKMCR*2FZ^up zd;$vxJVEeI;e8pxpMU0|VR)bVs4O~yQEyM1e^v7KY(5G!NY`BcFMxv8rRSl+htTh$ zqS${!=#O@%_#D)(uCHh?5R@vwifj#}CSEc7vbW8?W0oO;xt_$sTR8`eX0AW&1qcV> z-%eoB!joomOVcCaqI#r>y>HXo0kikq#))X-22l&Ige|`!*c3 zRk-+%Li%D)dW8QJ?GC*E-p2K_&{VhaX#`fgE7gr)Wm?O`LKHj?oF6}@0{nixJt{(4 zmBYaus{UDkhjX%x=3d5_*4rl0>t0{IMLVrQu0TaGV*CZZwPF)RAp79Uyl}K?i$CnB zP}^X$s4t$fKE?~om2?@@&H%^cONnj_O_@ZROZfYbwv2w<$(jP>-v3}2`06&Za+Rec zYHk_7vvz?3pIKxy;j!qUM76zT9XXk7nH52*g-N6(3l*Yp_v*cUu=T>@N0` z6T(?%y`h+I`6bWEvb}9US6*fc_~;L$6-ud z2;E!*-7$S#b%Ww{f>OSh=}6Tz&A*fYSA6@8;l4j&2)W4KVka_HUO**~c+A4EkbIPu zMl{0i*1o9>it7zJMAD4G2K+g#QOTB!UY?EBy%d1zoe~>bnCq+pLMs14*SGxG$TB~m zJsGLzG7oMCvmT zMXB?}BIXA3KI%4#iD1pjN)c*YQ->HqwTp@iBF9p!?l^C{hkDi}DD^G%i#h}&B067( zJ0_f&O5@jF-HI(VP{wiJc?aKN@%w^_4(*FhRP~NJ#F2!gpO0`=Hpz~)Da;!r-@o-e zRU&9nv;sp=V^^$Wdl3;NC_;lF#}og{Q+q;6E8E@!>Kg@2)mRFU{i@5@Wo=VtU;_rX zWnAa~7Xh^Yv-y{IF3{ zK_-V{l@N7M6G;;D`?#PUe#p;n%DQ2~m?Wl_&{$5eopIShl=^Ykon}Z#!`4H#SMc3| z_GJ!VCfTtJFVl;9Jd@%$&dpE^`*%CEMTX9SVW8NE4(C5?3M^1%&Qr;d62KxG?{{Vd z38041Y{z$Q$pA;`W}8A|gqPzJgFrMp5>YhXe!vi=`J*x;WG^3n3``zd#m5h@U#Cu# z8t>_GaRhuDekHL3ypIasuMkjD+)z*| z)8};uBiWrt7l96%PJTtb&FXg0VFD)aPvEmh8M{6L2a6&a{tuL!3_(ozl_OE=GhKjc z@F^$_rBC6(V$m~a)Su7QIcnDzOV%NqpROUH<&Hi-ysIu&cE#drvcl}(xabV~2Y*4v zLt{1-EOcOe@AJb!FWShM>k&xZ-j#>C#kb0r3r(xVBJ8Yv#*Bh%#TNwfpxY*bg`~;_ z*(hM+1;uJfl@HK`J4<`QjVIB%=2ayJuNF#nPRaV0j^EZ-aWzb{hK_rdiWVh>`uNP1 zhqw!d#+(fUDjlN>34ZpP=KzFB_+)2iqGL z)4h8-=J_VvQK81S_VllYU}~J%Pv9_v92N4dI<<&go;Hc>Fr2&PjFIC#v?qtDa(bL? zpR|u~LK+M#5&gxo&>5KW_T5cGK!zYow=V=F;CTb$d^E?4J}Y?Bd<1jS=8tQ@K4xX~ zi2qN9SQgO=jyiDHC}Ab{3Jy(k8K2cm*gHMj&pYoSifs`%;?nj8UEM)+rFzcgc;H4m z=mi?=)Ulo}qAmG;TT+d@1!gRXkH=Dd9n1WRBuyi8_n&|Mc5@JGYB3OSwd$Kv9k8-b z`78UCwUciX{>@C;PcOot!-TS|42wdd-GVMtY%}FAjUA`%krz^JuM`WS^7M zOx)mVz;(lo_Kgi}wMB36T5aO`#*-&zQ3NfdfChefQn(Gn+|AYHM9WgahT+Clrx)>A ze%;2?8_2cN&Ek#Q%`AgF_k?ZQ?~A6>%;cecTIcj(+xF`(H|w~)%lmqCth7=$0hZv|t|T9;CHww$}MVa-v@6<5wJ%&q!FVN!R|X zHJ07|1TVq7$drStZ#iokXtZ>D@*}s&1E$BpYJJEOlbT1mFZ;%OAhfR2#4MVOI&iVy z!Q?k*x_2Q`ve!6R9Vq@Zzi87oUol#JsmI$1ifrG8l(-0znSyp`(-YF+uZ_if`3p;m zeaDa5FS%)AL1WeBk9c(5#>Ql$IPR3J$u&1!TV}wdDz9;RHAiHx-L5+$QDy4(8f>ZL zU+I(Gcu}IdYc%0_c`_1+94V&0#xSHRp6c*i=g}VKuGF_2Z+?wjw$M0<=wWbW=8-0kjK zmdwCZACdDZk)zS{i#_Q|sf#*cymG5-?ICkl09T*x3=O7qve4J%O8IW8VL{<0 zL!C%jXRot;B1T;vx?Jm}z4IG*SWbUZL3uZ2_Oi*I6}3NphQP0zgdH~d#B;AMOYDD? zmz!b3`Rb!xmXFVwoepS4P80O_WGWwlqb&W^>i+mai9dhr_75Sf#5buRU{fNFn7=FN z7=9{YZR}}xA4?K`Q0Fy;rLXi`8k6X6e!|Azpp1kC&oNftXK{6u0Y$k=3`J2DtOiAI zPCjCtXAn#sU?~T)^nZ9PQfml`#?$0R^>izb^P^8s?-Sf%Za9pfRdoF5`f%XH=P>Xn zX5aTmXSO4-2uxP!3T)O(Y!hF7Nab|$V5p@KI&pkcsW`S46q#R>m{^bdnsj&Z@lt*> zJ&N(B+7=hp=dMO$gJ;@$;wF0D&O@HZ*eP^&`Gj1F_}?JYXC%JNZjGPZGC5P*Jk_FI z-Z(hHu?8L)$INes znGY|KxAe3^CJEoI-mZlp)%YL*POxdXq}*D^Y`Q>lTqh<9bBRp5JyOA!{Bk5Pmy zzAaY&QdJEBt3+FrmQ%MAv7}MF?pn)i`X+)=z{>~}d5fEJcAWGz-03G7+dqis-!e)D zP>;>?3l9$Ew1An)i3cM-SUri-e8n?cJk2i447SJO08@-jRd`H@7URi-l495K zWisf=Tgog-iFVr>IgXSlEMlnIc#0S=zNs?9X1<^$E;LR`^9H9^7qx_@Ne7KNt)wiq zBn?bO&_mk~3wY1RcGQcW3IS0KP+N?Qakz8`|hIHQhs){x{N6op{ARu?QZ$Z>B>3t zVYy#taVe{8LmS^d9@5J436g0QVW(-uTIuhp1VH)fBz?yAPcjlR54n;o(f|iE#vAr! zh;~@WFK43=^e?uoys`4?+PW%MdG=%?69NoOZ`NL+fI$5B8=Lc|h3qLC)O9RAnBj_7 zroWbCJ2KK?*;7k?m63C8x{(~lIsf2$9wUoZ=TnrA*_hHw%qz*~H`ELgh-F)hBJq+^ zcMo>IY!ViPqY9e!Hv5?h(B5JAOho94{_;ZNrrcj%IyI{f2QuSwdz)e^7SrW-- z?MZfU5u$=JoHlu&t~g!{QTe8UJ>}aIKWAdQb03!l?^C;W*iya|ZPDZWe$SV*@RA~@ zwN#p-b6x@U=nY+;8Ot1V@u@7W@P{~!q3P)i$P~4bz!GR({k_@ zp%}j$1+sll2a4s|(k029*llqK=n9;~^V-I(Cs&Cp8%mDxEU%V!2WV(qWZ6j)s8t3T zn)(9R0rvKa0xAyCCPLPB4X)`~HDBL5&TlJDz~D1gciA#g;Iqme*%tTGKeDUsefNrf zHDcySc4bN6&))6;K&cL!bBfI=dX2oGV;05G7!t>dGspx}LA=e{kgB(Kc)?~!sy6R^ z;lj%HIut+^!KPJ@p+vu&*G}AyG>6NvzE|Pf8sv8eU^jTo0xmGGsL^dn^^BS{HeU-O z3m3MnkM~t$i(p?RQP;SrVLQi5tM<{w{EBFGS(As)#j*(P1b%rz1r#T0tqw@155t)C zL92ME0_cDkyqoPF(=TP#*lvae*FG>1-5^zlvqA zv9WDW`-`uNn5pNCcCgRDurfo+;x^Y9arEHe#o#QRdMud;L3_rQ?Zy2}j3=!u_2-oy zuButuj^um7)9gD-DSrVys&oocCR%htbrC~f^g8e(JWD&k0S=VZIKBla z#|c0*9gZ)IV1TKJhMS7A5xxx)Al>e%8}YshcXOUscm=WB>^_Vl?NRmv*hdAWx;9aF z3Q>Mp0HuQX(79*OpQ>dC6Yo685lz8f@X6;JwWPcXzI1sDhu#$LY;?G+<@9ViM{-;- z>ikLJXsx=vCL2lv(pPVC9zy9-l?IvIToB>x?jUm2%d!b@Kt_2y7;yr|OM{q{(6KUq zTkfzKjH70PsU-G@f_!5QbbyC)1<}Yd$d1_;>xj4nc=qkumM5KNWo+B>#}b44hb8!W z@RIC=f33YC(}{3dv{#?jVhAerK*U2#I$VW3sK=fJp@%Y{W>CFin&0^Du+-Zn=w-)e ztmaBLU7QraJJ#YyZ(KxOp`yn!e=t6MXs!l%KrHhjc`RTw`R{V|X@EnL%NxLGjIjB< zu!ad0qtA+Fcn*b0225C#2%Wg;2;K#(ZeoLDQ%A9mp#}K?_%We za61b-y!;UwBz($SIm5o)s<4CzffG$RlI8h9-M&w@BvsdcIjWVA98TOk4^|T5Gdt|$ z<-Om?fZrSvYS_2Z4>?rhA1tohW_?<=MQ~S0md@)E;gqNB4 zIJ399dEInMTbxOGoK%oWw}&$?bn7|(%FiB^o~?KI^ke&0Jb&)JdWR}62i0DpWCGNbfv`Y&Ve4JUm`17InicVy+XgXiO5x5VE=y#R(h zJW~F`_JQ4^t7m_XJ@h|}=jgRQ?d_~!P@89q=RJP^kYr|eAhE9-`T1$LvKxKTw~E9M zZS+MCnw3I~voJBw2eXuvhQkkIT-1a2JGmEuLk>Hqo#40q&yR{P1YTUUZtzr|m>Gz4 z=u46^fR6E)NlAp_gGx|BMF>+4G6C*%m&L{mX)7^~{*&gG5xuL2c8)C)9{69!P8f2|+d{{60|@`n>dADJ3-Guq&}p=|P0>yNvrZDTeB8Zg z{46najFwhYiQ3pv=&+wrc;XTOS49XUY4tk(<7vh7zso4Is6?aSaa!9PM1gW-JhesM_5f zu+o+N#%TL4bYpAsTw|L3#r{9bwikSV2$A`_n;SgkUMx6iDaGO+?oNy#P^F8JPZlbE zo_REYy-#&2rDWL%D#CkLI^%b3aLiTH8FoCV*bm8SGi6RLuO z0)m2o^b&dxy>pNz3IXXQfPhNxNbf>I4WRT60YZmJZ{hB6&iB3d-hX}akhs>`bB^&F zbMK8lJ%hiQecj}_&(QG)JWy^n*}dlE%-lzk0T#z-*BSmuXZD_MwBL^E^$^$c@}Qsf z5ByelNADEa5evhN963l}xKCr_iNE4(35uX^?2cNjn zV1d7sV2+ly_5F)gA?);byXma1A>IC$c3%sy+M~iMVXZN0#iJk^3k$*~)pmHJt~SXD z`~JkrnX^K~LcAn$?Pj@}ESQo)U`mqeq}2_uIZ;}t-wh~IyZsoDHJWGbUMM@ z5ZUH*wl%yR*~K{6K`FuO7fCu)yx>nnri00%%dw5L^PKhcoNmq?I1bJD(97JCCYFNeT+u@C+ne!~@>V=JM}pYT$y7J!ea@$I5R zUQ4zQfz#}XeGKS29`QRq5rkHlTGRg6j})?jZ*QBKP%GIr$&wDOK5wdXVK_+v_|X)> zc-pGyFY|3wKy(xh7y_QpzSd$b{9Eipu!3P-Og<6j-qP{)<@1|>DOho^q6sKE%nCOv z3il{udX9^3xaYc6PCa@fZs5Y{j-a(-vo7>5T+-1Xaqk@ML7P0BzO^YFO{Z|M;y?0?+L%Wnx><-9TR|{B zm$xJgFeWyXN>n?A{64Y-2A9b>U zin9K@U{sSQM=OoRsGwN@4t)B)o4!=ENUD_Cmb~t7csr-en8rw!GthZAf@o&mi|SU~ z=bUxS`#0g}GT5Y)+f%U!6oIUs ze(d=}bBa4!sVYVpFwvtBe4FgR^?-ljYFANo1bEAbRopnDGyExaAA4?xa#y;-%shCN zJTkM5z|b7h9V_~TI2psw><>~5Y zC=X&AshoK4u)0{ymCxLMF_|r5U*gz*nMA%o4><91aVZ_x78R(Ej?EvUtJ$zMuZuHR z-^Ad-1CLrOH1x*E6in?L zc=6}loBaSWba0+qQCHC#y=5XqMSzLth)|9yC6CANZ6x(V)b_g;>2 zrlNVYvc2;k7uyT3cuh2RtlRiuk?3#<;TPZo^^RWuVGVov(60Sf6sklD&pWhNV z_D^>D0p#^kN{DOgi6|#v4aWxiQu#K zpRg?Ug|u?9Ei9ildF#XFWQ8Ok1y)e4fU+J+=~7~&lm@}m>_Xa4tF`jItQEI)>k2pC zcVEUFoUndF7rUJ0bm}HT?jf&*)}Jn~PioL`77YmrUt z(P6OWXICxz`-dq|I~BVcQmN|pmwqBZnX1xzUT7}$!Y$)oX`-KDmId&g29zS_9ru(U zI~Ps|*pcz|8gN!*u}PDt?xQ#8vs8fDNYYzPCj`#N8h#R?0gWe=G{p%J#|;9ras+d> z4T^gI1S{MqsRGu^zoV0Z$C!d>c`4?Y`sWLp*94Cf#*;St2WcTy&>n}TNsd8aN$R{y zRI8V%eq>}yXe?k!>ecU!ERjtQI$YCnENwtXh`HhNnP{|<3oQI8M{EekDCT{vZZ)0X zB-6V321z1s#$bKz(f-OaG!i&b=>- zqB~Q(fYoW`IvR|*G7*-0t>Y2QBkoq1KR}u9r@!dD0(g>jp>XLRnY_C07m==Sp6K@G zMVXc~SAMiho+h%}rEnl#cl3N?YdDen>3}*=Z%pa+{}V@uGKRV8hu;f7Ei2Jb+h_k_ z$S@z$o@M2{B3vO11?qKf3VZaws6$Gv)TH{z!d-jt8!3_{faHSb0+4_?X_3E z&}*`X6Z2dw#hxjEnESNFR$H`a2tma)NuR?j&P~k$p_`R*Xs% z?e7dd6GNm#;JHrk>gw<})2HP#FJ>d}A_1iwVR>KjBS9%wA-}TuHB~+jn0IANYx%V9 zY_l)owzAWoJm=G?Dsl(Os~6>@?U0}lc~#jN+fDkg=ym+FK$zcR8EA5n8&7&f7((nDKO~(%1!o&bM}B zJ-@B|omGc}4nkRV!lH#Q^X*F_C)6k@!NH)yvwB63GGCVDz&qn_*%vs0W@oWjQ{F#R=wll8H||%4`rlCR89eq+eR_S8H{+2UR+SOglFmP(8Io* z!8|%Dthupb*aCVb#J^d9hPrnDZpQ2c{F-ZHC5z!=_u{&ZnCR*i$Ek}Ls^~-yF3pJNu3<)~B%6F4 zBLfNzJ8P;V9mCuaB|u3+cZ<-BPD^rEMoCRQy@t$-?emt=f<} zVoGwn^0VFYQ=Nct@Qnv$-7<}@S_rrIiH?GZAq95OgxNPXx&Ik=MA(h%2a2jWW(5+` zsQ|NN&-eq^x7|Q%E+{hflNe5B^0`|jgLW-St#mPGVg}ykf$(M?Nhwz@q)!rD3v{2Y70tJbJB@bh`%V7L&`1U0-koEfo{Zjl0g$suck zI5=9<^j1yCP4@1p1+UZiY#glsQ|5du$%}0e04_y~Tj{PiN7Vab3UNm|m=}^vJ zkkYuXB9Z@-KkzDj(F5P6R5YVgeFk5jdKa2hyuQBv8oBzKU{j(;r+n^ZK&Alwjs-8! z=Wm7){bPio1m19=b%2cj=m2woUu6J30R#a_4B(1IUYVc*L%X-U3~ zUgyi=<}^}OP>wjz6hONKL#ZeuUv=Sd%jed6ghH7dwQOQ6DMa~nqY4lWz$OEYI0Q2X zz6~MNaDl$#yS;#Awfo36GC>F-ra8U^?y^XRKg43kWX@;C6@d(*kVlHw%sJ3%N{|Lg zTUZIN{wISFUGCrj3!ztt)Dh#|N%2lP4&1r-D*>wy^((FRA@oS(M+LYD)wMJOg}r}_ zLpKq04hE=ThaLj!Bs(9cBxWC+SCtOIGB+gXm&#?m5?Hu#+H{gy`hu`x-;#=Z zNR3|y`(gt2bKCLve#p+=mnmKvS-xj={*(q~PRK`NLmqTF^tu=VQAGxXh5{MaI<_@W zIzaQ8nfVhCys@XJ z?$En34j#=gT?TT9Q&_wiT~{bktgBY!-mfQa%vULd1#etWOn)c%a4 zABttreD|9(wkg4fh@Twae{dTey0)9iI%X>$&2B~G@+?7#d}02Ty&A=Y8RcOvJ6!qA zF8ek9`Iv<2dI9<T&!1V4KI(yanG|V+JD<072v=DL&=^(M$D>2V|4sz z*SNQWYp3yA6x-Kd6|YGIQrW|2rGLI)ULt0H_4`!Pc7|ZJ3~hKBMFe{DOA6ge%m_yqbji|_3KN}DF)m6!AE!+z;J@UJJUEtl+uI;)k0|KxT{#y#RR zw_98(z$ik1it9i1X@&RqctTF)2K#H;ollRyRnhAhPvmaMpv9=68#k|<4g4ura?)~h zb0ywL7X0YM9{bL_4;PSvhBHF#lGnJx-}sufwJ{J9-eS|3TPqf>PKfHtmr+(pp$NtS zNt`D00fkttNYyfM-~Ot|%2{h>%g6=;XJ%ghe8)SUBeGwZX{x!=q{{Ke_a~>+zW_RM zOkVC6Q_rck|Ca?n(ME1_7O@7Xj6{3kfZAQhfPbwgOX*+qD_)BJii`pf8ch=D_&T7| zYq};JNr|(%Q|PhTtyhVB9Q8_eH((?f*fe82u9;UxWH-1tdgXSECDr`(CrSw1_~3XVnikVETk96FX7 zGq;pnPBd^H1{BbQ48Ge()s^Y0z=NcIc~#Uc&Wi{a2gHOYh{U;Sab#@(GF|&y!VS@5 zA1kQ{B8aK|wm`wt_JqM!D|1eP6>zKiCRl_(pb{+LFKqS2QOK^P_iM6crvlb*ZeXb2 z`-E`3HC$W;)2AQ?vjKf>rgYVIz)q<5Yu3)3mJ5%q0z$x2530IJ!oRgy=qqKgC8raT zcxAb29w{&522be3VWlyPy(dW+SBG$|apw5z`l60ei--XtcHK{T*`^zQSfvRQX%a+c z9PYwB>Cj8cOzQx+*Wc7cbu0*G?ebP9T_N^TPuvRm;h7K-1s@Yi(D9v3Jw8ONE>vRY z3a%Ki(35ZwE|zwt4QuB)`mKM`f5{Vaem~n%#33=tfSI0~<0`)4p$_ya+$lO67*W_S zB;Y;%TZ>MfpxE{aCm>%l^@UwU5c0a!@5B=Z9$86|kOLs;U%>Z`0QlG?exC-|LU2JV zQ(C&S1(yHid*6Nkm+#?x)=9WI0rd&QhrsXBh%UCL@;#jYI7pSIY38cjs6I)Px_h*u zSI5eo6NF19*I!;y`dG;a&3ou6u<-@X?-mJs>)Y}NbpWNEG(YJm6%~R(&Z|nbzrq;p zzTjFvTRCd24&U)ddNZsLwT)?g_!5A%@c%R=Z&}iso5u9}}VsAbe;J`P}s;fw6F-D&hHJT#xs2T6O8)K*`!p_6TW~$p#C; z6$lZz648()aGhLyUK=&{mYdW4DXCpE*cB;O8{Chb=M)?KfPJ5dI9WOz=6~Gim!r$O?WG+tG;qa00AwyjWREk)wv+&B4o| z1=%HvUdwX}yW3OO?lbcfF%Ev3j#c(Bl>2;6gn6=@ml36P(w)sTyN(>6HM9HEf1tDm zJ$o`UlF(gm1Ud$sj^YBj>smVhJ=HTbtxVaH`wpUry_nbd4wf{Z&1QBMNDr3+z`nlQ~petRflLoS9t2zq+y_& znyma!{OojXq^PveFu1@Gs^wzOka_IoQVo8yeoM1ur$nxYWQ^AhOJE(*5J@34SPM{d zgk@VFHP_wQ0V0SVslpbrrW&>t=H62NMd(!zS2F9cE}wLefRDb=4(ev5qdFD zJV&%3bN}WK)H$_TK5|2DcX~0>IRT_fO>MAURxA&Uof~jxczStu-KnB-n-W3412c;s87V5IJJyE zz{}(i_O=vRAlVs1#i~p+;Y5|D^)dMNby>IuA8`K|U%)5{ih)2|RaWMEyL5jr)X;cx zu58f@tKafOyHVTOp1-L+NKODWG6_T$jbJOr+f6hw}oTt+_(0D1j@&ee8r@&g^{>HBl6hJ7D^s?B5~CH&gCEepk6e()j)Ktr)M2 zT3uy|nPXqq*-?y}$ema1SGhw1CXxU<%Xc}PRri_CJ}?!?nZ6Rp+CdA6gg3TzO*BeI zNQyWDV{(?WJRE!N?ng*&cz)T$J#yJQf%?&(In*T4TIJ0#VLx7LeJwT;HkL=zx|c{N z7t(`h7)MUp*i8fZ_l}Hged_Fqf#+!{AHbo@yY^3(OS(C&IeT z8lZ^)s+w5OEvt+!i{5%SSWBwNP8bB;BY0@wBklG}d6Q9EDzl^y_wtwQ&!lAQ$|nbs zlkVeT(eL01hixi81y(_Ng>@qAS4jpQSg=xa`OX;FB>6+`P);FyZN71un@#{FrA3dn4Acy zl#lhFiLy>N7ydGGJo{&u`xfjiQn$^pB z2L{Hk9GC#O&a>5Fa23dxZjB|!A=~Cb^BY_%+1lO@C<EJ8htk-1h!ilWW)%qx8Ucf9*kUBz=F%AS&9u4uRNw_NIGY86X9A{{9X(_F9rrD*6gup?}d64#=m$jQgCDl2q@#m*U?^q3S9$ z$J8U0iEs>Rq8z|{ni^V>px}|#Ou<0Cozm52b!Se$Gr1*$)P;a3;fd?(a_e2STASMG zWEK;W4B`X#9jrp?Y@AEXtGKa@_BzDiEawl51bZ<+pU*s!U;a@*-cDla9jLVDaWFln4wot|~) zTtsN$18S1cyH>9^8Q@XAZ2lB9Lc2blG~UdMEJ- z_YNBf@JPqM|Lqr~KNWa_O4#|bA;UF2`6+9yaAyCpzyZbAPt*03Pq!SC9VNKTepne(y`R!Ys5LQ8}1V zP_6az-O>uG%&fFJ_Wmq_FOoZOcFR^5q_<4f(vxkxHpVcf4 zDsDHvRHF3U>}jlnl_$mrhPt`FuoG9E3&;=DYRBb$;l3^4!SyrK%g+yYO!Ws;Mxj)U zjEUr}nR=lo^Kst6N{Yz)Cuv1x^B2)@JTR2UwURn~afB2%L@_2$XNl#2N^ePQtDhwB z4ozcEll%h7yudWN^{hsYrPNztnq) zo61YL8B^CBCqv{bee}au#{JEUAkBTmC_}G@a?`>cUkpR<;makNf?Ey;#8DLvYxYIR zQ(HYCqfMl(E*w65`i^2tuFN5@lhpP==_KcC&aQc{y>3cz3mv(%=_T5x(rLP^r8Gk` z!sB)EpZoe3D)=Q{RmQ73ZWnls*@kCAGu%xjNs%6EX?s=Z?MbxqqK?E{Y{db%&7p6c zIQOU3=exGbF#a0~kBhetz%C`GJ2^1=1o~je#GpqGHHBIhl6eHzR!zt5<#y{~Pv1zJ z^)vLkOr-!Zssgr$WSOE)jWWG4@%ivPX}_CO^n9n^L4T*rst8Ti+W~PnjEdfRRC3;v zV7(nJx2#^0^=ZHv%}=A=cNTQ;Gn2m9&wHxajCW;feuiGA>*VlzvIO?RMSCOa z@tjb7J&wZtsGD}tAi~4(&a8q6ezvb@gLh*eo6cgfJJMDD7o?!JndaH$yog)%VOzvh zU{+YmD`-z(Cssu=w>9Dw8=3uako+kpzSL<-3;Tlx4x3z}uf%q(LlW|4E$npP zOFYQ&pH}G`@e}$%g2J{f(KiCzMyZf#3gUo{I`h!R)y-RT&(sM1zKnFJS7**9cIy2g zTAcVTi_1-PsyK`D?Y9PD^t8V{i93$@jbii6*X5SQRD{A#CX80Qb)MO^LwDrfXo~CP zCR0uj1*LKS3uyOd09tU74XeX9V35*^wk<88%*FFCesR(%b{|oioZhmxdN8Hic@&)E zBWR!e0eH~BXWRQChf7Qk*m=#62tQwPIklmo`cTD@loJ1M zg?bx^Z2N`>VFophdqDn{bczOQcO) z+~^ylDcx=+?HHD`1FO8aQfF#EC(CssKN+xGR$?%F3Ssp&I!y_g+Dk((O>nBjVeO|H z*=*n8YOmXg9rxIn`x#`-{AxhoEoz!8x8=qY5WM9hY~^vT*1L0QOi8|cV5ch?{mRG{?fE^xz!TgUt!(xzK0LNZbc`6)QN8MU5co{EJiO3MF|4WLkFLWl zrILp&G2)fTV@CgtXUK$co`8$&lh2%wD(zJIKb1zcarbPRu{9hgGDJR}nAvKiG~0Ma zWz43yu3ue32W2pb9$aXVUsLj$ z;e^OXJS{oNp~>(2YfWdWbH0If%nHv>O81reXvlJDmsX!h-$!Kb$kMYX6V}Y`=>}X)Q9@JVXsHx)Y#vJ-v4`bWunOEA*u(r-o(;kI$b|SlcBci%@&3I zBKB5T72|iJt@Kd(^7KSWuDK_ple*Gq&N>N!s%YQef<7sGDh3jn3pYGb5Dm-QhmWxQ z=3nI*nXCOS`=;>bbS|@awPvBSNHeRRnUkls>ib`&iefQ>qM#QiqbVxGZ}wxfCF2=t z#HZm(wwA%SUq$1>XozEXqEqsazLhHaBOV^*ar~5;?KkakRCm-yQSFvqTFD2itw}Ff zE$F|YgY(zU?YXBHL6V-Sa(bblvwA6Lq+0vtb2|Nz1j%%NJXQZzb4bSJ1ukV2qylj| z2@QQ1`FQL|&_^#hDMTl5S*_}46FxrB^`gV$(T8{$fNysi=i~Tm>2elm-|0R>hmw-p z2`jO?YL?V8c62ks0#uYW{_83BXUqT0dKH~k3&FiyVV0CTvZYbqr#l{{2(K{6@OKvbK zG;L}DEw?;3EepDF<2R3)%_OqAGEBf~RNvdBZX8GpFac8`V?vLyVq>?11yJ<=0))stFK5^w41>MUczCo4!@cCHZo36 z50Bz?s-jQtezFs{{OTN)%gwC+#WWW;3e51LIUy2?>{Re*A|)yBeGoQj+!5X%;K5P0 zFk4_3Jy{~Dm#piQo?f}LdHFuQRhe6qgwmOkRZwrLL)|;98h-(z_AQ$LJI!%_>Z<#C z5v5-d*h$HlKG$p=SoP}Of~t*e<53!OObBlk<)MS=VOkK$-x%4W&yyqb6l8T0f?I#- zd3%^E1&zQlWdb&tG6OAYt@)iV}%GODMmkLK(K$^IJZBzd3Xt;FOS5OKId%atH? ziaz(4Jv+EPeK3v$ewqWb|4a(#G^zWvCYMQEROC>|Ub{QiFG9}XiQxT~iJNt@ znVQ7Y)~BX9rK?mnaCoNFT&Vh6o2`0I&swef#IgUbH?!7J*2Y}m4%%KCt?JUT-Dyv@ ztI5p{4=aD+1=rbrCWZN7lyj#RK6uh=ilNp2aZlOBDbkUH*^}4naV>6jDQTP)t5Z~22+|B4 z%0Sd4BjxWRMK{i@s^_l?P)_sx(!gC6cgt0Gq|?A^ZsolYQ1>Ja9F1P$FdIx{%b}z9R1-a$PahPSVETakM_!MUE?_UI9F~ z7g&!dU|ThP&S|hw?9qIdAYdgK%)(5A^zo)iLelum_ zbwZ*GMxY(M%O}4wp-weIUN?L(#9P#K&rB$!#dt7kRU*bQo}uu|3((%_ug2LqV|&Zx z58R&RIK$+T_SqDbUuFieGG|FJ?$lvnJSk9|sMG8o_t`IaIlqT_kxiRkyx8j(raKjX zCPM9)b(7^_aDpuTGHMeR(3#Db@OZParf_njy5Xbt>WaR76ualHB|^=N4>9#ldbCs1 zIPkfP>rZD7k%}pAr?ILu2PcYtbbrlgSc+t=NJ%#_$ z>^RlVWY`BtUobFU00*T%B3zMQW$O2=UzTs(Nus#ihwes(8gu+d7E#F-|A?hG;y8W7 z$LfOpznkVdhkCc7KzwI-Bz;rJgh`~-5T(cYW8+%Ztn$D>zwZafgE!C5U$@_a61ntUXb_IUTzkxa2@n{qe2>S{mL zFvSPvS&*cq=~~V+#<-;=XlK6?cT2}%mqK0~gA9&1UsHe9Lwx9NzYlLZBBx)g-9bi! zh-tD+a){FV>)d}#i=B1+0{gBTZyKYg&XhZ=gdUw8B12a$2| zrB8o>D$ov#Dxb0vunB~c>$TfIp_^rd1KpYKB>IfTwHUa)e~%J%e9|3CQXU@XKHWx3 z*}XmE_5EjRU_nz|m?i!KriV;kZmcQo@_5?U`2W=`+Ipa7S+SCiy<$C14u)Yr88s-DZAhq1G^Jc$`?!x&sG*szUyi%k~C^ zvW0telc~B+`DB_&4Z?~hBJNq;Twj?z!%9=n7NX+ogVl*m`wY?PEBaIOe4E>mL-7dXr5WiT=$h%2Kdu40h3e$mjt-Fw zW1a){Lk5_H{+YkTc&nE5w`k}DU$tN05>k6Q+papQ%LN%{z7X=Wpu?l|+8Keq*I|Np zWec>6zV4Zv-a4vH7gBt5^Qrdy*3=MfnFQ)?CqgBg-hsL#1w-s&O8#C5$lKsJH zzh>3b702GP8@evMEef0&cq!rQa&DwsO{WD6t=~cQv%BG>N>W^4E>B!$;Z{7M-9sZs z639Coed5q79ZGj1!4ACA4CWtGM8+PY&jfufa~HXE(+xfR6sRIlAW1qsEd*zz2}585p_c2dz#k=UAWuZ=lv<4j|mXI1NLpD_#Tf$ul=aQHua(8 zQm+{}daWC%Lt8cFjtH^~#!+*lMRogH83}P-Y+#O02>P8hM)j6WV~x}g1_w`WpM*50 zaxBTo=)__uj?7(k5AKl{Y$c2TxU|xC@*;W7y0M07GPAlS=x}*Oe`EgL#&#s=cK1tz zg9NFeVdjfcYsZYNQot)L88XKpYR*zVLS5Vr2*S|H>K}{n>0F65yDs@F9qV6VXW{kx zNkVYt_-eFDZ`NS>17EbVjMYNWymhWLo>aw|b&@7SDD-m$dcdQdS8;vX2LFK?&agTw zTzJwl_;c=i))VM0!!vl6@S9K-X)5eiUWI_U9C=xD3(%2Qh0y6>Nx8t!mtDG`Jxgdd z>CrnS^clB}SI3D<#jF_ylr<2zoGv`?(H)E_x z6a%zYCezyg=F*$@DYoeN?Kp}aZ{N)P`bd?@IeL+JA^jK__hj8-=gkv|QlX-Mm100= zPW^jKCqu79k3lRH6T2A=-@-LJ?+tYe!Y;lBES6SNninoqrkH$k#{#YR5smbn?oO43 z_72W2V)uoM`8*{={X*zn`vhk?zraX)8pS}D*-0{$=U}cJC*yrY$-Pq_psOe}tsDPx zDp_DX#(RA`;KeVZr@Sq)ZbJV6dt_Ik1iVb?nXB<7U@pmuFDP?Go`&LVoo69qAeXB( zlPW|J)@)U|9rtFTwn;)<44Y))Bnw^Q);u&1cbU~t8~$`3x!B}Bq+qZ{KiU9iQd}LL zV-tCh%e6!+KH)OD)G$EkVZs{4?}1?C*viD(EeZNY|a_B`gM}u0`9Sbap$7s_WP#6VF60rcKVDN z-JzVOUlSc9p*iWB2>}rs1MfmggQ25Zi)Oc^44sLgo~bzuw~}I5 z;Zu8UXsd>%ko}v6<@TjL=5*U27DP>IPrD1Do9(kN-~hK3E`2#1;7XR%dW3qRg>5qa z&1S}y4g+NBXcd*RiF1(?XUW56zDWE~JpfeXkwnYn58`je9KL#i2W;w2z>tCRA-xS5$G%;vaNr_~v*{@_S zZeJ*`uFSFJE*B~m-{3D`p%Kx0r@o@^e^MmD2bkB><9Fj{@7(^UO0i-bUo52AMNf%E zng>Y{)SM)#L98!^`tacQ&+UWX-mBd5#kJ)OQg{WtCW$@oSqcd z+PpwzdZ)6L7%$vKJ7ZtDrQ^l{mPBqTg=*R>5N-^@hj7mdOQ?rr1pq`t5R>pvQNJf= zx}aB^liIhEKhfx!mRvq9ZTd2eN#W!LKdP4c;E5bYa9N^saHB=e(uEN)I!EeN`&L4% z<)Y*@q<<{%8UZ3hTz8TO*{lo)) z!mz8BTIo`0o1J@B|C`YBP|R3Zv|x=myt35E5o{7rmJjyKPM>}k_@bpe%n^Upj#~=% z_8Q1gS-eHud&NJp1ISf=W%p^4-v0RaIz7+j$K4g$FaQ>PF8GGwhq(eT3jrFABvUVv z&P|*|Dte|3R~+Uh-Xpg1xR;`C zySRRR%gVO@A?R~=u?U@`;|EIiazmmla(p?MVm7$li!sPFdQeLw<3u0tY5Yw;_s z4;Dw}R}SNfj`AGenc|P}$!iVAe0fP8MO&L@3i^ojkh`Oc4)gXax1vM)Z!e9SHRv;c zd&a1k>ml?bJ#!~iUs{RKsS!e!hCru&^D^0R#f-XM<96kMadzEI(%{*ZK>PrxwPm_Q zxa-R^IvM_b&V><}q?clC9n1DX?s?h)f34CbSXQqG-2!I{wRQqDLsefU;(N2Kw|c!jB%j!xmsx^k><;sT2NIA~-m{ z5aie`+swpVbJX?{P#WV(tY*f{KqHR-6ddmwevnwN@fQQ&1I?9EyRRcYt{`ZwkUzpT9l` z@~x%Zu0_Ysb7T3iTNmbQ`yxCw{ZXLjw~i#PI?$(G0P86;KlThKbRg%DD-G||`0Huk zX%C>dYk@<(N8WUC2K|m%lFG{Y6vEq2QB%_WatwH?if{FoZb~uD;7|2|8uHj?Ol@*N zlE;^elg_s8J_^v-={9n(UPA@6{Y>WPz_CjGu6M{RP%2VJmHOUcu{w8=nuMmvK3X$i z5R1rG^8Qw-=QRG*V{?o^tmL5pvHIpNO^@)*OVCUPR*c2g)B%#jfvV*?J1%k--C4Ck z3l7PyD;0HCf>7y40b9XyO`X*%NPRoZ`6fDYC^y7+8a=4S=zs<^W118<|3aI^=I9TO z>KZr~z(H+M!^^rOI53Jkbp{yn<4bg(6QE1TkZtNFx6T>06U&yPK0*lD_$jXT1U4R$? z>L7B=CraLgHERukza8!Y;;sRHWJ)v5>97jf_JNIK#An^K($l2jG_u@W-IPy&^(iQ} z^(|aAcWnNlt=71WrvDu)1k62L!IbQ}x=^lvvIbd*(^1s7`;l#=L%c6jfR$Mpdg+y&D#Gt6e=n`UhR{5~}_YP)2$&S;IJ zL~ePeu|0SLIEuJ$x{b?5h;P%fK-IJo_dP@;=#4H0zdlE0lu>eJIiKs@`5gz;CCrpW zufgh6%*D#DfZq{k;Y}J1^~a2npJ&i2$s)~iiW!id*Pp6$SZtrxxa_g$xAkoRP69H( ziN?d%Q!0c6ihFR@Vk{qXO4c`xyOwX!-Sh043k zZc7#ZBw%k4$*mlHKnbvHpKId#u_TYZ0)FwBy_uF9&Tk2U^`%e?QnUpDp`=qAJBy|t z8923&{jn6DbOV|7$}}9J*`wC{pAcT2{@UMupVC3GZHHTpQ6y5aW+YMg=xr1(6cEO` zNn9KF0GKS0HmFtrX~PP;X*E4S^q>Y8f+rHjSwH?!ilu(#6oyLYQ3BOPpWHc13F1lVt4fe<%Jgdjew_&C z*;%H-Uc!3VRS1H}7}UwM*VIrWU;Vy2u{~@Cu%>H zU|^C{>cK7$r#X>??hg9RDW?BrdY|zx(vD#z@jsF*w`O-1rGZXF@9Q53?bB?x7a{|6 zx>PqV_x$o=ESg>Pa3>o2kQl#3cYIVihY%7i`dhAC0;LP~67=!Xkt{lX?>r6h{6_4t zfVXs(NK%h3AFb5}ncbNE_16uBGAG1f(T2~m8naBHUkG2Gkq=L1-5x#3Zr{Tda%MOd47aqFIL|i8;Wx@`Xrsh__O#&&M@JV$1jvT zwGj4x0oPqMeF;{_ir!#*RjFqsNCMgKEFt@*ueHzJDd0gO^-+7j!(cPA2!i|1t*fQ; zUh2p%WQ&8y$$|6pUpe@um1FA;5+y;8NsN);h|fO5gFb7|q((ohT@1838ZGz$4)FUy z=xaL55|d2ArcG3j5=`~VkMsP~72Zf*s^^g80`x#YyBKW-0OWI2j-TAospk?P*O#cY zGQ`#0b-py$S&yL$D>YIkFBZ~F_q1N7{@qsg+lxe7=s_V6PE^yXoBnS&QJWQ}vUrB+ zT;?_MKu@_pkGP3kkl1uYG|P?xF(afj@MTL~==Z?zUnzy{IEK4km+~w-KMACJ9DU6N zAbmK1o?DuaKh4>jN_x#UN7u96!RNCV5f6qS2nmY=cQ4@QkC419T3$BStAdTz!gT`` zJ@*E=%5zvAw^>cwD?VV?`qfI#;(@k5+*CrQgHPq;1NG$9TS5i7_J4)UW(rnwo08iT z;9~8;KN&?uK1Bg_%|^t@Rh=&tYV{*P;GpZZ;0(fd7V8x7VPdE0WU##HeeECt);|dW z@f!op0(Y;KPKZR|}~1meD1g20Yb*pw4N({lIMR;m)4Igj?H< za;2HcWnt+^eZA#j`(|=bz^$B@5WriA%oc)Tne=P^H0RS?<@8IPxDU7Ie!0UAg- zx@EBu7U~#)^6%_a5pmmb{}$#7yGiir2}YOlFL@$yzXhcEm}{m&y?b{#+m%K>T8vVz z)CtYrZTDil3cLLq`hXPPQlx%S^Jf?3e~BO6^bzXOH7twWQ1*B^ZIuW>{1}p!2n3E3 zlIAN7*1ve-59J|4{k+rBu{>?sh&_vsfUAxa^2`bxPTK^sBq$C^UyD2x_^L5?h-(KPX zpeKMp)LnqsMf1#fXj-~m45lQZNvw{3i=Mhrr4fc~o#K(nX(1E-kyqyB;iY9qg$7zc znu+{qr|MXs%7W1;g;y&M79sgDV?o(U90hC;%ZU##Aiyhn2}t86Ah<)T$6pN2*(o^y zjZvjZh^E04V{Ul)>-3lv_i!V$j%RxDDOhf*p$SB6I48GPEjMlfIHmo-B_O<%xWv=f zJ(f0G1MlRNW`>ljKpbHSp%{bM)a-E2Z$I_2$^gaQp5F^7c0k|A8A9RN*1p)`<>}z9 z#EEyj=+FQPJK<>co2ae2@-)aL(+Im8a&g^C*#v08`7^c+QbT<}m6ri=H`Me^HRjy7 zb(ky|=Ft`0@)vQjEchMpl8LCJBZahIvjJbM>P~1SG}b66wcF{xEehKYDLN^Vw5P1I+@=2w<824^v+q z)%5rO52B!?N=;gkknW8JQ8DOlq&r4;52Te4kd~0{W`GPBQbPgh8WWIaARYc*^Zost z^ZS!?b~ax3b?@W(i08f6v5GX&GU&2s8!0SR?Oeplw(#o*F=c_A0w{hP+8+dS0aaWJEAzS{wdiy_r8>W|rOFrD)rj z;T$F?{tv~ue~ztqYym-e5ql1Pg4cJ}D*?>tZ|6=#2oRkdo~``mk9*7S(F%O5FJmg( zs;RzZOusUrz$lwzgwF>${fX#Hx&DgzkGNnNub==I3%OTwbp6aPYa)fA0najl9vs?% z#4_qFR~`0h*M6^5elU)%H`XO&0q;r5_hoL2e|Khg{7%GrZtcQ6Vj1{Nji0`IQw{k} z!DLssxUx7^4Ujn2!G-lPdp8sh6B6FpI`1;y^ak9%ABCjNd&#gznPyZ?BV_(UiWL9X zfiuP|NIPgR0E;T#UvzS<5OP;g>gsIAuDpu#27>$kBS5X&{N7n&9&JbfhaX=5;8^Fw zjn}r)s<1dyJA=h>;e(T6Q?dGiEe7Z!yn%PSr8=d8mVlY0m9Wc2>N#L4 z124x2?Rj%&>unQpzol4!%Imw;PYsK)tV7LWNF%r>m2`b7%JyGLUTHM3fagav0n~rx zfI2v6ozZgDV-3KhD19}zNH#k`6=r4ku>Ng(H-?GPnirjlvesc> z+$ydWKKJ7Pu@DfnuaNU(?%orRY##1)L_7da|MU2&w{z=UW#`R?Q>u~v?WM8^nZ{@t z;LGMOSs00`iPoKDrYi}V0PptXttkV1b8_Vm+pve|;u8Okss9D+7}vJ5@*Ti)L8k%r z|1X;8M6hA61jmjfM2vH61A9+Z5v_#52$=q{=2E z&G1uD>x%lvh%$X|LXB{B_KCIeVSxXpt&4M~rx^JZP@2gG{wvLoa)A6ap-y5ZIf6*g zOQ64U%~D-Ftq=*KOyBh3AapYDv|)*in%jHL;2|AiA( zq2ay4eIv^M(g~En!2E}*l!n-93Uo}kv8|VLXWyfm0e^%qy=v2!(w!2@=JkOW5b>nw zB<@AusnoHH70G9(z2YX@*0iRgi1ZXdBER4>6Dx$>8~%~td(`#$Y$)V)SX2%$cgofSa_cdInA#efMJ`y&%C~xNnKV)8dU$coLnd0GR1rc>rN8 zCjE7Q#m)IDVuQEK!98uYut;Fq>9@+b+LO8K)PQ%@;ZCN1rh&K=7f}dz;v$M&w9vnZ z!lCy{!n)$E3qwD;rVH27)Cn{OU7Q16+35GBJ=Yh5Q~76YC-lFcgT2k;Z5&zv2TKVF zAiIoNFf#4M*PW~0W$II)9@@kO6jS9eZ;N8JNC5@`Lusi{CO7!;`60Qp#~2{J;yy_3 ztH)c?W%N4fo{t)j9WnOUuOKiITEUr<9oW0&Pw(G-T zeaC;XcT@J8ITavBs&RPG^{s0mP2fEc!+3ZsqEl3PM1e4#(eQ^6|A79F`nIu|2pfg3 zJKJw@twyVBF?O3gynIb9n&ae+(xM_YPVLWihC91sp6H4aE^e>Z%!bbu#xzi5_I^W?fgGg;a#_SyZU(o;~>;QT3_+M=B5GT ze*qoSzvw&rDj}$NKHCR!bMc2toN;GXMe}s1FT@9IolFP+kbgYGyh5AoWjE%$1Bgff z-rE{SD4n-5{Nix2)h+a2j=wEIMZB!3c3p?d=)ccRxZnMaqF>%cqb)F7-U<9h_Lg&( z=f;E|j4PgFX4k7fVT1~0^lOT^O1AnZQ$|d$kuMXNMp6r)t__QK2QX!dMge8hU4etg z%+7e!t+HZFx!6d_2&}`)4}t6JE{FC>!lTm+Qiaz;5~h1yv7=qVv-`61cwGL$44*5u zQs2ZgBvcf#O1(#`T6kfVlfO@9L?|b}2R`5&%;3Y;xnIDkh*`FK)D;YDDB%AT>GfBb zmt_1PL#2uP)=%v8r{Pb;?e=Ca?yI(r31P!FTLfpp0j~3kgN>|55hG-IZO8pcn0BSD zeDR_9B==keWW|?csv+UoockH@PZ)j*V(?)%!4e52AiF6)oifcDpH1}Ec~8V~VBsmp zi;33+Qd_+JbMa!S|(&y zNOKvtj4ZI3@JoSgV|FXP)=KF~GM0N6Po<+O?#fXmr6U#v*YVckm(M7XnK|oT3cZ{ajU3k^;-1`$7axcq?*O~nGj!4wWDNb@Drh}B0wor3 z4pICR=%_)V)38DlR0C#Uz-Rfh7y!FIxq_M+xu~@X+?aRN?d&P&i{nj#4R*4|CVz1k zAICZfRXu)(35pW@5>RLRdTK(j0)HW$$=o9tN7(EuSuf3 zGifAhbL`hQ?Q{6Yv69nQSWKDsr&-V2-@x~4_FSnHCDw;EaHeZI%U~^16PcaQmK{mh z$NU|1vTOTh2wRU`IYqPeN~__XOf9D_&UTEs%%Gcb^l~uf+}H3$-+pLen5@izHhkft z4S{))Qr$Q226Uw+GB7Tq9XpUqT9q73)ns=6v?G#3m1 z={9ul|LP_jRDmit0p76TU%XLOj-1odl<>+{@tI0plI(vkIKv|T38>_KWb+y$ z@&h7l_qr5)GvOC4qrWzs$A!=CN^af?j2|LV1=4b{PSt@w&Mv6+ErYM;|A8*PpCekQ zHd~wN{wyn#vqaS17pi}B+?yo2XqKY&7v_$aLOdD^?s8oh;tR6!>>4rVEwXqdA~saR zJ-cU=Um6%3@HWs*ipu0;4dGlRUFNSo36I6&t>o_xdfg2Ci5)rNm;L zvG1+s`@QO4#HHidUibsykzSK{ix4-05`mKK5_9>uOl0?DmWP)EHhg`xBFicwBiz&b zCh(+L4p#1y?ijLa1{FMBUmBT*Fhc_yI%<=HL9#q^;wXJHojNRyp@tzReCOfu8iukl zF*dFJ@UV6Nk)MUYv_@0q6KRbNCWAJ^RyPr`86tx^$G~1vrY%ZUqq}MCHM*^#gGRIW znT+ea+00Eu$eYlRgrh;jDbmWl3(TiUK^fwUnr!d&apGWm@r=nfhztB_3q?v($|i_> zhOym_x_c$o`3T0HmZ6*aylNh=tPBdF4(h9Hg+@%Q?l=27G2Fb{%X*XcFK3o(M20mi z95+ha2z8yT+8Azrzg1UDUdD&J$Iyrur&of-bY{gf-9xx}HQ$Y|6DEEiGh)XOAI{OR zeR$r>#Hu$Ybg&P9RPmjLv?(UH=yi&dS`#825?@ez@vNOj(Z?)@5);F6pmf?WE}+(x zoLsrR5bdKuM>OXOYB_m$xYo^4?5eu;QqXJ|9~khbz&+~=QUYa0D>5{rXg#w-?kDm+ zGNa!KflSO?Fe_U#q-Jm7hwQ|JM|OoFI(q4iI9+CL@{Yb()Nrv~V;X(r%kEtv`it%+ zQT=RcuDFaJ5V|OgPhVx(f#;L?)?uFVEPNb%=_FLyT+s5ENIlDae!=ZyxRu&Gdw&tK z#B6~OPfW2RQp8^+RO?n8+(@!-b^WTD(Wqa`}ZpVXX&p}u#hxFflkpv)35N_zeYCJ9WFUH_H1q|)}pwEvG%&dv!rx0 zrBXcwHFv#|Znt$05p5E<&5?s%k?=8wL zF$2feU}p#P<$w=NFE>8Ej4?cxOT5bvjn$*H@riD#if*2gq*Mn*b8ZJB}qV zc;W{B8;>sM_)#@<&SZxD4(2KSY{aKeRj4t~rj}_UI+viA?X8jIr&mz4-(}1GuC6nl zHsK64ZO8JnltBOrw2p1Dn(s%6s(sh5uDTseAtIryo^cA2NE!o;{ErmF;1}brkl){r zhy#I@`f*ZFnV43RGJHobUOP>I z^6v!&8;wgKm95-^g-T&lk}kfG1K|ny)BOkP#QI7ywn-UVvO)a z)uGO!cqHyu=EWIVl5!70I;-DUhlk5SWMTk1+E-=49Nu_3!!2w}?L<@v`3@uRORS#s zr@KaAozRxREaa+qJ58-r4w8!xcXAC6GNI^vG1PbV0%Q?Vb8-{+xXRNXh)=$LEd?nn zuXkVb8e-1$Y5E_SS*Fy;g2}YjS1h?R3nH?4tCGm^E%165q|R2Tkd1rru3TKP`o#W4 z=jfgfx>>E5<*0kz=0Se{Lf9xp((1Iw0*$ zIICUhFM5}tcY&Ak{;yiKHFl}zFX;_04PmJ%E261Rt3IU+Dn&(_VKw2ZDrl4y`%-P@ z4LM_G_@6gtv`tp%iZh;B({B3neJD2jNnGiw-mrk#{M8^?&8^LTB<-8&vmX_XnWpf? zhsQHry;S1tM8GJd3po1#OC6f5SqUkRHoPp2!y$pkrbU2x%(?>2iHneZDQ*ww}@>t#zW2MLiRJcU4qXFys9wAx?)bEY_7^b4H^xa z^;T^e#1z3YG2m9ix%}vcGzz8Iu%1!$OXKCQR9uqvd?Zl{7=XkQ^Iex5V5ydpcG^}9 z50L5l;C_dt7JR0RNA`eTY+Ht7Rfv8`@RVT(ARzSAT+lOy#@wW>rcUnMF0-m|9;i%Z&b}s ztdEJSN;h-1*3dS(0O#gVQSaRp7Axib2_2VMJRbZ3$x?qVk%lW3yLKJu6E0?21DWso z4GNCNqT1GdzW{m{o=ehog_S>NO4o_#0lZ%}a-UqIW(>QPd zRZN1 z?e>c!Lw*X*&Bs~%W*`A-6YPW{C@fif+j0H-*ax;=<1PcAeV~E8b@-+O{5+Lju1GYl ziAM+bh z7SeuOcqBpXj3~1=G~;;jQ>x*9lScv){mgYk;mbaJ#qbdM@grs`%=QK_<2`p>2u$`a zBk~!JOV-sWi(i@oRdtaXNADDptcBctYqA1f2n(eAb; zJHXZxjTZeE^d*??2ijtasl*n3BDmiXAe7q};V?-D1F}dd(Yrl?mmN$Szj$be>gub zkzC_;U)ve)r;cV_v(_Y8!54E8vY_vsHs~$QmO{_s5ONK>3c4diLD@enm59fW|4;~VCG@Irt2jOCzmP{7w zo4^|1ASu{u0qI2RTR48~zD0MIo-ZF19QVYAG~p&<@jmIq&hn6!n8FNke~MaM@tRE? zbZF8iDj~TbUSLhdEZCc_1d~iz<(NQ6jcmsiFUszhctv3EP6e1C0c3CQV*M6?R90T( z4Vwd}mID%Vf_cm>W5b4aB!T-0g?)X4(QhZz_6Rpy?cJvb>B<|R4LHF3QY#MpN_@iS z=*B4$4rTQ29b>&~dq;$Q*}&i(xfV(V=_#%4RDv53O98Gdcb)CBeZ5`ffa*aVK<(*)&mtaQcYI&{d35qGei{|4c}Eo!)5)FgAg z`{8pC=5tLKADPy-?rtqHn+0l+AmiAvK z;-Ayxp9?i5liZE=0s~hPS@c>My5c#?K8D(u$3-K5OnblR-$@44iHxA|%@6vBmIYuT zdXgo4St;*A>qv_~+jKjfgR7Vv-CkJ}hTC)k0K{m=9`{d-9S@l4e166#xd$Q43=0Tm zTlWb#VxmI>CD;()-tpcYK>cb#ebDxKjAQ{=+=!;l5RJ`U6Y+Y;-Y7Spzq|`6VOfEB z2(>ED0RH!0;0 zoenA8au8Jd42YlqDofm z;AnEQec#d{w9BCc1dk=6AA3*IcPq;=;zKY3gomTt-qvjpg(HbQh)UB9bW+0I>YB+@ zgd@&|5wz#Z{QFS|UNq!_~76qr@9%OA4lo$ z8U6Ww=1%jRf}9^Q?GSNN6j}xX0ZnofmG!rxPl_uQ_j}H1n-IyGAp*sC>sQcqEgY{u z`$feqbsuw6?1ko`yBpzMUFzsXk&a_OjNX%gbF7FMk*Jt)HXC&-5p8;`d=V*UF`KWqz&33m?%o_G7k%SK}e z4d)!{Rsp`2Oy_H*ziwuy_ny%ZTL`HE+C*7atdxiY-|UhuO10FU4n^by=jnV7s9Qx9 zVpa}Vhd#*hp}ixNN2jqDHZy@^v$hzkgNHyoTTEJ2?94{#aTJQA@-7j=P~849Zy43!kr*2fA+={OfRoniakjIOe7$kfKEl$ z!aQ~C#S5?xn&cZ5#z%NPz8YGrsg}~t^YF<1OrYcd z5`|R|CMW_t4{>f*6q{N~V?E=uS6{pyuY+zSV7n6qAb59JFA_fFpP6#mxzf?RNR@OM z2*Hg=uX05m3HU4Jl!CGU$XuQ8kfKj9@ks-U#{p-( zV37H`9tCbN?w+!TmL7P&+gcB_xq?#~P!U{cYWutd?k}@8X<#w`$$-@k3TUFN)?&BA zqHl1}@+>W>c5op%bomplYQ@lJ&sLl>3MpVIH_`p=vBc~R+_!MB_W8lq{;8!y;OM_*Fv^G-Fu01%Mynb%O3$ANUbZdun50z zdU`LV0J<;o0nyKqbKd6KOcW$TYw~Q5l+duhNi;*TY=MBX$Q@_FNHjSz=4N_ULTcxy zAG+^|;i+YiByV+ivmsB4+-u1Cp%uD|ZS0GgI3glK`Qj(eYRU!-uNSKldvfe^A+ba7 zzWDE#&e$*3lP0pz6Z*`*$#&cqN4*?DX=wL?2XkxT**@9o`cuDVVz0toF8I7c{C09x zeiU^ch{+0aocrCB{Sa)%?Firb&x1+Bq0_HO^`kZ2d3+Jllz$@;pNtpg6QT9zBjTQ7 z`W;=!9boni@_`&(Y${e_HpU_0tMXC z?{(|c%;{hnz9^UVS!w*5j%yz6B*$=jFC7b=U@h!6>%V@IXD14Ob7s(sxaWDuQ53#u3(~Q&Ny)E=%}CBT z=sjeZ;&x6=1$PLHmlZdZ!_RPKQ&**WbGYS-#E<1qZ4#l;X??^;?|xTX#LiK8K~bHr zjD}d6Rx|AIGgJhyT`sB}6M(xiMTUUWS}ZeM{{oogKQzX#0BY*l<7-+P)<}e9%TB7Jh>8#q{?wc|K!^SyiejM@Ik9}`QCyt$n>Y&!73g@+Q-=Lvu7aP zR@V~X9C}!IL75}Up2tU%3$R<5eh*%)gln`Ec|K09k!2xkvJllVMwBSo#F9|FHcY%L z1#DR}+(0ZWa_o7nU!4KssJ5?5`{#Pr3$fPDf|R2*Vt z)V7AL1p3}28gvJ+I3VuY#w}}xSFaNFEC!U(zXOB2(I!2^G)8= zM(oE0jYM1cj`129O!YN-&?>+{gNCVAfx(f(-ce$RdxTHjW!zn}O0~$&jQDEN}K<5(f zWOtCmwQm_3M569VM1-C*rib3DrXqZyj`Lj5{jz65l{QA(!#rle(R3wKs8C%mCYP2< zRjSURZ;r3`X)5DSx`38mDAV2J6|fpF@RtOM5iM&aHv@q^^J|x?d`w`lk>r#ho>tnO zcy0ggNi9uA9r7eV9t67Lg&;FY7W0pmU$Vw6ZB7Hm0wWQj-b@Cy9t7_;<{`jZhN0ad zceP1A#vX?3FAE8&fG_|`InJEd!k{&B6!X{gJ-&e6_i&n`&}wJ30L-=LMXK86>!%FEp0)J)MI9@7_;o^5PT z6v3{&hpOP;vrkS^Y2h{AHwmaUeDh<4C!H`a|HDDeGz7Q=@a)r{z>IC>0aIgKTQgOs z6R`ZD`|dx1(n=1OyG4@L(+qf6S%hUP@9rmRWh3pgh_AlFn4e2msfG5B@yu?;p0wBb z>H1@0wK*9KVSsQ~43Utu{{41|xw#=hT&-n#&p9uFgF^|;-4{AA`aiQ(?9`PC*@J~- zKoDC147#NHy4^_4R`*6p#&zrem}E^~>ULlmzH)zvKf~+4 zC#J1c-!{vY~_{hhLSPJjF3?W5?q z3u|r63)jdPd!_`)zq@$ z|6__Zf>Pp~+ogzOF%c~MLFMr$SDd-BOsVjD1Td({mzC}m@BNs~Ga_s*$DBD>{i01^d_HdbKGXlKggeq2 z+RQ#GbWxgi8!4Z68=rCjGQnNknH}VEp4ssnX@-_mv$}LqojeX6;1f&8k zb8i&u)SCqk<^YnioxuFSp+)S7{@P`)0_`&~Vhb(6qxmT+ejEeb?QM9p;#!7t9A$tP zQRx>kHFnZ3TFFWc69nTpBNIasO#K4L^SfU>l1e@dHT^|8a!9ize)A6N&j>*Xjx}yE zyzA3fWmqaj{g{@@c08oCS%h5Q#>~+ez(W0;(gUA+ypn(WSTq3{#Gw9c6{FSSmf@?7 zu$aKPES-SM0usfIhnrdm;Ic4%Ib43b4DXVKaN-_S8nPZEtW$|4FD(41rtyYzdk#a~ zv3r48Y)wGRO`~4@U99>k@={iVGaVmO^0Bv*z-BB z%5tcPm~3{3p;*-RAo>MJU03Wj02Id5$#p(YVUmXFyxF%?Qoe%#JK4zEjT(icbsEGr zX6U|`pHXy8`U4z(Cy=L-R0HdMTfjzr6VOyw4fr;o3geYA9|ljq3oX{A7SukGoo=x@ zyg$BT#9$dA=u2$4y0KK|4*i^3sh*DT$)Z!lxIRk9%R5|)?k{`$X6V!}vcEKRGddEVtM^=q|r#~>Co zE)L$y5=tHMw;>-2ViSyfg{zDBjHiwP5jo24Lz5YXyb6b1T515BiTmua7)DQ|Y?ug~ z*L)@#MI3QjOB{u>T+p{Dt6R+P=`Nn*ESC|AA}}*9qLOME7LoM90;=h? zqz}of$BO2h{4z;pcKhK$3;dnZFu-sjB*vijxc}S?=Y1Bh{Y8LS7gX?1vHYm+d%%{H>);Z?{2UD0%=-ymrm_wRP%!PjVG*z-!qb!!0*4f&S}Dh%KYx`@Z1P z=;UZO)b}NT-kRr}ph!%nhZf`ly>`e9#|M(AkbA-BUrUPD)-9J(E4lACL)Gpf-*X1| zoyIp-A&blF@v?dOq=cM+hKh$qr)oj&tFbuNW37AjkI8NiVzm&K(qbn22%q;j|LLTx z8g7-gKhfI5r59()1iu6-u_&z^*E}Lr1890_xp;`h!Z>W(MTl}e&EnDrH%)ewQ6C16 zmW}!6qeYR%<&4|xLu=u=Q^b(;=2(p_9xBbw#t|0I@f z@LwgP7K4lpqBtGbc?0U++{TP0{^POZJH2c!EwVDc>m>eZ0%~j=dp=O@XdiuCfG%S@ zQ+b$yBeJ_bX8isHp7Y{e#04^Q(Rm2566jQluY-MnP19iO^YjNgJ?&$1R$2))b>dr- zK6A}l>+vVjy;sMHuSxd20pqGW@E?`dK}T?zrSvum56|{Is9DV4xE;TPJBcE{)}(zN zfAeTrfGg{+a6Ys~G@fWL;9GzZhFYK2^aJ807ut--yarZMOPo5Q9s;Dmh+*5Idx%i8 zn?Op%Q5}G@SkMuIH*k=Vn`OeLrd9Dji=}0H>vUNW#9$Ng!N=3m_B!n~9dMLT2FSe# zD&oa#J9zXfx$c<4typ+HLX~!A1~f74ks;}qyN_^+EmdM3#MY;6^C2HL$8qqmlIM*Oy)p}=%dbLD^pP`tCGfl4(X^}W-|0WO{h!@juN$`&^OsFEhWtLf9H+VlqR zQ;FOFYh}>dHW28fAaz|_Qcbwke_I-e?UfSn5hYgWM%%8-smyI+K+g6g??OaB9G?$} z%S|VqK1%~=q^MptB3dbC&v*4ki6N%T_v(mBViH<)!sN6i4Bz{_1L}lq1Q}Jj#c5TXp|H%?@zZw8%c5GH&%A$ti zwp>vbb{pyYCX(cU9SXRvV~n}90F~7Caz&=TOaLUNFPaBn>|smgod>GNo-m^E6J(h7 z-va~C11iJIi+h(BgV!&NC9ey)H*<6uh*);k3nMDJth2l#%-8N9<>dfT+ME}ip_`>; z4)G~^yB>AV@L2RI^X1}n3mv7hz~V*NB<1$rPVjOPEgbYJONuPKsQsPOxdfJ!I?jFQ z=LgxaCWpihxgD*M=uN7)YUQ4{rQ|B}2X{{n?-z-Ya)k*Fu2m~t__w=2-=<1ioQc~T z#bdjEjQ;(5<^9q0YGMogFc>z)IKTE+{DjQriioK>bna`~;M7$={S^74nm^u@&!O=v z`2#osvM%@*(O@uj?OMXU93?A5*V`GYg@Av*Zun#jamP1)aWWAl*dy z42|=ruEw858eX;}^KTaq^_DdMWxdAw{Q)U;NowfrdH0MZUIcDfs-+sLK)Taq$WO1E?Khm=F&)P7fh@KGO)~E{`-zAGdxs^=2e?a;S&vArG+Jvs zsCf}SLj8kzVY@`8QkVt6Av8&KG!`x_!5ytwk`&ARUVm}*DFv2&YJT+D^+0W&pq#zIa& z-4V@UIm~}i&REQ3^|;8*#!dow7vEO~v7>^GkE=I6xgLG5t9 zaCzpd_`g4V-O;y#yL?)lO_|V5U^Ql>p#^@Sgygti@2op>SG!e=YywwxS(>ICk~a09VFQKc_Yk0=4GUhFHx1qBpwa% z2{~s%Y^YNgw_gHp%MwL1ot5hF~ilOoF@5It4qzBIWunH!mF9ILE6Fb{d*NHe|Nd%0(chYkVJ1y4_^rw z=05DdX)x@(K5Mr9X~<`_Ot4>Eu!7@SabtvF^lPSr!AY+{cyK8}$v8!pdO6DQ>Nc#} z{#Q&Ji1 zjUnF8T_d|~L53&n?{;$sDIuRt8&ern;h5uR@`9Hz@1rtv?G zdy2?164xV@WFP^zqvj=^S}`+Q9(I3peT#%I)JViT5Gg8OF^3`!R~Y%`Teo#vx{uqO zj2}~56uM91^;G>XcZW3{U139y9z;rpQVUPCdV$J+^_96V9+MpA@N*Z!+qOr{(L+d^B5}F>vgd{2xfTsXopae zdRy<~wR#!q9)S-P!8i=Fvwkd52}OMP(O>l_{_ zamJENg+BsH2?QlR5*~lc5YJCK^qa6es~)O9*l5O$lHK^|#>)j}z~Q~@%E|Q7q}nYH z1W~5XPkGaEqo6Z4Rt-H8D@F?Rg9$U*-UJPgNvdkw5Dt3I*P3ZB=RVa5=wIF~aT&(OtAFWyrYh4?Lx18V1(wJgBx)H5Cu!yPkP^2^ z#@ys$pwY{}u}CPT-w7t6ut{$u@00qv>QyyWD|E)e*U0LEf5CM7Poa?TA0@~0XS#9R z&obp-Wa3)|*X>*CPK)ctm#PE9VG9h$y=El;bBhJ!!@}992(h+X=4D$NsL@~hBuO3e zN9^j!w{{zW`;q!BtYGJi%YNZ$EE?Qe)npWId_FsD`d?oyT71#?O;a_4YGWa3!ME|A zaVE&!=GyugbEGiN*c05{lV7gO*D~G-Zg!F~lq1;up8VYIV9aV*T<>%zjp4%TX}eJ1 zKvuwk!uNE#d*Uorq^PSoUM3HcUZM45cL87V05|V+41Ixhp!mLC@h3ivf^5&Xmij%O zJ$z@V>#Y;m1`8~>juU(1vN(y4akq7Eq%Phj6SS-&**fCRr{u>X z@2r9D&%GpES@dP4uD60*ir0D}v^tzS_SFB_ELn!MI^1zo(xkx;+(m zU`b7(CzizQSvz*NBBdO}aQlV+`9Ba~8SEZ;2PI6Fr`g1t3UC!qNZkl`oZnx6l^}dq zilr@V==7}~s0jeZLAEHe|Q2oy?DR0r95x?=)BE*`|?2n7pS7wsMSqb)3px z+Sy4z-Y3?R@@Iv|p=`*fZ9$BM|x3{*p-vs2)`s;`GTquU3WMAJP`61(xRU z7>&d~_mwL54Jl9JwY7SqgzcrWG7-8}Z**G6E6QL0q(Z8jvWHDl=Au|dN}p=It)gw! ze3gmc*GX5f)%~BC>gc4k^IOHJNs4 zcN7uz?ZkQp>ConCEvo7otim+R_XfJfaC^qkz1z1d!^8ZM*D%<#?ktQTgA(#J^sO41=9TN$o zq>Y1HmRwH{!li81CY)pN#2T2Wg@n{nUvGi=#%hHae0s*pYSyDC$%)^DwmWfE8kJ{z z;yW6O1Dk(2+9hVjv`S=enq1sjgYQ#2X6h1eA$Z>jMrfi__yX{$?4PYqW@V-_g?>dh zFXvnCuzx`I!}t-=PV@;y$Juw7YIxXXi3816jxwJ|%O~%iv*);mD|Zm-x&VcuXg)+p zTHmLRTS}Za9@|SW<;-CTi4JJu1C93keC9+Fd4v#77ZLzVddkyPj7}4i#t@_{mBO?7 z*xl_5?Xu+zQn)GsW$Ij6AY%GnX(k;`jm z?J!#!Z({iKXj%~|p11`lg8H!nK=Y3Tg@>uK`o{Jf6uW;`UHTB;f1X z8<{AM!|z)N0eD{udwWIr_&8_}@wIUdKSQN0r*Z>0_!ImDIrD^0ttW@)b+Yl)a-m4^ z7c;lhg?+WUmgM1q!M$e|T)$Cm0B5C1-Sp;pQ+!jD=!twX22be70{dqF7a@4TBM+S? z=L^m&#PPsy_KudpsM2T7AF_`$B+tschlalKABr ztt<1TiU`176$bO(3IMeLz;XyjvPBR(jHq3}_E>!lt+Pa2{gQBA+FKlgrg{!F4))FZND`DS{3{dj zM=P^VN}<0hNb24b@njAp{?gmvOX1}W$K2-1(Uq^)syez{?xxpaN`U!9$dH{*r=P=r ztuEaeh`-OybU8VW?N$>Qh6sI=U|fDdIJK&`5uip$$TvXL93eRTF6M|W*ezVKhvdb<4w)C2=ovBsues68E}w= zA(VxfEeZ`fEY}Y?Vb?%n{*5Kp`)kst%aZ8Ssw&4)11asa<>ghsZaDwtfdlQl8GC!S z>TvJr9k#iUh#ZeQy%Q6>namTDY*9;LQBS=cBxO#=>48e6&6G3fuoSL22h@r1dOq>_ z&sF^Y(Zw7{i=(EfBjeK{oC?X@GO7VMYu+V^5sGw|%px5cXd};xP5c=~>#4b`9w)p; zT9*RA*{VGw_qgoq2}|{rThyuvId3YlB;WO#u-o?u<{jt4TAg0aw!EX)tOv+ZSBf%? z-$~=3(>ti2Q9gpG<-_3fd0L6Vk2T#xMBVdg73dNd^UyB?Cu`k3cVFJ(@(I}@GYKOG z7ZjTY<#Vn8m#HS_sJL}Ao&c8=V;(#w)bCvVHLpsO1E-?XtfLzz5%AsdmS0OA?$_%% zO%12NhvAta^r;K|Eb`McJ1YYD=cW>Q7c~Xj8?k6OZ~jWR^gHOT(CM9j)EV7$ax=wB~;GG zKiV1iEHdNygrh`IGvYt|G)iB1;sH<1WdgTXVd`#`kK6Tb=IEF_3G{{U1#f zXhn>#`n#`U_4M-q1<}3C)^gv`jsXrY^_k@2u2sTGAai!yoUymAn*#bfO=f7XCIZoY&3*Ah#sc?f8@Cgc^H)5UoM6VH?w> zkw`IL5&3PdaQDAntl{>)Jnk|O_vExSf-3x}LjKTE)GdNmFK3-NV6$YA%vWmfsAT1mvaelF6Z@ahx@)%_c7lh*a&9e#Xh`9}P+&E#f|0{}@d;(7h_bV5w{ko}IR+~B zj&Pz0$M&WsnnWBgpDCGKhz@TM_GMejeEi*^#|^;c-PfX9?ZNGRuUDl$iVuAZ>qZNB zCWvl#K;Kw3~hdH|I!y+Z&2L8N!2i%1s;JxB>fKswTU@6tQcK|wlF zrS~p1^!lE}>$>mf`Q9O&)#d#HP>2m$8#*l+gHoqLM88Mc(T#6ceKyubLJUC`vPVj=Px|lE`PbHQD-W= z?Q>^7`Ikup8ur)+?v8FPk@J@c%V;!FEOS-%`p_>Pwg6KZK=0OUwjyyweAnhQa@LH) zAyxQOdXzyx6iz7h-Kn-YV@z7 z6+SPeF7RQ->;qYivg+NghddB=6))K@!(v2?*AJLUZ3-&j20-Qvm$A)zlX?++12}Q@ z8L%&jCClC>_yB{#{|&<35SwBZuIT*wf~*DFuW3o{5Pv{-z^P6|hr>%7MVtN1%E?Ff zA#+##aJ@?I-GE-7bv4Wv8Bs;hE)QE9-!9s0%g5XICiDt8)_YbQmV@y{1x|#29g6?b z5rqw}OH~WKAxYj>U`l(=Gr=3nDvaag(<>OcQ$EV#&XIMlg996hn)#x)TZKo1N^106x9@8PScLCSdAAG#03}NpY z&4`ttl_|cXd?TU4*95*FTas^8?QO#Ox{d=g z3j_tvT_l#jSwDy1sytKfK%a?+_J<*})%xLl{ywA5V-bRUue7^^5u1vud_PE^6iM)c zpiwb6&P9%~tQvkFJ67)FDJe2Sahh)ExB2kPwbGN3(u$NYN?Nfbidx3S*2!%+tJ}XL zP<~j(4pCG(H=9`L+TwP2U17~=7v;hAUQias*BO^eiRlFPClkQXu0+=Z?yD)NDU=qS z=bibP@wMRvJ*ch?5N|@A$M0*G;W3UN)5G?*ZVPc0IDNnQ*Xw4Lu;ALjew?C5+c^hVn z%jFaYQK>VfaNv31pZMYq;F|5cLYnj=8ZK4*XDVKygvDx`qRcbqY9C$OSvqm5KHae? z`%tc1yHYf>MaOQ-V)}8l;h6DifntJCS=1(((HKrqMzo!wWeZB^ra~JgKK^HB-=f(&Y%b8g zPT6(e-fB*R=iXMe=t2BU?lrmV3mY@O0^2^%=P;r#0RJ5c{3a-M{m!0J;s;@-l`CTl zR)9SP7g2kV4(&(sA3PJ;$tB&KVh+>18*)P{(zY$MGq$AbN>7w~(Dh27)hB*op=#Bx zg=|f2R<#(f=QmdQne~k4la5yoismt*hXL*v)QIcHiQFU=m1NdxHhASNx8vq8+gaJa zP2#fD-3wg=562S%cOH#vjDFm=boV$3V8hL}38h`h5bk)~&YK-@vU;g;0^-N(xaXcU zqm*lBo%z~^`0}C)oQKFHwf@(gF-WTdSg*{(=%Wl%;&a(6v^N>Gs?=9JJZwC38Lx4n zNxmHuun!?BkLoBXBj&T0Ii+kHOJ=M|&6LUB1M9`zV7V1(;6rk z6fPT^^`Ti$D0@}KR!sqULdQLW>cZl_O$CtsnzVk=%pbx~k49ojbg_@fa~lB6J$t>g zk{#mRs)9Obl2NkMq?cOV2jJ-?KdSl#=b1&$?sizUJNLwgz8TfB<*QJrpPM9*iUP%4Vmt#iEuv0!Y@ zN5z@W{wkU8r)Eqm;_TOFK) zzl_V^+qdUsLU*o0%%X2HdC2o7n$%=dZh7YX(=-5v`A+U+RI;=d1<->$bx$WTJbp#M z*C%%Kkxf^C;>7~_?p2Y}HTl1Y7e z)u*=a)O5>u<&!!0Paomk~J zf(5CpIz|0eR<&wyKT44UnW__hGg_pST}dx_^4B$t+V9-dJ!_T<{!?tN-=ddvB{9 zw@SuvGjWp0*W@0FR*|gRpOV_%&*Q4SNl%Yz?T!Hls@92d4y5J7nAxA2$n8i_%s zCvEek9+*VL09AdOUJ;;n=OBH|2fcqdst|l7U9=onN=i-e4#Tm?*TyS;D-&O_YlZiA zfsd<;-*dAuG-G3cD$tJYkMd9SnV0K2J2+IB3|96qy+!B-OBJJ@?R=770Bt%s(Kgz| zR2>*qark{&o>IuriRuLYBOwhAi8)}0u_-TAWJMqRLD!Ve1}kcrfIO8zzpeUE?$?{z zgAbT^GUhqS;RPjfHJ^w)$>{^;BW8(vcmtF5Hd4&rxc(-I4Nmj#mXi?oFzNmAHZ8h39ex__(`Q_JDFy#EuE> zJ)eokXX2;rbmhW67HU;sj(cwy4^~C0FYK+hA3-slgQCWhowQrzM1Rni0J^-*s`>3l9K*bvL+%nH8`cn7sBG-} zTjwu+K{n4FN_3qu0+f6(BZw33-CP2`R>ge|IsYQy+93zK zpsSlb7HnfPC>v3y$Uld@qw5TR{)3Ps+*`_`0!Nr>lRip!-3*~~AhX||qDvx`;;R$X z{i{#G+!4*ULXZKli7KAJReKG7C%<&gMWjgm2#YOOfb!rO*8+R(xJn_rjOZ}~yVk{H zOU`D_!ZF}ku-N?5jyWETP#r$62PK7eXxp#Igs=5v6%6I!1@X_1a+8XtVZCXxkgzoOeC`GwLQk0OQ&? zyjwe2V7@N94k(EB6o2d}+@EAZ^(0!FJQ=y7d+RFMT5SP+I-|ZsMUb~YPohN?`oSfG z_2QgD&q2+hL%jROwq2ZoHhG_OQiFG(OPY!r*dY=(b`|#_jerrgCAJ0-6oF;+DP)sIC;an2g$x)>33H0i{fnCx$mdraqr_+ECD60 zFNjMsm+%nhhe)m{w?COmdGm@Ac)#L&?)fxKIz@c^28~~^t`VtZ?;RPEzdEtzs?lFA!J4b6prEUl)Jc=%W z{XeKtc+7y4+|WOJoSn-)U;nsOGKZTb@VELtAj&CV`u0IlIXs?H(M-01%_A@wxQAXc z9Ma4JFWybY z=*c&#*U7Pb@ff03c$(EgxbDVXEzn8kiJ^UkKJ$M40t{#eJ;okiXL{k<%&>A zMn2ph(xqN677I$01;axN`hk$kq=)_Na59+(=ajK?v-HIcX5GWZ-VPEWKE>sg{qjY- z2!Cw;Ii!yIISYFg(#oq@@*CJ=dQYvKu@BrbEt>+^=G>9DZK-od+F=zV`U+Xwy`8kL zhLy1Ox5+uTeP?%PnqDK8%t)JwAvGH@_j>&px!J`wooGK4pBmAP5>o{kzC?jODk0-j z9Qf*!{No?>B?k*da`@B*BEV*tcO|XZT?jOBDz#Qq(xOz7s zeU6s{@(kXCHGb{7Y3V_BeqhelQ07-f)|&jenjC`eMKCnSwv*2Amq=cVB!|~~`&+t; zbFCU4s!rFLA-CI#o3oW3!*b=dnE6>c&(SsVcYrmuKL--k3KPryLm7@uy082XlJyoM zFXtdI(kF#44)CFEZE`A$?eFlTLtjI4Y`9EYc*wVZ*h&Jms#zJ?`{VJbC?G@}KC+N6 zu#jgpKAhU~fiNV5bAAMGwRr&hAF+2*ZPFPPob(y;tST5ew}#^K-OSVl>NYtB^f99M z(Bg#aBrYYod|RV<-M=1DP`T3Q=X4w&H`Tv=`&FWvFa6VBdDG#9Y-Dh*U@v%*U4L*~ zqHU^fn`3}&&}(WRwObnC_dCavoJ537PuGVZZL17lCJ|Kh&6O?p_FLV z{{JclERFKHk}(*@+TL7%Oyd(bC0n*?zM9JcYxA=G$elp^@k!101Ac1$fnAQb+Q;1) znI96g>!1`YZj9^fo)4006g{tyNh*3DP4LH7uBgUFf%=EMx$gg#kmqL+E55o>d^Des zyM6aT%!B29=L?Mr1|LCn_i!tmvye%u%Cu0-w%@nrN=FLiB?cTNMa)lMQa!pCMN=Q? ze^)psGY1@B(+a(39ktOG&0XeXALP>W(pll+kwE={aM^=e>P`ZscBK~N=Xa-L)7q>& zJKozU*SsEY;qkHtRi;O0kSqPCaZ zp{snm(=dz#@z?{jT32W}@aq5u1~Yp~@gE3g^Vp!u5D?YXg6VgG4tbPh!X*$E3b-V- z{7HYhW>#HbN}78nHTEMvEbfhGs)Cos728|Usoqx3>o%PJWQI=|_&ra^fCBj8Sh@{n zT})>VP`+Rf$Ba zZOi*pvc6=XRM=RlP3NqpIrb{!`gusTumxh90CxIT?kO0|rH(!Zw4;0-LpF#%i@ySu z(&ry%UU#V%3NgA$t?4P$PU9%^Xkag6e6rw-d>47v9R*+AN=iM1*P92Ov{Od7v}Y77 z@qzt~h=PWdz#gZj;Wj?pDhy)L)_&fSjq+h_CUNvQ?w(L%U^8j2==j-9c_Mr4W(btf z7*~Z}c^iEu8!8wcvq^1Yk4y}M7+#eY>MY`4&Gm_DgtTD)5N+kvV*3No*i5`m_sZ|R zm%WY}6B4#R?CnP0QSMIj(|J}f4mfF>$St(@8y`k$b6n!g4%G09JnVhF6J!KzTgINF zO;BEa4vHBdF|mCMV}z0eKS;Sp1+Db3&8^r46!Xrww)3>-kV#^2a#`nkH$v&+7yeJr z$aB&xk~f=5E8k%O-)vqmOm8xOE-0~rJ|S0&i>LHt0n3g%J#y8R_|X#@WVf4{I^Fxp zzT{F5*s#Zo>W~C9BKPIL`pFYpKtJK6SKdeJCkjBxfz(fEdMTnEhEL-k25n!wR147x zDZ!?C?}byMHvsH(e+XonVFDhD2;(^_WA+2oO#m9u7(hfNCTS+eKFwWw@hk1QC)%jm zKXvlerQyW=!Nk4qvRPJ>PNx0gdtI7KtjF3*^U*bdwS?Y-r$3e4%_mQYeJ+H`R5*k7 zEA|+d51O8V)$@$U+M^0qUl{Y=?Re20f; zS`9dV)qZPzGS{e;XO>BO0clZ?fzrwsO6mWA|*5t z?~>w%_ahd45b*Fcd8Uy^vjrFfwrRbGE!R#hGPlcn%-lkWvsR`PZ0J5?=Rqzs;mtKchn|oE%`T*YTvZI9QTe-#jntxPE&Dx*SZV8&u(AVc0s` znKqqDSmCjD8z^P>jaw045?$O3?8BJy5O>+SUV6cFd?kX@7lGON0TM&% zzn4}B?#RqnU7PGUTasSjN=;)w$L}|6bY#U?w!V7Zw{metytEZ!NFFy-(>yzxh^^X7 z-!$wkyu6YoM6W9xRv{Y}sK1{_?dhY-#JD(6Y4|5?+@@ApU-|%0({NK zLPG%4!ycGLp}M77q}`zsU3j_w9cR6(Ws0sl7pE1=YLc%eAJM@!nRR1{OBA~NgcNUb zQYhLg9Fs?vW+>=je4nX;N zcW&=^^B}z0{&Opy)2{9ZZtTOAecy{v(pP5%A1YLhgrXBe{qS1!{p=}>3z=4;I=*Hu z*q=uQ1)hCKDExE@T9;n%r?`XZeyBQDGh?A1gYPM(pgX!s?Q}WI;&_e`Ezfzjl%r5X z^sq#tvxgCeb@(bH3P`Lq$6C47Y8JKR|fvL z1;^!~jB1h8v;%MBWycocMq9gjiJbRK#qec{&}yAvkNq``vf0E)*KS5RU0;Zw&B=?E z*yU<^QIOAUld63S@lE!!9uTvPpE-ZP;dmKJoQihGM!LSKyq}RUa^h-0$p<;dKYadN z6t_#PY3MgQk#jiOOJ+0ztlp)Rhh(UcVp@(&3i@?KZ89F~~$+?p@!wWy+ zj;OtjW{rEye>YC0Zoa%^ zxX=9F!_CkMwkmJU5bDPaq0p7^HkI#=ypplUi0VpvCJ#BY7{a{W^>|@(zpl8AHPSja zWVHKU#|+@7E}kT$YFSGF3Zd(dyB$?yp9N5_hIcY7Cz%FWOb@2AU7o?x zlUX0{=A&qVc9Ta33)O{eo^YtSKTzc^v+ZewlmI zjr;ZtFBLR3q`FM0V9-N8zw%AwcgUc1@ShzcobT0Tw5P;a)3sp*1>~Pc=0diiPAko9@j7{76ktnxwKUslSFOzLD&tUZll@JA%yu|XXW~mVAhm} zNU2Pd?$n;46U2J9;}rKoX#g!J3I7mRZ_>nT==LzY49BQq0h;N9ND#*u~__*fO2UBTqN zg^`3Uj7FCOIKeB(OC!L;sgKRBYT{t)WN&QZfQ|gVvc0Xbnu#NgF1zweX?ArJm?O|c z+SbMq^{F%`4gcTXq`7F2!vXr0=4RofK@I_ROv8!n9eK!0!^!o}AwLcO->->^VgoOB zNuWPqWDYc(g8w=H&n&q(kl#nn5;+=aiw}+__B8C$AB-GLUYZ!&z6YiSn8wV}oQ8{o z6V*M?;a@}HVao*0YU$g8Ys;G_N`K3dN-g(lG`i8R5XQJln5rtN(Rw zRD;+E_)5~T%A+{W>Rk6x1af)7-!V4N-X1r$N#{{7YnU0SDVwKM*zaNh{Z17UodDNMs937-efR?5djxHh;)>t0$&rB-Uoo)80&V zwxfqJkDGjk2j3%3yZZ0(uUS|aOqpC3fNOOGcE?g5&228vW+37hnxA4Cw9ESf!8=K=};_~Da7al&t*V9r&J{(tX7AwOI8!j(}AFa%1rsNf{%ZiN*UCD5V%bJ?F z(_7?%Ub8%pj~mw9ajlhKvtXVJ*10-an4R6$dbc}0JOAfpQ+C2*>r0uRV_K@6W2-5T zh&=>1dQK-~XydHz&B`QZ-Q8)hvKMqe@3BqBc1^zcwi*42pm50eXkkdlW8UqE{nz`w zjVs2x*BGe!_jd602^sqM=ohQVWNesxoGROK z6G6_dkzo|kFaB+^b*wA*ON!k4nd`HWv$ne>@C#T!k0epy*7Y>W6xp@r{yHh}yzAEC zI-`rYwAYlP%4voVUoo!jp6@l7z2!On5D;SP+}EShLZaH$RsQFt;VcWEByO6$SCy7; zY5W<7{JR}zHQ^Y_Wlp*9r_5EB)4rRc{zo3BlTRc>tjyLef-iWv#VE@zB)j`UNIudg z?&u$!^uJn~YQPjc+$!NXo%5a#?n(%=O~`Vz;grGna<_?&vgyO%Yz<~IaZ`)tbfc}( zx?|X%sLh@Es^{})jiR^hybkyFf951YBhx!NqrX3NGQgD%Z*I=JV%Ht*=8vAM!yOqI zYRHJXT;uZoDkRh`li4Bvz9Y2Xd}P~tBNJ^k?o+touoZ{o%61URk35P+o7shZA4aM~ zP)%&w6dX>MjqjWBsv*OQsXrn!FExqmjgv!*&dxBG63vs^8z^k97~$8t zeN|#M68x|4$Ha-_|$0gWeu=_c`fTMyjzJ3(OF-7EIUU-4S?O5-L zi}k5li7bUCBEOoPZ?)~YmlvJ+_(A|NEzYOP&|v1VD3DrqnJp1pu=%&|HZKG;*vY*< z^YWI=!i+8T+Jrkt6P=esEr^0*@xoMlDGeIF*N`4eo-Rt!qLuBT|I~T+`HdXzU6z;p z+O!|ru?cD8S!v^)?yX!ev)(^z@BcXM&D*#iCUGN?7H#pZ^ckCPXJu&#zwdz9C(X|- zx~Ur_2jW*ejKykbPC?QF*2>IRpBS$Mu1xC0Ex&I+KIWY*^U#Bndy@OqaPe8ZE!%*0 z@#w^rEB%;me#WLinvla6frw8#jaF|S8yGqBD{*8hYjF#WBMNP_&>x9kYSRvg1}Jm`QnVNXGBg!jZ9mQ2o#EQp}Fri?d*mM&P}`7)`a%_pdP0;#3%j#nAB6xFrvbX3QTtq$wxWqRk8 zvLzSeV{CDPP3;g&o@DN)2RAuj#c-on~^e zle+OjKQ8`Jk;$DFn)oG|1=W5zB6R#q?N}8p^O&siR+goJ&p%mZ3wcenaAHPb>=Z#HFG8!K+3XjVzY4>mCtL7YZ94k-HatQjTv42jX z(ag^fi#@#4Ay-kaQuLcdr!wAcwKJws&P**{{4v&VCtIag!^?Oign2<9wA8R{So_-6ANGVuyJ)TY!Ke*_0uG1eIdjIxRnFUrw`D#b-;bMu zSKlL|FIS$oNx`J}Y8Th5%uoGNpt)&ui7;BhVj_#s^pExt_K)L7&b6G^akOt9r?A{V z_ZRi9rJiCbAZR8KtSz)FUo@qaZMY%6emQySny>DIUJKkb0JHv$0g>l9i?tnnV%sfk z@fZ7fr!cn|*(U@VMXl2OFhlYl|f32AG%_8sP^11SdP21V(lx|ryNtQM1J`6 zrcM(~c?_!D#;?;lWKeioc2$e4)a_BFt#8;l&wRhPBb<5+5(1}Io)bgT!eKTa2NmL< z-_-;w3T{y&X5J7!+$a#??}8Y*4R+)l*+bUz;e8Im)~TH%Fq^!msnhj{nW>-R0cxpZ zpbILULBqD4)z8z-ulO+)Zj4%hi!QTOk=7hvRal>hvLE>wHoKoYxd>Kvh90h}=Ij`< zZR5m!Esn_yHP|f5FR~~S$dCy9st@^mmApndH^6R@y`WHCGxP_VtJCmXK_=Je+oRc# zk(h%}3o(7aGp6oej7P&6rpNs;HL>CXR-4M(s-gS2Ds@45-y%qvWZ2Yu)N6RvEUIf~ zIgAsxzWXs5f6;-8$FoR9N{C<$C)>Zq)+Wvtw2LH)d@AE`b)V_en@18v_bp(_89R7o z%Mx*W8JG9pYs$5D+(nnXQ!DcR1zj=0G`aH2mF#qZFAvrHzn3I%Ixq)eNTtG!EIY`D z7c0;1@G~%y^uANn#zwSX)2uh7bJ38fy)!qO<}vV+XD`Q@d_rSe7ys*VgJ3MV_^UaN zOygLr4aVPhgs^k_)iQF)sOUy6!bsI#bXoRfJhjE%V5FRayy$#1q#{t|nlj3hl?Ao* z_$E(>CS;o773RZ4^gCI{;0~25ttK{m{{-=;bLmE&Mgp;%nZDPoqS#Wu76m=dOFV>( zJOi7G0#1qH&eZQTOJCUtXM}jpNjny~ToC^nOhVu1_jt6i?u0vTbo5nxb;!2n{xnwW zLB%~NO(a?vuRI#&qe^Kkn!Rw|j?*|+ztgTHpI`$inTK=K>@Q!zESgJb`uLY}s>f5> zyU@N{87E$-w%DEt`i=|J>HJPyJSLWqWnsvEJ3PA=(1Q1q?vB31Y8rM#$A!LjgVU%{ z;MU9`Q7rh~C)GFp;#`ax)_O@}bne~bNmn$Mir8Nm6mYkh!*e34zG8&}SkjCOdr&RW|5_72o{lZa$~%Qwi8>(J76g zg)+)H^7(Eb`HlD5l4utn7|=wl;=RDnm?1tTuRA=WdH--eYo?tdt?>U%IxZQPDuGry zx&Qv-os5_4jZ8183>sgsHxhJo$#F_IRs}ruPP}Jy;vY9YK1A;?G($cWV}XZId8OO& zF?)y{W9EU0-rjp-!XtqcBP;0xf8R)StZdefd{K>wgb>RpjSe}B+5JcgVV!reF)G1C zv7N`s{@d+e-Zlwh$LU&o))Ho=P0+`_0^UWk+9w>yhzEqe=jT+3DoBr2o7d6r8)+2x zf2oN`aA|K~Df$UDy;xSsP0_#M;lo&UzbRsDKVWEl?)iAhB=Cv&GnHpn@r;k2J&~&L z7h&8d>L!08H7+R_^j_i16LChBXSUehehkl*pRs@6KTr*M$i8zRl`bP5-?W$$6IK-% zs-7~Z{vu_wU?X2LWfSe3@l;=Tj(Pv%x2m9P8=9GGEw$0$@Y5)bdph?wvCZwjmC+)c z+m0K)X&SGTWDY!6G9qKBWtRU6J%5RxA8fVF69M{dgWtZYnKZxieWOFC_{F#Ua4W30 zw;JqhnSBpyw%kYVC`!=%iPCu8!|+=3qlaUz>J63}+41c43TM4to7UToG|c*^Ne890 zZ|Wm9`M8yO+@Y6<1f@F9`{qqFFp7DFFq)3;hknG31++u&YI>_aS71yO7-dRD2!PP^ zUV36+nC%E1O(yca7gtDPYBpdE-0gHaUV3pWXIsV zyheVFq-sBTUPQn6(MX_1FXQkIW)Yrtml&u04<38*h-LcRYKX-csi}@e>(;Bhv5(mt z#fnxE-|h28ZH{-u-Q@6D4OPt;1;{&1a;f%{oM}RdY-Ib(LcKhd#3GJ^)w(XdBOuc! z=w&uACvaS>W`fBkW)Mel`%YYJn_Vbwnl(S>qW#zYJ?D2vh^bekHb;nWdzcH`=htY- z&iT}gug{-d-XYwU-NnKmtFt!?DZIQ&gFWcEnPaP4gmWwd_m+aIz*KJnrqc#*+mBgT zez%jFd}GaS{i!Pd;uDc!z;rvwD2EC}CgLn8pX-6~#2r~rRgIbD-p?3cNVNl{>Cb3lD>Y3MA0)+sr4c4t<-7o z{@qWn(>sa+8C58U61Iiz;`G}V4f)RGNIJh;rnvB=X8Qh#A$kZ>JU{02>{Di=b~4)& z_Xg(I!BB;Tz#{GO&gTT~(_r&$3ta`HJfthUF8(!W+30tJTBj(@pn?~ zg{ugrN8?3HSss5LY7V|4?IY8vcUH7jsVlC1D3zPHM%j={nbt3erwUC*AG8Qgta1G) z;9!Fl$a_zs$N4U8xqo29izg2s*#?ND`eIDAqJ4hX#5matHiA08v&e zEOKNXrrCRLkDe4G?@JSH9>K4`-&fZ@l1|g7O_(j%>{Ep)l8Qk`3wtWl``aRpw+9rS zGVrT>giVxgW#RWEWp{WA-*>fY7i=h>f)a$jq2q^IG4T=u)eVk`;V)9R3z0pwwoQ{au-R9U95Uqr6;={m2DDiydo*w z>xQl4mQnrZQprF4IKNna$U$CXS`h+f8nj3kPK#!d=EzQ_ek_cIUGcL)``JF5EvYNN zoX@35C^2*$*G-<_XB7Ya!{>R-67WXt+?MA=8%i90Wdqew8An|>oUlfsr{Cq=pbs~c z2uy8Z7CDJS*YP&k$v=tC7}cNlyU%uvMrR0YCDhnD#eFnQ%i^J1E60}5ABa?$fSq zLU39#WXI3mlCJ&Q)QFM2DCG=~dR5d4X+U2T{9`|VmG}Exskdqa9pegnIZerU=3HKl z*2kC}CiCYk&gAN>i{dVxiLkf-n~s-~G%9n){s#{jyURK-ENAmtKc=Fy7;ipLo1D*Be z{f#w;HPMbf4>mmDzpFF@&VK4=wmc>57ne8i%(%eL#@mnVUIHnHZ~FT0H)*_BkNJjK zDLTFx@le&4hIF#BP-t-$rR<&&#QEu0o}X~&*&a{sD29AA$XnMKLsS?WpTR^aI<#N? zu8SUK=&z#Okae)c{R^p$yH zHqP;dTjr&`DV}hh*SbVPWObjsHhzwLcOtEtkMcX&Iik$1LWN#gDf0qa9yuDqL^{t2 z=IjQEio;xqiZgu*rdht6N6+3dpIZZmHA|T>g#CNdGMmlyxIKOrWLW3S67+`k(k~dt zK9||X42O<5IN#S#4$gV&D^+H5M~0Y~YI|Sx+~BDQ;uftWbkzU<5+DDHZAe*;N06KU zU+IyH1F7)+E7tx0AwB-T@OM3h(G^!%?$p1)>NTu}O+5EqyN1p#% zdaRmIK;#J8Q=NFggA95eCW_s8#zk09Y)G^i(f)Ah(}|;lj1K3@&usIl`MK5~a;e;7 zyds&K8m9#~G+zcic1tU6I!fVZQ7z_TnzzFz%cZw#0f)xtt4^R zXOK-*~$LP=u!?5ev|3|{fLT4#DaTyHOJ%=5OdSwHu7 z6=4QHB)KsjD-|85(`)W*?0SB==Lt#c2|rlf^Ea8@*Ge;K;R-Hw8c!>xLbToj_akF# z=(HX1u!^b?KI?LMXf-AZuVUHZ-m0aBw{l)6I(6d+hz{+PZDeCPK_q(htwXaD5PdEqQ$aPhszeUzQh@ZWzve9nreFq^_Dc z7fCsrroK50xGkHjzgRxA*EP()n0UUyDms7TIo2517F=y0TI=z(<+A_Mex=z{%(HS! z?0Qp|_uF3Y?WF$k%}SS8*@pfibxZLnyix2h({1ebxP+joL-&-WaZIc^xH;?Q$Sn`< zb{}$;*Vv|iG&o-sH$lXH`RDea|MhLOSovk>RiQ`!*Q>%Qt#irwpCXHUo(QE=l5uP? z9laB+`MI^}=9@F$o{1|?xQoK|2?hE5^F!cPC4YQ9oo>H--ZIUr-Rw?pUv-Gh=rVk6 zxmKh;l7e5FT+JGKAn0zw#g+oEc5H7Jr;~2hl6IRtX5hzNdRzRrN7pQkRyY0p)1Ie; z^SABr-E#Lmm!pO~$c$m#vElWOZS!raJ3{1oX7EIBq=Yx=Wx8jx?PyTg=!}%5uwU+D6RfnmDo3uURJi{NteT@gPWzNn+nq(Z?~^>_YhCdHbGa{ zpTsuO&Q(1R;x2LE*YG{|-n3gq&$ZHaL-5k7?z0? zQ+2m`^)1}zYiaajFy%K5yJ<7lWHu<@$M#OVF$>b?adB&HPo9 z0^vrBJHq?EBC4pZCA|5l85?zi=(OjR6fY^)RtXF3>#VrueMl;WLCGEo~_+>YC zu!m@^%K*NM?%(PWK8oMKVO1Pgbe>GC` zoF5s99!c7A4Qd$?`6_fxxqo40!~tp|0CBfh#y^0;EK(dgnt#sL*unt)tchWDZUe>S zh#_IbPz)^oVXCy&%3{u-U=U=+dOcMZG80)^5N2z>*V^&GMfVVTn(XGZmO+6SN&(uY z{d@s7xJc%X2x!=u83_!~J>)exN+sWiw$EZzhk8EhgdDvES0RT>nDFm#`(|tQTnF40 z?jwg=@P+k<6=Ow8dYU8$NGBoSy!7dcku>b_Wf~w4VnAk~Qx<2x2NP;jbPsE?i06kB zA_E9_YgQI_1y2k6Iw%)DV`_YOec;-657KxfDD?$74At_C0Z9G=g^=1Iw0(}gwPV)7 zW-I}dcTGG6rkt2F`XwMvbY0*uou{UN5LCNj)w6-eyRi7Ffz=hTLsN+BP#eUY03?fu zCI-yl6h^!vZs9mW19s#9$*Ps$BU|Eu8SzF(zkicA0T(?4Nh6|pQ3k_vt(!g(DSimj zOu)<%oUlw)o!{jBI?SOkVTj}BTBZmgRZtyAUEOh|&D^|F5faYKt#^HXSG#ky(W*N!TQiScqx93X>0%skf~3RFvfh(M?faDu(h zcO-iIK1^WI#&?QGS&h)DfCDs#YAB3q7=~;JoFG|ivlwvdR$ED7(~j}C_;#a{-{(tl zu)y=Wo)*OJF~GUfW?}B|6s(tknMXE3jOAAI5-w{RyyuO?)4p7!3kgsWh3d%m}D~mSMr<5@;Qa@Kxp82r5e=+SV zjqKFAuY+L8Xb8e9^v^JH$!GluKv|q1-`tsBUI0G$@)gi|dCOy&5gZ{fQXVBdNhC;( ze|Dt*vL-#?hz9yx$M07=N-6ngLK}S@q~AM2vewtUkvK9#`bqsF4cMO>7}Lz+U*vO` zC+E_y4Kwyhs+%)yY4yftJ^`k$Sn#t0@INwQ@}PDIZldigG{C3)?*f;VRYU(OVGNe| z96*Mr^9Y3yAHb#Z=xD*2oFL%QK|KFO9XWy^#TAPV+}@1MWAd{@F3kZK0Mmd-4D9m& ztO&vCGjM}FjZqMZhu zO|)Y4W5pgY|a+Smb#Hfldk15SfP`UV8<^+|!9% zS@au;L<3!Z9~okCA&>My?8rVgVXB2#aG(y?9y#n0Uta?5N z#84$xunTlGSNM2{TT|xO2UhcZ#w@t+`i9f>4U_B7s)2(0Fc{!fR^niT?g^rSdTtZB z1M;8`m;n~eH{Ya9z?M(JruV>>s1+VT(ohxfu60-hp0B}CRJ3t79Mp!z!D?s)p%!ncK1CFQr zL*yvn`U7CNOa4k}(_I!-aDw3GsGTv%mrfQku0+;>66s1a-e7<0Dy9T-60 z*U{H1N%&vjd~!AKgz$95Qo5RlA))&NMS;WRw8r4XCm;m?HYa@d!MmvrEXLrew_qKB zitmD0Bajq$?+{v>;)ZcBgaHuo{t(7r7Mc-RH}G|hXotwqfwO5r!>Fb_D1VHWg~%9z zO)yF5%kpd$?wS0L<YCgyDj>sJ;&0Xbe* z4ELS2K&Lyp zmVW+K93YX105Cu@4H;<2rTW?qF*gRQBfG^!#-KsLe|e*d6N!|m|IP}C7S3zpF)(FG z@aX>-D)T{l3Ua7J_(M!g(4UX)THp2#8EAk2i2}pcD2X8U;_M3 z+UHA*T+%jd!2UvA*vOGm=+J_BkVeJC`xkwRYCsG!2FsVxiG$^lSI@&j_8EJRyrL8G zMhaZ?ztW4hDXtuJNT-rVQ9L8+^2`UoX<(pbsDUE0mU=BSa9=#80}#p%-~JDRQ(^#4 zBQMX5ygZ&I#o-HuqMSAej278vBMpeX*a3KVnQqP_S!(k^b^0@QiI-?nkp@^Or_de< z>?wHd77a9qG87Wh!aF=mZ7hhg+jZ8G|7JHDYi+`ag&Duxqe z%mI3e0`)@_QtqN0#TQ2Ei?k{!`ril-0JXFY^3n*L`3UqYBETHglpF~aH)%R>CI=F! zDu1J~egq~Ew~5zig^=_|fU=e1D^%E0gnUA^q;(By9HIM)efR=MmSSA7P^{kmUr1As z9}x6F`fwqi08q_XcLPb?Zcd*h?i%e~UF;RwyGTRHqHJpNR?^oM>lL^i#eJA4hZ2Vz zseTSHU_LB+cUY!+7y&TFeJ~ITMfMW%3bYP+s_lW(y$(Igi`Ta$`d*keK$h`clHCV# zH0&J^!^|@l6+kjzBD4=3bXVYAGBOFsogzsdX|9mC^qIngEdYc;2mZ(jL|S|WvVEZU zusa}I00^|8(iJON?|_6uB9A;PO^pTb(w4_U2SdFtz?zgp1886_j{&mQj%jBb0c6ao zUT*D>6&XC}>bShspY{eu!Bz~=Q?-O#^<5AP9S~>p)E5@5r)!Id$qRuG=zv%)%}0ca z$^diJd23{Ff;3PI$BbHyd%(ge%1J;*JCT+f#rU@}sMj(ETbh9jAA!aq0xVHY|4ldY zQSO*e^_L8(P-JKZ-l9P|+1CHa^&JR6V+jFUN4X#W<{OG)m}X#0AZnokOfkwGb;Oat z?a=%jK;9>b_fhU2;8+fUp2P=?#|O|OD-&}cl#ihz_p>2ot3Jt&^O{<0NOD=N#zMv- zDjcV{n#%%#Ln(_184oB?kz0ultYZcyAE*Tkg9L2@8@4*Pja|*nxN8>T=fIi*HQBk`K6}3kKF*#8!(f_9J89@5}Mh+7}1HjiuDVqf7 z(@BvXH6^m|zPLcso)}cM*M^?s4K$4_0Ad_!P9Oi14q4Av@-Jm*t!0cPPh0)(f@A|( zz@mLUve@#?6s$rJ{0B%l0dEZGThOtfu4 zQ9L$q3OOmDL=yQNpjfF6LPafa;PmuI0+0s>C}nM0^l#M401|$Mz8sx+%;N99!;qYR zDK1)?A2{)NP28eq40UyJYx4Ypz-sk)%pFw2e^~=)2%Nx1r1Pv7t}q=c#udqS@aV%? z)z`#Bf%-1{-#D1gQ&sQ~RM_V`9P$-_6S5|jUFHF*0{k^`blpq~SyXTVI79Yn5(Xbn zJZ8?hCIEXQTZaX43!uVUQ)TJ%)mUmXkF7w3fxIUmY2zf|1U9n7(g9ZU-xBMM90lU7 z1cbC-?Z4HXBR4I$h!Z5OR)VT6@hnGPC;;)Q2NI7e7|BpTmISIhe(t5VXjhIz2L%L* zByx-rfmBHd@zxi{k(mAA9~k@o8Zre=n1Mq~^&I}jUk+r}UOx&vT%B0QJ~6lPpJK#! zGyK_|DaE31IF^nbXz z5_l-r?{7EO85w2kz2T-o%4A7;D@@U?Fl8%BNo0vs)T`ApjONnRy|gIH5K1H!+OtIq zB2u9kO4>9N?c4u6?@agJ-~aQOkK4yRp7%Uw`F_uNJm*y#mXmcW@8r?B&yPh0%lf~wf(9BOdp(w-O-&j)c_bcH z!|G21+lK9q5f>(}_OyAX2`TunM;*F2)EI|ZQJ1E2^Wwry`ymBeHNMVpD)6UEcR1VF zpZ9>hZZ_F**_w~i(g{k|ac7dcDDP-C=NFh@QMY>B+tLiAy>TL$Rd1SE49Kd^B{irT zq{mV8A339w3x$?yBhd5X0jFRoQgEXOj6x4)kw-XCVmJl)+l!+YUn>f|rXfKJZXSsg zNpY!;*!h0E5*RKlXSDA53?LyWG2o1YSJbPED85GqOH>LEU%RSk9Mw-B=#X2aj|j_M z{(tE(>4vSx$+u%!-kS~&y(&+3#zUb6;mO-sknARh*ewvsh+7XdTQ69=$6Yb%+n?Ja z4U3_F>r7R)+-Es!4pkZG&3zLP*6iw-4L70HQ=58!y8VLdBG_5MZ%JBIKP7SA8=H;~+3o%!+_*b5@~8iUx#v3i?tW_zZtB}TfR8=R zT)W5Ntx8zVXE;f`Q}Su+?Jsxde9+!~yCnBpkB86lZ?!*j&edCNE_j`+T3zomwCvH( zm%GaTNNzlSx@k*w>+g@27p)o{+9kNWrSJWg;mB7$J0oxSI{N?iW267_|g6@(sygl}99^!KBpyx{0sh}y67NcGDI2ylYWOuvwJ|7U=SF#vz0h`ieADBt zerk;cGaC!k>`Xs-g~;JEvKtk|7iN0|$wfZqRhI>bv$M^__QK2)NOq)4m;XeMf11;j zCR#!Ym+*+*?B`XBh~AR&%6)HNFWK9qQoc|bhmP)YD-jcfU(&{`2e#;?x)yoHPQtc5 zzgVV?RlkgA8E&zy8RYmj$nfDvuT__irE$mC1-TH@tYxtyTkjwJm^sqAt7psW2uof5 z5%J^n4O7pQ-7HGnZCXo&2IJ?oF!IPzbTcL^Jhka@Tvj-zscievd%U-ABq}J9D%>VQ z*W+Fl%p1iswEGXd==5qB9KpAFZ#ZR*nSW|+%^;467E$^)RcBw0ZDgg3*;DY0B4%uZ zNyo{TsoZ;?irvw^Yzww^$?&xUwB*s6vxD#$D@>omy*EEZQGE0KDL*GX!^Kgfj-@;( zu(5t6gU8UY>9+$?=VjIs%Y*S!EzCvxh%KxcR%V2`nB>{k)te6n+SvNHc$$wtvEryw z3>44sXWSfwfi{1xa0L%!O%z+{|0pF5#WM}juBqpU2neE20=7lONhUV*P`4#$2${4) zi(1fr*RW?sV|}Qfog%(Og+C#9dc$vfnV6j}RjsZ*RR5eKtXPsj=%()(&*5qy!75qe z;UqjD<0@^>?@40#D?h|!{Fv#Cjj-aX`vwC-8@cV1@heuCyY;yVHT7yjasKOMk*C0? zYgr(EMH_S1KC%s&s9TF#tkpJKD6Avw-CCx_@z%)R>b8L?zzD$%EQ-VJm^zd~taGr{ z5J&6(=%rA78|%Z?ZdJrrl1g%ZQ>{FEP_waK-k>0^SzdfICR_;~{UvUVf08&l>)>Nq z>bAPU1&`Fkt}}G`OW~u!cj|a}0x22m)ezM7X{Nc5sXE9E^}`fJy2%m?9RLf31>q?) zEXnk2yB2>*akwk4lpfEb@Y{9yPS&HL@lBzera#Y4sPjnnTd_D(tm{IkXWdLO!j8Cm zvyf;#g)c~J$~zesnv=iW@tmE;Fr0!6r#0z9)T0wbv?b+%P1|yIXx0VU!}Hf-nuPik zi9H#u#QZ=!-#KXMbw9iDIuIWbE(>r7t);a%qY*^X9L| z6GMiE<6UD&bIDRcG`7)1NyrT1b}7NCUK7!(QL`!h=th&!aLv1(hH6Atk!*Grz=UZ3 zG8$h;o1Zz3x-d6u`kvFHTX&Dd@pri?f(A@i8BB|`mK@f3`;vzVew<=w8sD@?E?q?^ zeiybxhQDh#?3Fhj`A2P7T;YLYuLcu9*1gKr6$iK0v3SOqg}zNuyz+5RZ&`lzXs?eJ z-}ilGO2@lJmUmyIy1G14Q}lbq;nHDU`vIe18O*{vG!n8W*FvLa5c}$9T^AJ0?Ru@& zS~1;x?doWG!+RGqD{Uy$lZxWyO!0Cvas2sHU2?>g?5|aold$ra5gNrqN6uE%R2d(w zlg&Q5eCEkC*GRB#ylW(cO@|i?Jb}Ij-0$(au{W+T=lMShpFOy>vB2D}DAm;)#r8>L zvXPR9KI?IF_d6DoF>eaWECu{TdS$0B|0TJo6ey5!UvXS*8w&=`Us*P=G@T5^nj{D> z<-w&%qEJOKd;lq`5=oai5uLR}vpUf!OLR&iq2H;j^s856fh{0lO~Eg$*!KKdWCX|c zR9aJWyz8mBMK|PZBYYc@z-!6k&};yAQRqaJHd_&>eS8f7_$6yxLxDPHx2NbrK9jb!UTs<2K%=+6iq^fp9A2QgUNUn(j+*=+v;BF-7o+Li3CkmB^ zfBf3jk;R2p*fJGrSSr^BOm*5^17tr&7LLP~0aVLU&EmQAewyXnke4C4=pa?@CF(rZIKb8iefD+A8nq?=r>wKrVKJvVUl=% zO{1K6KLWO_1Z2|F36UC&EJHC(8E+uL1^MaX`3a2$zNAHck)GWa@bHnI4~vMgI%NJa zIbzKD-&NDa!_LImKJ@uVQB`5P!64JRzuf>nBn-wY18A8Kwx=Ug4I_B-M%%v|nJyZ> zjaH3m@uaJTcKMKd$`%hNk%A<=X_9_2JJG1qiLq7trck+s*Io4CeejJv|^1pQ22VX)QN~!Bvk!o65gqYT>2irQ4zmB(iK0k zx6(?NYJsAktAi8`Q$&i+DWhTfq^Fen1^)?Yo5p$t1MP--1qeQUZM6M#mGi;r;xjyA zxguUVPWp6%h_LmDy5Q-au;|GW60c5ixUr2vxu3>ws{w9A97Gyle=yLUc<6|4m;{J@ zpLBnfJ8_taS<7P~@m#%sC6xw+q?tsC#JX3C^(nQrr+YZ)PJ(+aq$eS z07@S@tN`&mcBi`QPT=xr4Yl_~`ti=~1$qp_4<gcSx`wC~q)ml{9v+ZbzlvS_#vh{_ZLl;1zsd-ac%FW!9X z{ebQ8+u`jIVKD`>sKkpWVS2&Sm*5v5rL?fCl!5le%D7KSn;+2rT;G@RO>;g}c2W45 z6a6AqN4tN`H-4iQ)h0uY3bypFE11B-eO|X^$zuE6z2)&Ity}yN13k!+!>pf~@f2+$ zEc$ERN|W7*u^B+c$~Lw#)P==EGDz}n0&%6J+zg)eGH#y<(!ndVMTH-rCTx#LZVXq% zeHP)9-egtEVH=-cizsXJvE;Z;mnBMV&Xxf>-VQiSL>ru17=)M5P|$cIXk|Jf5^_YjjzR(c3!&hn!tX{X!0(37FLZ^bM2}Ab2Hyd#`qB|r z-O}Skc)h2> za}wSn3uzd%A>+qJTbD zSueN~sZ7kd$<^FmrLv#SYZmCi-^!bJR>B; zG9Fq))DZ}akx%Q0P-xdoXS_j=uO#s;atiLHOD#OPVzP(U)Jf9T$_qL>5j*QfC-OZM z7K`e0^@W1l@Ra6~c&<6g7a|sPH}{~mToYu&4@1n-;s{;cJQ6b&b)0qR7XW5_I_WVu ztCD%hFA#B;OUGev6eHm}(5?N1Z}{j^3&I|$_6PoNFS3L}E!f-afVTc7mD^4UbtaYv z;b|cu5%FBx|Lo^B9M_^&Q4dMlHq?hI*qGo;^r!_VAK7>;oth`zPE2FH66zEmk>!s( z>J&H7u%R?=d&34z;xNhRsq^UTQ3DqsU>3(UTMQfsdoac$?9+D#2rQ?kaXZd$kR_Hw z*6n`TLAXbj8Ut4u;m3-Y6JS4KOK8+$@!e#Rs1e$_J5S)$hD7x`J_icg*f$AX8KUT{ zn{ag49N^&XG1oU=t1VM>!FzRtjr9&?)E|-!iw<-l*>5c??I_gZy&FuEy8aPXM$yz2 z8ou?veaLhFKi$%up%c5|a@Awq#A9I(uEecg-zJ)=DviAlGB{4wH4VS1R-GN`82K9G zr;VcTh=a|CdtpmPe62qCeUeLY^sgR>jDR-Fyr22s!;Zt1?8oHt8)k z{r!jBy|1pWe;6TLtVNLz!r%t`yv5_Hn?erQ)=o|YAMi4 zqC0#$2%Vd_d%NO^jn|{=27PvwwVyXsA~+p;w})4eEPK4e{~{R)mHqKE^L1zNzQ)#W!<4oc~ag)8!L&=E9Jfj#_7Fy1c{8 zit(yKL7@p|q4}^BwR)aps|N)K^kb@1hBCM3n2@cWM${Azcf1LH-rjC#%*zm#4R`1l zZzcN?PSb70gD)o>%U^x{fc3XZ}ub=#BZ*X_;#wXh@&Hfns_G0x#zrEBld)sn2 zKXjT4oJg5BK76S7w;w}XkM=#ED{Oy$3)+Nz|2WSk{e8^b;Gge`c75slIcdDexK6G& z>$lVGvwwOo;=ldqv-88~yZ7Rw=!Dz zj)U{2h$mI*tG(rssCwRo%dIVGg5g?rs1YNCvwnuQ9QOhKCq8-7ZE2tLTlcfCM5}tm4~#g> zJf)tzHN{!y=(3&0*0S`OSF?ER-t4a>s+O^d&WZu|^v3Zfw2I}}y{n!FWDD3qj7}BR zLY2i61?=^V89f1Ncl)$>{-agFpZ?N!6xW%pLFS4IQBT%PaZbu(XPqsbMEB98|99~ISlG2C8c zi$z(nVQui673V-ksW#nZG&7b{=RgYX{L-bRs-0LDJ!UJ545g4~rC)gx9et>7*}w~# zx`k7BOmK6<4oiFo_h4F{mEjIIS+pHhwr={P&N^rmRMiseLhH^tGNyu(RS)V$shm0` zr$GA;-7;uFCC5OU5Kn_GCnu!TSvU!8g5y|>D@^*42bqS&4&{{O35j)^KE0Pi)}+VR zg*_~?gTqJ06>Yk^=fQ29y4lk0Trr_fQ%D~C^y;rE6l~gxLrRh1Yb?D|>eBO~v&wsE zsxwoJ&%LP>jcEv?Co>FPSNp~fBzro_sunLjijF*3!UVVWv}Xxy!is(*vOIbHZ*BJ` zF$|jmwt?K1EAn*rAiGMdL;LF3X^YtES!Y}pFkFI>caGlOCj`9p8~&RF$6u3YF;d3S<(v8haft)MU+!7YXoNsCoHLWkS*uR&sNi~pCTFd^6Ek{j zq9!tuy(2TUv&asvFRCx_3N`St}FyC~}9}f|i5%G+6eD7E+*4hM|PKWZtgJ zqd`I@6)6ZPfoNM1k1{6FLDiaynPkwl$?)=+$WpUeT8r46T{@w6Xgn)YgULP1IK~c6 zTK3;ulqFfGWwXK40L~HUObSnL_IX)ezPNG|w(k&*_r)OsYUhZP|dTwMnu@&}RBlSEf!$@?A3=eu-s6}6MgD2C2?5fWk+?wdjGp56_W1-BA#pNY(bZCr9 z<>=)@k!p=kbo_GKwRi>|v4-a^LNHTQ&GxYu>dD+#q|>dhpt!ClVsX_onH#6#dJ=`( z6z%J;orvG%6l+)ovNjaelZt}nOu=$90rA48Wy6j)Ndr0CdsI1VZ)8O-u+w?CY#qx! zbc_6}@tJ}X=>R)b5hLHu33!X1;+H5IWO(Qx1eJWM#)-F9@}dH zqhtn(KsQE-^WyBh6ILHiBP7{3AwGZLaEm20Fl?_Wj1UDzi3$C_9!e-v`h6{4xWa+7iO13VqONFN=%j?qObxEIJW4L!|$;c|&b~Y0&{7ia_u=p~x6Hy=8h3qk9d* zkA|LZN#l)|N{**2FaX|ASzv(HtdT*Y=W5vt0~yE1(eKez!zjr%WcaBhdvTmqotQt5 zvKNvFrAnf{PKq-}u-_d3n!V7Kaok$6r{gAcW3+1W!kkIOg>|c($SvuqG9mcmoz(@j zATp=fsYdjCQjURAI*&x$BK2hT6lb}ksvKuI!TvQ8!G(^Clk#ZFj0O_)G)W9k5)3B` zhBJ}phjri|63@3=IJc`I)3>WhVdCI>Ofd%O*Lm>vP4p-C^!S4y@WAUT0L+Q^_N$lP*0vo3K}>IrPv_U5QrABn-8-g zF@PA&$vE~-0g4my+{~PXJoW`CG^`X9y0b6H(5I1UB#T^G-panfq>n%-$Ry8p7Pd-k z8STpGMS-L`6VV+Y1MIw6SM@jbWU#ubGx?D?y-r>razYRPgq$9!L0VHIWvy4rS{tP2 zS{u$$k9XM-aIEVv-oS6#9 z>ol?&(oR{c%VHWH-3+wl%p{A4NN1*@-;Bhy3`Zs%xu_h4$4IdH4PEsc6!Pf`&dfxK zIhk?--K4z3Oo1*c9_hMdB-!cm5Zxsj>pN>{2vSK7wG*WB*z=*7Tf)efp-(?1r%2X# zsw}NK_IwgT^G)dO(u@N#00L~}82|1;!&ja?J&E2~<99-RfiBvSRtlRV1;siVk4?%U zJ?U(6L!K$dN-V7eH$`Wy1onJsx*6vcI?GLXuh*kj8mya03OinY!a%bdmc zPO3sFrhH>gZ1wx_kt&~^?9#S(X^HG!qGUpRA{=ZPIJN&diGDREer=&@WNf0`**BGw zd&b2N+`kf8$yuvtU$ARw!Ux6s29C9nrG<;wV{0ilcoVy4#19nu-k0H3Z&}?X!}A@j zDpa|lE~z4P^7^63o5I+x2a@vo`iL2aWEf9cceOwgWmOw_FnosNQcMspX|kgYwuD(K zEGXB>D$&U!>8`68(cRkIIKL7_!SLj9JRO%RI^{+>sw6HXd*?Ih-VkoGJaftd9SJ%w z(Bb6UA&cePp%Ba?6JCF& z^jN8RUpg{MwCVT7!SC@}arE6w?(@{vGs1z$NWrp6^fIZSpA#dTMz<9$fQU}+@RFh1 z4s*5C7euHp(B7~}wAaRokwr?jtnd5XKRi7z)at_r9}>)U@|ChK*1Gs*(B#C*kTr3c&;tLTr|ZFh}zRZprXqXZ=M z5$Tc0H~L~R3$b8gLAe)cC^@=w0jfBm$)KYqBcGDYAhUYshy1o>Qy2KMP>$Oxjk+v6on<$`}AVDTtu|`H!KvsLoEF%co#Jk5QaPlWeBqu63 z8v*4R?hZytRi)%;gsQz)(=r9asMyK*V=MCiQ5u<rTtF+H(4{L_Hc$uYo zvQMIO*zJ2M>`)2a_ay-NsW}PkzlBRH2!W)6wW4=JD`vKMwzB{#c&IjaD|c(D>LfaE z`sRI0TY-8=TEUvL1TJhwi*Gn3Szq$-FF=0d=)8h*b4dY_e2?5tlAx^ywsX&sVIygV z&QU|dXmnmsxdX_}dLpY@$UN$IcripTG-m}Wcg&pwCH2GHJ*OziI?#u`u9WsdsI#6hU&qgZEF(Q%S{;S+|>~zi^((6QlNOY1S)|BE$eJEP1RcJOI_!{ zMQq)D*NgtQ$=E2Bl&R#O=2uB*3@(IKl^09_NBH=_p#%!>8ySU3x%TJ9)+ z^cU*bURO$M2O%_fYNzH0F;-Gki&gF@3ZlvW;^^HzMS;kLZNKm8uNuc5IuU4vRtK-~ zU&OX|TVZWW1WdVFG97xXz61-hTaW(qp6qdAq>bRc&!?C9CqajIHe^QVtK+`!gL@)` zvvnyq%Hn$J#1H0pMB}~ZrfGBomg`2i+Sx#v7XfFdv8`QNw zke)>(lYw{n{8yLkDutu@dJ#pMZnt(lEIxTCvb<&eJjry~yTXhXOE;v%gmv~0qmGXq3(t}x50AkSHBbp(`SL(Zt8 zP}q0X2qNa~5x9|ylB!b|b!(w@kFImXfXY7#B?T@6H`6)#Jd^ty=u*rBy%5rv$A zLQfswDG}fVI$(+Zl#a@?Zhe^rZD(NXm%S350lwhZU_Nm0vOUr^e&Ef@LsyGOssdUb zckwKJyKupP(Dv0p9*k!tJF`PyAf~dja1`G=byDU=&&BdbvcPF}3I%Uf=iH@n1{ysl zx<*C?S=uH#{~6@z?g5qfp#V2!#A)8#gX)6i6;B<$Cu)zq`k15FY4>HRu9F~`M)#8w zEZ53)1qi!Z0{UftJxlUmS;F)e3mG$x$(aZUI1f^C5>$?(!*#^ta2>G(t|Oj28ubWL z?Ao50SA#r)Dvfpg0>7=QeYZc+tCzSvc%dcz+gN?yx3;W3KawA;dku7-kbJyKKc=OOx@^jt2MITeLXl*WVqUsZ1R1(UObO~u=-UZjBufA z=r@+!s@JGq8v;804LWU2gl`74%U}Vim*0w`XJ!|HV0zqeB8+77VFuVBU@^=@rC*+W zD<$e;2fJLfy>&m#749pC!H}4!`!M)?gbVkT(E;NkEQ+wziMwF%(Hp)_-sm|o;I7_Z z+zA6CJMdnxX?EN&TxExGzlJF@;$h*I1X%cM$v(J|Ty0i=s52gk-WhP2rxJv1hZ$v< zuMqBS&VbS6h>#E8!{+{kYoO-no3`m2%+3giHwxbk6XV)$7-AN6hrzA= z3qA1FGOqgwb9MWF708ThgL&n(i+Oj0ik=End|?Fk@}|{Z0)abzqUO~s);m$%tbo6` zmtXXjSW{u(%R!uHv-qSu^<^^)%vE;|uH_%R;-+^kM+t}b9`_9jqZ~fY7;;h`&2z#T zEuKE{$`;M6p}Wb^+dCYw7#fvlf~J_0FMNHs_a3^Ge#&d8R$siv#$$y%y0U&`*Pg7q zefsa#WD-_}o6Ck&bg1R!Q_1_=?uV6MH6*qF9z2ma(~7S{yN`QyGf73f#=bvGhC03B z@kfHZmR@-eCb%~2Ei&8EUwbU>=IgJX#E7Pb9?11($x){t8?=qHA}=>@wxYuAp7yE1 zviNc<^k~()bN4G7G$aY5BjgDJ0>3G#9Ssa*1{_u{Z%FFEpJ@=HMtohsgw4mEz@7Ji zROKeE2WldbbMLMd$I#X0GCIs}!9916IA!dLwRAhP-}O7=_L>)`#U=I&-`yr>vR`Gy zMVny9OwDHY*QQI9e3yb>7)RYFf7*gvkgp6!=vm7xlt=p$;1>EbS)7n6IVVrx1nX6g z!1c%HgoosY{b@s(R)(2no5ks>c-n1=fxdz};qrJw{Tl|zZu&R}Q%b)FR}~%|<{H6G z__*+x{=k?aqtWNi_E$BW;QlA3wC_TJEHdVy8)12HQGHP`mZF6&st4B8TMZeVd+Q7Eh~1kAE@z_AmK3U3XmFFq$hbageT!yQlV+ zVET}e`FZ;LQ^AXuCU8%Xp;Yx?S?30Zs@N*}!bDlfCVd{f80|e7|F8lb%6^oC`V~9@2njWydHDj%RN&r2Xjy~a1(^cts;6{synW*?*a@l zlc_}BsV}sqG9hbb+t|i)b;-wn*bqL6Dr{i&?>ESqVvmhTgKk=?vYBKa_yt@=JxO`= zv6#=@F&sH1m0Ke_Q%({jtTuZT$uN||TeDaWFa7<|Uo`6eU3iK!wRiH}?xOuejBwUY0u+xZ11c+F5(eP8bM=$(+tay!0?$ zIz|w}u4aJsrusQ!b~^AZ+oL=QMD$y=JXn1QtR4v%Rl|ye4`~8ZY9(AIdKy+-7k}CL zt~HE^4o=%QXfw0IXX}u_yz2N(7R*GMkM0KrZn3;WPiRwhQhIa%%v!~N;a-M?7ZV`! zxG%{?WBUaTQpwn-0(~(%@WLviI5MSJH_)sUgf%;f2vv*=RU|@_Q37;46)3%9D~qjy z;47H{pXV#1IZJ>D0nEL*^b2g^Lq@>g+^+px9~eFSo9i>m;c*}MR*?gV?l9U#4kUIZ zaJ!Cho3G7t!~9&aESL*3rMi(|D#6`4+y-Wp(Lkb&GL|JtRNRJ}l17B8;x>v{fPGWM zJ3xMay-}D@ZbO7Xgv#RQ*I+Zg{;-J)O@J{ky!kL(X!{rT^qZ6DLr&)6Ng8qcmGFf@ z64CuUd?AGI5;V6=rj;7nQznc!lSAIe<*E0iiXJzi8iVm}C6Nb#SI#ElZSNmA1Y->l zsR_!=ey22vnVi()XfVsV1e;HWv}8lK@tbR~-_H~}V!y{Lt4NHbtP%?Ek{GB-vKIl0 zKlvM>I84dGD;chiL-WN~;WLB8D13~Ek2#|ajmiDHq5qj$?iXy6nts|%81!Ootbq^0 zlwffR8t^TgL4AxAbg+M?ZtJ+{4a3b$ zsyT|hBvnyf9hv&?@)8jXf}PHjfcBBNaJ2QR{wNG5vnRvAva)Tz6N$VXPn~q)+Q`R; zl8=Xa;%NdPZ+2W8IGKVE%b@pfAZo&g9kEUncFJUrzb6=G6knCc!Xz>|2wW;d^*Jn2 zvvd+wpLC{@1kAzqHag8ownMcFfL^rZU$jLzZ@R?6* zEl_Uu>qtNkN}Un!Bg$`^lPmxlk}O3163%(ouc{F{P^(Bp%c3k=OlDCm`~{-8Xfoh? z6O^Taq`d8EEle6S; zxdL)h9w-amz(IN_Ed@`5dCkLk8rk1pJF7#ws18p94^!|oO*~CfJ-oAWcxS~y&)5A= z%#Rxi)E%`l^xyn6&|YLkmJc29Pn&fO_3ZvYc+&)wLu4Y|KFnnv=4v4`)l>Qd6(nY= zr#uZ*N0tv%C#xI`h3A7cXd-#(UKmqm+4LLfOM%hrsS(g!&^sEuWCCi9sR3wDP(b_| zR7P*#KpgfCW|k2HF2S7NDb&_K%OqcD&}BACBVTC1k);Mo@jLJ)i$Sia`fq?N8!}QF zr6pJ@zN5+F^QB=t-;tF>P?XV_GN5-38FNX*a3PI))l2fqj;4CGV$*efxpT1*!R3>_ zn`c%I3di91Op#50b9J`o2yfP>U%ns@tFBz3CT@#JJ{Ycu`D}-p!2)XH7lVPn z#p#zQ#tl#Yi>m_@%1HYE4=C5LU>+Q5nZLQ_H%S`21vDrrkVcBOlsDp?t+>}@ti*_V zKb{*dwXXL8ZZ}Ce-O^Hm98g~L&w#QF_EHLGC*~%BC6U_(f!|OJRNKJ>%ZRFwS-EkY;DBpz=IBkp07=l_3C{LRj32%F< zVHuF3b))b?3O#X~`s!t54o?5m;QQ}saiO&&XK|(&D-!TB4zkwXV|J6JHX!(ZeP#9H zvzD{>Mfmf5UXP#J!9U)aV|BTZ_+CEu0ezn1!Fvb({GHM_*HvWrZHdPM2i@Uy$5`{Y z^~B+9v6Zq=__t^pTpn$%B^Z%!%ij+@cf_0Fel*eFs!1%|ls{c;_2O6&t$H&PD_Zk= z?MJ4f$SPUP?tZfR)m4uK$3I0@;tSc@qWyJEckAkpduGY?E(*q%nBY6d^`*#SMNDi_ z&sU2pJ6QOV$@mgHsu=D$9vfbaFL5X|YbfhY0g2Ly zg{tk*dl6;>+{f-46eeXk_j)@F25QvRPZ6e}u}$(P^Fk(eTpQzznCZnU>!oq;efZ(`cD2KRlfKYN)R3u! z>{{OZA`h8$2DVNMNv?=jUhzwE{D6}wNZ!m`p>3*}8@9N~rfknEj(E}{f_CZnd|7Hf zDX6+JK#OY3QLdHug?Y(=`Kt$z^hVHqQ4-Ze?)QjfwAimK2_eAD}+N@D%w z$QOr;-fzriVlmoS#x%3X@yd^-``K-Rb;7fiPwPuOlyq;Fo6)sJ5oyY=Xt##5&T2xN zDT&f2WxkUlILh^dKGc4rWMzkBD|Mzg4CSIHEN%9=pOj?`%GhbMnpi$|u)V28^bf&~q+S$xzLD*XUqrn&GOO zEUxo2oFnU!+ULACD`QW)XI+Wnv@ex=CXG!z&AP8pFFaTkv!vro^~l%x%vKm>b34>I zBA({5H+t%>Lyw5+Hz@?=PHK|!>4{RK7NF4ccGCRHH3?ce-K=Db%A;Cq3R|S%}X*Mp|@T?n{KFDalQ5;N3R# zDPP7>kAn0j3z(Tm7oB;L^TkVOG=F|oIxp7b{k>@zJoa{6wm4czC~TV?3kfv8@klB+XgU(`As?oirG^%oWl?g3W3)94^*8>7PHL!?4Az0?s zSkHuq`;!lU0TV(=hkBR|tmQ5YJc=)c3PTbq@H8&GW8$7D=aRX2f887>gepIQF+t5K zBadM3Soj1?b7Cib;PgEe>OB|@ysap35gIK>(U0eDxN@qoZGySw>Jt{O-XF5sHW42F zcv0MU|Ct~<9z-=-mJtgN?2{vIHda5C!;c3yB*=f{d0U$f7(KOa+LFcUT{IbAXq~oh zY;LJ&zwty3(f;&}OOqUV#D%lo+23jvK7XCJv9vUExB4Pte-$jMIUroL)1Vk+=RkxS z^pz-!_8-&=AAEjMjXK$VEZwGWEa)Le`9-!U`h7$0z2aB%TuztdE=#qt1Q7n*w_w)& zp!fUY_iMV2==ibp<&2+hY5F@W=-bD6Ki|#^y7IH@&ATasYIcp(X&yh`PMIhFz&HEj zgTCuT$?izc^6R7Fd$M<@T&#X^f~ z48G|PA3UpVM>{e7dhN+x@4?)G>c9HuariBv7T5gwRiZoDuc$+%^Jai{Fu%;WZCUxL z2=D%3pc~n-REcarDDSNrzxM#IIwt^KKy79xJO-KJpUgK(Z8A+x&ut^%vKu3oe} zy*ZxMb7f(&X^E;|_ z5?wEZoKNn1U95^tySEM|Q(CJ1)%k_${9pyX$H0z96#lZ2OIld_iyr-2lavzaK6~=tj;wjE-d_8QDqSpJoytt)w%MAdz@n#9L^Ow= z4HQh6)t+%}g(57etq6h}i`A)*)Ty%+sDeM27}OPXZn=*nWuJjQ);K3BZkpe$s zP-nm+*cv}?4Rg$R#+4l0;glLbscEVx*BTg0wk6T?4g1hy|Wf)(f4 zrWL}15i1n?1Qv7}Zo6d&4{eAivU2#bLTKP#5hhI5E*puXVJ^kF6Vod7Fqa2s;k7?${RcK*asGB- zLrPPWI{&c>cJy?`V=b&O=hX;>@AkZJM1lWU7ry!Iu?%)us^>V+Q@K9KBeALI&kfT# zu4da-f$Wt290mRykc}FeBZKXr{kx}RVMS(t#S7yer0Z#(=wXap)MR2qAhF?6R&^)c zN#1OuLz`KHrA_D)6m!G4APS)-u?7skUfm6Y2*kwS&W}lR~BHrn>j}P_Xq(T=Q}BdRe^HnAD=* zX=&6+Cf;gF?A+{hJ{|4MK&X{{?Tsm67(^Ie%HrRrv*ax#JHr+DkM!#XZznc+D^l6> z?si^}Jv&;xKCOk#6>b_{J6E}XlV+{SGa^}r%G>-=52Q_%j>_;)nJR#mo!ACB{0W<% zxetr5yK=C++qTdIU$oD6xu{>aIz|f*(dIN|s@5v>%idn4*nc4VTG=H0o^64!a_9XM zv($u3H(#k!X&+x3WH*^;4x#WL+0_h|XtvwcnpBp<946DQz^ryKJSp^x@|H3Fk};}X zjY)Pv?>(02@Kq!H^i*P{w@Bvw${q5=y5~JrGdZr8LTs*N&GRPlLX{e$xoPGLb^crm zma9OF{Rb}`iQ^HRmjrWlWw^U5kzrVVroVP+)Qh!5OzoOP(QqOP+eARYK5wfo_$KVj zoEZCqbXiT(+TLaGXCh6Ao#Xo3H6RvNX&}>T#ghXv8_xhf)Ed04kVgX0== zEwX76k=82uWK$C~mUXW^@6a|^f}QGb529M6C>ZhfoC#z&E)ePdEfj~vHyxJYGfC7? zAlMoHCF=Y@-DVnAPs0j(J7@sIm-QQ$r8LnvO|#76r#D2RMsxOX#4jz~ zbf91~CYo=NvaMM&+SeTXI3I0{q0T=^>QH2iFwPkG<)c?(6FsGg&S|2@H_`u50jZ1Y zzMg~+A#Lv_A{4D^=je1=A#<(ci%H z@!Tb_E)^i8{Y9;5ElUZlOVxwVKkHhqQm1Mqav60jI*M8yGHQXkNE7T=6zyLiHCTS{ zvLNCnV8=M9(~u|$c0Fz)S`gZnk&makM(Gs|p_5URFpvl(GeLmDJ1(3>%zxhFX;913 zB%eP^2G|l2A_{`X>#gvZwNPEO?S=fRH3eJEdB|Drpo?{DVcjrv{VQVA{4eQZ=423f zArE+?knK^eWdZuZrdGk{pGDzv23Nn9HM0&r;D9KI!Y@@WB6cv+RG=ziPyPoGUj!1% zNnHcURP-Zt?SdbslFQD~dA+98Q=o~w<>pXduLK2&3>C2=7LKYMmPR5sHl?Xp7VCn~ zFI_W`uBN(6XqYLYFf-)WRf<8DDO@+`dE&WlzruC!pm{wI8uFAL(o~-e6%*p{3>1M@ zip1gn0gcx*d@GB14duxDmD@nm;*C2fSd|`%z=!ZL{k5x+x!J-BWuz*94%iCsc0?Z7 zN=RYpU;eX5rW7JWx#KUZ08^YL{e*mHj<{=A&-Cf zN7rljI&`sOZM@ZyI0j(+e&tqd+(OW{!e7ErWMGvm&hoX zme_QJlx;0F)7A)y^B^}?C$cBAaF79}-9cud$7I4VkT7&23}p$!U+MzJhY-h-YBlQy z;UxlQA7LI2+(m8dR_6y%_(3xKpkIO2xaa3NKNF&~OAGTIkDoRt;=f!h`camVeLXU$ z)OE2he`{>*V9C-g`gH|QiDX6UKBzisgDUrmmPg9sr(e<{p&a?TNUdKBir7iS%1Bz| zx7uT3SJOeNovsX$PVTSBGR9A@q`AprdA;7U*rOLcp!h25EE#;Rx2J-}@hgh`wMJ0_ zE!?Mv!nc^o>6W3gM=m149T4W1LKyPI%F6J4PzvtzWzbqE*r}O`O)n=VzSk68DCw7d z8TUa`bhEWO;w=sRk8q&z`=^&Hla!mN+=P&$eF_;3bN{FAm`lRkm@G_}{u>Q{3fq(W z+jWHS`44n_SxfAs%J8qrV7nyM7h=3lU2K;&Vy5B$!H(fZ(XdP3X-VBtkh_%Q_j!J79s2xbeJC@DoQLyknoJGMNifQ8fWkoClpONZAh6V2|D`VZB>tJL{sx=Tc5 zOAw8DI6~r-wrV9gAg?;@b8PRmXZkK`wKy~SmUOTo43g0Lz1lAV}4Xv;ZPr>k=Qgv zc(oLjNbRVl>Z^*N;Xdn$sh6^RB?!`y;0|!VjwoFKF1vDoq{e>`#8wacbJ~!tEEXXF zv3Yc;RWpSZ>Tp0*r7l5`is3Q;lnQ9}hW!HMM9ZNG_&i!XdTed)y%t1h6~p@e5S+JH zQR{-*KUZtk21TYcrsyVxk z_2OHHyQr;E#J+T}5`IPdKg|kj3Uq(QWcLS6l^{m$(6`=fItf1G~a&W-oqr`t+{J4zg}!J3Smy zr6@Wh%|CRo@%@@VuSx}TneeEXo?p1x_rbMs+41lVXuXHS?M|7cDEUZw%1^s0b;AM2 ziu^ZxBuutNpM0+OrR>~`Pd(}VTBr4R(?Vv;P-ja5g{oN4yUSNt>3>Qd9(O6kE& zKOD>`Kh$2E9MsSqWBFE7^zi%JpI2s!BtfXt_gB+6SU%#lr-4%OX5YPgQbxmtzXhtH z0}K6CcXr6)A0*Ay?%(Op4(itj8Po=KEcjp+53Ki?Y%%T7F^J8jBh_+v)i2x#B3{=+ zL)gD**!U})LUeA?`0nEnBbVbMqC7}*@g1EjsXB%oi)q{bZWY-lf#mqv2;*38oF>8G zTXk5}2kBA@>eN@GFCTS}v!I-m96-4PkS_0J!7FU#+7 zxNFvkAdZ>XB!G>{K-h#+_^juBOo^%o`EvL^sjB0GiDRIuvd~Cl`q@*eSl;f_C-+zc zlZ6NJM#x-){!P%BL6!W;6V5=wviptTPy-k&QU-U7J1+}l(^tjpDkwbVdU?C!CJAf| zCbR-<{7x+NM;vCORwEAwF*PQ$cfol4!Ur()G3tG42ujymVpW~0qp<2C(f$zK=HW73 zB&m-iee0pbzHrIuR+H;Q&-rt$om6!5~ zfzI2OGn)7O9R2yjI_3Kh3-QMRFW9z&t%lfz<`+jEpIJD}^ zSMJzeI8}W2RdTBMcF)A!tZ!zG+?C~v!YwHxoz|^=&O=A8-fRBx;M^PSbN4c~Z$0@l z_<_G@=Jc~1-;h}!b4|{D`|-U0(2ZQ<#W&xVxLYdT>H9qRF=5@o7jOFukBG+24cu>< zS*-GX`enT3=;65D>F;)KcNV|hBme6`;az^T{_&?jg#=*YkD_;!Yn1c};-g1yYp{Oa znYLQ&){KuFiW|TC@Rsj4XnS~KqX(x-a%Ge*B#&R+a_8JnrKgWR8E?Ik+SlwArTb;| z*3Z0+Ylk*nZw?v@%i>!ZMm`Jw^tt|J^=q1<4@-4tNkHwCc)7xyRWJ8EdVg?q?~$ig zigVL*pQ)%ecpjhSm@pg{r@3^EMt)7!{*bzePYUe3jN&7IBn-c^(T44=TQPCoEst4> z17<1P@*Kym6e=xUW0_ww?s&~OLM2y5HDfdD57qgH${F($M0apCschqPBwIOt=^C@8 zYxI`&dy0(hmgQv_j2w52`OI^nQ?54`j0J|XC*`cYA_spqzN~P5LTswsz5Q~zB@@Id zd5$O7ABK%x)!DUnXx5ShkHO+Nyrp((@n44DzEPJtIxKZmf<@n}&XuJzp8esnY|fb-x>^zve8FrTT7VRt|Ha~u9emv#47NJ2ZI~yrGopCsrskm-n%4f4;NBo9J zg`S#I-D#|vI!>`+zg(j70bQi4-!jMHv3GlqxE_(qZS+`{Bqyd1>M&??Hd;TN|PPIvKe{uW_bktg`;Z5JKV%9 zFJ|}WhMU^E54gTqa(~3cVc*CzUa{5b-FHlvI!;*TC^o#8413yY0fNNmh9xtO$Ss;u z(wYZ)eo~>c@f2o_rB{Bu{_)zs7iyTXZ@-xef?K~21&qdJ0%c2p&STuHhuQL zuvc#TemS1<0qnS=*-ZQo*}{%HQ$liD=xhg%Sfpm-#=Q6eqcquT&JpuvUoHGU!oCC^ z>hAlWVbC;UGBiVk5rY(CO;cINk|iWcN=zt{dL&9kG?qpPJye85Qc0y%Web%;rCpH< zts^bc;(zWnTAttc_4>bF^YZl6o%^}x+;iUNoa?^NN3{MxvxIxW9YZHD-6tt?3_c_* zdv0Lvew2vDnij-%gGhi4r2AGRnu_gd5dLxaR3iW8jQu&$njSTMPF4L3&7Ju|i4vH8 zDO7!SqEiNpbIBxd6908=(Ws;9o4Yx0L+T_lAsGyaMeB@ImCm<`L=(Q#fC-O1t!_Dy zOW^dDEkZ_eR+LDVS-#|_?&DPE@mcPEJ(j0t{db)YBJ$rUP?Jh&Ph$y6GRw)~<0akv zT#IWO4+PIZ;xBQCbMA!&u;aCl_uF?en6j=0TSSN^`Sr9e1(KVeE==ooN*;77Z44v6 zqpi;&?7ewMZ;n;?sVv?6y0gQ2hD9|`?BiVDW~VA4zYdUYD@Qj{1e z6z!5%l%iYLN5_ALm0zwdWe^=_U$`@J;3tH<9QPN~2S!aMMF>r5spaSt&PCBg7 z5irZuP~ry&}Y8w;UN3qVt7lwT)_jxh1~0 z-?Kh(TCv5|Plp3vEV7S#K!u^A#K1?kak}QuAK1j*8aZ*@%c$65c!dhvy&^?z*skZ3 zOm+8U_&v4&R}HtNb=-9M?$Io(JiKZHgR(R zR&+=o<9=g>1*v$MMt@Y%(yADHJ5tt?3LR|=Fl=>FM4SunvM&1{p_7L*lPm?E{F`i*KxDi?6U0TQ(@T*?ER_77DeM~uYh zQJJ5}9vI(cVP6MfN1h^YWh()w%xKjl@m;7iF*Iup%|6aOKS+aEgoI+a4%z0riV-azd6p3t9GzQZ!Iw8#QMlvg{cc*2lT| zg%#DX(_e}ZUs@ssWFiF!{C3}<=J2RF7zV=7$a$-aYF63Ab>K;lfL-D(ICiGUmCx>; z6g@|p>aB->;F2hcLLEtj5Lx0~8`2RX)q6Zb0x#s?R~%5lGE66gh$9@7!LbeEAgn?g zi**q8E9?+84iTu6pVaJbnx@ zY+A4XlSD9wkJ=4!Qr{ooX0=<8GVNgo;US8w^|R39-Q$@BJD3IA@Z*U{|5E`6FBM^b znN}Qo4BH?P+n_q>&_v>$bi(;K!g&;5!nKHCRYo`n+ma4RpqTZA_b2cP4}8Wr2Qdrr2SPCqEj*<5Kr2I>>pk))&4?P5utFJ zI??H`#Nxgt>AofDjRf^R1ov0;63ACCJyU}{efhv%^wD2%@y3OEe-tiM{uf+C{s%6W z;st#EA8?WX7hK$Tq~1qxaa@>PjKM{yB`NeTxHxX=<~Iey#kcKy7iNFKMOSE1%}fLrH~#|{ zW<09dpKwuJvr1a~_+m-Yl5FbPBBsczAa6)Se=OuD=WG37w|3aZmGfj)+Q;pB?Kzdm ztkIinAJ?Hd->=FOMqB6GQkjrB#au~75za7{;B_T^z^o~r2=VOpZB%dPID*&F zYX^P@!xS`>VG-y0RjRh#{g^wopkqz08gbzx2yc;7AE1GgP>#P&NjrT@ZUfAzHw9n= zp6~F&pt$B0taf9C(Zxx`V@y))e<~H2;&jkV;bMbvk}(WP#$jfg7G$`>EI$)`JEQlu zMcf>W1CrQh;+bue+zQ6K7wFC}&_&tsDg=bK*b*UDU-}C&+ESTqrg+0H{r1Gi7~eVj zOTJ3gw=X=#+kcrt99BbN5d6>&!g^WIzbM6j5#z53DgKtelWb%KMv}}nnV%w1k&ugO zAibyyp~(Rd+-?E)irzC0a;Fnyw(GqD0+0c+2_?Z@=R4 zcsx|(%=~0ReL5kaXUBbn*=I92z zePUyw>tNKL_(d*LXAXUxQdINCCT=DwUA*)qL04LI6bUrqkzTpClFc_EEQpaLIici9 z58|G7I;YFn2^r!a<%nip=|2dxc&-IC4c4@OFK>55v22K1Ee`m)&Uzlo@`ABaBl2CO zQ9|g2M~XeJbEd+JUydtQCv}Xi^mjSZI{}71e_#=p+zYwmrih{%ML>d%9o}M~)5*1Wz;3v*8rkP)i@qhUM4}FLyRf0)n z8%35C8WqJx6vuYCX~0rPv2cy;ep)o-#8S#-x3CE+&9@Lth6RLABy!b=;%Vw&oUpCC z2v#`N&(v0pD7p5LB4^jS?urM^>+%XKs;n(ZD&M*YjVnbP^&$%ew*;338EBzVqEObM ziXf~gcCvlER>oum?z0?33s1f_opCa>B(^PDM+g|AwXjbkq$&#W6oW{WQGW|_w(6sp ztvf6%@z@<>B}ocS-66oq;c5`!aD6ruVwXXBx|8TZhNqn{Vl#ymiG@5GS*8wpD%2!q z;UX&ms=boKLl`QeNIf{Wfbf^nn;wSN`3Oq9dMNQG20$@*XcboHSZZ;ELOkN7SfAN! z4l(fLJXGOryBwV{wOV~gd-P!~$bvFnh>Vt5H>cQ$?uBYFzFBh=Cqxh6!m$=gH`mp| zBq+8U3dgX*?^V*#;@W;u)Xn}ivm9UW3P)Cqod!e{KO`YLU1lL#{|^o9beY2o2xIjJ z`s7H_?&p^Gp|Uw_v~2dc@n<#iF3IVH64YZePi?B+HtVEtx$EW_WS(87St!>rmR-=$ zR=qQLwj(-P6Ds09x$(D(KNz7Ul;);`WYM$PY8Nc19c?c)Qg!wtOL zvd8)#FTeR9za3dX;?3I^g|Oz=gGHkdPpc74RBZ9dL46+eJ}TW?0-WNU?Oxr*#zI5^ z{(2JOn=H}A8mgldbRh97#cW|GLLuqY*>rny%Q~HLsDSJ&kR#r-fRTw%?PYz?Fw_q3 z6MRU{(D<%?wNUQUM>Olu1ghC+S)4?$LtZs@axvNb!j?f66eOr5B!gxz>V!86p#sIR zDA~;fCL~RIIB7!Fz+hYSMkAEpE{!8d;+j~-t!r{YtdUs?3Kzrl-MLL1b0 zlZ;x5Y~sq5vW9DYTt6MISv1h&RUCUNm6{$2(XMu$+3#Q}iDF>TZLNOEHM6e_`BIyq z7~zoY-`mIOYJCr#lRNS?ocKM|ads0b2;b5e3^y}Q(axY(p07L<*A+b9==q6JBQ^4) zb>v$s?ed7~+qpl#Z{1%l9{pX-oxbFi&(P%&(axoBD+a!d@C)Ij@Wl7g zlftQ+ZjS5LRC^)ss3&P~sqX7H*V|6Un^x@kQ4#b=D{zI*r#FsgydH(zc-m}Fjm+)) zu52H1l;tMnN_Ah_UDlymS2gsbY30;CKQ)(@jH`GiCz0zOU2^AbM_u`s!rW_mj1IVa zxU8;spKRDf_`;DFs*QR}20E%2JX_OqaAJ(IVnvUsVYX7q&((~f;myG%>#jRh2|UoX z!-Vk6^be%hrEvyfg;zEVy1%_FT4r)-r?T)1VHFC?MwIrHJ!W~(Hy;sR1=y+}+qGNS zzhPB#5(I-Gas8q@Cv`iQ>QzTg*%Lj%@Y(I5LKQz7QfovkrTw!z3{-4LGFH2t@bADl zKO5~4N+G$~j`o_uj^b$eH3Q!T|76WfZkf zlJ~dq4m`YlN`GkzMf)*Vlu`6n?@SV9UNYr+I%WU1dzBd!$n_X#s4*I$2&6o!pzX3cdpYu2N2EOo`r&b2l> z=xTCvZ{d+w#L>vrFf5tk-z-&|=}4x#U6Yokt3}j(ZF4%s6$T1>R8PrxC z<>n;!{8g%qtea+26wB&Z?%K*1ABH&E#V>slwG@uXOp+<*(7KUL4i;=a{R~~lsjI3Y6 zv$d&|ux?FGpCyNxzhGlJXkGx1b}<8WniyL3-|vv-+jna!(F&uM1n?``6bR4mFMyN2@NW~4!#0v@^@9?u(3Umnp2N;1x+aO^5>;7dcM z`S)mDyT=*SQR&O0=I5$|h2*I-_!Hpa(FrhKutx;MNfu#{WzdAC26Yp-bqB6ZV8Qk% za}(fBtWs{WiD6yeePc1Oy76Khn#w&|HyjPpDrd3$dmO4}v5M}D+Q-IGU9L8d+mR-91to|xbg#g-&zt@kQuFIlk^B`nZ(TBSU_a^T3r z6eLgz|2`IIDvY#yzk82*u(f7N?>yrPpoCYl${)o&4G%u$&}@}(S2Q= z5=fos;eMb_fsubhe|G=J%Ne;Yb{r_34?Zea&h9s5P2BFQSro8g3ha_A_?=Q(2tQm; z!r++`x1sbD%NaI)%u*)!z!w1ojdeOX@nD|T9_h5s@<`|Y=A>O8NSPFBx59L8LnwiN)=@W8gkf>6 zP=s*;0D^0?I8X-Iu*ejS=VpLnUD5NsMEC>8s=z767rT*=meiERXK1 zo8(*C=d#-8-WPERO<6l$hUNcRf`3+rzSh=&fK6bvfjTenur>eedF#U-xf3+avn6ka zkix(8H6+ae*wNv91e1OdEdg#nyVZhIyyAI(lwc{kW5~(M^2*^C(9VQY80RfCML7|c zpsHDxXkWE+f(;nvDi^#Ek^nwLm>tuu(_7$gV+uQ!Lg_>f)s_wjxkC|l%1~Z7GMT_I zpL~znqY&nAGq*XZJ(h9+1f5-f>um>t0p|A*phS!@ECE_wphTmrp3$Mn;k)o@2+RB% zXhMW28qj-X&G7W*9EQOh;bkE|aWKJ5nt=lAna7o+O0%T>a6O`0Ted?Tq6(xI`lV*}{GF{S~6#UUv z3+-x$1?Pw;aw;*Tt8*~Uitn8QVb8!{8?Z`A2Za*1sYs@Y+=g8kD)efk$l=%dv`Q1a zPgN-9g`t?|^%(CHkMAvn3V8ZH3Zoc4UYh+iQs6u8t;ZrZRn;U3vkO(mUF3c?lIZDG z9DcebKRp>e-AWUl9t3I({^*oRqwLOjb-P9iFal#5gi@sjsYMM^6m9}j--?PNnLLEY zONUT+^w8rbOhfy$2=9}ge*z7H8Ct5;1EkcmDC+M3>xJ-a%}E-)86?K>i-p5L%0s-L z!PY`VBXWk?TK<7f#1folAxq>A=wK5{*4>x+ujaZp(B63HK$L4)At+C?j!;DmQm@2B(zB+ zl$-3E$oMGBIHHE;JU$wm&v=dJ3kq{z=`-d?^V>!tqF02WU(k~nCIU-6nT#_lLlPQtulnbJaNTg66gb1Wir>b0}(EEQu#2Xj> z{ZWWeflWe5#9t6GcAroP5tWm$>0SK45K*c17ewe9_$wiZF!>uIDv9(;K!oAn5U~?OgxUXv zh_wjKenG@OXTBMRh?!`me?UZ2I;H6kh-gmgP-OX6P52c`T4llYt|ZZ3mEF4K;p@vk zyXww9upjLTeY&MVST`VnNgSp8sDFdJvb&zYc;Dw$O2y!Tr&<<>(bmeh(6@3~KmFp} zP&k>NPAS*ce|Ffjj7k#)=c8qS^Qoh7HctPUhp}y&#WkN43bkuD9abO>Px8u_=0i;d zicG!qi~}L+rGZ}qT*08Rii)eP$`$}-TvTH$e4kzR1)hIJYCgt^D@mjIgn3O893z_l z3cZWT{xIaa!U~!Gl8|8xjed1HE+cYd$-3!jlK6j42jlXyWvIx_<+;Swp}oQALR_Dvj<@ zolfOYGIw^)L<9W^Fc9)t$m9JL!Bqu1!g2$FGzF(4{Df%ip8VF`PnxbdX zEBo&I5)k|m_}-9qC&1w!<+Un9A%6kc{UD`(loUwf0WE8Q%mUQwVOjO_ z2Mco@b105>6^_mnqx27rgNkC0Sqv2Hm?{g-3zLW}TtV^ag%N_o$TyQk8C0mC2ILnr zINlXbJiF}m$UMBjJfhxS&d6ZCQO{_vESCgRl2i6e=!Tl`*cDaIeH#ufe}M^1%DxSM z%nP4m(#tY87KG)N^*yf= zRvXLl1`Ta4$yvo*s-liU8cS~o1O7r`LrQsR+z>Vq!a!~7jN+yn-rG$*6@)1OsC||e$mG<)x zv90W526b?fa#Yxn>Bno>aV7P|NXP=)%ne8stZ& zEdSf|UTec_1BOXnwGT3VIFuNz4rL6U8F3qGPrj0?fduV1Lpn=!}b;hgM#zao!l z0T|zgG#9>^7=5jvz#OGLgHGIrLMY%l`2Z2%Pq)#e01*?$AcE4IVAr7)3-;%dPDvcK zzZ^>9&Q3!Z`JpOqt`H)g(OA#A96q5l8B&HsQ5R` ztdUw7zR=f=FY?Jy91c$|{j^4~XRG!80n+L!czQmZAB5e`pxlzc0ZKxjkX5Q!lN9=0 zPtkg|=i-fr;n?pBrZk!Ey9Xi4nVWEmh(go>RL13@GR_M!IUM`<%}H?Zw+{~fmaijz-v+RI{bH{OG+8fWtuKjv>|0&Aw z;lZE7KQiNAZFqX;^9DHkyB*d=`zAM{cW?jCr>^6vPe-JiXSxf(0wl#%78T`rP90_mn?!8B;d^fMv+m(AHy+>ZAoGBx$K60;EXH#o7oQUb_UWRroTF{G|P?)BFh_#fRV zEx4r$-1tQcgw#&v@_>LwSjl;i9^2 z3Fa>W*GeiccAb>U3Ali6dNLF^{rC>{Kq>siLpr)R?l>tIXnERcCbBAyS_KofB}VcR zZ*?5GSQ25>_re)^L?B8~;SL}Q@94LJhr^xR`Q|@?wHd)ecg6EWWb*Kh>N=V2zzJIs zb!a)E=55_L zdii_tU1>p^GiE;gv^S}*SFEo$$9!VU27=4Pd>4z?;xZvX6yEzlv8I0XraHVg8F-W| zb|?kWl;IvR<^plF3iP%mI`b0SJ3>#EI2q*#1*#M1PmQ8=`j?<_W$@y#p4P0cq@!j`+zo<73zzEKGD7#4nCca!B;NLv@7fpcwD%8n}A# za5PYB&-HQ;L*(2zl}A8lp8j&RNZM-Qwf8H)t}I((q>>^L({YfEnU42vtK9{L zmGiw1bKrHuqlAJf<1l$}ttQY^NI=FrkR`bnv7!7jyVe4Eu&pXaqNWEfp942_R5E`= zqni99MAlijT+GSqnZ|1>-1~JJkhJhBFx%6Z*Yp4YV0zMNG;}=!5hy#w(*odjy4PSO z&fiBROYAc6v5s1@Xq2$jn>&2QCGzKIKJHR5!MCMXgnjcDb?e=n_%4G-4SsUKV@iNk zW;~2{j%`wURe7sD;0EvB2hR&75eeuX`FNGdJ-dM?7P?Jv)?F7h#`(HU*>n z;NAF45V5Ar)PTO;QUZ)-a<{=FiKrzVY7c=I^En%6#*KkSQxR{=dBkt$&y3`swGvUq z|77`qC7(0}N;5GHU6IcZStFA6Cg#y+9=di8tz1iW?$>&kT6M#%_jj6k-R+~yyg7)O zcL&IBrHP7CX%VUOm1n#xUwETmDs5MfyaL+{bAYBJ5}_}0E)T@)xj;g<`FDa`#I!kM zY(qZc@`hn%hj&sk zxLrZOw9%nx3B6X>9HmqOFp-fa*JYsjn@XGAZ9tBv*9FiJFAq~5;Wj^ztF{Sf@DlG) zY7JskgEP_+G1t!w`R&HBwL)8oQ~^z?%V3mv01WgG**6=3U)5zGSqJ1}Kx}hK%vU-o z#}35wR0HrxU}6Y4)w^AIO+ax3PV-BIaQC*ONDxV3zvLoC^_05IZzY8$Q1cypx<3t$*J#szrz$l)Ou?5q20z!>7w2RY;rz zCXg5KeqAuhZ9Q7-d2_tjayj-wyx2;`ngH0ovBgf{HSG~cwBrz@+Sf?6Yi5m83DL(a zWwEYGiQlS)#syheWA2D|>4}4(qrkfkGpZeMYOjFFk&OaxfDfQiK18ZLhvf>}*2KaD z&Fdm6*D)Xsw6gKu?|{T)Dxc!9TMSLLrx0BfBk>a7uY zh{)u^yegrHsU7dUEs>1pWr?V;e$9(Gvg+b8ZV5m>{>743i)se?Akc}&cd708%`|Kj zq+_16^&f=1yIKK~TI+!>dgq@iyw;=eWyq9D zMO8MAf(CSs+J1I#1P{1W$O<87{{jthnb+89kBFgW9iwZ7m}tOu($7(418)2Y8ZvvK zCz6N^G-ntkULyujBE7a}kEOma!vL!a5ts464=)jcULC&-FpQU|ysarrDyF21iPa_wA9w$Y&H=rITX?jv= zdLn6hW6y7d-XSQKL1%|I$P152BAVx0HRuGxc_fu~5$61*sb&2T{eV0bq>8x5SsRbR zAE3d^CiB`JXzKwxP%6rH8SUSJI%o8AqxK<|V@=OAw6oAZ1e5^8X(v23X!H<33$yx{ zM@^oJ`juWA4k=OB=iqeVgjh?06u_RwY)YSN|#zeA8uJ-xBpMzu$wX( zmqg%gw!b~+ilEO*(3gTfxIGJ?H}pH%jC&UJjqhro^cxUUyW34MpZU^XSqF5E3H$hV zv9p2E@ed}$xwQHNQ+Q3mI3#euA2#Mgcm$N2saOmDU^n+;r%Eh}o2ZMA%nN-sFpT-h zs5g=U%sSScMK%Obt|lZpb%KDFhiIQ^y)&E69^BDwkbvp~EoaLZY1;ugQ#@_5fEXEZlP_@9jwM z`6auKHm#k^ZuQ(J|M<8{al(d+e?G6NAS9ZJg<5fW z`w#7ufe6DiX#s6-SH_8_R^Q7)c}=09fpIDo z313){f@j&i28XPEbkha+*xd&GtMZSQ7#RiQ^xtfIe76CR+OvUpZG#FL)XM7VKNHz6 z{SfiGS0t(hZ0o@qHPn0vEq4jfc`MJM-2yJNX5N;6P>%zr^Set$*d@?*XUorsd=I|I zdAiDNDV5A)l`D~4z@T*KeQG3RQ1(hhwLl&PbE1;QT7{QrglaTRW$1@--q8-#Xi#5% z&`15DqD53bz^q8G&`!xw5(Q91P)7YQj>&Z+g0eBz1dPqyuBJ{39BR8P0e*fOjE~Tw0n?sgaEe>s?a2u=j(8dZH zL;)%J<8q)EUmq4>e}uIA%^6ulb;QO98j&DnMFS z@C^t-DeUn$fp^5uqm}}1XpjMvb7koLQp*7I6EF&yr3-%MBaU)qpZX@x#0|Tz`TBly zj37+M(c{40XecZo56k+YQ0Kb6Xy2_b7Y+vR#e+xEtWGU>_v)cnkzDxdQ482}@38iG z>4njVAn(%st;7G&4_UTJr~id3N;Bi<`L?!g$a8Lbx&>9hg?;`@B?R8k;sTk=eEn+= zN}IksZj77vw)aDXzpzB5moVl zy5gH&!~SdjQK11*_pPBwZeB_hFxUH9Kh1_(99oVb=I^Q}3v0;%i?$bu78dOa zCx!i#>idRHy1*ff)QSiLA2HNo1zQdiEXReHI1bB(h{xJci{EY)4?rb*Rj63k@}Bow zpC}KZ&IT^f*#K4d$11%$TpGw)n+?age}(?nUw%`RgXGxH4HB9%hFr*9Kv9;)4Y1Tu1FY4~ zhqoMqHvW8{J!!Vxk1rnO7ua?0M&8Fn9eg75{^?f7k)nC;r+jd! ze)ja^h2hKl6_}Ss)heH!9pWi-YTp7ccX znfkNb{3h5Nb{SWro2|F`#jzV~_lPcftb4MBxBq$hK13Z-nqRXirpyJ?%!t~8!yCs{ z2zkj-UPqWVqF>6{a&xbGAr5n+YeDRnp~b*Q%SMzmzv(d)M9Grg;9hgV|B5X&{PMLw zc*)gG_uPwW;1)XDKF+ISM-*{>!5w3#A3K1d?Q(?ONR2YyvghSW@=5Qh2H(G@GF9QD zjGj+K&;Ot?Tf56Qqgamkct&Qm;+*TF3jh)=EC5DkGF1sH#h2FW0yq1y%Pztb`SGN{ zTqjZbki(^G+HhqzMub@1^zR-UQ8(Z)!!>wI4Iv{9Xvyd0bkkVGrK$Uig*+$Fz$h&_ z3EzU>4EOMlEq{gfjDv)-wmUp_w^x>(Xi)Ru!04UzG<;`0zf=pZmsiX(7(Bb|rJK1& z)z>860iScvwE5-xPgqjhl7UW~7=iAgcRG=n%1bx+PenJ@<$m8-N7t~aIzV7XwB$)} zXZ+F8;@Ddc*1z=pAGBl-__f3;d(kWLn7|CTl?x&^b|z;B8NwnwI)xN~f+(qg*fZg? zfxDMZ7@t-I48Bo`zNT!zWcQPO_UlVaf#N1c^+wl~JA+!d|m_JmX3u- z0)3VQ6el`}6ii1VEpqp3MG2`vlBK{ov? z4{`qoL(N^k$RXm`2(XP+>OfPGMK!?LO0{dxM=S)hOkf$q3O+=X)RZvRqxc^|1)fs*Op|nW*^5HMj@@bA zW*f6H-&)(SxF$-apvKK1jsd*nITvnS?mK_|YlEY|ljs4tj%h-u;5EVHH$}i$iDJ5K z90Ps=efV-;iqQeo!hkN5Uuw5{N_#qCYVT1;Qrm~paCKrMaIOxVx+OJVBuk0+(-x4z zK2A{1j>=c**xU?v^Y@)uwIDy4N&{IMPsS2@9>Bbcn3fFl%1?4v{jMXUQf7BW_Q!#N zVaX@q6Fg!)g6-qlf3FrhATrk%21PaP(jRh44O;xO#a)&!{t?=iL^#SIF7>1aD+B&y zenhyoYbWB?+!EN#UNxK!Y~h(}fI>~!SLZ+t2BcuNiNnaZ8c0A4nhKY@nh zTYm@1lnT3=9mF6$6f%ZH-w&|>W5g3O3+bF9M4_IzOK(K=_9{R4kRI_T8{En%sG< z3QPRytwx7;gmd59nn;p`KK{$Rh3%q6~#i1#?wc zl4F}o@J%Q9A{s_Lk=Zr-Qr1M`uqG+Onp6#>HN;2+xBvwdQHYN#5T_k8s0WnLP+%qV z6Pfyo?tT#kHGSY%_w+x_0P^lAtVJ8o_rnCU0T~o7j>1S_@H%0^0)&78>M~14>XLBx zBTs?Xj5F_vQfn|^@UU346hsW)LlqqJc0mIj#I^Ou0OLtd2=IY{W~gr;U=g<*Id%|o z7NRteh7ei15=%7+2P+_K0bZEkr=Nt)!aMLm{(VDB4~obZabK~r=32lWRH=*+%>E$| zUxH<>tItI%SSyQk07m}?L#8s)!9p#PlNusVgSzyEtqhR5CZeHI?tUq0vXk{*=pYJt z7Ig7=3~^1K_>u#2UdTgphC#Hd*fp)VW(l5iJl3l^so9#EzHnu+D6tr=^#WMS2V!e= z%d@4~SJDZ$kz9+&Xy_dn=R!@7qegk64jq5v1&Afu|JPERHAqRSM4LDQCSx5!+5##L zGD3ACcMLCZxK;&TvJ!;WqFC5-)W-k~HFc|jWWp%;IFW064t@$C|tg+0Z z&4D`K66v%6bq3(=LaK7Zj-}~t5rk=5;-sjw3gcwLVH;6jd(ln{(N1Imz%P6vuR9%M z;BQj$Xs|v+A>NIrn*GQPn*E2dR(_y)bZr(P9g0@0pSI9}%#1DHFRke2w;l^sj$CjT zxZpASTVR0yI)DfMBCtcc0#tWc!#veg5#nx;|6HC1@+)c!bP)+rCEi7CB*;^cQ61F8 ztyxClBILhtLDfD!#u@^%h88ZsqGuJ?Y>6d&1J>esUfI@mc_1(&^>au^lmUdAPsX8y z4LS&z7S+7NW)%sI6+W<05;PVFs}-5Y_ofA#ozD&t173V-s4Vfb4N~iIL`Qve13>V~ zx=dsMTvg&{Vb2TX0pO{+ZhkZD0f#rCwRR$Cn|4-z2a9-Gm3SKY3U54eEbXbJH_p`i zJY;}=N-!yOaRCgnWuVaVz&`FGCR>|g1aPJvPh|Q@Yx^lP{Q!htDV9JOtccPIRQYn9 zT_HibKNhn=uN#vHfNj8Xs?Z_b|HB2bUy+MrrT<0?Xm|nxa}ccLX`(>vfh=I6B`F8H zHD0Qo8VShWIhKlIq#Ptu9)>_8)b#}Vs+|4(-&^dQurqeyol5S1akDKoB!Mc)w=h{c@oOXQCk3MrF29Q6wgKz-4zeJcNto-T$fdnF1#o>S3gnbMU4CA$S zMQ_aVK)o5n(NOIg?FB^^%YafnVEYHQO+wC<_M4L2mois$Dk*|N;!C0mgVHnRhc9%W zBxg6}5IXyA8@UGLT+vDH?pQCQFf}#nC`JRG_3dpsNA%9KTf&3M? zlZ9CSB$yKtca-7-&3Z6ylEIX*bXXX)+cL}}K~w6j5+w3iDH3Q07O=G84Qv&vMXIlR zRm&NseOr<55cDc^PEmK?!efZ+iU0!wAPlYDhj!HzNp;;B`K@3sUhsCq2w^#bDA~d;Noc58 z^>%ov5`;jY8Kd?bf8`exb9dZPi@!CNu&oy@AfBlTbjK*_RUux;j+G-;L5D}P3*36g zLlY`IDDP%!2$Kt0^J)lK>Q17Ca-L(!#WpO`UZ$$VN`b~i#0Q@>k0h1n8?&|}nIRrhjl%SWC>Mamyy|f1$5C}D@a&U0Le!TmVOk84l+=nO#J)-O~ zTu@})2LxhVVB|qnR=i3E(Rbflh+YBu?mjCu(jj#sHBH@fv=oWG^>w}0LY3t}H7?Wn z-6Kic#|DBQe8t`rq54}W1iN9}t}8%xc3Y1-NzH(+QwDs|Cv~5oMOnGgc-JCCTtDUu z1?$&sK}!SIReZ4&uz(0aJhp!xfn^nW5KIV+NI5S`I4S4 z;acS7WS@EMb^_EG#q{(m7g>mudj^nGN=n{%Wt5Z*XD85W0hL385v^FX zaZnFp$4WvW5J<`RjAN9PjEZLiqKR^ukFb}>@qx*WBBfAcd{!lr(~7)A{>U(|A^vjE zD-#SBn3MdV0GQznBDqx!I-j`bbD{qh6vUWnZD8*9yZ0CDm<#m&%CS&nCoe^f*Pum` zzWIfl)z=`sfKFYhf68$x5l*@d zNTEu6o(lzDq%jE!yhqzX`O{1@2;E*lNJixq^n!xpvAc`Kv{j+Nd%h?=usg(iwAaQY z-^#AKX^!wJ={WbfQLoFE%A4tK`JO^ehtlXdl*Mj>iR53Q(g=mheCPeeQ>x)SY=fKX z#+ai(T)vx5u$wUSpqd4QWN{!Q`!=DRS$Q*8b6u0u9f8wq$IaK`C!-4Qhm?NZ<&p2b z`=9(+cJ}?&uTPvVZWaG}XY1Um^Vb<~evaI%JNRV#$PdYgkrm6n{WRVGHF@jxf!p|X zD8z5da;;$$_prP>t7M<)P5wEU;l$E>s;^ylaN zE=NCmFqn|#6I4YLH=FQtMrX4job%a;Ep5<-_EE}CU%crJje_+!hzOJlmX zG&P*`fv=dZGL~9P3W}LwnmKggY_9k+UgPzlz>;sBv0wj*=nqr z->F{w`24VgUy{e!XFJa2eqNU7q_nJY=f49toZ$ZNw;A7JZs3OmF8-K%Z1Rcl?>fEP z=Sq5yWYII0&#~uub%aC_(+lrZ>2_{?uwl~2+}y?-az&%-ofPudH_7B?Szpsk3O!JZ z)gqcKQJvx?LU)WV66Ge%aWztPJ!_l)Krk5Nxl3(-XMrfa==Jow$>e_~(o0n7OFd^i zlegfxhcPX9eS^l^n`L+Au6)+}^{|HZoC{aPSvL24SHr-G^p{qwYSPk14hyO+X_$A} zKNNbMfuZ*1;>FzNpfmGTts^=+vYPc%n^k3Yb$fndEfwVg(#}H)H{Ki=$kMDYA26(P z;^s6#Z}`^QB=RlzWRNQk-xH8jt+icm$C2B6SDI(2O=jFE@0Qz)S6hTud+cr!nUzdd zPq**#tR^%=9WCB0yZKVFU;b5Ydffir@?D?<2{F*YhDryn>{M2>72Ml!J&{fZ{1d`s49Z_pj(%GMJmY(vY4o!RLW3 zFXpq8QnP9lCm`wMJO(S+j>S}&|1g96X)D`9lEusm`FYv4B%JT$SbFsIs+xhL(*_b6 zKe;|oW%b#xnE5W|8Swb9XD=fTeQj|v%pp4l9o?yplqv%Aw9}qZbuug`Y19omOxjfl zYy#EzTe+W|TiDmQ^UYU!qFt5PHf5Yj8yt>>smCksvK)wVw+7`~i@~E;%`r4wtr>sI zq5J|8s4|Y6B5A~n8h_84>n(ltxFB}1@>R&+cW+TDdVj3nkl|s*DX~p_yAe&RAd|kl zo1Th(U%)z&%=M14IGSt^VP3BJ_^9~$bcMXrAzF6liK#b?lr)lv8vn(bdmolM5cNNC$}YX{tKMp^X?mxfa4pE<1RRjr|q?ZN!dAnO|+rfzK(sAwMY zMThnLq|Y?f`bLJLb@leovh*XK>LFWa%PoQ}I3IZs-1XhAr_j+PEH}~R;c8?mYze&9 z*q*jzvPn9*;+CTk*dM!Hgk`f|OVLK+wUfkw%)1*iYQe$}0umSi5||~EHSHyCUoK^7 zgAFL@q^k|bIIFP|0s@Qb?*?XoxB3(4FS zUe{bVP`(eFU#=RxaZrT5(~&jVjVto$K^*Ayn*txh1t;j}BA9e`hA5q!29rki+w%s< z_C_gWJNTJIE&#FE?T6U?FQXgdwcfhTN;J~2b~v}*K?2Ulw013L6S!uMx)~yLAShGm zCtB}OHLT48HQ6iEUd;@Ijk$JIDSM?lz3~7{3%ZMn%;-*%$Ti6j1*qO%aW0+QpOY&% zKKpQE-;_mtQ-B9qQiS)u+QD;$?!in^U0Bnbw+Z50aOjou3_;t??1cKvS zA09xsqCnyXhg*06Y$ua%q?3P$vOY?V8SJ2OD#l9&{o!;ln4pIUSU})HQ+4b2fZ6i& zjdm=clqmyTZE)UC&#g0HT~ust^O>;H>{9kWVyrH(Ls|N;4PJp3!U1Qrf^C^-+CWwM zFcaA!8DpzNMxky-;SBpzvE8>!UNbkH?Mj z%qUbsVku(7Vj#nMXwSQ}1Bs=ChE_RqX)+nhjWp`}EO_kzSjU$4|Ga+bLP;y%shgI& zRGLe3MP~R6RCv$#ts0AO$)(9%6&zNt&p}>ehP)=k1$j+L9GAvOBu}1uEn0*g{sWSD zULjTiPY4=Ct&wUJm->1yZSt+L|M_BQL2C(_fG(~tt_Xsr(Q?7dg@6?QE@ zf?%K)B)#Vw3Z`Jq&5_1W4~3`SI}UdB)c1A}2cd9<1goVKVbOpH3K`(CC>X|ZbL5)! zk!F=#@ZQEF&6Y9ffiut&BCux7GnSJwmg|6f+49PeSckBCWuu`E>12;}NnKIeL85rK zDD;2{*Vl5^rrJl+%Mn=n<;_NihcJN1u{MRtV;H z{+)kbb3tZv!8T-tmP7~#(nJ)rOJq^j;H(jU69fEMg0Uc(d_J9gK8}1I2^B6zFKWHF z#D*8%;*`RjuZM(UVry~a&S$WWNMak<2&(#JuPsiE+$iI8OFax20TvQVMnc<@$mI;k zaJb$O4X@pX&Hfm@$Av4^WIwn{wSE;28xq{4V;2^~oDN)XLb7=Cad8y6e&-k7VporI zkPG-Bvzl&_OrDoa4pGCijz>~WLiT#Y7G`ZJw&xv0la41Mdv%6!a`fG5^v@8*WOfza zF`fpgTW^RW-YX94h%dy$)>E)4>kU_{*N55gIx)JvLFkr?(Cr=IAZvR7ccXFo@-vff zg~#ajN^om%it#2H>210i;SByf=N#xVXQ6D3bzI@Kf z=5U*Mr_5%N^CJ-DCfoBOS^&et7o_%Y<+hL1T%JT{HfU2}Ftr&Jm-GiAE!?pc;@P)?-H;t)SW+W}QND3#yVh zNa9frtxvwHKP8Gjh`<VQEX>nY2sww0eSgDC!T}W+sD|fq~V_-g+DVN zpuk8YzDsoKXle3CzVFa?JbNs3i{orpGQ(1Hm!%+fS2AEBR3@qs$G*0-80C@QIa9y26Ognq`g@du z$f9n>lC6Rsni?R;XmijlN7_FgOWua-l22-^U~8z*Tp#`!UT`{;<+6CQu!6E2h;5WD zJuZi$AXSE$hdc$6A;;c5tu0P@R|_KUulg&&u-x8U0FjJcumC9^g7)ahIwE_jJy&2{$a)l9^%T-A2a+0P*K}`$=;m3pz4!Jic=)|z zI2Zb`7n(upeVAsxvI8v?AOmW_Mv*2UTHm#R3N9ahW__>`gTib9RJvt`Q0kp3$KY-b zRiP+os_^@4CDyoI@IRkYCcM`M9y9Ee@L(W$!Xsl0D^M#6_@Ds64-13a9GJKOjtYN` z9ji-1UZijD@fximPkb3nF!}~Thn*L><6d~+Zpbp8+M3`(@@zm!;mOFc(nJ9j$8T=I z31F@Sl*j$@auW}Jut6sz?Dkl8w?ec@-l+?qikZvkXM2!qb@OMucuCQyZ|v!pSHj0H zKmHv{G};|51PSXX6R4%1QKv&Z#O0xGENlcM8YX!mP;KaG)|b>rhM=KVabzWIgsBkd zcGU`EH==VF9K2DK%e|UI-s|#cb#AkMnx`V#w3D_BmbV>?mhS>}-$C{bRPmMeLxkfS zLB)k&5a9(4*ZeN(@#C;i=*UIOLgE1SEX~Z?zTztI!QPk^T|2=H;jo^2d^=a4osUjY zplevOU&LYXfMQ%k3gJScUQE$?pB00ZBT9cBC-w?O3~0-NYOR$xzDC?1D@!Em4PmG+ zoFe2;;F_&kSTX@ZR_?|OwQrY<14@D%?OG!u5})n1R@}Mf1sN4v*Cb%*I2Z~kx@-I(6Y4if#}^em zU@}*;!o9v5Fk#A6Zh8}hi-*nzh(atCBZ5QaD``w)8` zL-IR+Y3|5JGr|_m!D9iqGzlW7F6gG0DdwNHTX{1&U3%(^yB%qSfbZX0xBl$^@w0y6 z%jk}gFRx^Dy?%blEKi(s?cKgWg4!2e zmOioi>9v#(yLWzD^O$d&t~ly4N(sOUGzcES8;?Ejh1qjox?4I(Wg_J*Cw#PZuj}9T82M zsrFdo`5DX8Z+*0>s~aahZ*O>-Vkft8hT{7dh>Yy9Rd?J^HS`^?G?Rhr%7ddV44+EK zZPkH0sKl@FBV9-ZCr5X^02+C5-?8Q3QWmdwVlO-_T(fFfzD9>EKv z4N|++WYA^zrK6X2LEq!ICQW|b&hH*r^*!?FHhSX;(u$9U@S-OpULw3@Pq#Z2Ki?X- zXzRBWn!}`P4tdpY_y)v5Xs0Edc3_Sy8^1Og3kI)Eh6TVY4dLD{`Fr3pPXV;x5bJVV z{q1KOP`Y@D)9`zf(OXD;C&BBuzF)6Y`G2&%3pmtk_cu=D)Ci$wl*yQKieiLlM1xUs zrc$=TOpy{oCLP!gNz-GK<{61VSlD$X(uva+jefm6NXjfg3G%M(AGE zN3XPJvt`P!4?w-7l5nUeIfI(L-6S7{}=M{wUBNeqGcUdl`PM z&WVZ|*9Jf?zEiTl6r8+7MRcI+ zWYB?L%-j0d>Lv(ORD4RyE>{m%NaxB`raHg3nRk7iI4`07Yv=;$%pD`aQKnC&(E`Xr zjp|l7D1JDU;kMTGXXf(hk56~1Qt!0$AkF;DDZ|A+;ye?&5Dyh+mt5?Lz~a#5tGk~h zIA)Bvqq5n#_hDMpTuDf0hpqZNAe}jk!4p}Vu8%qxeB_7Q_-Ftga+gX%% z1|x8bf)VI8@Z@DwlN{ksA4-tH4nWc}U_nOz}Hc8P1cP?=iSUVl`+ znKl0=&kc<=4`KD^Jaht}G-Hb_IxRRc{Py1w_D@SRN^;zYAOKQ>+P}W7HqN0?tF@@r zNUD=1sHfjP1&G^gO08C*Zh%6U;-c}TO<|CUkbTAx@(*i}urS3#ZDiq5LKWSS#ety$ z`T)<0#~>|+8Kubct~M_yjoZ-lyUYfS{qxf8ECZ>lN#|DTD<=qvMNj5 z@kBdp0dNi=t!@r1@7Gn3eR!wcPQIQYhbAQl{PVed9+p*ws=1noYcYZho?};-H!uv7 z8hsD|RsgAeehc+wKL)Vw(a}mV%zxPc41IT--DJS~0czVnzzU!pXncdqw3H)8YE!Xf zD}W1Ffv3w6s{v|XLU;+!VR%2SIV7E_kCkV@hLdien*36(X zDO7to;z>D3R~F?}NAG*8fan);ECph)D6QPPKps8uaS>a8f%uUTG<_E|eK_YAL+;QL zS9eWA$FI-?B~)FX(Nl<$-9`Y{jBb(}WAzxU>yZB}17EMhiN6jA9OVzWOAk-BjMYr z&Vibtkf_yxczVAiwoiWv;b{OLr2E99>f2ZbAs{s*0>Lp+>#a~jVxlHqD8zMS)*IR0 zivNNXjZc0>ibhBQeU5F^oBxUw&;N}S@PG$8|NjLkD*lBOop*$t2q_vj{0CB0YEdiy zg%rC@sg)Q~bp98lfK26okRrtzrv$0lLvrw!>G|kNRP7as%sIPxhlVmfhs38?|0;ed zicn-4+X7UR^FM$hCF3aNfq(X>E!0#;34~r)L-C_12>rYh9RG~fTh4;_G0^+SB8g53 zg-mf7(^K&ze0K0uZw?D%CfZ&zIV)|hP;`j z_*-qT<1FfdL9^FT&vx9LB|)46IfMy~dt~Yv%i!@2@5JDPJ(9qevL_XjWUt0vo-SOX z@amHdWgzata#>b*wilHC{;F5sCy;MZ>yd;){ zW_S6#?61J}M6{jrfxY>9n-VYEh?I*K84QB4B>YZWK-O8?l&PSC0toV=5ef~6QK&@+ zSg?)<3Y)kBJW|(xh~ble;YFwk6?ht8pykKg1^_MF(LP*u=zb^4B3$(Ch#}k2lWHyL(5A8BuA{mLF`}r=mPlcC+$fz{GjL-YYWWx82#&s3aC#7As#g0Y?Q^@00*XS zl=2fX#_jM3*&!Q}0c^#(3~9$mVZY?YKoy!HJ^Jut5G|w&dB1*a-q;oVGM493eKbgv zIVj5b^k>~efN`A*1_-1PW6TB_$H2lZx2a;lXe?6AKbZ$FDBVkj7l)1^W663}J);#V z?VwsfrDrds3DYEq2b&_(Ban6vg`Hc?%t70Nv_Z&nMrKtg6mN!_&|*8p8H&5!@ijms zh$RvK)GWXR!~jDl%Ji-jS^%Y+cKs{Rq8d|~Gf?s5BM7jpRERV%tVV(pAwSscZmGVM zB3+ckT4+p#0?4$gTyZsZyZ$fmbtgQLHtUjDt*{gAk}36;G5$Ft5cRi3PN@)?RcG%* zE#{m}l5m`EPl5F3*J^!6?J2#5@H9qL#umu9uRd2iR-SA_`(e}NjEHTJLTsCUK3(OC zjvknHaP&0A%KBDWq^wT|s}3pa>g*KAE*{YKLh8?22e8cIEjxJf4R!+|Ys#>P45Ch; z%V#{X8tloc-0jfe=rb_t`oO3wy$wcPZZsO^UsK?pA_D|7>f|c>iN3JzBC(s0K=w!D z?5!mrwP zC$Si~{pakkhpfmBHKJ(Yj7E5d1RnPQqY-%_UY?r` z?_(iT5YWyX%QD8C0LKm>s}%}ME1?I!c7w~LE!qfqdywbGl-+ph%r4)lrkqXc>C>YW zBFwkol;9ySp6@--fU@DyBzVSaQDc|;%UC;t-@OhbD@9`S3apR@tPnCdY>*X_BC>>; zP^-$W zgAim4QIaUl`mI%0gO4NU|`Ox?zoAMZtsW2*1l-7d1$fW{oLK>#^39% zRoa{VeDeK~bxz&uv>J^@`1@9w-Kq_K^7{3~ronaN-*vTLCAo*?y*%!>bJ_xduT?=U zyN;gd!~1?EWN&+^Pw~6F?7p1JCv8Dp?wk4x-!yBrjm}MxQ-8r$xDu9Mw;BI}_38b= zGWUk=ltzs&^bJ?E)|_6d|MF_FP*zYzG;|5OuvSTLV&b84B<)t^jqyu&w->r!Ak58_ zRgKdnI`@|cUq2KUj{ZeeR?l2gN$iEiBmSzjk4+~1T~j+}j_Xd?>k0JzhQG2de1Bxp zd8+DSU-_OyujecE8;x$;(40s7w+zk2jt-9J41O~o;&3bp1TQ%P=4!O1mn~fhjXT5iwRz6gowv@9tWM^r zRfLjw)o*6bpuC7{^4||b*t1|Z*JIH2Nh$~2dwxkA%7{ODBaq^GtFq_(CshwRe=(g+ zP2#Mr2d5;Az@qGLSUE>nxqEoRdH(qhgPi4hXI9W{lo-qv@TbcJWuWnl1flp{(E&jz z?4=4}Q!M$0Mos5hb<}GzXYiu$3IS`8K8-EMp8;-KSc2+EX|6{`_o;+J9kQLwzVh=1 z%%ZE)rLsKyx;G!>lI{qy90bbM*O(-V)AN*?eDV>@q{Px1gEOQJMCem%E**# z*Bg1UiH8OhPesk%3S|7MYR4&Zo_1b+o#4SrfqQjzls5XfDz`A|@0Z@0i}3`fn2PDB z%gU_6D7IIY*J%#U55IrmB0uA-^+C0bzvv3SB670S%#8_tl?$2GYX{cU&4 zEPRf1`R`xYHzI*;lUvG?sg}iw+zK5Mqvh=t9c?%#i&Y5wVM2p-GGsh_@QG4AKUJ_ILUX1Tqeb48)Ybakn6i`1+*W;UryXqOK@Dxj!y|{i!Y)v5 z)R{lOH3qX!4V^|f<{GZB!)Ym*p+w`Kdb-sOt^5{kig|vlWqV>Yoqy^sD+b%6@d4!$ ztrBlM!B?JeZ2S3gw4i_dXedu+JPf@K_WixX;vKpz=scD+e8>9CE^L+HKxcf<(WcyK zBe(cnpQS~1*ybzfL|atVMx?XNQa2e*{29Bx933W0MbvmfGD41ioi32P2s?rnylLS| zI^Y<@?9^gj_at@LqDjeU^EAec9GKYyikqWUePu;+qU)lSI>-&62_UgpD;GN?>2UDF z){>anWwN}xojPa)(TA$0RXH!tqS=67r(0NDQhJL(!J+Ar+`-FC&Opwe*&T zx&p4&y|3=rmr_434UpTK=w#gV2q#@=n;=-?Ny?F+*gju5MGy}Xsw;CWiNlkXK9X99 zD=eLF}E=YuJh>l&exU$P0os z(diom*m+Z>mL+-0!n5Jp7cj4Wjum`?WS%^KhCN*sofAg{e4z&T5DG=3>y{%x$!{r= zf?!rcWGVhp11+=@VOcfEycimPfh6IESoXxH>XXcFGc8`#(N_O` z3tqDYC*&ag3sPG)ir z2=G7GlzQz|+w8N>Ky6YKz7!fj!epZ0gcj)Q6h1aolOHhgW2l|BOpb?_%)PlUsmcTq zOz4pqdfM;@cv2+VD6YpTBCv{`1VKOWDUBZe*k~S;b6g%s2J5V}89PNVu@r5TX8_ZAKJ&U#Jf}>I6Q?V>J?-g7VvUzGoy+v6d@RnJ%3ZGF z+8fBc=OSRu*J5nPkcUk9(Xc34kUK4lt6gct^gjHYMq^VsxGl=7G_>tB(BvN?9uIO&=+4p%emh0;2msMVOIAwz)mq96mnu zK!VUd652r^SP=*YK=@NA-q^`o{?Ew+@>BhFnGDEwvq*LjU2E}UoL}BnV|%2=EmXjc zU9C0+)FU%GKNgeFv$F8{W8OQSdD_xlNBoh#qh(hfNJzSv&O|esxrM`N2Y{4jo;`qM zIr@hzKb$?#9?xn2bxLRd68I!kNOR#2rlbNb_Z?GUALVe&lnGmb$PhrFg9pv-2z-#T zW6NKUjz}x*pDCpae6WH3P`3aHC^Y^)Wy09&n`yd%(TGjkgm`#i|epeyCaN_i<&st|Vndhjl!a04>3 z0yMesCkEYL0pblt&vlc_neJI|uShV**1yvpkshFgi1f;2XQ$$3n{B!|?$IQLq^Z!D z;w(=%m5TF1!nE?|Gw98ClEX{4A5>zYB7k zrI~P91*46hQ}yY0e<`lyDdJfv%7FyS?@HF+=6;E>MU82K+)QoCfjnh+zvjfdc~h~D zH527Ya9LGXgy|A9ZDkynB=3h_GXzU^*cB-XB%*agZDkgJ_C1Z?qCz<^Gxf3pVSeD# zbraLF>Xvu4epaSz-l}}Y42Tzv))kF*(uw3vhy`?*b7eucB*p2i?^;2RawE@_@&r@~ zV2J;Q66?jfMEjV(=aSIp*+#SI{p8^ z#L4qJ+q0*BTx+@L+b%;o-IPv`!^97m?-%g{b0e6(@vKOh;B5XRWkRRF=Wv!8cifC= zl|^6w)!aB>G5kgm?bl*kdZTKdU0&;7f_@r6-*xUn40yGE;;HfVv`o<8k-7Cs#= z7P&36NW8Gv|H;^(ThRF|WWiKXh(8D#u9m&5b4@7KEo--`-_^=qJ&$)h#e_mzOSGPJcBv6sz|;N{K$7*o0J0T`~hRHS7?e%>h8`t19y^{Y!w4Qq2Tw{y9G3K`e*)g`gzA#dLq)rM}23rmqu z@r#hs=edb_FS(-s!% z?Q}?X{h$9xLm&M@2)hOB4EAfGUt-K8}tRlXgb@O<8XhKjyAd7GDw?}WUwKRP?*Oh zwWZybAh^wum14@R4p*q4Uooa!oU6dN$*yd7nKs2U z{~!>b_efWniZj@U&wb{*sVv#pdc1jB*87uT{SBJ26^jq zFb6l3gyVH>(nL*xf#_zUK{k}C`eK8G=+)CbYRt?#ZV@;gX!`DoccSj+Hp_v(_R)Lo zSS9oeK^k?Xgr^cPBdUy6Ln&A(*414;3a_xn*|ZBKO)K3#o2JiAP^GjkPVlfWzw3o- zs){-_!&T*TPwYmMKW5R`UPB*Zk2fw`(jMb^XD^}Tk5Si*70rvaJr-5Ui}oo1?Ng2G zwezmhL-_qiA9+dzT1PkSx_I1!^(Eq!{2{h(wwLZ_1!%l%NqC8ZE9rCp)$=Y%Gob7D z>+kgThz6lB_vTWw1nY-#^!!d)t-iF~Pwm-WQ%kgVx8VuflW-;PuBd6j3HVEe;EHV) zhuho6@q-ncqcoMdL_I>`q9Z`TOd7vP)DlMfV-@}qgb(tUnRX<(o02``OXSA14{=Rq zlNg>uM}MsCLD;Ynd#8u0TRayPsAmn|x)G|)@Kl7)gI0aY-!NpuDuB{_aAla3y|{fu zCB&Gb6aC^beEylN>^rny#MR(Vfv7y^eNL7&X<)Ga`>ha+uL2KZtPN?4>e zrO1Eui2+wKI|;H{l?lGnr991A-z~dOu71P!-9q%1&?p)NF3sv3YCP z$kI>z>H~{9sIMK)zOQP?h<3PDy`!K`KBfWxv?zb;b)UKM6raYRi`mOBlxTN8+_AaW zE|szIa`=swoOi!|rL%V@epBXwk1{uc=dvkBA4Il{U8s%QWANMYi8<0XsY^aP%pSdw z5iHgHY}vk^yan}t-`OS8dxqxmximkf-(k!)yCtt+e|L)Y?9<_Ut!z}j9A~$@srggo ze*g0;)Y}hkwm$9Onn3#8!(?&b(d8Kh0#Aud??%%rdF#H&z37}3LZRmHM|V!47Nnx0 z29I8SkA)Z!c$oJkw874+LNJIj(9RRcUEi7`g+I`Bk> zF_o=M%}ENnsCJXQ`l>>G-*!G+Jx2I#y!`w7H=bW}Y*cilpyL-i6MG}}U0V*3HHK-; zw^fK`_3gu3Sr&bjbm7%=i;lJ)J0p>)TMwanF-F@N9AI}^|nL9ZI>fH8ey;3=z3Z_ zgXosDaw_ZDm8*W6(8IQ=aHm&-jh2Ot7QzbQ5<6;7&|xPQRb{3o8titskGdmNCbQ(O zn3yCvo(?xlN^$?LN@o4`XqL-B{_1#G0*HXTHWQUZS%N*GTgu(CoK<9XuB>)}$JFeV zLggTqT=eD$XsL2vl1Q7MfAY( zN4vZqfYiUiDQUvnvPiUve0gPK#LU|#L_d{{1z<}M8IVmSUEiA-4VJs__v{Y zC}s7i9!k5LB16ByuFMFkO%%vYlVs_&N2XUe=JysJ>?v&HM`=?%lOgs`uiYkJS}B^H z;_16a7G|w8U3hhC#L^&^PXNmYN|%^Xw#vaVF&o)wd^#RNWY*w`^!&#PH~Z3z^(B;e zf4F*lhS79tp_UMI>fLLlOr)znkg031YG^1m^KC|@8a|y$09Za@Om&tg(*5qKp}DB{ z26#`vf9pVb75=siqcY@fS0KE-QgiQaB+Jn@{gQVIQ4I&93jbYb}Ec=+#G z>=du9JOA>MR-AXE3~uqPgKjD8k});!$whb~S5;-}6g14+ojUM>G!%DPne49# zOOz0ertS~LC7`y8r*DCHoQ*}Xu;~5pgfxle{9PXvKq;$-*NtOLw?h=6C7yWD>f;45O+u?SyXmNSFp9CH8R2Q@pXRbdAGYJp0w366`V z_Ev1FXPilrEp1l4ocB1X(fV^T1ktU`*yV~wx@`(oXEpTs9$uin5ru;*Cf{he4te5< zm-o!&I!rqvpqZ%j7b%KjL(t_6boFmuY}%pTK+RW%cm9IBcu|9!g#qRK6@n z0O9~KWM^N`VPqOK@ZeT_WxS)A2o_+kp2Dl`@N=MVmq9O_DF`DBw0^C(yNy7MoC80*;wmZX`uko68f~A>LFJPQ*`*CUsLk+)1S+UhRv)QFeYg2pq%w7V5X(?e2+s?{ z2%=E8Povq6UJ%8B+bov}f8MjxA+h0A<}%QTlKu9`xH z__87e2%>|-@^qyT4efw1csdf(IT(mH3Hy_KTNc#uERr0<(7`qXCW&`EFP*so3S&u8 zVW6Z!2TPq{r~7n zfau8G5AehWY+c!8Xjno<4WR}^gQ%e-K(wk9Vy1i(YLPJ&B5up%lwj^6f{st|PMx0& zftd9SXE9#9TmP&a+CghoYK}B(g(Isd9Z(~}g6yNqTERfm%0gi2tZ}pN-0im-4R$gO zc1b;?bfK~X%nITYp54D%u1tl@lpGnBKmu*n4|ay~Cd#@jLwTVx^s2BHvHsO^Rq8El zthYpfVF!_1)=LP)^+3&2sFwj&F>Oc^{XoIaD)Xl*q5Xu(3TCi^8)1NjzaX1t;1>AR zffYOzzCKeOquY$$LWr103QA8QNQ?S8D4PUuMPdQq!JHmQqB+T6bAkyS>Mf)T-5pt_ zXi*{b4;B^fm7yw8u_O^{N&KTlDCOgn03G1~tby}~afJl@06(LqR;Hb|d`#_JpyWxX zMHz~DLoGX?rS?xHLL`7t0V(F1{s}^3;CltP)?0BmiUxM)Z&xNUEZgo z(|5s?x(9SKY1S8Xq?@rL-Mm~V#1N?WUr><(y(&VHqy|OA(u$Z&v7vxnZ-Ou!iS*1V zXz~`DSXZIX8CakHLW=Mp)>Sg~nIu4EniLg|X%m46RJVCP>mFN}3!REoIAJbCj88)Y zZ8St1RV0Dho`Z%#s44<%Ka^AgHF7%Qz^Bu(=w8^VORx1c6=P##&`u=+vqFg>XbA;F zkU|0CU*IIntw*qGg2}WTc2JUl|DX_q%rUu4h>8NJ#Kbf@&@hPQjK$`{tYolR>9RPW zYx2C48gt|`0q##gB;k(Ds>IRG)X%?>pLD>F>kEJs^j{O4A&k%Z2{eX?3q7cXv^qH$ zQX+waeh=2{9sX_OWf@_oLemx-X6wEl8O5GDP}7yEbPwoR>ZJZnDetQWH~T{QQ0oNR zE|z2&9x9R3SP?tzW}@Aj>n#>a>V zA*L~;-GDB;6_*KFBCF+ypjW`(FZu=i49GV4FTC)`fQUHIyKnNKp495AR+#3W--CY6 z=z?G}oTA~4j2a!ju=HSWivq@(3w}bIBZ#FH5M=A_rEq5KFUUiy8a-&J(ZGwwbqtqX zMp{c9>Xd$Adc}GMYw@+jz~=orVE2z#dZg#HG#AP@tykg3U(yT*dyN2>)UC)2A&JsaS`A^@1LK3 zuy(T2$yo`G$ABRRp63&`1)e=psI%7vA}-gm9m7!5v`4C1DThZj4B|= zGPud}asd4jqTZ^J+0i-`o!P0FcqLTGH1NE9-yu2liHdhDiFGykTnaJ%D%6}?K@iU7 z-HWL#Rc2UewUWwb>WHvFz3{SzEDE=4Bd9*niLpx zz}x-a5TjP(wu!|-I8<;q7|fH`L^TgQlk z@+o{OoXIp}2s&M^4%UpvCKe9a9&8e#HWF24b!`BC74-PzgEC@E60{r13w+}d#NwjPePk~5<~Q3TBTpsBmd)Y(}z*p-^C`nUCg!U+AG#nuPOdjkGj8>dvrHxyeR zq#xFYGgu$aV(TNtedJ(TMP4tdE$6lbfLgL7H3@WeAKrRAaXkd`g*q?zvFgjD%cYf! za7x9MXT=&tiYMBj%O%x55B{aA(0wp)5KVai`uk7<|II)~p&456 zKu91nv-xJWrKMPFi z0Q_ZqIu6u&Fze}|Q2P=C1|=RcJW9va8iQ#<;}GU5GuCFexE=yK{UNYFk)p0(ntXl{%f94W%c&viBa}*>!wYIm396u}V?yv$ynabUq}y zFvkSgRBK@j?;rnegBiSyyJ9vNlE5OYD4sKYA2?Hx{~%#nU0-!ga9hq^WE?|cUij(P zu>2Yg4H?Kv%z>OWr1yZ8XdxP`=`}G)FTpG--3G?YgvKIzCAd0761OaM9da}{@H*a@ zCc1FUOx4g%g?R$`sZe#IE0CS24TX)I4dUR3b3=+|WhTvHWMFuTk>Qj-ni2$oCYNY| zomH!k3=f?vFCT+!To00+KvpktHM?;!eA^s-d-YX~dWPrsW0>beDAjQm|y(KWW$NM(wRfwPI?3tApPW|sWW_ z`wEq}f6_0P&&G*#i7>B&7k0J=tdk&~sE0`5Fw=LI$U;l5=U;CZL;?rA zq$VmQu}NA7LO>ssf=Dw0!mV_gRg3EHgz15dLyaMkPMmcDgs4cjXK^2{d( zV_$cLUzxG2C~#|+)5jADU%>v<+OtmY-rU-W&qKA}Cx&V#GAE8r_>8?Bt^ICL`*w0H zGj8L-A&c+d8bWR`Y9~j#CoWn(>X`j`Y;NuMyS0ZVKRkUu8JRKh@{H?HtntSZLIw(?)&$(H!Q;L z*VOKA-0mMY+0J~I`OPbC;@glSHSUyWPxU^NzlhHi!yjkAAD`@d_NC;k?xUKxueIcc ziT9I3)U@hj?HdRG{5JWq*7f8_Qn$^%%=4p>H#ffLo{FW757`_UKR$9iFr%wx(VkmR z;##j~FJ1eU+x>3x{P2dxQM2_n8-pgkuRXul=9&55*DHPBuZ`e*Z}z>S7HX4+|K9hg zW9OR2Fs+W^uuqX+$$mBI*Zla)7(-n(OW!VvJpG>C{if^CUcs`vRn1<0lcf7G#q+;S zv>aGtvp8cUep&OyiYwmF_m@bO7!VH*WoI1y*brS_65F(QdR$S%#O{~PZp8Ux_hOf& zx*PGEBDZ$`K1TSxnlbum$I(xEV^SM-rEk1?CunWgT#KROW3;_O9q$J#mNkEvxaxDb zIwb!Y|Csf%IO=haQL@#tZi}A8wl(j{UO?&PKR+(939YsE_@ZO$%bH9&yeyZ^L{&nE{p>?rw3aj?ko<3ilICjGBT=8h+ zb*IndiC6Q86J`%sy*BkmSGr%!ueob&=IdOLvbH(Uy7>$-@w>cNdgPHskx!4r7+AZV ze|Pu$*S&tVCBDtG7Ek2tI-Rj}tnvKVh+pih!@Kgw1GF~V9JgsLX?(ho_hj^a#)dmy zx72rEA754V@!|LOtEV+f1M=RNpZyRVJK_6z{5WY!a$?Oha_y+@YRT#2WOtj9{I8BL zKKE!asadq&_Pn@?*Ye&m)HRuT^+QU<>{A6#!tMVw?_T**_fq!U^d0-u4(~5_AL-Kl z^jgLv_ErDu_GQzL$IltIOFI-Zydt88!95vw#oY63)#tH8kxhRt`VzFm{?%2RH>1~t zM(m5b3#V^-%1Bm2eLaci5Sw{rUS8datj4D0`C)VFnpZ>H}&PebE~RO`o0=WmwI z;N{OCt`5BWq54+vOYh?))inz%HieG*?K}PYxADrjnI)^X>1P^`ElbOex%N=EGJEHk zv|jFYo#=wO^kRH_*p@FRs-xT3SIlS5-g_dJH&*)IB5ZN`8CPCv%h<7r>Swfyk*&&O zPOCi}9(~#ObX%y#-WO@_cSYvBU;U;ex@(z9>|Khck2`7XA6(M&#b%A`F?Kn*zT;5xa#Z|&t%r$3jX*xDWXQ=V-CB; z)nirr35RWd{7@CQw=;+7eKF%7AF!UgWiI{nEM(b(uBZ9h747({71R-R`8yTXCkyGB zc$enB{ltoqwWEXI6ONut(1@tLQ9`G-FO-tVZ=S#YR!4W^C-s!QB`->2?`l+B>310N zdV2!*@VABLU#`8mqo?X7E1z7`-M5B)_0!ehFZ;e_+Gp6@dGa-T?EBsQ!ZWX1ZY@{J z8GNkQHJ?a5_FAXtD>-a#`-_3#S#F1~jjz8IX=IcAcHD6L?5%}^H#YVMvT^k01o_@){Zj&+1VvlZ^?5@}cOLslF zwRD9r|N8vD{b=w%$Fg31h`YIV;onZ@mv(K8`(D)@_x;giOWat^huX=vYrlq0Vi!Ia z_icRpG<%ZMR@eS)&|$z*XNGJ z1!{lV-TC*og|>JAPo-skh?`pRV_3#l3l-w_x#1$8U{mo+&1tZ5d%2$PB3mzWtDQ zrsS{Z46nU8`n(w(*9T%=DMl-9Ed4X!EA4*!^NpnoTuaZ4-*dT2V0RL`&o7Z{uYEln z7`;ck#Hz-}E#Jp&v+UlASn5l;nWro|w_FNi^?lBdOy&o!n(R!!764b6O?N*g{59&l z!ML#GArl)Oj^*oAm52P5Wx^c3Z9uHcXhjd0uYnW8TgU$7kJ( z(~yabHQ0CjQ;g87@zY-u6Z3Y*j2vgzy{WmRa<9J}F2Z{DtP0|tm!)?m9^?*>yyRS- zN6mbA{C!>7vb)*ey+c{!5rg{|69}&}AJ5t{g;Maq$C>%8T+W<8II~-ovc@RINAvh! zp79)S-8GKPXSY+^No{7=3p_re1h=qDylwf<(~m*a+SgTzG@Qej8pDJeQ3Y2_+=vN{B!9X9Pj|bmg4&W%+jgw2S8Nbm7$@|VhKW-YY|&;b-zlP3gz5D#^uG=D^|__F}QQ5 zA#oZU+<<7XFP21MGgG9q^~iRdEK=LGTiCqdmD7*{JgM(!jlWaanV(B`^%#Y6i$I{r z6KKy@O@ru)praqIDX+FZl7x$(v)xT+$}*{i6>>^B=}V?QepJT6g<>HSiV%$;y)_kA ze7YnftMCxFur1@tD}D8*^8?QF^R(a8H3=Rp7r2M`S)p&gv}NiYqG~4L-g0oo8_sH? zbqdtBD}#7#YY3?~LxCNrLmvpoEPGKFptlKL2M$!X<*PO>hi8@5^Jrj`GW+K-^+p!v zr*ga-Ay7X%q`;NNwu0CS)iY9}1=3snBer4&g;Ral45Bca7r(5@@@T*0B)Cv7Fb?6b zfmjhZiZ)oFz*2I945^`X_hVaTk<}?FZh-g5Hf73LQ~1XfP{z99t95=^l2?+o9tY<| zV|xry9H3oJy1>|A*Qq3&DxK}I$XpVwPA)`X^g;xN6}dr&fAZBPRWuh@d$z~zOKA|J z63~`*UmT-?hapzbZx~aq&!FVR9$x!nh+g+UIthgJ5TS5u12#k*N}d5MI4^_So1fL& zc4Lng#Z&X)?}G5tv0d_nE+`!Pq9oTqyP<6G z&l!~8pxyJ%e}|}q>oN@o$cItLgO8PV-$K3-e1H#MzP%k{4i?W;CAga9C7f+phbLU3 zon2S;&@UhLdCB?D^O3WfU4oL`FBwzvtSa1Kdvx2RnM=@=tVIz}e<)N~!4XPpS0Tih z1V+>N+c=z+`7Cp2s{P$8%>H#=Tw#Q?#%#(MWegT#ZdnAUjCnvdBEuOCI7 zgNRv(R3Y;eY5YIpIp$)FfOGIti+Q<8;G>b%VucR(ZF>OeYcK#Wh;Za=O66=u(hrd^ zF(%tX@Jx%I4nk$VSiGBZ-_=LwSc=w9K z*?=e9m_dn!J%cqEIdbaRtm^%*&!L|nQ$|tH54(-s z)*tDDtaMn|b*G+9BIGEh>FIMgCMpC5kk67r9j(6Yx9>wsh_?eNUunyZf|;p88q~YM zTZ2hB2or~~wu;g4-I{0RCKRSI#a@nZG8N~6*6VaC=NdjnoyNwY&BYP0C8&$jg2-*6 z8J)cZ>vRd=kx4YR09GVBY_N8QZYE2*TOUIbM1Ybjoej}qf5hXAU|)}Kx)T~ctJ&y^ zGKEP&+a-_|Ny1fMm2DKtWfRI}21KntH~=yeh;{0tN!!9;2Ez-UQz5)=jsZ3)m=T0e zCZp}Ln~F9-0pYA)a#kUvaKSsT5ZLzPYy(Y0h&@o~0fD;D`Y%8(nR8S>fU|7Im7<4QLWvSSb)DpuZoY74c}Lz!(!3 znfBSX(r1K7N8EI!xt7@izPEzn~-P;MZs;6umVZeodm)%Yy~hngs?VPtGmku zLJTr`#d-xA0Wk3)ZZFDN=|%Wvh~e8Qc40QRH$e~%G8i#OItz5V&36RhLCh~0VhGa^ z66#`GrAuna$7c0QpLtx9X=KJ4AhlEuoSm{|53|Q;F~iTI;U`1vuwD|8*JJ_`tjng9 zS6T>(a(|J*=`{WuQB$}eYkEKM3{W#b2}IZctt%hNHUn~8uHmb^X?!&dv-JOwY#k0~ z08&6rI_#PE&|nR*!MfG+)qp`laR6Ebg7=-?S4G-?V^QTTG_VL5hIBAQK>~J6T4nui#sP z8I9i|Q};wE@8P}&yzlXGQq1ryYe*mQP~%p-jQ3YS#0Vz}h%p@zW3B`x=Z*+AAl$w) z5&8vX+1JW`hpkL01L7L1@KsUveAB<vi46A#rF3dA7!o-?Uziz+02LdVv<>jJ-^0 zGv#GXlONThT%FcV6W}*Zp;UgrnMoqToljYUB4as_-wEOnf&>Q?kG_TUv#x^D4772) zA3Dc1^_Z<34&*$5O21kK{ej-DE!Od)F_deQ6tHLvm2p|f%z5L%V0!Cf#E694Sra2h z=#?)hrH7Tj)R%efYc+eXHHYh=OXjI#`~}pAtdcPb9EOYPGI1vj9uh zGnkhTR9G!L2s~Nl3K#+^{u#T{4m?3|>2NR#HH|2Z=dckqgMt)H1E4Kf0cmlk83tmM zqtE7W*!iq8_99&hnkFI^hh(?KB_%HqDM4^>jLAF5)PuCyQ=ggui;#M__FK9hndd^M zFG}Lf?=AcEk3a&~DN~*>nU`0Fy}jq7O1sZlJTPQJAl#r(K>R+jn|T&u^mrF&58ZAc z5b|Rq&Wq=jAA>z2bfKu+l<9(gaa=4)cKhRt zJq`gP5^}yDXkv%BEoG`PfSpK-MlCUY<2alyVHxM2p(5<)ZxZykajs#?ev<4e2hb&l zyy^U4Y+4*7<_QRrfE6LL(tylxw;-Cim4)wf2{PyfA%iSyJZicNXc0t57LC9NC_ReK zKe2)OL)|!`fs|VI8NKvY)gn?YH0FNf6aj0I9w!7|36hA%(mqkK5q|sh4c;F;(AM{%f zM-&4$Co{JNB)e(I#@Z^@Timfwb8|X-Cynhn+KQIaSr)9e!7aMXU43erWa>H|=r(IeIUXM`iWQ4TCZ}0zNZIOOi|KZtK=h=e@i-m?msdJ6m_E8p z{K&!~IGM-^fN!Tl%=1;DV9Z7AeCV;TCns#sfvx&o_HZu@4PJRRgJxC#bAG~<%UZGj!PbEE3yT_om` zD+|w$65b~w56tMMJg0(LG``thZt1M2V$A}x95#w&@roYyt`8CYG zQ>MBb=@xV6T!lb*<=s(vk6tDJ6E%Ne;9z%h>8n4L!Cf#-6f}=?i~kZd4_Xl0t38s; z{O#c^&e4hmT77AKh4DDdeC%g3?}1U)b|YsBv*??%*Sl#hr(-XP`h4CQ#6PMWvUwhw z*Ty0WWiuHpHNQ(_h=gCE3AWhZ_vx?z7Oh1asL&~<*%To$0%OFv&Zq4<>eDJGL6GY` ze9FHR%)hp@AP|n9Gx8SYuf#q={u+pkMIrMLLvOaXu2j`c3=O#$Gyt-~0rtGGJM@r1 z;)=`ibJK3zzB)8dG^61tWRd1dQFM@Xf8I-;;Hd!?mSmj;C$HdwIORPx#0gd zXui=Cnwo64R3c-IZyP4;{Bmz7|bmtIcPwFyU z#dl>&HcP_Se%ql(f~zu0k+VHN=Zigr%eR>TA5`IEzVMS+a_rTrW zBwQJ&_R)>!J6gY{+*>1TcPfrh+j9l{MQ^M>)6X0_K~ldqyymE^dOQl<7tSx#iyQy; z^nAygF|zxn$wOa9i)~)a`TAP7-0w#FGaF5tONCE@e$O`jW^_Pvu;5#oP3xzJar@T$ ze;F$eZ~n8M5m6Mk?e=qHfyUcSla9zsC=Qgb@Psq^lJkp~FmrcdO}Csyo4Rh>>W^YC z;oIE|N?T(dr+qlhaFg;-nd;C=OP){?9DY8zUH+?L@DuyU&mzwWeYOqE1sex<(XnyY zt_>G5mlB-&%lh}WcGtOSKGdz9CcjTEVsM)IyVvY!%5`(aMdPe+jbSRSeW;K_mLUuBRRHWVRt-qC=p3XYmYz8w;Qj z*+vx1HZsoXF8M0kAmqZ6T@e9PX24w=x%#D*3 z;j+=VxN0?!wzy9h0^tQv2jZe)WW|`eeDzB`UEoY(FF~ZWABb4!^A8cT%`rw0^Dx`9 z{UKfO;12K!f4#`+$I^-X!H=hfa-=lTw|8hEsGm+_@1(Om7j;Y*u;me|}d3^K$OkC@39VH=pSfz{Hy|765BeXV!^WE1w&Xm=(}=W1HCN23E$S z<$?=M0^>n`$G<5U5@hM+cf}OkQ2O_g85GD1qu=O66x^f4LAqQ9D`UaeBx8y-hcLlfYwoJYtH$SVQhz5f8(_{u0-fZy8=@uXwhBab% zq69CLVWmttHK8VV3LOn^Oqm5?v&0h)9vz;6Ee;EC8_Yy6AFtjly}Fr5ea5GbGc z(MaUDT_R7o0Uq+4G*0;p!imcHD=c|woZZ;H!NJ(!JgiGqU>oGw-U9lq6@L({AFEq~ zVEyc%&%QNC7$ne3H(XE+d%4SLDzoS)a(&5GYT$f!BsWc_swP=aMP5cL+wx_Yk#AZP<(j%J&!A^kh1KGT#C! z?iEM@cV=z_b_0J@w&_vKe|QkcA=%%Q;UZ^dIs+wnE%GD~u3#o}8JZ={RN4kEa4!FdQ~M-a1Zr671Jw?zRHCC?^3Egm@8qA`ON)(}Lg7anl{a|$$c z`c_c8B?<5ti)X$+GQ^wxe96qqm@A?sq`&2kTwabmOprnicN=psJ9thK z2Oca~B}IW0>Ys4iBnZAE2u9|83o<9*-T;(&M8l9+t<94c;RAA7fZs5ZPKUQoU;v@W z!Syf=`3%6C2o|{+=ZKCV@)_iDTc(kDYiabbR8E&jOW#3YJai7+?>$b z32lK1f;6~CKxP^^8IzDr%~(;O9bQv(2^?(o#Km-eICffkQJ<}t>vAB86M%U)8bE0m zv&els!4v*SIo|ecn{DC7CwE87XaWjer<8K>WTGI8Cztg%>2urndua zOFmQN6E>rR*N-hnvQy)dz!m@x1ZJqy1X*>+#YFSlkFC0+hMA;yCibNfPo(~3Fy`b%Lv2@8iTI{^5;N zjfyjY5R!z0UePUzNi-$go~=Q$JA{26IqhIZ3y@T`{3W=64KzSR%xIti;9e9BRIEb4 z3j$brbYdTF3s$CzlF(b%gom+2WX1cE<&4S`^eC+Of;DHC-511Zk-t-TGp zLt5VhY)a7V6bEODHXmn-2o3N8{IJj|B)NhkQvc^VUDGEw!1dR`tlp_y_(LiLHqwJx z;2%VeNR04Rh-cAb8#S(*m@Mq>K=_L-KSCD>F{J1f+48T`k&FF;DP@l`av6R>S9dv5 zXzz0pvwB57yQTjOAezozKyFZE5VRY_7mPoEq5+14vcZ?gVOkM52Ac%Jm1y&O|8;Y~ zhR3X6Qor|}pLwqy&FX*g_8mY?ZC}3>X(5mxhzUp} zpfp7xG{qwVp^0=9cO*8(_J$utW5-@U9+$KM3e6#-c@eN#8R9P|cgn^1@$6+=4IIR08Ar%DGzV4UZWRY+3=-2wG6UzCi3ulEEQ301l(Pj6sm__OVuh0@h|23 z+IKWwlV!|+x_D=S31k?-5G$|}?JJpXCIq@p0{z_Q=QO~iy>5F3ei!oT8J zVff?_29EK8~=_vB<@8Gm+N!%63QC@vLi`IzgZ!&E$%Mg2rXnVtifQQ z!uT?HVHjxjQHmK;B|$!wS_XrrR5B2}sXPF9gM>UJ4~}!WPS4o)uw%R*HWFtL-W`Mk zW~98a{I_?}4tc$V0eHs&PKzcr899Sx5X+^VIUcWuUPv5FgB0FC8u}=K__i5%vGBKz zM971!fEWJZUe1Ez=QfCz!V+S8C!2NfLoB2eeK4tO5$*@xZS;KfN{r4tzI85)2?-Vy z^f~=A|A)>AC!6?xmHz|9WBv|4{;%?XDEMge6t+PQ?``v9=~Y6Z6#6Qm1m)|lf-8Z4 zmEdN$1-=}ft>E$N2qmX17+m`^K2lEt|HcA7NZ{MU z#~oW1NnZ}|kH21I%nA-?Q8ulJmL9en`X0gmhv}ZC6cp|qTrF-!IX!eX@CyovR|{;> zfdhq%1^z`sjorarGtoCA=EunIPlOMbBh@^Di@p^^#_w+Waq#YZo+*#ELv6MAq*6F*d4=lB9P9IZjPI%j#03J2A?GyQ} z&T~JXqg}|K__Mq5D4uif{lj*8E*ZWac^IIsGzUJo%C#a)OjN}`?75e*9bQ3+;ae#5 zRR&ZP=ZM@OoWxlxP)=gTg4vLSM~OA+6$Cgmf-n|emyeqOUjEH}7HtZF1AgO%$dPnB zuU3DiRr0M8T33Syu+q3e2CqI6?Q4!b1aCJFXTb)nE1!Fny-;#k+_~@t3I3tSF1SVJ zKqQI2MF7eSZ-fk%Cp23TGW@0i0br=mU>JR^K^d7n@UGJ6{vQyHL6XHEXUss`^j|S% z9Nv`+oF%;fFWJBQ*ndHpamE_iF<`SKeX{Oazi1GjpCGUM6x zjgPjz&ssReiD|aEx7wOyCX+RP@NdqH#pgclb^P)C=(Xv;G~Nj3%vtr}ZT0IPtJa)| z+qrn>Pr2%-Z%^(`oOv$c`o#Xf-CA*O=>0y&GC_=NI*l;1|Hny-^6O(ec_U>pv&mP)@a7Uy~bmKZDRj1 z;;yfMb{TecCj19i#=oBgL@%F$T=uigG`#yR_idl{qA6kG_Dc@Gmd+I?BZiIjFP@~^ zitl{rRl0lGz)L`S8rmI{TKeAq%DP~eL14!~bQy0x>%ITp&Upuq8b}uZA5dmg)kLkH zeNL>=d}jJR7JF*erw`K7l-?TG^EXkIu%*4?yCF!zv6p<6mhkJXSPGoo^M4ad{H}Dh zROE*%H-R}cf|`$-guhB%p^|1z_pB@Pc~Evk#q05)lC6ZeHw!A7%<_o20V=$1inDQsj}~C~sH%xZ({+OBLKQAd_v7tQ&G0)!oBn7h z(}nVs4!p<S4$42eU}h?1mHv*K~x$vzB8bBiBC*b5MO#5|G#6* zIOAIu>?S6!1}b)vWtuxoV2Dn^D{eeL;T5!hRl7FBuuzqi<)zAFs`3rLZkc>C5q{`_ zvaGvPr6nrN<3NJHz37&>4QhQ?vmTRak14bhUvKl25Q{sM0N*P>p9re0P6%{@_ZU#? z8b`)*>+{r8IO_Pr#TtG89cRXB;@*3p0rD156p!k+P(|3(G2JD2#SQRL_yAdlZfAzL z?WWkH8tUs(=8FA*gyTZQCRFd#1NBx=8zrf?+QsoVJeMY}6^K2qo@ULLtsaY^f-C!T zw*w8-6JiwJi41FOu5 zNnn6^ZU0 zyo%RD$w}*>Y|}Vfc5wm?2b09&Cb7q_r5R?$@B?DGi25e2F6WzVs)+p+Sz;hR26|Q-Kp&;SH=EvnzpS#}bQ#iuDs@ zC|`pDV%ViB@+nO$5>Z^VoRgN=<-uX%{0^pL_MVudP-@BxH{%;#D10Ob)uWb5{P2LN zLV2{K#KarrsI>67B~}5|^%KZUB9l?IF9kH6}T9 zcKGZY>GxHEVFL4oqW>xUiITF`?)FR=cD^!DG*lJ>kIQo)7m#paT>N7}%SJ_B@2yL+ z4>s;O>wW-4=~x1g%q$#NIFoY` zqzux5h$dsD0u&AN%EsY3Ewk5Ng?1n37dR=cY`qk%afeE)W?+kfP)J3*QVo?3!?6Mx z1yuI_H@q2ZEUH|wzo>P-@SrrfyIq%ub7x3pua3nM za5jp*f3Z=xe*%sR{0xR2*XoB?KDU2OA8Erw| zZZY%?lSsmIQt@@EHi9+Lz&S2d_+>!H;Y)-@J=+biVHUbCs^Y%?o;kw}zlEw|3e@gH z$33XsH~ClXKB9{Pq6~SFr6Mn!C_OOX(kQb;o51}SA4Rw_KimY2U*ZkFlp70LB?LBA zWA@T8t}uKJ8Xv!gK)0cZrQw4TrDQwE^o6rM!q^_Ep#d5+ z^d}W9cb;krEK(Y@a46wS4@TTpJ!?~eDN01gi`JR;Jg64N6$ZLeLT^e$v;bxBz)2vB za}ro0k_>3V_0A)aW5Q$RigN53vgijeh)@d;G&^_+DWZgE5|hK(={O@tx*-O}4a}4r z)~rH<);QaO-)!AqNzn;T&_$=!bW_|Wp}9~Hm86Pt7Pn4qWU4kXp{op#H{diMK(_O7 zvCCm1X$_I|fdbHW9{#K(TDX!E3|4Tl25m8_n|8(7BSOLvO9s#k0MWy7eGI6K@W;uuPv#YS7Yw9C;Z7?|Mwb8x`K?djFV3K%zty|+Q8F2{Y;~=2l`KC zyR_F8jjP`vZ9~R^+W7N-EKcN1*u_!i_jbyVG!mg-1^naukIg)^0Y}`#Lz5bNty69Q zRCat`ElaD^Kn%(T()F$QfpP$x7p=AeRFp|v%MP0UYgcb6o0m*8{d zd@JZ^FFv%z9n>`hNKxvQX+>k$ea`H@73|!DL8{_5SL8ViSvN9`Q6oGre6RM4pt8Fy zxk;VJB*C9_S83eIMA9>5(lfODGido&poa`jbOM{oR1=j5q)!KL2%l()w672>--n7y zwxMtvc@?;0aqQK7ISfqUDND>jOBgkfYKfDYwbANM#cA zjY6AGvO-SPEM?Lxw50ViXh~25d;y3EstvK4sq7`t#r0K9@vHmV*b2OH?&eKRy(j%Q z>hKK9*R`RJT?c^xWVNQ~%wuq>@6#U}uoDjkJ<&%LMbU1$;N*th9dbA7ofu<*3$F!X zppDt!1iTvMp3t_ERk;obC*tm`)a@QPQE;|B^w4)j=-3s)R;E>%@_Ic}{a_0Z6cWTj zpBI4|wElt;^~T2 zoD=>RCQ+BZ!`KC28rMx)9>t9TXaPRiIjNuI#u7sjR2Ck0WX)ZNE}k zF~NGqtL=TQFM(si5_2;|M{5ZM;?~kj(5{U7V?FD;VgnbJ6e+WJ?HAOu%M!TzR@7#! zi-7v;Jp96nlqi}jvYNycw)yFH)DW&z9#cdeK@Uz#yU_(Jq6eRc1GG$~w(6eww zmb!oh;$@Lc_HBuN??`{7jOda0eNQeBA}K9qx$Q+6;O61xz-v=7}%p1=SUrW3=P<=ZK+Bo9+{ZnRR_$g@W7-!z90&~^Y?6!nfv+z|c zg*FJ+JLA(!ee5-U3Yl|wbaqO#TUm5G0o_^U#_$g4-@5h$1FO)$7V^KJg`KsLE{oCx zu4H(}9c-KgGr~J^eEdH;fJcD?pkn5GA8{A_-XHlKHE#3PX$#4C;w&4y*>Y z&=a}KHsyw`bWIdN0CZxCj-LpNubUz1v^(T^45z$Gsj=)=CiP3S)jiusoDG~+^#_lk z23m9F@jhPw8fhFaXb0_Tq$;BSo+kvCV78s@&Jod;Qe{XbhDS@ELZ@Xiovpl-WuUy* zuip%MhiUo&S=M2^7g>#|uG(hlg2LG8KufaL(mzFN27jvLcGIDyXPjjVfKBXvc`+HS zCn!OO-y5gAxsNsrNV)7K7e&^G6I^MDsV{9=0mp=}f`g99Tan-Ewd+Pn^n18~`O+oL z*x$V#es8<5O%Z7ygd`<{M_{7APMf+xKQ89@N0o|ySOxVLfF=A%j?%<eH2DD?PK9bSd4vA#RGy4$b=8j}1smGBbM5r{w0g83e>m<3o<4LX z`^Glh5_?=cb^Akq`;qe+Ff25V+eU=*Po_5Zwq+o-Hf)%-*VYm`traaM#|Wl;4?O|e zN-EY|ks96{P=D|O9PCSI2?gE81m;TzBH~YZLvu5M!!Zl?jp!{8?|oh^a&Pk%D?jAA zJv=)N9RqZhf`-?yI=;A#39>>51+nr0U|;mJm;FkXEDb_(z!o`4vo&*@G>*+KPP^+j z(?s{2`fy){+x5}b5$iaX~!u8v|ZA-T=w0gb-x3887ZIuO*IVy?5 z;zk}xkpcp8+`9-vt>Z#g6G>JnH`cOqmj1SHJtRospgCF{hTF%sR$$|eyFLOD+!eKy z8wXTN)e_xLa-mV!qaSr^xL;THziqFf`8|#HbWdLPoL#&TybBOD2T{Tu-R>N-*>;VF zv$nUUiaj0@Q^yZ3sCw;{;_mSRZ-_iEwfoGIrcloFTgVEw$|K|UH58~&mOLwt8%;L- z^TlYwmhS0a+zrO;eU{sO<}e+rY2E^wIlmwJrM~~N2DrLvsNVgD@9%O~v1{Mue(}d< zPjS~(O{}JH$oO0TaMaxeVqD(hvq;FTiI%nP< z{rP6}XB2nv`|0M0?|MJ4`Hr4>b8~d$rj_&Zh);9$CXbA?j{a0S-_UVQFl$=c^U+^( zBH~}|9DP}H>)L2SI+Z?kcwxcT{hw0l4YwYRY$Dpsaw+)Lkhk&|)VR`lXW;)U%pA%3 z;utnQ>3z|H;%lP;>5g=)ZU6LayB`ZF-S*sTzQ^RecaEd2>UlDB?}UfdvWxDX)u+!t zze>vTTiajaFth6Or)%mf+>|pLa$Y}wxiu_3e#p1a` zF2LKzE1f<-_NLvUkfJSU51KtRU)-o9km!sK7tEzM(|U?uSA6k((cn)P5j}P9r&P|$ z&((^(Vdet<;}niB@5#`75#1$WZ8OulVS!x_eX8NTU9E$fsT?is?HM3@Rl7G#r8i8E z$2T6xGU*0UvmUz6i<3$N zwSDt2m;ImaQ%PGbY2O4Mx{`HutT&{U4w%=|+YGSxDn)OM2_(~PKc|A|x(gK2_sDWb zj<~k};A16mEvdKUd_w^HyL7IDTKHUHr9YPO*j=~S5Fm8L`OcNcJi@Wukr3t6b!*Z& z)hV1To>7=kA5(r7$dDm1D5PUw4?OtuP!c=ahfT&d9DA6uhrji4 zho$$s7xg#U*{*DIeK|{(#^_K0FYQ2u+2WIy>O8w;GDdPZOq_#ScIdh*x=*vr6n5lOUwsWl@nE+!J2FPN^VeRjxq<){CuJkNL z>AGRi7VoYZ+bYfVI?s<(&*CaA;3bb_-HlYhjx&(p{R8vNdYniir-8=%@{kf}Kg9O4 zuQY8AT9q{rs%Nlh)Xr?*rpDw=0_Lji#|#Y@opyYnOxk^;8k%3HDxKvecbIz1*6>5I zu1VOo0R4_JSQiVe-fY||16mB3M%){sn}}Z~rLsWSrd!w9wcc!t9}o3&WoTZwGF>EG z&>&q@CZ!qInBzOjs7ywZe7418Y}*DW69p^}pX$-NiXs+apqEa}I zbb3U$c>Dz!9IcPafDk?OG`9(c7=?Bz^7q5h87)v0g#~jO-vORJNb;a3P>+XYUwkRvy_%N<4 za%wYE7`3O;nse!ZGdu5tJ}ok^k-y-ovnf98!V>jvy1Aj&kxN01#2@a8pHJT&D1J?@ z>rf`0vuoxbNaa+GC7JTh!3>(2#4Nuw_NB8y(B3aCX=-n`<(+pgCfoNy!*RZ`ENQz8 zZ8#Kd>0)-Dyg2(Ht&*R#_4-Mw-T?V?e(%gX^tG>6U|+IwYV-~bwIuKEc+eqCd6Y(QW}MUV6S{D*X<#iI|r1^i(6RgNZ*!?@RR?H5~U_k_XKGgc*q z%ORbfOe?+ly@1Q1b2;lRI-D@BJe$IijygekQVQpcEGb+b-KnMQbfXuZ6cUq4;^Pw_ z%40p(%2MF_7F=HCdCPQ!M5oU*lhtj@2J>9sV7Xmkv~DYu5*U8NJDJfS+RvK zjw$(e&GM<24(uPW7%vj-Pvl$%GEp2S%(2_4?7aPA@l788h)r0JIRB#NfFmH^9d@2G zduUR37yRyZ(Gsxu;fO)b9D)l!H4l>J+=w!6(7B*i!Y1M4)$cH zw`;eIqa8$dkT+`hhM=6_7&Jfk8Tp-tO-+VP48 ztzl6rCjw+?LISD%We7}_#^uZgEgA#%i`}(fda%CDd>X!4-(>#UEE@`L`;4#{CoD!5 zi;>Q?OLw3aol7d`D!v7`w$W)O&D9te881++i|~g7hB+4FioIvzN>GF&#*<`r@z-@n zEotS*r(kC*va@lka$c;jHc$(;8_v~M0_#lcc$08U7>WtOrx?)J6zfZ;>J+K4&xxI_ zj{e|oZ54rHRW-4*ud}ngkqS83!k2fg0KhE!4qg;a^pbcUKZR!6E=tJ0M$@j+KeJPIb(HoPU{E_(*@ zC>r_HM2-~>tlBj2D317`<%{BeFY3p#qXV(py`mWIc@0vOJne3zro)(&vozfw?&=F4p|*|1~^hA7m3A&ceV*4iA))2GGY zi?0P6gN!f$TeKC;i%N>5+$57C6P2taJE~-*T%MvdD-r%US`H&xfR%LtJpSq|aqVJv zY{??_C9{ES-fX9LQ@pX}w((}>Krf_83gQ;Dlfkyd%VUtqo2g8y@^0p@Ru#uCIPI4y zmI1)7@`JrRsIgj$R+C8Wok->GjBt=4O#s&d2H(Yo{Gf>`R_@^|i?Mn`7C_FQTC!Or z(v*UQxDhar$qx3`AO)EXZx*7=iEOfj!j*t&%{!;B@xAqxO4GkF1lDHzKzVH-}cRb zHmr|SpiK!!>>jv;r<>S)nFDQhq7v1f64mY!Rmpu}zDVaZ;OF&4L2|WJ2OPm_hGB8w zUw|zfCvH0+L7|cTG4S? ziOs5SpO|7v6NF-1@Gu(oT7r$jL8$t>L!^REve=^g($X^AYAs_^*q$2`LoQQD;c(_E z>%wCi`Ca(J-aXr!#inE;;j5(*-L??tg5wZCA@!1JX&t)$jeI{`6T~B=o-k!mnDUNt zDPlP99Lr-OD zDSUUQH3_$m1C2-Zq|fg^QUTg+NqddQAWy*>;`shxYY`3^&App_09+={Q6=s7Sw)DQ zk~&~Pg54UjRqqa?Nv8S{rb-Zn^{k(z@Ox13*^zVK392a4T?EN?9NhxgqiO6su!Za% zAE}Gssbqr#244qYfTz1i9`f?|!6uAG>(dq*V^gsWK@u0^Sa`vMYn%2D)M~@?#nb+_ zgwKC2PDkcZmGsmG;0-xBnRiza*27$DQ5dpX59}F!0HUiCk%y6b#- z>RxEp8%7m{wVPicifg$@Sxo=f%EYElhNrJRB=uU5WKY%8tby8DqOi`{7nHigNcj4{ zj%;zq-b1v-?)j)FQ9%?~h0KK5PJ?FqEO4tV?b`|*hd=F{-pntA7|i`+p~Sz4^XeR~ zTWdwT2yZBs2876vLU6p~9UkAze-465AD>GtvG*Hma9SX)OUvmicnq%^qyf_vC;iD- z47T>WELJWasD$tkBCF-ctOdz&yyQ0X$$Db_qqzQG-P)h7v?@iZsdpiA?|;WubsH>b ztg*C|kEV+fl@>|#^jC`u9uyW{f}jvK^wtse)v%v-y-q1&{W#wY8Jde^ntzUOPLAOv zcjrvGViYr>q4VILRp18d36f19!rM1i0ZYekNvhrHbfuZ_X!#)UoF$J2y_1A>^p29~ zw{eYp@H0_JeYI#=5+2p9y$;XDvd7WX(HYqj1^oOJ4zunavSJb)y)s90AXZT%>R!K9 zQ8KKk&D0w%|Hwlv<{BQqWb50&vSkM|zj&cAZ1hn&rs=LTLoAa(F_42<@Xe3dec9e88%EN*;tNU8d$V=+$urlH;)q#SZ{r}D2aDh-jr7N z7!>t$)&Uz_{c48xU~NX2$+Li>j)E(_5m!*s0B!fQrecoYA|BL1it)3d&#Pr`lL7!6F#ZF z0*#onM|U8Uec@4>W2QKi{q!*;0EBi6AD}2W#FVQvD@Ca+LsNK~54B*~%vGW$bWB?g_^`Xy=+kPJkim!r zu#U^Jg@P*{#O);=pHu5M%#V;@#P#rkhky~{+9%G~k`rxzsFF-O*2~k*4w!?JzlyrP zyYcFZ``iq+@{ijCM-cETldkA-tq$y8I=S&H?|6!*^*yx|j*PS|* zIzmYi*B%~A+iV5V-H`1makjy1h~=}^EdU=OA|>D_c0ugND_vK9xnp87h*O~XjzwSxw(%bWNN_m>~7#HE2J;pXShKfdi7L9U6qYr*P zpe(Poq%9eF328rS$?3D-i>7J)s;^i(`u@O(&B)PlmS25;`sR=HkB;=KT_^o=c#~nR za(Tz2S3neadc*Lwc*CyuV=tufRdz(QV zx8c{>7CS!qA%FDF;gX7L>U(VlvbMdQe@crME$|IJt+hj@sG#Yo^{(v+&I9~wt?>rl z&Na(7|5!_Txv*v^59|6C)YD{pbzDZ>S-(q_&8_0PHo?TE%E;Pr%TFnV4Bff=mGt`5 zPS#lTm@Rz$y3fsTUN(Js(Fmk?uD#V$wLYF0uN-cx zJA8SQq_Os>C1ar>3*G?Tu({tvPf=j4R3 zIYt4(^l2}=DbyD`XKA9o?J(b4mC)L>FsiT4&$?mh_SK43Xuee!c1KWdG4{2iew&-4 zSnr*L@Xl_p?>L4hyF3ZT-D|B(4b#cld}I3NEwD7}ezY{8*9o8QCU{PX?w$2xKD{hu z)>;=g>){Grrn#G-P&!-lNPK0`xt%BM;$)xc|M(bMyYgm}Q0MqM65Y_tDzELKSt4=# zDg%5_s4;^|Cn#3x|F%z2Xfl8S^9D4If1*qcp;8Y|>#c^`Odu$FcW5a%r_>JMEL5gC z*Bv4{x~Y(WLd?0ckm#Hi=a$yCX6n_7IP1Pu-tIG8FKq&T#msDa+@_mytlZcesY0^- zXO;${cS`xVB0mx8oADtVp0HTy7L1pnQ|teDDZ}u*)a%4jKFZ!;kqezH*RFV!NPHv} z%m>~LDs=^vMPHdmL<>^Op~t<>&_KUB+nq7dXXa+-;Mx`FCIAwvP8BL=?P(y2n_Tz? znldvhpF^2kCxyzH1}~1Ol^ToyTz#{0)*Bi2PIVTPJb5=2mh^oIF1sj&Yej_tdaa^w zxg_U5hyy}G$PH56m8qPX8pA~H*pDV4=>A;8S@4La zgfr5hi>N*9sAx}`a7J8@9^VgsjMFTV7tY{FrV4eEX%bG4j%Ua8vi*DFfm6q&_sIh2 zCI}^M{YDQIg>7y^5Bxeq>7BY;nvZi@$A@F8XamL@F#GRy&P4@ne)ZfD)p>uu!tjW}(|SH)3fUGAWHUt{^vMja z%62Gz>I15fXV(*CsL)$lvLwE+Rv?516Q@RX$FWvQ$eO)E)V) zeACN`w{v!~3`SSWV!%yU4dpZMq2wom-h0wTG5yUWU428{7d21{goa-8^8^kVV`-uZ zqG@mox04wTD#AW_&;&y@fPn~>?x?yiH~T}q+;l8h=v3{ZxLOkp2S*vHmfz5w+kmZ+kNVc8VJ zGALEDb`h+ElW5&&jerP<4Et^ghkykNlxd1&TqU@TeLz2_Of4G2;>yAlnxGCy=S2+^ z1-V7Bwn5!qf;Z}JRS(68JP7`|XC$HuXtt^djb9nCxHr+TsAx6sZ`>e;vAD@+)D6NX zz`fmqA!YC;rHyqHbft68$Wp^KCAT3GYHM+lh#_F{qkxugg_M=I2f`i$2ytXy^>{7dP;2m4v{aHwsol%<}NMLaC!ORl~D{7lC%911v=fI`YK zUL%-mO+_YQlLq583m-YkJAb7`X96^8=T$%9a!ql`3Z&&_NXzShkK!qp>nqQAt;o9V zE#2HTZUUfJvPQIvtB{tj#21E&tix$80xXdmFTFcf5v)^S?2cv+%$8v+R6?@_7C)G6 zJyadrxCy??2!qwK&*;EG=qdp<8hG`L2a{N*QiZ`%{6OamwU@~`13+b{lgPFC`&I@Y z&N-6;w$4#77NH_kgu(ej?dxG&_OVSwS(DGZEGP=C`v_!SW05XGyLUJ;+$sjBP~TZF z9MputO4(=BrS}&u?-j6ioZu^ljLm4ed*EM62iDZjN_h;0#zgqbJ|n%Bc2Ko9n?l`+ z58R~*N)Bb0pyY5pyClq@oU3a^Xy;@W6X8M4&L7*NHzl-(#Gdjjo;y#do+AGj8A6kBIYp`L|56?7kjib!d) zaHY{?uq@GzWh)4?U8SmE4yD$HOm)24`d=;w&`lLV4YdrSWyEn5irAs9+6Q?Y+3R%x zo(C~CxI60}LWk-VxQaEK9Sk#R@c{Bk*H z3?E~uira&2bQ3(HL>n^hqRHOCCmR=j{JL<4CYVnBUN2|2TVGqIy@;`7?6F|nrQzjLvp85ZzxlNSrv*!Cl^-Q;<9eYZo)q zmJ+DtHI}8?gDlqNh?__yI&+p`Z)YO$gUzurC*OC71GH`}gAu2Jbg}JU@BuVS05m0< zP^m5T5e{>SP}4@^ZX#2`%1wScfVRMHHpP=dt=FK|%Tnv5w%jTVQ9^=cgX205ZRaml z(vf|Fs%ta+=?fg8N43O0L3K9JT)j&n0*jw6Ld8xA(mhb2KETf_Se_O9H+Jxi48gSZ z?oT9!plf~^l&kN`H0LyL9A|7Leg~qJT>C7N1w>h}DhpXS0(U1+t#zg;L_idPju`lp zBHh}Sn37SWRY~dZ0|L+T3%DRqP3#NTd@tP0_P#Sv&Pu}f z7Yg=<^`%MeO6(b3OyzT7WFpAD6NEt#{IFYE*A)-3#*;YM;jGLjAE?uM+ma8_K|bfCt1COHr+ z&ypbsWpRO%wqd{JKk;KV(M`~Jn4P6Aq#Vu8`TV$7t$KraUfFTFW+~lVl0Y#C= z#63fy%CGCGB2dGBd$*Z6Kp|lXTW4~0oC0WsuPbQ8CvfVRKtJ1ec$}kv{P&gQ1M@Vx zJnJHC9o;hC%+$s0H2joi$w+GtiXPWuksC3oYz`?LmC@%R0AWuA;^=>bEa$8kM$fLF zlV?Q!4Itsu+yqZi$da!MFs^5Zpt&=vMB6aD!y7Z!4+jF1ThYG8Ga&MZ3VS@hGW?hS zkq1A=Y5K~tyy((HfHgr6aoE}{PTg4??Uo?4YXIV>3I@YysUT363Or;88R1PbV__8Q z6dqt5u=yK6HUZwf<#Ju?2HxTT5^WE4mdO9O0Czp*`x$M3uvya(EFH|uJ_96C?MweK z(hv8R?$;XNi3M}o6dV^{EsyM;QqGya<`5;O3P^hYVXGg$=I?a@lLBaH+!k|mD}9-) zsrG{hp?sRb(0mhN6~Nl{9J{Xb)GaB17i*~=>2aW%b}_6f#vl{GdwY0C?77d^0lWUUg18YgNlek>#x8CI75KHs_nz%-+@ctz5R0$ zX9&5T8zIAB{T;Z_59iRG;peL^2$msmf%p>WMr>hF+k^iOT*#AI%1f7)qIn*Nha39l zX45$k6pn9^WeI<)in?JhP^R>g-?hEkgoiB{P>c38&(d=~DnQX#rYxiRuQesWZG*s+ zx#eL#j7p0(V5lFBj~dIk8_JqcpV2DAI^rZktl3#&{e#A;Dyq>rrhlHecy(2=htd|?0-DIH1HGHI4>*8Gr^mC zxyg#g)&0tq;70{ zJghwbx+1n!Z%)$HfFap9O_aoF_dcbXU6LtP1(c()V0qJDdp4R! zXdJalhB04iP3jn8o=6K1Tp;vw3p(VD zFxgsz>gHak=IoZ|HmU4Nm%TpJj!SGQa1ULiP%m0)FI`q-2A2l>4h#E%802?Y)Mu=b zW5kR=8m{Jj|Cmbjssvd!H&_&;TAmK-Gq`uLS(15b9+qJMrQ~lP32+ujpst8MYyxiH z=Ax*#*HX_SWA2t0X;IJhmPOGep6R%)Oa<0K!CIg=d9^FFEL)bBtmf!uJMuv%aCUG( zLT#0j)^}9YP-%nWu?OBDs+zO@nDZct32)#r;RY1A8H$!}yMJ<~rZgrzoWgyUk`3P- z26LX@G)1EFD17`9Y63;ye}8wlF=G0c8+4G(&4%v|88yvzE07h1Z~&|*5UNyAz51i? zJG-S@x4XDO4i22xtC|e>?y&M}|1jtFg0Gbedh*kD=(_fX*b3avKUdMY(z|BcfqTVi zZ73`}&_cH!Y27(|di&_$=&u(qC~rP3Paga;_F8uM&!jiLzrOn(6@4ab9ewnDAZgg4 zVf2S@|MJaQe{K{s&sqQO=_lXO@4o9yM*duvc8xxw)yu32x)5!yEFO1v^9#4v`Byt` ze{zj@VjOwQ^y~Y8(X;Q&hwP@GO$mxT^XEC%!E2+8p_U%soXIZ}7A&0C^m)t3(4T&c z7U)OP;l);YG3tf|?2@UAy>2)7 zIc6tmdaGbOeZXKOvSI_j4I;8}FPd-n?#Pc4ab`ZeMv?v>UyT!G*NQSuzkb!eQ~t9_ zgL2mR0XwS$x@jX3hfWWnr?g-khdaH7>U$hsC5Q((_t)iXC@f?Www+wo7d31#80O)rw>Yxu31AOvy_NBb^V5cTb%dA=>{l zH&DND1zU;W(i*awtnk2qa4Jh{X#Uj{Ul|3@%OW3w%c0ZonYrjty!MWLMH>jsf!yVe zNqzCr=B15eOB?Myl6;#o{mLCp?e3L3#{JmMF(L2Bckkop7CU0s*|KQZdFgU3>2g=- z3JwdV_h>pM1+-dxoqpx{ZU@UjN?>>A(5iw;%iAjsG>ZrAN*h1AB+bs2D>@k@Rw;Hg z^=0gnYkxIY(O>_k!Of3Di$2n{(km%1lH}S4AG~!*x|%Mxm06&6T7*VjTelx%RoC7= znN@DNE2r!U(c;XdzV}j6; zpiFaRWXm1HT0IELN0&QTeok5bQo}y**q`Zp%C=taG38dhI6GG>J5`H}aab_qUZmdPk>|J`{Bcf7NwZ# z#}(dEhwUf6aFlIgQVv~9_0%FW;o&YTJYexF=gO9Jwirj!B484grD&Q11bAYKWn&Oq z$G zc0h5iZcTY($si0{cvEXeTt#8Oq0yegSGy}MYId~P`gbdX;LNx&Xr_})WBbIgp)4x} z^`pn5lt2Ro>xvtj!YT4kohK6}BwMq`>M;qq8)nTTOt8N)@;X900{+FfRRyhS4m_P> zF>Q3*YD2x6GJYg%U#ToGa6m|N(0@gT>MTQmMGu+5L{FhL_zsN*y(Z= zaQmunm7&QVN0S|Q0GGTwf0jc}cVA!)o6!5V?sm4^Ih*3f1#^?8?3ODYdG863x9)OY z-dwI}Xk2N56e}9V6oJf^y-8YmYn%%fX-b%5@cQKI21v1CB&(LR=@NwcKq@O z=BY(FYGR=n&>iTSWGTf7mxm$k#%_h;Osq(e!2M`wzvttt$4PRRhRqMVB%J~LGb3g6*Xlh(tiPd`plC~X;!<+ExkGU2wHR-T@z__CJ5YezzE zLfVO)kG?Mal96Nl)1b97N$wm{7l9pG*aaQ`P@mGqC7^@j6ka>S)#e`n&0fA7RhFr{$3&hvu<%B?BF4&dn#x6q4eZ3-^;XO831>#iM|>VOtk(B-DVac$Plk8ZoCMad{#fJ$Ovk+t6@N#rNvsa8+nsO?{s9;jji9e93I!IK z3&zS5y5DX|_R*RlkFTBzCs4>j7iK>ZZmjD*UwH)|5;B9I@KHCFHZF2WI!C{wNH~&( zuHh_n4Z8(XGfiWS0=jP_W%Ezo!Mz3w^Zx}H!G?rjcS4s8q3gfGiwC%8rHijW%OrHa zNvJBWR_17{mf+|*9u3>-Lg@OUq3Pbb;i#kRl3xeclqRa6LzUG;Gu@A`$r;_NvpI4X z@#ov&V;(jM_0+ORwpP@#_z$$$oGrH*J)BQMf&sg=f~)BY3*R{=Rqc}t(o%5zMLjqY z@$kiN%l5(YqBoc8O=9nh>1w8a8?K%#mr=NsDsNi*cnsmx#ocm2al&)ya>sT)dNswT z+;KRfP*&@bSsHhz^^TwNpZv9o!QD4hSf~j`tLn3|pG>aAaX0Zu`qF~wnutv&o>~88 z+QX$<#rM}eaw43nfGM2cX99vxQo+NYUWo)JDZ%qU)4}P3=P!*{4+#C5rWJfOsNi`f zKHJ$>zzt1M9l4V^Rdiqx(Ioaj0-(lkTl^#0q|F$=0}kLeVRyXXx>V)^y5jcbiw3$c za}tr$tmBf@kS@2~P@!H%p_}cTMH9yCybVViU!byxH{~o5^L^MS1q_RBa>c84A_D?f5{;aJ zbv=zWh8ruLE4y&nE3~X@iE{GlVFctiTfnYC^z@&Ak>cQmtOj>{aU=PsCb_iHqO>sv zbo+j09vt^uY6YkPSmQ`qIDuH^+lgg`X;)23E4rqk5NS-fouqYXaLP?k?az}8QRKuT z!}9le+51QR#*2&_$ZgIvv9K$DB-VND26%PlC<5Ww@PpU2^5P2bQqe>mlH@c=VM|7| z3I3t9^2W;U$^Qu#q@$IA(m=#wfGm)y^UII}Osi_j4E{aQL#J2bCly!jBZZ5`=8fPB z&$jmuRf9p_x}Hh`yoz@Ni-iI#6pAd0tEiE97!tqT$UoE^iH>4a1AtHhHQOM{Wn_D5gsrk_&|3~i6E-;7jcNRcoV`M4p+U6LX^l2Vo08R%x8 zFK;ZBMk7=0eGlOvG{rAeMCZyj@SZ_1XsBRIbKMFGh{spIp)IO2r#=J?yG%UA5!cy1c{zV7~ww9P9565_Jk~ilN zDBxj;^IV?I!lMCscqX6Ht!?HXs$1T)f2A&h%S8d9o%o_m0z*6F>wWP>$->iNQK$WM z{2lEB9L4(kz?Z~bym!@onufkZuC$WD`HQ79Ri^P^RQ1wCukyrh|4FLossusc_7lRP zC<}#@J@cu!6_!V4uo}#uw-(t6MU4h%swNP);StsyEwX)J#GmO|Wm}{{BNJIas_#o&1O6prAc3Yw??M#=e3@S)AZtn-tfBF`#2bph91j9rPGG!IiX}n86 zkIqS#i!y+JcY%NYGa5M*--pfq9XEj}tm)%UZ+fd6VFCuK)U9_+n!~We-C?~GQBsTD z*o0J&w{e~s7zcHlYY|zv|CSmP`NQ*Zf7m{-bwESOy=cSrKnnOwo84Js*LcWY-{`UR zk6p9Q{R1vO9-7>z*g-uln(l4ps|lf&G=bsy=EOHp z*!xv-QMeLb>WPkDWEcY8VEy4Xy zibqXCmu%VKy-7%|mnF)jaS#HzX<5h< z-YdGdk8_pv+nI*jE8Jw0^iEWu1wq=v0xjrSnW4gFU9dt6Ur`-p{R)jCbQ@X7%_ubf zg|*(7-+g6o-;DZ0Q;zV?Lpb7*^i12Y+pJokrX}-ga|sy17O>sJ5o0m`(~BLD&sP&P z`N9Ji!h0hSg>Ym@NBu9;#XwF{~R5$5=`_Qxwmul9(CI3 z+V4f%4<`@Xjuwq3(yqM^`93;5awH?9f6vb0YdaH|0~1Bty|62;!e4JUS1ZyRct1u` zb@C%myPO++_vl;3q72X3S2}tRZC`iu8iYho8GCjv*|8}6o!S_pKq|p|xS5cwgPxttVnyqU!He9P;ROOT&a;ax) zeE!92nTJ;Owq&!ZJFNmQhrPXWTM+cg%4+|t$cog7{YyqyOXv00SC09Vr@8!gOZliG zD_|Q{XL|3tNHaUH**hM+iEhZxzo}={S+#*d3(caBSuPi?D z9cDMPTi2dRB({HD7~+yP*(GgLHnC{ep%RZY$XCGQ25m?=^a)n`+uY4u)3$9^m1RI& z?43?*Nhe;RQz2W}tLc~)&|3RtDB?v(XH=w7IAfd6oWK^BwD?mUl#!W~oUknhe40m^ z@BQeZG1(=#l~gpUb+VAWC+BsiI72dn_9QfecP?pH(}`P|rD~@k2?;Z(gP-5bTYLLl z9&u~Wn;$18F&(ZnjX_h0(V$)=Q@=SeVz5H^Cj_?gtgg6|KW^Wiz`^NNNvkl20 zKFKEPl1x^?x?r+P)9%_7<>%+#tnIITwivdywcIf+E6O_U(v>)aWa6acZrRE5H~nr| zsp%)TU4Ct47&ybtsHAgYf_ndqhC^0`ZI?zM3!u2jL$RrIuJ*o?+$ZKBSn}G+>JE#c zeDne%6OKSzQ>f2&2~Q6(aZ#L^t=wun6K(32M51%lW5_WZdMTFdU${AkUi2(fo;rrK z5|UsCg!*YLGFI4}O$3h~Br6MAZ+qQhk?mKx;zJVPzZP3IL%J|W6gLUViQf_@F;7GV z4~M+|JE97CEc1n-7S&oP@i8t6! zL5rtmi`w$P*;x*nfaKh*K4?wdG9cMT>7H`Wjn%e?<%boXH>83qfnQDUB5FR*l3{xE z{Nvqdr__YSY)3THbfSF@akoeh#sy<3QGK(EiN?R=UG- zzYL-%Z&lx^`+;!sbu%0luN&$xx1~NvCq9^x^L3}8<|21SZo=kcH>UsjeBVy+B`!tK z>{s1KGmR(WGfjMFkxsOQarb#z=Max!?VyuI#sw~Ev0N`VSDmP%}0NnEc=%4yQNDL%0vUkgc&Ly{F^sXR-o z_EpiwoCy)?9MNu-45J)bxT!GJ1IEv=));ojvSTyL;aolj9b%y%iB64t;+R%dK6Z`1 zyoudY*R=P8Hg@~ydRCf+=Yz5)oXaLo{$6$Xz$%c_oWze&5?UPArq=Xj&&?nf!**@E zlg#qCaXW?cVS$a?NKWcc`JFS$OqV7~@4QkED z?3;|7Fj|#$taIo8VC_x7pv1oI;F| z8lfbWB?&pj$w^e&m|~Rdm3Gr2w2k(~^1q+=Os8|czvX{j|LcEUbNYO)cTey4^E~%` zzwYIE?)(0+ZLb$=PzJWZm~IHtQnW#eaJ-`jc-)?GWMAmpwUcO_J6QYn4Vz4MS8UNe}g!M7tZSm~wR#{erD>x&5 zACl`-SZVdw2~$^Yi7Ph*kX4JoMS@)-4SRLZ23r%R`TIkr z81W6n2q=bYMmaESF^<}XIHo=frj>BY)|g-5%Dn(;z=GPAhE&F6jAMoq_TeNSWxLA9{_r?!Icmj^b$9}z#=1e*JDhI*X1 zm>dFPfO-nteHq* z(9zcZWezgi=BeL$CVtBOxrv89j!#_Jbm+vqbp@f@o}3aXJy{gQ4)k{0Q9AzBae;4! z`Y!9OBu{nM6?d6>g5=llsbs3B8+GP#R{lPg*}?cJtn)iB9Md3FKlflR;sOYNoo9&` zY;I`Z$Y5n>35=@L7t2r=(V-7keA&;d_qOGYF1fV5`r$2&MO*?J{82Vr7+-k9!8jGu zLVVwaI0CHdaF`Tf(^?ZfSMF=JwWTsEdku?S+;d!pu<72aDh&clo#2`cF*4jzPz5&2 zjQDSF*`^6LPhDG{!OC8RhOV20hDNCquJ6V_Tf{BfgZ}glA9UhAJQQJ{BecKb32NsL zI=&@vo<7j^>3U~Yr%LOYWjW4{@J{B+ixYqB3~em<-Yt6|B-|*~HAlF!-Bp@(KFAa< zSJY|{Vx5jlvpRRd9%SIMg&(lRwWQQR5;5}A61|AH>D0vqni>0oZsf z0IkXep1nR@?ut`3PTh&E>I;a$RDSo^)7SGO2HFmp=i@zO2sUeuU!yGzpM>aTsh87e zzdxkXI5iH|<=KPY+7k%<~l54I{L*sD<6>C}7; z!pv;^xHMrDaRC=`!J!BoMuB}StJ(1V!>wpptgXhWbBt5BO+!5J9`QgWko)N>>(D2j z_eM4Z=n4AYDu>U#axg{)@TDPAm9WDY?dvyJXv)^0h-T0~jk>z!&;}#I4o$+7SGBsZ zfVdU2fys#^RyXh6#F~FkRdp@!i`QNiY_wqx z3710xkp+nNL=0PiaiJGRTHJn8vp#k6sM#xN*0s;u4#Ulz9K44X`m?Ot3sFmF85$Ta z+p6k|@c>3F6A)r!y6iM1Bf2bFl^nLJUE2#~eYI;!JJxhKILro^ZnXgr8i<1@c<#7tXul zIf>;ztgL1*`{m4qxPYWXpurQTu=;M!$dn;Cl@;m`)~ua1xQP4eTemLE?RzuWRVNDU zD?u*v-_ogVcP*AiEW{?fFKB4|{pZ5zNgB~bufKed%?_E7w0%;mkFVEbvW#5cltMu# zD16vl{r?Segk47BxKJL6V}L2Ob}=g~g%zg63IpTlAQzYv7*`cBE?6~D+K6pHg#z0g zb>(hwB8SQ2NpBnocrubY+XJ^ z^p&HF&2mcQb3%5&x`sn|D*xu)E1r$4LFd21FS+j8e!N?D+#p3@r3V=zu(Hp(46qMx z+Dt{WU1ACnadboHs`&vJljB&r$mS0Mo11QwbFEYt%{3mI>xtl^R+esT_r9fwbAzP_ zI4}=`bu4m1boYmtvw>Cr3m&efZ#yO6p^L2Jpg~uz+9K}vMt(l5mEkTPg|v;~y53^Z9l z;GbI?7qiaqo2^`UF6I|{WVqRI`_jOaz-5Sb96^(f$FcHb%g0QvAT=J_?ez;jGURP= zeQ92-{9UYkHtjZ$)}0SGsO;}-9k}(vle^gT?I&zqQ{b;*UCYf3bDZ_|JByO{gBFd$ zdwfm$>;37&*3spl?i8oieb7RT_$?Wq0uEYVTB^WEqt%G*)QX^vuL!=;J$SE8J~&HN zyg97?Z%Z-Dzrk}i{y65Jfh}$ER#RXUd-7%5AqI>Kty+X=1-`5T_%iEs>~owasSCeP zL;5RT8eZ6wsIit93XWXuIt=LX;K(^Klb4@_iR$ln9$|OG^8d#~!I$~*YodGP(L@Uc z$7EW;uNjg|)CZer?_s=qdes+f1EzvA0mKRd2XXnd)MwIV5-}8yoaTuu>sj#<0}Y<3 zP4(4VJi#&KNflx$v3(c3D4=~uIIs0s?A<@HZ(A9qK2ysitti>)iiTckFr0mu0Pr`c;b#3QZx`};37%^WCY8!2D54RB|;b+`zP#Fr8R-)74RAZdi(4~ zQF60tgU`4FlzW6y&ByWvMFmCf{0dBgDPf`&+xK85QXrIFcrHe%*K#*JL94-FAHk<( zdJY)B_GDoE+H?1fGQ}YJrFda?Un_=#Mx0yZ_2eD1&)NfEBSNi$ZW(&TX6RdY=c))K zGS*9_2>7fpe9g9@uTk?3zl2iEQoo$iCA&qZXi%MF$@1_B)M6t zVe^wYFJGulOMO04%i*_Gbc+ytzC7ZB*juBp)?u$0H&UNVXOiMe)CiBV@%!X^)gk%- z_7Sck2Ik<|%-U=p8H9~A%Wg#eX9M_uBP7Bkm6OvUTC*<%!(`i-fm@oMxQd>;&*6|> z;~)-vwWR2--T1B?{H_W=wrLY5=d77gmo<5 z$_iA)(siI!HMYAM(d>QBuK5zsAmLk8*)&Ut=^%?k0TZqhp4?*x>}nClr%i&%!Cp;< zK#MdhTV=}0-`eh%#Sz=b)dA6Jd*Q^rX01nm69htd1(edp73EV{QU?zwI4TR8EUfod|2_7)!kNE>V&%SW6Q=j?j9YRAQH~c7}wQoH7X_( zUQ9OPuYh_s0x1jSQi15lRsawh7#%~OKe=ljC-3#ODCby373uBH4aFK@@h=e|ja zjIw=9j0y|hcOD&1c(P2{`(f3m+uyU5r@!pIlQoGs`qgpbYw?qgq{xRVO%u^WhAZ}s zKJ^Z`ns91vQbU4MYrNcJ@2L1Xzf&tmb%KtLMh4s}`*hu+g{PGyU6c6YYE8Akf8_&ne4jEi^1#QZ zkM`Jp$Y7n>)xk1P4fbAtrs8L1+H7Iw?XZr|u7L?oBkv89=fB!xbD`Tym<%78Rrpo; zX4ro3>7|MbbS`(iob#NYdJ^OlL6z6!b+WV{D-nG}K9_=cMU|1(?t15?@=-c(jJgu(J!gE_jfAu3- ziY-9)YZhQ(82_ zsnCyLpkjYN<)DxPC7q`^=(w?S-B-G?m%WM(B(rlP7=8&cS}=hlX4gs|j4o5BZLp%f zKph7<)Q}V^dSp2EeC3HFO5pHfa0`-f10eA9V|+LRXwdvsWIWxp_#RZ7OiN} zUTM+Ex~H2C2uU|Zs${5H3r$wCe1|qd&DxLefpRxR{(4VWK|`1vXb5xC&henE$`)?A zY$JWpxJN~}bohMUc$KSZzy8s#0;pPRy%)MD2*Rd7)!J_Jn@^xRZLJ0^cNX<6ypsUc zxpTd)&H3Uw|G@ftp;oeP}5bT@c0|w_3V=&Ru`fDI_!K8?ELqKcdYWLwA||Ts3A%?39J3O?u~CK zI}F;kR8y2WZk4ghob>MRUz<1BK$DfATfi4NsnH6mDbd(0V;#?lreCTt z4Y*Jg=5_DyBsBJg40|qAUtWJGo|~?clHRj$Km)!WWZJ|Zf(2OzgtF&8ZhHdt{ZA~G zXV0BF1S6IBd}!4Yn{xqe`zd3ng>`L?U8y3UKeREv(mVy{`y}?5-|nC=yvnwemi-Q= z?yI3)SNn(GHG|qVVVfyM^nzN_tI9?wwByca!jdkhETpq@?TgBOR=(AmnO@}oGQVap z;ENRSQOzXyVv!#&s+&NhfOJ~O>Lc!mG@|`^wlIL>1}k#Dw>1_K6Uy~F1C8#N9T2Ly zh!nPZMSXumVCb1v}Zk8g|u^-3!Sig!foo@~5Zz!jN=SDfi@TpAB` zw{04Oq47uxj1)sdY@`1HVV5Z2$)B*L0Z`JK|FM9HM9zlHKCL2^wLu~42RTStxU_I6 z9q@+7z4u{-9B`hiG*P(&`o?$^3cFJJyBs-g6Cj@ZB8C#7SwnOrBL+3W$Ql4np&pT; z{dwDadSmGvuG@R84aa3N04_}TWPAKZHR8*Ja|&5oZ~MpyyCmR{weh1Lw9UCG8ss+OgWIAjEnJAIj!k3*IHt-G!)x)Y8-HT{Q(l3LbulO1hvw5*z06 znThx;C~*(nb)e|||2olu|4bBVyVQP)X%o}y*F;C7<|`0i9_g}gV#Z5kPprYxgp`Az z!LBha5az)jz)=g41_M6NJz^YY^wLs61)>MgsSIm?!UU#UmLTRlG>%K>F zO4(ULl5)yoI(yMCh>&By=+ro6V7TwIg`_>OQ&8+1cB;+=aVhkr0d)*0F3T2=)aBC)(2D2= z2p^{cn}|2Rl+Z*!EjAxeOO>Wz_MjUg5>z}Z?H!r<*c+OJWZw2^1eMrNk_N)3%1M+r zYUdFQMgw&7Fgw_YgFQ-W#DSfp;i2C|*GHTe28;js_AY^FPas-gd~bmO3jX*7P*KLT zSZFD;=zyX`&o1Yt>owA+3QLeES0e#=TE{>ODpychjy4n;8(|yT8O-j(CK`e!y2Vm5 z(J*YHB}*G8F&CyOA+|ee!%dGvY_EcJ9pO*%KalGKynGrOTP-?(lYAZe#Ax766jU@| z<3DMFjWHgt#j_<~eL#>-ydi~IL#?QdSiTzS5d(dVK#7oky>o-jLUuk{kcOOO-{Ggy4M+XFf?#Ucv$Dn z1`^He`YZ!H)X7BcpB#{CiZTA@>nEYf7^2YYf7I;CaB<$)avS^MLaCKC<7dPr#*g?>wk8lW0ep$V1r z#o`Al$CZ&{s+}V0QyTc>NF7Q#<1EkKoErqJ{N22Xc}uZN6X`oGOy9-xOfbcCK#UGj zDpLR*`YDcX?8qV2o6^K%-96I8&ih_f>&(dPNK4h5N}w07D-k;fD%6FPhi;<$D(5qI zrHFxAG^eQ@-DADlw0B~BkfPOn*rWApuFRvcTsM7;`hc$ztNphU37d)xgIEc%pqXNr zizsXl`u#8X5cO}t%i4g?g1&6PQ=kmWy6^lpcaC&5MA+Wwyms^9U?_At0n>aOe2K`{pnRUF4>L7C|hm@4NL)!~=y{qin|pWZR#CiAqk zECxf>LD}gNOTmKRm{JjrbAMY54!1@H3hyNYx@sVL(7H_J`yMsTYBvxU1w3gp~Io? zfF@J#0r8*dsL6t|hb{*y(Dp2+%K+ZFsKV@z;$~4kQaH3j$SS1M+ zCzp$>5?kY&t!M*C_Pkk0+kGndjk$Yf4a~y~d?~gkvh`*`-w+$rH^c@FgpN}uFnfGG z+w)>x1!$&4lcixGG@_Ul$8Eu920X#DixQd0sl342qL?e@_FcSJW23g=$mZzk5FD#eH95mo~+Q%~ix9-%cvT_Bz zMOYt{_!0`OR}r-fK`aNR1AXY*A58093b>9NY!Q2`6MKKBeSbnbDx>_a1slM)lQ{Ir z1_|p9KF?SmYZ)Q29jp+A?1u0v`rxZYv>^)N^uf2n99rmz8EY9RnI+a)Bgi7Dm}x@- zpNr)Y$KK#w_PS@Rx9L|5VsJwp>J7QXn}w-{lAn9`jK9&vz{7yfHV3 z=`jzVXc1Hl$a94Qp4$Sf35qw`GBA0(FyE-D@(- z67;Ug*e5mUHT$Nt+%?R-Y3P}Ab>it+nWQqq40YOz7>80-j>#HPGK5qVRKg)f^!ZwGU-##y1y%i~D~9_}uxm`DJ!i>65o3}I(y_{(#S-f!<+A|6JsYnpQ_*Ux&G&jBPYK!8KsXmWIlcG zE~s=H{d$-Ign=mVtWnFA*T$#Zb96- z1>g7DiYCwXo6$$v*_cQ48U0xyY`@@gw&u{p&_$@K7-XL`+gon_o9&I9qCHPc$Mi>g zDLX&ZXZIi4T)yJW_NRS#yOF}FA5{imY6{~TNyeJ2Ll546t_)76&Cc)f8~J%6DYY2- zosVm8{h=DieCW+jz=}a(Ij6;U0yaU=KQ{4;wWpZ*d=iE*93IFDDn;ZSwH;rey zk1oSv-Ftl68WpC3DjG<2K*#Y)rp0bv5lRZ!R`05m%vd zY2N>BnL*Cd;e2~zvi^Ih@U^H%sr*yB1$3Lg%@D2pkzqz=LX9H_7$}0K&aB)Yhw~my zUeA1&0DBS4nwc3Lwd{1V&(fTfL}H^L8><=NuU^#Ro6Pey^>t&ho@e$d62gBNTPjDt zL36dypG>$Pw+$-k9OmKGc+236d zjol7|a@+#xe^E zf+pi-`(C=T%%*O)S0vc$LY0M@DbSc5HWXiGU62BC2iD0DsB_p0-`)pB7IVF5woq9E zUv|`AE_>wq7F8#1x1tvbVrW#T{^-8#5YD)?m!j;-?LJ)LEbs}1l6=>Pu{lmAxSP(E zL!mzEX*DWu)9lx~dA^Q4`~M)7`gQ1$_znzi*ZN39F^wwd@a=A_9-r04%aO)Z_o+P@ zP)*@;q&hXy3jQ@y-*)}A(WULE#$k{d5AWx~?YgsmkH9Q$rWPEVzBsH-^~9>?DWVrP z!`~9ToY5?2T0waRZTNHxRh7UnEt2DIg%2-@#6g$&xw=ODK`72}s@IXho#VpodHhlt zh!YyHb@q$cf$e10w-&+Xiy31wELzEZf5B$^MsvZew>-Qd2Ve2Zy~DG=C34b-Aq>Ga)H!2OXu;sV^V~92pPzOv-U}e_fx^1 zZ!TCw9F1@epKsLp} znH5>7>LEoqwy#o(y6O4j<*I~xwJE|SmzMuE52~=;^nRQijK)o8L9mbTJjSVcg>uR~ zW0@DxBze}0UL~lXQKg(RWZ2f__^H!T)Iu*veba5kdZUue8!O4KnJ{A|13~mOxOBepb~#q~hA_mpCj%&|G)Of?Ntk z9v3??tX%=}He!&cM=CWZm!fr%FrH@cHDIQ`p{!aX)+d#mE=L$z9Nv1_$L>i`YIava zP7PEwoQIK%eFjR68T|p}V+Ns850sbM24gi&1HmZU-WFze=>tMLF`{kD8b?>OD?|M* z1QAe)%3mFdEh087Kr;x0vPXtIUKv!E0@mt`oQa?;%8GiCO1&5|lg^5zBhcewpci7c zo)zoNij`*3wiw7}=LBto(toj`tWQR0s|I(0q?{`ng_)iGthfYG1v!^RxBlxrvi|2$!?h@#t zUr9j@1rI-jeO6A4_{X>5fg__ilEa%0g%(Lv_c2e8cWJP#g_+stFS?U?-=+WAthc|) zP*F^cnO=yrfWY_J+onR@O#;CKYEa3eDJYCj&2a{}vZ9t_ll4TCEypH%N}XDcP1dv0 zeA36V0y%6|6lyuhp)AW20e{{;Y*iZ6atd0Nrv$FhswmVKOxB`Qf2axwzp})<>0b@+ z=O1-X_4z=dZZM*5kU$2|;A~b7sW69>ir9sYP(8yI@hejq70!ia;fEx!4vO!!Sn;`V z&5Y@qVst}_*F})sD)86KCTSpjM*imQij%uv)+|uP#6=a&wH%x4DGlm%U9|k`8}~Hs zm=Ov@Mdk#43;=5q^%Jnwk5ze(aQE{Ahus9(prN7Upae7o)%r7a2@ePadn-VTK}4$> z9MC`dv~)?WHMN#Rt%dEMJ4G4s)!BAIXRE*m8505@DAHzMj%nyqs?=C0jfG96yl`qK z2M-mOV52hkXsKFQ_!>b)O#~LFF-rNP)dNhxOiy^BI<>G*A-Q zX*H-rm$}Wa(FY#Nzz^}CZZa4>N0%_T=47Z03uNNs(cbGxj2^4?XrHX){#nvdDz%M3 z(B|MLR0-q%aO%iAJq|twnffk*g7>w(HaJN_1_$M5*(;QtsAy|1=ZF*_^lN58#UBwPzUCy5_+j{Mn-fm{ewm9Pzu1^sSD@-CjWppTOcSah zO{i$Zti79eODOr#FC#yF1e;?rgE4^t#*cKdHFOBPsK1jqJZ&CN`%^Pc z^8Lv_cFB@Uw=o!ox)J@>Loy&U$R>)sRDD~4@@2hi9je~M+c6sjBoV#821>ct+vE&qlLRCsvC<+Dm+WAI?2@lC;Cqd?aX z6g=DFIYKvy|6%Xo!cbu_R1zXe*U54A?9u%6QGwAM#^ZG<5i*lnfI`NM=3@

      JEf!mXU^>`rZhW2CQ^bddZ7tP3wco20Nwls&r1`v2DK5qd)ECY=s z0|*7cXMw>az<=n|DG2Tn3A&|mmr5MbRG&Pl(Ozk=v8b=#VioL|AZfN^`tpDUf%IMMYY4k1W$1CT%)06 zdd?h{)CbWl-%dgMl@GjYrtjqO>0dI+gTlUA7pKQeAdlpSIu|uUFU}ul%z49Dq~!HN zi{5bD6^D6yboR-)CiZ237b)I+_eQC$HZAuHA(vRRDA7FDTZ3^DAk%=|kzcs`-dez^ zRkhUb#-`_vAlso{^5_FRQDb>r{PZi7u>kiG*exx|9Y`};yo{Pbf@9B9mNS6bP zvscU z{8M*VR8@(iBkYlTK6w;P05dEPQ24tG5II}lF&Me&4XMskH1iz9XxdELx|m2t?t71_f_J^^AHbiXFqtZt#u&tJ~j z@)9~IDy{j5URjID&dJ8=vq;;-0SuR*;d+&Jef+rfAD39nF1!yb&-*}O)z zU=m42hnBvH@6QFJ8BZr0oi={(w)^@nRCnJ{_UlHwg|x^{C5M}_^m-fic8Ucpv?!f_ z5RbYxI}Oj*teMGT{6Y8kQ5=t)X?u6kCM&nz5wfO&cy@$Gx4kdt^1 z`4IzoR18n7-dWE_FfC*sI6J&JgR_QhGmGrVb@zlvQze$m`?>8jvn9`G0K3}KL}lM7 zDd|W2;%#Y3!Tj$lF?2{Z`2g1zG+C+TOavM%_bHwc|n-=>{%jqWjx z{v{^Dwzxlu&PTy+ty~~g;4@8>;3LjELFgt*=7u?DGv~A+baw(8xj;FJd+5d@5p-}9 z`u!kMtxuPIGMXk3C@)sd;5Z1G`UXC3t=}SBV#t-JASY^NVnCjK6tD zp(KguD-I|Lduo6MDD{L0%q}N-+QRzOzsf|Fc&r&-B%>yoiOeE0p4?;9>um6iPzym; z@S?;jx8&h96pb<5_51yNp#RznjlUudEvmycxn zTu-HHXxgxnSQ@53FMm~=TxKbHq@7zmp3|BB0w20ES80|4pc_#kQIU{|8Q!7BvyaJX zW&VifQQtGy4ED}QTj|x@O3^^pai>S3$ub}B4Wxjz(H|424${MT=B4Am>uCfH>cRM?sQ@jmRg82JZ`1mT zgdnZUe=wo%JLtuKy)(RHf{vUEe^$f^4PbWF*%iu9M`cgjz074fg3TGSAOv^5b%o}U-`8T65h zRVQ)DPB=PFQ+|xtZ#d^Yr_h2g7A>)h7^DYOHv^v4RtTo0)T1uNj!qZ6u{Ibli(Y6e zLbggnIFWKJ7h1=uL`7N8=%Kj+@)YZnKm7em>X)eRy<#s`@@r?d*Qa|4j3*62-W`A; z=O62)>dve3!E-~{oVz_eUQL;>9)F82X^01@W_qrOj@n2tLlx91d*hmOy63eBxx z*tZz(O!yRwuURpiWFnJdGy89!&&c<-#~Haf-{#n0j6I(;<@8ZT%-|#@1u;o6rKYd< zs@Wr6G<6o6lF8RCvBlknt626-aq?7 zSXAskG92cCRPW~rdcn`K7mjG^hcOwgL3|L_`51OrE|z)mfh#{Ov(N99jB-f_?u)|E z`gTzWGVj(kwx@~`qahnD%Mz?9ti$2R-(2a5ZH^-Wae0v^cX8!We zL3A@Qd~SJ4_iWX+@7D-5$W%{9_Z~m-zMF-pnZVQtY!p-ldb_M=@W?{CeH*X8^jS0R zxVR!|87E3|P&~$rk(gm`CPx5{^!Y z>wZzqOkE_>`d&)eN#>p5OEgwckdyKTW(}d1_zye0KWiHpnVA1VTVnaYwGFZW>SZWe zSv`9rYe)Q_hvlJYrHl;C^aN~Nf6|rH;WM){ai9?eMerxVxsA2suP=$v_{50+AKGSG5mtQG zKaK+!oM}~M^v#V7{y5Rhij@hUk^U$74&Y>f#Ej4Qi!bF5i3K42$6CNKc7XKzn*ehe zS^lV<0G!7T_$JFAnZ2J9D?s{>832h5pON*CxX;gH04=P4>}&xNJsllD{H=zbjvgTX z)4`(LU6oVtvFEBwzrqNfK~1-Pi+A|pN%;4*%TOaSq3s+a-d-&C;x#J{Ox1&DuB z#fH!H=R*VB9Kds70{qyYngQ%KO!R-L0z4OcH5zeIpl|6%g@B?7Ga52?j35nvU=A65Yd%+E0+2pDOAq3Zy6u0P`!@<0FiJEHN^ zq<QmUy)Wk9ifG`bCkuM|naw-CU z1kv3WSo0PW%ss{wT-&q^Kvf=>m#cmYCEv1NH}e^W@BGH+Ozw7sZHsNl6=rk@x)I|cdAsX$uGuj z1wHZb$>$bkyDas24GA2<`F0Dro8#cqZa<7dpyiBjIeSqTXX1OKLXw}krRZ!jx+ob? zAH1TD8cD3b8Picq=hxC*NHu3XP|?7kX4uGOMoQ6Wm@~XyaHa!KN?nzS8;jzKG9--_ z%RBJlNU~0MXZEaE?$Wl@?BPzqiu;$%N){K7a~={-!g)zM=Z@byhaHc4= zr6DubnQ@ZP+PJ$?f2ogx-CI*;VOMl|D^)g7hs-!apXH_XKz9=(EGBfba2Is>3goj` z%4luhWW6zby%(JoeL*VDh}b3rXXLxCiq_PvuvT+|c6c_;w;jChMf%gCbbiShgX!=v ztP8O6(X+A9s#G|qFSDX7I7Is$>AUe5F8Tw9><<27{Y=HFy#?$a94w7{5BxxGf4~gP z?Cq|8NVQ{3aC7)p=+#?m_Ubx@{ep=*sy<>mCCq5w4&UfAcI~Ydf#LdCi=oMZDU)x2 z4D@4q!;+>l-A6_;%MN~5AZFLqI=XZvGi_r=vxGLHooU>`9mU}}z3eK1jitWB^72`; zWIv+S)K&61IePQcD3YkEre0f#osg&<@h)-ko-`Npm7V3Q*SF&s$-v#<$i3p-B7Jl1 z!!GM4eQP(0+k<8XUn1Vrbj&lh*aYT~#OKzul8b1{QMp$X2SiXJgkjDpR~_PUi&x^& zD=jxs7n9;`nXxMd3(N|wi0$!cL#`G_G~{w5wDbwwN>PI}iIUnhtOdA~oA)vy+cEu; z_SBDkyOJXE;Z+$RT`n4Pqd@ke%<^GL7sBv*@;G#SOKoMwju2{k;TR(_uo-Qg-(L+h z*4&KnmRH+F`+dRP$ab|^P@3C1ul##5Lc~XB%-g#V748Tc)8U^GQUe}dX0W#hY~mVf z;3p@?g9}c^M~ScaM|+Z9kh0b8Brxo4YoSWX1MCnK?j)RP%Tj8z1c+8?%|3b-`j|br z!Zl0e#K=TsE54qY;n1?b?&PE>Y8!Uy%=dYAb($G{aaKZ(SiOs-WpLv4&`P+wQDOCY zzT#!rL@N1*H~XLUGJrnGznX`Cz2g3@tNRO(fcdW~n*TBj%kukm{+Fv8o$L6SM|r1< zi8({yJ141!OJET4?l>Wdfs0L0mRmqa*bgGgD{yLdB1r%Z4d1nc^Yw>vVw;(NljJcd z;e#0AnKZC#C;KDj#g-HM98@bE!%UZMbowlR?Y<&ADVnfO&v{dR`J1LCnkdK1F5z?b zK7N=e=M@KHo$Ji@8*Q);K`^R!BNT_acLDygI4&a7CkT;lQxa#eMvhP|=#Bxkba=O8 zGm99zL))dcMJPof9N|g67Cku+)c7Cs#GUAEp*ewHVo< zw`s|a&==m68bL)n>qFHJK&DUgI1Zct0d-uC=NSOhK44_KzJn>y?;?=D>H0kP0jZkz z5;aJ}a4`nmJm6wn&<{*|aM9Ehz?Ay&Sj};>31C9d53_jTT@IlEOmOMj!USwvkAr={otfv;C0l}8+&VsvUAe6pNQf}bHY84l$L$V9ORZo|hLr0z#kHHM7%DUd|&s>1J#yjg+%G-aow=Y(=|D@7Pl zp-duwR!x#KAVtzE7%X5A9L|@RWlJ|j$d|B9p7Mxrs#6te8h=-x6>gewGggRp3dn=f zeON^jucSFuW)qCC&?FUItik^X#8z8pv*A>A8E)$}^T%F0uC(xyuU2a-8_brg^fi6; zk};{iH%4^(hhAC)dh{|ScK6~In{Ia0{uO@gFM}&;aYPJ>@0-<@?YOuROExNo0##)C z5W6mhlspSF(VK<0S*fdI?1THp$@IUal|4;ThfNcF?V=5xA**-gH`>J)zspR$a(5*> zBtlGC*WPO8C0it^Rn$DSa5a%ca_|Br%hE)`Ni=Vw;#4q+duqbw=ANilXbK&sjR>c* zt}v!>7eEr+64Ny3@OhsKKrr0LHO|kR9~{^x#_Z6m?a|*Nf1lUn$l|_;c_AJD;e0Yv z$Qx>djlMcYfUhd%z>T^O0@G~YP0FNz6_|>KWDPj_8zBZXVMF=HU6*j>Yq8_jn9rIB zJmJ@?$o;vs>YVB;X{PBP{X+$*Tnqk zA=uddwjubrmio7D<2U92%l{63mF17+J7Dqlm)kf=rjG{{I|ujZ^*DxpsRYI&IGEay zZ1v5`U3xs5oA(gfj7F+O0NRgpH8B1Cm-~Rsk|0 zVToLs++Yh~;lkN<=}3ZBWI&aT-K4HJ#7Yk7Cng_Mtu;u*eP{8sWP@2hkuKbC&*qFU z0mm?W$PDYfmz*>=yD|BUQ3!rxvFgLBPPvn0+-Svd+Zy|A^q!7Id|*??*~d^J z&ge!dc{S?FMfjj9s>3N|(LfIQg)nIf zKY7RJqaeAg66=^6$5sue%+AS&&r3w%j!?{n3o*ibYy0lo(k%tZTRKjKCeBzZV;^{h z0Tn^vS+x`6paH9=H7mW7W{SLzZDx4 zMrXz=UFw<@j%NDys*&=dHfX!5v)>|P%Tgkk(%V=On=bF(`+WY{fDO-FxuUH?%sKaT z8dgg?;rLw5iG+$rYhPR$TM0ef+IzMV)o3nU-btMLRn_TA#HFGwjO1NCb$7k>!eOyG zFy&5(IF( zsjTAXf+(#5R~&v4#TrF+bRXkn?<%-HEjZSk-D%pUce=q5hB9&z-=*~(L&!Tmh|~BR~If#cO{_qq^s!hNbSBw`ylMuIbG3 z4fuUyWavMvU4G8;pYDd8w|uyMX)gaRRZ$!<9|^C!uopy`8OZ*C#V}i zQqh;=&phECiMV-WACQgs(Ua^0af^f^6L&QIBh^U8Yz?s!GQa` zE~MVsV0U`6NGTE~1^PaDP6_Yh;HMNA+UkD}L zrtu~H*pQWF(pv)^M(}BJb+i;16dxzT&RZ!*Z@C^F+J{RSkYynu*?JITi2kg9Y=D1C zq?iM0icR_*+{yq)`W_QbD|dM_4r8QJI&CNI2)^?fItk(FTvf=7HG8NWY`LpdyxGc{p}| zuO8Va7x0nq6vQ^dLxOTp6IOViFhaAhF{IEicTo^ZaTC<`y=W-thw*OA!>q_M)E~o^ z)H7t_4C0(X*T6!mWn{XQd=bU(Cg;Q3#-%5olfYCB>d?C9+3Cn*$M}{-ndg~+!=&|p zc-{J=t&1ft;MKmV7M;!B?(qfF9ct$)$;jn$NIQdM#9QKM$MAAs5pTSJzP~VoYmmM8 z{0_|a4lPwz7&!2o0kfJPx10}n=a`?R3lTyF*t{kP)(_7XtRG1hx`t)*TAKt%Ly zKwg}5IcdxwD+)qG=zyyiHlWxRHb6C7wP`m|2Da^16wtaOO32Ue(e5>Td#%AY+SoQ-g!4p5{kvG`K(tFC`tDr(fBCU+^Rbq=P!WAjQG2+j&L@mkf>^4RL%Pr}G zd>CpRg(+=}!m`K!BI!Qv3hv_Z?wEhDhd5u^+UU-S0e^(JvUg>QeSNpKu)_X-{l>4E z`N!JjFW%W-vATcjH-2}_y&S}c zvv}TV+RJ;j2$jH8t=AWu;E?4#iF3wP0z&>%N`Ww>4*&Unr=~Tj49!{S0 z`KRh;$?Ak6lbQ<6ffw}IOul)LF}^|tD=+Iy620p8JDMI6d)3(YF{6_?oXmT171kVY z5kS;BDC#$OPM4{F(~I52D_nfYLV_r>7MLbBVb=}vw5htTLDIT_o=lSUQuc;`u7Pif zABo?B5o!|mj75_FNZ*fKF*bX|5wp&!f8#`wuPh*^AM;wje{FJ()WSX|!j&Hm9pNLi z2i7Z^8kOowaOuFTkD#N!rP0c?sm4hYSmQ*XpVZ!3rMP(KoZ5i+osm9#T!rvSPKJ&q zuhM}a+SF2L?$QGWWPul%h*V3aWv}z{+r~lI(Ky>2NjJ(-pdOJn9l9vxlV~eCeSDyn zheW*utP1T|IA;3!XjULpPSrh+ydFsF;JaoPKB0$jW*qWX)-GMt-sf{~Br;@2Me zy?)As(fwJWz!1y>w&Rqq%|Zr`Ci)BmtN79bmSOdNF49eiPhSx8s9Z<|5ZoM(;Fm=g z&I*oi<*ZMMV4I#o8ANd#E|a7AHcOH|H1|11sBW3baFt70_ubhM6w?wkU8#PRBJ4m| zM!Gq1;i)I6@;HajL0ou%kpQDFmv?PA4vpd5bWKpb(R->#VpT5f}bNDO_o(G)6|nD^jX6(Y0IET$?Cc2_xp7 zdsvifG-|)8uQ;Y7Uon&5Gkuw*U@wmQCn3#lVn%XNBOInNVhlpEDlC1ie7G%`(0R7r z?yqbPABpoZ*@VM81}ZQdF2?X+)OTW&?3D9=pkZJ@^IO)Q^Ml~&6Nr!@mG>^WNg7s& zfDV|iE_fnCZ#6Q+D{SUYYIIJ!c81O4Y~65vcj&MCvDK8u?Ql~~<^aEkX@HLzpy zAYnL5%WN;SwRn3>>0HwmT0}b2&<=aU+e}I70uqoz*!Tx$^JkKT9Z*sVIQ-Ma{E-Rx zm(B)|Lin>#m4)ShMpOYvg#4da^2$8@PaF<#-8S&UB63=UKyHCS>0h0pgj?zJXMc|@o)@hvb`GA=dDLGB;8g*L+4A0u$PuHWsGLQQ(eoc zspTKT_zclfsG}6-N}?x=4#CP5O%4-<7f9cm&kpZZDMec+D!JRxcsA-H*OsoBiy z2~;qxR`A_q8jXng5Hr)DEB~s(agS*?9Npcj;&A&^xh+k36Z;lYZ&0SwiIz$VQ6Y$c z!GMGOJZ30Oe702P^l)%e?b4ov%_!=F76E>GL>k+#jy+8+6vje0iASTrLC_egoYP1n zoTnu{nxfPNrN_O`?@_@8B_=KPSP)N~Nztp#7#Xmb`i=dTEN&kh{02Ddq3?=&l$sq+ zpuEu|t>_=>_$TAUER$QTTjX9x#^r7evU@YhHZUA}iy3h$O_;bx80at5PZ*2QkomY7 zq6!6WCsZtCnyZ9zu_fb;OC$rVWBY2jnY2s@mEB#;ffVQqFm$b>S(4;sB#V+A(oN>} z(?;JoQ2FKcX+jO>^9|2H^dFA22cD!N~DQ7$h6P%1Euw5=|T-qVK&ZmKn9U z>jlExmCH%FEyha|(-t3XOcm4?5a|-7TuF=PRj9{FD@y(OHx`>=TZW#!^^Vjodo4qi zTEv)uJ?-kmPwmc4dzEPr(qSm44)d8C1T>>g2WdKk=HpcLb?RPWKtt zt_cjBT@THJHl9GOcK_fr{tU{pvHjIa{wrPhuYJbvR&th~{fz&C!TT@sPk-fD|F*M9 z=ClU{WrH{L^5PSabB7?8iwvUUiHNhf6Ce}idgN8|MR4n{QA;;~fSt(cemqB@Q-tC! z)QR_^Na?%q()4)w?$1tknH^eUr;v*JSdJ<2hN&P(T*V-xEAoa_!`2cW8n!f{N~^~s zGsC4W@p3WFEEO)o!^V~Sw@p&flEhzsrKzb|ql@$50?!MbE62Df>Ar4D54HGVffyzj zi!?hkg$sqiqas_sNmJ?w4Bs;<^z4U0cFJ&}rue*0iZFlP4H*|29VCN_HaJ9szzS}o z>7u~3c%~d*`Nc|okgSzxF|9`2*Z}hrgs=ue9`)N-Dlq2ChD%Bfl>{r>gfJ?yZ%l2{ zebP9g>gcCZCq>;&iW?>~?_iZV*B8q_sc%e;gfeRnop%WlkDh%9Lqf;o5z05-;6boL z4x?UTMV8wPie}t|v#;I_gDp&7H-#sp`idd5d}T;@h)lSIJ!G_rE5u6F6#I3u{S3(#{AeLCI~|0| za0+u(i}Bk64`OX8^e_z{+dwKP+$@AA;_T3nupd|3Jv{ekTQUxtHEr>(ptWj*m^~Dv zG>F0@i}61o<&bcYZYlFwZtn==z};{reM`~8n&5ISrZ}buCsVfk_d(tWYuSkwbx!$% z$ho6Lq10|@@?%61x+Wu29Y|Nv`+_p_2u*B$@KaoSe5)XNw-zpPf$`>LwExsvaoJ z0OIlWkpP|xg2bxnjK0Fp3*HBc6A=PKLV-T zNVtdaf?BY|*_)A2|8=fUowb>SaC62gED>qlxlivw!N>Q0>;kWRAy?oZrvA@_$In^L z#_(4<=2vOJzn=OGe=Zjo|AtimU*=-~wGsbkxzIQ>9<_{*0&e>e&$AFz{S#2!5Vccb z015)+-gbdT`Yd)atjzK|WtvYB_m{ZmAIQ>=-I>%setdh-3wQytE%|`I;Lb(q5M#UG z%o8q;cYO19?a&!@mpj<81%G~^#>?>;t(;Z1w+a8+sa<1Yb`Vc6zntv*p7>r{G{_TT z1d`8Tzt5S!y%?+`t|^{kjFQta>Q28hFXe?!q6!P8^_dogjnC$MjqF>Qf*0NFU3ObK z4}56H8=4e8nC69l5bM#xQegtkYDV{~LP+VBN-7@|Tkl?5%lZ(JdT6s_WNBgqskv#J z#0hN!FHsAa+_PfGmZYpsDNdCBYWG=&DQ{exaZYts`8YuIHK8S#HGL$^0}P<0iLHU6u@-!$nVc$>Q?>{i55cz=a*#K5akQ4({cL8w2kH zysI*gk*!24u4Av;o{pQByVp;+rIMRlKwXV*H=Ivlmm?3Bojdgp={d|#64|spVO=|2 zu5U5C(;qbsKHtyms$2lY?^)nhkF4!3Jy)*oKEz*Tvd-___;HWluRO|LR->zQcx|ZB z9Kk=(S^lWxC3zFi+s#2~OuCVJw(_D{^Et+M!yRhANvN*ts?6!9#f&%UeXYI>Z;tTU zd%fC$CzJOW{)bWfXC#h^{jWvtuL_obJ!*gVax$^~&t6z;{}hUwlZu}H2{xyaGz3>~ z7=*qI;($U-(+z1Whpx8!o*N1WEUG$A8m-=m2|Cj7;o?qovthb{QLE;w`7G(pS$|p1 zOPyZiwA>M8K2PTac-zbegV_U&e3p$3sMSpE1$xqhQ=`=51A12fTUPx7t}YC`Q1izk z>s~9@mG4WoI5gf=*8Y>5{jT`5B?gGn2P@^aK6{;v$}C$TJNo3Mj_N9t6^^Ut@)eVb%N9o8p?;ZByH|Orf63GrCSb%-BNtOIT z$A3n;EOxgL26?x|ts=sHL9||()X?-5yn#o&pA7qUNmD9k+L@22l7WTb6*Q|I`<~V zWrG^VV-f5vW6iC4r#f`-8mR;heda-P$#`s5&~DdK8CCoc^je)hHnd(N$vRz}JzBnJ zI|y1l7Z7O}^cuFWWjZmEcaXrQ1MMvr z4+<|csq6H-JZE3E<>;|jJ=fott>1pIxKIu8IfohJgnVHS>E!~s8%4F;!$7kes|hs& zDp^Tb#(q+Bm#57J)%`}NV!Pbz*Cl-)YpbnvjSunh1fvOTFx;<@@<(A%+`Plrn+CcX zB@}E6RO7uZn8BOK?|PuvUQ(g-RVL-PV1ty9?b$U+B1zmZIF{t=OOaWIV1th^?XZ4? z&icGd+{mY%%=)**>-UDaTOA3Nz_*sDooCaXQhJ;u0Rpjfwas9ICh$AEPx(pz~P@C|0osv*Yoao7bGjgU(B%oZ4OUI$dLn01eDO;w7be1!A&W;3wG)29&AucLE7M!SSUl-`TO2 zTkGMpb6PTG5}B|sy$f_r39&Ev9djK=(yO@G06=E&sp6L$pSjguWvte~9AHma7t`n! zIopifKV4^XlWAp59(*sEf?ZKzI^8ei&dR3b=(oxFq|+(#W%61veRJjPfTeh#ewqxk z3x%6u#gpO@-n@*~4C=E$wjq_rrosnySxz7-d4$*{lrG5r14I45pE6QotCp_v zj)^!VGtAHMMVwP}I%8@nSB9-N#j$m2KL&tdWv=mZC=&1 zV z*P@uPP9p#yh04?xn^aiwVnq*?SM#J}c`xmD`2*v4I*r*Y%T)B0y1z;r!8fQpse9Cf zBe}(_>4c6LBm61NME!Gdbc-e#$KLCjlJP5dQ3q|AxfsrLvd5Z|xI?TL>gg>L6QUF2 zf(hq>BJvXxA{KVdPi#O30ck$>@NFxTse5QRTb3~JX5%tZ1D~d!A2U~#c#5MkE0V^W zH>jb^3%&B^heJ-^iBx&2eh!4&yWyDRmvB=*YMkhm&sOFrbU)dKlZjtf4b^s76Kei2 zo1N@FS{~WoB@5o^STVoaH@`nkISsvXPT9+}Rxxd2VUWKk-<0!xaflgN;!D_)y7qEA zsEx%|oGT=itCf&Vv6Pln9VsObo`)LPK$0#ef$?_KX9?o>P>V!d!zG9+nq>3q?zUv~!oIC#+QjKD zGMsG*y!_S^Lmv1{8zA#x!ptDlJx+_<7$H4u{q;dv>H)WL$=rAlxPj3go#Cw9T;5T5 z3s+)t)c0TxH5#{`+@=dxMiLipw$E-0vRW+9H=nlOcyTAjT|A4rrpCRWL0;N8;s3#f z{u!U6r)T~vi}jf|h>ZS)&vPHs8x^5sUdK1$=toZSeX+M&Y6NTG^nRpOhP$s>Io?c8| z*ErF;qGpg9J+R2(Eq!Q79<{_N&(bn_A!IM%c1R{g<$zD*vvqhP8BRF6{Tb4W$GqoT z&L5skc@UijTp3QDO<{O0z@ull98=FRha1|~igoxgFk)eK@@t`obt>hvJ1^l*^D|Qg zB+Y^t(t{wa6So%R&+lZ%j*TP5;rs*x-b?~6@3)DoR%H(O^%DF2uixc7MNa`jf~UB{ zaa+KN+T%0g4%lvILVu2rR&<4@`vv1Jy?Toa`;=( zhB**D@pm4@#cgG`&Ly8AJ>L`U4y<2okV-^gs8vNUWBCI#FQzE-7itbRLqxpNtTVGia}2BwN?>h`kd_%uE0?#<+7o zN>5A9vgAi$P%oeK64b?y>-h^ zcr!hIU2#GAocP09p>Au}mqG-txo2_{G|<1ErcM@|n9G_pl_6Y<|H7f&ba)~9C5<7K zvE5FXqD~eVNt-1nC2@Q2$2^#i$iYV{M{i+@OU20Vt^Lm(q8Ij&ODH`0>4kLIxd@B( zxONoPlrsG-*t9g|TGzg4D5Ibm&IB%q;mBEx&)13+`r=7R{@4h8)wDOhNF8zUeOh%I z3X00&@i!`o_u|9E5p0yS)uO8UIe-uZH&xV2vjTCiWG=Cql0i=OJf*>G3Uhzh>vzf4 z8%m8te3bG;a#2 zGH_ft;&rDSDMiDI;QmpX1OPo@T=5`ns zBzC_T#~B<@8zhXF$hHN@k3owwEHzG5K5kD$GO;wu%+)Dv!wl=%oh_2i^E}8?vhccEIk^!Ox$X*@;&}xRM+?#6ZfSPyzsI{W7uf#?zx!v5lb(U@ zuSmzQMuvawcYjx&vNQhg{O&(gp03Qx{KU%yw`~K@mjY`1LgQc4ubB(+ez^A<_ykM< zt6mlz$TLt=7=*SVlSr`&ZDDvQAFBKO=IPU6juDBpoXzJxMgwv8U!a*nr(d{fGkBKM zP$-h6O1>04)Rn#H>lxMOEI=|TVxfnTx>->p6sFmrW$eDucb z*`7*swWmh)DMS4T#O3+p5J5E&UZ$#1MYhQ+{sV{AD)xsRrhM>Nqa2vUgRg|5+d-L)*akBh6Aygh`;UB^YCKzS z6zZ_0%fVyKyO)VnrQeIP^B{6VItR~u?Hd|)fvKaXmXUW#n$SVY%tO)MfcueWn)5tb z{dvCgA8L+C6Nq;p#ys2d`8ZbIXk5bu8(Rt0hP1(el)33+P1dWpu?f4-2tt##vZn59 zjR|@IvI(P&sl-e?uSe+>>V-VOcssx%<=qIz#Jpf)@yk? z-*#R_4Z~p!OqO_rBDX@jlAd~uBS~RP@A9(_M6MCv?$7PQg2p@e*)#^ts&M3vez1r- zG!XCw%f))EY_w^*CqFTXG+%ZoMv_W>uPx;4!yyNLpl>%-NuD?c(yyr-Ql~;ARI(_T{PK?2e4uI2_lZ> zO$xSadR;widdKKoSQnBRNx6n5wk*a;vg<@yP4G@u$*q*q6FF+7{ZL%kEpo{;NOO2R z8d)N(7)<9-)~K30L4o)CZeij=7Zbh%D}%m4jb!;DzL>9EnQ96Z1;O$jl1`zebfSS*^>7k2+>J+kI z6h?&Q)xo&K%5KteEB=uMc?aCN;=maCWMq<1vd-XvMxNY*M*V2q zA6xLU1Iyo)?1Z3cqOH0@>!Aq3Z@TJNfJe9ZzUpx(!R6I0O3PN20F6%QRHn^-g7gw{ z!BiHi#IYcDmI#@99G*fehN+S)!KCrnji(wfQ1`6 zt!iJw#!HgCe5xCCmSlHmnyg`8s#$zs8(l<5bXXeiSV%NjW?e)hjHVAx_pj;>0-ix6 z>aO1Y;RW+&B%Pj#@h^PPU#)Tf`UUg5Mwu0$>VLJc|A$ce=sagU03Z}xw+02j(B|D5 zXw{-_buEpKu8gj!xSs%yUbR3HuvWlFumk}NV3hT)FZ|&$3kCAJ*07=xgRI23QOaXF zo!(Z7KHgdC+BYH(JFw0SSg0#+I5ExzU%NnDuu%2n^dE0s9?n|&omOnsPKNhZWXVwz zsMrSrI`))pdCl!`cn{CJ2}Fx39IJ8foi4;Lz?PA%3yrV0=lc!Y{nsvD zAajx+z0=oU0y}+|MAg>I(l>%H?(g8b5<9oJOO@n5j{({2TYL=|lS=B1KCOF)F<56| zW$nCR(LZULk^0)o?~2NQ@k#C$&IaLy((2fa6_3>#YnjjMBdiz0H| zLpJXHHw93KNz(dYEQ1e&Lhrr5?iM7wnh$DyFqxCbdVKC?_ukOa?k`_6A2>l8RKV)$ z-U2<#-b6`+ba7aoex7$xM-R*Za%snf^6nK`9KgaRL*_znA0=8&^lI_jYmW}09JfoG zVDu6(v95rQ?tzq-x8=YtHTDuv=;(%z#M{5BUH9loSWkhJ_Nr}~J1^a1BOC&@F&|ix zYFEQcwqeXpkN$~S{GYTYQJK97EC6*4gV-%CP@J8x87$Utr8agtWGmm zP{bA%tm~MA#kn@%^x4eIL0izz<3$GDVNry&X0V*V4Y1=Z=#QhOLwk4 z!Y?yuUnW}zlGVpIkJv?1i%Mf3()I5QvF#FUU1GPK!id(#+l`VX8bHT6cAkr&R7#rq z;NIM0u=P90)Ih(57m!)`gq!3>X689IO#1ev!x?-&s$bUaDBz9o($!!j&ex(b8Ge7) z&FoKSYwpj8Q^LD}8G;LUq+9@R@5{BlH_6m6W);xuF|H6~*B?CAL(JU|a+%!mxMH_j zS6~T7hFXL+Bq1ME7o&J_!Qff{DO^BZahAr;(@e@UnDtOJh6v=V%(s^A&*A6FJGdbM z%VGN8;bQW0w!)@bvmmk|_cY}khJ-5~`Pg{3Xj=8(tg4C>kT#y*rQ`>1;BfU^rBv&( zQr=^Yn+oW(?&>V!-hbcTO82?Js5-^DpOeU0^RGcgTIlr;4)M<< z4LzX!_;cc8I?Vrdj`YO&je0kfqs~`wwC=6y*$dw}gwZEle!>r!>NMtTsrx zI{5QN>W8@k8rb2ADs-AA2$R^sSD1%{^`fpU-fprf4JP5kG{H+~~lN z@%p9GWB0k?`T-ujLwlXT9az5(DsLdr zniu<|&v0#5(pZCxm2Dp-><0fvU7|3yIvV2(5E+C~pz?6P4oc4dW9^-SGhMf?;f`(F zwr$(CZQHipvE4~0>Daby+exQ`KWndlt^HQ*KG}PH2dSi<`yf@xJ;yb#F~=O^WTs9P z$%hQ-DXJo{IL6t!&dO66PetTv!R~p33h6yw^$F>1Q}rI15_hQ{)8_Rgpj37bMkPpU zit`nh{bh!AW)`)Jy)zDF0q)9Lh|=5M`q)0K#qrgn0LtMV!?N z1JADN9Zk@qlxLE8>CYK^?ni_TdK=SK#UnIk*LLiDIN`O|g6XcI7_-&Ucq@h=&aax- zaT`IKkQ*ke5|tBA7TRl+8@k0O;!_O?kBJogSN804F!?Zi9QimO0Pr?ZcZnL)Ic}xS zWA$MN!hEyrT~p3`K@KkBTTW zeg;m5Pi0&siXKWiC)IM(febG(#aN}>BR>%8foZJ86uoa?Y#crjHV(#1aAdX7XTLH{ zNCl*L+teRUR6-jdB)Z1zpUy3*AB2%rN@F2*Xk(QYRs6L4(V&30G$<`+wKuXICHVQx zRQevN*3v^n&N(8?-|to4Ge_+nv(l|1nd7V4JxTRYqD9rQ;M1wDc@33i+G)J-lA;28 zvP8vkQ73=Bf2I(vUyV>|gF-o1z7@$pZ<+D6!vPVqnjA;D&kHBAmK-(L*l@6#^WIRNRiODk9@GlCTf{!a zG?{3PUIaE<(Xe*nZIuci$&YrTVh^piiL{%sw0ar7Cyy|PN)Sx{iBtc=fjEHV7e`xH^@tdJm$^zfN6qELlpUGwTt!VnO@wPaS*U}rD$oW7p zm`)^6n9PTeh|Ztxp7_@fUc?WzF4m#~*=RRrGbOyd2_;oRNopGK)+6mDuffiwa;*Ee z_z%W-(Y0b?cVUt5uBi~UfUkRW`_2?PJsfcw#Zsg*%;RMNkMnCBc zxD_dZa6Cs^Rx(f^ELAHx@Vw1M6{$U?cqbxVhH4{2o8^}bjuA^4x7-epdRcbt;_ViF zN7pe4K~cN+j4xawPQqqbB&N8joN_cMFT8J4o;NDu8HR{jCFa<%ODhUxPB%%x*$O6B z*h&Bj`%Go03~`fba~88e8KV`S-=S>V@2{OjX%qGl^UTkIH;FGpBZ!0}*4Zaj*h;Ed zEv~ec%t+LBT#HB?g}-RfzR&y_tEG{sWA6VkY~vOU#<7`l_ibz?*I2zlr4tO}YQ`&m z&hJ|f$L1K5X*A+@HL?*6$85rHq_M1(!m)4=Hv*ySuq}*cjE6`p#!m6s9$`_V!HCBMmZ%fWBled!sq~PZg}DLHZ;IltF$K$K zB+TdIKT6?G>yH1nC>Z`NBWC-5R}{aQQcR?^{ZSO57A^j%faB@;6CPMSt!!n zUvU}g%b5bA1WY$wJ@S5Hr1iQHOe2{Nx(lHzQlXT4x zV>62G@$_YBtcAb?URI+3yBQg}45p*t$fP+K4~F;gTGzzVm9Sh3h=WKpYz<65pBjQu zZRXN13^PNGgX!q+_E6M{$LRckd;B5(@>2vy@affdP`F$+<6J6SqQ@8r%6x>iy(x2$ z(>+|s@RI%+(RE1LDL?|LiNDbp3)YPI!_=j34cl4 zCMn#5pGC>^%|oiGVYG2*+)`h)jByzPNwBXSrKI1;)Y8;2Qbszcf)oKp^2fCkL?258`p|CS9M!yB2*sply6Ya&Lv zU_Q+=ah8?szarMs~HvCGSwMrHYjF?cK!o zQ3OQhh(_@oT6&kDgcdCmg{>pEA(x{NUq?s=CMJ7^41C+!d*;8t-dPL;W%B0yU@ijbzgj#ylvmFhL8R{?2L)=u=KNZnLK#}C+f#L z*{9jtbG+qpjMq{Fyw!<}Or)Cr?0uJ-dy3jU_kAh4oDwIkR~K}Q445IJs_I=ZfCXYbR z83JuF2}9+^@n0#N;#V`YJ&Vy(`^XEuOY7Gkam-@R!$-G#?No0j52aJIpmNdFl1+Ce zUjj5X`l}w^8ym)BuGko8&X#?mqM97G1W(5K$h!dKW|O3T166-B`}ChH3x8wPA7()R zHCFvCUuO9~8peM(QT=pn`VS4`L>gaW7CrLdfrBZz3owp|S28vM*m6XB0|<%Ou*$iE zKn4{*`Rr(tXb9-Rudl%|^=?LSI>Nf@Sp9KBb5HN_*1gX;xVVKoz8~!5nwP&mz>%?^ z-XZc<-tz&pnwpkHvNi9rzBN$vot&}r@nTUH3t_6H6!^DGDI_4+A48fq&S)P9n`|F~ z6MY-&AOUyc`rZn)pE5{o=Qgmf=RF8+)MVj=R_26KbbK@N4I6snevNxQ z#Rm#JbM`=kjvtTRD=tcNJg5?69#Z@q%dGipK#iedMZGDDe0m|`}aW12k@e3+uVC&;wwk4A;qyaceWNuzrI=woox zndBX4=F>@#Hqc|79wiSU91-kjIwu3j<1g}J7*NBe@wlX0plA831SjUANFd195eqHA z4_`dmWI*Pj$}mbWX71A&qx<=-fIZj=Pct}o&ngR>2uwpc1!izj@p-Gjz~R@MNAXu# zmBe0e0mD?jFyNWQ-=X0T-t${+4`t$u8a6t`_exrSS8trm+wMg)CIDya&cq}G4t9zm zKVq+o#_0D>7O(*L#{DiQKLo6Lpu!cnj?HX&%Ge43wk9-c0bJb33kI?dhcT}26BS#B zZb$Gv4E*S}zx$ zsY(V7GdCKR`!L29SJ2e~y_Bou7R`fn0Nc{msMJ}3!zK`y0qSe(=3IU~L0U7nPW0Qi z*na5tyP8p-y?uU0=t?zCaYhh>HfVd+;`N?)m!~cWOV^_)4&8x=W2<%EajI|_r&{+$ z>8l0h%IqCuXo~d+8&AeN05~R2z<_R!^=g}4FEQq8yM*Co^ol`c+LT|k8-~du-+9c8tnlbo|oBM{vn#uLvsx=+1uJ7@&unp-= z-|h7?%kE)wkPczALxpJ#&>Fv&)JTg;-RBFJ=fm^1TuYA^q}|NdCnx)RMeCr)XcVS3 zQa-_JquyBW2#_@eaeDV&E;+BvGVuVN_r2yxkd{0Ke~~!#|s;>d9A7}2SICKdHE|~>6e!C zmBDmbetljfhuF0!Bw19y>zAjBumvtMwi2*3%`>ujT-g0EpA|}aM-^UBUjX=iJ2@@r ztnE>ch2=qLxi~6CmM^!#p7y*R!==EY>M}idpRcNq(v?`x0+boJz-u7l(@SYkSbtKg zY$e~84q2($#OA`X%o^Q6u-8&izq-IYzrZ{jS=cCdk|tYNF<600{h+U00>E~Y+KJB$ z#I`X~#e*&m$2x*(0@}GB{$5+E_lxQl@bP<>2b}>y++}M2B*+>~gh(1#T$G`nM?2kl z$R3*0)S={WkmN4{m4p6owe6pdi2rq8^S8>KiRIrp%>QgRgZa}_@;~~T@AG3ZpGX3# zkI8#1UIa*pV@xG4wF1h>=sk^#ssLdz5DIH4B#Mcj00jpm`;MX2hDd00-lteckdXWJ z4!*vJ*v=^aA)zG4jeFPuNDsH?NUkr8rTrnWjisHXliPocC_VRb0B5)XncMX-5^J2L zpBB0|{am)O|EESl;`%U<-uxv2oWN z=t!>;#B@;V-G$>wuXVDHy~EM& zy&(IrV+4dssE5DR3zADV*&j z*sHdyGB^|GIuj4aleB4fDPoBZ)VSvyQL5GI`M|hCdmX<)Yi1Ms&*-f`-;_VzSr!KN zzmCK|y*&Tx7x{15_@{r|zu)A4b|x}^`k(&Cn|zSgVY|-?KlDTX3k$$}33#_xFjXyh z-lq>ZqjuRm)yT47v7SH_O--?Vj6b~bJCl%N@?)RqqCZkFSgy&$QXDcz_RV*=y#>Eb zdrn@LTycZ5XXn=~C2AROP9IVc&rH6A-t9v7&!`BPkLpum#|IXm-o?$2dr>{eUs(== zSXVYeA5-UP!G5a7?6G9 zbJ(G_#X9?ZAgxb)f27Lc7PIq8GYH<352Pzde^(>9nDi(or@@z+Osa%i<{_~%+NsEZ zS;t%5>rnG!6$FUc*-i^2p}(`=3Cl#6x=oQ#N5Kw~Mb;_0<}V{0kdHUfdimk9g$#{s zg(Q&oT!Gf!eEP%>03$CQNi1*8qs{J)B>|}SLl^?2NG0!>gXlJqbAO6|=36o6v&N5F zNw4BeoB=eO>&-GfAP{E$nF>rq@?PD5`Y$4tn3*$_1}fMrElU9fh=7zWRlblv4QZhZ zS}nW99P~QXI+F6`~^Q%iR zOc2MA?KgoTSFeN_uBBy)$|xwsF@EsX=Zrh|QKEe-9;05{@L&z9K(P{>njlC0l|I z=-DceA5cvpD;m&`O2Ph}`YSnewN;`pc7&6GVmYFxD^p3}lhTonj2;BeZuikvoaK1VcnY zYF?2$tRZvCkVnW#iV3}CQjo>Uq5v^4Aqp+ftC7}lpIHeT^4W+XU1Ir>&E$Cw5)3HX zb1Yi_`VnBU=9KXiaB{Bji zUSuw_-!i#=%^v}~3D-~LC~DY{`?en<-}ywolPRD`x+i9_MIP zIw$(l5#L9Sg8zX@%}Wu5(%g0ui!MHWpC zke(@08d3R2Cphv>a&Iw^NEIC+$P!vR*8%Pg-%OuF?kKX@t91Gte_IkQm}b7P010Jh z+QAE9Qo4QIv?mS{2%ERqIuVp*RW@M4nY$udemct><3N;Os74eA1!s8_tV?OXW6FZE z;I3(@%Q$fXrOS~=arZoZaeh5{jjW$PH1B0P|@ zj-LU0adR9sLs*&S$&^$X1P{tffI zJYE!8hggO#!nC@I1KtH@-sq7n48IM;a1Xk+Q$fd#bH#7OXLCG}Y@)e8f06}Fk;Ux+ z>9$_;d@zK>%1yz!b8LQmwS!F~Zv|_Io|qFt?u>=2g=1%M?&kBM9@hQ{42a$9;(G^e zM39RAkCyMxljx5_k%5)z{~VS7gA?@Udcj|}M`rf_N|fmsE#%*^xyxFEV(v^r7>v2y6bZ1hgRg|o?^z_3Ob+l@0`H&|_? zMwl8ys~eK2*om|cn`-K68@-$IM*EJ7H6yjdP3n17AI!5q16Pt4nX8-8IJD9DnMC48 zK8H}d?d}Ekvl6;x6}@ke*19ut6IDyzM(Qiu#L|svFYb@QWk?xS@1d*Jl!T&vJSOek z_yG$HHFgP-&RGaDjosLGZj31pmBRe!mY$^CaaP{D8L8DaIcI0eAyojbi^ikq!ye9l zY7_5%xIIKA^-l@4;q7 zFm$8k>BhJx`dv7^(0YfkM+R4ukk z_FWZ$szigg;#1sFtF#O!rBcxpXZ2tAOuP5ElN6b<4>8O^__~O>P0{z1`*_a?`s_dT z4<^CTd?#u*9ECE{i4H0=b~5F*e)D}5ku7>EQsX~xmhSxLVsFNv&oIQ;4Px0fa&|Zk zhf;`lyFzDmLDuh6fYoRO^(022bN3RXS*UmULT70;X5{+vH==z8%1P>VS|| z@;zbMFQ&SE9+CwNF9uIBqKrjir$XyI;jqt|PUJ*SCcdyQ+N@#_;3{pXVz>rE39d7M zW^YPp`r61NTnVSRLMQFux7-hQP#WSMzuSb1#XM22x6{b(H}~nX7_6QUXpqPGt@sJV zWt}kVU&Z7#q{XZO-f4GjoN0=W-)_`H46NnUh>+M~7IJ@!d0V{BYW}s3{Q>Y~II#Si zy8er!W@G<9W&J-<)PIZ5**X4C1N_Mk{gbl(4Mn}N#Pb;*{<*Ns3Am_}&bYuRCQeJg zUbv6GrT~N{iNG)RS=5wAkh2xbs+TQa1P(uNB_!T6Z>06nW@78V3Ez?Vc9!M|aq9L8 z!PeetJfKgXcrU)o30U2(T;tpGa&d!ZPgg$I&NzqG3J#Cmj;PeyRdjN_uUb`r#oQZW zt*v4QSn53Tq*7S0kUbS zTVk5j?E0zl9#CCry8?+apkr8{!eI>RGVvbW{?@aTxzFsKjeT|@0bV2vPs4XE` zqkz_Zw1K!!h~}LZQ3v1QkTuARSl%VX@`O(|Af9KBfn{4EsN&f}d{lO-ir)md=Jgz+ z2T@Mnfk7LGp}m7x#a{9rMlo;;TtQw+$#kGH_@mzS0=loc+kj^amEKscN?nfSHBfp2 z(3u>m2dWg22A2y^Ag~v=<+{qd81Uw?;V`H|rok+83MHz-55Y=bNS2`B_cls2M)k+o zK=sYb`*i?eEHf7IE#IN;2%78EgSzXSiPTF`_%FV?Q!G9Aqzg2TIb%zS`Kzxuvjt+Z z)DG4mmk8sPbKq`bWk+5|Q{7SP#1PaaAi)k49-{DXZv#oK07m+x7^$XxWxR7=ijurgqB5-(-P+Ku6dw1cZ=OMJP< zzM()E#(!YBb+@6|HLK%|e0G-aahK>8To8piG zb&~C?&c6X%nz{;ui4*eVTUUZKwvZ@G|IV=d%LdqJ@vu=mPXu9d70}EE*m6F#p()gn za0DL`)~UbLfuEI<_@FrQc2=G+-ClPT|EL(+QTMdJ6qs`qEwvpvZ|lpr#|faKPG9z1 z6~A#exh?wsc$NSblC?9S*`~m2Ha}jjaM3td5XYS^KPCHsO+&;QI62^48;B!6{Jm`t zewP;g@D*ylhvfE18;A|zR7>U-4JtfM9xywOPmBGllkdra;*W+ty3(==^J5@(wSeuj4&3tu53B_u zBi9sh0^kkbKjM@3!?=^WX$kw7zKNeCJ+pH|au!|Ca9d%iY;ti=TytJ6d|35mv@h{Y zr9Xz2VxUfh_*Ea``l23)O(`GoZA?h!lS4A~&+1@MWKT$Cg!GOB9 z%v4(7-aZqxvtV^y<*Z)$OAki3GnLk%HKy>n1$`WjF?2f5XL#9q9O+{VgUV-;V+L4n z+TJvJ@WB0NS$*rm@fS=S7?haP9?`z2g7za~iNTwmK?BBKCg^f4l0sf4P2BfL%ueWc zvzR`vpjy}tm3(rr=pLCWTPg?yksoWE6fk7Pw9qNhhvUmOH~uV~*9w?Yy2e(dz_T-vhr^kXyWtm7nSm%r^OO@Jp8!^wK>tk=RH3sLi5S=F% z5ze^pP@>1cki8jz=k_H8X+o1UgmoapC^tErf2$n~abRbfW^dm!$9A`xDPz~bfp1_b5+IyNnb5`wDno2E(<%KzPA}_C{yzxnBBuygUx+2=FL>lqaUCD8ml|*;Rc$R zLrT7^XwrUqJ`8XYzgu-DzSQvg>?5^7^e|OMN8bT(r4YFts3Vi4-I|mZE)0rGMq4+t zL5=kZ(Im%Q4!P(vAQ)N^L*{Ry97!?lzSDJP4E0@%&a9le>{zi+KZe36LeN9f{N8;CSgJkHKDOe+H)k@=feAI36hPNZ#{W2EO?$)3u|=HTi|0Pak;Q= z-hw2=PIa(Fn-F5qO83iHw8{}{0f`PsI=`vU$R#F<5gn2kMX1(sVtpE^nnb)gbMN}CvkxK;dc!?- zXX$T9D8&V-uD%=MZ;7=2ViE78s?NOAfpx&-*s_rtadVik&c27~+eerV)mn4+Iljt5 z*+W%Z6v=hUdTQk=^>sh9>o}XJO@APYzFg!w>THbvzV!Y> zdiXP@>Obh=i9fsJFZ!IIsxn`6@xM65<4dPG*4A~SS|Alk_zz46LFAG8$I`3S?|h+k z0)e2Not5Yd+#dUtPaNqusH-+}?%0ueBu6;#Bo4ss+@FO|8`txtXCrbtZuZ?&_!nPM zSQf&N*vTCZLg+ih^HVRGG`Vk^no)xiIvNgf*3d4vfQ=Om>t1P2pD)A328d^VN0v~^ z>@0EdwN{T{|Mgcw*=Z`3IbSASpf0B$0&KJRG0o`X(#^tX`QG@^4bxU3lBgoUY$f=y!^LFTPw|(eBXlE zuAr zd=fkAmbZ&h_93+j zaL?4Srf85SXh%LrnR$wjk{MXyDN}4Lpmdcuz%Y#{$2@^y$Aj{c7%Kf_AF`;7s_pGa z0NHU=oL3TbIkBnGQDg2A4d#i-(yt&rkK9=xgv2Vy+Y8 zGWFd{KnWC7ib-TQVo)ONNbk1&>q*_49i|nRnwnQcjd;6`$VX6R{DHOhv;@S)bfV@Q zyGu&1K2x%DZF=gnhpt<;0mw#WoG_Wrvh;>8z}VCBqEbx5<2I5v>ZG_-O4zdF04O&yW;I@L(io$TGH>D1#6`iqN5k~va^1N5 zT<09^T8dv8xHU7bB@T;B7dirRmD0{;orC2x$2+>KkQ;GSB;|9f=o<-QrUJR8*5fxD zYxe;bO4Y~Vx5_^&+hns67uy3g=EOFSGClEjHA|*4EtqB$f3kOOh9xsE#iP2LXkC2O zoW#jDDEgEt6p`S{VdiUXj?>^0Ey1r!v}xCN?1fsKQ=9H?mE?hyUuf>8d37cZZps%J zG0q~IR_0iKbH_akL(@DO2}_yWSslK)lYQu3pq|4$A+-)K$)E1fIdN&?f3Chu!6#mT@eM)le;l8GgvK{t7w>WSCKc=PRG>&J~5Syw9We z2Ku<|kj|^KsP#;_%M|zXEA1H?951f#+QUHU!Wkmo#rGt)8Qa(36UP+Wnw<6{aHi^x z4pax1Go;eU(hS(yLbJ^#a5HN$TveBT$jY}fyoRnxNiV*&}vb`z4>r^%YY?jp4~`QxwR z52OmpZdVR}4*F{GGtlz337G}$Z>Qol6*N}@qR3sh7ZP)y(I?B)NNluS<(Nm|K#Cu2 zA6(>J9#8TfwFUuVLd{!X`Y36(BGho^ZG*ZSSRQJzswo)@_e;>y=&(D>igQfNc%)a& zLP^!;1`a4GNsZR&A*Gqwyj?7|SI9gonxHLo3q)*#VT`u%3Y(!zXJ88TFkfcbZ{#=W zD^dioM29w{??QLZ{4ih+b+U$@(5nai;*5t4pWjxF9fcN-J>+m|%hACR?wQ~^5P|Td zI1N!?!E=B{rUgx;P2G#@?LWh$WCCFNTUJE+h*S#$_(Z>3sH=>JO6#GlUr}JlHlx2K zw8}Se=Zv5;71loWixP=aJn_6dsH|>-XXF^SnU%4gTD1@)(H*d!-T*xJkx4=&YnU zM>hiEjo7j5#DSR|G#Nw?V8i0u?-c1G7DQ~17Tmd&!FP02DGOBtf1^K=q6N*rHR2Dr5PR&-a((TSfBo3Pi9rAWk)W>p? zB0yCbq%g2;Z3LSc%~R`W(W`bT0=4(vjvKI4eK(ba;CkcB$b99&^xW1hnt?T8jn7z_ zgf%r%62n1T9hMzy8mv$qGgNG#KR;6UZS!>YnBiglSeMNhXsy^F=c9?<-PF_qx{!-Z zZDMM!|m9!zk`%)Zj6$teRd>6P0ZRzJi89o#wdT8o|99=T=EhHHB9hJ z40^jARnU~pvoPL|b`hdQG(c_)Z`(JtMoCe1jBLJsdlzn}@=1gV&?7ho;##YSINBUE z8HP#%kc*!Rj><+IAvb5%&iq0F#KdY84xXcvP)AD1x4GiqXfYL^CX(+;yfGyu2NfpA z{H-}LvX=(HP4LFj+WorS^SEoLM5EZ?_l*?63-VUBIRP*Y3Y6EiJm46eVbRO!xI^x5 z7m3bVdMn^aFdck$9Qz0YV0ff!*)W@Bkm<8qGhyaslGnr%J*i@zJEo_< zZ6c0d_%PE$RMD4TSvNLzzH6_0+`YVY9)3K$KwTKI2K@#_{#rWtgWCLj{0DUWnaug$ zf(YZ^O9w2B|4vw8`h=E$z>+fjX85tOAP~FuX-2BAE!CGuG@X@KbBIawfT#n5YqDcf zv#)^q19V3Fiz_vJ?FSGNm%}b?YuS8sA~(n@!!>RmL~Xd+w!d@HnH35mjG(blwcfX- z(1t@fyRKoCp5`WT-xE;{@B~S{r>WpzjMrlQrx0*yf0&9S}n6_`tz&=9WAy)K)yhRoMrg z;hqK4P9_t8yZm8gb8yLN&a6^T6@`)w_67Ce8GaOj&Chc;l=j%i;joNnRfQ6qk~kdH zz2HG0uhtqd&iUpY6kY|8;Xt<}NrcdSKqla!raR$ssP3vBsEIeMbbyAaqT@U1)NnG1 zA48?R5#Jt7_=pKS!RLX6#G>OwQIB=6n;^^@LsH;P0rrIO1pzIzA()}s{hokTNFUV8 zsD6(8r0Z4Zq&brh5kk4{zNu7xkYq>R;dh}SJRHEe3K?NFXh$>jLkY#{88H(?Rf$)J ztS1sy0FJxuLdt#~F;K13bq$X8xlvCy9s#K!iFm>Z$o3`lp0_U0lt1wjRJ`My&dICr zXMAd;l1F$I9d3nO9#7M7*M=MJLF!TNap)@{wF|z}PIA^tl6ihj(y!^gskhez-ps-x zV9TEr-UK(%i>7WwBF!iTbS&Ae&O7Vmm(V@*33zGH5?D%+2L0T_C{$h9ubA|Pif6mX zUatwNSn0PYKjY8{h62XmLS@cn2%vz+-jN*;7WBmTh zkOv7a|bH%UsiWD+nkWYMxJ6gY&FakgWn2u z`lhC<<-nRB=80$;;HP<0Zfa*e3@NvAXsW~pMU(O%NHG4k>7gb?;8Jm& z^t1s%bSgoLMI~FMKq;iC7_(eW%!wOTjdDoSs@SSrEGZRG1Ti9lIvy?uH!J)q-&+YK zE=1(x$Ua4t;XZoIsjbb1Q+$sfK%5yOjHnSF4;}4J3ZNRHZxMgb-H_beFB;U6s4Z|# zh0)UQIsz9TNI+9NN5&q?kQO$R?!w*hEkv82nrJinggGIZ3B!J9Q^zIpCG{TjMzqi^ zvjPh-0k=Wy>yk0QSUQAdF^jG6*BFlV#4|x}>0CPqs;e0S7^=Vy0|JD8ntldp(rWP< zhM(U_ThIu0UM#&scKs1A5}+cWB=Aa;uSg>fVUp2SJnUAP7FQ10UXZmUHGvY0sl-^Dww@&7n`nPA2H?YEkVADMZ$u>3 z5MM5xVSY5UnHr2EI-(4l$%(z*8X(6lAQjk8QNmpU-ZG)r{-NmH$0cif_KpZvN z1(^<->08S*VV+mr6mx->d^TomF?IH{wUVt3@!MIAxf=B-+<(c$G1)1CNdPicV9qWH_!VYz#4+!D4tY8W_E(2Wb?yT9@qt7dJs*Z0s@svX?t`_9V znvZ`06f1Vo0%E3;=XHh#PEe#n?y{q9`iyIyes30J2VOgSq&ZnsIQ8qPYLYW3qaglO z&7t_dRliAsv3A+Q+{~f=M2R%8pz0YQfRIs&2Bq$3)qj3g!11tU;xPrkb5{`q~ zeNWB_|5g15i!O`6jL$bx?E0nv9k8JgjF~3dz!^B;pzk`hJv}ngY~eL&ZhFF<{6EG(0mm!) zyFCf>HuMv2sda;3Ah||snaSp*!OvL^gS!pSLTc$r9Ygz1Qt)Rtps=S_WYpu;R>mn^nSno?Q&O3NN3#0D|(E&%msqPNI z<8em7Nd-QWM8Ki5c16z2cn#GX5aSO@^w&G!i%0K0qVL7di??!ZS=>k=?x_ZA1}-3% ziuIzG5>OF{XHQP+4xSV@MJ~m&(_}Eza)d|iF%L}xIn*$~muLrllLQ}TVRqnpklt<& zbc*2+2onYedu>?VQPM=~zh{?qOY^p<3uDkDObMsWe@Fe1D70g3I+}JEto?SLE?>^p zhjA>poiATUafAgfe!zYt^py?1qA0<&lKluuX_D

      eP+E{oyFH+hJAjb}_)erN@H` zu`yu#A-%aIs@+8tyc|tXda&U*q}xoPYyH)0(uGcENFs=QeuZ1gXf+1;& zC~<|H$Yo`x9rY@xe)1~FRh0Uj#wzyb2y@KHbv;d7TAclvfkYUhn`=jAJ(w^#X8Iz5pggCMDp%RlrVUOT$SajhVihp~7Kl=~kSy|AzF>Tr7+sj67gpZSqMWO~{# ztYElvzzyQqnt}z8%!KWV9{KYpmfaM=dO{<2&8S!zrKkmwFt{io#mK0H;86-fij$*q zCDrL^NL|^Td0M(>FVTY*x?OKs7aRK$ZzkJfFUzDzm-QX%5NznuV@Xfj0ZtBF_HLO_ zZ5j(IdPX)lC!|P-5)G;}BCYycz!t^A-q4NY5QLWG(DxSmPUkAmJn>#cM*4pG75tGdDggy8K zdS0z%umQSe+FT}FSJ&@39?KTU9Vei*wH&W9TUA$;Xmda~`NdO4@5i-nU4#Br3%8lI z7Food481I3kNs-NP}TicwQBr2O27RrTh0YcjN}*%eVh=bXuSBvM0-M9+t2^pcA>H8l8)BT^1oKSN%{xV? zOPEpBo17SqJTQC<(PC=e=Hl^PwH`Tw5>=xH*7LGjX$WDMp~w{Bf;JtzD4I(#xicGd znlvQP^r|0+Lp{jQ?LWQ2Nu@xIB}=7n=&DDg_N%|QyqUj|V+eXO+);?*AnlhlgF3*@ zD0_Km5ZmH5J9hYj-dH$0l5XS>{)lf#RO4iJ3SsmC)gQhq# zgqvMUQt`-8hDA%f`WNbHAEa4j<;#$&ymh&!)%Q2|R`nQlNxu3rAzo6GCe^Jtqk3$$ z$(sdvKNh|Fpn;hfWG{UOTWyUQZ4FN&1D&4N&3e(dhjb^SeXmk$5U(l9n}GzBmMt0Y z9?ciSiFHD)W(PSohbaWSmN(MNfzyWXbv{G4%^dg3n)ht5uCc%71`}O+x2li~tWsP8 z-&u5xa3c@YsD>;J&sq*$HM&%(bhJF)5YLjGy!LlS*QIz#HPpslP>gk8R1e=1yE69M@6j|i zBvNfmj7w4fW`|W@^LU|7rlMDsKlzLGpqR_>1Lx#!C3BT-CGz2gw+BLflZ=#`X%pZc zKt-@Auw4%Sp76=V*W_o|d+CGv(h-;#<&jO!$E7ms{XWg9H}~0N8yA7aub6N1OZ7a9lAIKzxk zt}BWc22Sr!#3#CvR41_nBMX>Y)%Owby`PU`Ayl)r9p9L8R2;y?lxn}Ux}eg-xcqeV z9$-p;c+O=ptKRZ?s%X=*OZa~IlT5=)XkT%h7k!n?ISs_>KL1zUnaW{Im)vwIZ$47< zW%-oW*Ze2%rK$mxQlTgP9|l?&C};yTL?Y>=?j03Y<#lZmjLn2+#vpuJWH+r_!<4 zJsl}@T`{4iDcWW$O58;(1TRdkbc}cSqB<5GznXTLTjXWP%D@?b+2srq1G)G;8d z<_ce-{kf(kaBE5!)pts>lTb!3yG9RZJgjIauD4K?x4y5UhPqUrpqx6qdIL`N2~;^8 zS=A)=u3oi*(}AKzHHWqm(_MP8QYv<*h~QhPF%R?s^*2%FOz(Y;!nZj>Myk87?Gbu; zOoWrua+|cuB*p~figpt&!qhIQYmcCdGV|ie%`R@_RMZ;xkskGx5vo3FMikH+guC&T zi!_^fI_Gd2;P@?9V$!KD+Toma8f@A_i%{O@z!cw>}he0)Mh5eOIb>jXtcwAY|HRPn3SL$2!J6~bS zuIAnqdIQ7Z`D@qSqPbX(QY+S;N7UlgrrA6@OPeX!Z9S$qg?{6rhz+~Kz^ogrBedn$ z?T?{3%(6|%ag@<&Z8=VR$B2u!$?5yKg#nWzh6_0!u9lxdsLG?H;#M}xuM zs`;2}R(SZPR(9T6=okSDLnE*%h7z|1G>Tyd5~>~XWR}cX#6BY~?{^B*s^2MF_=yPA zdAAK199vYmRmfbc--0XMct!}L34e|&>O7`~Ve?F_-jSO*>N44x)}0jV4c|Tw?~rL}X2Fth}~j+B3PR;R20^tD2x8 zI?D{2yle|W9&_73J=dGyME#(XwrXp$dO45D8J@GO3?@3vG+zK0GIJvnlK1tLap2jt zv=7R2M;o>1gyb%dddVFEmgJbf=#}-}(9n2Gmu`Spn2+2pS)m{O8$gX4#00_O1-Y^; zU(lQbciM`sr1CCbx#YBMjUq-69AhdNX3uoWa%)+Et>8GF8rR*G-k7=B1$|}U$MyD% zl&7J&mmm#%?|35F%bfm6I4w1q*x|`Q;dF}I6|#KTPfdU zTVYvXZ(MOvkZtrqTOE-i7YAZ%?HW~JxL3N094b;n>q}(mwc2C!mJ4r0K=abT&c;v& zTtrh1g?8N&_Opdu;3Y+CfsWc2#v>kOZI!6hCRJrH8P`_p?52@ow}GirDhrD5=tQ?@x-wFjubMk>7V1sujTIZ`;1e)YIqSC5p>5;;dY6pt+wil&kJg z1Y+n&nq?w$vV9-{A9v7>B5uNA4f(Z^u)ie5aP%Y+&4 zh9FZ<*+*rXXx8*Y#Z6afj5&hRXM|48m@zrKRs7w)I=Q_MP2(>3q1fjIZ%~{4d01}Q zs+UDP5iihTWTIja=Qx}z1YSFQs0}h@5Q~5Kh@uUSbx7T*HE!B2-VAxwvGCwEq-u*m ztXDbQXG?LySVT}nmnRxYyEEhPfdtbLv1YO~TCE;m4FZf%M#BHa=g<$yWsJ${)>Qg# zpH(Iu1Z4K(0TE(4M34?n4l#DRWo;pnI?&^q;=)o_P+A?|2zVVxO8aI8>iJ_7K}`IK zF8aB>W44MgKq*jVM!T_{1001P#N04Z2~*`PL6hqxm4RP@uh$_jm&ziv8O@t~BQIg( zPlQy8ImZjx7}lWIU}+jRe;-P*D$NWtQI$S9T3l;7VAd=WT?L>&vkA!D=nSNtF&!Ui zHq0R$p&Z(^hqFr!UMv%AclH)2fsG68gTM+^mi#iP8#!JASiJ}fEI~P~5WNpog(^^r zAgeqxRobLH<5pDZ`~0DJCqJzP)smkZ1?fSl{mwqc&@JSu=REt8n}!2n=fl+w1-eZw zZhPm@=af^`$iS?J*H;*jMC;{f$d`2oBA~Av&D7v{)H-9PSJ}b{pa-V!) zG$d~R0?|mddVO&J)D9dz^bu9i!F<;cF$6EH(Qr4~+s~N4b3+(v<_`N)28ZdJqsoD;a?>itx%-H(Cc)B!qc=DP} ztO|zB1g)}U4|8%+ixp4}VEYgn$7a$y%s=D&>9UGLgV{Z|G_f+(#NI@=ZidUmO%zhk zzib(C=!jtdiXGVi?{ax9#1!7btn>?f)=@Uz*=%!<7s>D_)UjSJH}|MM_!qe13a29G ztak5v0|t_9K#zE*AjQbpiKz9WII08Dw z_}_wBeud9KnPajAcWT(>qQh2IBzKJ`PZLXL`qspil)l|cZ0cpr8Jj_e%c)3HY62ik zAZyedkuh1J7$Qi0MGVvJH2xFOivSK<MZmV0&mS-X*PsBm1L`sXyzx!fcXee|DG;>oDFa&;(sC^PsBEA)l4#~az#q55wNt|V4?yf9PDm$&zHx~Qwv(1>YJ&ZM_zI*S~VJA6xOHI zzd&eld}X<$A^Q4eMCLUuV=hl1=|HVB zu~1j$7k75BQHWs7#uTeG@anY3p#P}W9_`t_p zv;?)7OzWk5qQgE%uQ=PNjv2Y;xjej`zH+v4k6pjDxZ=4mIdaEqdd!eL3mfe>`#e^U2Q>AkY{ zfEjFZ)RghxI<24M$pqbxBJ^BlF^GM|-dgeXrrLSREYU&;O?!y;%EbE^1c3hea{on# zn?AZq=HTQ|qd7s42smjlLNetYeQ=8p`pVYajL~wE+I&5D$>O$&@2O(LhC3Qeb;;Ba z|5*QLBAXUw)s|gbB1P{5lAA@u+5)x|5ixhj;7x@RWNJvN>+(#6Ckd zh>?XJ0UIXLCe?iEoN5wE-Z z%n!j4iix?7gM{qX0}}|FuwEDL5#JEx27LwXqFrnkVP;2EBY@M zrm}=@hhw$nVKL)7>_{oxY(kQusL18{c_H<(*^s~1z)Pn{Lmkj@tjPu?;6_j9 zGBvHtqY%g~TWEMGkbngqU4g5a%X7Jm-cw<$Xz0FgCOQY) zS`4$%>km@EVw=F1lW&sanU}g~Ks=#VC%pkIc^_`xtxlGRgfs>0l>PiEo{tFuC`}DQkxM&NHeth@wQOtDb@wcIUY?DtkatFg6KHr+a zdGXu`j@Q7wn*?Y2Lx4jtQh^OfZSGE5aq4dl{7GNFYA({GCVI`3EQG>ZWX4}SJoh=a z$~|oax_4*dOSz(}sz)FV%|?5L?Gl;&ENXgCX~RHR#qlxJmv!`tg*P51c|B9&$;Ywt zQivA8Q7G{9O{Tay(a`6-XcL-azTCUV7raOhD$=*=3%$$z;(lxx{APm+MZm4sWJPdC zF;);+9)>!JTe=90zj_wmdsN-chuF$KA=wFNe5tazvt#)POBd`NqRq)e5+XJ@{eVU= zQ-9tbw*^a?Hh!{pWD#!RG?fK7S_S=@cbl**n8#m@^W?nWe}1#y7febp4F_3dxA1@sCFd}5g;{bbC4IgDj zY&v+9{;k%jk2btkW^j?X`9j~hoGz1`&-)e?7A3+R677;~?NoBYLcDrY0^+?G6qMC@ z14mPXi2T+~&h5-08pQ+MEn1q9%wasGun?CgviVk@Q>{6zasQ459(|?uJqseu%=P5> z-atPoESy+{fUT;s8dZOwv){ZkFPu~AX&SASXAfm!`QWyN?Oc)HAWWg88=a^mnN~2P z1?aVmLcy}YwApyJ%=0+Bv{}Cr37`Bpm4OU&c(vhG@Pq)nsb00cdL1VI_#oG1ch>v} zuYmIrkq}scrY!*mU9ux0LBWz_Qyc;zPh^@{-%SZ;qC`mEM_uaj^iO%ANeUSTB~&Eo%wgj z2`M|&1Qh+Ree(>@-%}fUW1@bz4+%a8b`N8ltClX|?R_+6U{eE6j8)o$%7K#Yj4-Ap@f~VVF)7|kG}T8criL4U z*-Hqxn;D=n?ziV*Y*emy)QFIO#7uGo(_uabS0Sc=#@38FqlB79s~yk95q8q=uG3vw(BXq*b^D&LP9p^EN4rRSW;(oOJO&_-%ch# z6x7-TtQH(|m@G;pTazR+Md@3*;7E(UeoyH@i}u=~8=!dREn6+CjDOM^h3{$e&6RV! zU)&{>sDkKLe|IV>&md=*IJLwC`MvLpNkV|7x^2}(hM6CMp7lpVsbB$ig&Di8Nx?f@ z+f@%juyAM0H<*SXoE_D~4gi=~DOWc{&}8i#+0`x%p4KsblTmYDnNlmT=S?}cJb0*& zGLSQksS<#uEKczg_3MN67_gE>oaEPu4y*J$ExW;ktaurs{1&9NFg+X@{gDHv^vBr4 z?4SL8cWVhqsixKA$lYsl;i`lrW+6k{p1a$kpGVr?yBztExhP_|a zr7Ecw(e_p`H%&FRMZp(DB{-tY+? zR!Vebv|g(3rhO_oc$2bTX{X#IM&GBs;*Cn;>1HS&3rzbtF=P&j=u-bCg{C~mkFGVl z)StpOncxcNY>2-1Ta9A6!J*a#*(tSOe4@3}b03t==IS zyDj+{Q|M(oo+l)k47QhezL$-ab8C=h7q6Y185ESV)@ej37r)@Jxepg^AMVV(V2Et+ z(_SJzd_UZtc0&BVp@v8bb#*Rd&fVLfYP}ge6CVeQ_}k>yeO!rVJ9(a1-ooS_=-cAR zQRH`xC*~P3uF?S~y8hqN(uXs0Ad)+*51=57tPh>b&R6G;;$yyr>lFn?y;>46G@N2k zv^CJjLl>?>7O5w(n6n1`3`)9PRXG9*2OauUVv+XVVXp=(_kFDPa4$;OMeQuc%p{GOJ0LDN@^HJxv!5ypex>Ws}d!lBN!t>O=88QJWhXTrW&+8@c?m!kP z7Hhr%ok!NmLcS+S46F@H!_}7~aBHsqJ1ZZ8ikm8uN-mV2c&RZgQ#jtyoVg%(*iwk5b9-nMI29 z?>@LH9P66m{+sOxz1mrNG!W{41I)kjJoInR{N#XgNKS5BGi=M;KGl}O7 zfo}xqc^RHee|~#xr(G|V^HpL()XaZg{@wW?3bOm7Qg?lJpSJCMg|eOQb-V;{CiZbEa;4?Dw`uov4@0Zi;-b%1&Ku3)E!BqQ$>4%_R3W~;J@hK=1CW%oS z1^MSXwZ*oBHszCdzJ0`Pf`|}xYH{3;%>{-2`6bzYVg0gnb3&bfcdpS^ZQ-Rp>BC!t zBdG9bjS63xt}bU1?mZhyn_X+@XU{7R$y08b+xF4ZISb<;msA8d6qpg(zFCW^7v|h( zMr_{MF@)fu7H(}Iw<{S_y2fB7H{@kMyprMb$|&U-TQ&}Tv0eAFdsk0mOMf8EmC&j#rmy z5;ktQCMDM9a3K}*c2{ttrBra1JAVS)gI$?t#m{i$vd*f*!2@O;$DTvnE;MsAn5efO3MKGPXW;8w=mYo~6U$x;FpKl(jN7uZK`E9E-Z7B?944_MVP zy~7#u(Xpp@U_Fqqf~Bpja?3Skww*w;{aRa(PQl0f9)*-j7h%|0BDq{At^hfK8@SporweY+UWT zoL99-Z;2SqZ2>$DcHKe*&!hg#^Y=e4S>bU1lU>Ujg!Ty$}kw7LK zoR&(Os`*6$tYYG&g;Fl4MJ`(Uc5GAXHq$GjAUU`5igP^$+@~7m4f*-n(Z?-X{|7Ym zCy`2>&^7_N*2$CF*EOqxNFsJIYpp?T?P+U%`Ndgk#>bX!gM#X~A+hb|xdg>uHItG- z8jU`2y&if-P`>7RYh90FCGg3@vewC5QlCDEUq|+>vg!Cocv2F_aj`n8uawLm8m*XL zQW4sCC>G}jD2L*7NzZxX1jrE42s_FMb}VKdI(tWuqJ zQ-2C-&UeC!PWAAvFQzta%JcozGXZh*!2hCbKVY)&vg9V?RnL!JRPTt7D#>w8m$N`F*8~7c28v_L+ zM|=&scS0g`ibgJuf2EQIfme#ySUdi>NQ43ZuT&GA2qXS?+*}^`Arln?{$I#7@NfJd z$E?5qn+>1s=d}!s_>4a$`j%!-WzpNaAB@0(dM0O5FMroTD= zzT^Nd|H@0#0hd5z5jYL;uOI%tWB@LIeHSPYX6C;T#UB?l0hhl>F);&|zmZ}AE`KA% z3S9n1iVdHc}n57b#{SS1i9s0a;*X`9+Eu$QA1^Qb3NFS$~mY26Dyv z8z~@HtiO>0a>e=^DIiyDzmWoR#r7L1AXjX^NU;F9`uPZevI5=>Gf>t)p4s;$kSq3I zq<}XEZ0q*xQy^D=Pj3G%N#MOQv;X3X1;`cqZ=`@+{XP8b$HhRd{vI;)V+rI6sB=Gm zmlep>-xCbJe+m=~GsiDdtc>_9zxn`wR~BGVt0PdE9e@w|yZZjnpr0AoAA0hyODlm% znx9Ade;bAgOve6)(g~n${mq+$qrH)y)f*_WknS1aQLgXZR4~;|#V=mNe1s-$Je*x7 zeoHrcnDZ9FoE{q3?;TXzC4oxp1eDlN4otWI={Tx_BrH+vMd(2PY+Ro#^X-jTK-t0Q zedut+G1s80Q#`J7oWmWx)$p^-F%28nRZS0WoZn3H$9iZ%-+L%IH-gYMgY|#}TGp`X z1jUCaoe zndF!^*-d$(F=fWD=BEimhTBW*gg(yXKdwp zvdbEmcN0x5zTRA#JoEPX?$VFpFj65FYL1#@*xfU!d*o6jWOEgu?jc;e#7^f)G4o~) zCF6Dxg}1&u6}dpE;*>aNCY;aB*3HQODpn{K-qw7_SO4C`F{f185`j@G)f88RnQQyN z3|)tC__lU$2`MOPQE=lB-7M8KVi$yQoH=uB<_kk2LKUyf`w-(#@)B8%XHg=@xS7TA zySSlfNLJkd+@gC6eiM@oBCX^^p-ASj?&FXgz2-60s)XHP>@zXw?u(Twa+Y+OTnNZy zx3qk(xH#8Du*h91C5w)8nj6#oYosIITe1n8G3gX%H~v8`>C}*%IHT13;Ik0#57N_G>_pb76u-xqZHIz&T(!dun1tqAjc;31X}my7~mzHg?`KO{JC@? zAXh0ch+!LE@z@nz$EDcy>QiY#PBjA6S4rCe5jTU}230JM8%@nN=FDhiN=K915dfUFVVz6gE;2ifJ;19JQ6TeGWXEIv^Q<1FiI zHqT=R%ORXt!(43LEjp^~vA(2`Rld_1cWklBgQsyJ#*#UK6n_txSgP82wbV~1l?m68 zX5}k4@x(lSJ+yq6I)#C2>Lm&3II}kGcvZ&7e;~LOFh*K8p*EA390AP!nnSV74+ivt~_ zmxey1&3LAyW{BsV49-dkrpE}^tWuljI>(K68Oz?Y#MeaPgEiMjNDtp?j_ri5w-rj~4Ds7Z z*`RGN`WXu6oplRkZxRFjtLHQ&|( zpV~H#%pG#M(lZawV=Sn}eEFL=8`~|F6W)4d#Mm>owGqly(bss;+u8kusW%6z%!?rW zl0htPDKZ{|q;5k9#4KA>YYY>dZ_M~hTDe~bLPn;)Tb6P0j~$vJO2sxo=p0G5Nk2_- zogtc{J~v&miwV@hiM(l38OS9(!?Gtm7gOvoC@^m6Sv?C^x%E47VSXihz)gV%yLyDX zd~&(TZhT(moN&zJ29}a~|7OK-xqsH-nxIJ>tAN!7*B$qLMKkEQaSM*i5hi0qMDuWy zA;1j|FCfx*APFS}tfdt^Ao6DOW#HIu7W@4QfWmr@z3&)aa7c%a1A?VXixoDT8`j=_> zD4$YD4{R42h;fq} zo?;i`V9rA7n7_?wFywb(+hA~j7h};cIn8sRwgC@^V-#`yz-CfNe^w!yI2B)E9+l3lVRfqH$g=}uI8)2b;5Skq=hnqd;d{h9 zBQewtn9ywOk%UaLJZW#06B8Xy#U6jhF*%TFi$6rMmh5;7;Gue1p$rxIP!_g%mm&-c zRy@gp?FO}3uTwP1qkQ8}gOZr;AG;(@74Y$J05jhK_g3#fKVU~fvam|vX4#{h9Xv9( zps^7WuXk9s6J?pTl!bJl60HmCY4X8Z&Y@?>;)QE0rS$tivIo+9w4`a86Y<;I9+SP* zBQ0Lquoatc&Kp4wE|aONVUHe-H+!UX2S#+Qv(7O(Et?4?mgo0QGIX-%$Fe7by`;}0 zkZBUK zTFGL=-LM$LT6hk(zS$$eypi%^uzC;A=&Z9Miq(h%{eUjjz`@aqYlqC5ctCf8Wh zlketw(Kwgmv%t6?D)#V&dvaYWIb575Pk9&e!&}g;hbS+?P8zjvt3BhKR;o96O=H)b z?^z!_$j&cTp;-k{j;o)i26w^Tbq6Eu*FQR7wZ*QaS-roua-~DSY<#~m;@HE&D-rZE z^$WD8A*reg>Ab0ihV%`MWAF7HurAzfoyS|qVwhpt-!jJmhPuq}ilpHUnD|ID-J=xR z{B%Vjl&7ruB5DXnnpFqIm7As{we_4=&YQ2M4CLcZ!R>F}w?MIuDKEet7Ls1VrirW) zaec{)VGDN;>Arb|KoQO-hX-q_VuyAp)kv}=+)#9Rcgm}~=pQA(CW_gpGwMPQ5y^aT zEq2XLiKvysg*~91i>g}11@KU8kgWm~yF74*TP$QXhB2q^Zdh)L>g-(`>*FGM>S8Pr zH-x6sa{*>zL316Z92(-vtvSYIneS2&d3LJ`M|OLO!tpHmT{uQr=eI_ugj%WhSdTZZt8=1{eKma__4W4U<4oeV+kBP{(c^U@ z>=hzU$+CsiN9p+$b1K<`Ys_Aaiyq4^w)Yvbm1C_NM{~gwHp4w{?qsu*9PYNw-4r=# z3L4IYF^kzl9h+5eKa7j%m~C<8mY)f8<`BC}fnJ78ci~s570vLUduB>L*JZG<5;ur= z!8g3k`S>~~p0SUhPDriPiaw0GQ=N74PR04*fnneBOQlp$SXttXOue19Vw6o&Yz#+b zUwBlC!$#$2I&z+!o-yIOv>6m8x2$V15#tUP2cGy(V;Y9XsuXb1!{u~)gtW4KyAp7Y znN^B7Rt18DOXfK8=~DA@$KDg1n=e>`mJf3^|1ug%PFVBas?n~DAGcr|eG+4>m-u&&7P z2M6`D(2;}vH~;i~@BOd+(?9(en3#T5KmNx64+x_CPkma6z8Sl5v;B!_<@F}CY6aV!3D7mbD`C|D~fBDA4EX_G1 zj?8BU$v0*s-D=K^kZKY&$AkPG(RZFKoAFsHgiA65eOlS2tQfmd0K=DZ z)&8N5#2H-CaON>P+ff(8q=Jt+`S#Hk+&e7ey%*2QBA&w@WMSHI28mOxo9Z|lG7UlY z5lI&wL=)IrTcyOGIqs4-A0jPJlPAvrT(lKIifr-~$R9HjJonYM{DUp8Aw$IVtL+W} zRe4`VU{|Uvc!edl{kre0M#Qv&B+TviMK0{-i3`BCY{GftiM?Z@X!AMG68|a z|FIBY-$?64c3@X^Oh)?f7ldTGX*WFRS=F@FTvglNj%DKV< zHOQk$k?v8Vim}K%9DID{7j+UOQcpG{Z;x8vw`-GV9ZGu!$;r$M?NX%|&M``AZZL5> z+ZK;EMG%xth^uzLVG+c3Tz(@TPC9>R&+}xC(AcGU!YBK!tLUILj?vwT5{`*U-`-Ck zHsRx5TBVA@DchN5ol>M4f(VmAr1UUVyaakkGnBVMT)$TGK)mx93k=+u@V4dHDaL1T zK?5$PYJM`IATJUmgi1_>>Cs!E4K;KDL)4B*;gm5d1*i>#%8<3< z;su|CFnT}Fx4np|j>99}VIibBJ+)|@)!*7KswDv$@wyu3W94a#v+ZxVYrkkA><-}PW&)X%jnq)^FeMDZ z>-hXW7w!3M@>5^OjxWZ66R1o)=#`9C1m~{0^D*>ah}&K_Gm~ejn**&w$mFKat_l_ugsXroO)|{mzF3&;WKE1a4AEna-croT zn4E&1;?>`Zk)vd8T*h5(|K_FcduPY3I11RI)y~H_0;I|01HT2P;kb~yHWzwpJ$i=u zLR-TDW4({2!(E@;!5oOfZ_HU{S4CurtR&}Xq+-X9zt|um@%GY{EHL6gR`Qt@&=BSJ z4%jaG+`i??>1SB+vP8c%H)0PBz^EMpm41wOJrJ{tF)2fP+61EjqMP}!fc7oMW+R5o zfHfT4=d|}jbO6+CB-ytS7-glXJrJF@BIq(u9r*Y|pm?1+P1tvBrh0cg6X*0$4}z_q zY$T*O?e+CpZ;g#90a@a76<%&At2op4`z}J1HkbxUb_$7o`m)kHQXg^e)SB$-pqbDw(1RE0V%ihgNyuO!ULV&iQ< z(=F2kAr~$>FUx5inj=Nd>dV6^9K0OGl~Lc*&>5g88PDq2JMH}WB$XxHcLBKjh4gzc zD@<~B@<}@$#l>4IOSv`wLwRd}Z+v?x6uBNuay=2$km{O`dHr&IBVF{}J z!8QHN^>DENGe7;W>Ai3uk3m2Jr)d%ouk zF`aQ)=rydmH1*GTLTcJ0!8BB_8UBhZ>4kE@1fL6=kN7?Y*3(QWQjR=)**S_&K>b0Dj zhULEOfNko1iF(+lr#_3o6ho!an@_o*y|(2)60CTVV|WU!UasV)s!^0*N^v0~6MHeR z9MC-h(B{GMDuMcD=4ig;keqXZ-_r zSU9J-Onp|aA(7=IQBfEe(`PH@G20^;_O*{Ka#*wDQ+EaCt{SsUCVLanme-a6F6GI( zQ?HU7@k`t%HMp`%cPxe^W zdPWnKj;ZgAgp_ri>*_y^NHpTV}33q zD|L&`LS6mg%40!2a%Dk_aqpYmW{d0Yvgc~TYTvn_7wzpU;B;PtKN!GIe3FIjHv{;d zSNhim08I7#-8~GrqyAg}zaQxNFR$i5pp)Sd<&Zw~Ag`TXiuRH7C0egSltj~J3#xih z%?iQGiX&57wYYtwb>56iH?qn?N#z%{5g{-@7$PLC44FGTCXERgKD9Y`mdqRK;ImP2 zYsyZ)>Kb=`!7u3I{Rip%1RpqlVYfe$oBvukzYZ1yLzusX^B?;hGXDX2u=-k#;DZkX z`i|AsAJAd?=@X!h9`(~u8gk9Nnym*x8)S<3DQ*2?D~K2(`$rO89HD6xw6|>1W6Uh2 zH^Cw*5g;!`Tdji+1M)#Us?4ZN#K7iwttRwGXH85{vUM;n7{oWpKA2A{7oA^jL_ISIpfzN zr>1~52pGYrXcTnc=#F)LJT1cJy8{b!7zxTqr?A82pc7 zjsr%GH5C|WfU_gea~Oxh|CP#*-~BFt-`+GoQsMtvDE}OiV`cfJO#cza0_Ls%M=HfL zlEBeIz&8ym_S>Wv@n3vq`UpXG$T@nb!P2q7*0ub<;HyNX^IHHjtz(25P?dThA7WcW zCo_2}8X3w)jR)O4+{y~Xt|c%A1|L>5GFmCrppwFcn(dQHiHgHGEn7xUHOhMj5;F7{ z_IBfls63VsGUb((b2Rk*9&y5+B)Aumheak2Qq(NPg*-{jIlqOqcbgL>I*l~VhhSRp z>JzP}EVYO^x<#U!W4dgJ0Gw-0@L_GjHmJu%q>}t}!k)DBKab1@FMNJdhxsOLmu4nf zWD{~~Wv5@>j5@+5e6Nn(yhgq`W&74e4dFSP)~h1NH3J(vu+)fMy)iQYJvE+%kgy$7 zQ0zq&A+BBMc+)&paG=g5v%rH=*HeBlO@jXfr-#VdKuN}$VwZ6hA?0Ea=gGdDTD_?3 zhS<)HTvs4dVk9<#6tII5FHub*e}m3AU&lL?+Mx%9OXMM@Qrr(w*XR|h;@l~9&d3b2 zCU^R@s?9EAle?*FmD(%&~D_EM+|s?)z9F z*->RcTeoRRq@s+4BMA-aGv)^)B|y$tyRgA>yNA3+wTQHu4N?|5M6aJMb3tWju@QS z67CXKK+S}{&}NvU&Eko&5I{vZ8KWf(=_~FcdYZ8h-FX_)Zw@9PGe-4`wF&HYsG6Vz zL+FfUKV2c57q&!;VzNgqtU_rH2EI7=>!?UKWf44Mhsr`Fgq$Ra&s83{!z2PnsK@2d z7zo3+lq+Y0XBQEq9}Y`|H(@S=2w4k=c)~af;Na_NPV)$yg_-&7bR66z zi=^+mX^x|mqY??vny15dX~Gtlk*)3$LU)bJhY?8i*9o0?74jqfx;AXNaQ7m4%41$0 z2nks`PfiI}6$c-QG!0X>cZ|K4``|c~*1+TpTUfk;;n-jib669@NaqemK%k4_FyXq2 z&mBnAf)^?l(Ic>cm6*vS_(F4=XRG$5w#>|VQ}1~bzrGGs$er{?cSg3m`PDmd($x$IJD!Sf$QLH{`JQ#Z%5@CygxX;pD{KA{clajf0X_F zYuEQrkqOi9DbWA6|7ZDQkj+y9>`)4Bn@K~S>{uGS876c#te;YYFH9WqG1DKVJFfnN zie{T5avYgA+;-ozvdgT=O*|<`n6BFnBS^=^L zaSxPeH$7dj)fWr+_m?TH2V6YHu{~-xYzh-gX3N*xD0KPB;&ts9^Y}oLlOEQCf4J8<70J1>qSJ7a5PuGnGMKq6T} z5BM{^!TRPSy$=X1q}4Ttu|M@WU2*9;N4x{&3O8WvEJea)J)8oS8i%s$`ml2n5m0wj zN7d8%4mXT}@D@9=pYL?)%$@XW18A##k?gD`(-vb2Beu-!ah--O?zdC_R4!|+h$%>m z9=Z~-$>HsIF|j`CDER>y%>#Jz%B}Mc3rKO08;1jhx53AXcnnkAWCkcs^ZWS4YcHY! zc-r>x${wjG+4W@a%TSi1s5~4DRckpVjx=8)5FtD$%B$ab=v7~u%Z{v^f z!RKK_5lh3WKimIcl8mOEBVAvlF7ebP)nbx@z<~N|IMdtuZ%V4EoNZk+49CIrJ8Yex zPKswXF2W@}Z$A;7Oy9>*_K(9J`LlmULGmD_6}T19ELeE%7ll}!uq{mqTo8rOON1m? zh~Bo4h%OA=Paz(DBPXd&Kr$0GeHxH8FENvnMfNE|x^_`C87U#645H794Tp@ z+2s!p?q~4*`+T$?Wt0E<$pPK!UmH3m_Wv_P16Zf{KXGRAPecO~cQM?8l@|&x9G20x z5SO5I{Vix!bt%4ppo;m%+mpMMsOYT@vn^#@8~m(1Bpt>S12{#W5kb;+Dbf*bJ_T`07RPibY<#2PA>M&XWkY zP4xRsk;L*i9<46X!%H9Mb8c#{3}Op-0#Zx{JUZUACCQafno(=vbk04;QoDy~oFN7s z%_0}lb5nY!OiwVF>8{PE+IL++#lZdskTI#7-ktl6`(eWoL2OUdynPW+R-UQ%uR{(R zUpNFus2E!F+GD%4fR3%LO>I2Ua7v9YVkP0BMVgM|Q&itk^A?8F?dOl&P<-u8k`Meu z!TtVprg0|9@a9|o-Gb4jcym!p65r`<)18ZitY>-hO(K0MHt1<)pMFsG^xkR9&ZhN z#;}eLymD446ya{QxZ{Y!uB}>~RCm(1p@$b&A4N1$v3Fu@?pF++FW6P+B1QUABZ$4r zxh#5Gs$G`j9emgY6mZ+uqPJt~U=gd7&T*|DwC00j@l&G7Rp&h}7ndfZG4B$y zp(JiTM(A=97>p&T0Z5^ej+W+%2ooPy;+{Xhi%;-gE?GO>4jgCNs)6Rtb)x=*bbiKr zzkwz{DzpE!aQ=Z*zxSa3zd@8({}AjcMHC`*(!&d7GC(*&QW{BeneM_CDMvHVJ3>?P z>%C;Vet=|j)qTG+e3!&Kj_9O&B*o`kJ5Hfz4<$bv1L6EN^o?=e$yS`9SQp4}$)s?t z1uhvAK{+~{F=~3NE38p%^}=Kx9WP{SD^7x;ed8Yl_A`87VE7e2{3u@k*An{&gZmvC z_>UQ6)<47#!@v^3r0?-@Zd9U%>+4o=&qIx=BDX$<(k@CR*Ei%r+TmC4N(~tvBZq@< zbO{!GrMJ;o(YZM(CFakJC3T!DD4b(n^=cPkL|@3 zA=3fy_R|MVst^qsf}bC73XaV)^hA0cx)3P4I>MaAhXStFojwOkE+96>D7|^l(%2<| zGQZMQCdQU=nQ>?sZl-vQnA%U-(S6BwCQ{@={#633NDD27!(_S6LZ7TDZ*RO}wj@M+ z@aEVGA@x(BZGdrPAK5|EQ{fW6I_V)T!mEMzp+^_n#uAmHle3$PN|5&8al$?N=ax&5 zI7Xn{&js81UYiJpo8iG|TlkpTOZ?ok`)wJ0Cu3+R-`tZz!9IUX)t8%ZlE#S74W z@GJ`$jq_UDRXcT6>w-W8M|`PsAiNxT!*~B)wSnw&_<<_LyKqfsr5S%0=Tlk1 zV5sUREwEk2U@}hWjG7x($$*ml41uVXDpC}N4vI`NgdKGeLe@oBWD9{*e~9dMC9ts^ z!pPC5w{Yd)cK$cI;p;4@VJF|1|9$*$EnQOlcNroWp$I3g|M3d?6E2| zhK?-4YoUy*N|7kl&0?q1N>m|$X;2eek7$D~2_EUX_Mli-Bz>4ZYDhn)zK|etNL>dt zYG+DP*m&x>5<{5K7YuJ$K607+sF6!iVZ#Nnt^%!Nq~XBXvx}Z_Ub{8T>-DOLOw$#* z2n26L9qrE5_o0`XmJ4#43z7Vr6qQ9MHFb8zaowy4kIi2HkF|FWu5@kIhl7bV6FU=6 zY}?L+6Wg|J+fF97ZQHhOJNYvEJ$vuB>P&rA`|MxU^GB-IO4YibyK!~*)!lB3Bv*E0 z*0>?o@UQMQFt?Aa!5oxd_)e5Y<^wr`1`ParrDXXpCyBHVdwY|CzAyzLx|XeG@Z{k7 zWAHC1wmbzkE8cUbZsA*hx`J-BpqG>3ORsDa?pfMT>Rl3NH4^%w1mx5g(&irPMp<&H zhWCY!68ct$z!-Z{uWjU50H@n3$^Efld|sC}-~}@TS0e!30sdoC*=G;tpi~3A$$7-j z5Dfg}{>gYVn{+f>eLr{YV%*SFeVYO^%-nI9xtRYeJT1VJjpg9po_dX)#I_rZN#55 z2zZkd+Q|ync1!bKM?>DYr?pXOC~%a|-IIUwdj3SeEdS-0|1Vq|`oA*IbiZhW|KoiA zWs;TYH{kblhU0^ag9@riLn&uGjL0@A9cx}5rIQof5+_!s8v&&T9Dr9^@H1`lVDwpJ zgAf*hO+LB9^U8h-|$9CX@z#TsMYlrv@QCE~iEi4yq{qgE$!&6?2zi-KusM2mMNr~9!t z<*JAZGpS@E@f$vW6T~2u%-W|E?!!mlfdLE2wVcRRKQNuR8zQF*jm#KfD#+A)BB24I z=x0tqdmz{s2C_xjCoa*V@)gzhJC5fG==*&lav`hCGlN6NW9l%G95Y$~9)fZ276(FL zLhJ;gTt;2F(7R^#2w7M0@NN26za2Nza0D_Izj~+`J+VFql4}+}`m!C`46??af}u-N z3+fITMe zM1xLI4ErYTV|Ko#P+*%|czU@x^S!!JHfD@Tr&e!w$|

      {5`S_F+mZh@u^mF9ox>gk1{&iEFMH-98}K0lJsS_sw>gKJf9}T50S#0xSR7WC7eD$AD_T<)8>oD{ zctH})vz=M7zHbC5>c7(5a$8mzIl{IBYxWgVzZb6*-$eZ~t_|8Ev{vRSa2d&Jj?Q;k zP*|tmhi#-If{B00 zjJam0EDw_e)O#UlzeJlXopmpTGK(HZj~0J!Nw3ZPnjG{ z);c^6m+BA~%W8*N6Y*|?@7a3#r_hBKTXWIpYcJ>vlq&ugOx@1lqaxAh3zO=9rV%e! z%V+Yn%Uq%-5z!8IEob&5>uqUn4Xyr^4J>`1LG2LSjCE4x%=0=@#u-M zlaK?0L_!T#`kh!IMQ$NlhO63;$2f}CwHcM^@Px}`LaoXC^pn*h&g5{lMczQYY%7SKs zrR*GKi9(uEuJa!wks5sdV5Y#N@R)o_qglex1b?cGwRFQ&hXRMDyW}{hQ}SR8Xu!bw zy^G{+-@Hm_6C+dCHDO3EQVi2kcspCLeV1QuU@%t2HGOQIHF@^y^AsNYw}8HZzY`YF z$T_aM*UY5h+6p@xjBbCAfoaK&4zlVf=)72U5&SRq-ZD0lEnBcIGc&WxjAdqKW@ct) zW@ct)Dl?XunVGT7%xsVA_T1O~G(B%dqfh$&JneLD?W4$4MCdq`d3MBFZR0-vQAV*d z?8~bB+Vs&ku-p`=FW8+&sE4SJ2fbk8L_HhZB$I~?Kh`SZWC~0g8QeT;ndLGnxpFO? zFUC*hgTBiVc5ySa*eF{@IYfClZQ?x3J6W=B1pTorEx$$dz>-|)(j8r^O5~jQb z(LTVI@2^HFcXM--`_40Ubj#rM>s5Gac9)pgf~!It_l8rpj>pDF(P9Y8)8!S9%B{Sx z0PZrvHA<9mhpEmU>6i8!L})f79etCo0024d+e(l>a@eD36>usNa+)ihVhP?qH^5|Ixj=reKcP;*gU@kApeNcp{pgUU_7(q zzyyJr7PM0ubM2(>Tn#o?Tnp#s>bEGB zQ7&3!PtITDim3?E(nof~K$qi?UozP-(Xlqs(7LvPwK23_vVm=XdQsChKG6!)v%fYq zS2h@JUWycuEA9B*<>kmXd9~P~yU;zp(Ot$j8MU-V)?sHcfwvGF#rbIlGk{AjWbLLb zhMgMphm#;BB?T1r@8SY+J>?zK&q$3QU1h>?)@?9gE6WfLz310Ir$dNQ_JSXMzID0n zo)1kpZRg|&Bw>854}7;`@=U;Sz{y}0-UafcE^}gx7ak%fP+gtsq0lDsShrT&)w)O> z3NZC+aHG!tJzzHc{24?lwhQ7o>tc1d4I0(&2 z|Cz3pvd4RY;zWp+vfbSj#!$7{7-q@xPHGHiu5FKq2OA@02vV4GTg(PIm_nvzC-7qR!d2lx|*wbx) ze~r;Nobr=M20QNZd0M77QDdU6pp{+;X7l44Zo=*$$T9n$g~LBF`S}*deiiwi$t{pk z=tKSLl#kOaeGpk#*DV8x!Y6Er>m@V8P@nv1Z*+p$kgU~LnY1`+F%LHlcGkAu zHVbysc9L==@j&Dv|02&_<{i^%GG%KL#4!7KgV9K{l;*t_%MXNP3GFOz@*-eCGMDE5 zftk;ct-RgM3mH=oqN@nKd>^dZpNnzi6kB`Oy5k2lkjoGhLh$38KM|&5dAO-i(fxGz z+eZp=GL%bk#=~_T@ZP>KTfjUm=Rj$wuCfuU8Uj%q8i?PXYVxi?5&)U#kH&?SP#E6t zuyHjoK|O~{-$9sx=$4y!B7s)Nv{+MjD0+AmDE?ZBPUHasOoZ^!surKKs08Wxvl1QB zDBcY+ifmI6EI`WJaC4~wT?tCX-KJ6j5HQ8Rq5_?i%?4wXGXhP^QLBB#rFtFFYT~~wUO2p%b+C5AwJ=K@`-(Ub(NaQD!F>5);{}7=cXjQy z1k-!&rfWQIkt8EUt@(+fRT4MHN>C)>VDL1R^+O#9`>(OVG?fyGK&*)bR9xogp(lv89cO8w5qVq%s9`t2SWcmBwi!Xn^9hM- zW!-Z+o;AcnB-fjYx8tcG-Vr2{7Gio{leV`^iC_LBbNP~Lw z$ZZEZZzKtdDQ(Y9S;4YhIyS;YD-ZbYlGczqI zwO_!S>g$D%nZO$vR1-wV^NL{ink0F^8QKzDNn!ooiI}Da`nE&Od^37S=2S-E?6p8&J$n8LjI*ka?61ud4e-*aEiq$%MK+a*K z#$PW%B^rl?gtozcZ~;J+4D0y-`&fLkg=*?L?x7y_&?6m#*{1MYCK5lsUo@pqCk zRxX}&)P7|)GQFJ{(Jj;gH$T9K==w;Wk(Q%>)1w>l71l=1RTil%^D$Z0^9%U`42wtQ zSJap(XIb!}B(;>MqqU5Nf#|3|i9aW_Z%Z&r=@zbRZuXisCK>RR)3uN zL=lR^E#Z(hRLe1CG2_`^#qY+;s{|FarZ1r_r6{Y|lpm38C~LJwxgg&z&K{U=j%!4? zsWLEC303r3&rNL1l;bm}qm2*NSf6OdB!lg{K$*xyHq-;pyvg47jTjEh&xoU$F^zxf_9;q*GrQhNXH;f0eXPOID*Cl!p zP`8pU!xcFEH&{SC%3QoqzT3xWn;8UMpBcE?jL+CkKxiNzIY-~lGuMcZ*%dw!Bd!;3 z+(#XkPW}|zPrwtfqL^9i+>n`vZ)amdV96=>P=x_oBA+^dhG!e5pAJ{vSG?bwWL9i? z!1jbU)JEKaq6#h$Yv5Snu=^Rrty+n|7Pm6E3!rpc6Hz&ndIW-(%_q zZ(0uZreZqQ+CSBgD>#*(6Ur6ui_&M)wU}gq8%=p#LpMb*oOcxo-4mY0V|N+SD5;zA-{J&%a8a`oKf zOR-|^i8gc$e#)b%mlYsiL97Oy7%&F6Cfp$-LtKG#2@aaS$BrUa?W4Y6R63vkFf0H* ztfjxfA3{+Kqf%0U>BL!zjX8-eb5{?sgm7G!^oEp$vMQANa;dq0b3iP14xct&6<>=T zI-mF3ah#R@TG6e|wrwfl5zY)Dm&Pj+F@p%b68cW&n^$exHZ=)2JRl2#mxipoeOmiBl!mV*n(poGcHDW z`Xk15$0r+F7jc>_Aim54DfZ*AUa7I}m1a2`vdCi))@B4tt(qo2RLR0eT#}>8D>z-$ zbZ`59+d!8{kfWR{x?#jFT`h)%KFn3i_Hx!d7B6q0LETW;v?F~y&rxD+|C!ay!@z>* zV9}j1$Nt*XNL88N!K@VrVbE!!UsUS+m!(}8_Lf6aGl{(bN5OF_W}Z~9Mby>$^!hyL zFe%0`YKl;?e$nbTT!(LF20h?GhR`OQAuht48|| z#4Av{=M7pJ#71MIgiy8mRwZv-b3X|7(3Bd=EOk8s^#QC1g8-rnrMuH(tIM2W7uh7| z*d!K^t~QjA7iHy>Z$nywwf?M)>tQouw22%yb4rIxyV4f9KsR49 zju_h?_H;H%vcENw7$ekTX^>k5vW51hY8ynu_*KL}J?2mQ zkaAY1{#^5xjAKcO-m62qIFA-Nx+*$8^%?C0S6#Nv1H=;0HH2o1kNifm45r;(b|JF8 z^rXBB@R0%NlQT8OG|@Fyy|a(PX^}GaUA5_l*`TxdOSIH;n_x!j;nq&Iz6$)?nzJso zxy~eLde9;Ji@{rl=eRX|5*^DYs6^dXFS$T7u>x_Ixrh;|!bAJ_gD2!1dM~*-o8WOX z>NB{I-nsN07JlLRRAzl`Z-k5|lxVc%91#rS0E7E)B(wultI?$>9(YqkIT3S2qmgyN zvc-u)WF3#{TT04kJOUoyqPYkCAaoH~qt3mO%Q1jvyU8u_$jRho3v)%u zg&@O`k-rM@IQf}5Uqxs>I^Y3#WC8JiBw6S$a_!}xI?k5>KnO5AP@X7Pl=rL1Lxvzj zlqE{+4g4zZvgBMtb&-LB|7s_S2N-_q9Xr^ zWO-HDzd~G(?<>fKK*OPtG05n}8MGM0TCFlnZ5BTOQ>r{Cqla2+c zH3>w`OQ<^xuc#4GpllR0mbqtS$^IHZPmye=&dl$!VGR*r?>vS(?Q))6K%hKcB^SX7 zTDwH+Q0;GGX$Lm3H96O8X@_%HN8RKLyG9M?54%cj6RL`_79-G=2#ef;H%0B1Lk-() zRZY$8=kF}R>)uQdi&vSU8h_#Y4x;41EuTQ`?905IFW}x*DkRP6ATJ724IcZ`h%VRV zKy|if9PzrTh3bO;<5H9=d1xvs6X>p(X9zacnhx)Ul65E$$3ep9je8t*IsPp@^&tm^ zCXeQzi=>kR7a2`}4XvC;cilt@T!Yi%_fv&&QYx|@9cn9~@Iarw>KRhVduj8)cRHF7 zu>h(OoemPjBU_g2UYm%RCkOM;wtj_PWa|9B)RgC<^JnjZJhwD}@ZSYIzHd!04)#%( zF$bzaE9G4kGRI)|UmTasLYwKOQyBNgirIaqq&9Ia>y)v5M>frMp{kShn|O>wJdy?J zd#e-2;n_S(niS$GpJv;GT~Gd{wsU$Q(RkqcSBXjw%& zSErnxzwQ-Rc04=LDnh47?17`%dN&TZPjdB{buZGJ4i`1}rAKR>b-@BleAp6_a(_R0 zZ7&uu!X^^#@$xX@E>i9SZ|#zZrR>1AUMhsRuDM|-%5p}!F$?36_z1-d+a)Y^<&YxB z`wX&fG&8ZgC#8$HLc^_atRR}Uh}NfkVoZpv9>|e`y$0ev!R2B z!X?$l36|*OCVkA~>bbFp&YbO~Zc_yV#;Z>4f(w7utDya&&_)1P$W7)xvGvcLyqCeA3w0aw63uA`4ot7T`)ZER4oTu3 zzKVvjH3}d@1v1p|5t4S3x-#sAZ2klrPZ5Ln)3bg41hfSdsf~ts^>}GJi_^`Ph2>9G z`5!1_*xFu`!uv((Uh^*bXrC>33f)`p*^I3xOiimv(j_8Baj?;d1C@&(Esa(*!uhA* zO!FL}tzarAj8Y2|sA!M-$!OE){rJnN(4>|4Py_+RSUOtlQ>+Z^DLIe^D~&L5aad0v zIp3~uhGbT(&QB0{ehlRfjp zAvxtgtTIvzG`x{Ft`#$3LPb{*0Uj*l$4BXnxNg-fF_m^>m;na_^vD(8-1{Uy=ns_ge@gEDHxOQie_`k#S$N!(uKmTF-`%ehdzg0qzUK2C`I5xv^OdB!WK2}nJlB2l}dW%>xrQDO0Jn@$Hq}F$CR3aJp=8m_vHVqo8CY-SYGOiDU*87g z_g0zTks;hf(kRYt+Ir=AP9A)g0kU|HX0^oc>P zI!rIBFs-k@+2CZD&sg(8JNulbHzjr5Pmzjm!oD+po)~v=v_U+KybCrd-_apHhen2` z9pibo;~t-1o>}RcxJN#q{W&InCB&<(bNPA(?3js<4GL>9on9r63-B z2I4uQ{sw<;{ed&{k5o*wY#i^9WLdlpU(bPN9j$zBYjt`>+z+uQG{)d;z1lNyk4Z(t z*2`Y49g#j610i-(ND6v5@8r3SJM0copW?2oNn6s1Ja<>`Y$LiXHrZJ z0%rF}*j+F0G-+C-K^jA+GvUS2&MEI+(3YO58ydw5_vROtd;gIyC+c1ERr{4mqO`%W z#ynqE>A4KK=$AWcU8hP~$(d}nLc{{( zJ;}Y%J*g|f;i=Gmv@X!%cE3$mCO;Th(%ui? zsIjOses=web=i3oV4SBnga)Z~u2dDnDeWh0fI9W&IoKvvyh9P>EmBgnq>)(qb6A-Xn4`jIlou=M43b>keULVCt_*f8k|lQYn)>KZEFx# zfzBhFeL}NG`X{WcZ^sze{N5YZ9q4T>^u3)?(lK$il#D*h$$`j|o-*I78%9_1r|S0y z+%gCUzJof4K=7jiJ!MJjJ3mi2(K}X-mj}-2}9vs-GBzNkUH;~VSVU~@W3_5H`YEP;AJQl+q>3}$f z#cv^2X+Gqrh!0lG!LkZ`N@65KYVs*+61-^}_`L+*6#WX2g|;$u@1Pm9^c-e3e6@tTXnmmyOGu{YKQsIL_hw1rv4s!tmTfk z06W$~VxUv1zoh?@=4fpm7;dgC`d%Ltb{6{Vr_!j6>}A-vFp4GMD~2t1dmcoh5QC(T zekKXr1XRim%BbAiP~gl~fKRpL3uqgN91%eb6l3s2$!=ay=nfM>ibq_9=L5^A@kFMa zx;&?q`AlN3Kjkec$8A+=;^}ajX-;gV#a{iOQS^kUj08?Xd?w>+aw}w|5bH0@guSlN|4!3}a%0v-!@I`|0OHuL>V0eSA%V$BP~K{3o3dG$gSm>R^hRo} zg-#0SB@T+FS?v|<44yQ10}(!KkP*@PR}ZySOA?T5Wv=>^1SVWQ41;r}1>xWhaY0=& zwgng|;SE3;CnaO&CKjK%9ty1UF_=0P^e|~N{-oI`y(&hk*{Du_LxHus&5?JlH34Sz z3x`3bl?=>ecj%%XO3z5=UYn%2MLYKQQxZ^_^1F41T4sgga%r8s2xFBcuGX2Z?ynII z&JOKJ0II37Yo~?oduQa@ChZWG&XrTTW#g84ArgWLQSXTd^O?OiITrmhl!xRhEU(n` zS}joOb}kND=bsfcoV*4V_fQ44UqPMd@!N9ZfTFy$>Wr z!a*%h5kUtCS&4JG1T<> z6<=B{8HBpJv5K)Xv@TyFYXHvzOlZ!{LLX8cEOX-E2iP&x%%|bTg6GVB?}riVm-S~7 z46f8^W%9ULg~~L)TCt;#ia3>81-KXkjOJuflelUO3ysatGE-d%uCh~4LC02fA2YSc zn7)KC8B`&p!}O&iv`j@Owd-gD527m%4b#jdr)zG|&*_%=h-_fbEG@2Cpiw;>EogO` zT3MiJ&4wRIh&alPh*sT^rEyYROA49SlBGnWM+B2j$~s&zj0tTRlBp)v1spR4Pq2{Q z+zlF=d^V@X4!9$bA#;<;#(Tj;2%C*NYOiKHiM_@j?Y1KKSFpRD=MQLU)utSo*8n&< zEiB)s|IkqpAZyqArPaLB_VTp%cKySr(Z-cuU`Jq$J}UiOn`oxjSijc3{HU>>8p>VE zQsP}-CI_aujXHu8VA8dyx_6BOfn5eKn&8LEn25WO1R8a@k?IkEbyE2_H`)wnnUYb6 zB}*{+2=}QiUcn%I%-xD19``eA@ia-1(2BV`KuH#3>p+rjbR$s_3F%;M%WMO~$am&V zl!QcZ$Kz54)S0@B1Y4LI$-?D@%qW6`+>*Tn(!ruC=}?&nm;PsZYgeWXv#+220qvrn!f`CFH?nT17~pPV8$e zW5up@$SbRBpS3JiH%D2N|8Y;8<&P|#6v8*09SNG{AZy+Bl_#?hnhsptN zER?AC0wcYj{$>>|MUx@Kh7QNQ%;1&Uor7KvgOI>J9qmJQqhL~4*xB4cs-3x(?V9=4 z>IL-{_5HPJXz!5-ClZdhBkjq1H$@D>ZgE+W-Xz3T1AibM!WmyrQM261Gn5BKQ3)#O zDFI;cr*4(pE%o^Bq}UYhd0J>0Vww~+IyP71cJC1eL#ZeHR@uHn+z>tU!uU`jQPB#{ zuV~GBFF=~?WX47^D|QL7EOo{X*YrN_o}ZkzhZrhL$T>^{F=Co7FO@XhpXX&0VrZZj zmN!n8nkQ`vP7N?#K9hBMOEwZ|s>%<58V@sVmaAdE1hT=hR~ip%No^I4SqnG^T*!R- z){SuT(485gbNj<#QyF%x+sQZBrXG$L0u*UOedXZ;fGP~l;73vo-`L00Ip2pZ7twQC4hZf9C5 z`X=C}9j>Uyp@%RhFNzGA80PEgU<+h?i3>7zj>cmX3hMmVOi{BXQC3wm*$L?fz z879e_S}QUOXrm^fWNO>kRcp`G)a5%FB_Q7+kn4zk6rWmPVy>yHY*j5)T4$B%7z$L_ ziCE<(1qN#L{J5B*nM>hW581Or(u$$924dQ!n1)PP^D70iDM~BUvBr*9>LtyTu+e%z z$4iTPcl>6u;voq``A!WpHA}EBk~oZRw&T4I#-|}3M_Kqro0_Wm+kP$aQVu6HAx~54 z9bdX~6!rwzE_Dg?rUdyO;yZeVtYN@A^DG}0FXa99h~XLt;=`K%NSXdq8&FsQv9(sN zt-2ui>TJZxI{Bzof165Ji%BE2Eg=E?s51z7mxmffUcr(8|psONhZp z#A_>vfSSjj)UOT@vDj47x26ul?xMKlSzj%pSDpAm1inRZAdIBW1`=T!zT%^OzD}Wv^cg zn=f(;kX^V#=))lSu!YV8=gGB^ilF4tip!uB=7P$O`H{8s%abanErX=|X8FALl}|v= z1Apod(*Y>f$Uq{IqTG{Xh_h4ZaYU}t-9^I;5`_-W0*Q^xQgNZD$SQg(stMfkVfQqH z%*{rq!%@1?Cyn&nNdjDf>F>(6HVkWiOQks2E~S^oprMQ-rqpd=YQP|-z@+;?;>!LfqN$_NE7?se=Dh=>c2cd-6a(WB=e-g$TRtdt*fbyn=-b zBYRqFj~VTe$=d+l1mi||lf{1pq3##pqwW8&#phNc8jAtT1d-z#3xhOEllxBIIGokz z)00zRhrUaF6}CNf?VbM38=4cR9S>~=tsCx2KOLl#XVhmTCX{I+X9uhdnG;n5RmvAoMH_Yrch7dxlHftb`_8mFJRm5p4-=mu++Y;nA~uSf_@|+LPC^po@Kb zjVD$%aiPOc+*qjjiDuAw#6B+owy<_qtL}Ocac<6cc>+!=!c_-(io*;u?ofls`&{X1 z&}pq@>ozwqQ=B8%>8!dEn0eS%l(i5%a2|MX{5QlMuwJ-8Jn$~Tr=myaSl%b!D}WuK zUQz^Ze9u3HSYYT9SfC8SiEk-TK5Qs^*gq2f3DKYGEA@f|LV=^f{|K`M*a7@1BnUKl zTYPQB1i!-v@gf7tksBm!Z-;s*jVz9sLnZ;eWltOpO@gT^eq%1?5p}p-WJvNv+tbvqyTIX!(tGBo_M7J?dAEu zo)BXbX6Dxn=~$B|++|5kfkbs*{m#Lf@Rq&9&Qr!JaENL^;r~RiAUf|~fvETGD?|n1 z;JJA3zReIK{FQ(NV+8MpgVw(G!pB?gV@{#(QXiM{L-QHbIp}wmqw>wYqxcO+naT}^ zY4h(>(}LePiUiMsS*^le5nqbsi3Eq0%N`DD6=<3aK+`7k|Tu5S4{xq3dzj(CQZ@_^(2%KX@ zijyThmyBoi15eU>bD9NU_cAxjVVurXG3R3jkB4+F&|TqW_9b&=frPdPb^~n27A3sI z(d#SAX%`%>%u-OS#TMV4iF&JS*?Ok@-TB)1u=t2`31qp+zzRn?IhQ>&k@5v_0rvgt z_*!lG%F0+zh@zTWUtBrYa6GxQ^#gO(#kz4|f1~;b0sgw}$%gp-V`-i441Yh|0G`7) z%>&U>hnEB9eiPh-A{PgA4QaaAj~eQ?HbfIq>}%aYw8>IzG2~G`S+&TfKMl0$Qm-W+ zZyVq^#;_CkDJScw-vi`9-rP2u+5#6&zzzbEqn9q<+G8SN--1Etm za<0f))k(hdkkzDBujlWSt(QXU8buMlO>$_>xrSB&%B79_Ig5*?Tl1I=L92Dxc4D*1 z<|2Zrzm0G|zI?c_K$AkKYyjuwnX%Bd!fjd(X1`XU-$P4jl7t7TM|cP*c!dxy6Xdqq zGhM63z6Rk8k-F|O&rzOp?jEE|myIFaGR4~0@l{x>i_SCk4t6c_(fJx}^ z#}I2&Y%A?0b6O0h+6fX4t6!{{r@p?Ucg{iU0Np~=Zx}|>*PCf%n-eV$jA!1;SP_Xd zvg}+sExMa;+&|tIs~4FnEo&X`L-S(q`Y6<)GRA;gSoDY_t!o#KgYQ+_RNt#|_bMxi zA-#DzDd*0{PhFZTd2!Rf=bx#5sc5I=pq{8nN75Dk<=-=|&euxY=PmzzoK^&Z18loy z3>DhB_WF0MbM&&SSqZ(~l81P8)yaaBS%QG0_-f{8UW8?hzHjA;6Ki3TmI23WLDlvuzwVSe_PHOA*2Lw`VWnH3Dw43lJ`CjywQh2@pJR29Vlu5}yc|ewIH=2M8uMCRTU!bhX>)*)tBh08 zv5?g96{SLLxlwYPaoM-c$sg|AOiewJZNU>K_1CrP&5F$&4})ygw>z!7!gYuVim2e% zu(ec{JC%D2aPhZ|*=q)cEFRYAuk3+f+x?hDr6P;AU6%oYi=6o3AEFD9>whTNV&TXg zc#(PWb`hVQnf;cH$lwllAzad=@s z$t1aW+J%|{gXUFOW07A_71!4cBO_Yq10xhkC~opfTgn9)H|h)#2m1-Mudy=r@7CV` zmQpgYveEy`;``tIB{q6y#=nRE-t6QGq;qku>kN>4T z^1ls{|34Zc{~rvGY_wlpJ9)@|ZIAvn^milgpPw85zSH~9e)NBQNc`34Wn=zNon9tp z+JAT+>FAhPzC4e#|Aps~`j5cz|H<^o_P?4Q{~G%HVE*f_Bpdx-r-J66T}ifoV|wiP zV|v_2Ibz##XBl6*bZ6wCQi|L)EK%UJ#q(<=s;0v>LA1zX9n$ z(B}IMVkI}xXs$kOZ<(DV(6tI;+|$us0L2PF=3&lmlJ)q!FHdD)1Iq!b)n+c3G!{`f zhsd#Oovbjd1LFc#*E+Srb;ALG^AGxLy?joQb_-KvN=te=e{xmi)H>3GZ&f9DEn!bsQaP7u7YwYanbwQsBG`OnVRlbT`CZ zH|%(DiXK{fKu`^&b{|MX3ZA#&uiQMl#cvxUxax0;I)Zl>IJOpzv|^8HhsLxoIr3S%cj`SD;mOoN=xR ztS^za+Phn}c%Z7^ul312p@)t<1(wMc6I-wkdd#1Y#M{N&De2IweDR(q!a|OT=*M%t zGIrQoQk-!DJXT=s01WwvB?CvMfm8aFpMW%oa0%6D<9m!8AJBHlJ^;4%M4nyz2{g&p ze;f=@noar10u~$@PuL<*1+49GKM?{3+p=53N62W&aL$+p?FiO`TKj6`We8^-4LW89 z!PT8Edq2?Zxa@L-y$dn{Do>|b2lDjXUa@%wA;J#mxdJ`x>R{9*oq1Fd<6ko(cLUF6 z{IW&Sgv+V{Xx%p3J;+%`)*h(drQ31X33+lT#B(^3T;gYG2eye{=S&^ASwZ6*x7mfe zRe6SJ4O3}}GliR@4xYi7D%gT<{iKh-Wp4rU23pf&xtno=?bg}hdJ2{1f}|NxdV=Q; zBE9xNH3m`x(dhM_(El0p9`O3a$eqyq)&CRz0Q3>Ch1v^L-{I41zN%srWT%*lunGHQ zpktbeZQ;JI=vN5iA>9;xi@00iBOUhZnHF!iF7T)%k>-Z=Dcs_A#e(f^yW;i~R0lI1 zlB60KVFj8R8mqy%?7nwp*$kQ5(@9PGrN&-iaDS%n%&<1}aBJ@kdx-!UsGldCN~lRe zg=UQ!am*sruH`AYPGXG~6UHNsYnA~xT2TTi;{~sSt%LCC(VK2d0!kE2td2xFd;uDJ zchED?pYR$+8WZdMhWpU#@VoXC(x+gq1I{M|ZW})9%Hj!OZaM_u#b{S|wzA9>LOW_H z0r|4;^;&N|itFppBdT`*-Y7exFSkRU;NGA=gND#e_)zuPs7BLQ^qbPXS?b%CL7U<_ zB;D(BaCB{I3x4KQc;eIS796Sc4BgnZ2iP2f1v>V~tXCmbAy<8mwn2G-^g;ZfcvAqD zL+Q}3VpaW#VuSP;PLuB9EDk`f)5_l}r%q`V=WfXNFk*BAb5nWqeq-~yi`+~+x=zh4 z+*;0;?=4MX0Mrw}`RcX?VQELwQ5TGvA2XuYCXks~&DFQkB*?Ojk30#A4(N8P2M0S% z;^?=_?#2}`K_-xe9kgap7lBxpuY_0|XrFLr$k2)_Bj5-jE2Q+z`|pHJBI~H`9aaF6 zdaquceze0XgI{O-oMf70YJq6mKOPdN2@)Yi+qJ|1j!-`&$O3$>jo!f32KM<$)qYbT zZ)-ZkVw1k19><2eS&}L465;l{cY-qiZscSnqI6sfTM3#S`ts>hIMb zvPa*9?bB^dg%9JNCZ%UU7r@2e>I2w`kMn}?0i)Hc^_CI__w?|@_`vu(++oM*#uQP~ z!0U;ro$uo=rVs`4d7TH`=nA+CF!~yGu}^x3)1>?M#G5>$2nsm|I3+ZyXtByHTi@} zoxFvJbmKrGjji9{a3D9-UeB(skLeWk$tERfK{wKnqi`bl>&Pd6J|Xc1LtL>DvJ{Yw`UcL0Z1z=Pa3p&dgbNxLPs*6&y zvf_K^)t^diN>G&opncL6QRU3#18-~+F8Myt=_m))7##_IySWMj^!DWz`aPNEd*F20 zDhxJ!KfTojq@0Xd*I5m1p(_p!HIV}{?dVkIiTo%WGEx;$5++|fW36T6rItd?P-92GVQ&aDUnhF6qmy*`vE zbS0gHl0*pm>JiJqP(LS=$SErT(L?43jrS%4%>v?=X_eNB1{5M|5a+NNM?GX049rz;H z!MMgkH-nl%-5~w1U&v+W>kO1wQJ`(L$+4tq=3V%MVpbEd^Mgb4$zdaPGnlZSHivh^ zqiPB6h(nQTBrj&_C*rj;Gdayu&uXtGCG{@0?A5VT<|dQJM>QXomYmO}(b3mzt54C% z(QaH}OlbS2=F%cGMgdAV5*F&x5?!P-E*i#`Z|6~tVFRq`j2S&GS!}Lj4O#4)^aj!b zG#%9q8ph0ya!qOr^i_5yKcblK%)hm+j7{EQQcsxjQO7Ge(hXpbo-F_@rWW|G=rtWa z;-`9z9&-mib5X67q~5(M@gaNEJd24*ClILxb323J zKb|sAnhDm8#g7iHUNz%y6U4629G4*ig=s+yuR1oHmwFM;^FeWK_$!ZW_02hZ>n>A@>7?w`sW|uRgyFe6 zOdfjD_u6cId}w~0AEB!Ipls|)+tCc%jJ&MZYX@nY8DU!A<(CXA6~bP}JS_b>nQv`q zy<^|9yxiCf>Dbup>_*D#SnO!(*kox30}AQDd*;5ud(6Jdx-w~5{}D5GDvlJ)=5(li z2vD+p)+6EAoU!TgqkzWvA$;Cr$9loara0lQ)_HjF=MO%`S5>T*W%rG^nO1G-VQ51o zV|zHtb(~=3iCBD%*~-?LjSvMs!s2zT&~nlYQQecU;--&1k=&d4xZ=@p1t}D38Mg9w zDGp*~rurR-wry#oOx~+X|}2ebaqC!=YZ-(vn5|{HQa*^Ein< znt#YkIMkNRRL^`8Y)Lj`M(>=3YUFKXD<* zO1QKec2tI&n{CNHZRZgGVUxjY1h;FNqp;n)J$LR7Jv#txJLL^TcBGpS289KiL2 zwXrBhP>}t7NX$*lrQ|N5RGP_aoiQnpnb^#PsG7OnHiZSH@!mjQkX-DS#5%E9(IAOU z_LPcz=I=tz8%d@3+t42J;@8r|qD;T%h?!ICOwXD72rN%O{S=3lg}K3~Ut}B~UUqw} zhdSQx2b5nIuy8$DZFSi>J&m$i&}7e1c#c$Q((1i{s6;-UpJ8!()^w1vzxmzzct~tz z^xtEJo@Vq{!V4FxxH)OKVfyLepj0``lUI?S1XZz}ARZ#@8@`C;XbDJ>$wJLCo@+MF zYNa_>yXSZqtjay|aN!wcin$JeB#(kFUE4#YB8sdU-ylk8BJHklPjmT+a~Xu~ z{&lseV=~l_bx>=2S_+QRwVDMXG)P#_7i|SxE203x_mb#X#%OF7Puvx$nKF{PTm{k$ z$&Zw32iT}^6HN)mlZk}cxk-KRehKj(B@NjS{V!P4F=&$NcSk`AB8{-0yYR0yRJcDW zGTDx5T`r>Vx=zb{_6U0zPxFPQKD=jk5sGjZ@jgHBv@dx+Ty@^I1$1UO3@mmHcD!e= zp~-x`y=wEr5R}Ukg$K#^2qF>(;!s8>M!81cJ1a-U_Fp3}?K9*3<1Oz?M$E+ZYzvvS zt*@x%)wxpO_tp28Mp5@Ghk1$$I%5d6XYGu1jlFOWs1-*LQ<(ynBQvhx?RVd7pplLs zLxG?j?0(@01z%JJ-`l1Fg4e1%!dg19!DE*jlo>?rNr?1*XLG;e)S@1@T$o!bWDr%E zJ1S zznj42aTmV)CGuz$?&H|ksMGG-T(_>p!`=QCURKGmMw4?Lh%oUXY`5+7KiGT6AVHdN z-*ejTp0;hB#^n;o;TEte1Y2#NqmOEBDZV+uAwl6gB zFK@qQT4Wn!pINUD&~Sw$sge$DMQybl498JEdi!!$p*?*%>>Au)j-oK+ zO5$pOIhAlaCF8w)e15N~B8#Mv&NLBcNx(2xuy}^NqXUU>9T!{Dw8j^LeSW}s2sf>| z!f(ive$ci0%`lD;UQA4*r?slA=InV1!R&h4(a=wfs2yQ*xvRWE}5Ao&DR*$cU{n|lj=s#~OLqx~GW z(f7C_JhK4)se}C14TImTgX^mJLsX!&c#(IFk#jzI^UR0+!zi10|0du^q~@@sx5*X_ zD;bc0(hMWnlc1bGh_3QTJHtJlx!yYBpzX2QBjv6-%}A?;%T*vycM$yEX{Zx2#-|_$=+5@5EO(L(_XfbaY8Nvh0 zCM$IJo>$5#+}Hk!ieI#z&SyatT{v^D6TKsZ)~ooBp-J*kSH~TByxvDfj8L9B&=|}x znX)|Bx6n=60e#?D>CuVph0^!x-%d}VsMELpIBB|WctlQ+;%Tv|-fIhfugT{h!aN;aK z5u-9>?!5;AEeTO#jxr@(u;X;I6GOasGaURTd4c$nPTrjtGseA~{Uc2G8gZPxnw0e& zN6(y^$kafJ`zqD32vg*TxkG_k%^{+6om0d82pBLSA+03eTDd>%M{S{fl(m)Y%L)og zvde^tky05SeAtM?E#=K~f})$WbIW6_{a`gIvFny2Ew6sZw;6_W_kymG#^b6}yNr6A zC*=_L;n*Jv<{DLNIgG9kUf}kbjLN^!7ZdryXi33S*B03RdFMJ;)voW*~7gNVHi7sf}L|@su{}cU? z(UO{5;Nl##y82X0ri-`F{JJ`4<$IB$vWCENSi6%9x@p+=z@jP5T1`#wF4)MS?}_Ui z>+X2cDPa$RWTDxN5!!*T84VHkGBr&H7ONaDqgjPof%*sz;R}c8n5(TuP)@QD8<5j? zc;p={SiOdQk3eujRsud+VgV>$!o(wpchCDFaLg*;{>$UtF#e^Xp&>g>qptdOk9_Ej zoRlO`ffCxTl5xRoWBPX}aBrzxS00&KKm+|+eUNqW06yA%<{zCu zbmB-DPt-Q6~Cm&3EoDabfSlPVLdO0qzl7fZ+ z6TIV9Z=4@~TVe+t2m2n{-UJ&*gtA7?w#W<>+dJbaQn(0ET^XL+Bx8qD;Ibe1k| z@&l!&b7nxvloW9+GB!wB6Vu!W{C+=tvww^~fwBaKUJY~_Zk|24^}Vd$dlQbJDirZ8 z&}}04U`sArMRPZtU-OD4Q)wdmaLn=;d*07l1Y3W)&t=qrunxF{dNFge@;o>_hTQq! z`sg8#x`zRNRR0QA5IhPh zQ?tN62sbULtmm~&2=wTdJ>}uj-Ii8{#8;U%W-t2;IqxVng_fbrmwHXYh>la(T>&4XS(d{-Nn2+6Y8;`8Wa$N+ddk_$|i3158A(>N)A|2;9!{ey1)CvD>{h z+zkImw!j=IllPd5poOkx$LH%InFUQL(3dKc-R!14kIG-c(j5iP=P}nCcet$uPtU5f z>>9}|O3JVl8IEobeqdi%S2`bXf{&yl3O=$)6b3=wI|@*(&62iZGAB8n3F2TY06V{j z+YXYKc~W`Dagtolrvz$P&^=5D&QLb0QZj>t`}6tyka0yGVqU93tXB)kD3Sr7{KR z(*?_${$r0d$m#&6V!{VK+&;K>ifkwA$rS#EU3NyAAHf)9? zPyRxX$S~lwUktrpzU^_?$I=Hb8gxMCktqeUJ0}@{DY*;Ndq*l0QK_G;bV^M)G$U;p$YW_M~oAj2NJHRpVc`UZJK}^oY#?-Z*Ge9;^11bD8N@!03CJ_1KIB1Q`h2;VgVX6BN?@iy z%fE3{@=cbD+a!O3FDoo*qXH$L&T95)LL`fcXqEnwWKw961}Q4za@fV)Xy%V z3*BZ;AiJ@dbX!*P>!j3xT69BTIqtU1(9^ASi0UBG&}ip9#E`3BLR8Mr?G;1>PR3!r zda^e5S^WLDnl{_#g55=25AU<|SBal)<}F26M?bN7P>0aO-9YwsMmR0 zH+FiHCi8i1^p~slL&S{1;L_(#(wno#hHuz1Eai2$_@a=S|?+!VS;mT#_TzL6!h6bQ_qrrjcQ@xs_1d1}hhy z3%6MZ*aU?sVya*@WF2WtDxBAu%aPRA-QORIfJ)KSF;+@szHIriPJ zl^2RHk_R3yoCkmEaciT3J>{RMaacGV?T(vND#?AhF)Ekj&h&Inz4;RJu>Xk8iv`4y za_%NlS5X8B93V%~RcGN~(dB9PaDed3BTKXAU?~a}21`#%aw+s%E2^k#Pr$x2bk_o* zm4IfS&Uvmk8RsN<5K^b6&!-sB^gPJBPE*}|gIj5dDAv}ZFmFkHAN>;MP5a~>;ciIj z$x9MuErDEO(?q1%F;4|C6jyAH1?bk~jw~~cjW9Vft&SZVy@OI5ODi$~9Th!5NOW`) z6wuj!n#H#?q&+u|X;{-cS0_=58#YpnBoVLJr&VN57E}`Zvr!|;n9qvM$T5*&)7Mg2 z9_wgJtbA`N2tq$zfEUKev@ToFM&h=}Da^Eli%t@xfG9E+;FpCevohgy*#d)GYu#hReI0gWQuhwX!!EF`6udo-+~ zbfCqVQy1|2^?~flnN3{j&7Jcht@;hu%~LxtjN^jibzoo6gfA2o81U7sFtt%ea?t&p_KQJ*_M5PV1FpytkQa&3bOtYLJaJ}h zlp?#R-%EZB$TWNWa6YU>U7@_ttgC8d^njyWyzo77;)mXh)q4Vyc9W&wV?^g%q2e~o z`#8KC&{gkBGuod0OWvPNSmmMyXMZMxC=v?609|SYL>D4UTCTvSbIp zP#U|Tx=T<5;6K#6GD}Y=@g$hbDUInZ(sq3#MM1C#g zhsYK0_n;mg@W;OiINE4MsgJWX$UuQm^Rbwq$@f8T{v=w>GD=gN7K=q-mNY@aUHSyp ztgAn*@L?8~93Mhtv_SkJfh<%3Zt;|d8meyAURi-$qA)r(&O3qKH0(qro$P_#taXs= zMH#XPGzDX2^H3RI6Z%96c6>@S9)^5Kxe>BB=gdKAw{0(b%b`9KRmVwB(d45HN_D=; zfL5fM-TSn|mCJi<;mY75&my^lwdvz9@XYMZ?#KFe5ERdR`mI`)Q^0}nXVwQ)8&hVy zyQFg$H{30@@MUb2hFfFBN{5g(MMa<2b>5!v(pr=(77o}`&D()TX79@_H-kY0X9gL4 zcsJIuqdAROelb=dcM^`LW)pZ2g907BgZNQP;R8Y$LK=FZVF8Y{^dO2`Dlp(UGVAcQ;qgL|Mx+U_kW~G(^sQY$~p2QiZ^84*wHqF>*eUjTRI|VVHKk# z!aiz8SOq

      P4?s=#6`kV|(IR$0@LEN+xu8AiOR$U*{=rtam2|`$;tsukby5l)qY| zs*Zx$K4xgQs}kC*t{~nuc1ZLp>=ots97l`*U9N+lc|QGYV{qXM06WuMY~3eD{m5n; zj7A7RJjY2}iJQvHc%I}Bs$bQwQ}%fh+|Z)IaV2{3Uvlh+z39leNV$tUeQh9m<419x z9G>&=xbiG(EMd41B6bvO>jyhX?r3&!4sgbd`OU#Kt<=BQ=oPU`C9~bSk#s$?-I!F7 zC$oISTY04|m-r_y0HFnA%>0Ob3Mn%Bbr1mA(O@lbhQigpRFEujm{0hT5Hf$}D%?-x z>Ev;Vz*&6P$gsr--B&n3DRL%xBrUWyGLNNk9?&b zVUu%wcU^;L04J;~u&mS1bTC!Imlw=A4#7Vyk#9c!Wzvk-clN%1g4ROHPRnR;9Yuwn zIwZM|mBoO|o~jddZ;j>Y0V|VMYhYVWuEsX&u^BU>cy%^xu|K!D{wUCXH{rS<;ld{C zJ?C_=yTfV6xnTk%98eYDS)E&fYMG$tI+{ybBWrV?AWJ&ntVxz_Q}~W`kcSBr?$sEyJGH!GlX5c_OcG!*3hpnoKm;Y3dpkGsv29T z*JVb+xK?0_FPuP9g;3X_TA`##ot#4Kw~7Vao61vwWn!Z-rtI=h%QERYyYwC>n~wZ} zn)x1VOZN~L`|l{%;Rx?v9rFTH?C#^a_e_^yoNN9DBjbJ|VX|L*x6H=-FUUn*#Yp3h zH(hie9s>?Uzzx~U8^$Dt`^|mq`z2o9gp6=?fm`jN=neSdEsLR$Nf0kLJF?MsKF32H z1rjztzj#=lheq%Hvr_xzgJX$2h^xxkBkm|vXu??5=z=d(pd6~z&8o+1)hVBac;q#J zQSV@vfiYjS;*5Uc59cUVbC_5z7FlZMgs0Xo|>mhV_6yIv(s$>vIClqU7>;P_> zwjgQT5Nr}q#T>4EZ`n6$8gz_0L#>CLQKfHNw@=w;KL8*M4SCWf4^uVs!HXuKv%`ij z}5IyR59af zI5^idKq|oUh&DA1ryr!WtMX8V+rx#QC-EFukWaa#9YR<#*m?@%qUj&&<1dc8hL{B; zRWDd_uk}1uf1Lk(i(65{)#%A$z^jdYBpfXf1h zM04JmSkZ4^^sNX1SYnp$oLSOY=6&ti_?_z$8MX@-dl~5|kGm5WYR?9KsNh+r=6Jq` zZ9tQ7r~v71FI-0!iOR-VIYZ7bYz7KWc1_WEn^b$%{Rocr%XO4NgU_~gu$9FVWid1B=p7jSCQ_H*kGCA-@o`QB?oGgeS#TH-+Q5Bs4)^mzZk)T5mM>vXCZ7JmGo{bApH;pRpCK?}kU7+bX;w}oIP$IKgeO}@o6E(cv;$~LA zIDAXt`rXWD$#zJFcoJ90$+|*B zfL?T6>DqGJW#9qY^!dCpD6T)qv5AvvgW`#?Tk;5rIrrrtjsA@a6Jmoq)y1&;@QkB= zY0YGOz~L!uD=Ap+)-sS7H=ZaktlPA7o)FIqB({j?8JBXXUW-raXA!Nju;j=F`~%TR zv@IuYgx%xr2kiT!a-MDP$Z4-zZj7-#X|=(TI#c$v@|)M&5iIK`4IB*KyuvxoHD7Q! zJ4-}^k<}k7h5$F7A9*39Enc0b>to((D%0c|9zGcKVu+C@^;TYN57*=ECkU*A5aL)l zA}1s#IxSFrB6WrDkTgl^0*7IB&dTnzGqSHi zB}vE(Wbg1~4bTcDQ&s#$z5?r6t2(anVsNU{M7afd8uk6^1|X&BBw_t5VfQkjyY`*l zN%i=nn1^Ab;3|Hd^ejp0g18fdgLtJV7BB+MSm&J&9X@f>eiG6pXu zCnT}Q91xU-LJ(L)mYDzV97+RwfFmvQ6Q?;Ao24n%Ap-BytC2TIOf*P@7A2r5N@TFS zPGeONl`y_O8@@*F?JbMF%3GQgmM1j7o2$A8j9jY9sA}_Qxt%x~Wy{lRTPB+*r996? zC>Z>pJAR45@BQs^~IHtg+j)g${`>V$$ua1B)%`1 zcTXfCNnnHE7B?I#^hi{TUeZSAlp=OZ7rU=VFw!R!7aU3ihbWFi62~P)~d-$eld&@r0!}`A8*zhk4c27l)udOc#V7sz=UGzz>{%IcFzP%LST% zsp!`j1aox5wSyi+kGbCiFd*&KVsGxxmwfqx#(Xh((b&@{f`$#D16V(9;P-K~y}~xL zjfX_!dLLU>>;ud@vW-IsI}d~jiM+dbGR16(XAi$AaBb4&ux&`c72qRyCHM?UISD$1 z9tX5&{5z@v=H*Z>lc`VrNpJTP za^(ltotlXA zqi&QoiOZ+frDZbbR5GXNaHg=miPGhGGUwg?B!In1V@8oHlCm9z^FSF{SOGYsBA8^39ox#DfAfDS9o^GSa?O*cfCHE0_$|Y8bTtXN z$ED5{lcVUF`{1$ai0k0AkFx!#SJCPYBf?kg$*xsr?`iK+T_;6-WrWX+Neu2e;<-f}R3I_fSsQ+(u!2gx1i1B|?75(o^i5OVf zz9DtYc+AW!|0FgxcD%n59QyCTenv*d|483u%-;aKf7*VR{ms7<^**c&40wOjKhN~^c&y)p{C)o0`5&6zw^M%yV*7UBpSIr){B8Sh zyZ`FFI-4|&gji-NwXk?jA$p!`2+iT+2_;(vcF{=3_M zn32)5`X*xjqb2$-_(wp*_$>nZ%ccAm70JT{=OvfY{vEj|>GzAnRhyZSW4Zm|dfn_9M2#Roc5N!Sd5Hwb~ z*dG8u41|4j95m3A$0g0t{%4%>+QE97VoxsCPJnE8om)PiT~|*|F3Op$%34lW>{D)& z4nIY}OG}XH#j-hUM*W^1t1>_ds|W+SvRfVPOeJTslL&;O2>X*K)aV>G&&JXk35c=+ z?=X$EyDULDPgaS+)wg+B-HfHP87}zFbgV^8Hy~X_9;nc&l}oC6+`ux9Cexapi_m?> zJ257=0*_d=d3{b3%%bqGD>+BEUmfm>*6V-Q{o&ksM`VNBpflRM?~-EdX<%U!J^{Hy zrAG0l=JB1*ynly-LXpv-<8XSXWb*;SXNNHWo_WCA|NZbg(5w39`0E)zL=S!lDvyY= zY{YV=oTaVr#NMNw#|MuxM9!Hk194;`{4n3IH&4w@JbSjb^8h(fd4ais3>NNqiPvuB z!08oP6&8)n^jcXJ4xPi&^&=z}CSN3)-(aTs>kjzy>$Ccp&|nJVvxOK27fC`fS`RHB zmT#Nh+%7~E5Fs|eu;2%S)c0YRVuC7$g3i}}5L;p}-C|H*VsJs^P#`gEz8SPgBJ5;- z_VPGkd7fyLe1Rq419J2f{9bb86oOvz>}7n3C6LPb7)nr2{T{~4a1Wvoj_9pe3;5Vt zzLi2i(|ki-zpi55ZopC#1WJp( z?CqPAoF=-b_rNT7WoreX?yfi)yx%zSn2XLQoyI?WI^J?h8_t(Jd+toyCLg<;(2~!uTWW zR;`uW3+oaxhbS$uF|V%~Qb)LVy};4y6XrE!ze1d<x8E9iKq{{--8cN;qA|tgqG-y zflu)iz(1WR6M`_M5-}0hBPlpsRQ+NUHVl4 zS7^@g9+*u@ZSh|W3n?5~uLh^tC%5++-D{Bb7{*=b+>n%<0Wx`t*B@wJVeI9)jGlsQ z5kouaJ04J-P+tgF{cW~j?FTUL@ZMPcG}(&L^f3mnFXo^W(NdS2(^EHK_QM~${?&6(?r>-3k{M)6y4S_}U zs3PLY^(*z`#vP66>mpWq>lv#UaT&51j#BQfrRx~dCl0ISSq8NSWQ>!mqic1lb!_9C zmzt+sQ?rMv4me-FP|5VvtxM!2+B5jropjW&rNr3j>09^;8)BQ-F@G6?)u9 zaEEb!OvTSI6=nTU7?EkuD(}Z8N^T3il6~ESKZeEx(diOujCCmBRg4bap*Mv+Nq57z z(#;MM^!uPb)sOC8{rn-@8LE0iz5h#F_>GRBTk+XN3LVoxTkwh=*T8qfchkh^d{Aqj zya+(nx8-evll<0P`FY4f~{X2KO2sAnXS()Bvn6;q8 zNLvZitt)pcuB?GcUYk6Kwr?k&tbhuVJ?ph_J7SlBah+9v6z5Mpm!8vIHdMw8ppB^b zah1gBCQR>8%4aJdMql~~s z2K)*9(*-YP4xL#rT3$~P+;LI?ffQ-bO|9X2rnj@V=5Wketf4;MWw0+ZZfIRjQ#l`? zT=c0E_(J1$r*p(gKKi@GN)TnS7Qi31fC!~?A8Gn3SV02Pf9513Y!|1SN#a2Z9l9KMlk(1&-)|M7@Gl%}h2(K+1B zf3Z2t$KmfxVl$m!b^()*0S0p)+$T*uaN*iLKkRftW^dF+Y`pFVidJQI1Xd36=!tFfU1s=)?#!S!T;ZiAJ%hjF`$$i~)TP1p4S)ONR;9^VyHeQtg^YN?x2(2>|t zIrTw08aj_~!a?9dVSjYEypet4Cx*^1PK*w!_Nk*exI$k3PX64+n#fpNk4)ZKCL>+l zDxii)?Z&M?!R@?V3JUG2b;ek2OO_|}N^^yS_fSjFW6hZweIh#7R7H$o&;We(!9_v3 zB$4oHiqsp@Rl-(^7CS7@OnT3%Z%iS>=gww)4(W^0QFC8S4sIGm^N(=A;31A57e|DO z?jp}z7q}s5NTjGapi3SXk80%|B_RSrXg}x!*|n`RR1=YCFNk7?0jYt(Y;nJltTJY& zs*sm(!Dhd&&gW;GY-cw)%IF<%1eRW&@H?0m=Vj7P67xG$Gxm-X7dI(UKR2-eh+NPR z7FTFQXy2*Q#&}Fsx}48neOkD<9lVxPhO6ZfF$Mis(>y#mEzhSW8I~+)HP32RFMu%6 zc~5FWvgs=U$w;-DQ?r=LE-Z20U4iE3I6&a#%p$g@x1B3qPRBdNVS~T=c%6!-rhU+Z z!+p+DjXk=Sr>5S%&fiVu6xYyF=n{JL3`iRrMtIvm<;L1=#ijs$Mh~(C2?DLounmuM zJv$XeFV0qsY*qS?flg!o@JY?hn#J{w}W zBus%e?W{Gkq0zM9LJ|;T6@kDm^uH$(lAWf54qq1)+WU@7!p>FMqp*NRJ5uDNXBh0? zq>m_ajvG%|G|5cz8Dz+`OVOFaofvXoJ{j)s1JaPF? zBiBJ_6ZDY2b5U9Eh!WbH^Z}^^&$&ll z#7gvr$z(z2==lj(<_YaG$))u1d99s}mlnT@6-ulcdAL+HmyHk4b?wJN_mesoi40Lq z1duqEjj$XdGZDGpVX`v+T?&=oA(whd!iJ9jCb-TN_s!JXtfwBRA6 z{R$jK7Mq8$+O6zhA~1otk-ZUzbZqOU74cgvXn!XBsZp0*fA@+NONNo!fOnkewMfI_ zKD`ARRw%Bin6cO+p7=K&#VqxvLD96P)KE8ZwazKp4X`PYBkbxy!JnftwFW+>OH{H_ zoXHVRnTs+yY*~e$qvsx6DJvEm+Y%P-NRMaDJT-}{H%?w$_qs31aLsI~mUe4|%E*tl z%FEh4(-pnwdcBi$h&93J9?Ljc?0QRMf{I(avk<>Xwm%Usv#Z}4^p+Fdy?T>wN|Q;b zdOm^*y^jWDZ3Z1!_cluD9oI5e+u50Mcr!6%Pp>t*ry@^OU#x!OgSgA`j)FCi9p92% zk>I8hzrKIU)G9Z*w!=mbo!xL!YwK*KT!60x5&blySSg~6m^w3}W23M79c9wzid$=? zlZC)0(3po7W1>^*XtG%>BbAfd(r={w$1Y+as1z>*$ zZSp{U2d!2VJ%}9GqsCGO{xC5}EMG0*(8B;P$zoqOX=$0*QyZ>}Y=msMcT0C3cK2qO zUkiRdt~N191M8foKPCbT;7qo7*V8d*o>8x=qMG2Zu}8=*pV7yOT^V+JS~g1NPf7Qw zQ@QbcoYV+3Ri#yjIt0cf-ENO(DK*(~^ySCvaqrz+4NG&M@9&>RQj3WDvh6~P<9$H< z-ZlWY(O`PB*oOMi9Fpw)OxpQ2^K=rJd~-7ZXQd`ZiwDYF#JhWoFS9beq_Q`5zeVdp z<4EoJeTGWAW5wCXEL?0e@fkg8Oa6VMx&5#0N4gW+9pk42Iy-i!C9HlfY|klS6c7}n zSmMq=?^@uEa{{Z&wRgkhJ9g9->SYuHt6M98TaDvFPy1e{aaq_mZTpy&gpU(~4vJM=FT+Ww zieG_&2v<->`Nd5%>B*2Z=u9tV_=*JZn%Nw#R}pPC*bN>}^QCa*uC^a<1}K|e2Nzuz znjb@N3LcoKs90Jxk7<$qsm%Vy3oz-P7u^|p!W+uZJrL=^OxT&QX7uo;Ok3NJC6_{2 z{w+4(`YOTKBvRK{S+z_L;6zZ9)pkK)OHcIR%a74M1)aXdA@wEW!RMME2Ei&~5PP`A(K z={{ZWa8F5b)H|rYYQgs<&FA6YxmkSfw4Y>cm>R6yc2rBxm5xoWvMc|96z~zomFpSC zA4Bh3K?fOttqy8hfD+H`@(B;_aANaXS>CztY~- zi^eoC@Ir1uqTZ`voM^+0WH_jQ-Y$reEM`kZ_GWK)VX@a2TJ2_gpL$=V&%2Pr8<#RF zj%4d4;nb_(mgx#V8gz}1#+f&os`#qX-AsE+^HCADT9E*>GOzZa!(iRpz^dp9QQc*H z$C%mc4ux|m?UUwoTP{kkBZ@VxQpPT|=?WHAFJ@nWu9LLJLy!TmxL|qz6xb7k^BR+z z)!wu3LvY;zM7wjt$F(hcT5)c`-s)pBt~{wTe=)kVIc2psy_g+1__MO%vV?+z#^&T; zbh9CRSoW|dQrTs3+#KoEK7E@PSQ36QgahncwNN($gYd#{XuS=r-3p-c0u*dhLP*HJ z?y5Z6Pd8xru_B}@z?mtQGg~KDIQd9DRwn>ZtD=^Btp*{}upKG87g?G_<9Q|HzMk3& zuzBZrO6ZBn;cLjW`+FR32mSkaPMiTLzn@flz;O^jq#;$vuaQFWGoJO3R$u`(s?O8E zyy;vX8fOe;M#=mi8bNPwNy17&Wdk?P1!VAlJnYW8Au< z2U=YK)VpKcHQ}lv@OJl5x?!}Pd$b&lisM(0O6ohIJl6qrOCdR{@B*9fx&clL`>KC| z)tSg;UE({|hK?gW;W=|^ZcSbePshYMrHW(o*zE#;&`S95@BYREjbsluywrh}1+ADaG^&d~hs ztzd3rDa7bl-|}{p6J~}*N=)R0bW02zx*%2}I<2K0wvWmg*#|XyDvTAJpYC7^C8AU~ z0kAnOm53U^UO8$Bx&HToG+>2)mvS19dwyMgzzKTUg;Yll>WJPJY z?hlwaU*lJy{JsYrDSyXE(?qpoS*ALXy+2d)##XN?Z)(>+B;vn`;eXtj%-sa|ta%il z6yKcrZ0XfBD*JFh(zL1bL2rrGDWhsC-^2acXj0UlmUWJ z94N4je62Nvf_VcvA74oLv1ohD}(1gvjy-f+pXo}k&1k3b}| z+9Khj0z(Pd7nk*E;zII?y3>9#opV#ec`8?nQssQrX)G*P{FcP{#%M}rGh3o7S)$Op z?alY-hOqRli`(C1hka{YTe9Ys9{8FxBs1di7(b}&vgMqjHkOO5cB)oQfJ+oDw2z{v zYIN}2sFfrDrY7~XUss&2bFo5%4@iV>LWHkptTj344=ag?rzb_R|KyQ7xBTGF&tivw zJBnaCz$*UKdDBo|9rpmZ47rc!(^E2b`3g8AMwBQuH|-KXs&YJDC(WC~h^2ujkGK7h@~qtj>BOo) zfsC6GlupL|GxtHXh-=rdM;FTj0&0C>B(^yCpGf7*P&3W2H*jf-w~0};OI9uWU2|#F z%L|^kvya0jWClU79V2)=aJkZo!t!G5tTl|FX+LFc@?DFr@@*Kki&USEUhimaX>V!4 zX~V041Mtn`ITpE8GmW*ae_40+Irl%NUNL^5XTRp%wr4&!{7xzaVg6h?VHEpu9d4U| zr_q^H9?JD)-hBiP?5W=kW{Lj_7(rg*6gTt~U9WFJ`iPZ7$rr>)l~Qs`@RYu773TB~ zs2(XG*4NN#&MhZO68~a|?3f)aPE&Fn>A|R5Jjx+*61bQNe0;WVW?{2(f-H+(B;0{6 z7aqsfQe8?ug&wuY*Y7d#lXxfM7zDo?s@%3SsQC_O?e$_3%%<}}&vW?m19ywd8vE9nXJlKFWV0BvicoCBOkJ&06Op#cr9-x&mZX#<_QkYS zESKkOx)IF~dfWUG5=Kg0SK1=I89e}6T{sFM63vC&GMPn(6t1Xt!=x;@x_#X=lC`{% z+!uU=TREa&jX+^}|MWaG;RZ5a^lYyz?NDoq8^_&MuT9n)Jx!><{gO&e!#}$+o>!aN zy$pF`>}Qr#sXG;yb4VF-q-I=f;pVk_mPR*%wp{8v}{TLPGIhPWfipvVns)Veo-NSJX1NJ?HB+2kgF zwJN}y*h}m}rza-nM4S5Qc5}B1E+jUuuKrYgFx8xZ=&m8MuC`J{n@6s!R$(Jl`93c$ z>2hs^u*>zNFiHtI>mAtP`hA*IffKKk)ny7~duA2)Fbg;eJ9{KU5WMeI%8{Qi@~2nBzIz4JG=(>@K@48Qp3P! z!bj8T+Uc9Oe67d0Fpmhu-e^K!w*j^Sve|0)_ zE8>&XsT)-IR>28Sc~S83eR+Jt%PNIc{-AlxH}*=L3(buQ?&h8()I@RE8CVq`Y7;uA zY{P`-nwMDeaVq{mzm=#oF93@kC9S>}wBFV|bD4CIA1RjK6ZB{YMeY}`B<3(Or>QpR zEeJa{AerxRvkp6M`{!cR1}2Fm*|HNW`@+Zj@Y)r7*ua2G=7$%n^}^~U*XLNpo5*6z zun%v#1_!y*Wi;(p-4$Zl>M6dx%yv7KQh?}VwRR(j$=*mx&!=AwEO!-zL}K(6neoPGyWzK!ebV;DJwEIm*{nln?;BVmyTE|XS1PrrZ{4U4%eIREM` z6!7u6=&cMXAcz9ehe`B%%L;2juv-)}5xm@`IXp={WRtAoFrhUis<~khJ585`7Sgt( zHq{pOrf_YCw&Sz)Od=N_D#BSj#5Nk>n&2DgB7f#bp@bKbHyF)e#lXfD_-yTjvAmzZ14AGD=&(d#z{mvC(sBAeX$J9}O2 zZZC7^Xm=U4ueD=cFS_Oqr`bN{XRRP`eU#UgTjyNPpMCpt(wWgb)h2Lkx{%kI;U18w z(|{YE>jCP|@Ue-%$4pU@;KwD(%;5Wep2o$Bgc^rT5zxeaLPZ8F%aTZ8V;$}(F)yM6V<~72QvL-?-}Lzb z`#7|L$x>`tn$5Ab71=dN%Nt?p0tkK&2)IG!Hwr$gTP-O3sf#-ro6|Sk%;RObgExf? zY*my~pin(Svz;>|+)wpW++U2Uqc;>|P&hm|7nPixvBQNPb$e`|L)pD;&NXlDch#MK z)AgN707Ye`>$`yHZ96@Drwf6nF+p+UWdH2g4);~Dfo{fbaEkd-S?n~G+| zdSxZ^#)0?7YurW>P--ph&)XS6G9?K(;#@N6Y+XGuVSYFTJ&d)tZAq?OJ@!+1&Y+C8UOJZqM}bL$rJSJ-id#_B6Y9esb?BpKr|Q3E z)v%~FxX}%+HSP7F%+1VuXH1oiqBG8v0l`JiqaxDKH3m9Sf;ikT!B<0rXKU@C*m{i`HsKz>=-Kk`yK<1>S!RcX! zO8m>VwzOD5Tc(WSj(n{b9w5b1C30FI6lRzWhKhRmLWACDd^E^h^P{)j|ET7AcCa%2 zdl^le?G@er*uR_pc%e~a4~COZ-KFmR;d^x1HmiB6K+BT0``Z3ipti1IOjusOvHBvSP6>5Pp}>D`(RTBi19iG@!ysFM8KWP_?NCfRDjj_Ul3aX z2w_Dymj6&>KwAdOWU%!xWwP0QmLigFugDhj^fsYSvE(zmpAa0d9H5RGjG_ku>4+R) zxK(p$XVKus@Fs!?vq0Tv3iutdGydTM+O6Y{wuM+s88i=I(-y0?ub7RdG&)m5(5G+f zo8>I*Uzy9HX$a`K&~R}{HqJ0439VNp8_5r(?m%=x8|^s68*kDRaBELH$#fdaoN>QO zu;?Z?1$a%knEFJyG0m&AHq>D6wdEp2xCCK<2SsPF!<~8QYX<0d^1l?C+BOlOx(T%e zRCJ++Cy`?M5tHKt<|gU&pmlxo>~1WK2@UK7#!2r?qpQuCtq-o=foQXl{k>;5;f;|V z=nA$LeGs{n4ZL6dNB9gUY@iU#(hjg_WDR$Rk?#p!Jjd z)IZ>+mo0&FRC9--EVj?G>#kw^>SR!}t?n&1^Q&=Jr8X9%Fe0}J&v5{)rdnTS$Z4fMoE#X?jv@1A+3>-#+5}QHcIreJ*hztpP$0l$p8$um&Ke8Z%br;L@lmGcf1k zj|NFAz`rm0)l@O&^LvLV>cj^qxRy78_%sRr5tdhIKSl-1DT_Ctt;m|UeO{5X~!92p;(5m_YNF7dN{ zOSlCRWIuPC!sojr#*<$ZbY)4B-4-k=Dl9~StRVO;I~cSg(G%rWDtvh8M)e9>EO;9& z6g*Eo&x`cwyb){OL2E6xgrj9d)S>nKwKdklIn7(aFx zLCzRFHO}ZVeJ)DI`cKM8XZlHkUL0YZ)OVfD48?$IV3ck^=n0^oc&RlGfxrgRsg{ma zSi|M63!1=8A~;-LQ%xm6Gy-W@UPBq5+FM9;=WB~*%v`f^LhElfq<(=fTU|SJ*x*|q zO}&rjT^k)RvESIu8&bQu!%c^#U;AW5{*l(1dz+e}svn|V-mBkeC1=gZKDElhYc*g|ic<(H3Zr07VJ8fH;2hCdpJMrUWr}fWf zJ8~eO=FogBWadZv3gU)jC@F|*7KB;9J*YDNAS-E6%TN>zL2dVCyQ3iyiz=Os=nYPt zWGMAmvYzrK?0fnYtKm$DK(YlK8p8{*n`a|Ax!^ckZbdok7NWE`5~ssP%Ol%y?6TBv zKkG`p^UxkV;MfPaxc`e4$9}Qvuao9|zV@+C3F-UoPrt!8{OL11Zto|rm2AKFm#J^> zc_H;x+Y!pRj{^5h1VmfFcc1B{p=>-r%%Ib%1uaN~DN8t8Hx#@9DoLh@l03$U0X>6~ zeA!vre+<2U_CoLbUg!n?6?#2(@*?E=mJL{@S2KP=+CpGUS2G@J^S* zWp^>WpK*t=%?vw_7zksRYz-suVk}k!pVc@?5$bliUC`zcz-L~#ygCC==mEoz;vb%w zcuUjbMI)Bqb7D3tb5Rc`%k)L`j^cAbf#IQn(<%f zzLWXz{AZ-SyMfNT-|p@iFo$`N`-dR+^RQj_`5k^IY0k&jigs*ca&uAG<|cV4NQ~qR z(K%quyMty1x+e+aXntNURCHKZeluZ6rZMcPzH}vEyk|tfsJ?#sf3mPOALnO9Lo$|) z2152mr(Sa*mFuoYXywyFXdD=n%g4-M8_gj+veJl`UwkA9ef4_1li8zHgmUgRKyc$AM9Dj7)g< z5Hf++eRgLh%LFBPyZnYsWR4I;gbWS|0+hUO41a_1ovs*FPcy0>ZFoIK<-O zGl;W=U~m&+jN1)QLcf;r&fj{y^G&aJ&goi4=^5VnCHr>MCFDC`Qscf>kaNkVmW7G# zWUouW2X!+)?fmj^&1mbWAA|a0t|CyTAyYWUa0$q5oTw{_Y}4@1*j!$G2VG(4o+N zl4fdx!YYxV8?XZvxMnJFIZ(JP7=oxJ#01D$56D?R$XTj3->q%h%aZ|PjgvF`RV($^ z8Ql#AubHitqGr}AC2GkUU6YV<186=v=mOIf=c!v2 zTU^X>#97@Dr*1xw2c|O~yZC9M55_GElQBdEHAMvuWB3WyEyu{aSm=I`+?qz+=gvS{ z6q0wkpF95m`TXk?n?Vg*1gPQAJYB^En1Mqg?QJ{BQ@TYU%zs=G&;6T>;QviVe4fnI z!VGl7PMF@G0KR|U4X=0rA>INr(a7n&B;!;Oa>HN_(QL9BtPe>826*Tjy?|P(jb7#~ zDkC9GL`g9tQ6jR!)A=eIoudMCJV@_SG??7y9X%`gzIP?(Gb<^DIi4_fkXlENYHz%8 zl(vkqSSDJ?pNX`dEf{&q8+*psv&Nn?_98`Fgj&QXwopiDl`>!K6lG&C^fr^PM{*bGud1kFMhPM>BN#zS5p*#b3fVkUvAL1ChFxm9$-K@aDuff` zrfTy@GK?80=wiL;O0)a`d63y6Y!P=dPYJw@Sj^@!jzG2(Q8k&$I1x-y9c>wnb!bgR zQIZwlL$g_;UhcH+LetV(i7S63-mISh+5V+F2|PH1Q)3joow zK*L%m8UK8UYvx)xpjfhVzm=8@FZ8WvlL-&t%;;Ic_FXtRorDe++@M|Z^+8`t6MfxE zqmhL}-!gQuVf__S(?0iZJ`7BsO_d>Dx!0!j)?d-1y2v<&c35F*i3F;X zAOhWrL|_FPP;Sla59VQ&%E!!R&y|PS4LCw1b(IF|)vQ zQuF)07w8sWk~$E=3QTnewm$qaLk_=uJ_P|=&8~uAwf@vf`?;y0hCk%qLT2Pguj&mx z3wCG@hu`h@v#iEC6u07McexLmUotapx5rOHS-N$keWY9WHFAy81Z|vkvVEd^vS+++ zg8xqUgGBQN8O9b=Bxf`P%>cyOpfjOYO@ML6;A1epHW&kpvj!muG%R6*dVH-}ILi{H zG2}0y4sT#;Zw~;Tmz{7gsc;EN|yhj;!SXT9@2_AmSDop6&CqDQ%wf(^F@x))J{+T+7 zE3tn+R(_HC41D$|RHSY|w+VUBKD~Ilb*_U9(}p>&(XMf@iW&rlL2gf`2eL&)nXX&Z zdbqczD-eAlABLaLWBR{sjsCr}^IlrUj5;<#YcZTd9n;&_8Cn!1zR}PI3HT-)wt{`3 zmnDVw)?a^b(>JMCQtR=}M;=WM?|Xad4(_noHvQnd7gF8b&oX$!s!6vwO%yqe>ASga z069)nfM@BOCr7tMiPux(BuaqIrd^67+Y#Z5xDt0P+Mi3f`$dOy!`(xoNp4)EF*={S znOV+lU^Z}@(N^Yh^bGT7^k>&+=ri|c9$$cqp(3t7$0oUZJzJuGj4GNMkYWL7c*n5#{r&y+e zuu}-rSlD}!OAS4bM#Vmq_(DakMWiSk1$I)5ovM>+Yv1mSjNqkv*F^K#f2>;=fAo>Ze)n?f z$ewmQ=oJe18@fN=Iqw<3`Ki>W*#E(-N!Lt&BpF*5zxkS@c+z{P@U+9fNj>r2fz-!$ zl_np?@qJkSMe3at+?@JDet$2-d2#r*ru4VtvWCp5C_j&S|m{aMk>b+}*a zInwL;5HRx0LjyxYW0XmOd4Yw}P3C2mHS&7P{ia=(PRp0(FDx1;oRHPxuv#rviz?at zBrQVGrQIEC!?Ihj|Ktp8hkFo<`%DW3-?= zT``{wz_VC+TdATE&q*`#_+c(rILiZA;qGs7uv(_ST+@$mu?0<1@ zqB7#t^QSUX*+tA^md(qrV&Z`T%n)IC)}ZWxxr6h^FipawtO*5o*v%2p3P!of?KSdx zjcBit-)lsSP-Je-78JIVybYf_HWL)0$${f>N z^9;vy&oX7XX}M*kwj_5^-dd(jxx>_Exl3D4qQ z@dCUF^SBeY>-G}*O8RP;@lYv>3UMJV+h#KvS4gL3qW>%OmBX5F)J7#6y=3bJxs77k zVr2PPnhV~(Q?D@qovc4iX-@+dJZG@s*-Qb~x|6Zfba$4n2w-fb5(`WaQ7GAR5yilT z_L?B6$e=AhnwuYuR#jG4R{$!3A%O{br^D@H-3CZ0Uq&ZAZ<_r3mGhq-(=e%j>iSW$ zXWsJNeUJUHmOE_Oy{mmkycVBoY+b(gr$=5+{ry4wj&{Rc6Run|aL~+%drGY4vFY=F zGi~i^L6M$L zeoo0CQ||kgg{|SLurq8W_EZ+zmhy9^-MfGMJDu$gP<%NsTL(I&%TY@s+bF&wvMve( z7wG0nw!b)-9V#xhJjs1&5mZF1o#cfMUUEc9uO=rKG&#}egb8KAfYDMWLoOV0HMmH# zYk{lP#kfq-kc{Qt7C<)WQ0}Eexfdz&1v-@3o;ET=hw=qFl#@;>$uH7jEZJ4Bfz~q| zkx_t#!ik|IuCVqfzbcTw4wnmnVr83;O-uds)*n(oE;x48?v-yJR& z;7q6KfNpXhvOpyMd!J;(^dLGQo2Jfn zF6I`iH#;qyQ)LjgiI8fo0T5*iB4!a5l0C0oeqqVhZDu1)8lYDJvLR6f=(NlHmho}gfy!|)2ud0 z6+KSQV$~q8U`ib0(JU585=8=Y^4M%vD-wNfw@`oPaGXacS0OZ5P(&)T~|yIOCwt2D^}c7RM;XV#7% zhh5@$uXX7Tf^C6dTPY@NvIp`vgMd63EV_sQl!1;JM)s>Zr`Kjcu`mgMux9{ax52?) zfg>0Mt$^{PsheN^IM-JzWB1p88W{N!e1N0IW`^^5-#M9Y1;#_66dAe;0yIiE2;6~ex_O)!A&?awYJH_X1FR`zP@38NRr_68L zzF@x;zchbtD+U?)wQg0dP=sA{uZVOHsrLPTYV_b-QIXT3d1Nb3b?2A5nOYi;hzVGU z0Hh)8G{#UKGmuUKnk5NCC1MyTG`H=Rz&80a+^*w(22n&;6OUUg6qO0_ z_@##oDvviA!Zy?2;@TSiDrP<(mx0Y>cDWOFo6CKf2pvL#VZnmlZ3z!#aaHzg!elTyFH@|Z~90XYB7?rsu0liHXa?(0l# zBIn7kQ|p)1HB7*3y6eyXK$McIhF}U)Cgppomx|{c6s3L)+aDJ9#eSQc0{aWy3I}6X^XDJ`?ECmrS3KGvtJR`{})Nv^!D-Ky! zc!rl4DwSPylnD_Gg$whl!efv^Sm`8QU6N(M71U32C-LZ#Dvj3VR+#{D4(KLDQA3Cs zJ(6rR5OhG7fK45}os+H@@<{EGM4$GEB;q+}GWVd$&;V2kfrQK)KBr130d~Tgp}=*0 zVlfe_8b?uOSnJF{Y8vc@wY!0=0zg(BqAIEE;q+O=q|aibyiw(ejgCo@07N2e0Gp5Y zdTBG%bRmpk>%{na zD5-mGW(qrt$y#|ezDm4WVq{T~ddr(xMP?ILf+|#|AP;HYov7 zqAW+V!j%Ow{sAOc2(wU=Sh+w6RARcL5#A3+mPXo6N8vAAP~eFo2O+uT7w`d?7Ypw9 zBcV*xL0k+~>lCc`%ouU}DC9L!C`sp3P?QQu(RbxWjl; zo$hA2n80fciGiBnInaU6u}q!-u>6}Y8*h77dT1iN4%uLrqYaAtu(jN zT^@FLCKt{xB!z)ebDepkd7C+H=C+yln7=hMW|gL^nKp_vgU$5CPEF&-nN_Oe&Ai0| zdvkzscao6mT` zMk>qVN8@CB9LL>sZdcGJy7N3arMWNiCwY?1*YSim)0OfDG4UQcAK*MCvxgdOrpx6u z`f|Q+ZEwQ{Spn^COoQY&M|+qH?M$LO_St8&i#Z*u>*_uY`CZz3e+!(@e8-f_C?Od| z1X3x6TJmUn8?CCWuC6h@Rh9YCNDeRLUrs8@T`srF>2$c5c;k-sPd8|iJLgEieckc-lgj7Kp7qOU)@|bkKeJ}U z>JbjJ$(Nfa-%xT{Q;VnN&SCnLp?z*T^V6EkYVn5!0j;3E^y=noMqYL!AbKqzIvcV^ zLs__0e+YA`C6}w>260?nc6&C-&dv!`1g;D$$ljFA_p>Kl3Eyzna9>hPni?%h*R{Sm z;`OFkmK$6*_>N|uQr~mG=l#_FH}~JXf6e+NJDu$faix}0M;TXV(YfK425tuTUe-U@ zA2n5Tnpt2;e*h||>R@-1b67L4Q((9lIGj4U!S7Q%-FLuyZU~llM8iRN*%7$di!bH8+4I`(u?u&z6 z6hQE^#*L1YmadkCs3i=1L$iF=7r zrYg;=EZCx89W|f@h(W9^pa`A-t6;NJ5b5d#WA%bcS1)LE^@4%$6K}mlXWgY8PnOfI zZ<$}eN>XulV1RvqdyIXIyV>6CeuzB8Y&AWuJ?>LQlUJTYW;1iRCF%lGtLaJgfOJqk zpsFr)t@>BOm~$pu=37=-7z+l9(U+AWI;m!u)+V$aeS*$FyI`>>=;9m$F!fxsXz){x zAJ&(v#IiwyK)< z$s~Vr;jl50VMdpPX_wTs@NBGWp|N&Ic5A#;OP+=w4I9;jP1sFy8(AxD5IUDzh|*|f zQkY5X&HDDa_fr2{_|+Y|KgizWT{Ut2(~sXi=We{l{rpLsh2>{4S-oe6fA00aee>;O zx6&Fj7-IG@WTX``a-9CSOjuK%snRsi#8o+}0u#tsd9-6pU?!QyO_!!Rngd6(-{Ssk z|IquH{WHh6?*H=+W5AdffAG=0W@ z;rbDuHEY<(m=(AI1nR*<~zcI2DjPLa;X>_cMZMiQBCxwrNw7}93jT9ImXh6>3 zX(5<_q7gQuED1*S5xl|5hD$On*;3!teQDAqG^#L9)0idbb}`Rdl8|Oql#79kGXaB~ z!;Xu2#?($fzUt3Q=Dc-V^On;6-JxffEPZ0<%{T2>`{;(B9@~bQwoz9Q^N)jx?e$lG z^U`~-AEz-J1}qwcJaaoCbYO~Yo^MLvCjKVpIdaaUAs4oo-0lWfvulBi zaRn@!wCx(vG}a%G1$3A^O%dD6W=A0#!0#Gl$7VZZk6VYf>4OgHQWK2@eYJ_!f9g`B zqmuHAD%(xi&=S7If|7$$8cqkgO{?rS;b1!Q5=aY)sCUcV8?i&QJJOu zDF4H0?h`4_zdUkz{_y-w#oLR8>Tq>oUGZRLaClJR*znlG*}~ND)WYWC*5dc_zYKp9 z`8MC`cJaA%^qJ>bIQAb+QQS=5h1f681Ud{yq7I{!kK$Tt2io6Or&*OQ+ zjWxIKZg#i2*)H6n9^Hp#3l50 zwoCgLhU-3k4w{y((=>lh(e&l$?5NJ|Mk}oKDlzm&fUvz+S%wEl~+D(Vom# z_57UgPu}zlQ}oB9FTVcUmwrpTuXPA9UqaP#;3a`d zRo7q+XJ9=j1jzXWu{6~TLZyc8HnKD$D@FQh#P($9j~P9Ph>@1Wkenl&S2gsAi=L>_ zZj-hYmncMI7+5fok%Mt;%VejSHw$@YUiD*>2ziMhF=ML{BsGpzWK^Qd<+MhuMi_ag zbzR3TN0&Y~tYgXChPx6V3BS8H`S`=#lgW;CH;=h{W%mn^lj|W+a0yhOfKKSwO4W1) zBc)B!cBx%DDt#=Skpv`VOADk{X=sD-^%gkM7GD~KXnJhC286=QQ2ooZ61BrksgiTB^5s*be zEK68i5JN@LYLVJkmu?{S@7DHDHZh=m@UL4%skB9Gu_#uwsEyh_N~<)<`_7pOMC<$g z-ktf*_nr0L^X(u18BY)&<&Q#-=QFu7xq`pIH=gm0SLs`M=GpjR&&JavobrsPMx;_6 z@-yYHa&H}QkGipRKMN5QsLHQfoA6Xs=5WB3yLx+j`Hx?J{d^7|KYs$;`p}-i*|>(? z*+y?T4ZIK&Ci0cSor2((1wrC@!V4aRT^ixMI&TS@L~p2xQrI$ff=BoJ!75!bRo$uK zh?drxG)|*8s-`#6Ojen&LP29SrIybs6P;d4lUYr|Y+DO@yuT=zI?}3{6+>-MJJW1f zR{z0Tl%7Fr0=Si(x^O?MvU0mA*Jdaw#7u4_&KDOb zoZ!m<>M)@U6JW|`9FuOmg4?7LTa0=Nk}?!$J$6Y_p+y>CTVMkP zY{1zDBO=cWyjZPF1yP8XsLkpoZi9M)dry=e6LFCkmtt~CoS>v#O|BNcMQoN@lw0|m zgol-v#NYA9#na-4(m%z|Mlru5D#_xD0;iwOo*8rXHNJ3u%8wJVfaj@w#T>PD%x%wOS3jEk@Y7K)$xaBw9)`1ar< zw+RRS{T%Kb+&Hk5L~b6uit6R<&{Q*feQ%$@9F#!QsOkwxhLcqpJa$|L7seQNVi0Z& zAtLM&-VyjFXg(9Th_FWJ5QYREytzuajDx2qF-M;RnawVQ4?&qpMtb{yUG(;OBfNb^ z)|q@v&L(3Smj%QSO90RX--TxKU-n(pc_k7V_r;?0y38=;%1y`)7g+D|WP5mvV`HwI~;!D^N- zE>v&XDh9VXdLXtlghTAO(1qhd>^SIu;#l;!5Q~x_l^z$Ow#0&SNT&xw|KIH35IxU_ zNM%tBA4On$yAg>XYJVKFzr6pjKjv|fFB^gXJ)9$-b-XMTXGG!7m}GIR58v4HRS(R9 z3REQKh>`vg!MSZn0@AZ++RGOapycDPhD;;D9lYJ ze#kr;I29NQ@KM?8_WEqdxv=PSsV=wfE)K9fE5LFtjb&RJ%eJ%&*_OtPKr3V`D2G|L zrLk-a`k!Y6s$Y&Geh++SXo_DOllImV|w26rO(Y#xxIu7%8Z>YQfwSng#LqYXJXZRA3q6-D__4{%H^d=s#L}p|LHOY2L1=)lx zsX=|JI8B}}w#e6tD`Yuo*4Q<^sz8I;XgB&A0o$*~efk|{+cP8#EoH7S zSGh*GM&W5}qDwqxH3$vmu%ZZ!EQ;zMz5B(tu-81p4gTvxhoZmto(!DK{eAvv@9F$g z(V?iXh)Q)8yGV@p|Kgmro_xJZyI4o0R{2XJDh`4vZO4xBxG zvCM5-3sOw8oS6Aq)J6j*TI(5hs=gdAp#^P;#f^~O_D5^(zwWN1D>uAz%YysHSdVSI z@n?^(Z|@phA^h^&vuEEs^w3WS&)@Tnnt}7&qeotN^^I2#ze%ld+TaTA6v)s-VLaa1 zp^*eB3rr-9XFT&6D|E65-9w*v3^J`8>k`ZqB!@ABq`HOVb{!#oJi@2*5K(>!Wm z({PMMMu)-YI~uSvpGnWKsV6k3$7RN7h?MPykIk__N?C9CD6grjU>3-hr+a;gO>)&8eo z&{}LUOR|zwIQ}Zg`9?^E8(sF`6~7$(boKAIytsDHK*7&%Y=3O;#tlCmTtVcCGw~QK z?H;`Su^nGb;eK)C$n!5BJN`0_aCd-cUIM9D=oM#Txd)p(F5;8?6n-whoL|q2iX|(u z;__IE3vn{mm`Na2Dcvb!xiIR%9#Uv!5;22IMg$s>IifFR6ikPaI3mfP zX-|FO%jGFVmeXe2*>$IZIg~Xi__IO|nXhbj-}(Y&)H>WYoD=vZ$`=U&#T|Pltw>*W z^`yy@CtmH%=i`s8omTUB$<*|sbpyvJ7t%v#xGvzrSkCXqa4mJfB2KNSE4~j-G5?md8C&)*F_XSDtmmr%Q(2J)K2B~`KauYk4nD{6Zdf3DS z(>&knOJXK=x0S?rOl#h%JdzjAk$CzUbQ2ICFacA-@lXNt;W1hy7v~lS9Zw)xLARGv zvkL|?Ewz@QkLpM;N_PgMbXSlSjzJ%b(tYHrZmGBowmuzB9WBFU^euEp88uIOOxduV z0`bv4x~VLey{e$3EV-!SPz6a>bX1TE6JuPA0-1c5sa!O}WpM43pdUa9CrCdcT5K=} zY_QKWqFE2|g%f3d!_6Fn&YVKwJHusda2$=K;D*7-v#z)wzy!2zCQFg%(Ava|5jk-` zHenD1-`&T)I3;4dK!fMHLf#2t~|1nr9_U$jUMetw0lHiLG0=q7m*0x2;>-=Bf5& zTun*5WDKcFj<3!H2(U4hi+TN!oB8ueCfLW*-NxOwY`(E7_Pv)LZmOG5_T9O+{&IoU zskg7#ywc|@4{ba6;QSRY-TL|;@ulJG*Db5Rv?vg(n7(!9)SF5piD|c78<^8Nr@APd z=TVC*>o&J8*mdR4sht)N{hgEv46MlnK_1_8Cx3()>qunUj|7AxrMX(yGDl z+&l2S8KZM4k8THFc8ZU~+ne^IT;Pq8<4=;P$Cotd*H+rzWWs}sWsgtC9-juz+yXYC zN?$DCr{zR0t5y80O7Yv20e)6?QV#f;`}SX`PyDPt@l*HC>JvXJIQ_IfaZ!E@`SBq? z_Rq|vGRmQ)N$zL4WKHhw+|JygTs~KiDHj5Vf{_wcjw+`VUKtJ?%7wt8us16zeXByx zXYoQ|wTVLLyOo(iT6g>7q-9=L8Pk?klMBEvWj*36(B4oq_sz^{|0TZE^s-IAv zIC;c8c?490Mmzecp=YWDj0&xkO8vXbN-0ogCS}?X@N_+ZaTddhKR8N$K@ych!}3n0 zFqT9U=z(w8+iMa=e_ZkXI--qD^=cxk}GC__%QD#>z8QN4BFI?9ic-Y0#J?;B@QmsKKdQirvBXEK-)lDZlM2k8%;H6wvn!-?lKLgyImNQ z*naB^+s6bbYJmhKk${S5WYLS-S_*Kb$LAkk?Wx50zXwMwTqe zG0BLzX&L91I2YDquD+q;PBryXF3`R*R;#(N*CVQ$6;~71JbsSaYOS(1Ti>=+OXWcq zsW>u%xyb4^me!Uh&cdJe&C~RkiT>~pIbKc>z+p*(qN*~~D5_~$;NKg21Y|?bGTm9O z8t&-xmLx|d%eE7O(b zBvI3`4a4n5s`s4f!i!vMTpcdX)rTK<)M%56SF5+FMC~J2I7*X+S6jDP#G*aT6!0Qp z4Hy>(#`XBq_?+k5a+VSWXPj+o3qW22e`;iHfe$WNmYMy*)p6G9EY@zHG1B0^j6f^5 zyUiCQw^>Vp(i9pyBXgU3T~R$so*Oy^IqfOr9y;2K#u`x@bQDj>J}r%%$+=*0^3c&P zX)I;~1#=raD`ziYqo=y0XlB%g(R?-v2knDY5Y7f~b+lU=OHb@Z6Uc$gn=TxFVV|Gv zvxZLfs8K$O=mfY8Jf)SLc5I)WLZbn|z`HytxKT?sn60C(gyxD+3NnYn{E5d;ok|f` z!r{ijXAeA?<}07vzpLufeR~IcpM7%Fo8Vx6c-lHlzB%yFt4GN4^C!sWr~myrcp3xZ z(BHt*nD|fGIFw^xP2`Cp643=3X|RmkC{Hk@wEQgo7&bNvgDH{5pIO1w0^?A@Pv(s-YN;FO|!AlpI&CS%qt~t$2qfm)lqJEmDit?0yhGq&}oQL;CbzYlq#h znJ2h6l;67EGT&G2VdK(tWLrkS1&M`7y_=g-hKPs@sVV^*p^g_c0o)KpA!oTLa+0hl zSQHh3=OE=XAfCIhVYo~Uk_zI|INel5gBYs$5_(A?W(+A_gcOdrUUFeqOy|71&Z&yR zaYTelK-ZDlWMg}}Yl~i}8jD3`i=#qhc*YTDi5(&*_K_)$JIZY#g-yWA>DFdesJ5NW z1Ph22=KJQ^{tw!|q|Y=~v}Lum?N;*zL_gm!w#!UQGc6#hy0vm`Rw;VjfxMJP`K{%p z^g@4%1EBrxf|N;pyqc53g#{_a38#i5PD|Tblz(abNfA|?eik-DJw_NC^b$9OQ>EVd#$J_k zTn-2%S~@mq(niu1+vf_{C0dDI;u^1ycU8F`wzN{a)HBW3Vz+o&a#q+YJS%c;5;wYT zvTpX?oO6fk+t$7Iy`H{Q$4n=>zYUpKw&dX%EJf4 zB-}^RPaD7s$JKC>b;fa3JB%s?>I- zKj8J;;B5lnZJtH?&>5r+oxvl0jd$qnebp(ousY=iD|*kH*`t!fuJGo^p&2mb?_Z$Pga^t;@%kCqu$i&7Kwm%6z^f!(I1fB$0EA)Ql zTn@x2QmT}jDV$QLQHiXoF-#!*5e#urB#VUvTv1)@ zLN1zuDlD2O+$!nAMlS?IL41~6g%FZp(nlwyLf39LMsCNw$lc-o%q_U-zG6D%UXRo* z81F^639Uv$h(iH7jDm)_zJR)o#LTnc-D_u<{pJ8APAAN>RJa3BrX*_3_n}05-=Z-; zn`)Zw7ZM;t771872GV?b6pqUT4|7kZyoBDbo}pZ%tYi!E+7{f#WG{pJFenqU=*%;r zlp^~=m(t{{+n-`(m+DIqFF>yEqGPG7!eUWDRY4Ax##a{Pl#)l=n+Kb?r33%7`lglm z4@(@c0 z+JFZGZMEhnC_STp+*l9PRnPr+aJKZVe{F%Yt|XW6^SKSkhpuqI6nS4r^ZIyKG@(xf zGr~~_n5P`K2FaosRgUTCm^aGxk!DBNU3xCOH|Sq`FMCa`d9D_f&p1198a%W~OGJ1X zYV%Z$udMK8YP#wikrjGHh2oVfe_p%o=7xr%x_ibux6i4)p})ylOD;KbV^K2Co;mSY z?fCG@YI;{tX@ovFbKs+eM(sc3kc{ZF=e?3Liub?Kd+v+91J{~J)}gDg_4ENOO&Xku zrkLo9y@PQRU6>hu<_{Aj1@I=inr}xrQXbkQ%ty_5J6b@VLYpaYdC1{^j@H4tr=VX4 z_yFAl>#qQK2cQ;UK0t1EYzDw$fVs2}YxmQ=aK;*X4#mR!p#gZ$1H#K_IlxbV-ow9# zo)A;$2IxNu>kra-*#Cg|6nY58egtz%VC*M=o1y;*(5|0O z&ntgWpVxx=64$M+pSe|cqx*LEOU4h)Yc1Y-!dC5No=ZHP-ol(qbH3&G`~MoaGpOWp zp;UNB`2D<1`Qsw9qQ?uKESz4rrLeO&7?Wdvjz3rO!_tOPmzEi2cPDa2FCP8QCI1|A zqWsyhKOT48xQ{CjCTCYg$Cpo7n%Y>iXW|1BpR3g~x`O6IlSUhnga|TGIn@r{rBbg# zU{*z2vibvdhK6;Cz-l2&^FZlYS_In4(j3YG%Ys^jr@E=4M3yGVjjFOV593p^vdF28K?lJ%?C-4va*ZgovGGlSNl6=*S9h0q+d z3|)&h0J#{gyEr~##Tv8}Ek~C@X9-#l4s;#52}RK?z^hRWijG*xH~`O333>p1-7`OX zQvtqS1{+k72E1^=4GrL>g>2+OUd9JM3ZNj$MIjVMc_<%6;FSdk%25y#%4p$_vk0 z)qpz$!%s`Xv0-g3WYwxZ!XG4psRr^(BH|BXT{)>CKUAO>;QrtuFhrWi!@&ROwxZNu z(K0feIi=<82Za5=@Vxf~1HbDCC=(=j2l;u;XMK6cLjQYg5jH+- z6~Z?(fcDbB#I}fPvCknXAI!d_gX8Z=Pp0fpHO;9{V(x&+kPdykuUmIg27J$tflxN% zGhsF5CcMGNW#uVh&-s^!A`v{7O45|z;|eVC$ZRQ~NeyF3Z?Y~*Z$Th4Ac3q%PzP)W zpkuHF*vXIhJsI0od#uN7Fz1+EHjJoUz)2tQV{=!}Q@Af(o!o8n#)UokwZLa1zZ31u z!*mL1>E0_|682UCfg6wp4U4Znd{QXv=Je$v`G#c8HVVDtKD|R`<5Xr{$pZ|TalGj1pdk2j#Rjp+(thb<~bS0G2Hb73CK^(s}BDtFMFisbI zIU2Si)mChnPDM5%X-|g+ao|p!QKf;D?7tP>iLWB(ao_~m4ANK&bDRcmN1~sS4dBRX zoLooyo*u-*FwxG)3!gxp=f{%6+z)_k7y}nM04Kfh8f!zK>vBP$3PLl4`8^<`-NxlJ zywEYBlpYmC@9At`;Ro(baR6~b?z_n3?rnbG+XMn#V(jAc<_GKu7~tTl76k5R?m5ig z1_YQ7{QQge5ci%ukgt*vj}6UJ)#b4;%M`8)u4lkG1^x%A3=C$ZUyP^RMZi}!@~<-7 z#X{V8ta{5a<=n?hchd8nuLpc$>3ojgpSpI1hQ=v7Dxa>}MDRR|I9|>3s3CZQFI^_t z)A($#K{LwA&y8S&zq9-L5{x6`@R!zu^6{nLtR=!8AeZogfXm@@+mERl zU48rLYtUj!ZwFjUfgPecB3y6GGp)L>ooo`-$8C)U{n!4_yNjQlv{h_b+>nB3Dn1&` zHA%r%+NAq3g}kjBE$WlOxsfzbnpmhP%~TZ?>0or_jJq*wq3s_xkzk&vP4kiFq-(fO zF^N~?I$zX`C*&C1q>G0h=4v9TcN(_DOG#6fobi>zUZu3Er5oI=BBh7G3Wgo2yB3U# zDR~`eI%zvA+s@5nGl$_O?^{(Mob5_LfPGm)N|2+jA1>N6C==cPb1mzVe{v@1Iuu`-%d8c#22hcdN0{WH%P*0iI+S&6P z>)7JI6H!U%{CU9$;IdOT(YH6Y!&iT2Qe%8)_+kMtssZGkW$m{x{U)daP^>YtzB5(* z!OI5VW&zP{J7{5=Ht* z5HRVJ4If|7st0HK1%0HetFv-Ks5l2sCDX%c-~Cr%Nm*Trx7Pyi94l|By`BxWA;l-^ zg)gu+AQ3S?Tdqov19VnU=0{j{v=1D=sSey1LPy4GZup?>-idp7va5Zri0WFm@KZWC z^~%eLXx5E#^$p%-V_ay_?u5D`aRu{Cd_{X!gW=n{yIA@;xnb-tKw%&B{j=<80wKiA zm`8Xs)bbi3vAnlImsWLL7AYEUrpM$k}+U3lRC7qy26zI{jT}L zaKG;wmVfdy{;?SUOUu*$w){Uy%jjv}Ngsdj$A7NHtg_oT9t`8W*GC z@fv;pn(nWBLuQi9(vy&2i27(D#Qq|_-#~y->Z^h$$Z`}+1gU~8^5qN=K~}R+DQ^iv zCrvG8$&2btm(j1~k(rXuRu*BSJUz9a&Hz6+%GGIi+FZ%7m(dIj2}Af?R?Aj=y^jS@P5jPL0=2f=SpA!`2jW-r@Ah~O zb&b@EXzpi*-aBxZdTJ0~wxA`jMAvvP{UcFItwz_)(1kCx7we$$`=x4ZHIG7X?-G(oV~;~qem*OIT)18u#%t~sB(`Xf|C~0hi%RyXOSl$n_>xZL!?M5O zr~3S*`8p8Nm9J(9IwaWr{Gz~9E}6v7Qi8@5DFOzb+Vb| zIiN%t2O}5{dne(aiv2fSoS`KHe=bGU|NIWsY>jbZ1f4jY*d%t zIY^30A=K?g`8~L2SIHvbEu@>av&?hyJw$Q5qX$!&w`3JoN1rq00Gl(4;|7&8DYrNL zwk&5PUbWaBABZZP1nuX!$F_ z{ihRigvjnx1*xtl<1X~@{G2SLz0EO&xlNLlkk)e})~~hLgLfBt&oG@`R_HQxHTJ*s zw~Lz=$Q?o5zH|MElw})#9Ug}o?s4uWLWa^Jz_*_&IYmA#tdVw>mOzRM!F*s_ubQn| zts1FXKBwq4$rWu;1b>LP%0wT=aB)HwI>TILU*ciUYKI89hWj}L4R=0$s9sE&d)FRM zXbImINOGx(`Rf~-7H@{C|A_VHHIa-q*v3ui>v|r)iRi>v|K2)7hT0>u4u6yya^}<@ zvt{Y!`ggTdfNx}Vcc2mz2vtFb@I}&U8lx@+%=W11lx9IyLY_gMK^ys76&vf;?IR3^ zSxym2p`nGC_2Gr!h2mkNVrnrz&!Sss=(P2s(|eLj#{S3$H;J5~v`DxWuNAr1WNtZG^H}|!x}XWb#>=x zz3Su`h62e@my5ZFp7}Fp3LUR|Xp%A~4F8s0U8Aze4psz-#$aFs$-eNn&y0JO0mC`M z&KPTmQdz{@a8_YcN#Xp*qS-z<8!pu=8xV!(WSm50eP`X=O5zw#SPxpE+p71-y8$Gl zgOy;gV9a4TMI!e=%sutT6SM+*_tf{m)SnW2JY>8ZyMoT;*-;h)kHXmo1l&U$Lfc0J zLA&}gr%uJJL7)NwNJMIY#emK&NtutHE@KO!xn&?T zSkDXiCS z2w!~J55gx3DR0SP&{I_)6EJn7Yv8=^FZVT6vR;sJ=Ms7xmQa%f9yX*-P%&-Lo>7>4 zsoOlR4fg|K*xAmuPy*QFBrR8XP@-d7e!0~qPQ_dC6eq60vN1_#Wle7EAXm32C!^{c66Ad#- z?&3asl>!J3+f8&G5fcv$gDOPbkxou?8qU*@mb&eubovE0?_9Vwgl;4)EiNf4B_>hT zKd4GoZ745cA4iD+o7070Yo_Ty6?$kXE7)i@5H70WSRa#f10on!^1b9#7faD(YAh~{ z%0u5}tW)K&C!xbG!C0|vBR#HkrUm)NI70m6rZ1akPpYW~uprL#g#^xer5=M$VJtgY z{TCdj&`>I|ay2IpVJi!9SlD?qB+Zi&%czVvXpbp6Fg?iP;fhmk32I@Ss-2~2Zt$99 z)ck^GQ`1=mWms(=a{ajmYFVsb_hx3(>Em5_8Yrd98exjoip8i=mLRi_j3w1-@z*AC zQXN=L!UrQ^|=_YVKO}w$bZ=r#N_N)^4be1Kj5>n z5G(BIUt8lqaTvsY3n-V;f&gIzzcEO5plWwuylLO*dlU*V)N6!zCSQ}1CwC`xSKfT7 zZ#8W$Fi6f3YA(^hX&+JBpUt}|p>-;+<)Ip5DN6URQ_F80KC>-BIjHz*7ST=v?7CO6 zntCWNM`M{Bw`>#v&Cx(JhX_n^6_E9!ks4`l7kRjsaYcEF(oCJ}EfdciptR*Ql@aPP zs|C|;(XTHg4OE=i;=d+Rh^e?bZAP{0x~9#fucj$>hW7Q-2Ik{lf683&K$6J|2OT#C#!lfF*Y*sW%X6f)n6Kf72=a?wE2nz4%}mZBUY*5w=?swiA|MbckZy zeT`9Or8c>Y23D@b@RZ-rgVzP;UnI=`YZzmX~L16nI`X{poqm(Z7z#?}S{N^8C)AGhh*7B|qH zQaH+d{_d=ji2$dugR4!=2ph9)(&6=q?~08IA0A0$QoUq=&kxiF>NSQx1VGC~ z)eU=+9NixHaeHU2ksm^7T0#@-v%5$HO`_`Rq&r9qijW36aBB|YW$2ZpKBEamc@l%h z-WN(dp+*I39+S637~NBPu#t)gqVr1>KQTQk!N_*hDX&8*e;B^m$wH9pa>+NU%t;q5WI?F-aI( z;rkeLz!xP&23s{nsr{+BZvAWfP4{^Uu?W4vs^OIE-PHX_daAspBn3fr44|mcsfD1m z$zy)s9ZkDTXS>%27;`~MV&S+kffpPS$ zFR{;v9V0AAH)@bRSW$OYg@Bof%Kzsh=Dqk!TXXXwl1m#yInkP`(sbHInpYcxuoSOg zzl>l&V;*ru9@zGlxLAQipkcTj3g%~5Rn^lnR%H!d4L(FKqn}XQ{*`!{YBKXH`>7gB z>pTu)D6mHbp{G`BYV+TtPbAvi^=HnXjUUk986VCbu)QQNev&<-sUw7B@;sqhO0UNL z2+Y^P;vh(`s@9vP!{Q*rsjKFi#>HBuwrH##n>NH!CoQk7w%V~mT(EU<0a3@N5&1^4 z7}tepUK3|t)93w-4T~bppxQ8yq!Ode5Jtz3W@7Ghd7)V=es(!)x41G%Wk2GPxKt`; zD|o){qBt69CBAuqd}>O~<#1J^{kn>{fu7$yh940h zjAnntQ`i{nbQ?<8qexMuD8-pGij70llC)**XvC{CDt18(e!t&3^Rf+UBxt36D0$fX ziI1O705!MO;5J(bpbJth4&*b9ipJs9a32WTAU*m%k{L_K>A z)pdAvef8sN3M47Wn}8;~x;LB2oz$|=_vr9F1>wk3W4X9YWVc=VCf=Yp$JzJ2WMEjq z58wrJX_$Z9hl0coN0>=m^+MzLws{S6P~aZ!yYFX@M+PmFro(eDh@z9>!GVfgSjT-A z*mm)_5CY|=TcQ|=Ar9rUuVW$iFMf%c|9XtJ6PqY$<=Jh7zWIT?Z4+IzfP!;9l7X*R z_+mBpe!NupCTOk=x63-|6=~;NSE8!!7tvA_>PC$Wr9_M_if#6blqU7_g1Xt{_Tt87 zDgYNt^Z^M&Zz9fT=a?Tc3yZ`Oq`rK07vj^s?F$K^H`tnf z?IU(hi04CIv{az0E-LLO7qnm#5@K-Oh>9A$1@c6af$Ae>-h&Zu-AC$LtVU;~qS zENcs!4Qw!I{vog~z#PqfI+C%$tdSu(=DI|9?!;wbaFsU{gl|27HZf>CEHtE4qk22R z6&0}FFP34>U1&EW;hW(+4l~6vsCP3-a0MrrCZgkTSUE;y zmyBUq$f-lYnscH)WuVZo#fqee3m+)I7zo=`!}c?M*DyeSn-rOBSk&fYI3Gpq+CW{7 z?KJ)4n#e$t|GmE4=E3ggtID-V7aHB(u7TYvXm#s{A!yiUh6Log_PU2}dkHTbLU5-= z6~?@q)o2&=4%c1#^Q}U{DdH62JSVC99rp7yiMP6!30Pjtj|Zu_G-K!s{Z<~%0^l!L zslOPmtjbg?ouCrMg+T8m42M<;3toD>>N!JNjJHL`8Q(e31Ay_&U4~Rb=EdiFjPnU;+u6 z)28c6xNk->(q~L@ZL(eMfW39-M0P&2-H<#CX&LT6e;siViI?N2iiA&}@OH|2D{!+zmz1C1WXLw6 zjMs;ARqK5{p6Wn>!YAW~;$W>V%!)Q<=YXOxZqU&qDch^vveIFbrJWvw3;XuP_2SO_ z%W|I%MG!VLG1D=E%bV!-j*R(THCixcp@`?urPm# zPorldHlHZJ5)#Ws5U!gsIuj;YLd&3c6CRWjEr>W9f) zL9!}`<=C`D0(A%@M1UY>T?A@FP(N9aEJ^8ui3|J`tjpNPpE7ChCndhK`f?r+yqa6v zK9aC^q-&<4u}~J~wg=JU{ws~PvYFCc36nXMo~f)Ok9@S&wwIHefoI62j_pmxAm%&R zUrY9eW)=$ZhKOB}H!XKp+7w z_AwGOvZW5co8IzZTzVDfXBS0P<{n*Cqv6jUx9IQp)3QB_X5R4hD@7R`E@UdF&<&<350MRY} zC85F;&N1#qYOk_tegE0u)-1hKfYa~(({1W241~gf42KLJg$>08rzuMn+O1TulX1Mw zF@EzPuLG{^hbi+ML4nke@MC3EF0m`S- z;vZ$}H5X3}x$owJM0et!I;i}ecRNnB_}XmO>wFL@S4JvH`zY>W3;@|$Rl$Ia+Ehqc z6kVRjet$~gsbe$Q;<_rVA#s-PfUkAZtO60X`k=8=T-I|ar-dXGwJM6@PXxm{IdGI+~u_JT0aB z;l7;>Mdu-A`nlo<#xbn}r$pr@Z5lq}!3UfIT6PIP>;hm)1!V*(LUsX0iM&r3!>*iE z?zLL#MdN>Lgbd@Pp&}Ziq+niU{Sde7fL%dUu2k`3+V~5Fw9x}Bqk46&IgB1d4mEmf z$H0W{Q2Z|;G2h(Nu+jLgUTMa(Jxl_NevmohEyHZ@3$Ea8ranzX+WDUcy__21#E0C2 z4gr`Js=!u~MBC6^?VAyf>G$Nj#~qO-&Kk8vS1DgkH3oshciV3{#+RhoxEq4dyQ7XL z*kAMf1&*DbqZwQ8IJ6qqPn^Hd5Xb&vbAk-Pk+J{D>sGyeK-6*oZn`jcrZTwbIe2&c z6K8(uCh*p}cb1FzfiklB^g|>DqgI4Rb?-dC-l>zqLZzc~uTntUgy*2XnlCAgopJS| zqlcVwAooUF4ua#oZGL%-b_$hb>}9^j@E5i`8@ZM^{J{|=HYMiasTxWw16f1*p^wkF z$D8X*V7Z<+w4rUN4(0}JhMUrKFFbnIY(>o38M7=(I_<7Su!m?Ahsi?9khy!5GO!io z3fo879mQf)w=+S+78Pnc#mf1CrOzJ0cOOJbYg+jrC0`LtH5}YpFttzc!1|h&S@P|; zFK#7$fbxhnwWH-eY2zx(DPQ3zis#*?dC5`tY|oV6M0nm5+W<3Vf?8-~X}x&n)=csQ zXKo%WrSLw-)p~o$kPS|l?%B4>Xdyw)1f7emMxG{T#^4B!7Ph3P0Yf!{3XVfATR<-x z$a&SIc3~_E3i1|MCSsuEFNX}BJi3z1%w0<+Wi1m^Oy(|M6H}lqVGk3hj!LYOe1R0U zPbCuNwaiU8B5~wM)?aWyk^pVB)E#781q2C_xO|Fjok(6OpCI0F!E##|aJL;DaE~$P zd^`B5CHN+GoA6-?b*`b+R9&O~M1bm$Yu+iZsJlOOdLDHHHk7h+~!~w={-A?i{{XKjh`MrZ<@bGU4VOK!YKM22IzDo_6x|jHRN%CDvHjX z+HyIMqhMx@3N9%J2DH&GGPYH4UnfF69zAa=N*(&sN{pabpnu^h6Par43l(M`? zJ4GL(o0JTu?{dqkNUt}O{3WwyO})MKg?nCZMdeC2^>f#g9<5qqCS}SLzbMb|L=jEY z?-ORG=`IBbHv0k=?JOz=y4GcWkz9%EqfYcu=Poxem*9Ws4FzUV!Kgulz7=F&T5 zy|bq2_5x*!@HG5Spv61p@D5Kf(*pn|K!x`-077~P9^R8|42=H(`sm(~p}(LE1s7`r z{ND%~6pg%tuKn*z1zQJ$_W~%IKkzUi0QkkHV`uOVwBZAwI!hycfY|-FfF3;)0Oa}$ z(fbY7(a|yh@H;w2CVV;u05V0#z{rTt%Ekylx#(E%8JXT8J=XUe0PaP{@Q(uvpeEh> zfsyg|fdPt+mW}znH3L2~0OWg@_clySjQGs7fM&ET^!RLy@4d0G;i_Z)ICRyni@L3oEJ-!S5yKaDM!0cFAnf@G|`S<8_bO3adj+TiLij5gCcUm@D zz@Px702n75<9i7`6FwU~+k46T6*Ch)3t$951HgeEV2Ahq*_i$q3osj~KW6tnL%QEa z{9Vra$5>1N3jn%!Z~m_K-|WBySc>05OAF}X-7f#H<-cwJ=b{53%>OyX0I(cj`Jvv= zf1k}?>G#>bZ;!vO|C{r_SmM8_^FQ_RKenf%{k^09%i%vy4X`)54dao2SgoE^B;)%@ATggbpR6o{>=IZqR#a1 z>c40Gr9PmZy@4&@acHh%Zy;ds9=!kvQ!ubJvNr}S9qoHK1t5yyj|_mG^tA7R6#oU+ z9aHwOR+vTMxm&xd9}YC<4p9wgdCtiVMTVRcwu22$)F5{a2yI8>r^a^rl+d&a*3iHmtr!WEMt7@rQU2^ zCo=9hw9i)DPTQ^4J-z~U!KHU~$v09>KlX1YM&<5O0oCMGcm%!bc1IHdDS&>6+ga;Rl^GvI9Ee5m@{VL4Kg?|1i0-%o$gCk;_p^e11cyk(P|k}vVW6|e zYG`~loo{-yMR}eVYRbITuIF{HUHWvdX9*A~gy9bw&Vd)gnmhCSuCcj{T$FX!pl}I- z;ePZQ?>*dhg`Lx}h0)#h0$->5-rZ{^HA6VPq0mDVhdqacPm9$duJQa@44vX)UDNIk zI!6&D?I#u+|0Y51F^L(rUyh_VPSkE>uxSrrLPC`n!5PXXOqMH7YX-e4>{cu~QT<(k z5}u;?hg>~IErNy#_!kv|2}e=|y@`73GX*8yGeofx5m*!AsAw(hUKjdM`{Z9g<5`c= zJjZ)tG#@3_$a%sT%gSUk=a5IK{6H47_~9rAO57KOQ6igh8@fDDSEK5n_bv%oA=|AX zBfnxx^;WuMT7TjWq+sP37HO-<*T+x|L*_FjY8}=}i>fd)=5YyyiTTOe!7}`0PohLs zgi(r?yTYHDM|zNYP4)N@Cp+^e4F)aN@{sAG>4xrB|tTv|M>hk>6@h^MFX`>SHW z`mte6Sf>WV==oPhQA@Li>PR>{x$>pM*c!#_y(or$-uA$AH8+!#^ECoDh}W?v(|$W2 zeg)}G7B<8(P>u?zW~Sv*qFsq*>I=`pF_n3S619)Q4MiMs)`*=FzSw`}p0db;>LAfO z2R(LyNQXw-kX;a70x+GQpo{hxop7d$w(J-!ek@LW*je2{)!;4U9K{X%{N3klgzeso zoG72j&&XtRx<3q+I!T+BW<8zdE`g0@@7E}^Ve@q|gJsK9vIqa%^=SBY-3+quWgW4b zuCJs#imGm$_p;G_Z%Z;3bG}Ay8D-{?5EyK<8H|p+aO~S7x;(tP&f`rqZurV|gh*8I zwS*vIPzQ+8POC#^cB+*dtVeKJDO66|x|zSd-Av3`mHwA`xfmIn=5x5!#M%8>NyIsi zg3fljLufZTZBDxE88QozAt!!Lf=~SdaT1+Vn53_|x*uoGC_?2YW2_Yn12%7xcLNjQ zyY<;RMA}tVQLbImsg=prEn=qGbLYTv`y|F|`LbpX2Nc94XAgy}wsyZJ;yPtUIKs1K zF{c?-vK$g&`I3bBJL7$E`E?RF-}cfGUFLp=;8HWu-@fkuQ7-qhGy$ws{vT4x|%65~3K3Xc6|5v+FO_=pNl9eGzC zaVZeQutl4MthL|fcEUO8Y8}RBAw7F>bTkSM)1E`P`H*9%iQ)^MuL06gb6~KE&C@3S zglkya2MC(0bSkG)Bb9eMt=o~vbU!alhLL=^4%D)Bei(@=u_jv2#L7$F2Z!6P5Ef_^ zZj1N^irPUvvQVCcKrCPuC$O?bbhGZMm*`K28iue|;)v|_|Tt&tfNw-0` zPA#tv_Yzx^oM<$jKH?Or7AhV_MA2~l`Qg-&;aeTMJ%hJAcsy_07X<}x`BU2q#Z%17 zuT@)1r-i7!y`qQ*`Y3bMcWt$n}q7ySGI-reLqDNIpI;PF?o%+ItDV5bj z#L4TMmI$8i?(VJ{F?Koe-76w>Bgt5ijzQKY&-Y|Pxt{!Y!o5>Nlg>uv*&N}x2q-^n*pd zbn^C)Kd>mxr%x;}k2lG=ihFQ(%6HHJ{hz6Lr@5f}{(3J0=)yZ9ve8`mmGajRuDOX_98c1-KL0TBM5?co*ac*a~%) zfVc41YHt*fgpV>{A|OdXTg}iHL_1+ao&2v?k8@md_y~ zBJIQ+Z$b4BBR>c5}qSy-X|YV&_O1M0rl$7cfc@s}_%0$g2s zfZst+5AdE@e#`GP;A8%Kr0;wBU4H8TaH{_*WBoG?xQ6iu7y|Nr)X+WP;}Zhx)I|EKnQe;WXB zV+Ab%t|Z`%+3$B}yq0z*e`WmPp8nHUe)lQ=h?W0a?D`*BfBC5Yd}#c$*&p5f@viQ_ zJ|TX)*$n^UXHzi&V(6(D0NyKLul{~R!}1Sbn+*`H{@(_#1Kv{n&D92^|K@4~T=ai& zwf`*ypPu&bA^88Zs||QV_g`FXz|H+{u6A3a%QvjqW)}DH<0auI>M@m8;Rb#28md77 zBy1x)KA6R0uunz={^iw@kY8=B!QkXA4t&@2z+iH~5W< zaz(SFx0}c_0bgl$XkKMm^X1llEnZ?4b+1hZy+vTKmZ*P=IE=MI~1`&&Np7I*IO zhnWQW)%;iiL#_z6U9MUF9@cjIZ;!bJwkf=nzOS5ozNg|`*We4E{Uv@n)P7KUdC)5z zgIl*JMB}rJn%{#5k7| zShD^xfQ9y&Ifu+t=p@)20rrew0mt9;Y1pjT+g>CJW@({5^J8+fAVtVfsCjT&D5xdr z3U&Ev1m{qg`%n}JSuh_N48!+&(kk_1O=}tfohHz0u1N^RH^{p$P3x7EMVH3;Xzh`=J!uuamEBN;#>~e8FhM$k zcHgmT_j#|GTM%Hgou)(=f-J8g%>8UyCV@s9R9=9lE$!WIAg`x$rLOs}DLX)DcrnN( za;H^%XwFI^dsAX&^=2EhZmF)RBbDy5U1VJ09r1k{vaPzfH3B`gKE(Abm`!cp3q1pu zHRG*z?)WC)-l9EY3Vp$GsW)MwR;)dou zH)r7bfR#M0prs+#g%d(T;uD^3DzJ z$)E9PuRa|DSriDe^P%mlUdy#ab){`}AaD6!P1a1%Y3|r&H>;8yO*_%ca zP^tZ~s1;@X!@S?U$uprRMrY_-Fb@HmB7|~`X||XGDN|63e+x>5ThaDR>dce9x0bL~ zZqTM{9JfH{7=EBmi8+JD)dI)DVDtPvF;A2>#q(Be$nfKE$1snAOuw?KVsquC?ymIg z?(EUGSGpN*Or3&dodkFga6G>w(XU$s*HHH<>n3(D6a}=@lYmoEIoZ+2;Rs)S2mD_IhN5Cq}diN0b2pT~bwbn1FnO$d_Jlmae zK)RvejDtmB@!6qO(^96?^+_#272-vb*03`CGpMZDgT+QgL^G*%>5)^g*O;!~J-@#a zydb`SzrY9TPD=zHd`n0E>P%QInPGARi+{}hpIB~`}Mi-{augp5j@fx%2 zDm3}{hI^JrlTTCWnV7-@V4CYMks>=*)pTU^DDq=p-_SPl|og zz}r$=2q|5D#z5;~3eVV{5K#o-nOS&)&|iqs=Ew|s$~Us2rszHW=5o2mcKg1No@PtQ zkL=^w3?6_CbRl*M&Ff(r0dxH9gnEQh>EcoVVJ(MV*kNhH;QaB@b!SA*Ll{2R(Z2@F z`ox?LUYQ_haL9D7;{^J%4Vu{n%el@7*sn2{@&>4-nmv3T^o$1NHE$BV1IC~VcwrjW zZW;WwM{pJx4Uz5AY2z&u^vG#DYKln(WCT-KG?247_5j525aP8RwBn`+re1h*b%pnq z#hA{~?<$@=3gpKdtR@)u9?!-T_YClrdPv7up3WR1?G=98g@^&J+-3`Gdmw-c3-DV~ z$8AB$5TkC|H}oo-`5U>YzS8a}nriKM4n2#SGVRT-5Net)jyHVvAJybMK<=Hy9e7N6 zmlwHB*-B|$HWrjGWY2})LeGHKGJ-te-I057uZ^0%nq?;++ZmHyacqps-Habvb<2}> zBk@3T)&eaYiCxnjFLSzL?~?DyK9$(*1E0%~PCm>Img+#B%_%J(c!z240r zzg{`-yjC#dnyuxkCUeM&46K_$i57|)ixkmw-hF77d3`(<$z){Djz=@u{Zwx5TSM6k zEthDtZEk{mC$5=m*I>S~ILm_-xNJ1(B*acL$C5Y2-3}ZIP8QKZ1o~9vMluH#*IMd< z7XXGNVLF4ByvlSKF-pRKp0B5AHWzi9w!X}?Of%vEdmy{gOkLxMEI5u+Po{=8Q|w_F zcZ9uLKOvGBGn>Vc=W%e6?i3kfY|*K!fv4K}t7lEtRTA$ZP|@dX9M?hH>&KM~3V5T# zt>xR6JWqS=h+VNj35SzqqR?I1`cr=)z39{9w{Tf<=0dMM?ECZds(@8-;(JsFGwn07 z4is*(Xov4ivr0}jd0}mTQ3}$8+KF{`CRPHt zR1ZWRW`+>AY?I^A6>bBiVrw)!CL(7@<@FY;Mhy}u;RQ6P)Z9-J6eg>p#ZSJqPocx% zgjc|Dxzp?)4X3eunChcT&7`>A^NKz2W0`9YJTp#6b8w z^zBIo{`e%N;}*uegEDrzT~9*LESsL)-P5X^I=lY$MP!W3BUgdPg`WGRuQ{9(Bg517 z_U09G6*5t54*`S6UT((frY`S=u71PN;_F7#?Ko2*bXv=8nQzH(S|#@gVXt%zB)|fB z;2@oJ1~7u|GcZgM%I#(LHf8 z(nChaNnsDXfS_hl?4WXOIYm5w)4$Ep4CVKJrlht#52Glk*vJg$3z?lb#qCG@$M5+#~~#EwGZhE54u zjtFMFLWpjV-;sJlb=D~vqu9@2L>u_3O~^IBC_T8I+Gk$IQA$nG0$Np))|6!LIP+57 zAb6_mg<v&kBF0pcd&Xfn=lJ!oW0zo zpY_v1)J1Dun7|U2@zYlQRCXe`!uV+kqHHsq3)+mgIIB7DhjT)Tw~yPTG=p=ajWv-0 zE?6$|;2_9r7SB#0o7)N^Lm!t`W8#+nKnrRB+q&8@E&s5AzZ|l-S+%xz9|`+BVI?l& z2hSwpn{e!)Y;3#F-(A54vP4Jub3f$Z`k_liC^S!yl54Mo7O zzoypHtlIBRRcw75`6Z*rtR_)EK|MMmAJq|srcG;hHxl2DrwF(DbQ<=i>EgBCZWfp^ z(0_;5K~9lbn|BGx2E9?j`n~l`GU*d#Q9g`uuX|2cF!B!yh2VL^!LJ}M9d@rv- zQeZu+zE6y~NLjzDCQ+hhSZ@J78(Vd*qrKRROIdzP+t!CTz z`4o*MQPeuSaACrLWCa3gID5UP4)u=Ktreq2IQSLK2kXI>@Z%fRN{7KimKD5#Fv?!sH3_cv7lJZz`D{c^!##(_5?`rb;}Bl|Ty4 zK_zUCuwf~584Ja*)Hx2B3Kbe*9D#Ox1Hn48w`uOlC&4#`H9t(4|BcwY2RGPui)wRQ%8H z&ioICOfmj_c%u`MD^B4bdZW_)jOdR!*jZa;)~8i#09I zOtgi|bDtJHD>Ba}EH^7IHFxnKEh4qzo48$|ol@T#CM#B~pC%YOC*09rjAoWeReC(r zHAn+rsM%t|2r+xceGwz49f}+5BQ49sQK8tC$qyV`iw5%W_x+<;BYWBJ8qw;GHDX|S z)io_61}Y};0sZQHhOS9P(=wr$(CU0p_(ZQE5-{X6G<@40L4Ix}7IduQbLgD>GBnELe6Q z7WY+=+47S$9{2O!0o_IV%2^3q;8fT3QbY`OM3ZpvF;zEZiBb0Pjuq>#wf?)J8k+V) z$mNoZ_pL6Mn+++<DyJOz;-w^pMr{I>R@QU7%IU+{RPlP9gteCks z7(aIj0;7r0MvgVWUDI4LDCRM;6qw*}ADBle*Qt5Cmcs{@;*;uMl>u_Ag>LmD$j|e* zvADVKjLw!VVDb|~nDIZxX14Rgm;$y-$o(#+JJr&kk0(LU$oca-%W-S=@0~<>4a`to3tcY4SF;LWn)1{TQN_1Q*;i9_Z(X(e8BaI~o& zs_k4)K6Q6}JZZH^bK6f$*Ff8qW_nlGKaEZawcfw8xh}4~!{zvOb;f&s%tVi4lMhq+ z;9~yjceoDo;eA`NayxOl({}MmKL5p2I}fN|f@7;7Ww{gI%i;#0b&2fur&cnwxQwBk z#|jIt3h60eZNEcZlM3KSaV6O*j&@VioHa}i4T_x-hmS+y?1-UB(>2fuO1to}=BMh_ z)GQ7v_lFXE(}wW-|iD$mlzaXFhxfQdn%Bkti&kKeb2J5Ypj#(RQ&|H<}BXU!h)HY$Um4IUyy*ju=WW zJUgMHjq75KQT;r}tCD7x1R-=GK9@&2_#wH0{}78h$Xsb%GtjKHM<=Fhn|maNZxc3OU|GMC(LWyE#ka^e?Ua;;Q1aD&gHTp%mk4!VWe zMS3HD&+yK3`Zn7IOUK-q^dTkG)MqS2dtP8Iaa}8O5p}TwJ1fQX>fr|kQp~P8_lZ`y%*byesGlO`_C+h929gR*W{`X)MW0(X8KOl6&9=y%CSjEQHkP zh43ApYRmqP&D1PV;xaxe2x1YdZ6PZfO`}O?EFz3m3K#rkSbT`gG)RsV;UD4F2Z?xZ z=T1=|Ef}y&E^E!k=7B;~Yb}D`s)ktVq`g90uNA{;!qc1W7hEh)f@2kG?AZDPM8Zgi zGlXciav^iTToVe|0d6t1;ZE?`O&a>gwfe)ow-^J5Dv$f9LZL9-k8EBFw1)hmP|M7S zMBdP26pdko+d*UyrMApFpPy0bX8R1I=Ih)CNPzz5!{c5_g0hT3gZ#e?3~t-jZ&R57 zyb*ogm+Ex3yr4W^U2R{_aXk5-<|aDqz`C4yf*edH?AdW6nu6Ho)nXM-z}4(Ln>Snx`vw;I7^&R`SS^E2n>I3r(X8`enCs~!5)G4v+!%@)nqzi2PH&Q% z(eN+KR6SKa)!tO!IF<&}6+Ya!8dhpo8do}p7!j}_;EloRxdPW<)@0Uxx2QK$$Lx|^mPHs;ZgXN}R{{LT^NUhMuL1v?=_HF!{V zAW0uNkjRHl`>d7hk?fK3`kGERsgv4MYi}?yuF7OXUw97YLunYhYF1c(A=FIx7JUr- z4x9$wAZI+BK)2MBAK8*)>zYa4_%cg2-h?RKLc%dYwTFc*1n1o@)9ZnisZ9Bsz{BP(`KglX*dY!ypV5Ec zJ{qsbE*;2^18LG(h6GLvs%+^`5(Y3-kqo}#G^Z*(Fldp41j2~X*B}^`le%fL0z6J+ zb(Py@83ddlIb`)Gz7bI4D9#WNk>z2*;z`AgX@<8^_jLo+wuoZ=h{ccT%)1n286c%$P>kAwdjJc7NgORag@M%C(> zUCl22b(H}v_c?*O+|=e(k9QwZ?=>y5HkFfhw%NWj?m6Xi92iaG*ZH=%v31;IVsaPk zd`zBkrS0)#2eoM*Ot1yUc^xw7s?ZGV0gYb#sGxi_w+T#(gCtP-@n+zZ>Ud+C+b%t( zN*$Ik5)-p0t+Z5wWs@JNTDJ#Y;0k-5%qX zeHS(UwY`Gxmh<=#$}@<&vqHWy(uRL6$BFM+2Y*MLjJPILjhNQ8(6-r?)+WnG-9V*7 zwa#-pv|hyB5q^cNdP2we#G#>bDIj%%dX5yGw9h?%m>F=Gv4WAZn;R=g8bn?@8}OD)^|emg_)6-qdyQk`QQiNe0MRm`0BI|)=@Glw=jR%yr;EDge8@r_2VaD9Rz)3jn{wB@UBSzW@OfhSQaL=^}HVDvWn9+omP=wry8VURK@Vg)_qESC?NijRU8 z@#TPJaaROOJ+u*7+sUq6&-gE+B4Qi^BL*F##+Ul(&7!IdY=2PTVnbo<$r{-iuH&v! z%q*elnmoBA$#ieZvOzx1k6%X8^cbOr1XT>ilYV9ju&mfk)JH@*xt}4xgEoRYk*6fp zOeGJ$QQc{du(2S~NO3!XcCmQH6q z+y>P{uf&-sfX|&!m{A~9>J>&96F{i!C`RnTC|3`oVtNWF#D>_S5fu*9*f4>(oDyv$ zpqnLjcI{6fHwbxbl&rO8m)32L;RbL)Y0aIQB8qm93ZSWt(H(UZ)i(NFni`2Ij(>Ls zo*wSme*7AE7j(5UdwX|M#h_(aFYgfXiRi0e_}LHvm7P;AOiyKuI{O;tdLCmN+ z0)G-dT$pE0V)fL7E^HJNr$~6hHW#04!@xKU2cOxQ&WM8ky~eV-A4i&D59;Z`3ax`t zO}UifqELJ08er`D0iCa5@Q5`r1`pqWtmNbsB?KPVwzD@2k%0|QbmH%?$YEuBpnfgDg2MtF@H-X#7?Az5tt z{$@cedcbRsCE}=^E|;p6iWpk^xYV4?8a@x{;kUcbSr)wu6`UVfDc}jPa-LlK!}pAc z>5m~m6QnyN`Yo6iKa9Q;F3E+q7=VRkWGnb@1qw+ouMZhv;vE5I2wT8kf>)pMuqk2B z0)uGq%zcu8apX@Cnl7W-)=Byo`l-2v1YBiUFd{L=6zw~32#s$r`bEKpR`L5DqYtrX z1j`n1hY>xz>Si$1=p?Fy@O|=DGW-s*Ed@)(FX$~3Wg@a9$&O3=7@fdia54+)HDX#* z8eT#a536ES$%%#zl*lnAqON*An~}aUSIwcKW+T19#V)^mJZ{bpY@qGh=1xCWO|oPf zYIN{6>hh{-H#Yxd+Ntx#L*-PgYq~!iV!~}M%(`ry+wD4rux;pNwqQ~ByjnbPf#Uru_gJCDeRd&b|4O(Tj{eY zQw=E(u!SSP9dQP0gU7*8fGu)OOt+pnzsEJv{skI|w!V@W5;}mu3e|{ z3vM2$b(ja?G3NZ~?Yoe7ePb|Z%sgzQ8imk%JtA@PXW=P2ZW__YJqu%GYY)x={Dm(B zlXcUAvPJx+;)G_>=HBJ-?Oq9pFJxijH@7*c?V=WpfkR81^R~(-P7tI1QVo> zFcLBZ@(Cdp9v0>L_6VPhXP7S;rl>--eAr*w`9esKCp>`r4;YbvfR+ZL$+gSd@VNv> zDF}f0)nmj&Q2^JzH;j1S@66EyUyUx;CXpM4$PGHGR-8F0Oz&W}@ZLY@`5Z-;c;IQ- z#&P?3Fg3I7S5sHNF10M7LrI(_5P!W?Fg?Mww9JF!ryU^^11F4)`B+VToCnPweZbAo zIROYk_j-;#+r5E;$-Tjkk60L>lmsbc9s;wgP3G^t9RNZM=u5#NagPb;zXQ8~H^D&h z@dY=mPvi za)IWG3_bw^kDP z#UGx}N;@~hMXNy0wFk6s=D5oijC_VvIZO|Tw< zvqX&S49gF}Nf{4($VrixCSxDA4;f+feF1g;rna+%fRi|F)s(8A2=x4Ka z6VW&k>O%n_v%lypJ7<`4p`W0f?m@?j*u(R+E&gMaL4hULZ-c?G%s~^5B%`*e_9@~8 zHcLYcZ4uO4@c~U4WpYdFqf!U# zE`rCU-!YvrH+~m<){@f@8nAJrlMhFkUl+Jm(^kLtpy5A3oX9u(4|O7T(^{RR*cG0u7&t;lh?PiwBz473V}pRBMT5%6U}FnM8@j#t(=#K z+)v_Q{p9!wnl)e!kAp{JVJ5Lmrtp@}&zmm_sT9^Z7<0?K`_}jSatHI%_K<7RJD4nI z*T5oBb}w)ZC)yrjNg}*f6S|}djj&6`Ev8B z(&J-+_eciwSrX9p0+2`w7Dez_<$V_Ptzaq!N*Qm0^kLH>=|hw|-_hd3DxG7MOU-@d z?M>>EL#Hf#{nX1k+^MW>heL^*)pf^$Qg*;2TWJeT5BjwHm&lsU$FClGfQq#|&$zF& z17`Tn-VED3^Nj|5A)MzWP?~m*1?`R9Yema4TN)POi`uJeUh}W)OV3w^om^YxFQrqt z1&KY(E+O_Qqe1+mGJ*JnE^I#;Y*3ZXB!}F}35jCM7F3&xthLOJEOP$eTpv9K^qJcZ z^lbT!Ps@c(obZ8c=Qly#(z1bHLEDnA5oqX}`>Thmpf{tKAx7%G8V16-_5HLvf&3Bv zl)aLH3Oj|pkaFo+FslOgA|)zXOO@%Klgx|}reo4MtVT>}VQ`Og>zJpRyY2|m5oF+y zWAMp@82~CINDVkdv5LW!_QJW+tQ-PqzNx1GT%bar0fEoWRj{zh4rW(?a0cO6=ktY$ zXtD?&jvzPJEJB6m%Glv#t)PZE5=gSKk;G{|s&~uTGK=?3>D{XH7A%XERGcDqvs4-p zWUYvG7jzcs-QB!gM`XGMi##S*tcF(%X&_|Zf-6sMAFmfwb~X@PKA*it_@764A-ZYn z(K-4eSMAB9Y)VYtb?$fVR=8ZBp*L&zhM!I3Yn}x*r!x9PN6LpYUr?JyVCgZh$8U=@ zE809yBly;N3IVO*tBO4)kU^(yqL=<$B*hEuZi~fB6??!HE({%iGB#S=Tu@!6I@_$& zo@^qner}z)+uvX~1@dh3Zo9L5Sw20N?^VcFb9RkiC1i5cZJR^Z7~-R&H734~inNSE zzmKk2G=!ryjG51zIlFWSk^6Et4~df)0mFqN8rfq=k%Y*P$gkqAVS5sajtpNHfO{qx z#DdPKu*7vYZ`|s>fTksvY|c8mi3E3-n;8S@<_ewxp{Ao1Le@|pJLr#KpeetR0oozw z>IWGF0zK)IU*Z&GC>OZ;@$L=rY#PuVcxAt`?8Zjx26Ar4W$$$z?cL2=OyuLwYiy?@ z6pA#4PL&%lM6j8-nz)*sREF4VEs0Z*Yf-Sy4M^Q6*0Ul%8&SN!`yS6g`OM@87YXm4 z%J9=k8SRkB#*1{UcfVJY=w{-GN0Y~prxm8%2a1_7?|=uzs9-zXj#U(?tf-up^VA-l zQ{Gzk>QB}%UbWl7Wfu%#JEfDLH@AXm*97E6seF}dj(O4&6Pl#3iY;S2bXxk<21?0j zw1*UXm`9q&6`R*5ZR+bz7$~Rz%BBEUWS3qN*K2oNY6Irb^J_QD@mTyA4Px%W@@Nh=)@wV?29zy-U%csAh(xr{SN&0H?!Oz z8EBIAuH2b?#VjO>+B9h~fSeQ#KHucRsTBE?4yN3&eogv&cOcP^AUz)Qp^Qd?GU-rL z`2tjxEeaq}==>;Qk&>qsX1T49JtYR{Kb=}iiP|KL2NzAgLsdFTnoN11JoXlLJDkVc z)sR!dI8J(%0$sJv2X#DbPd$aH7STmC<198}_!&HGxXBHEetOwTo6al5Io)nnEq$8X zYDY;#F0|@=Tw4wHHfq(kPtwg^A9vu{;|KV!#JqG5zq{9B%Bf47-tMW{?DU^wiFKXT zNwf1bvMjd!`+k1=$@a$0@aS|YD5F0vqVz(m+Q)gQO=$T_*ZtCr?ZCrm<#^KO?v@sP z0C32a-)&IH*qHz4!h8B9yMI2wIe`KeYXU!G!Z{&DGS48EaYx9KpNRRNz9m`D7sPs#>Mm6`$% z&CQMz%0!G$_9!nDg7Mnll)YPC1>k*|ELtoEi@ek@qWHnWGL-uId8`cnodn>0Knspa zP}^+tLNc{PBm3ohsL78kqaq`d-z{+#l({OR`K^4M2)JRFVcF63ijCxwWh7xpK<2hn zR_*mbvG72%}C_II~m*=waZ?^H^FP~uCM7ce=OL!{He2l+bdBUH= zST7)v1^|NaUUL-C~pfJJ265KV4(>>?omyvJ* z0yBY2n*c1cp0Vdse-6Z1r2fRHS+xKzK0ml7HVsbPF!yyLo2^&0;c$jB+UUaE->j9L)*LR-&`eg^W%CNtEb;akUvn1vX%+A}k zNZIl}Z2(ViF1+eoCud4?6K!ECSrtF~?EC96#MDwaLJDY6qyOh`Wt{y>rLe?fr7?}R zs?}M|6q-rdwZ4iA!fXYqIkIpnR5jNNfdpFir}Jc)n1IQ$dzW|{ez-bGmmo93aU)cgz|?i|zy1LpC>qaowYQO5Ad+mC0(jVm2 zr4($$lMznubP-HSVDo!Kk~BXIpsS$xvZ#wLmt*ls0eu~_wPEoI{*_xdW@KLV?crsS zKbvp!2_2mW2I1-~ghG*FCytjIQju~Wvw)XNemNbAML>XMfkry$khZj;Sn!fz^%p^Y zq2LO`sxtv26>2&#=ucB)4a3>WqCAs^AmuZnrc_C&(3syk-TpYz)HVy)ZqWuWZBFU+ zcrD%27mr~}rI|_BzRZ*ga~QOx$xF%wNH!DAyM9Zvs^v+IZD>`gs5-6mouy`oES=`Y zh(Ty!5v3yf%ON<`@A;pb-3*ooOt()h?!(uwTBrw|Ou~FF)JPKIU_oO_O_h6{)e&Yr z;G(kLq!&F9kL86w_=!Gd5-+II`G6shOCzvoiq%Q*SjGXZn-|iI0CPbQjKT#Q(J86i zYGKX+Jj#MfD>P3XH3dj5oa3y>G$rp45X^2m-<T!l|(Z^s>Y7>GXl{Hev@OS6zvc*QSwP7Y6c@plg_u9j3w2! zie0Vwxj^A7(&Rb+ZT>bfg^@`3i;Z3*nsdS!G-^z|R*=SOPO(AXK?H)7IbM?lLk1Fy z6cP&`;`^+oaQ}Tk46iL}cvNlS;kXEdU3FcDMZTd!QQC#G00w0#1fqn;C_FB$D~q^C zcvTpB6I)$o5if;kJD*d|8Co^M7=O*_+$DqsJg}yq_@xDAokEDTSiCZ2LOv1nRq396C#Kp; z*JzC<{t$7To>g7>GiX7-KR(SfO2>3CD56l-zyT^%+%QeEKx~@qy1Amzt@v2O(9ZJq zMS{r;tNEH|ie>W70$H(8Y3RcKW%3{pf;N%Nq^D*kLNX1&6myyLsyI=?)MyQx7vZ#^0jEhVxDHM@5*j|_G;a`|dZ4ZIfr#;9!NhVTJ_f)^yM-TyM^ zWf3Apdl?#@7U73;^?AFzq#{XKnQ^u?3D)_2lBN++y<$j@EaW_CQ6E@xVZU2+d4S8N zTCIin=>IM?NE30xq6OZg3Yn}UP}|scb~86}_!63>z`t5@OssE)hEf=*l#B*|S^9&s zfb1wTeG(ZKOxz2m4)-<|MVZUOxY0I}iwt8BqugB-wnvFjRLKRag!4A@{w^-%14M~; zIFE430EGpV-10VR)*0Xjqx7gbObA3Rvzl-(Xt($q1WcvNN^sV*!!qIW#IwrA?DiVx z)FU}hcFCDzmHlS;)xt$>=f%;0rIR0|E6}-1$PLw$npYy$@LkZ!${!5JW2nK#2*7X{ zUfDUk;v7tU(Nu+CBVNYxYk8JSR>k2dd9xNV4mob7Lh5u1WSM22bnQ8wRj1E!ui=?@ zF9Xw0P%6(HvEfs8!)-XVkud1<^AE(^+25f8aP2R^H^5)$<=eQg#b_V|mVE+RlQ<=Y zeyzO2Lse)Yf&PEU4N~AF@$e*F5-1G#V8iCOlVekYgrNx&8~E(8Q;%i%aWBtRs??O! zoR-gO*!1i=mVP!Jo%+gOQWZEJ1=&*o&T8HdTm;H&nNh^Yy^3S(k~u(t5J4h^HMX9y zPo2~vO8Xz>&fL`Kb{{<0)adz)E$LDJ(DuH(tf_iAEpj^Pim~1D955S**|?$8`xs$q zkc`=UZWzaZeBYnU`ap12{!-B3=Yv+4$|X`^3u;<`NvgGM=*~9wh~}5Ls`HE!E;+{n zUn5N+oAT9$mp)^OawP>KN+3HwE;KZn%)HXrR%L_a;P+-8o}}@@$(d2du%M2l&qc;S zOI!;SZB3V&S_pOd_5F`tC`$pMOS)!E?%}B!I3z;G14sg%;=-9(18crOVLfFvxTUuhsrDCnaN2-;92EzksTu59v{N@gDMlV`@`2;wSCN=U{eszj3x~M zmA9L!X5bD=#O{@Qyw!Wu$~G-?PW~Y(dZ@Ubwv2_9QA|xZ5K;rIEyx(gJUwJ7RoFzQjGVyybxXz*D>@R%#_3Rm$mF;!ysn(!tQfU81g3Oby)v zaZROOl{M1x>(Y3}sT~Tq*P39!E$e~m)^d^<_0tO;89r z>9ywp@qtp2u4OBwi;82)hxgk@^bMGI816FUp>n9)!j5vNl<+&A6os_duOHIMs9~Ty z+|y|v-Y9SxkmrmPkz(|VF(Eg)(nfxjKt33DNLf6xr=Uk?Q$6e!CE}V{StnCASjbcX zLJ?$SNsJEDgP{<3Tx607ATiCmiFS{|2`;V+xGC~;a1xWKarXUj@s@vx8L^)cdY6lH z3HyDYsh84ODiO&*h=kKWZHuh_0E>cbH_fDYIzm(aer(PiXgGa*Y59cW@>V>LX+gJ@ zt)zoJf4Nj)qAh&#ZqIAsK!^wnCKBJGLi>7Xg148B2bvv&`36dy;rF;1bBFN1qyy-N z0BT75vWHR|^N1pDt6fW<8MNp}9#)0b&kXaFvtr=C!2K(o8Z zX&Cp|+Bpq)%uNAT%S0z@Eom(^6Gn2yFvqVtA|A*EcysY3|MzEFanf<4PfYC2?)4?v z1}kn+03bKcq%yH&1zKM`$EOmpB*nW1w4lTey*nD*atSYb0zL0|Vl*yQ&SI?hPvk(m zWYhAMe8utuE0~(4y~PK1X?58Mbh`)=q`68r3I^;G*ay`R62oDp%7ONL^TA=>bWv{M zzzU8^$5bnrg-Yev+cGAC^(1U8bhJ3(qX%baxhQI+Z2%{U*xLcTS1pA4YSc8U^>z<*| z8tPz%TeF{Zk^9dC*-;XHwQjL(7yM!cro^R55dbzJ2&sgbY7`~_YQOq}Ju=8q znQkH_sDG+Ms6{Nb$ad3Y(AjK@rZ=_Ot7u)BorBwdCX^whOkv8T&p6Oi&g+jBLArd7 zJgJG2P#Z@bH_9UCK3UN8PGMf^n6|zMu}Ccz>=akAvk|z z)=V4IN4=AL{M6k`G3Rs|c0brDlawu(^e5NMfmQMHq*#HNQBOoCrWe=8_r}isu48bE zfT~2=lAgI*mM-Y4e3#jQDTA$AANJY;1GR!Nf11SXCCoNo-j9ZMHDWKQ*WYrwkO^X-QHBBbireH%D@5kfFIn! zNgu~A!wlz2RS(&*PwqaW=#K`o@enHii#p`*#ZHzmAPFw#DuGUZY|sWG4kU`uEE zA8ogb|8bVAl%S3GrCb!sL}S6kAxkjmXj5RYLydWKkA0p^3%f-?BcGC97}u-=%Lk5% zpGp*y%o#rMGp3{tQ;mk#IsiCS6aS5F7{0f2wr6eUwua(#I1T?z#u9=n-E!i*k+tJ`>TCA%@g9R zwT$FuKJ5vOp^9GN_M;F)ou1x(oOpi)9jl;{X2(yF0*v~kNhAq=!OJJ~rb(?xe~LeL zbfT7Ni1k8`hO0(3Q6?2pCUt$}*4L0)3^XgFTqPZkHvBYR943032i#Iu2C(_sHk;^q2)jds4T5#8>M{eX)ARl&AbmnQ`=q4x-VV&uF? zZL$*SI2=9C&0r2OBhQwDMjO?|7~^sYh;44gnZ$U!5=#Y^V|9O5H{Cr>g!cqr@IIV) z-~WjnhV5ukb_Z?39`gim?r7P*P3kcvAPEd+UHe>jrwE>X)w_D6>`U}(ZF{5=4W|~! z!Kv~PR3mkJWEH`~s1B5_lIKyPkcN`_|KKM}S)hJRK`7YZYrX>&Nc_N4yg9oje`cm}N1e zc=mTs`in`~-^o*oD2I`tj26phQ^@JV?SFQ@Kp7)=k|Z>at=YdIYR}!38!;f zjr;#uX8wv5>`iqNtxPYr{B03VrCTcsMYGMOb>q*rHA#Aqwj^xq=3->`!KPVbXV)oL;WXg{Jo20@te<;KVE=IKze$EvP>&ps;|36W1f1hhd5!jYpf_hV>fg&$R%O z2b4#myBG%;xn{yO{-V8`^lbf&Ya-ogDQYL>Jv1;7%`t3zpHcMiI=!Wh_&_4r67sn| ztg`woL*d~(NwS>W>MvG-P${fi5?N^JBKFD08mvcaVf=Wp!d!!CQ>np{S~JogB)bfy z=40%DhLHTFkPI^cBcWn6+aO_-g&;TZ1(0NR=CCbNcl~!HOXPph6GoMEal%Q71c9b^ zh5D_>5TK!qTBVxK6sW;y!zxEA*^XhsG>BHWB1IXRw*fn`d!jM9MR{t5t#$PlOwa0K z{*)Pri(W7TW-)_u0qDFJ+2}1?m^;OKFKBN9Jz@qDCiEF{EJ6HW2my-FE|-V!uY7C@Br zbO=in{=|9IY!8PIj9X(TdAs=7XDh_FpNx$6wKNehCe{x)wO=wptmY1yQzr}N3KNIc zu^W!dY;l*bBC4Pft=3M0O58ZpX#B`0qu7Y)3I};>Hd@6@EC1@D@^-G*!R~?$JGrmSgZJ}i?JlnrzqlG`UCZp==*4h2&jTRLT5pTh3;wje0 zbpw6|x!N|i=U#g4$PtOF5thtSfuOQAiiYq7mTcA0kn!Ch|l3yO`l z=U~rn`RdyGX|Af-9dG>7A@F>ZE+|&U4%QEKy}0-%Z_WOVM+0YfKweJrbZye41T|0aLe&xey21K@%Hep7Dt2^GNTVpN4!?;J8D^KwQnFYG4O#*$ z#eFL&MrGlM2ypst+=#Mkcf0(A$6waiHvIEwW0E?FkxIE!%5fY>2bN4f3jj3=< zETPkXq6twCs|z{tzNMOKG3LtxSTU#`&Y1OTrkAz<5Dh_AJhgDP0V4O;XVI+O!zlRr zh*hD|l!%)PNBO~OHFQ{K5uiwx7_&`j5*>GZ5aEJ4_k-fNR&kka&(wGi0lh8z*TAm* zKEn7>=x+cCBK?7a-l(g@7<~Mipt1tPr@76exSm(n{i{ZM zljB`K#GpJa|;*ElLq&+h+T_X~%Z* z>NV?L`NCO<%=T6-3{XAr;ufIK#dvLaYiwnD5gt#I=$eJZh;r8 zP60R4a;o5Qv$%sT00zPy{nX)p<5AXRc9$#zbmQdzLo03Y2L>ZJ^LpV6Y^W&_JqRu@ zfB*{~iG=FQ&VJ##RN)n!#D^=0 z^n}-$_2bHMgNSp~4GsWnqSWJZ<~^U{c-E{w5Z{NfOtU^Enqs5UD5P%By#2G`De!c3PidF+CrxBLB#0w1cZEaM{+?tFo znqnn1&hOK8{)?YVT*QV}ViiR_PA-5yQ(ZXcGv2$mo;Z)Vo;r?rn>Pd(U?3jVm*e5h zn>*$15VZhwS_&;<9)40D#>_)O>%5S=Vb1u!b0vzPfL+$^7{NX=6GUfD_cBDPxpUYE zcY-tnjb>U^SQd&M3#pEiB_itDaM*lASB4U8i!Qz6 z>|!^?sLR1m=td3q4N=OMJ5KT_!PrTnS8aic+jc>b#PKtj1h9wJJ6#=@n%nMAW?jjW=*rxkkZUt6P7g0jZ**J622+fsKGVsisAC zTCuVoCas|#CZ4~i&jgth`lRpzp?uQz_zsOKtdwgBrsPxNL|&1hXM12pUGv2QQlRYE znNb}D2T$K_5q*;R03T5YcNvt=3#DHF{B)uui^|y^xr^Id$v+_sFLrpNPxt4B*9M^l zM3cP9nI)hHi3I+W8H*(k-3SGbzh|U=RQhKowuv7K1BkjYxCiLVOYk=3rE7oS5B{zR zJMudQAH@slwe>ahwVH2E2#p)N9X?pZGn4z*e65*Z&iGxzb&6k(FU>Q#yC?Ycr~|M& z-i}3sppzIC!V|zxfDjjmRl>&0ShocM#!rYXN-7y&p=}!u(GHh1T^-#5os|q*xTxHs zj+s}T<8@kRTXYSCRd*IMGuo2iE8!{G{qyh0xhT3sfsUq`tPuMe0LM$N;Huw_Ul#DF z=*u$}+!xW{27GqJveJ^TXSs5&c8t{wT|^MJJ*9y)CP131%F>C)2cI>?OJtn zsA_j_uG`JeEr70tM%TWVW0yHoY3fe4!XTqQt3{_#r(T1nnNw?U$@HxGVeRn`+#gYE zP;hJTbIF#5t+tV|jpqZ6qe%9(Ox530qWN#nU^mx+&cGkNfW7{Bu66nYwh3UAZrg8Q{%qTYkDCp>}Cpw?i<<$yOl5#IfDrE{%6`QAaRhGC-%*U{w!R5gU zQdPQVHC5%fP3G-~%jJy<6Y>*o6D!G1G|AP;$`ks~HRyZ*ll(g3_0x3Et?qZ{@?BKz z!k8l`&H`}2=m4F+39S6U2td^n9mHigz^)kFVic%mK-C#`Q{6iGEniZv;U`jOgtcEZ zGxr8#(j(9tMKf+jFMxspquXMLyp>Il8XZp92lY4Icps|p-IU{dE}C_n0_#4&)nKrJKUJ3}il3mw^AMUeBsvkx z%6vo>SI;ka$V8Q&a|E9*P?!WInh4fU)U+GYQnLT1-CHc9oP<%cN`R6uRGYL%xu;|5 z#JMlR^oF}{!{jZ^GRR?gku=IlPr^5!O*^-<4ifp3v;Sh$>_6O_(wosIMlt!moicf? z8}~&t%m@4iddngFdAC3|0^5K(_hs$9F86yIOqs_T3}0~3jDn(q*8+^s_bC^19sacr za~%qc@x##XDShWf)0uNkIw^egVyL8CT|%mRH!Ibh%FW%@ejO%Ufk8n(o+a(ID%agG z$t+dYrasg3^p<2oB1LJEP=~fX@;yExRf<-ZG{-ok>}rU*S?vSg{0kxnja=5pjP{P> z;)993r-}Y+P&L(P6<;>oC2h5Bo8&HM#=9nG$y<zq#xJHXCsw*M@zs34%O8sww$8wRw_n3?VvD zfm*SYW~s$0Vq2GeOx1X#QHzRvNb!_@<1@I&6W7DI` zBk3dPBUZk(Y{1_EFG2qRHwqXJvl{Fe6jiM`+ zAZ6RMB`Dtyhbs&wH$jO;HpT*WfiRXRQ7-}pi=O&bbqsNg=w>@tY5K1;YvLS4^f9n$@f^~Z&CS%Kyta3%FjVFkTQ+&seM(!PEULz6*fTXA~S<0`Vq+GJ~ zAxYr76+t;XI8wSr>@%_#ReI#yLFH`bSd{(T7>bnUb+bq z8BR_r`5=du$brm7{*(vWNs>Y01Ky)Dhp(r`&t1py32nu3nby(b>DO;@NcRzmVIF)) zWbY4*+%7zoZ%z{u@p?-)4TY5he;zW+wc%U@c*PtD$gjV+U$MSIjFT_i&5Z0iX@tJzbxdYhXC7SYQQAe5Zq99(lu!pQCo{z|7oS80 zLxsxAG?GyYwsMJ-LfESDDz|%vwVb1Pa^O1-@aWhT0wfK$3|4=X%%1@INs|F^#QsR? z23&94dqXFJBeg?$_LVntNnav(y&d-U4h!#pQr^(DqjU}4gKhjt=JKm*U?#~Mw7HU^Tx zRltAPwMyBU=nOUm;H(8O2d})R8g21?@{u_>&fAHZ<^K!W4f`4TnnVA95HCK#%&~(t zt=QSu;045pKh#;@7c`fC_R`OpfFH;(U=NCbrMJ8LDy)bpP<7kiHPK-9v~$yvzUz>$E7@f$B-@Shj|6Gesb8{+X7lEK9O zt>nt~tuXo>{-HTAeS-+Tl}`Vu`wP?fF8>SnVEiUJ{4M*NGO{v2{j1OaQ~KTZZ~OlM zg#0HcgqeX2iv1f#!uqX>%F6hy;`%rIRY?5?r?7l0p#D?-ef^IO|Jm+u9sBp#|5*q1 z?{?pP|K|VJ|EnMSH;m<9`M>4=*!^w8-}Eo}<=f_e03qLY{Kwq?OZrcn|MbVdZ2vpQ zzj?NA-~8u%|2_YI+4#5qU%vP|_P_G~jKT8l({H>B^LNVfSC13w@BRL_1JwUP-@oJj z|NZ~>egA*#zyA#dSBAeVrhlj)|AwUeQ}q8uHVoUhHnhgKinC1dWL@s zx&F60uJr#!$MtXi-#V_}xB8!TT)#hp|F_Bf3o6CU{dev9?~o}B|G|s-FUS;ze!|Ce zNUcy&*Zb8{*GdVPL(^>7QrXmrh!mkwQ8ulhIatjqiu`j7h0{>}b9^2?{hBtpObDFW z!teR$-OqB(etP?rbN1HhPXXaC)~Xj9lvV&8f^PRJInS`^u7EIjQsA1cnvvsm=1U+h z`}y^Njb^7UPFnIQf{?z65*Wkdvp3ejR=V{`O`NH>%Opb{jn8}dFhe&NUhRRhBxFm$>;>^4S%(~`P426L;Mb|md$-%^^Dg>P_cgr#H8A1lM~#~tk}xp+;N!Jq z~!4J+(g`z+{5BP zd#PWd;T7gIECR7|5QHzWoufTq`@p+Ry9^AZcnJ82a-kM4sjYh4B)l|(LkYXUyOFJ0 zILJ@oM?>?wMus`;w6^F+gC&FH`&I`DyC1vxrQ!3tJi9`-m_JC0hGL&X!F~A7y));oS#xLRPm*1gO4&;G z-YfOIHC3IrflP?W5Iz+)6(Jo@l!>{CtQX4*vN#sKpVU%JxC*|iy-m3dy)xW3v5;}o zbrap7?Ow&Ej{ByjBbr3Gd_LwSqGs8(@g+`kOKXx-d zD}gWxV;?#CP;wjGLXwlDgY+yZ3rG*UJA>^WP2v&r+6)jn2*uDvMi?&X#qE8orOr;? zOoRZDI4HX~RML+6^U?`WbX3%FaWKhy#&|?tL8Vqn(Vfxrs=0MuNo)u8<^`QYUJ$WtzV7RJ+~amV-bHj>jZX=@HB{-UKaWm% zKb2&^rDpqpiaKu-etBI}bY4Bid@RNA-;)Tu3di`oI|@7wn+e<;hxl$OW%GW7ITHFF z84-G4`NeoW3O{ThvVJghtI4B|!;@_6iB<(vut z)*BYJy|21e)O2e;Kx6mk9ZpkZZLa5QHQuWWPc3wH>1OOUYm9tsTufP06@+6ac_gR7 zEXTw)jG_>4-Xj|X4Q({YmyuM{65^b58yN79-nubu^ZQQqtQ;fpl=;bDU*wm2_aL;2@Y6${E+QKn>MkL5SvN!gC)A12%!MiWTU zBm1t~dh=<&w(iU8kL6gLGWev?Y7rhXfH4!F4H!UhH}A{izRNMv3@SpLaP3~UVCXpv zDN=Kdy_C?2S4>DwT^pA%gk?(p0=bn<@ixr!*56&(J0HK393s>h$U@wlwDg_SkU4Mq zIr6oyF3;AH;>iG4Q>XY&8ado_}UXCC+~m%RrDBZzUDA`!)N~}^$X*s zSAbBFW8$FAM#DGs>;pt`<5N1$D7W-QGAvvU5K4Dp8ImCqHdR*$3`ut-=ErCuEfC(E zs*-%wn#xS)=ryF9=;jSUoa`nub3lfo<2sD#13zn`3t3KZp`#5I@tC44g?j#B|O994`qKAqNfUYacG7vK0GhjFKM3zE7?=|spup2N;%0MOqtsv?7rjshRO)HL*v$@sMHZ|Y69^1V0 z;Fl<0^@HSnpU?99zS`T1Nj|#Q4@r=!#5Fi^DkJ4`=1GcW=vobHCy<)~d!ESNziKaK zANi!Z)UF?BKQ<7{xBE4t%a6xb5GtaTZV-hY8k6yT6-VwT{W-lKeU-&=X z!8@V9ZNhzn*e%OPd%M9g7FD4g5I_)(YvdL>J#|*TopRadV>; z;LHW#)n{-^%zMjZ^W-F#k673Fiy*-YE$L(zy@U0Uk{~LZ%*K-X7x8u;jyA?3o9P4T zHsA5Kj**~zjA0)mO`bk+-|^~*CC*&y3rmqJww3ei_)?db%X z>Q&z@b_>=84u>ZS*z+4>=;au!0TFMwA(6u!qcfS;LCqL{Tcu}w4GzL5TWUM&Uu*`K zGSXxwKHo?y17v)UFIe@5%hZ%VrIzf1B1jF2T*!+8x_pGYIvT-o*>nII&V52$18m@I z()8exT)NV;VEzg%3W1EPP<=grkq5|gvyx(EzwT&wWOBJHR+Mxf<*x2io9yY`_{2W+ z+@H@4QJ(lOXA@>ULJE;gA4fpcD# zLq|IqR$7vWn=G5rK}$kg`I})~?+Jc5ogdw1=^9;DPBkNBNt2x;FYk#Q^Zczxj_y(~ zA4|V41q#eoBy$cJo#n+&i6$f!a5SL9U!6`joA>|HO20X_D7Oa8#5$-+cQjC!`_|-Z zj~TvMwI~ah`vxRtr)iB$DB4Q6TNP{sC)$yf_=YI+uz6~*<~>OyP^#115dsrKh?y-I z3PgdW1|Qk`ifPHLH3SPhv9LhNrzIdx$%nr(4ci!LjWUZHmsP<#t|DD5N@}u>JJp1|PaaSx*}*+ftFPbS9lUwic()P@g4Js-b3$mQ1OP#|DjL&2u#!@(&OqDT@6 zCuz|EjUk#lw5j$XT#hs6J~KQ0gH8;-_qmi?fJDt+(klZ(=x;6-U;LM9W(;chPvsr~ zkPDciFg~vGQhyTr55>h_e?T*l0Q1d=$pL6v%!f5p$d!9L8|KW11*uUi)Nt1fpY-RJp(?3TU}$BkQ{Wg!r{!tqTL=_D zFyRzLX17z_4%50Dt`Vt(0|FxB*~6`Aq8vy}v zmSdyJFA>no^pjY6-I|V;PEg5QoWBEffQ)7dRTG*`*FETLE+ntwD&~ zK(3VDp5J8SNxP1kR+E=Uqs3kl+I|wD>HGNCy!3)qU0Nj}XbJIf-1W2#Xrlmh|%u+G|pTq+ZTscA?PFd+ufaInNXD8upf zyGGqYz+8#FedvY~iG3&8GzC2rZ7M!P`hN2scWAOdI9w1Ot>* z$XCVojNxPYxX0T3OqG!dl~8B%!s8%)_6JP5m9+kP-$G;m5cq_?S$86#n z7*0*hvrcV+jRON|Oh`g|Hh?A!hJ~>$h3taA7OWa(C zr2aMX8sw4Osi~Znkk9vqtoH=p39M+&DcJc=N1OL1g=gJoy~EC3_^W!sbk^GIse0C1848Bl#|S1MX>_WdL4~#u4SI*N z)u!Y{FGV3)WY^R>qsh)|nU?G}Xgtl1q+iK#kJP3~4L^S*yR}nuuxD9$e z`nz~*;cjhCK2ZUXL`-m~y7)nMS#;&IE?JX)hY;R_?17}0CZS-?O@V`LF%=5$T#F}V zM2RVSX;OcI^CyvB{_LmlpCE@n#8AvfYcPht4f8v9_Y?2gL|O~*N|KFI?8ugLcjy(E ztU*Z-DMNO20vks7Al?VA+>ExveI?Gpmx=hC;+HO1N%N zHRDG%Xsa<`L8q<9lTth#iLUWpn31@KZX8ApU&ey=eIBXvniR*Ch9=u)Fv*uD?JbH& zH&_yLEGEB-7W_rB*s}+$43P_=@fY(@x>U%a%~EnpqQIdE>+TaRR zw^O@E)sYm(6NeudqN&~@4Gi{)Jyjnn`B0hwiz>6RqbyseEx8_@SoD)`M zqlol)<%OsfD|3%>1vIo$9*Z=3e<@XY@4$u4D~}~>J*8y5TVI#h?EK((=2!o<8Tw@S z#>>5_lkpCFsO7dcrAgY)IPN~NvQnWO_B-t_h_W?P@p?pn9H=U_%Z4Q$Key{ep*eBAK(lJC1SGqC|@HmsXaDC3Ich_W|@K-uZnj@8o@LRmwISvN4Wpm_sA*NJxN5> z3kJRzWMAs5!RNN8|ST0xSBAmSEk|4r>9a;J>&=@kP^oz71`%7WVvzUzqX%I`uc};U+?qj$I za+x|mUPhhNo=5Vp^mj3`^S(D1DWT!y(YkBKt)L>qUW({w7sV*jN-MD6@G9Pg@*B<% zWmGzp4^o~{*oC(bF7rn84|1xhz`C9w+g>Z!UeniyAS<#N-w7H!yExOm=S4{(-gNY5 z9Tmu@1^-ODObBy{xb;~h6NWnOJA&W!2v4LDC76u_#Nz=v^+!8#TO7L-cOvEYaW;lkv+kJfq`Sec@nxp!Vu z9PLj~O#KH(zf`c$vVK!gu?z;bVUNI4rZRiP{?1vEQnKTU^6=wpm$Bz}hmg1*yB>(I zlsz%yY}xq$ICq{As|+l|IQ94ud#um!@5U}o@(R|*J67Y2TaB&+ov^yNHBTR zBGs&or91$?E6VuXNSW!FYyFuHRD{=f!9-}}mI+$)eSHnezETO0QuOy+v=c6ArljGZjwKa@{CU&Djx|`vyz}U_kySn_`xpT z@+-~0)YxpvB^q>n2I?Rd7t0TOx{Z^(Pt59+ zqzXJPXg7q4dqZ@afYXQBQmzU)ld!ZY)wKbq9labN`W53^A)3BjWz9M=LZAX%}MR{ARPaM z;VeON)LPFkQ?Dhkuxx5Ch{|OG7QEtX`K0CiSMZp$Gzda9@B;>QOA$8PmVxY1_f-mm zvKxW(U$!*zAOpv!y9hf+=F473Q&P_eDotH~JMs!`_?(bTonQ5FBemfbtWR-;I7xz< zmyIUPyj;i3i@Yi0%mal6TVN6)r4PqDD-~l<>%;(sQ7p}#zrhUy7)$JyxtU;mS{+V? zQ3U9=+r4Ols=z}b-h2Wbi6vkJa?>1@+z4imZQX=w$vea2E?MUP5TA$?Y~y>v|Ir0A z!OqV_A>hSlBD?H!a>Do{d-qd7>-&n}dh_~?*Bc&q_Ug0(=c(3{Cey)d+4d&J)urhi zBblWjVaZpWp^1W3Q%l}-gfGir4eDRQJ?R&N>j=gO%T1Qe>R78Q>(rsFfgwH2;6O_f zV0PKp`7L%_ry@~C!`(aoEf*x~5+ZXU@6MGiM(1Uy%b=cQEw>)n01gh;$7!i-OK#zEY?8w$(EH+eek;R9EdY2}EOA6rh%mB?#|YUyM0E*_f* z&CnHqujg|Y{w-}$<0WPnF>`|)#vwa6U<8%Xm;Ux9bm>7c^El@;kOc$1b&>qAc`tsL zR{FOw3%w1i#9aD8`5iw=MUH&U461$Z!&N+3m5`lQwWR^z4hXQD5&h|3$+y;=x3Y?Z;Q$-g!?CVE6wZ3} zZye~+(gU;Bjd4z^ARZFt0mKf=4K-sRohBU&S8xm1EpyLv=dI3q85}*8ah@^P2XOi( zZJZBfHtj@zmpFXdUJcQE;%?bhKpZ#V*MbJyefjmqS z?idMba9kUB(@3!Ng5OK3R5S0(WHnvPB8Hyi3#XN#!f0{muV}t2m`E|Yl$k0KA?F)X z1t&w%s^?|PTpO9WlVYCmjw#DR#2;pbXBjXH#Lvn$ljRtdx|3clDD*>p3PtN>ZW3v8 zsQJvftF~%pk)H9c=>3t0o_?*TX>X26-Me@fwmfTjufdVaFa(vMErEyo8Row?Kl#3T1-mT&CHz{C z`+{TglUs$3@!BLCsgURL9jNz)K=eI(|r8r-`(w!z7zF+u}weJ}_y9LJ5AE(R(oVGLv*8r z6>#14SoV`pO>3&heJMySjUFoP z5-vGu{ z7dF>4ch{FtKCMjeechK}{2O%E!X&SH6+S>n_emFG=hub5yR1^4=`~#4e-Xhv5apF* ze#lQzO<@XF_;85JjgEZ=X$ZyF2ZNr)_^H*8axMgQu5HU5Gj7)t*agjAT3DS|KCM#x z+;C#}4I{#@m%pP?N>GV;pkS!c}uAv;66CYuJh1p31LEhXYbs+;tjii2}GK7`>?F(o%Oo&Y2TSv zU;CD=NGqIFU)$SUf7Og4ti85_=!C$Be*mBQicdzCr0LVP63%%T%T|u#ZIJ@*hBYzx zN3^GQJqv~(kuEu9La4-}bm@^n6_H&Bt>)Se{GBrU7o!i}W2QRBH8#IH4AgHfcme}q zM%}5<%@UWSQK@o;ciP0gCt5_rWqL|U{bkFTz-)hHg2=nJ@lIu)0ZmPxCKoX!YdZ1s z-)ffjK*TIL$`;g<+d(OxD)tjTmpRNcH)XMPJMlNB8|)s1O=zV9T=BO8OFDbYraT9T z`rCOCK0|Sn>GGcg9?%7DpmLvbbxofxRq5+>&(Y2s9CN1EIas>Y98s(CeMBJ4JDm$h z3B2?*AD|T^n{0`fEKpu?PtQXil4DX$PA|wq{~$P~S|^92@-mk6__pSh7fA>wZ<@I= z?tzEK4%_!DmB4z>Ezd*lInNR)2%jKF9nEa*;2dK*Z!Mh)wFk;Whi#ZpEg8I=#y(;a{KozekO zQ3bP~XLD-2PO}o;U-pshlbPjw{!w@#?W1LzT~e55kvBqevKoHEcr)nXpv#*cJ>#g3hzb7aJcHrtTbBD{m>RE__%pX!E%8> zLLhiDKeGLf9KQ9!4X?6;X2oIs2tczSPE}Z4H_Qpr2-;QDgnLj1B5`lpofm~;5-R0J zJk~+>Io4TL?$iP=>SlV$SUh!;`Y2iEv2H#UKoMmRbg6+^M?>hQvq50*0mnHtX5aq3 zE?sNwkANyxvsUy_4Rbb%kxBSxR>%5_Uhh{_#ldCHbN~kV)^ra)qnz{BoN;};H$KQ$ z_l1&^%C=mxcS?AXR!ZNY<8z*ZAhv&@o0NOU38J1 zxKnHWX|*Km@>Crchp!S5Gl*^LD^(syjP|hf{IyEWA08x1)n{7SgzyrC?r#+!6MQLz z-lW~(c~n)lEHeDLch{=wz!n>FlU9Q$#_;BxKdTlI3@)j;pA*NIr&Xy~;`@kI&{#Dx z2}GE84f1BY4qgoy3ZJ=Xd3#j5f)1Ji2nP4n$9|14R{T}4Wonb$^|9leKg9Xi7ScTK zj9pliqAXOy6_6SZ@_KBuoDp=;gcvZ@kHm3pfw1FvKj_`9{@kX|QsTqA0u!Qm4q-Gh zNB1Xfq!x2zKVXg!<~_%y(!5SaX4z4+ySAikTKn3RF5%CBNR6NnMuI#^tZzAFm?qHx z%#fX*2(I6&(s}oS)zcyX9F#NfU|zJHz&!w(Y9K;}%U{iU_QD8VF+cGvTc|-Es}W{T zh}~cE6O(x_XuMyo!27jKbgrt%!Fs4iBdF}4)EQ)4S)m(i2jdWbE(USD8*kO{+@hcT z-gJU+P#C+>Tk0-_hOD==DYWhV6RT9DnrNdk*t|#%9!-A=iGU=f0<9ArX%~K472^7` z0ZHeMDEf?=Y|k-TN1q&Eo4fi^6)kCG(qGxRq||B1WGW&6-0^-oA!L;l~^RX?rQjU%^bKj z`t73#N3diIsaPy@fe7t{I1vxI9)B815XCY$sp@zZwDead3#RENLZUanTZCNmyM#`z zG0(7Z9?vwR)E&iC78BE~1Y2!lQ87Xd1B$=@)GQTT zk(z)11Bp^A%|8d$uK>d=2;P}%Ph7hrtZ^?yPGe6YC3E90z}2fC=D7vNTc5ORo|xS= zc_ZndDiq^rtzuMY0BweBD|TSPXa*k?&E5|#oa5IHIcyvq(J)*owP%5lFs%ZrATuWL zaK){wf*4tVy?ID|kmvDX;Z%$Y#J0#Wcv#R|6#)exrE`g))xHMSiP@{4tmNNTU$k zLW$91hBnXIlZu}&@?{{-%nHG9_WR9}?t!wE?jM}Vp*%sB0fd{8CHOvfs>&rmNK_5Z zq7q57KAZM$#os)(eNR*bP216$fXQHhO%g!K3y;Kzc2mRvF@)ZQBFmZwJGqC6v7Zd& zQ4+>WFTy>fwBUo*ChuhiyRR{$3zKcq=uI>Vq1z03RdnsO0*TKeh8o%gFgCq`dy{1TbNJ?%Z1p?EVUyYrK1tfwzm-CL7N0Kuq<6aw30D+Q8jYf25lF{jlwLp zr!>Jz&!Wu6AHRVpy;QKWMz9t4w@9fVH&(w%BM;%!4wH{29_z_)P!<6>nKB%T4pjd9 zmzF^&1)SfM^F-4qq3MKNHQ!7QY+^$v0~EyRB+4<2>-+n^E-Nt?@k^Lh7%eVGJFAIP zOn28@i}eM`q3%uZqNN|@U%7WgBtm!q6Cu|Wa39I?_ChFm#ipgz3(|IJbB{!sm|2Rg z@}q0qXcr?gj`Ek>GI}@X9no-ej~D>iBTBq_>_LflQ$NZ?NK|p67-?MoieQ!J>L-EI zz?1`|?{NEqS50T3*%eGtp>cf@qg~}6e?3O7AhK7m%3N-0n z3)$LKvTk?4Flm@hL05*g6ZO157Ea6w^zOK-P0IBxbxla?>0`zOZvu0KQ+(6{Y*pou zs)YOaCHxS+mLcnL@YNt~!;M>$I31~=kztnF_TU?lQ!Y!aB zvxVGLKwz$d0OVSks#7Q~634gLBAM7l)1di;+RueJXBtw4XKi^hOJARbi_DMa>kKtf zdSuitOMHMaCp*#$rbYpV7pHZYSg1Yx6Pwp1$2^sPk!_je&!APWP|i(k5fEOrQjW%| z8F&D2#OjFax*H49{#u*m)TZaAJfX@D4~27=oiQans8Vl^j5hVA#X^pOWkgaiiPQr` zaXhMoq?;gJ{>bIT(>;(JontYzjwY!V7}a`=s+z~dJ@S)WAG!rI^I|~67G0DTRJGdo z%P#yjpEp7~%^xR+7>c^+<3cU7!F#(KDoroW?r9c(q)N=!1>psf3~@mu35uQM^+U_! zAnbO;=L0Y~n$$ZncllyS?sMD&NhB3^gGjfoXM`;o+QMk|A-biM0}FI>zt0`I;$oiT zMeYxqQOaiZ>Br|#hP%-;MJ$1dDz%1p5-GBVS>lPo?$2hV@7a)4*;}JJ1jQI&b%ud-pwA9N;ocb~}fzD3|ch3zwS-EKT4tNE~oLiwYkNNG`DV`xTf=5E40e z>!&bdStkCNg8Dlv<59=?H{x&Nc>#--jePzivaHI~?C;=y}IM(^vd6{Ad)hz5i*f?0lXOV)! z?pTw5<9Y#u$azH`Icv8+zY;LQl3-euYnN5MI?&sqD}AZVvc}{#_1{Da+dWFLnAFwP z3oFCX84ox%;1zM3A$w~^K)jY8mWd)EAmx+l#PTcsK`6CovCmWquT>=#Cj7VTN@n;p z!f5{>dlBF#Y?TJ| z!`6hHWXK;ZTZtxe?VUKzuzH+ZXxeS%xLBq;Pn`(AMtGJ+Mt2HmqFjt^Oo7^2l){V~Ct9s?P2}ve^r=SB12O8ehfk!5c9CHD+CJ)p! zxk^+LxX%@<-VQu6->Xv`U}W%($+1u6Dy@tf`QxOQrv$S|4UgKh2gy9XvY6=#`dTq_ zI^nDX$68^G3WApf3=-A8LQvQJCVG$o@2t#Zl({pynkGWYD;j$Ufu}VEK+Lh2XXo%mLiMd2 zMwX;DgxH)T3cbkjz|X0B`f%-5IJHBhHqZXeqBQC8SfkGlc=pbSO=@}MD(=EQsT;!; z*iiTWqx>B?UpeX7S^k4|ZquwRX7xk>{w`VQt#yC?*PNPwIG;72@UN>1A1I@D7^93! zM$=8ALNOU$LDDs!@dx|cLA=(=d5AgYOre&+k!PGHK=?B_M~{g!TELWGUW3T@DAKwu0up|jZ*S6zQgmT%J$S_ap~ek%?#DlRNL zvuuUHlpv&l+jgiuDZ)i}hNt>#rN-V03)QvMVXAgqMO&8kWNSpC2$8X(8bH$~^|^ql zZQ#QB@F(>Y^;5D)&Ajt!1-;_($+N1hGe(JQlq95$BBI|&hz+?3n!tC(8}gFa38Jqq z$pJbs6A1Z{$A?Bl^G!cWQgIX)C_N}*a>4U^MQBiuq2lNh7h>{A?S=xg?3%u$m=?TB zv$*TbiDZ2)nz+6?@7@nQ%!Cu=@zYfK$!Ydfsc~7j^pMK>-Mqm;ree(1@$*lGo6jBH zqudZ3OC6rcX*rA%@=2uCOM_9oWRn7Ryg z!M3oZiNH+}qo?@wDSqhOwh5THo4%-5`4L>v1VnTmD^!B-9jeaaEI~O`rg@75gsvS}v|Up9jY5D2w(^OGzzw z^TGp})r{kzjbGQD27j5+nQ=(X(qhA{R-B z7g_`OD_RF-^tg;8?;No)S^#mbFPACrWotxlB5_o&shU?x?e z0xGFPE`ubNVNm-j&Yc|bGq0n0bGi!-jN$&z0k--IJZf>`R@hw6$cW(c4Y+ZB7iC=|Ge)fMdYTVt-o(!V2 z6O$!_TYiYnTcdJOG7X61?|}(fqpfA42d8tkl}>C7m=cQBdpBo;nvp-(^)McAg6w{u z@7+-z`puj)a?8=sU!xYTXYHSF=wNrLl+OOq=Rx~=I(QjB`qdHDRfX#mOckyzq$YzL z2&aw!SJn_MeV>1N+dYv;6qK8Cry@6vxSPi1k0y2x`bpMC6+UuH>o6Up*R-<;e(y*I`gvtcf+d$lLEmByfu|baotnhg|UsW^_!R`;Wvp1oW zf36?KHlHWo{N=H%Q1+PKOTWeIzwfeu)D2|ZY9uMWcGnr$uzAowj6I1Pf#*U}7{20v z_hx%zVB)KT0Idar4Y^@ajMYHXQr-}4&=ml?FIj^2VBf;aRU7HVTr zd^|77IMy9@FVZWU&mN;(GkCp5-z`6McwPDy`ow>2dYqKEKFn1lly)$jq0=MVqSzwa zqPg5`(gcpB%tXoT=L6I^Rc@^A9z?fO00GrWCNZ!@L0V7!8iFPzO6^&!s_3Ah=&Tl1o!FEYODsRrUF1$#c1XM*SxT{e?w)_%WQ=FfyoM3)QO|YPQ})dsyLGabbPf zyg!b-K*MYC^1O__(7aKI<_muD!A$&HHeN(V_>}g9hY+2EYqHb|7?HL5(nfoUVdLO$ zT_Yp4OaCY5_>aQ*M;|eL>NmFk;vE06IRB)xa4<13|F87_%6pXE0VYHYVs^GpunbDh zhED%FRCaJS`6mOGLC(PDpKNxTPeb%?=_n+kDypPH^WQrrWjhsH3nM#Y6Cx&NCic%p zDE_wylJ(OusaY61nL83`u`#m$hjaQDcKX)?Gb{7|p7ft$>z_9N!ZeYOUc zLDI><+QLZC*38=ElNd4x8vrCsEX>TEh&~w?EQ6qi@yBxc+D9e`BnuI9a;@M)=^%Cv>30a=kE7y?j1wNuu0NHDZ*FD~?PEs}R~&cb6V~ z@vPh_@pQst!!8HL5bu~X%Wt=aOlrXI*6E~yPTUG1&fS9PE$Lz$w(=r(H5-c*z{tQH z;?hCu#gflde>&WKK<1WQ{_CeQY6f;vL5*{mfgQIfP3I^-b>5a)1Xq*816%Rley`c`a(*4`?3pX4H{=Te9GKx;Xgzc5k#`?<@2WI$C^Izb3#IPnBD-E$T)#X+`>&N?+}6Py{We$%wJh2?qxQi0s_6+vlOEqjV(i|HOwYRrJK1AOLeNi?U z*1fEcXK%8f34Eb8efRhje)CmJAn^pM_>#6%bo3cFI#tp?e_Z}b*EjWT{#u2E_QU_j zEga0Jii6!1Qb<8I4RSYVtJYegIm1NwrUn}lnAdB+9av48fhGr2#Km%fet}7VM1V$s z@)=ky$P8Qj1)HwQe*$f62(rpcLU+eb2LygCbzPi-gcyn#HZ)N0rM$*KfRc^!V+ZD1 z*IspvE;_<<0J=Y6C(p1;9jz(iW+!217nB5oxhAleRPM*Vu6OJ5k^)ItOw;gFzE|Id zqs2W3aSX6;hwR+s$~wWY+besC!GD@K4$->DTr6Zgj4lR#V*Vd|6rI#wdTuJb12CZA z#}qd?FI6u&ZQ$2q@ayl_R`Ig@RD4q#?mCvJx~RH|1W5!aSqvSNQE*c*3?r)pn1Syz zWjoS4r!yqIa3H>!$a*a=Sr4%dh6!mz2k&-TFIfl42UrU#%G4mW{FmjiDG3n(0d}sY}*7HafGp53~hTMdw;AC zv^C-(-Nk%_JQN6$b>sEobd&XxHez)@ncXa?_BMQtVRdiFr0TEgbLXuvm!gM~ZGvvn zMxrh-J25+{i`-k!$Is}a_EqsXq<~}3v0!nZaP-&6)bP~U)Cj|<-SB60UTKyB!{d?} zx8wJjl9{{$3MmR{#Kh=ipwyuhA}|`5MTJkzr{_`bWO6(*b379^!&d-NFeLo|yiW#7 zdrG%SPXV)N_>`YDkK<4^tW5?lGslw~s%sZEmu8+FsMUu9qo%-xhuD z!t|cT83f*s^*(n)?s|k1_&Az+JDcJKT~YWx=lt?|H9~x!6ZZxA#bi8Py%9cdc|Z7U zy2{i}*TDm*i(QAUyNkMxXGd{mh=d*wZI!K{@G(S! z=Cc{;dp)n|&JN6r7X63HOrA!&)mFwX6Wg1!!YEwR!XomBpx1skdLDLe<_yWAcwrbE zyrYV4+_5tBS_X9k|cft%?S$Pt5HS$P^?P+H(DOT3IS-DLNgYx)M#? zi8_U0bUlci02<3J4%pwq)AUjNM}Ypyq5G9JX8fP>+jN9OCH^XH+y z{TMBTmPWj^>5rYVlF~b-cQB&wz3OtF`=$2T+*o+UDt* z929VEiMu=M%*lk8tu#q#hCo-e>ll*Ea^0efq$y`dRqD&~ylHS=wUcJOgEF9Uh-M@F zAh}E&%%QwU$x=y8A*;qC^Y+L0GcqzLidyyhiV0uq!?7GBEBc&*kn=IkZ>u6)eK(#8 z$wvFE2sd8$IDp-39nH}5$KcuZR{aso` z<>|9YEMQ?PvH7y7i3vADNh#%G6H;^(4Ux(>_9POx7%ijZHKL`0X?(4IvuS>_&x^As zizkJat(IKxCE^%!3|DNbCtoC$J;&W6 z-6dB>Qh`zCIM*$6M=71fnko!d3k`%P{PVunk891-#zo7jvmFQNbS_#pO>1^<1F)Or zxAWnf6|ei$o0a!>rJGgs)lgv#q2-dMHbPd3nq^CA`wR4s?4+B~N2~GPp1pQT&*$M? zHcw5y`uG$Jj>bA>3$EswMGKzR>xT=zSNIuT6ylrH%dReu_*p(hLz~x6t_W8~KOgOX z7Gq_Tgjmt@H=Qljk~vr2GGx1Qi$LpYT(>*|JBq}HCb@MSQ}-E)%qoP0q?{t@!hnsU zOl;K(5_hJ+?0s*gPs$rZmrA!V`mW}-83!P1HzfDtq=dPa$5F|-7dy1@2Ee~@^)=e|mW8rcIGqKeB$iffEs zo0~LfWzQyQU0{2Cy$ypw@6z5ZBe_;%?1>{clVjKzdl_vP_y^bp}qFSc_TAb zcMX^!cTs-!j{V8vyskleS$ir;>zHn?lr1ST_oOVJMGn`)t_PO)+#IA$9vIvndOIRK z6EH~`O3&WOE~#qo`9hzZrEK1F=*(1_y;%f@9Kp*Y^5G=RjwE7tVHid%7H*9I4WXp~-_C zm%~li_poy#X_g4w@}2I%M4XmnjaHsoEXmgRn(*6Ai{~=y1ORJs?-tv}db5SSk^^l^OYMu!;8MK0#2J=WT9b9z z(JSV`sUAE-s~qRV<0Sm7BNRaBKyqMm1!qDBzzMvT{zFCdo}n71yS4(&a>jD{MUDA{9Y9-~HZI zRuJzZ6+ThD@jJ0iYP6@3RpKA~R-!{5RGJt34ph3yi6Nne{XK2uGTQfL| zs52Yg*&pQd$w`TYMuk;)-DiBlxL9tlPZ$UN3FF8hzfO0p7}Ri`+CBo%7Y;>Wd^i+@ z{si_P4vA&{1LIWl4~fXFVB*Y+0%b5l8;IFX23Ckn^F*M@WbnFb6i`iC$4M=}jvAg@ zp`NOzr&!n+9yEk$ERZj>urr;m^jlk@)>X-l=QtOOQ26ibf5N!rHKGIU^b{)_!;6M6 z=L*^JI(DYZm3}WP)SD_<+G_Tit(ATuD^$2D*>c?P%)ibB>1XN_W-1w@6b2>lzj_!) zTN~KM!a_C+LQ!!ATTw063*wBWLAlFC=9}Go!Z>3W`+s2E`Sl-*>$?T%d!&NlgB+(H zBJ@&4>mG2@6_r0!rjcc*TpZ!d{>sV!;=y}P!}V#JWo#)7n<|0!T<~NJ4j`V092LAd zfkwwuK0jO-!>sEotg`X?+u7Tri+5WgY($9gyqLq(xe@TjiM0?krp%*S#4XQ1>|#I2 zsr{U$l(*W^+ari~HXX#3j;Hh78iDAc$|=EFyfUDc5{Bq8&m%M8^NAG!YBA0s^^O2f_p%2WFS5LEaM}TS3rYP+zo_$KFJJ*3 zA-cdU?AeJf==uE>vb`m8SN@y*Mf zPZ!6OM>V)E`|08;ISNN_H0b$o^KCOz<_j5`O#>>$9N`Ie({R^H%T-Tpch|xj_-i%- z-09{zOXXh{&`!P}P^YAhH4x}1RbV#Y!Kmy@n9Fi8hXvSnN^3CBPD0Bj-G$2c0B& z%Z2o=KONyjh1%jFlWK1I6z1+ z#9&=8D~mUa!ZMx0(0FM2`I}JUNFd1Is#e5W*g|Vr3AKYN(5QJ}%V;a+5 zqs#JUzn;5S7`M{Cs!jK569NTBq0jq_wq9+X&Uw20gultU9kx+@#Y_=3ae zrNx>hMHS9=3+|}rz~w@Wu_3ZXk<(&uZ@DVg|8(NGQkyA^y{!ON(@^quTMo0XUZBo} zKlm>H)6Y7^MCkI2?|`$|$%dwnY_xt!rwr%w*ZuBq_>LzrQ|AiG%42QzG5OrDfT3Z} zY#fDyH6H~F7|`7#guSRF`R42K;7971e4Tkee6PaftW)X83B;_^3-i}WmMyawbcB1- zv`j5W)oob!hpk&`B2398o}Dix$Zb!)|0`GE7oL%a}WGZoHUhrnpmQZ~lp1F%GAJLCN)kr!Dn4?XZSw!ex+# ziNKbH6IcUESrg+!JH<hXdxF91`JxR;l}rt1(&xP?*q3&%J7MosWHB#v=y1= zv5>RQhFTO+2y0NgV(0eb`MlNe?Sa*VU{Hh1$C1c)zz+cri+ze#RbF0rdlY1mClF4;x7|sAH#INZon2UR<$Fpq*y_HcAorRD^Zs! zUVd_6R^XB8E?K!>q#2FMMq)Xeram<>XOYu{yL9)aNbTV#4hD<{sdQf|_3X{slGJZ9 zZhb{&qv+1i3n$~kS?(3>Ag%JynBlGA;ZB>W)wz$``u#$@udm0G^}s19)WLjxKYg9l z_j8NA-rmbCVY;VDaN58mno&NCVhAMrzvD*d zVO$KAsz5V~Q46)xqp#8r!M(SeK!KlW_RKE9SE+}H?ER^#JZ_rOi?&1^{1+KF`t3HQ z%nC8Op^18I)u`7k#S>E+5QD1n0p`(gu4s|QGjKmn&ih!@evNBrSD%Y+)iCY$*n6v> ze=r?P5rRV{aTYmVv>?%rKn`34wjZz z#8$HI$rtbLM2OvH;vvjCu1`v&F zO5&4Qwv3UB`G%r;e4C<)e}r03=B4s(Y{9rvt_5=J^R%cy!ARsS(twYS48J2=3-E`y zd5Y|D?dpX*Fz(rS5USY9PHd|6FDS7}@(N}YE?+D^L&`*zR;IPtANz}QzfwKETPgg? z8-YP%>aisb?`>QW$o0rGv@Yv>D-tH!V^29~5OUdv#kh?8xjpZuT<*NLd}wXhXb7Wc zp){KGtyr$4i;0!0^CrZWB84DsiXZWIH$?*wjf;>1qH*EJqPLE3bY*aLcX2RUlZ%kP ziAXU)2!uA<%?XMecokq?WNj{|7FU#`wN+4CFEx}`*v9tORxq5gvPB8>W=`?xVagd@h9J#C392W z{<4$BQsg@uyt(If`E`SFLL#lDiy3fZL1y7@p|48?-5jFi811+!uuEHQ-tQsUQqQ)M zkxI+UvrcJ6P@;$n^s9qC+wGoJ6w-7dHN1<~^Va5QwdYwaMftww?Xt1Uxsu0H24lgCy4xtt3(_U=3R&6X92jSE#Ui14(*$kpLz22V6PJ+~nT zvT--$txVu6Q*(&dxwmeq#i-Z5etX#d_YQz8lZjlUbXU9z!O2W5_QSFZ(*~(Mm2tz3H6I z=(7wSZ-j;_YVFEnD77AZbco{9zz+E$!e5kS*tCY|`J#<1(3qWTH}7&?|84v+skf7G zlh{awSQKB7xCEMTYyT}+!2{|7Zer$nFa%+Y!Sn}O>>e2qJNdeyR0$3#Z|J%g$U zzx?U2Jt|AVIJ-3P7G)|N=F6uKcD!)TvuLx~L=~Ki;`~9~9tM~FJejQnn_~>f-g#Jd z$vv~-@r-sWYQ!zHSnB*Wx9(j{vsYqg&r>ko?`Pl+*dKJ%I8+N8pql8l7ZA=ros5}K zlegP~uX?$2t%_OPcdf2j>;u^D5Ab$Q*oEw&!B7_lT@>4E)V}wK<3~EH_)X{R9ElP8 zPC<4dHZngQ;BWDXNuV5(Ud;v=Ly(5n`}_=aZz38OK$77@v!2@$YC30`$(R@V)+?IU z^^!z9aJv)uGheKU2=ZKN z?PDRh3*9EG7!RlaPe=ar$aD?#UZ*Y>Yj-E+59=Zwz+}40T~O)u@T)twc8ue^M7Rj1 z6k&=uR=VqchH;a%D*&Sm*-h_eqH$@gTn^`>j&NKPd)}A=@Ti6ZbBOyC%;|@k&+A7W zt@w723DXbi$6J289AT&WxN=N2_uw`IK8OHck8dnBZ~WK%P!7sNt)>n=#>jq_-rBt& z1q=3TS<^?$;E0_=tx`fVu0x$KSZpDj^3F9t=xTYsJKHIPho#9S5pcWf5@*J9(wFMQ zfXCdC{C3)xs(X8KD*}8Itt-03dt|N4TnLZL;B)WT2;B@1q-)S=*QP&OF=%H7=i20| zU&R0tBER;8sO9QpC|yXdBa@o)Z6IP;THM*rLSS>RvXHMDJqID-!=IOi{zl`-M_bc` zUQPJ{Tx^{X{xT-y7@2}q?p>*v`>m5MTpz8URm~9n<|de8_sf#heRRQ&NjKT*`hC2a zvdewe;SW`$4{L9Ici`uJIN)4xi(DX_V8P<%d{ljZYBQ|T-(Kw*sDGTF_XnfpBVe24 zaOEx#uvryDy6ULenjZkeIo{E~n`dFPeDoX>RwEuhX!4t#PQ59z?G%a@K=9(lpSh5t zRJgG~rJw?=<+Sg*XBRhGj9^*R@vB__zqr-Dy%VPJ6E#Q_mz~-kjxknJUS;fKVpVBN8d$NYrDKDg*fn;HNik0PTj-d zojm7{RmO%+!&t3)v#+XwAIbGdTj_#|y;=_E{q{trGdNUgbgC&C=`DW=8THac+c~%g zA9C_Q8SJdVP0`;YaEju+J=12;PTewuAs{~g1nBhrjmFU&|15lS8eXrjQE_SQPF$sk z<{h;KLgOYdC-xyfSupz9)f!wjTCxm2-lfp#fkotwi+x!8_Du{|^~_Ltz+)F6z62eQS?`OF|`9eut6ehlyE8ZV(!0{RfRpHbP3m1fg-xgheARoyv$HG)|C* z3W&y)kQSgRWH4h~HKS!&wMTfofehyO5IJpDU9st6iD_j5&AB5r=Ad~UnvOlf_wn-- z?;}|RW*Lr(D_9M=S|hoz$?Pwf*-e~AxG%zPW+ffkr4~`D89g90E&;V8`c~8lzV%7e`w*d<#`wr7D}|utKp)S{2NrLAaX>14@(j!NLpP)vawl z`1K@$d4aNM!xgb;rXd2+m>hz&Cn>kEV;TTtKqttVmXH`Y1xL;Nu}X9H&?jqTM{|Q% zC$CGX#l_uN<>Q}GNN?F(PW%V6)ie1 zHr#x#_kQt4p{uJC{K3dmVC3P=ri+orz^8`?XdJM87S{)rZV5K>Uv=xM2y|28kG$D= zU7y@shi*SeVvNceQ9M&eR2h_QX*@7*?@2fnOiL(awqQqKn8-lEsr_!dpk7W%(G6>G#EdO4g!R$s0Aj%xmVI=HMO^ zerfw)$f$uCu0=@rO33KiWQ}TRoZ8$kLxx{qoNFXI!8-A{!wt1W=#R5Kc1i`<_-^Y( zS#iHgh$#9{P!+gB*woIP5sm#pXj~T%jpHBETkwCt=@T|!S|{*)XLt?2iM-n#Z$34! z;T~g~HzCJ1gyFB!4n2~+Vit3mXHJzB#y`eH`KckR2Qr>}$Q(blkAGF}ifEOF(kyM; z?$&rI&wB((Fwe}c@;X1~SAN1AlY)@y1Y?BJ*VMbXi44MB(lpkj-uH2GXZ^V)je;xj z(YQ)gI8a90`&yZ;c=4~YPp2?y9K9oIWLLU)rh`E=Z8`Wkd%^jmUo()B?F&)rKOut9 zI9a=8W3KXPiBgQjBr=`u#XcPt0J>=bW7v;FZ6uM|TE_in@H}lTj(oj1wk1H6*n^qt zh~8c!-V-KzM>7YyO|Jd;G!X}>m;m3|jjeoEDOJnpkN-G!FO=NjMx;6G78T z)8aAMoxw}x1HooBdJscD*L-2m`P;rQ_(kJvdr&4nv>@lFps0&P4Em*gED6r-T@Z7&Fo`}tX>>-&DN z;TVrx;9#Rg``QEujpIM=vlIoPaotj$7-xH9cCrieBpo^%kNmR(_fAcSkwgo@fu53^ zO*FZeVqCW7r_Yu1en?lp(Kyb|tDftgdK=1KmmEK>iY5LV`CBUp<0)UmAXNib*}gM1 z+gOzA46ztzLJA9?Ca0K>bTMBlpe3vI%3Ab-=5UH7PQxP~j4!rAnqv-a_zmZ*ck7?N zUB(J8ZaRjE7D^L2wd{F+N;-8}=0}P129a?oryQ!HoTYFlE_MlF$DR*1{N|h~x4wpK z%mcHns{xJpUurh(4;K!?VWwZF4@I$Q=j2oDXAwgl?WCV#a0>RxyYKN1!Wh^30$9|W z9Mjx2$1pc+jko7h0+7%u$NN?gcJ=TD{dgqYdhCx$y46Tt=eeqGkAA7@_;?=95-yiN zql*zF9bWRt>s0sv9(XJ5(zUs@ou5`-l3c8CF_>(yVSZBZ0SCYqKK!#7ud%;a8lLq zY~klBq8{Nj9O|x2QY#B)-eDJVk}#yHIk2l-v5-ttG;uJNWsL34V^U@xuDba_G}GD7 znFlf9;4B~6?Nms9wG#CVv#?vjcca;`LzrHlfs6Q&5+I}50`T6Ju=ZKwe9i%%G8=lG z6@H*DKv7h~?8y}%wc~qzCn60c zX@;XFkd+ZTs9Xz8dAfxi8zAW$D&t%dqY=7I)aE<;oko*xk-(g3Y}fLDOg3~;Qxd0K zO&Q3=S)05Ar#=b*a&e`sB#T0!v&rgnS9TSd>R(skrq<|N)qTuLFH%v0CPy6$1wL^_ zXd)jvi0LfEFXIau!VK9HQW*PCGkoAV7HpxN^UgxqW|vxDf#_2~WR+z6n~UQHa&c7l zRAJ+nZd56r|KQ?^60>TDe@L<}2w`(Kgz?N&`8&XIlK%iCs|O1yV{iN9D2T2@7*7xM ziy2EZTq6Zbp3w%5Be0^jx6Ums1Q?$LJpQcn81lbRkxyWH)O;A<|M9}-LP`~8`&9#m zyLc~*(Jv`hn7Q}_R0-iZ(70RvJ~n2AZ7#^o@P%A%sT}~Uh=yb znt(U0Llbpy7`otr9yxX@FD=bNS}%PzR47(_6Y^D60ZXaPWHLX|VAxD}xVX036ue$e zB(7{lIO;tI3Nel~5T!4tK|SWNX_tn1-xNaAKsJtzrwxHOxT z+v@dXOKA#c~Xp--byqs3g1P@wwaC*ieo44$mJm2RH4~qE)W&VqHRW= z6)B9}fh^yU5iz=ngG2Uq>1W`&?$f*2wDzw4QDsRp%Hea#^^daVyaszUS2!U{DpSmuD*8;NBSSS$V zae&4Zyj0~nT@-_l3dS_JMp9`&gD?F;Sk6(o35Rr}vDOWtkop1ooDDh*qog3F=m>L@pxh_VV}#bB?%)^%38w5?%L zVs-tv54pK-Xk&Bj1y8J{QAEpM-6O#QX^-N!Sg4C6A);Yfhsyj6%K%&<#p?;Ce@ z=XjyPSZh(hi;&+%JAFu`V$?TIzY84Llm$kvDOTR}cS>St!=6YYOKJURkLRBV_PVVS zf&Wbr9eA*c$F7!$BrN9nG;OPC1Gg)6Tga=00$a;qDZXajfpAw%e$*15OcFJ3z#W@X@0DaguAX{>1~1avK^2Qp37_DBHY(u!Pgm>r@m3fuIj zA!oEG`p+;yK{&-(-!6pAlV|Ux(mnZCS9<~lBJTO3aDuVWqPU>vu)q2YN;A=Tol;iB zyMWVaq9>Y$axA8A$ZFU9U``~@$^k5)_)7-)QE!*8GWPgm$QWbZK*##otL!i<6~*kY zQg~o5%^9V|(0L;D9wILZ#3%GPP*WCDG}>~#AM+&N!)=0B^rvMnbPu^bg>8ktg&uz1 z&!G;~CHQ1bgF+A8z9gswqZ$OCVDR;=@d}u`WaK&l%FR|Y>rg1HX{eYfG6UCC`YbeH zhz;z4>+_}( znRyu&f01#L%nFOR!X=-H(_=*udU4fD`R7pfQeh!3eKc_%?JW0(_eYrax7C>6Z5|Kb zW*dn;BER7eib~l(-Mh_iDw*oHN+t@^?TKSN?{jhu2^KOfA*v=lQoP=So5ggaUCT=d zZe~SlM-W97v<>+rq??8A@u|@MzO&mN4l{k351sbfGQwqt2#u@YO~?l#HZkf6Llmid zj?NbV*^ycYPM*Lm-oD@WTYqJOtM|}y-1~heql$%>f$(zO^`k#!@`-cHr9}D3wmxeP zW2ekx#8YBm2^xINwW}8F)|Tx?LTL08lFFTgtWKatYW&u9R+j$8D|=GfJ}eU~5J^Sx zCOh^`!Dq3{4t!NRL}~>!e!qy1u{{!&Oi-z=TOo<@CDs2R&)+DXes^xvVV?Rdjep)QQqp8!lP zxv{gv_&vHB3>vnnCE;Y!@p=tMW06YqZkU02uHP^RQU4f?ZTQgTvogNJSg7`pxAPB* zH)01bIE+)JEDl&ghql(V!8Y|PpEVi{%47`hqD%HLyL?9INrw29eJb&(kYrz69d2Q{ zX7~o`ej0eE1<2tXqYAwga))WxOO~$>s8Q^QFSci|DBcH=aXjFh!zNBp zKr*hr9!fQmbe6mkhj@bb%JM<$-8T-LFQNut=mKZTWeD`qodPd{dP++$V2}dWCLIXm zL*Z}TTCpP8=zwILB6R_aQo)j}kowG_( z5dl8iqo^`tBF4TJhM1QX7ihlTv5N%kdZc zz^@ecx%)k}u88(k4icHi#zL~h1D#h%1QE_QTM%Svtz_^9^6Z35A-|KvZ8^aWbM%WQ ziq(6;s6K#o^7M#$iNV|LX2iC|u;pW2f(nM`LwT)IbJKG-0U$E2nP!nq5}(>V zi3Lc;EpQtN|7CRFabHz)+-tdI=;R$j4+=|R zHpMp7tu#I^CBCGyvq_wCxrv(xSfP^2i_vf`1>c8c$_Zl-81#PsL&jO4a&oraRZWZLh}(Gh9U9K68ltYS$Aa zM>>kA<~7@jJ9Usk9@=VNm`dV~GOI1TQj&AMn?Fj@BHnJcc;4Q1`bpeL5kS=iOO^;J zu_@?H7sywi&2xO`s55`DtGqh4&99MS2#x-TwJ8c)YR|%aK9U|_qCPJ|Dov0;rK~;h zp)Imfse+HU!&x=ozI=di&Y60bGSm;p$EeEh;@BH7=gsV_$~KR3Q6`X1frx4R)u2K` z@ZEwKWtiL#Mf4OOG$KeBhsZ6w@e21^m+Gb9H`cJ{g%CDSuvV&(+(FOy#=LI)18!)? zb;pE-=t&RuV>T-z&KDJt8+dc?a)4-DQ`*3V-!fF~TObJ{mO330?ZPi$Qh=b|svpQOE?Vd=knT-k5MK&sNM0l$woJx6R^n6ZTT(=E2c_c2hZ3|`zz@tj0EnRKK82LE#g^?es$Q_WCHXx-zFx3 zH(5inyPn)G7b2C5cm7EOosVNzN$UvV8*gT|`(_R%uqU#<&?)vkzS}Cj_TSzS;`{>V zn9Q^7CE|Z2pd1`}9q7r3Z+3rB6WHdt%*!qlSG-Es|14pp-^Ck?%P42kALZ&o%SE!j z&9_(yg_WCgj=K;O0iWwm|r-4krOFP)^E|$7`BtXW1%6`l7e81X;yAOvG9JPpPj8BVj|w_*qihMSE8{FM?7eypyrE$&5us4G zO-gS_ll7Wu=7?Lez8A-|bt#d*;J63X9K}CnDrlraN%8W|n%;OH8zv%D zeWPIEnETK!@>~-BbWeb5W_iJU(RT4o-j%0Zr@PHMtff2ym=k6@?3YB^*i0I=)ndmN zQ*HZ>+g;+ZpckF<=IRWs0**ezoXqgWXtPz_ z__x#Cg%K&nYi~b4zHFa!O7&<}O6&1oB>sANhK56wW~hC(IWl1{KqruIRhFQbTg5jM zXTBjJdEG`oJqWdT)o}n6!MO4Ub4C!sYjD3tECPRT9_ZM?<+WxsBK=dGwb+%^YiWEq zvVC}JOIlBjsMGtiDPQxapZi2d;VdTZKRxc&9A6^U`S=`mZaL3dKksmr;cd9S3> z0g-mGBv9VB$RX$|;VtGF`>F{wbFd=o7lVh1cy^|;*+X)btA~x+ew%#u$f=h=J`vt5 z&wrPE?|2G0I72VpE3a%{qiPv-qa)&aO?H%!{zz_~u+V{zK4!}wosOwWvGujR^T@l= zVPD*Dm2&eyJ&IW6)%%WaqeUD|YRB4-Z=6_|)rL zQt;;XMbg8@&hv%p7IIF~t3dZ_Vh*yUj-x`82yl0cScz@QtHS=;ZBA8{(uYDJB>|de zKaydp{aiYP z#+>ZRcip5`GYg02Nr#pV!dwNFCI%`^sydCu?q4+Yc1}Otvfp@1>Iq+5oLFi|z#jctN7mD9)0HB;3@Yt>?K15A?aHgt zUUkW|`{FB1XsH5vd&ZDA=D+B^jY3#})6%#Lu~TY_m0u;LrgvhiESy!1e6HSW6|1y= z27U#k8L@WVSel)rXkx$4ex7e?!kV+!J>|55aOG+wC5YyaQA)uBre`M5haGg=(P^u z;ApYa*1)=}y*cfE43u^{YBtHIFRIFJuGcT2U!3quocF6W>4rjdZoq0Qq)#>#w3P0i z$iKkV3en^5q>o39xCYlcfs}mtAll1CT-7KLGli6$9;0zc_`*okQyI z;g#v#hXDKkfU^B2(Lm4|3mZ4{KPANc&7*yCMpjUwNp^M;c6N^6#>K@=!ok5w!om$q7|8}qkO?wi z9Xl7`ueQK*kPpxh{`mag%D>zHjsc4E+t@h}SeSw7>p-1>j1!o}5@f&6fhj{dft`TP zLC);V%)jeF9dQ8%@VhN=0KfhIZubwrzhiI%fEh9Wj?Dp#_h%5G5&+Eb35(F#l8W7x(;M>@*O$ z20l^$E1mqi>fc`)|K;}2$o2p7hWO1_bN>rq&A<-Cx*6D5*?|-|7b^)jH`hNBU~;qn zD`L$6MBM)gvgT$6UjG}i{(bxp$eI<@5X5i;;b{{fUe3z;?>R7kSN*w-|1)IG`foWf zfusF*yjR^rTV)~R^<})B?Y_qcDTL4HoUoF_z_d>v0!{=bIVV{Ws{0hFek`I59iILz z;*yH2();D9G-fi%I8oziZ+Hmmh{L$ioLIOt7Ew|Y93k5f;q2hV&B9nm&YwzL*TXUi9_8^}il? z9!i~`DNx>ybp(JHm=AG`;Ot&L#z1GkU?;fTxEF~3{LnadW8P`dNqQ6N8k!$xhj7;o z6`>gAgXv}#Y_KT(2z$vGuu0>J6Wy!U1S=N$1*>!7DJQ{MoARbNS|9rJva}skN7%4_ z5Wp3DyvO>o>JE(&3ei}Y0jUe)p6~u*8TMna%aqcdA3jGH#XWdi7+-6DyvcG2cQ+LL zr83v(ywYlM6`Yg-GN6kx> zAw$kI8wdBO0Lve+jG*T`XhuM6NXFPlya~-1{+`*ve4ouaUaSMj#?RuiYYmV}+>85w zsqG_gPwvdhYq|C0hQ5(+Vm3&#->-nwsH6*vPYQP5gOXZ3NZKRT{<$t@;@W`V88L?~QOe17Q{V;luX1pW!=#E;cVN-;N>4vei~$ zP*%VD-kom6ZKauhMkb9lP~wc)F`>2OKRHIQH1yEufhmD}Vq z>c`vsrRNKq+tx|h*W#uq6T8>Q6+3+T?T&Eb-a+PJ*E`zfN8tyG^t`yb=(XXX2aIR@ zU#-uW1iO0s+|EP;i?A)k4m@8%+N^Vh*TYLgPyBQrIHZHwtm4(SY`20htsNi`XIkDv zJ(dyK46r{fSD|i^Wkjiz`SBj6Yj?kyA^C~2-rL^$b(5p5XT1kuhQu+o+>ThT3g#Rs zvoOx(>s>f@>k{oMk~(lB5`+y?d;>xcFtG>58Kx`QIwSw$v^(O3buV)5=L61kqinMP8hI|4aK5fCt*xj~4TWoT< z5M=fiHmgsf3_g&yArepVsdqpnjTt>FG8)ouRnF+9yFsFEOD_X{!b#O*H%GGRxcW>K zIJhxotb?bLA`%qb!5)UQz>wLyITGE^cnCVMz z%Be97?K?iXXv1ul*x0Lnhw`^W2s{@-~fLr}Lp@ zHT|^gdxYo_;*3%`4dT~0`cU|9`W#cVWL$hwIv>=2K+7y9_u(C`iy3ZI|X`X%W57nM)o;tcK*<1xS z(7sAmEfocx4j(&@(!v-=Yc`hi?!q?}cZR&HRx3V}aO5HRYDPLQl%86ABhKu=j)ydQ z6EBVvGK`Usn%l&0(Xc%)tkBFSU!`Mhb?vs=%#pTnq)^=;yHw_paWd?#97#jP)Ip}y>&=1Gfvb0VO0w!2Vny6zxwk}8o=IQQf{QNRD0 zR9-E*EIen@M#HOSm~+8mrg%f%OF=799UE>g_QdOn-V{@j#Qot(!O;I$fv<(qF1U=6 znqA7}Fba%8LQWzOxwqjeonRr}8RawI=c4|?#n}?=equ*GY{}rspsi4=q;&p`ZAX!^ z;_9UcdWldr$zg|-D2=pkT)T`PHpv-sv*b$ilN<_r94Ta1G=Lb^499rEqVfoRpu$!@ z>#P|>#Gb=}R+D(*-rjC8QcL^!kae<#?xK@Z4?V*2yW9L|cJ0%C@|wPJ=SZn@tLDd| z3&fm6tgnXJ)-S4%cM6&mydR205Z+Wj6NAb37i-~ zt5~AUj@zn)LR#_tj%vc*qYrGE>Tpg(|vOwW2#rmd2aGg*Q)qh(;UF z&tr5t+oW3#EfExb8)Q#}_yKcl$O?3sl&$J~BAjFf>zzcL9-JT9#Y-CxLR6;K#zgov zb3%R<`e06L1+x(`gjVsNL&JmHXHLm3V;s1-uW4+zr96D+n&@`QKCGnBjb@AW>~j@M zX1C8Qbm|!!6?rb{Tm1bvNFkzoNgU@~jxl3~8KPg^iV6i0OM68py_kJPkYIjDU zpazNPC61|JG0ZMDk#Mfq3MopFTXavDmamMYC3ECVh0CtG`YKqh<(tZyjS4!lY(MX< z`&o;ju=CvDao_I83qP8UwQ9ibm-9Mw3M-Uw|On1?AuM@lQwjG!M6_fYem zO2ytu;ZryLn2xCvZIR}X(Xvu$rdPsew_vbJ@VrS9)x|UJ9mS?NHdVTgnbN@#O^?@} zUdT_7%QdfFcT{Ro#EVIk4&oL6zJ^@Ah;U<}q$xI@h@;ClJ+K?F8!&x|FZ5te%10T{ zh`41zs!bXo9dJ1&5M`3!gI6{KIAF zh+ISzEAH5>^$U!=iW6W&zQP&CA;A%14*N(9AxW&Uhp?e&2q0?MxNcwKiG>+_-78Ht zUWnwpo{DXfn)b>iNtXVs{c}7eLlQYin=e?JGv@bH5o`BYjcB@4^vW7 zb2=|Y&q`Hnssyj%=orXg>2VG~eW>%5BXjz^x?AR~c0#$_-eT_i3lNf4)1bcix$x?! zX;|u5<(h?Ryt})VMli8(kpJfjp1jN8iQr{P;FxZG7^Bb|!OKbOr8BCvuu`7ze)KuH z!T3>0jbb`(8!WC;X^9X>a}LS{Uc2^yd`ezMI+0EL}*6P2hp0R_(9H1D* z0u+QmLIi;OFL4SaFtKs}Lp}R*jTP7))CN=ng`)qq_y7`>ehW)LUywh@00002sQe?C z{VrL6;u%Od<6tA960ErY^5x)W z{ylckH4Xqf2`4vDpaaGP#o+?R{T+|}w;=a>{GizX7!x<(w=X+T-UI-BKx1HI1*(a_ z@=qIfPM|mjybe77J^sIsf42e68R$A_K0#vzaQ`WRak+qV2ApG135vx56wiPLD*vbU z|BgRU;$;PJ{vHzxNW}d6S>R*`&i_9d3o~$IJ#H?b(g~c5ze@zb-wzFFvi?jEC;$L7 zkwB&JPu-vL_YQra0Dm2@b0Kg6braB3ftCpr_4kqjmkw0_=NJ_6e`9|=0-)=_Wd|7- z$bi0}9zl;O$Pd)vU!DG^TL2Cfw8nn|aDoN{bYcUly#L95-x}!l{<7a|0P<($1d6pl z-#_-Bd_i^p>fhfA|5+sa$1C7JZa>HhTyPc^;2i?j zfc+oZ7#G{WYGePh{UE62Kcun0PX0?9V+A(-7j5j{cU5Hl=kEpnFWT5&+ZX=x)_4D5 zOTu<_H&?Cx`uCsqb#+8P)4L@#J{+(04a5?Da%!7P#D)&(vfrjx!is4Nrss&NZO;P` z&r)Fayp7hZqy#{$&dFwd&EV)snU!Ck5iF^7+20yF4#5K4O7?;g)H z&#k?@tJ%baIpaJ($=iBN@HZqaOH#I_+kr#!6Zxow1tXX$vUk6yKP5(ee5KU}yUP0T z%!CDwrDXYy$XDkp6X8sqwHFZN0Q5!~&$P3SqEvLvfq3Vfe}dP~3+VI+{=8pFo_evCsRI7PvCEr1%CXA7PWw$U#sQxTrCcOg4z zc`xr3YIMj?-xqoJ#lV$7uKP>x>jz4Hdz5rh`1e!ZP`8sZICov}5A7eR1i)x6(fA-I zx}`4}$GgcNz+ZDYN;0N?C7ELO@KFakO$yyOJ)lH-!2AlQd2ZEAY!LTQ1E$`Ddr=H~ zdgq$psUwD~ZbXN_+iIjb`E@=ytB7MJ9*?^WkG*QpbD~|{DeZx+ZtZNaQ9nsYZNKdBD zuFB;8!%lB{$#u z^f9^EDkQ@cdr1$@1p5Bc`kC&T`b$dZz?beX);YL`oKr5#HlGxR5cyJ3 zySU#)2}8+EGkT@W-xpp|J_l|8Bm|0+n+Wg3qnVI1K1TeY?$ql^3!R_lIqPa~LWR9# z8To-0^)_;gJB(HAO@*nqGwe$DU5)=KvqyS{zR@XtdBA{d-CGoIw)<;#Pr^?B`z!GW z&d1zm_+J#!mn^@Oec>^I${O7&RX|{2pi$36fF8m@U$bF84w+KF;?>}`M}e_&gLzKz z5=N}d{@VWgWFuyX_x_|f?N@O=u#-{3m&6aSjF(=gNIzKUc*E}z-VZ`(`qDz#gEjPI z!5c9C@?#iaZ^K+r`gPuqq7AzN6cNokFvdf)s#7=(@BxUyTWnWUXc)p)$!sirxvqhP zfs8o%Y2PNyy=4MS`Fdc?2R(61vK5B>YRj~_d}}|F@-n=Dkt;ByxN=uAuSoFpY>+08 znc{nc33~gkXZ^UbDcASEk#$9#kQ{~6i6_ow(F?NRdn@gCAo3njABESiQS>6bqn~&o zvronuoFo;a+~l@yN6mbqR{4^Oi=dUP4Y_d9)&SFsT{DvNVB+TdERSi-;vqt|@V+>u zgPi|7HT9TDRaGrMi9xr{uKU@|L3$qpyV(?bT_py%H^{ZE9Jj5QjhwSu0XaE81N%Gf zVH@f6O#ONpHfc)5O{AQmTzdE+3-1q@*9lrSH1j6!B*HeG!hC4sEQV)UN(h*PhLy!z z%#8<;$=A@y*W$>h7}W(;?PE_Bjc+4iM58vB_XM_4IjFrg2ss~CkadSR1CA)TG62GN zTrGIUn2SO4?AuSaj8D+p|ddpWxU^ru07s6&i;4y7A;F z)yBg_rxpVa(NqLR(XEuz5`Xd`FUb{lHc9k9spX48=gZYMN02JmHYv*9mKZn1avpDW zL0&6NeLUAncJY~pv`4WdTYL4O421}#- zV*6N_%cJIR!K?YUBArZjag@^9UQV|7{>lpL;3EfTSsl%SJPJ_o@}vF!Eo*pj*80t` zOzZSycAH2&tWAp93s&KetaOYi#-rMZ^B?B+$rgc@CEDDgnGj5*eehK=6K!-0K|+Zq z)=!eLa$ihi#gE!p4|Nxj*A_Dttu5;t_uDgXmXRF1Ih+xa_4kCNEaV!T6SZ^Eq%s~ke?y!eC6>cZ|$A4SqeI%tQk z=0})v$MDu?or*9qCiSJ55Tzuj$!t4hE3x?chIt zAY$bSi>1O-2~)poY3jWU?H*$9k_( zc4kVrJn4F=cy&%-J`eA>na^l`sVtn?;2(1}$mhm%FUz$sJ?jg4$nvjm`E4q?2Qi-iskkl?7(Kp|R#OQIEmbLnPZ48gyr( zC(PCvs_4crhBjBTD~V*NC4qP5KQFF=Ltky>TvQQs?-5ZC&U7th3UBVu7&)cMoBIKr z3CXs%DvXc@yBr;j&2E*D3Y#)@htC0`R9$UIO&6Fle8CwvRhEkZ_8wRq$-yR7W)FBL zI8joj*LqKepwCPJ6bW2ZsJZElQ6JU|WBOh9v8`wsUNUxGuX_|TbLgP>w_e6j(dxiP zwHjeu(&0~nm3BDYTj5y9QB0~=;eo&qCEjrs!xSgP@4xeJ?1J$n)S?C0e|HxaF>_`l zNb_9}41hyW!Rsq8^KdM_tCRpTZNTch>Ij{l9$wTddRj~{ViZ+ee%T7kT?Sdd&bvy6 zDb5a$iRChSX)_+ARMeQ6DGx?^F%7OUo3<$Bn}>U;Ggbxi9cx~lC_WLcyH+NEZUbc0 z^$l2rNHytsgkp(xORhDWghe6dP+b5ojUn!3f*c&NGt;K7nrRYm#+)U1la?tVaVYJ~|DhzpW zh*g}L<%}~AU&=1BFo?vVoxG?y)lu;Jaq-@(Cn8;h8XGru0oG~np?Fe1XByV`gX5ek zsh2yk7hkSf9->Kfn^Dds1d-}%wncumIK*UZFMWZ6eeJT96a#TGrBU6o0rJ=w)WKZv zL*r@zwRGvQRe3^o)32V@l#SYud3_V3YQ#Cj3&V{AwuZ6&2$2;C$C}YHG09U8{$Ri_ zWOl{_q+3A!E4A`uazR>x_?zr0cQV%HyVqT*e#Mq$%>3xM7!c(hF&>#?F_C*uTkXX6 zV|Fu4#^HI|=R7HEIrgw4a3*d5DJwMHa4eLC z6COb3D;S3O8{9j)+l84I$)NeG2kT~->j&32Xf#eM?@4+ApoldRpVbJksWPLnEc=@YR z)+_j06m?OpZ^&Dgs~61LNWS)fbeJg|CD$A9p_Jszspxl2-dHD|FEdP%0C5T ze{cVjY5mpz7aRLeVzIwOVqd)L&ujnUV1IDSAN2Ai)cSk-&p7_<|62g|NAdQDx&2u( zeDShB{r^3-KW+c?@%QpCHuopqSNWUO{n`I#{ZITq^f3^Q-yuaiAPnZ9H`+r`e|1X}uKg92gHvO#)`=5x#=>L%h;omvf zUseB84)%ZZMez^O*cUYaL#_Uim4lLjmE}t`M)M^arTv4)Xz1wwMaF2qbg%y@GY2K@ zm*DK*a&xf$TW*d&>;Hkq{=D$t(byMi{=cf<{;K+WK>yEZjQ$_mxqm}rUmyAZA{+bd z4DJ#?fB)F>*m-#tw@j~YG$}!pQcfS|@6Q7gi;Lb{NhA`VQ4KysL{eKKB2%LF2;8JZ zNEYYc?cWhvv7**xMP>O}8KY-8rD-fvgOd~@a>}I z5a9baXbTXKkd{%Ss^$mVPnf+o03XbZHm3&W*{8ktZ+A}Lp6?-*C^UqOFk3Nr2)_ke zQ)sk$`Ck0C7XEhR1-X^6LhJp|j=#xL2}lCFi>inM$sUyfUpzuVXhhsU~u_EE)i~6XzTK1*mwxuPDgpwQ!w3_|GAp%5Mc?71IYt zcRDl%A{ zZ5J*Fq%%CDg?~kJ6v@SdqY41I4#e4SM{m)Mfp-X!1{eriSpH~nqdpe7hz9naR97tZ z$`KDS>77n&f66PQa^EH$sy9UEN4OAPuJCRITCzGgi5+$s;HKV=6mB1l;J&D>j4>Q~ z)47qjE0_}e*(D=e1`TkGEurQN711APyjK2jmD_GC0D~o3%R%U7z9BSNYPxu52w)SW zy#`C-UW4d1g-T1JWW(2Ewy-}Y1veq3!W0?^wQH1&B_gL=Vm6Jyh5B-W_z*dNQA^zl`ljSRVjAR1UbJZ_{Z!3N_<6KSG@a?Oq|w4YT(P62-j^ANHEjvegs5 zm)(J;tc__;9}wEGI&?QwgF9tgV*4d+J<@#Jg!3f{_H7p!J-$?pyh=71=P_#YZ>2-^ zTWQ5^?6Z8j*N;*TPVyHGFDJ5|#w^VfKbEWy`v^GKSwGX?mQD#At4m3eJco3WA+n|l5cTin1 z3c>|bR`{2t`u(0zZNS;js5s|im)2yeB63t+Dr6ntGo~JV2kllC;|IK}qdnG%@ z6iK!rp&P{GpIyXR@|+E_-0OngB3Zdzh2DDP%baJr3!`3rGkih{VX|+jznRvolb#aJ z?3Q7kRh}`Q=|i=lkf1x*?zFzhXFlZ2>F>B%D1525O4<0;2YF}A7A_K+u2 zp_J7vTp;?(?5a-kI-r+eB@EMw7`$9nR|+x#aJ#wX0JzTzA)ky>dT1S)N1hyRqGH)_ETdwfZm~ zsgE9wz>?tLxOlp>fv|7T+JnvAGd=<@a6Ny8bMO*vqu(S0Twl`<6-D)WGzNk>(7&f$ z!L*B;A3-Tebi$LN`VE^DSxyOY;X}A&t_gSHaWyAd46J+eaph%on>}F+PvJF&IYlcE zPl`}QMAwvK&fM|SfhxzrW`!x`X3x7s8U&ZcIl!v(U-LCSgv@A&Wa)mCiicsRKzE zv3=x{H^7qe-?fuLSnZOHkwVt7V>e8MgB9mT7OC^WeU4>{(7u7srLlTPN+mVL19CIy zD$|nXc_9kf3xz9I5D=79WXE6(OoZpYLY=<|AeqK9lhQc~8cL>*ZyOR2iADR?y3+}O z28bMghytPKo5t1#=4+%5v;|*+IJvcjMLBO|&h(|L{upoXZvXl_6KCOsk<|y+RPWU_KX}{7gbDaMv(>Dcv1@a(+5&HB z*bLX{(V1{ocmSHpV!WVdEr*7Radf1?0eQZCB+)x}--#WBKb`gb4O4Ps;<)0wcI^h5 zN!ohyqGjMj$*KD}c-8w(V(gAp2VU9u1?IX9Z{E9??neMq2|>uM-0d9X34w>8ulNsO z(Mt{L%6vv2478rQXZ&pY3oyh!ZHykRVONttK~clLaw$*B!`ly0+G1E2_AU?xcbzM0 z6ni6BdjsD0#qKanOq8pD^TW|$5du|(ep@_i9{wG4Ve$Ks*qsK_7gwEtjWD2j&^YxP zb#+U$leNQLrS%lCZp?B5W@*#$g$|m*Wx$YH5%t)K9m>JUCKakf%CyNQjUz5{pR0A^ z4m%1_!J}U7qDe+Z$2lU=i!Rg5EKBQ5WRpy~y!q8RR&$l6@mcCp!&PAo6AUUsN$83( z(ID};;d~{5oiWJX_L)*q2;R3*0)k2LVI(lY5dQYv*ca8^I@h$3!&mOJ_z{m`chS8z ztG-c*1tF@+sPZh)u=84_G37PjWN~e*7B)S|@L?E5 zSe{M{HZFKk^YHD=Kx$~+-k>ue2)My2ibzg;0CgLcC`O~od0K_~^vkCo{zy*CRn64e z*{%|RzzI$SE=Jny!x}*peel(Eek!M=ll5*jG|`)V z8;FzHq!WQ+MB2~KNG>fcE7g;ActWkB$-OseC@d85iVhq|$P9 zVuXHDbjKPrMFTI8MRH8}gcW^IZ=1$YnV&+cF5pLFdw z9St_Tk=RtWp;X2zTkL_+-xT2F5kj_+SOO{N;*PM>SGnvdG{f&cdfb}R`kjP50+N-p zxN25ECzr$IO7R7X)^Bo$erl~g^39R#SzXN?^m$d`X0hJNOl|bA{3phdM{Lg$TNO&9 zY^+}3`_Bf*(ThEO*!m;eHN3UNHD$>|6c|r+5xS(D@c-VJM)W|Di2)vL7asg&`ZFoQs@(<<^Vm98G@2*P2*qBwU{hfapeEa{?3qqsx-3 zj7MBcYeom4T?2E2cw`FW-xKKwiYAuKQ-u$OsM{gs9P5(;SB*nZ)YDTBUN8%u%UAi` zE;!)FIZWxr{ZTO0ZwPoZ&S8G+nplo`UUVXyJf)v6ts?nUx!h7ejaNWVIxGENTHOQ| zw;s}GhJ@Zjf_qFVYJ5LVdxlt{nC|@qygAbB?;HBW_za54$@PlFUYfOw!-D|4TzR84 zQ@B!5+SC{s;_2sQ4X&T1RY@S9G3V9j1kYI7+^uY@d9b168m2W{BY~+E(Mu15Rz&fZL${isVL=^hR< z$?Ngy86zk@+MWF|_U(k9TS1wd!N(0(1MP_#>kaZKDEOnn3e7TkBUR=kS?!9Z2$#oQ zU68dt&MB)3Ug8kxIwP+?C0B8t_T@%~9m#90*0l#q8CZ|Fay9lY-kLS$f_S!wsxi=S z4+!tH(a~bHa%R61KrY&*h>#yFCA0PW^vRXj1F9LAEO zrEV`L7N;b$?wpcU0CxCQ;k{9xkX5;l(SL%uidH^`%rTXZNWX<*^UY*9Gd7P zvPI#6-xUoIv{2#$OT$Uuo|lX)lu1o0z7R}aZn*^H&+Bt(RFM>4)X12@ zY)l0(ZpPW~ISU(fu-BMI=Q1EwwJF779L`14h8d>XtS+gqVY7huetrX~wLQL0m1PO! z!y^x1C2ugyeJ8QQ_O6k{bw2EgDsv}=H6$nyF{xDxnzWa(NXj6)(&Jk0kCDb~$e&vl zhm!g~YqI-tHd&}x^vCl#?+}!2hW!QOkS`Rfw44WLQryvhN|&#>I0@IVV+ND;+b(EV zWH@TGyA=VQ+#%Qk6!VA^5&dK}v-3+mD&|A*Bg;|i5Nps}qk3h11(YY97aRAOM^B*9 z6htl#P9AArG;bI*6hUxQ!9|D2&DOykL6V@HH7iyF$#sz{9Pr%o6M3!>vRoiRLT}(J zku$Hi`(TqhwTllL0&IqZ_AHGeMf{1Y;!LbiS`7iDsxnv0e}`rzp`C(_v3qn90NE znsbHk>-}XRhrE2HY#@*yo7sf-3=fX&2j&d@;~f`O2AeuhI)AoWQx^Tlw6q0vgROH; z9}Zif$X;s#`Ra6|fB)WhWSZwvaD1)@QMaSvw<47FI+hIe{*epp6JqBOgo$+z{g)tv z<8upBHckg}y*Ih~!OJOZuP0HxmNDz{A2iQ?O)!IiNH2rdd?pG zv~_TsBLHq+?Me8R$ynqKj(ssJrm=0DNnzv(HJi_Lr7yTU=l&^SKl7dDvy8gF=FRdw$FgFW03&k>PYLVCX1*9!6tF*>Hb8X}A>* z+|3!G)eZXHaC7t~+!%`Bbr+uJI$q`M^~7VVS<}YAt#m9;&b`(|%gO~RTSI6s7Mv$c zlh>(rB9Ce*WVY*)u2W(ATEXZgjufUkP+ODu+ZE%cv6Ok$+4Vx{bLu1d)wEIhRlq9A z9p^ORaUXkvU3XZKr2;uEjuFBVBy^=(g%YM`lw?S5Z(FNM*)M0N_Z^q*BWJo*u0Kg8 ziS#rY#$XioM-Kx~a+7T1#Ow`a2S#DFrg%yLoX{ z!g(>3Lk2S1P#w&-uOw$+^NIxSI2eSWcnCY1$9bnoOjak7pSg#_#*wjw9ayG~=Eu#7 z-0GZ+GSp6p{oJMDJhnC8Y~d>70#`0{Kq| zZm^Wyjy&SQYaVfhEe0B~QsR1*;+%#fPQ_f@{GmK>=scr=;%)^*g_%x4G+|h`0Y8^h zGSNY=sLL)UD|mWeI@Fm)zc|LBYyaPWZ?y$AJ_KZ6$NIX zP67aj`5=NPspCM0uS=*x#S!2Tx;eI;!3L?6!Q{kE9+#(&qTM zy*s%RmJFzmXy(>%@^t$gT-?;zmo3!1Vi&Iof@jU0qU!S*%K>W9 zw5`rkFlv%3;+6+Bbfn~WGzK}nb41!F3h#SHK`fo@96(TKyuVZM@O(q(nZsKs9kvg_ zAwGLOyF-5Mc)(d+erQ*Ryclik+Cw{}Ck*oE(%0Vbg`e+jZ*Xk_KyWl#FK|K@XPI_N zL~q+PKs&dA$J(f6>%iXo&C0q+qG6}pxw=mlp{$HUX`e7MAkU`mFr3b;UZKsVA(fMi z2#Q~+H+8!H%xmxERoz;joOp2Lkf>)y=}3Ab?Cc_FZe zW1|W0yrJpl49*SQ650NA5lby*5|S5qfoI6-?l9To_u>b&$xv>ZC7UFD(~`bo5phTi z#hB)rQNF^>mtsARlfx#W6ti-h1RKOlP+O~OWX`>}D>_O)r@uMgfoGl=XbHcr{p;Sp zBCwBpMo0}L5JW1A!!uT&c*gEUgMdzE0ekxqdBYG>>`e=O4mOjUqtPOLg-Xy|J1#7E zE|zjc&{K2$0Wt`>i0_Qoon4m}yR^nBXpP_A%BB&Es?4QrZI~EB)z~YZ-#%3+j7?gQS)4HTU(DXP&o!W}woo z{eGY?m)E$e3fe^l4K>=n=I%PR7PYoc6U#lLanH>6sUi-O=Z=$#>Yn3KdsZx=wozH^ z-^r^wHm{yWUA%{ntXPq?*^zEEy&|+war-q(>xzaH##%BV%sWfiZW!D7Crm5?Fj_(-W7%4a_TP5m=`R3pNVPzVoxHs5@U9D<@%ABM^R?agr<1gz8po5( zZ4osyiVAHNM_JnN7_0~D&aDe3Enc?0EzdpoD;W)29(XdF2|QixKhj@kz2D?t^74+m zP&xBGJqR}U$VhNM_zoBD?C~KpaYrmg=}utpl@rs-e;1#R{rL9G!O?}pP_-xpzRgob zZ+=pROTRXEq^!_NWgBVVaG-gt2KMS<-S%-IhqU)TCy3H)<#_H~6CD=+bRRe^6Pc2h z6o>-@T)Lw&cU(FZ%^@f7%gVP|T%~1HXM)-$z~4?eU>HfR8iI^-kcCEB=)$ ziM5_8(KK?Z`Sk|5jzNv%=r^87ybDJQJB|yTNPGw4ewipr83`rAxlI2JxJLqpm1bj? zZSD=&exE1^-2(Qv(PWHjg=Ciz9`OOVWfmGQ2+k6?9Q}^mTD#s(~)Z@1?El`%FXg< z>N2aGSqkIm7QVvTw1j~R+}TP>X4|{m$EPntwJ4?Gb#Z(k;=7Clb#StZZ7@)`-kWd# zF8-?rz?BAdyesp4+&T{Q+G_L-g2x5^2j(w`nUtmCAw}dlq=+DJ!6ZSWZoTI33$6=E zYsBuw2GX)!_bSsha(z*TuX_rKNoh@a{x!csA$av?S{clE_vP5fj`;aLvdIk%kjtNUtG8kwEY6NaC-&0f8CoAQOA_><<;MKN7lnc)|u!ERaVqU)D! zx4#ddBkU-c4X8@=)rm;5j2a=(Ubm5!GN?+*`rnscAfRYUZocQM8|QYuOZdMwo@w%j zZ|X*L>EHYH;i*r!2EVHtSS~JFa`<{xWz;vlCYR%eAw`B=u9M!F;lMf>*vV5d#cU7q zbyFM9Ze-B7M$9L$3$xxa-y25q#WB;Em}3{=fo`&2uWY1^8dJ)`HW1}bcD35(H6?HG z-yH+Tm4#Ud3DOKPfG97sA*ZRyrQ-G&J6pIfCTKZ2mr;m(W^*_l-ZVheWBZN;?U)B6 z;+RSkT>U7KLqjwQkUxl1g#zbfjHCXRkEV4Mm{ZID_bB}WJijnRWzD|YnRk(Ro!xi zx+CH;gW-dE_u?~Y{_xyhGPdF`3(Hm2XQfPrGzU6D2S}T5VjT5Bw8_ha&P>w?3l9dj z<#&4CV2ibsgURIg&8ds-ccDX<0lT$v{YA} z=@Bj16xSIM6-%hsmBGd-uVMi~FYSh9<**y%43$a{D%AP9s5ViE;*u=6kjhRz## zkwxB=5pIsT=wgcBs@eh@mv|7(*>WsB>q@Ygei2<)=JctxN#vM+BF^*p&U2&8Sk5A}G?0If}NqJ&$75coqBsazjnP))2($L`XCZz=5} zcgCv&a0OZGm^h=CdjZiPPUPWLBRa*LI^gIdg70&?XHIgTuM zX5xx02Gi#3jKU&-VDY}di<2<^TGa$H6TL`T2w(#}xlQrvORy9`s%h?pifWNl?m5Yp zyl`#Jbs>YA8Vl%T!EH>^Wozdzy@Hz4WMT7i1)-hkif`4INm5jo46GWH?DsHw;x7zew21ZE=(Z551%)=`a#($c~!%0fSjK=1jDK_163NRM#7x9ybkB#&3JiOF6+Z382sRJ0-SmlSy@+&(I|&zxu19; zSH}%AAp^%z=ATIq;*_(6Lqna}3#HJda&xnsP^cep`(3_0cpOPtS}q~E!ZBxMLn}$B zgkk9Bo!H^&_}Y1#r}HnG$TxgM=r zmo`Oz2}0Q~I)JSKFit+`=RCvj2uB#b>?IBP%EFY1C=wFDJ->7lGfQ($;|k5cukbtC zSJbpwC)@%QZ9vZPguyW$akyxS2Xg5{^9z>`=3c;Ceu&b_d4TnNxGcVOoA2wF1u@BlPf=af zBnm|>Z_)rCbohu85RjkvS>4&hCijD21^Yxj5X6O`$CH+sQ>0LMRo#3dZ@U)|Xg8Ds zy%R_NNIQ=F&e3JZwewnF0{r;MKC9Di=`!xbBKoSMnKv`6XZLZ!g*SLu)kgt#Ff74A z&uy!fA3r>m$CgL(MPYnY@3upE&#jEcgjr=5A8=GD2}QNVj`f3qXa+wp`)?rDxJllZ6`bz)6+*T7UzQau^??r?02mFPD}j`RHiAb z$LArNcD9BG_w)>>4f}fBbqM4ZNn%;_Cc!t0?)o2bDDwD)K!xJ8mOTy-vm)`{icc)J z=GVqHMHbQrV2R#@9uht+Jfj*Zs3Y`?&kM?osWllMvywM1ZDUBU#cJD;Zjr;&IGyir zP8ZyXwVT=(UaQ=D9aMluZEVZBArWFK`Pq;X@vWIOAd`B{^2KEjxJBJQL6^(-ob3ov zhAVtrtG^BCdQ#aX^*NddP)i84Vw%<5dD9ysyQEM*yb3+^nGFqS^6;DI3npguS zPZ=TC=OA5MfP(IASL>4W28j^rnK2M#|47x@;Z4qDoq)M#ViZIm&5w}_dGLqKdzbUW zM_lI^=!faCl5nT(2mzN3Z2?6v3_8*x=?)sOUD%8}jdv?>8;HcBQivErb_)~By^NBr zLGnoV19xRUW1=9zmIpO>UC0!MCZmjNR#@R}%v;gV00H`GqM_bW- z_niohst{#k@D8{Tis*az*>Yx-lS^dnx&gQ=7^v&F3)yJ@fjZG8f*DB%guF$}T+#69 zC~<>yG?=CN@DBx6inW(4vqZu|6$^O9gfIT10!Uy-b2(=xn?FTYe%4--tJ zamg*CIK5EkWBc#Eudfcmk0}Quc+RV1pd)dPHQvCQAx#~M0vQju#81)NV?%gsU{FM) z)Qp^0ACU+}0kIWu1l^A0x@|D+mlg-khREl7Nl}}I99-cI{8r%DkO`y;LHC2Mh?S9M z7gWCo)UOH{m{ujAV)}=yyQS`Vu$mF1nr*k|_EvDVO|s=S4iHlGl)D3Vr_1C$cIiX> zppCNk`Mt>M#u)cicJyy~&j^pIbS?Z<^1P2jS*>ReG^w{i{}b0J?h{yn!W&A<6Vhg;elEL%e(;p7qSRsPz#ZWNilCQ)Pp+fw>YiLWy4lNM)*b|>YOo5KGYQ!za!Z_Ve z2L;#D6X%5@Jo0Cf6$E9(c7yZ)s8@8!}UfaxRr9&(y4ZYpBK6x)4mWPIn{NXp}NO`kII^%=wmAloGcSK zK_fWolO5xtKcbI0>$~9~_|c7>(o!awx&Pn?BGQe-&m|`5Yu6&1w?`DGTzr#<PQ#@Ox1&U)kCrJD}R+! zhjQCeS;qOi*6uu$TZ4YR0R!51HB`d=eYuMrRUagPue515$=tN^llPw2r#)fuw*@-OoT|%_z9Wcs!LnFM86&V7oOawfSLD ztOIqW8o(e7Vw4v%>BG;x()I>EW`mL3rK7J>YsX1m^C11xxMq zMLTShsDP@!?CqRD_r&!i!G1`c2DJgZtwp)QAmB8UR`qsHxlO`7z&^NiMie0C58g(- zA)cRHr$ZEgEpYWT2DxznBB_WMbK)pNxp0*(Z9hAb2o8HqenNC?yW%Iq%UtmU5IpIL zpzpad)5(6+gqCqW24UZ>#bLG4Ys0Suj+}`lbEw;jn*#u5BC(9McROa5)$uog<|Ao% z$(2g>-Q%Vp#w8>0d+5X+#)bxD+U8J6^Z&-koc1l_Y{1^Te4N{Zbtwg$(J1&{;vR#+ z97IkE<=_fI*2lS)Jghu|GK?~mwh#Uc=9#VShS>n)N0`Ne4fa?5lrW9Ax|Y~S zMrm`&3)=?5y!L3@21a7)l!J`r6=~)fj8yd37K_`_i2+2XOs z@Ja@TzhcLRFNcHOSV)J{5$|Ulltc-*aRkY3T11+-ae!NI-{o%OFH-@R4he zjDxk~?q82;)|<~GX74laSQ9k{OA6D>wUr8=8cS&UItJw~y?TE@{>|d?2|t3U4#*P` zbvY!|GzHLs9r?LHSbDhys#yZVp*a1W9emHmv~*Blo&=~@p`LgOfn^6$h~o=fqH8ME!CQkQpG`s zZTT5kS#4hLCy4wC#N|5eY(O2hJZJssWOlT|o4 zAgKRm4lAtWU%GBSU3{`c}4R7USF0}ZO)X?< zXYasoqHFhMH32~_q5Jp7m+6ACseyxuJ+Atnxfx%E7hkgTKYjd%vBRGz|8fWY8vI}5 z`A5~C)&D$(|7iA)FjBgfhX0$x75YmK&-_mlhyQ^bp6N@}{tt_0UMph@L)`xta`^x1 zbMe;+`+Hpf8#(;Hd?xH2>*<0%Ur``f1=g4#^LSN%F^e;lyBCvE6JE&QCbg zB+PZ_!9_#wE+zF`F-Wc6DbpoejLz3Sx8GFHr#it->lnyJ( ziZdX>QLd}=!z+uX>nio%WCBSCfh(W-F5XRD+E~?sOrx?uFA>)tR5L0%K|bK2g~VsO z`gLKp!(mAI`|^SO^jY7^d$m}BK9XL7+%*7x6??XEZb27jGYAPH@DZb{W+(U@zcF)B~rc1;trtGxz-7a_E2X z-+d1Lw%MSg|2L~{`afs+e|Sp&i}}Wvq0N7pVKDz?zJaLN$bGnRLMR?L(aBrNmSBaO z(ZEiC$1|hK=7W~VlYA_c=nn^$CIA2dBO)Vf0;eH_$SjnB7L#4#pu*-eQcS-~=P?#8 zEtn;3D=;Suhi2#^*1A!061>H1?Q!|K!Chsw?%ue$KEc^^qQ&zqIDl$v%T#k==KGMm z$gS`<36l=pg8T(eFYasN?-FGw4ip-J^st+NaQlEXnCi_A&6zGswFlc4JR}Z;N(1HU8rod)OzYiA>i7QE<>^@)jO6CqkjGz_KzZh09)~> zNBfSxEsQhZjd}a|N^6n#h5$zjyT8bf?(_0}U%DQ%Q>ep01;DOZ^PRkk;L6jF^=3(z z*`g0s1+>WrMdOOx1u2mSlz|U6Jiz`5IULkihPhYds{32M4&L7qGtldVrf?G zY_|@vh0kp@#D>4p6DR66uLD0!ynK9wJPKd#Hc));xlPu~r4GE<)}jrcr!sUO(3hdc zmP-0W8&tv-eKYt%uad-X4T`~rt!&!zK;vzaI-&ET`%Iv?9B_|nxCd|%Pjnr!yDg0N zM7NtBWXu5J`3~IaV$5jRd6kj$6TG2c8YI57GQb$yC|BRw1Ati~Q6S%=#q7;|y)b`~ zCPz$`!TO1q!jI*~SOs8JgKGIL%JdT{4W&U#2XOo1Ri_Iz!!&kdToDTGPTDX+UKjN# zp6E45dxn@L$#Of|Ku5S^tx4Cp!^3pTK2bjJ0m1Z@xNv78DWPisV)S~$WGTqcQR77p zumP@Yv#G$QnOb58plo5W0HetA&=2fFmIrtA1%XAO%PGoSW-G>J!<7!Jmu2lWn`RkW&m#&~>?Ci4{T`Iy$1o|?=z&aRvX z80&ERZy7#er2AD3#f8~IKJ0kCfr{%%*D?Ex2Oi&$_ zXLE^GIzeIqx%~17fi~JPX-B*4{$|zpY6IFCg|c*h#^N4zxg~8I&_$8G1&@r;h$@AS zAxXK7wGBEClB)T$L6gr`UX;rsPvtB>*(Lc6)!*-mBjOzAit?E-#wg8C-v+&MprQGD z(=PS3C6h0u(Wok@Fjx4f2g@cwFkjP^@itbUWFV!k@KP1B4>I#KBDB8A8kd;8?)Q5; zgaXRFAZ!-CvU||b6=~Acu0ZP&W}#EeFX46H_E7N z?XuGYHP;6lEMzI(z*g>@&eg0(8}wlWeR!HAW1(vy@whkU5SEMU zR)>uR3o8c)2d9VnQ}v0wwT!g_j(nCvmXiBi-iy>eVp|_cCxKXBj*pWR;2Y4v)KgOT zJ!0JVX2^@eJA&~X*oPi*L|gB`u5R}$u7cSs@QO3zm-Nm+afTv>_?qOM0x08c4h<#r{MQUrDw?pa1?#Th_f8Mh`&maGbr*fz2)AC(yT_&qCRZwcxcn zC}jQty%oKpePB1U9({U!RASVq`r%;_oC93D<^$3aLbG7AK(h+7))KsNV(bN=7de`4 zzxjVOVF=fno5o{m8@yg=aSZ(GMPmYbcR>J6q~4Pam;N)#O*G@&S8+V7(g0*_=ZlAtWI1_0m z?>wV*)Cp_9pwUlfy z0!yB@wcSD?WK~f^K_xota14u8uemQ1-*}aP)_iGdEc~v1E`Be+-`~Z24Nlxb!XbXy zfB`HWZ_joA#Yohaf&3SLCJml;+&;2gy+)3S5`o;jc7$xc5f+hV#2uVn4{UTM*o-|| zh>|Hq`1oX8du={uSzH0!gvH$tZ{v)!qscGGjm*k9wZ$|YSn zoLiQac?WL#x#s&TjYn@BM-h>DOo1$fiWf(}=fvjF5>G0Q40Rf(vg4szCd%?h9aq2* ztsWc4lna?&+8tAv(zv;$dsdWOGXd-(;1B2UWXhcLP|cCzHX`ap(x5G3+-@U58UxE| zs+Q5%wKF&AMvJbHyB37o<6bJ{<*eBf9y&xKi057|nrBjn0XOOeV~IcjoJB^cF+7#k zEY*%56R*aXN-S^6G1oJL1I#Ym6}ac$l~F#sb}T#vGrI@%L(|nHlBYC| zGG)weqc75&*RsoE#Bjvq^2DeSqK8NqhQyl-sm|Rx6pf zRdniAs~eJDF;3Nv8gq52&C(Uqu2g9I4Vd-VvUU!AU{$eXh*?!4qZF#CiJN#n6?Us{<;~2Bol`&g%r^dTI@cw!IdxnPI%Sg zvri1~K3z$icvBezvrulkT@Bkl!DIeP4=^2sf<=XYc8mx2g-o5((+R-WO|=x30aT68 zY>`8?cEs0BSWOT!nXfB)d>~Kr*5OdyT=fuxe9`cvVue z6PI6URb%&Z951a(8{q0l%}KRPG?s`LP%a-dMU~m`!TnpSLs>StLkpvS_UYK>F`ODd zMQ+^*o7>I(F5t#EY&GCrfb_35!07n9-*9*zaFsy5=dgT+V|cA%M0GD4&a>$YkXc!5 zfQrWDRfs6MrmDxqvD+|%HiN~na!p~K_d*C8>oUpuh@s7dZIHmU%*Z1Oq8y zx#cAjBj`z=KH_bokwxB5uYtfaP{y3g9FfFC1B65n6D+JXxWZJ3xpv_J$E>6Qy+glT zGCN2)`#m$4plDA^#>T>-=q*BL5JQZpI1HIQdkNB@V^S<}j0}RVwsqXx27bIjvpl(p z3@}LZEfC3u#|f0k?8pUTa5MM?hKACa7klujf+Y}G?4-Nu$%_lH9nmIUs5MfKvo62P zTO2NlF3@X3YoMBQ>T}XByXih8&?!VTn3-lT4w#H=fm5)40Bu9iax;Tr4t}>PSM39LN*|zxu*`-g%Ti7Qgw(MGlq^eg)OfaFv#mULZFAbFY zY8w(KNOkuLKT>*OibuWw5XaG8Yl4I%P9!pf<-q%BuYKP|iE3D%VaWlj>$}|EBX#YA zX!2}%_&&Dw%=46&aXMj1j+w|zh}nPkmbLLt3jjfWA$$28b;2>##KqomwG-4tor1yq zU~b>4$_>JW7nnJJ^r8F^-fn=pWY(!em5ZPgAGxRx(rp0gzHNL!m;{y7djJ_N#9U5} zv&|lO-qRN0)a)dK3B4`i$(LS~X2qT%iK=pJ#r(h6JLf1(mUT~exw>q0RhMnsUAAp@ z*;bcr+qP}nwrzW=_c?o?bLZ^2cg>oA=UXdQM#dL>Gcs4^oB4afN4`O@L)gfJO?%3G zZ@+6j@;sPkke+Rz7y2474KD`F8NaXGHuAu3y(k+2#Jp9-E+hCdbeyFD<{EHqw;uLd z5Dg#z%vrJ_1U3bQ()1*js9}vnLan`YuQ`ZZEuPBc%}chKPfS!J0ei}RR7-key4mI_ zm~na*d4xRIQ!^&qC`20_x;&in_tV(eY>~cvR)CskNfCNw}ZPb6;ifvj3Kkhru6*>MDRBcxJWvU?}bl5 zZa*sdLQ5p~+_m%60{NZE_F#sINimFR+%LKIs7Xpm^q&b6&x^6+rBVB4MDD?oKYarPf`)Xd9#OI!sBC6B1t5y*4 zWHV#9Y(gZz_u$VOMoeZ!OZwOVr=7p=!P|uJJrSHNO>nL4{ zbR1Sq*ckc!Ot0BT+%(H*>rCU%u7?Rp-@zCp1Zcy|K7!Ct9GiD4BUj9c!O&Rx_MLl( zk>50X{kudj;vU+CXywAQo%H11{4ucY+ShNjW~t;`G>j}TtH(;|`Y$Yw$?mgtR3&RN z+jPseYgMzJ!qBcZr%&gL!F&y-1?~}Vk#EQ?+LZ~>a|-986=*6lnq4!eMYEgj@b@+? zR=$>#2#aHzRl(NTOitEygSx$V%wxwRTrwFB3GqAx($&gL3$uEt=` z992E5OW}A4nAmz6t`i-fbhmmjFi zphp)y1mlpT9=WBPiILG=($sA#>z&rry-H9{7NtNGrEn&UhbN3TA;%ENz=j-|6>ZH; zpg!J{ZyUYQ7ml}$-eVoQh8(IEtfq*kpo}&s*Ds2pm_sS;wW;i6X``4P(NPTodf6uG z`4ZFF?OHbj6iC5(NCN+Zg7mNuPZ!4UJ4(q+5`6Wjup-c&#c(&y9u7n@R+jP$ z#AXA|j#LmAqTOyVt{WR)a~)Gjj5RY$t?HxcARqgb7H*`((bO(ul?WY^rT-WQsGWuf-_k7gr|k{U1>46mre;d+3! zGcX5w_3k5iI(_=sF3|(l2iGZN5#Fb^S-0Q9h5B6a&B1K!Cd+n5Eu^xYASI0B+(b_^ z4fjXLds(CM4M(2A4O8dyju^1;xm%@3#thTXU8RJfK1VT%{ob|V^A#vIEgf*iW#%qlARi|eRyY=DPXaN{U=NljFK}&0cd4GwJYpz8(&dCK?SG9R>{^C>v<9uh5f| z@-c$ie&*RRZZXup#fo}*L~DF*w-bC5{p|FN#~V%s8?dCz@_7n`^8FFz6)(gBH`+(9 z?;^IEZ@JKN4h-TCq^|x?$O-+0J+IKik4MuKTHI;_9_M8zMy8D04|jT;Y6ofgM^)|P zp6G%Ab@AFrv!?i!caeErB+Uyam8|fI!gXH_7Xm?7ptE5Rts6jdXlAV1*0LMQm5}U1 zCjr*j^3@IlwNKghZ;{b_kR?wNXFyBVHHu+|hH!4})5GwdlHD47yL z7>zOb&RYBA*QN|C7RIdk5Rn({6d?xhcpo7ph_#AZ(8D*4!y2y(*x$lLOS&kQsm?7m z*~HyTgACk)8mRAxYgP1YDNLuFyh>UwXE(=v=)O;8?#kwol|>KjDsadb)yxzv*s>`J zpqZ%Yc|Mkg9@>$xuv4GNm^R3n_66-uM?Pz6%}c*kv}_zn+On*@9kaG)F?W@Dy)8D$ zmPnWjs2+yaLVSRz2i8LWoon+?pZzCp`!7%ar)T_6z!Wnx^Ir&cy1y1D{IAaO|85yV zTAIK0@Z<9_<1^Fa^U>k+)8q59;tTTP|6s%yVEv0a_3zGphJWB{{*TW7PlWQH&i>yp zoPRK||BC&c3C-@OB%`E=~Fvi_r!EHt!#;c$L) zp#C@i|9@FU?KAIRsOUf9f8lUGtNX7woKG6}e+=*6*|yV@(5bgui;}V=HBD=33TTru;*`1pg*kj zd*0A7{Tra|k0bEsZ2BL}{U3|geZuws^WA`>t9I_*=O9Gr=#yUD*N4QfiDGue_oGL| z9X{`>#|&c(EGb$lJE(%S4es ze|4zxwF)w70^Emg2M?P2Tq59a?~i~f95)VAS(V|#2h$2e-b?oh&V{nRG2#5&W zYQA0`EXoSJF{lw@-(POZn%kC~*8o?dSBZ&8uz|24#J!bca+agz7){3i? z;UTVlV107idb%AfxE81feFeS5yX1Q@+vwIJ@_p!67FPgIwMdb4x;$>eubM{~vf{_6 z>j*2p7eUm)FjM#}y)svl3a{mlxsQdn-jB(b8e5E444>ZyZ0~G4 zUpZfaSgRo9g7q=?Rfxbm#opox9Y7aJIB7VkxQM$bJ5}9QGf=hSwBlK!Y9Ty}RRmQ8 zS_(%%P!Ad9q8m3nHs7YUY9%LNZQooKUosBiuMz9#2I?cX<8pS&cu0zh7T-29;Brum ziAsSV_!Z<;h`i+KO^bx@7GB0)7TPsjw!TK%2|Dqy5r&E>iRN_EJ^^f9O^aPq9BlLI zs4P;aMW$1N{Jo#eLbq>m_Tn+^H68OwG|Yr00-OEKeFEnEggm(-iYJ8?y=#ow<)6- z^5($jCT*jL5UUv6h+L1h|h@8iq(qLir=^2Ix@`@5?c|w@uqp%+jyO}?YKIbNdMTT@3_B3~<92y2)u{J>*`!(RE?``wI19Jxzzb#mMQt1cp(50TFSqAN0=C>f+&`6;q5PG@-Fo zCim1r$-Uixo`wt|0t^U{N5;aA1MByD$&|V2sdXZ;>`0aSUXVFkB|Z(w_a2p3*@Qi> zUKmE3C4jxn>gEK`jPFASHE>AwT}Z%klpu(ZH%p`QJwXynqkOtsr&B#a2HO!UVG%;Z z*bP|sW}F;)uYF2#3MV_S06c8IO)S~GuAk{!7X&rB6mvQ0bMNVAR)h`|#E7=78LKX{ zi>Wr4Qg+P_qkOaPmqX4yI{syh9eOdMrPw~zO9sznp^f1guGC&6jLnP_%KbcGt_o3~#@UjY z*Qb0O|rjwjJ~ zHcd7lnbH`+wZ>jH*6)=NF=Ia!iWYJ;qf|L90F7txrIrZqg>8i&2CS@99j%rhT~uFG zS7y~0I0m>S40vAvwe<2Rr5X03Nl~E^LR2W?_|Tu?RdAk*4Rm<$WbYbe5!B(-Vbr12 zA-Hq-7Jc)h62&6I(L;(A?y3znUb4>R-Uj?9!Z0KplFb>{Oxu@q8|EBF-sZJRFULf+ z${yE-waTy0OtdPV-;M!IBb7r2llA9`Y6Xkxg3DAa&b%*puG0eB@s}KlUSaY!iXPeH zJr5vGCY2+Go9*TcY9-6=ip$h2--0jrZq^Fh$=4hUUa9If%AQ;1Js-Ys1N&lw`ublv z+c@td*p8H!sm&aSeY}D+h}mt$#U+ZFt+~3`ou;nPCuqFe7|v4>Sd5-CtT^@bd(aS(qNAzbt$!kW>F9gu(q~y>tVgOQjeFbJe^ahz zUt+FDYAA|(L#3-pV`yYa{wBUBWQj1tm%O1q($UkRwvTck>o;3Je11XKf4@7vn_iXo zy?zZjwBq_*V90$)Y#{!AnX2g2GfbwOwb_Zm-ubv0V))|fJWh#HGj2J7O?~OjeVx;K zZM&@KM7BZ6;p3O1KCC_*UR8Oa#>edB?Skq?X|2~U9!2fVMT|JL`UXO>hb)3IW_VY&+Zn~ zGmX`hW*U2Ig9j;Rr85;w(Ysu_xU~dlM-vxoHpb}X&+gE#r)8+6N|{Sg$~%0dI=&!; z1j(~7Dlff3u74k=lFY9zN*X>VADrIrqbypQRX2E`c5Y~}tfEFP7JMXMAIn8$&Ydm_ z8a~&u-QSPFh*=qkH$-7x?(>o`rnIf7wo@{rjla%yA)EHhSr833HTYrhV2Q3LW<%CQ zQ_s-EqR{m@A?}?~TV&Ve9R;2-Hls8~^Ihch;?^v$Ep*(fB9|k{6dgMHS~NGZsrMv7 z$XYhWM_qnaxzbu!PXu?k6C-M(NfdybF?Tq{Yw1ab>l;ne*XuVdks&`lv|jAJZ7xQe zSJiaiVLNrulM-v_iA`s#FMS9ZPI6bWbS`B=_$)X(&g}P%6;;i~51(_>_RsI~*$gaB zx1M!!R3`AWlh0L?-s0d=9dK=C?9VW=h5K~^9*ZJ-}NU~zOO}Amj&Ko z&&g6&y(7w6m|PIBi!I^qnZ-V1<*Jv6xMRzDm^fDdWZKJ)3jgo+wtA;qtj z2YUG^j~8VnC1mPxL6lu5BSn3g#<}B;JkE7UB8WEuqc+W%{opGGlEu4;CdHRg!>{-N zDuS!4!O?RL+5!wyw&WcaIYR**%{PlZ-t1%)Zc9rVlZ#&l;*Yh5r#ot}IZY*F&56YW zvxMSD=cY&6jfkzjw9Nd8)^9mm+eD`KklpDSnG_}iYtPTyjfK-`8<^c0w6Fs{H@vim zVCPzd-yf~w8c9yP<0wZacq%?I+C!;|X>j_n_74rOn zz*p-AY1z!&-eR1HWb$cSxR^U{;d(h)B8=W;>2G(s=5V&s)jrWE;~R^Ge$`y$ zL2O>t$}h{kZ1Y5hDk+Kf=DPKDLH6YmqwP$z1IxXsriivj-^rGO^qZ7J>GxtVdRI*m zZ%QRbTbO7!mU~}K5g|(@C>oinCYO7=O%X#%CB#)yl6J+k)A@+!Z{IjO3byrXJA8MdClNLtCZU=RqO}y+t<{K34=2 zi@Zc#j+QW>Y-?=itR#);tJ{D(I=6d`Zi-F*tRzi9V&ZXyY^c)*qqo)-9FL3?BYlh3 z?PjB{s5f@EW}wDv{j)8&=nj;)O|uUQ3IBwo>C^=|V4;Ksuy2vg4U^LGi=WHC$N>fwBtt!^tN42*H}|Vk&eiI#MXLt%d95SKv!D z`=jqsN(b`eEPw%`<1yJPJM(aTbZtf|(lg4_SxlUn*!q^wy{*!ZQ7 z2F6;rL-g!(7-@DZF38l%72EeF*yhQ)&TZM-;df-`rTHc$+0Dt@<)3lQifum<<_<0} zqf@4wRb}$&rRLT9QlTR}5nDBE0ZT59^0f{1F0pBMW1=pDZGeF2Om zxWI!;+ZL2srKtGXR6Usrro+$Q_6#*}vlB{{ths+WW??kf#$f9#(`5}b);dP_>S>fj zWs1MZDmw!9LTFk45Zl90RqlE=kiOMnsxtjPLx^VDW?Zk>>edzvcDvO0Jx-70_+$%ny`*0~=t^jEj1%*F zX`1Fng&gP&ouxdQK!YA%Zt+afmEh#yIN3Olu8}4elH*K{a&m zsYk}T+AzrmTR@yosF1q+pyHPS#yjW>V3I{jCJj^-NT$d8kyh$2N*f!7_dug61td*J zEPcx(mf2^L$pB<*X8}gbLo54nWg_~binpd5Haoyd;Sg;mU8Pj`ldGv1!0s-kc+M5t zKCxnIeU8tyNVTPt^6D-%2FX&=ka?Lj5tTG+pOC8NaSkfy(Uz*ThBYddck|hVlTO;Q zTyU+kkQI!u*%l$7$;Ugu#zJ-$bAQ%J^A`a82rZg$=f!?z!)*W1h{7fhawUqi1~>!x zLzkRXPC>&wiVQJw)3NwRslmr`Rr#Y)Nme#~+H~YNG65oVSOH1QC|Ibw_@GHS92Jsb zFl#2gC`R836y|r)fu=I1d)#n$Fx`oYx$F>c$KBW5+$8B=J-a|s)bUHH5!o+Mnc6cn zYnmqoF~N$_7r$(c{Tt{Ni7U;N&xJ~>n}&>vrpGj;rFgraY!4kbfg7sW$r1%^jQ^bOqz382#tf0 zvXSI%0D>if>vnpgFbM2vnE9t3I#=Wgy^m~gN<8hR3+N@lwfH&ZVR%!2!2JNfybR+8 z6m_IA&pXWBWsdwQ%b{cj}Jufu*biR45)VS`A zXi<-c8^Bv`+P@E@y!j?QMoVLT;Ss>*myl)(qFvvY`Uy znVZzcs+exboI`A@k=zE`9j7;kb*(zFMA+O`xQ~OKB5nI%XZm2MZJ@YCu60q1&`|6I zX1*p3Ct|U)q^2~PH*q-q)gs(E31OW>XcJ*xZq|)mE7lt0w$ao>UWM}mh11o;z?a-V5P26?9?j}=iF#lamwJg+LiTr{m>n4 zClq~;+;G+Bpo>+##b)luczqi|@G9ZF2 z0=r)LK7^mESDl&k4s?OWL8Ukx@kZacm83*X>+_a?7cp@d;#r4Bu#IKQw12#mm@V{+ z6j*tlplD@=H5SAmp%rkjvdJvR=s=wJHZ_tl3r=weERKQ66ff)whTYI+$gwKzAlb#x zi%3c|4B|~$mn+11Yc$a`Jc2hkVJ!EM6p_l`@ygqKm{Y$0C=-FbY3q$T%;E8$#uN+%$3q*88P_!(ar;%|~ z7xivMK0UxN?Iv;mEth?gf|wIYzj#%7LKkYWZ#GVCQiIqwSaMEuT+`$AuRmfbH;9hS%%;_xTrqfLI{g!Aigan5y>L& zIF*gbH)E6_qD0IB+)t#AUAJ-c31OY3y$!apz&RDxkNn7XO+Bb6nr{*=2_=;w?|dN|aK?l8=dn(A>E`c;MxHYd=E&}XkWQitX0kA1@w(rEIwe4%%3`hoQ4 z5Dl$9ev+R%+TTcNQ$Dj!gH}M2fI6< zrz#g&R9nR4trW>18STL6U15{4E%ed1ge}$^hUmmC!&0OGl0}hmG*-qgQuztEn6HVP zUPkZw(0=|UZ##GUQYI7d?4;H?upB*L@zAb1%KlrK9*ZO9TM)TSKKB{frD>_wIUqRI zs*vD{X|Dji`ID!+3;W_m57L}1KHZaoMtQG>8f;^`Pm}daxtg&L=17n)*Tstjl zg6wAl@Ik($nMV@a%9VD!7bj$QsXh5L&hs{&q`s z65fJ}lSuAt$qsJtTVWT1^s4QXnQ}_iYn5R98<80F37JpcfW9*@#g2ASz3$VI>LgOP zq4zlhxW7az7u+h0_jg8M`$D1P?=Z3rN0*u`Zb4oLW!6q&VgcA?Aei|iciFiitMzpO z<^FvISaU8w+8oPHIri^PKpohYzL1HpRMagd(rSR}Fj=M`4~Tc?bg?Sy4S?q!_}?{a zYFoC>Ey`??n_gnVo}d$pSb#h6@18Vf%S(|SP1KUM zw@tr@n>-we-dav%6;biUF|KRepnI)6?2xF%&?@6;tNYCiU%lb0W8PNjif;?Fl}b%& zN|$>*);RBZs^1nHTnp2V9Su(xUze-2lUyfoYTMUU#5*gja&|2AU`3>0XPU(}Z|DFC zz6pz&G<#;RmnN6SFH55}RU?5K5zZ9nU#1y4r|w@RHn|%3(6uzn+3_770Lv?7(LdZc z)qPv{aoLsq>0y>$0GRc<{ZcwPmlBr}hb+2&LC)$sbf3D8(Y-+4S-n98@DfU&QACKN z37!M48X{6n0iUCqZUtkqk2TItVcaq2yDjQZRv}lfNq*W>Z3KiB(lD&p#x*8GC60qX zGi+fiTZ?He!7<|4fSjF~J<}=zN#C^lG%?l=v;Hi_rMAR4AqO>cio*IF(zDV@R#(y! zGP*t)YR(~4^c?iOS>@)BjV=AUE2UvYf|3j^yfGE(iZ5+nW_vn~ex`hVG1ha%G3*TOPI{zN)y&jxjrBwz?;{u ze;)f?f;uzBoub4NUszp%srzy_Zt!*%2C)$MZp#vikSWYmupEKllCnf+s*~Zl;H*C@ z?wv77%2S;xeTs@~7e0|742Tm{7@0xpJDNaq@iKxXVd*Q$ZbA{1CzATvSQy6)g-y%i zN3f9QSdIu&UZp@gpMw(S%=4|Ul2kl~fMbJk^xLs9LU;8ZH4{_nH8``8U7$zJ4>+cB z!C=ECB`4B(>7NItTXawhdfinv+&O;Y46VG(K;m=j++o@i^WJ-pzFOM10AK=e2UzOV z>{dt42u*yCW@7?y=1frUE|mK$8(g+~kiV>Jm%t0v7-v~>Of<}fTg&*yq&;&`>;u>K zTX@!Wap>i{i|A%K_euoHE*9A)ZSQ!f!m%3+!0c!O0%O;_piN}ud*vV;?Gcpe3OX@-KN@|mV z%O$%I3%I$#KO9Oim(wd@r;yP&*aZ*Gan>G>a%gRg1F0e;Xq)&-T!ke*bj2#U;?D2N zW7Or_tT&s^@VtvsUJH(sfvIzW>~fgeykEoMtW@!1p8{V8{KD+Inv$)Fl3+_&N9mZu z{Gi%2Qr+POeucZdve3a+-TH8Ns~H`!t%uIrVe-c+aj2!9WCBBf=Hj^kFo_m%N(R~U zqbr=c*dG!VNZS35R>cXWt+NdHGekLCV=z;LWE4r}>3ibkL#L1eYP~#T^EbH;IZw3K zy`Iiln{PkFFk^v8zS%7}yB(hz*+Q~FrHuA$8oQu*I0^tkHhYzS18QLax-?>k3hm)( z%=4<4$M*UXvCx0%*_O1w3<#ZM31Ge)Z|!Bq$0zt`bGwkiur|DKd_uwlGc!C^Nw=)s zXQBFpRH~l2Ak#xjbLm-u#mxtB6J>?=C^CO=z{3UniW84@GiH^)>KD?ay_V9|`|g>T{7%}wK+RTbOl_8tn zZ5sPUt>{oKEDvHc8n!KrK4&LIESI*7m2&5E7pos1$zV#qx1gsM(l#i>rPidUe&`-%dP}WkJELCMhP9drqw$#iu@t4wzEUME z&5mXQp2GD$@AE3~dCTP^PAfd8mI>to0F98&aE3HnS@6`iJANl;_p2JL$t+G} zwdXAMus==LtBzDs$uV5xxZo6ypGf>%p^9Owqq_PDMAT%T)%UBo>(ibzT7=T zuhDc_hZDmMjB*MD-H%{#{?5e4r}%?%&>hbt)`qCCvC67A;noO$)=HURi&H+6C!M3J zJ)$1P)uJC~O?a?z>WUbRX4UG7=&=glMndu! z;>9o)cEzcH=Fmz63-}bQOR}`Aw<_lMyU!TL6_&@SZlx!EDjz9My+IfR^&Pf;Es0&9 z^1)Dr>NHU=j8IvTu-m+TNjow`eMBH?jGVR8JMB)h0*HVK_sU21o|n&!cSpST)c7FV z?)L)1o?i#03jOgcw*Q66&B>Lb8zreCJKwTQ>10Km*?c}-i8tq^1R8aTu#l3WrUii8 z*G>ZhBjr&tgxQkbb7qmwo?QKHA<j*^CHA%R^0cy{CG=@CVF<)g0aNEF(Psdr@g0hG44lE>zx zI7|KH_G-;LE{WKokhTho?P{C zW{nA~^6>Lvl?p26wRh%}l?|yM`uIkXsb{XS2odi~- zswUu9Yr#w#2}Xd54q8XX^VVb>?FtxY9v-~Gv1ju{n(WCa6MIxl2)RzwmV&0u^)Odw z&*K*bOzjmpTnsMi*h~=lzjpFUhcTwS%c^cV6d~z)QS+cOo?87zQ5C;r-O-`9Ln^G= zjGbq7R2U%=r2x$8xgZP7@~>LlVWH!EOoC5a{OXl}s{ojuf!M`1<(X4lg9wLu8W-gVETK?&Mk0#n+tkhXJg8^$z z9*RIUy1?#A2JElxGb~DvP^dBc>RYsK#}B(naG134Bq@uk=MRE zOgwmHJnwbZ+9&keC4OJ-CXIn>#X>}4dafv`s#Y z+`($(a2C4qokfwNv>rEjyWDw%7x2*FHcA362gxOKp1 zx1jgR@Psn?Jyy7YL*Y=h-O>6Y8#Q&>4if%CEB(eo2KS)>yS1OHdgrYTUJ(yK4)X8? zZwRg&G>{qNyG$|WRdTqxJ|6?yHTNAH@9~sBNek%KLOL9b4+!R02A)?-PX0tb1vnkV zZ9tw|I{rd{?GFZjxgv;y9;gEco?Axf1GPH1uZCIjRp5yfXkK7Ph6L*t{DBI%PD(acUEpTyJ7^zH=xm%t1y8*rq+7Um?Wod~G&UAO+tg_PFlU0SLQuHeL z)Ldz^(yyN-7s*uqG~q{*dgE3}MvP{o==K_NCYHcQw7imNIKf}D>%SZof?X*{zPy17 zcXC{Nq2R=;Mv7ctB8NdnL1T~GB2_yFvCFvm-+i)aya~^}fC49(#&aBAS0&P=qU%sx zylqQN=%K+_BJAktq!Jz!UT`S_ma8WVgt(Zg^u}G*Ykvb?wIv=i;jkTj7 zsS&Sk6m0zPa4Qffb{ksgc4W%9IB0@wvVJ5=GVl#iEmz)ougR>#75hRZc^MUI<=${H z-$Ss#^fr8%k5St|HyD8%3K(O-#Z?E|LfW1N-!hb~-JAY-!vO^f+qJ2QhB?=6VKFJg zUBw=Rm_l-iNI|AkZ&)ro!TQ;d@z*@E#d`OBmf5n1@BJ{n^9x0<7`A9A0*Th)P4d_^nhVIq^pPW#zDwBIMKt ztnnWro!^!N!6 z#ZjGxmm_+pVmFfb?BgCyw{Ax3vH6`lAsxQFBc)5VXzMAO0#?C{iz5M@c=W0lx)&X0 zdOgFj9KH$nP|coV)-=eqPC#|tE!J+Pn<9H_yCXtP<}F_?2hlDI$)x{sv;i?LZGJo@ zZ|^5UGXY*whqW05J~9SCCOgiA{0{wC-DK|_q^3xTVvusY;8fI}njcL_MLQH& zl($(#%_MB_Ihzf(Ai?>h*8=DC0>Q{7`H{u%Du&3GePjYTqrpH7=)fi5$_8DcfaI&P zK+Ban%uBaE6242lo&MN)h`12%ASZ=D+A|_ONEjAff(O%U!6SHKksqJmyT8hpA>rk z5InIeGs>=GCv*Z=)u>f|jKOrdM15axM_abohh0GtRxgtjo*8PQr}Yu9MYKIq55&iC z>uBdQUO4lb9#%Yi;3SIMUnD}dd|8`7p*dW!BQgvkQHX9*`=s$&afPaCwfJr!*#o3% zwbu`sHck86v1`7+I6}^YlVpbaM1qpiYMX`b<^eUu$s~YAguJjo9UFfwNWlBIV$S${ zlJ~wR0o9Yv`5Ur>#c(2ZjbaDzDw401-UumxKQm3El@28z~D{W2(MGJNHO#%FRj6c8&Y~xKXjjo&P5!?YL)RWz& zgn)FqzmGzBuhV*9-7Bxk!-jw~r6~-EMctNrZ=Lv<+X|+9m0Zg}UfZ?*YO()=9oC5T zMrAm12Ru=q)cZ$k*ouH%B;HVJa667Hf!7R6C<2*bBh%0kenEacdR?~(nxN(A-Ij6@ zM}Tg$sP&8BCH*L~V!Brf9AlBJZE?WTxJRYhq-Nx(C6@p6Vc*yK`2Aj4g2+!Vy|iB9 zELuK^NO)Lg!t~J?Zx-xC94{3IjTjT1>CdjA{amVC-gJP@0l&}ZUBN99d^#_HFsVe= zVp4`6U2c#HUUUg7)^mS(W-Lv2buAp3KzX#;jFK$Hez{t?5=@wWLtuOmP%K{q|0Y0eptVMJ&>{EZ2Kbv)`}n@Or9Lmd%4;8@BL19`FN{bjXjQ4L&DHays(#7mT+WHTI~Z@fky zeB=P1xS=JT_j^ystt8C~hTg;a7r%B1h$0@?(_1bahC=eUzEl27X{^B!(X;?E$fozoKhYMSgN)nE^&a zwP8 zAQ-o}sZ>>>F`MCdJqf7!@Dto18g!;T4pS`zfAGc^GlS`X&1T^ycm;*iZnHcvUAUQJ zgzPB(V+e6N-_ac0b;y+!xIh9qbd&Fae6}~Pj~@0(`xSnBXPaMcpq49CV6(d_@0`s| z3{QAxp5H3lXT*W(>NVAeoO3WOe-NI}mJX0BR01@N6zF(hqw1SedVJfr{PpxYJT6ts zbWxP*|G8+v_$&A*H`vWX^1fdF6Kv3>mL?i+6LkAam^plYVpDiM_-orCGeV+H&Z`7F ztNE;8I1B5W)1$u?>z1=oPgYv5iULogc5gZ7!jGwkV+O{bbq?a@!;WH~54tz0y6t67 zu(n0EVu|~Py%RaQ>=u#Wr0kFCq%madF<$h>tCP!L=m+(k$}$)8A0rK{mbn7t9&tL@ zvJqcHhwJ3<^7bKgE>UdJgVSei%d_+`oS54c4ipd4cARSVjK{Yt$Jx0d^6?o2ufVPv zn?pzVZMP(2m^~5s=+lEYS1*&^kjRQMug>S4VN+T;n`DC5%Wvjs2If0mO-r5|V<1ON znW~DDE=PV+WYE7X74X_MaN*jxFUnw(vEu){_OdZD@5#xUU|G{~SHH(Yd6D^rTeER3 zmfs-~#4tPPHll0_cCNY1zj}yZ3^6*%z{+MFAYmJSgOs%2c_YWf4dO{;jNf-bYoxCE zfuK}coiPzh$J$d6fk)H}Eb8o!hxnCY3hlthazx9ES9p5zo5M9|n56E;Uukd$sI;2}^(@&^*S&OqtuA`RvU-izZAENhv+ zIub3wDiSMo`1rUL*DM2BaF?_wZOtf_x7zn6Gv`ulP`>eCSKYwbZebrI%CwbuFM2^< z0}?)1n=Y~fnLU9P+T%6v)0@$#?zLJua=08&lkzOc;w%WH%n{sXp_ZSE7j2!V2Ru(C z>8Nl(@FM_7mpC19NS>61Ud^0xN_XrkAuTG|?8I_XQ+!<@{8N2~98jSxbksUWBPzmG zgjU!3qJwCG)SD%-Qt60VuEoQR^V{Jj-167a{P0knoR{7g#~uuG9yIsz^z(6!isS`@ zf&xkUjpVZYV+~P$+cTvmxR7w!dYcwy*6IUG3K#cO4lm%84yC6L=gY5UQ|b_)^*z5DjNhqBDQVcPmEvwtwC$~&&_;^& zmVOz~RPzK|z^?LH%aVAjXzd}qeJM{emF_Oo#46Nh7obU64^pk&S}}igh}>E4 z1Cp|*E+O3yNk-pc_u@zU+8vm?z}Nw7K#tKBN45nFO4_T$2hvo`cF*)sPHw`6%5S6Y=ZY=iSg5 zlcSXJ29;7xBa(8^=4p46$+N@5$a-^349WgyPA}mPenZ#mN=7t@;8ix(7K47)euVP~ssbyWpINA1dyenMiFL&UlC-fD;Ng1}vtPT(1vf|hGWRp&S4))5;Q zC&$+>uUtp|#jxCulA|XNFFDW5MsDp+vlll{&D*afCU5s@Tw0}&8M?F?5l<8eno*8o z6%d#XIzHMT*)x;`a@hp={h-S_tHL}UKe!SXJ89Qx!UIou1p|9p(*|BG^m!FGJS0y& zFK+qrX=vTwc8Fgzha}pHrnW{j6*3xiYz#T-j9%g`j+U_OU0z%t*4%m| z0%j zy$3+Rk*liywR9IKZk4;lsAa~V3Z6mr1)y)rI-oe3O$I~z{jGNo)^ej|# zN^Ns;G!6vyz!E+e=EK0yV9BC_d{J?^-+b*h@V{NHRz;ClnOs(-(Lgyh;#D*4TB_EL z24Po&OecjjRGwUyy}U`H*Mkf)-B0kV?j|8;Q6D9Q+1>e2!SUX)>l! zfS}U_4(U)UD0w`XI{5)f5W*7icfzdSx~kt2ELwV|PwCuejz4zj{!mx_j?yzTv-~A_ zq+|Sdn%lqhfB&tv@xQPOd2Nh!%>GFzl#u&Bq6z63|4pt)$IOEBJJ2&S;xK;p;CEnQ zVZ~u$V#c9mrN#NpF8m{WrZKWG{3GvY+CR%d{8{FI+Wy(j?>hbpj7$);G_;I=H1@ke zW;(h*!tXc}EiKNcdH&e{UHDU+_(#4!I`|u?_&YB%3&bDg{+aKua-aYGjBjTSmiPj2@X^+xNPinHU)@2 zA{O-rM^ZfygXlSb_xcfuC+5s>Xb~@`XxO(K6eW_Gd zW}C0gs(%&lEMpEVTk=<6PS**v5`N??@3I1f9-Jn09l{d zY?IrmWxdf_G{WE&u7kWNW1eUNV#-a z3s!Ia0KGUd98csdXbTnZ{a*k+K*7KJN%4K=EfZ#b{l$iQLi$PA{uzuhBKRT11%A+@ znE-|SuMg8&P9zyfo3CE{Sx58+qseRm<9M^#9ZuqMqnANE=^0*Mravn?CpSPyFfY_8 zpL8xL?9w&dt^5Ce_Wv)BM4lr;&pNOwkpSsVipgklTYI}}7v4JKt%{6mZ@*{-#cUJF z#P2F11jMynk-DD8SY@gTO+WuFvl{64@`-Pwhs>(kb*| zI)h$EtA9byV!#Rf8p&^H@K@;Ff!}6w8+!MUCFBnLUZp-#kKZctJex@ta2?KSP0t?H z{(B8iBf|mWxehR^eHCV$Kui%{z7*8YrI)xDX(nSy6HZzsd^`PgAy2ZW*zIgOy^oA# zi^(Q>ki5#CBtNq!$aHo!*_fIpPa{6oM0C*a9q&n58L}Vmy~vBOvnXxB z*Bvl?|l(E78msx6S!gZTaW^BMV=+`}i5 zVf-8^rI&%WLiPk*0%?ro{mD$ajSM041%323@*T~9KfiU+8EZi6ZS9}6|AQSutZ1#aBe@|bhf1xKyDJg>8^kIkCOYAx5>Syrq8+IJpSwdziaV1Vy z%kvfCV-xXxF1dl+j-9qjW63@?mMo)QvWwQIsXE`2TFDSTlkVpqNhjDOn(~-ptUi)H9RZ1iQMJv!{P` zYyhNlJ*3cqM?xmQoFjPtZyAtZ9s9Mlzc#j!C#03qN}hmKbS1~hELg*E$nReK;`9iK zk_zcM>AL^ha=9J98Kf5490E=naNbhLV=zW8ed>ONnqQ8HXFS$UA;ahd*!FZHqPECr zza#fa9}@>nAoDJ#Zn7MCe;xgV#4x9?T1Y-eUj3L1#Q2@mfL|u8dlI5`8&-~nM{a>1 z&4s4!1%-E#bz~VC1)bav=mDGh4eEeLu+uhp(PcRCJ@OtKfc~#M%CpJ?#HBdo8;MPN zL|V(I;N-LI|7!nx+dnRuDEy;Cx5CozfL!iDgxU;B_8^v<=^FY3IrLxi=3g@JZ*(N6 z+JXIAe~p^|hfi7i%i#R__H867Ux|9AnUv$?ZDc&HfnRNIdxsR$RXDqW{o&l2Wib(=LxKcxG~3e;6Hsp2O7G2+`kGDW_Ot|9L#`{^XCyNcw| zOtH!Upp6OGyECc4=w1J9Zjuc(vY@3FavKawejC=l75%ZugFgO8)Y^nT z#w*$F;O#3c7=|yC(!6ctA0gMBfDJ7H4@*=|dta&RY>7`lMyw|03Bx0GhT!>Q7?EaF#`OLr3!=!S#^a zePla)W~i8^UX|AGOz=Jtad-h%uF(1iSy%ZvAp^3VdJv=1GT_B5Cw)c*_I`jWs15D{ zRkINt@8{p+lo4bxL;V_!4!?5H<(Kt|RFs#M_AV*z)w8Ha_io{?T?z|2=XVO_1p~P` z*;)QfpVyP&b~zn(o7G}A84Y@!s>l*&lysxsn73bT&6Jq0Uqfs_sDH>7hz+>v%+R73 zarlEFd!VFfbocbEm>iA~=a86dSnX~Str#6s!oQqyRgCA`z71mWP=BB%CgtNF8a!@% ztZ;a3Fl2kzfBySutchjzs|^PIF_w@2mH34J;BkTRG21YV2mPs$D`R9>t$^0{&nqxU zDuScY4zG>nbi9rp{cA+-gG3LXC$j`ouA(b!y9fCC^^XzPZZhEW7;%e9XDX0SDr1FV zkYPhl+lv&%sO#Gpb;hWBCn%&+!cxBzh(#&tPChV8!rS zdkMN4$wOktuc_T_H1rGgn_$2I(FVwFgApS}F@P;*?xq8-pjw9wsOhtt5uF*ra|m*4 z1WbuVS2my%>JO>mi}S*l*7n2qT>Ob(Sx3*A>ZOQ?Dg9zB7Oq%PBS;)K9@M8u#)k{^3y<)JDd_1Ji;mC`8KLO_Cq~Eh zADtdbPq1z3ZB2i_#(j+S ztG)cR*MA!QA;ZoOQ!nPMC_3#=$!N&6p&{3#te~-@X&8}mUv^&en3|ri?RvuNKY{*$ z(13;&D+YuD16DMw7}wgq_{LDc7Fw};$dDB?YZ?NY-&2h5U+IqxxMy_C*3d}%K*_@1 z1`HRP84ze3mx>Zqp z_?p_(dw|^NkCSLo7;0+}Uk-PCagP*V7I%C(zq}y?HF<|z`@fj;#iqQ%9&!XCMVjcf zI6FRecm#O-sUoJUNNd{Jua^5+y2t!n^bBD{uF9AvtSuBWL4aIg3k6;e#cbi2+^_bq zzjAcIW=CYB=j|Z9qp(oh>!CkV5kHB`7NeChnjyvs;;9zYxu*gj&o2zrtY}DEm|zdO z(*zkigkP+v5 z!)q7%Zy$|{if~ff{*hcDzeRFT)%2!!NB?nq$%+y-ve$%OjJchZFQ=4wZIpUE-fl?4 z-7|7?yBZBfvmub18}N8Cyxz=gzdQG*~DBX6AN_D=)T+#a2X7NWjU13%b}z^hq9cmB%hh+z>G;5^D;Os$QYHuSoe%# zyCIP8_h!=OOq!V~=eO7;LW^5ury-!r>z+~M!n#7Nvrv+^%;PF>mAeMIqLEfuH(I3zwB!23lA%rdb9SQC76ftD{6$R9|=`fZ`W0vE-5KOx2VMK zh(s<1Qg!;J;;Pe*NKsu~i9Iz|Usqq}xX`VyYqsGf29(q&1{u49lZOLcv zSkSWInkS~Mu3CMRD%+Yr98ve+Rlj{<@6suWLCM(g1qFY&VgGY(-~JvMW^4csC=iAR8{rrIje3~z4+J9>R_LSIz{ox1dp&!4R{4hD_i)1$F84P-gGb1QCH{8aDW6glu1i%^py@m z-jUyWJ8Aea`L|@=tF#l{`vpBoKM~UDOIukZ>R3+f&(e(ycOh0}FDW8Lr+f9J!O|dW zZ2JrAOk1D9+$!8A4DugHCix-yi0Y$eqO-!=WG>&E;dNzXcz0yL4JcLZ9y_x!gUw_u zo5bgFCTDQ3xj21bLi=+(<3(7iaM$TkK4N8V{rB9t+bMkldZ`(@u*(pEv{=Z&HzVS$J_QoB_ z=jXI9nX#tj0r#K&^3UJjoBZV4MPmqIxM$&Z22039G{ym#VCJYy#+q&0ZA@pQ z2CnJ~bOUvxblY_@wHcf`NiiKX`=3^`sFP%65%)4Ba~X*Slsi~1xgau|2piz`^xLO} z%mm{Q2dQfNQ-_Fz>0cB-QsU8m&MRn1u_PSdLe)_3D_DoPI1QDSjP;y5{wJy*x_Wwq zKWQ%=IrPR}+va^+Oq)-fkixHg^u?3cZCP~Vto!Foop^n}Q8$%LekA$+*{@F!)}Dl2 z*2?>dN~|;^n$t&5RfQU43+1X&_-{7RF-rATE=d+6YMAJ#!f@FHBhr9zuko1iOQU48 zz{8gi)rH%jMUs}tic6Liy+vXC{wT~?r?XmRRpEqENu~t^j$ckDk#*!4`H?7kVpHJ& zl8h7_Erm1U*kGuFwLdTtV#cD#w-g70JdddPS9qVl*N<8~cv$8KxC> zPl=G_thzd{kZ%QIcg5JcStX>XveH&rRSB_Ij;+fa+J;^P#bMa8&~^mat96LI1Y!@X zvaNFA5!F^%*{i28)H=u>COj(`;z1swB~I44Af%{VuKnQ2woO}3u#z_(*wZ6R&MMkU z4<-A``_Da0KU{M219v8`6!~;LZ15XM)(R^Oktd=vAkb#xI*9B?qr~nAQr--coNEP! zN#Y~2P-v|!s@K`p`g&%r)pP$^okN#PEgo}$d7io1yv{5$H_0cA6AbIzl)3NJnZ4#h zGq)0R?m}+!FVaQx`3%-cH?_m)+A3|Dt0KA8l?X!uOI2{mFwsmhB1~*-U5Aws_%O{u z60y)w&>jqkOp_lh7N*ui8!0XmMi=CNUUBywCtqxP4H3-v!GtzHz2e5EiIY~%OnyM8 z9a+ESt>ly3)b-$k1%DbR@Biw)hR2*4V{dA_DdX&x-`+Z}2?}p)|5Ba@5A~7e=-5K3 zQDUsnOwDH78oh)7=fhIYrI*-pcukW8H{*0W z-^-8jFS+DfOSoxm)acMTypCE2*E3bPg~w_uQ&nnYj)kgekumC5!I*LCMT~(hE%J86 z+g?5E(izklEY9I>8|gyJp+*KZ@=$Oq{kiGz)60e=UrjD=JM#kV)39#i#DdAomrO{$ zD)0ACZvE#I$>);wyS!7rJvROM-(6`F`D_d9`D=}n-$c9W*D6s)m9^fU@><3XYms*( zokV24VG)h$2(=S4nSokNBNBwAEV0s#s;cN>^Xt{K3$+K`!4ybepWP?rpZgsTpL>Tl z$@_ODqq~weoDC@=u@Oi<^lj15$qRmN3Hn;ETT zlnrE)7?i=NRZ^M5i0B|o4oS9JiQZvU*v<9ep^=*#s3_09Tq`uFr->Qz-= zpx>)MrvFhd8xV#q#7(9%lxo?;r3aI=!>$lZQ<8+O*-MI1!TO3yN?>i3m58n-B_e=o zNx$xVB&nYz;%qZ&U{L~VsZ8^`S%|0h5XDajpJsSU%F1a_v9wCb>vtu`D6KS)-q1%c z`Ag_n%A{A$mP((T4a)n^e*09nkq`27DNB??nk5d8_Gm@$)T5ADqUs?(J-P;jXzGB& zI5^dVdA?YVn!%SzzTh&&w$sJJU#hg^5NYm_Cb7OQn4+wNf+;z4tc^*nFR`SwPg-;q z7I=1vv<~7d>vH$1Ic{(@}OzqQ@D1-|>}$@w6{9$C~3J z(YRzai`Viy2`U|10qx`a+PGK-60)G9hG(tQ`DF{g|72~v)789q9;BH}e5uQtX)8)xq z5xHJW-btHj*(cOZlc(POFnN+@$ZsXbCZ9;|Opc?wXc?VMANhGdt)Lmm6}^*hCXcr# zkBYkMVfg7eXvPFXnicKeENKbu4lBLgfk>yL2zEMZUh6d!8W=GcjBW%xqoY8fD5@;X zwoow}7n!2CC)kNcnZYtlzwlzgsOHC_j;cGI%3XplB9gU;b?HRG@ zjgYK`s*PGzTY;_IHqa*7i7n5gTcnQ$H6Klj=3~uGMKY^M)#I~L^(9QaGA%FMuCxkV zGnu2p>``XQD1ab4WI!Rwv8fthEZl(gz~;h7HK8i1JHta$l>qX;9Q*ErDRU={{PYEO zchjEbSHAhjwM))DOE=%Sw)XxV$zJsOhiB!q-6rqPo3?GtwwL`<^~-cy_>Q6D?-3Dl zO#7FpjNT&oltyDsonRROsw>Qr zd5we?k_u>=@U?l;Bo|d(9#?^@+%?cO%B2{H3re&(vvpah|8vRf`I)bqmDh7!uREg! z<{7NB^McF0i%|IXX;HJMDhWtWD<$gEW&HWyFKT(M_7JFEyC+dmXee%1hDS49R3HWA zIjHnSnboOtX>VMFB#$JS;@cj4Zq12j|GG8#*0;%5k|*@7<{x%F_QyAq_PI)lVe?9e#ZX@Lb zJ)=B~su>>K5JzRq%aBbv7iC?YsIDmY%9PS9U)B;rQQV{2^tqSH4Ani;xvZ|GvJPFH z9=U6YLGLmc^g5r{SLoxZbj7UxK|E&ITv>yUX;NQ8iN zHWE)U3JuNF>qL=1^rAF$DI&t~X?=$>>SyVZm{8@bRMh*Bid$r-5~o9CDW^IwdGr3{ zVV^2>Ngh9vyzQmWyPKF)=%Jo(UcV|NxqE#n@Bev#v}|;bU1zuAI&j6o(GGrSIRB{4 zpZw;#dB^!Kp#uYA%wR2hZHr!8pq8t9anq4FHR_FNK}(8WSt3gi0;+`ddMbJJ$Z>jZ zB#O+r!$@q+xIQti-KFM{FCkSzn)Osq7ey8AB4t%&kIal*mP}@8k+>pxZAZn$s2F#k zNZrv?c&I2wD224N;7|`KY8hKvn7SE>jwT$vhyt_(sk)5HgWFnPY?+#PwY%e=na_8EOr!hT{g=s}w2~${?jyky(q6^75>KS?^_inI$nb%ac`*#dVGP`KVu1ugdiF zxJp?j@5&kXgbTuKuS~fdYFTgDVQIA-w@7Rd(y+xMXKZ%1WZJs4;9lSunL)XQ_syfr zNM2KJMw8Bio2JLpd6_QSJ-3l{(@i|@*_tzJw*}j&%6cu`RGmJV781%IVKTynX)3^u z?YKDA)pZm>!BFP{C6ovEEvuqs<-L0___$hd1^haPW>Z%NFI6P?JltFg9?Ud8^X85h z4t%*|?B#}DH{UR)*%!+`^XBu3F7~7MCL5#knoT=a+_f{yXU({#-=J>S&GkIC zb=KxPzx`+(U0GdJzUIpMM@06z8Eta<{<^7oV-UQucy#c;o%;8fxX-g5fV=e zvl;9yI;YuzSb=!qb**>pa7h-Xlc|rpmdTmczNRK~G*_O?a?BIXUqnwH(ek&Jr-V== z;xD8o7r3mX*x?q{NkPbsddyB!S5FZvb13aQe*cLdlBZh_G)g=6Q1ima z9=vPmBYm6ru2so*&m{kz{PV-OKeT;!?!x@(22xt!p@nv8dDPxwuw`!Xv~Xu(W<@4TB@AxX zS-cizWtmOH(qwimQ=(bs$;_`z{N;61OTnj4;-6x4tf*B4e-?4WEbT3fA|rL*R8l5U z&i@hm`Qb0mt@+FRtzY|h`EMJ$Zqq}n=QP>rj8_iQqMu*;&x9u)_fNg~-NQ%TX=;Mr z2ZO6G(t1AS-y>B%g}+@85NQ#Lb~wJ&WV znQ*0C(#UcyawQv-{tJC;E+Pa`f8KE}{%JWf7%C0He@Lm#QBv$dA%2PO`4>B+aYtTE zp8etFLpMo#l6`O8`rtkHtQxwac{45j0fyXl4YU0GhZl~%@Ym1w-nDlOBs5HT2K4SC zenj0FFI(yN;C&vW1cj&0graniOe?&Dyi7&5Jtn;;Nk-;xnTIl%QEK$I*lp`X?e>_| zVsv`lh3*PBf6qcIRH`o1^+mzvUPk=5keO6?QUT>=MweNl*<>=yvYeMjny!YTfV`9ppt!8>Ewtw1m&$c+tPoAX}6ThFlWz`eSuznkY#6r|v8DvcKGG{<< zDWm*Bw`4Z7*i@^@QLCc`I;vBVavAZeMvKkZRA{O&G1XLHYBsGiZ8yopWb%6KscQ0c z>`~c_eV<8xwo;xMYVp|oR%>>P9oI*XI#1;WqHZ;|NLgxU=(e+`b3te5 zHsJ4TT}E_GVorg|vryA_UgnB+h4FWB{Z}5j-~y+GnuQYpv^-Ilt_kZ?=_GxLOWokK zfHJcV^3S7}5hvpll7yCvA|Ht)z-Mr__(}vq--m^4&Zv3^g-@X6b ztwRp{Ub))vUT-JTKx6jXvMlk=cey{@6ao+Kl8>PM2x%} zwedHICQdRt8dB{Z`*!ewT5 zD2T60tru%Co029%lmb|89(glr*4e#wrqg+K%tr&Q?O#RpPH&mGl2jT1tBLY<6F<9il%8q|rYov7NWywjvkxJHU{uTIcz8~R;l+Ywva(eH#Q zhP9HpstR4m1qlmRLgBz+LbR_Pji!`Tg0f$%9u&tScZtTW6zp+bTRUaLo^hk+wCvd; z-TcPfb$PeG@%ZEH;lY!yd0<=HdKO@JUtrWHfsd>oK=!m0k z%2seB9NSkm#`0~Ywi+9kU2a#ti*waQb*TceQIQ5ojW9ohjheaDD7QFlCMz*Q9A-!( z>JvuigVA}7ml_?)4^jkn!KSb`;cc_VYK2?M2h*l!p=su{a*YY=o7*^SRVA2;Y@a@2 zYn_)hJ$Cz5_bqLEPRf69)Tqk&%jXCi9E2Pb1}{c%b8~daDAOdRT)R7h|D#<8H zNq=TEaz$CHo}{9rEJTULF4U4as3o)iyOx}lGU6&&Zz`&4q;;>u!FEtIdbL@nZa=JV&4 zS!{Z%>QD(a5@I)~O}eN}C+szCsxQ@RMH9lKHt-U1t`;YWX3?n>d%+3W(JT5zCp7Na zDqXj7j3jLOTx1{{dt)Q_A)nN=Y7SK?1lNzFAosX`=EexhdRGI!LQ?_2F>rprD z?R=~=V|@aH15mExxP#T0C@VEluFIynY@I>ZoSW^+&CT|BsFzbVCHMAR#<%C9RL-SL zHnf$hZgn5QLbbLxWLU}3N#D5++TnK@a| zrZ<(Dg=B&Vg1NeEgJceprh$}7yuAp2i#7YqX3wlso z=|s$9e>Tl+oU~{{%Z}}JU%l}17nupm-3xBLv(KpYpRaj4ap)~KJ2z_7fPPhF1>wH) zrrh_!&Yk`l({CEsvrl$@*@mf2PqsV&xrExkWd-sE)MF!~Wl~SQz09Vzs3w{U9nB`m zWHwkcTn{p*br4w(=Tyx0CY@1*XYExuQIw8Stnwvf)Q{?3BB;QsDo@nawN;8Zo)7Xt zu_(cCcN689xL=gBf??&i-t1kr3|TMOub>OFUAy=ecGF6#o0eR;vTg0KemYSHG{bIA zN%_Q0?uhy&to3(CRY*6}dN(a(Wl$jVk$LJtdi>r_-rZ#jfF4GrBD$C%#MikI4h=ob2)XW(& z5>pvv#3&&J>Bu0moE#^TsIqaX;9eDV^dNP)D&nVnT&2ypDd1|s>5rTqcO)>vVKICFoKCAnr|6cOEH0DDqVH-n8Ji7y zm%*UNE@ZnR?v0!(MuXMjBG$dmV@_70%xe<2 zi$Nxd8gK)9AF;-1((4#?xKyOjQDhR?PGlq^A<*}nwAR_^WKN^b;5F158Vx%PvcVui zMy^4xGjP@9F)=GKS*#|fq$mM*hSGO@&(;hIDTQf;-2)-RoP>-k#@1;P*ZOrOn!HO& z>g!UWA{7+s#2|)6A9@%XZXPnccA@|F(H-iz7RjEk-5(;ArpVXauP0Bylx{B>v8 znIvK~sESpB^*Kx!z_8JXJV0nRA+7C)qnSo~86g%`QTRZK4w7mmHb|n&B}$|st?(!* zDJrp-6lq>+FM$Y45NI_S3P3+4uJaO7b+#kAO07L|aVzR)h(}Mco|aJl?Z?S)l3#y7 zo01zo`HPE6?kcZs znDQE2{suZJX>`(KPD;z2+ntP9ELNw}p5ZY!+i9&t=`o4gtR7;O%toiqQfq0nph&hj z^u`C3EK!cJfmT{z-EQ4$ea|Y*mna*FKml=3k>1%nLJLU+*+E*#`$T4X4{{uQWf15i z7PBNZJLw=N)j4tJbn5wXq**IOCk$}bEY0X3#p0q4OVe(7THuC2OHhFeG1k@3qC;Z( zYipw!W{;Pn>BwaDq7F)3DM=lj zrKgH2u8~C)NuaF!Lfkl$oI+`zjjMXrIC>Y4AKEiH?S-E+e3Gx=YnbF8nY`yS*Auqs ztEGarE29(UOlFG+5&1z>i=b^JUu!(EP{x29jRqckOhFjfWFdfQUw?*U)P?*xApQd z(g@g!{ub#AvK4EdQ=TB3Ft$aBkOquDoc=yWy_fia4Oo8_;BJYKVZeg{!?4Za@-Gx~n)Z2-0af*o|DxE)7#&_iq@JIi-S<z z+rt^>3DLNeR&S0*TV5gu@?+w$i5PwK{#| zlTR}|S#Q6CX2Bg9{`v(o7A#u8s}@|nfGxPg_r{wTzjYp(X*1E>JOjf))fsnTmx>D1|qZppm5+Ru6j&e7Tc0l=q#GXNQHVG;!}(v$WEDZR-s z0H?iaY24+`+DD6NNwf^TIdjoWnu2EHWHb{RUH(Ols~TTx{G?IpIf3R*pc5LKW@Y+j zXWZV;7rYI?_O*Yi;O>C1 zQbtxP-N<^tQOq%rh|&`)yD5d_aixIl#M>U=1Hi8U6s1D(#aTGos`%q3YviEfSKN?y zSm~}f;yfH^RWjl(FUHFi56%rMUd0oa!Xv8niZGG8(LSVo2`HXKkDd`M@FcPWDeQ1X z!kAb}E(0)3b`q+%urUi?SM5_Ac*|CDlw4v`EQ(dJ0e4ZlDq*k{QaUO5#7z35h}{Fg z0rEfM4qv3&p)ffK8x1RZ`D@rsSpH`k|D48Wql(OMP$uRQ^0+vVcSR(?qBs zg^|;Y)j9It$Xx(Nu9iO{^#CUSUH(QsO-%BK@<;N=@W>+hLqg>r<)7sL5VQO*`CIwl zz|YEi;&S*%wNu_h-UcwzRo+ZG$xo8rfMN0@h*FP`nE-`E<$VcvX2f4@z_p-Cewgf$ zcarY`66q;#NVt748+mKIJPJ|CF}X$1EN@NYhtha`8n?)+p=B&s8+ZF7Fg$r}!tN3~ ztV`Hjk^YC|b&%a{@)IEX3Hd>QfmamNG1pU<>c`~U3 zOa&|etOUrUvpk7hCQlfn=N9h&`h6z&L2E9x9KN zuaieX3j^eV@*rqIkw=0`NgjZ80!HGjk>qiJOkP3zE=K<@&Ev7NbVPho$r$? zKJgzCD;oitSx$oZ*B#qmHs7InhAW{&xZ$Ynwi`SCy~ z0(a^q2T3sr%018HUeKZOpxg^`>jiVl(~cWm-AfLU$p9vImwU)XkWY@BD+hohav%9J z@KYl9mP^5pLGBLf_0kz~yYwAd0r;z|CqKv{8JFU%2CxC}d(3ziqyLdzaPffjeIhd} zA|H}|!0H<5d(AT3i5|To`szNi6E%txYPwanlDlO$dVQqRP@)xrwnFhv_@5gFVt{ix zi7H#9Z!}vqr?ClG_@yq5l{A)tMWExUdD1^qtttKG6qBj+3$31G5K`YYbU zvIH#FF<>$OZ6y4LY^ctX-X~OgA2g^K{j+pL z`}(f*vbM|1m<{8SUP`Ze4yOrxNLw9f1%kI%TcqbPLBWS-)5q^i<5p=mjH{yBj&~|0 zq&+CKtf*{q0fPWsdO>;-zHXEBiBRW=RPB@=B`&}#fDZtF2b={cL_#|Pz}gQ>k0u=6 zh^^W!Z9(C>g)9ba1W4pC+SdW!0l2hTdW86J)+79E+>*PfI#YU>tO7g&cpC6L;5C4P zIh!!L38LyG{f^uPcpJdmUzgS=^p?mCSh^m=>p{u0h<9afF8`OB|8C(Y?B^<;8sg{ z@vgbX>Rjo5oOwS4dq24huo18mpjy34K$d#jK@d4&VX69)Jvn7sdx&6FDd?l=6rd0$(WI6z`HdzS<_;fvI|Wn z@ntUbzfGE_>HqOGUI3f}e0v(-md5j?dGVaw{xH6IpnRT23huU0_6)Q}w0=Msz~PcJ z6MA!GYIUu23t0eQWJvmMbQ*9LAi;uXW24#NV>WbtgLE^Q3ShA6>9A^%z=$*zwlEdF z@zNxi>m>BvMtf50-5^cGiisGXi1qc-WKl3kjby(h64%wzZ^;b6BLJizv_*i`fad|9 z0AvW@Cal?rb|*l@mKzh+%*f@{g;G5<)BvLEA*lwyI2=_ER_eh-J(kqN03~S*m>2_Z z7(=#7b5Ybr4oijc!Y&bn#vCX+ z4-(D=&IJwt7Xb%>X9MR0cLfdscLDAUYyvI-78)x|VUV8}NA-D7%7YmU!@yz5l|I6N z5pjeOSR7#j?g?y8V=M4>U>k5BU^}ol$^k5nasrE^TrezmuJHg~DbDL5>Uu;tOB|tQ zAO8>k2_|B#p3eVGto%p7Pb3%pA`N~3{0R67g4zxpZpW1*z<(x`f6u=oZvGR#x``3r zDOtrurl>-DHJ~0a1F#XW6M#^}Tk$=E?sg&80#-`9Cqk0a$5WAtbg3=6NItqxE_qN zYId2(r5K3D^9!^u(RfC7q!p-NL9mroC4d3~BP1OFHB~rt54(aK0WeIzBA(&Z)?E>Y zR~%%0SUFrJ%p$BD`Lbs#D^CK%Z4x=x^IXHZ)#s#heG7v| zuse$Q0b2ok01_LX=+`|Mu6D5DB0iy=%ETpL4;zU05$swr2e1>s*-$YR0vMXGIwC`= zJ#45bN7!JrowVr((Ec63*fp$1*dMzZSklx{BNTOzm9gHMG)q};NYedjOn7`S`Rh$ES5UJ`P>B@*5I|7>EqAY!I0(QW^6Ce9)2? z;3LC;hXFSLHvrEBo(XIq-_ese=Mnk^q4W#-S=^EP$N~BpUY?@6P@}FoMAvI}fEKD= zpU@d`E<2FW+k_?T(=4Gi+6Sf({Wh<2HFN z4nHcoo9SjCvemY=Ve8DTQgshHyn#R3(8CYV~nLLYUYcu^kTie0O?OK>{0E=;38e7xj7H&tl zVAVM+#-7$_d7ACgEN2&cN_&5b?bPs-z+(J~H2!@W?_fIs zVaHhc{Dcnk1<7)*v6ko=G4#t}VIuKtZ z1MQ11l*82qdM{l9OA6C_=wb~or_HFu>krUo*ikcGhz;uxQBhb_i57M=CGOA01(8mq zjl#`nBON2CrB~BR+y`?HQsJyoT7&hM$D4|CMVPrPo}Cv-b&xJary}0BM(dP!bjlLw zM4xBRVqq6rAUL9hv;fpZTWLX}xFjNQJSPv~t|01#u^v6b!mohE2LRFgyLOA*zm|tv z+Ycw!jGr9Q@aVb}9y(Isy=$tkjI3E}5ObnE)|Qq<*0#{_eJyf$(?fDNx-mO95`75G zMvOcJSO-`Scn~0#_*!}tMWQV|dZG#Bp#d*fuccQ%M3LEk$2Vz8*a$3?vVm{X4A#P1 z_*zY!4*-iV_owk1zE-H~Ap17$BmZJ&z%3HTnRqZ1sm^2nfYXQ%+t{OG2lhCyc;A}F zj{(DA*p@WjoW_r&=ReFI6^4r)AB~qsBGoy(n0M3MuNSbOsVA_Yr6`Siq;Yp(VT$22 z?#jCfZuYgGLB}rAznA;8nI7&-Wn*6=Gbh5T9bC^GV8(my7_M1aSHW z%SS}-RGr20;Z*r>vwY3B;4^i?DZLX)JNzrolSKS%#YRs$Gb;a$~vd>|j732XrGr!iZT z#{GeX5c&cO!B?koG>xm$_zK=HPGL3%x`eLQd|)xKD7+5SJL17knycIqcV2XWg4oB_!cq~uo$o!uo(~o90t4&&>;srj&+YC z4?Iqu2mBMz4p8uAEB3VU$Hcz){ut_m$B+ShG@Be3a>8lFr5a)WL~(g!HOx`u3_|@- zl^@laA9m-5%+H_|%JIjuI;H2^y%ADfk)DYwBz22bH725TZrvc9cIJp{aJzxf45nvUd9iU(rZ=y?)P?a}cT&dAvhz}cr(g+UKc)HkZnfycA!A=uBC3ND~jA{fvhn`8R!i(Xia7@%kAYto;am z;YA+)^hNpwM!s&M;g^7;2cw6g&-3RG8p4MF2N6~7U1ii5-RPv5``mli^2O(DKX2Rbj9dNXM<*8Zf4S+rr$5u$@v8gp+HS=e<>Unqo&685 z9r3`?58XO`&RMJWxNp~aJDig(Ty_4&yRJI@+O@9y;lNIB?J()o1;@W})%=M!-gorO zy+6F_+KslDzW&hBV_%apd0oBU)+ zzUjeFA3JQ=v9p>_JhsieSGL;yl1!gb?wX%EWXXr`-+HT^HeLAWip%!- zzym8+ESf*;iS-^>d2PPMDK<`w{E=Q zg55vA@3C9nJ^r)zKXvo$`QM%U>yuu2>)LbPm~;P}^xBV){_C-;?^yVa;ah%d$iUQ} zjyd9rd#0=&x_HR3{a3u}%oBh6kC*)Vw2uzoa_dvpJ^Z@0-+lU`6)&AK>55s;9lPlI z!#;Gt#tUz`{MuccKb*Qvdh^mNK9b%2wfE22>w_1~d-|p$um0(eo4@T9@{SY)9QH>_h0qPn{S``(b?Ds?DeHR-@pGh+x=ze26u0@?KWTd z%DMM_|MEE7x`l0JQde9GF|JarNHw^5w-moG3TCBci8adYi@Y=VOPJ_y7zZ0&;QH5L+3oSVyg#U-D@C!<*}zXp1)w_tnGh1 zbKEmu|M1KuCrur>`KY@lo%r&yfh%7;7nuN&O(+U)zdh5GzW2VZ~b1v`xT>(t>7tu^wSKl<3FAOFUOE`9UD zv6qg^m)`OCA=eK%az=jgqG4}ta>kNjhwidqqn8g{e_-d^e>rsg+@Dvc-}LGpFO7U@ z{^m*{`hJ@ZQf&TKKQa9z5YEdtYF`++kz zn>Ty&kLIW_|GA=3aiyC9iBh{LUYJ{$2BLzG(fM79IG+ zk)sb;{GKd1x}11>di0eezH`-UXC8mS>&qAQU31h|cKpDBqfgmu<56#pIB&|p!@VBc ziq~M;?`suhQ4M-*E8c_&Jk5EQX$_v1@8Gd5p2!(Be)@!X!KQ!2z7uBcb<%Oi93QXL zOq)Jq^yKl=`r{FukB{&EqxQ_!+s|kfS$~o7B2-oOm;601d3&hji7oyf|HVsDdCK2; zA!^OfR#Nn31usVZ9sfnYHGQLh{Fk-T&LdMf?*!!q&uk@~XSLe@v&wl!i>IoZ@jO=N zsj9pgotph+((KQxD*k_8-lSi_zjMA3&tH{1zr`CtITJ3c&OmPu2v#LU=h>@P`w6Vh zGg~?R8$69w@H|)iZ;EB4V%>MSeR+9Wr&uf(hAL_oY)&Be2k834a zIcU1guF{KFv^o!heRBMy3DbG0YsyJzuL4Ci?wHR`??1Htm{@<(`GKsl>VBT+YyW7! z&edOaz$!jD(2u$Z!k`u6Ur8hF{>-{R+i!C9CuoND|3^wYKRe$_(hgmdwDYwj?T(*z zzt`-34-M4r*X(`|&Cvcg)Z%Af(s?4k)Ze6NYYu#;e(hh=291o(c@=~KW@sT zz7cJ%>+cLY;-F(D%$hLmlhYq7PKS!7{^`fsGxv!byp073<4^wyZ7j*EtPxCI$676n(_k$Ao(3DopKf3NRwU9luoQzC z&DOL_npKfD1Z$<(S5`f^T`Mmeu|+kE&Mmf~h7}pgLa{1|Z5-S#OR_xoIk(dK_gERd z>fdW)Ar6DS7g*k`(=Vu!gjm+wB?(4bu~v`1E#An~b_EWBVWnZsw9y0ewPg*SE|l+O z4OFjUtxy~B=k5JPkVDi2t7u_ZsI+>!yeWGQt@385JZV=7Pe!}aX;m~soz?pm%}@b? z#e1oS;b{t1CZXu-eM>mOuvVxMY1hhZ9cAI`E#vJ|?OXXCl~t(n`WRIb>Rd6LPsOq@ z2huJxzE7*7@^<0e*84Uaja+2`EUaN|40gAvyaAReotup;)VcHx$62w`=+|tNM!#l~ z6w13`p|a~^BrP8UOKIcsIh$VsW7+pA(L;?!GpS5g;YiyfFw>LGG%Hm0!NRksucMiZ zu4CM0Vfw1sDnvgg8MHS(rJeC}tCeNSe_$d<5HD%i{4f*`ol84s0JWpu81 zPgeF9uRKxO2c|RtYbu@DjUxQg!E*sC!@U!%(tSgU;!s;}mlPOp!z$&qgm;2%ekoJY zxuhj;-^%xzMN92v0;W0wELWYFpmmjp0y7!}JBz+bGU6UO7ce3ZbsErB^< zdx3>pAAi>P2Vl9%FV0`Zo8t9$V5*;SCKFvRQqBv@+P8xB4NK$5P@7BIYFRrlwI7^y za)L7TzUXI_^At?{(*~I7m$aEzYEuG>BUNpFsO(C|WhyHB?djF&haS&bYJX{mXIvkH zDVORq1V*r{HW!>Q<5MsOv38mA5bX-JDS@fY1FMvG15;Umq>0+lz|`Lj(kovD7Dvg! zbOy`RKL||u53Er88<^@zuu6F{FqIihccO9$rstjpSdTept%j{5<7lR9%U~G8%7*A{ z#wb;fH+jHZ^>|=$bgt76V=MN9cCfy+YpKnno#_p*T+ar9$vF?Yhr_R zwz=RAIR>{`UmPsMTIxSHQ|yt*FIevK!GjMXGpz!?lD>f{zqAO~Hnd%d;g|Wfz|>xX zwI7WiOc$8h_&zY@Auu~16=lo(XD~a*(<)P4LOav3MOnq~ov7_wU@EI%mFj1_h(i4m zFg!8&UX|qPQx}BW#RukNxGL7Sgo3s2an{0{ukR%;L)BD%VR8+{$`qOkp9ZFTUXogf z(A404D>3IfKQOf^%mu9@Hohc+5}~!)_ps6`TL_Vz!N5vAisA zx0dc@V7gwgTy1>CK|t*)n8g%093{$=U|EE{f21sx~SxqbWKAYP0|DR!%(oy1;=DWlz$*P7%Zg~uyS@fr`SS`8$) zHZC+Fu&m$1Yf>Fn5ob`pJuu^gh&|NT)~GU)(Kj! z#}Duh+Ub{?Y=N14ga|4hfQkO&tmS@FU}`VH$R^0zz--Tp_Adjf%Q=|Zb5b2m^(O<< z^LbT7fJ4V+FO}~LOqBS9WJDS!Z$(C~N598jDz6dt@pgIT?aIV#KtZTp^&h{x&F5TV zE@Uoni^(9E@g(X%V|WqK(imQa_hPyj%xGE?1hu&|EzVGtEjzOZrv6JsmRfxkuqgDX z^F~RSRrw0c=vM+NO~GuB@Cfz%hAY}m+16wR18lO2!BoEq&)Z_E;fU+`3+CW3nG$ z#OCTcC$OfR*}+;cmX1pn+xl|$tMdkZNln;X2v6EN;5A!sC>R~LAPS&ZsrgIBEpux} zbW&n1C_mJY&Y3C=(QBb3HKq!d7Cr{{P1olBAAOq|g9773t+WCYexY4f*&c-lqx4HK z47zV%wr>$1_r8V5Ipd;Y>$<&-NxTV);veW9fr%}nU7~R_F!B{j1LArTgQ8utC3*v_ zg%%Uu0F(0v?Qj`vj11GIee+W6Dt#&HvvwGe9+>1w=o=>i9Rtr@_lUfttpm*Xs+pwX z=Y-)VYAIthX{J00Ciw;WqE1!bz7f?@fUukb|052KnY zNlce;s+Cw8?FcF8{0Os}e1M6M6ctQLH)i4VdU_%z;*42bMGl`bZ$VB)LrJ)$1gmvFoC3vPtu&*>YdFddhae-BK2i|DJq zMH@4{!BJQ2YJy2A?vy)WoF3$?Z;F2!6Td0eLpN>}T&LJ?~|9t`@4e1KW} zIWUQ3(AV;GZA^X9PzWmfY_Rz>!7ys`0#h4GG$(%NZH)$jDc^%}IFNQ>XS8qF8R2IR zmpO&&^)V>eQ-7CWj@b_|PIcOsB!S2!t{%&?cBIDy!{Vt;;h@_vI%Bm|KjSc%uM(L0 z0$?T|30|?B?J3J(KZJKF)v)+(!cpATTjI3p+DePxCkdXJoXgsn@esjli6>HMg)AuT z!6aT1?MyyMh9o{^;f*xHAUYQ? zYL5c5JtE(&G948Y%9CKEy>#5Hlw2zQDk&RV2Q>~hXX01N2b@-&rUg-79hV@P=ph0q zWOuBc#^IP>*_2pSU^pS#x76CQXh*Qr+S&Ptc9qmX(wDksqaT?F$9mA22$q~R(k7<^ zr2&}6JZPsmG!9HyLLHZLl+>os4l&ogj9SSanEJ&O8YF51q83Nb@nEF+gr;EX$8sdr zd=K-(l-WASZtGrhElc8h^u@e+JFJ<`#p;ZrZx5PM&SmT1uwfXt9hC2}DC&FTg=xN# zabbJga~wdMGhK}?Bo2CD>cbI$iko~jdmfmNL5ZRGVR(tD`V3%NAImxl9Bmx~U2N-! zDgteXd({I|--oKwyhmR$FZMmMvyM5fDy>gs9hN@|j5L*g4}V-~O6cEo2@xJWA3;#_ z?*sF`s2Alc%6HXgr6fyho|2TzvF#<79!T7 zd`hK(Zn|=(rUDJHxbwg+vw(ueJlzcnjc) z&Va20IoCZR(_wxN?xfL_5TntQGDg#X#2KWXhPfc;Hf|69nJQ_gDfKEUACW>+z5>&j zR@~t?9|X*N5WF>$X)xb6mZ5x~v%$8Pgn^CrQS`2Rp8Ht?72&ie39d3om66FHr#F)~ zw2<#FWe+yLlCYc354YGcq|4grJs|cRH^=sf5Uq_%xXH$#f};m!{yn5JUj@u;Xw*39 zoTJ9UF@kQgHqu)f7p3&bVsnAneo&%hd;n&AP=v|UaUr47ipnFi0bphW;<~BwDVWrm zlWpWQsdNT2{^K5y$t9S{WszFmfM_-mJ6#*^G8mmXY@mp5Lc zC{=YfSs~N+9FJTsE4}y0!JaIx?k||dc%o)Ue_LVU`C2vs?$5`*2_;`)F~~bzo+D;6Ag1QIoIy60WWCADHPd z%$w#VIY4;4Bnr80k1~$HBGXaBY;-23V0(@mX7l3+rt*PgS-cL+e8MPAG2S4&W^?Hg zdm!ZI-{Z|6qbczSm6<35^>!{>c-~T53r`l56C9C8= zY(KcNY-8}?gUK|NWVT0CgDLH4WpWN?a!&Nc^fQ?4Ij3%$9~@-!!`rY}I3ZB;y$QFQ zeg-rBTt)C&Xb)!c8%5SegRmgl*YihQ_$JVA?I>I~Oz(bCz}M6}>21vPB-u;z!@zux z2+62iMj5T{c^q|nU_NeU?{px%T*T0|QQl;9=6Z_Jg>#C^Ow=7(U*5hl+UE_+#c=wt zvkREd4`d zup$;|drqiD^%c=SJ=;@i#ntHgoCDK62Q$4En9c={+VY%%sg3~CH#tZ+5Rb6Akm(ef z($3;=JS<}Qiolc)z&PdTdgCOZ7)g;HnEIGRH%JyqUof>%QG#Z4js&5u7ffX#Zfp3s zgf>N1X=gQ+fvN7~4ll_foiqARJl!oaY2`-&9^)5hQdOx&{Dc^HT&dx`H zsr-VGjnTQFCmo{##@bnp9N*LXF3ivFuz_(+M0pZSeJR`OQ>0F3e_t5 zMig{r%e`GobM#T^qGyA^)JLLF2qPeK0qfDu@}~6laZx3Dj;Ed8^-aLI^kd_?o(xs2 z`wM3K%N0eVJ($Mz;^e2X*TBqHknh%53+*h9)C>i!`vIo5n|6999U7o?Y;6dL$`Qh# zwSM&NF&C_(>LwbhKgmhg^#Nfn&Bf4;1E%g#6YthBpC2M)`|GuwQ7Pnf=Dxkrz}`HA zeiXi{Eu%ER{1>jJYCMO&Rwomf=|7@>8egNG)gp4R;&y@3C8zM;Fn#BQb#Oh|=uF7N zzi0U)`Xbae28APv#Y0MtnY|=OZ@v$h`5^IRi0u)zIBIJ#dFr3C9hS?7S$IBB<`+-? z=$yf9e+fluoeba8cWmM&iu*KRW&>aYjbjFDXif=?V4uzzd#QBciC>K&bLh5v4q*CT z0dwwQFR84s{Q%Q5B7LpS4UFJ}&bib(w~R|MqMSLw?93FH>ADQJSa}!BX+?;~=i+)7 z*GKbU5Xy4fU_54~Ya`cd`@#9h_=;PKI%nQLFrS7@hxwB^M|PD#Fq1)!znYt9f|-rV zv5mS8FumIn8B)_5U>ZZA9nP)Lg%G^2gKO5RH&AOPgQzvll@p+F+d~G(dM$P@%w&ezcwa9i4z^yxX12f7e5x$KrJ7IX29ec;gXtS|+^a%$strJOnl1s;ybx4Q zOvj?tG)6`{%Mk?TJc%o6d=SZKl?BRQj0P;tcnurc12bJmZrgMYnLC$NuG1N<(8a2E z<0^{HkFtN`UGA9lzeLErbL zFJVmaui^%vo>v3YxBy1W>e2|P+TALgSQ2KkjtG5;uH%rRZ$EJph1kE+C9Y3i|JO+=~fwZ05K~BzgCJszxi^QVEP{25t)yE*&NWrpW%9Ff)U^#Fw%TdLf z?n=|ZG~WXS%+IEs)o9Yr;uhiMs4o?7DXTsROnoGRq7Yiv%gb`AH{wlC)ro;=T%O{( z9%GPBkv)%SvC%Z1j*&gbGqYGJn8mmeXp237@j!^IEhZ>Bk#?4I05g9mFx5F6yY;P- z_B(tk3$(MiGMLq`#cc?+M}esy7RO|@wSlQVlf{UEDN|gl literal 0 HcmV?d00001 diff --git a/doc/Components/cursor_lcd_dogm132-5e.pdf b/doc/Components/cursor_lcd_dogm132-5e.pdf new file mode 100644 index 0000000000000000000000000000000000000000..37c6a3a0f3a63e1fe4c962b6163f912f0d1a6262 GIT binary patch literal 652088 zcmeFZ1z1&E*FQ>w64EVf8YK4Kz$OGixe1GeYS3nS8 zG~)B;eEE3(P9HzNpy2QI1R(<4zvV$8yxhO{2Zcg-{#Fmj{GC21Am!iZ0fqAM|IQaE z4|E(=A6!P~xKJMRn0EIyWf0q%IkMD0|0GbB*eOzuB-{0oJ%_qR~`?x$% zfxpqh!w2R0ofaNG81L`%<>BY$`+ZzqUT)ss<-rSs{!Qk*fZTrXkC$Ii;CDUc6@Ws1 zml3Z3FYoU%fMxc8unquQe%hfsa61bZOArrC5G-wF;{taAOWPQ`z$M|P_GWOv z2mvwytAco-5D^h)7bm!}Ens1$O^l3;%#DmZ+giI@jdFWIodHPVIHkAmkzzzqh+}%- z;8BPQw6+%3Z;E>sgzh#)*TP7cH#n2zqm6E3_M-%b=9%x89k(}D+PW?7V+u!=QLDEZ zr+vF_S0UFR!hY!C(-CTla;*yf(uuJ4&A1~isjSP|QIpq{by(B-3s)T4K)rG{%?Z85 zstvwEJ-33rP=aXFc-h4Hh90sziVS=rY0V^-K|2Itp-;GVZpUt$xjlb!J554j^zCb* zn>Pb&%uP+n@QGMIFD((K8Xyw@6RAML=DvDW?AeoqgoJm-Z@J2it+1+bZ1DN=hXS1% zaMFA5P^=U|d>ADfCJXRS&*6hepRI?DT+^-UxX02ulnO)RAFKpm80O3DGBX7$*|NAP z3cnjE%H@kKFe2N6(^?E=W9_3VJj^&w&G4;(i>@dOrWWuFyySnd69C%9$j2a%Y)$2H zm`lTOg5v9qy8sFWf&gTgSs9Dl zd*~vX!vOOnz{{-}r+0szyMa25a|Hih$~Ac+4m359_8elh?o4NMnkB@G1@=6OwNZV+N|18t;vKmr#F zRGJrr*bESP0I}zs5D@`uDVf}dn<84v*+M}Gs{>S!v*iW}Tnr><%L6R?pG(}97f4_9 zA!iE%(wDs`JK3A6!d-O1fQtZDgL}9DT>!k3xVXsagQYxNWK8#5Q5Xr-XnC+i`i2L)e0AeneH4sU#|#?5P66% zVzi4|h?D>@)60^}D-V!|XnolVkw(ypxB_2<3BO$K2;BmtstcBrkU$8{420O!K`Q!x z)IgAbUPBe`VC-bz@`e;`k96Sx-RByim+RVYy@?PG$%KNA1ntLRx496 zI}00x8AGfLVCAxcoe`!7ED2Tu%YYTZDqu0NGFTQY2bKVQp)?h8LrKf`>+zxDRws1e1$*#1KBV=4TWd}7|yK!t0gWAQbkjt%6O)i&xo%eZ^&}smk=mb zOF^)Yj?4GdQh413hZ?1sCQxm-(x5x+34YkbCktB{l1p0!1;DH{o6^$ zO3(80%JI6p)9Hc3u~2Zq0Nrlkkz8fC|Cs=KMcg4R*U-FA%<_t1GVOMix{s&3=l4Wv zr}t-Gm8tUE1eJSMHOqKTV47~n9|0wy-=dQ(s#{xyH#Zmc5;2ne!J@O&;8)&f8#^Z( zWb^)Kt6e*rV>A2}bF<%l4hN)k?{~>m=W9&7EpoT|s;c^~k~i!Vr@;Q|s~L-XAG(Pf z?9Xg;>bReMp~DmwyR3e6H`pMtra<*MI#EK4nJZ_H*TYr5lf^Q3U=lKGT=bMUjK zWnk!kVc8SQ;4AwakI|qp3F<-{gTso&&V|7pEDOp!5s??dieQ6k}c zNK*>DGmKMZe`9ngwuVXUAzf?Wr&kqf=aEUgKK6_ zTbC%vOzr0aF`;36M%fn-Du+_dNTr@Cb?>n+k|%L<$rfsy*pF%z?F6nT-f~kqI$IGB z^YA3=?E($r?y0&3vGrYfgA&)C=PhkwS0F%vqD=hiCf$tT#k>W5$*Zx0doHp z;R&2N3~rWN)(VBlbBxyZk*|PXJ2v)aXC0VKgtAIlP98_7r%@U~8c5-Ddbg&Lb&z)~`tco4_T zH$PWoc#&se^9(0a_*OkmF7oBCVWP0V?L()KJC(_pAIlkds+au|dk> z;cx2vtMUBBnSGH#_xLxmiZSI^kIek-Df=>8u0h)GsR~$O*E{K*`l~_CD+{4%T4`)TPS+eyy=vkK zWzyxe{1qtbXH^p!Pe?d3a&Z*Gr?W$-NJvRY<6m|lG zzIXOP{GsBe1m5k5-Bi~GZ(kwJr#^nZy{H zY;UP{$n7sjZ;tf>yg_hAA(}wUM5PwJyPfQAW4(^kEIUXQEAgA;PvC_pb-lQz`4kbZ za!RS?Pmzb^qF{^C3T~&tvI2_%n)2-2ZY{zeUl&%+GaX{5i&R`ANqJ1&T|MgPLseC3 zk@66tVD6(r7s+MHe?#5dtZmJtyL7aYmiz->OG=D43;%Sn`2@e*t1vF5mG_&S2Iant zn6CaRSsYWXlu{Vw*7^mj| zF`FAwwR1Vzgo=qxwm3GCutyeV1EqJMnYpg*vDlsd$6-v-EcORC3?rNDry2~B_dFYo z9h%n`{3XL@#wG<}q|=z&g!?i99#gbi5=@8aQ{@InhvL>0Zb5-5DsNc6gM5 zUH*7?p+DH{!QjU%S~Z5AomWVxNSp#W-~J(zIS()Xx~=dC{@ZOuQz(g@9jD)dq&Y|= zoA!C8oWVllk3Ds{;RAtGw(8;9nCJbj-qGxPG$n==wAxOGgm?CdCv9)Ak+0LID^|ql zuK9G}@tmC~?o+IXyLl%xlsO(Z^?W2N`xLt!@AT+ZQ5= za6Ex;buCevC}((T<}Vw;1H>Qr_O79P$|Sh??ZHXZ6M~aSRQE@g{iIDaZG1;32d%vC zaE}kHk8km2JZ12GW;E!?#%%z?tcMXl&*3;rB}#zY+^>T#e!J~TKw!BNZV@DoB)t==AZ{U+qBmP@lw#`s`_Gh3F`E5buEC;^we$4&+TqGJ=d`&Ma zvgh_q^}V*YUJ*MXXEgam20?6fZy4Fpqj1dMSW7z-O&D?1<+>ANG9W42eDHjjST_{C zAcl9J0mi!A?WF`?9C&_OYLI2TGD)f;Gg{+OOeLSxBG`--TnYlOQ90F=mEA?|jxBAN z)Xh%3I`GNo#%?Wm>c-2O*sm!M7B*kWAkmgLZL%53n}O4!U(nCTx5)9qC7jTGk`LYW z4?APhn%u&q_f);cU!cvLt#fYQxrdvJk{f$v@{Ya8xDYZ7$H(v*4Br}T5=?q38+Ckj z%dI3lfV;OA`ob0MQFcy_Y`~XIH>;}jnnFts@uWD?v=3vJfk+AO)osZ9>@*JJ9*~4~ z^@eCs*zKmWs_WS@Sce~cBcLhc*FjgXvhaY@>ya z8fo$|EFRQIYDk)A^&)Tay+Pap?SQ^dFl^@0_s&uz(sL5dX*a&=uVK*YHsTse4K_Gy z=rpZ!O*TFKTHa_x@rk@(^I^w0MY7rua$b52uf z&*c4&TUxixS`^&OeH1@zALGmRJ5%3UT%esW#i82|dY!*y`ldbj!-v_R;*(a5>7GCo z2HJQ!@paYa&@{U8)!@N+6HiB#;gYtfL1ckw~T zgW?X^t8EpXJ}R5lvMI?6=}|sewkQ*h$W?t3Hq{SPLe{%S1G+?7C6ve@h(|<@zBxL!BHtd}zDTF#Qi54tiK%3e3_=i_hnA@ON#&H{IxQeExg;DeS|-%nJs zlBaJby$W3Bj%`;syXU~({;hh|PonF-t((KivwHR^ZnL+~pJ%PXOh~Bbl+B1f7jLn= zNT6EYEt*L8qjI5)3l#MerZkx+rYRDseFLMdtk~$qGb|_#LY1UD5V3UNIb?790#$o~ zAya>*4_0C~<1v7UdHc`SMSo|^oZRb*)OQ*^lDj`*vowLju26m@(~-lbm^ZsWU-sG4 zPtOEA#3a;-_TU8iAy?cXmA0nWwWZX`jZTe&&ctcePpno%_+CVD3vfTLjG=C4V>Ek+ zTH3S1{^>E}Qgi)nFY!JzjqT`nY^lR{Bd8z|64o5j;2UL5$<_>?f)?*Eb5Tfll$$;0bn2Lp!1tx- z*Ga?8V2`YuC3IlZz8 zZ83kgx;(+slAOElQP`D)sSdphZ_qc$TuxhDuRKU{C}v>izCltU>EHM5+xn1b3rG(; zrFPg^|F@g{#?WMg`>r{L?qyl)Gic-(D))(ry`Kl{uEp)G*G|lO8T} zrOjWnKP1qn3@08?ZICEV5I0_Vad@AZXKlEJj%&}4^?e9G3GM9ZhcdLYWt}HMyeB%J zoyR4RN-fF#k+tz!3zzU(3gpuhqI>ddt{ z`t|jyO-4;rWQTI`@^6^obs1E;))g+L=H0Eo{cTZT7UB5$#}}1 zNUURzrkq&mJ}z-yy|;cn=kWWUdb=;&kQX%~AT5K(9y@4M&K#nr!Or@ntN{?_{WAK8 z<)x50Di<)S&{R+JU3bG1WFCV}USc_P+j}DVGdEye0tY)Zw~`9S8SqZ$*PE@bv@t@^6@uXe@y*ajoeW zk$H^mMz6*b+N;B>o>wq(^3u0lhau8E6D{d)XJ$`bVZQ#iz7rWCNkKDL{>e)J`HcMX znGHAe-#OPd8gg-rf>=#M>`SOb%*ZC5N;4?~IqTd%L~$0vnHoRGS>Zi0a*XNypqAQ0 zSc>-Jjxa)jt`P_2Hmut_4|mh)dMaLh-#`_2;<#x$wKEoE73}JmxiI>4`0dyu zACiP@VI}ELle*7W?+riA=!*%tzY#G?)<1FInFc~;>n@4?Jm{HooQ?CUh-gjbsI4^Z zF6#94e$tPVUb^V$mIKo1EkF0?pyPSOY2HEX>EZ(zn^I-G7mgUz3 zr8{4?DnIN+zHl%z{mA)zG#5|#EhdKi-fBixKCR@-k|#-QqVxUvjK;L5)JMHfiFRYv z`iIJ$SLQXbo3PN^=B+62y5+f)GWGcX@Dbg0a-LXoLT%e%4pVxvGV{hYugT1Na^9e3 zfh*2b-j5J}inQ(^_LRwDTYZpxe0U>d>V8GQ(Flv;!Yy6ZLK(TcJagmu81wH>vh5b% z=A~C;=BR(MT2E}rmEb8Xn#?ey#$nYvi=vxJzp?!ugTh3@9)+`#pKFPW87}}0EE{hXB*kKBIlvBTO0Qf z=%_67n?qUR7vt=0)2E7Zj$$@uWfPxOPli2=GTZ6v(UjOk9-%L-aTqlT-14u^o|1iA zr5bb6yAVD+7>g4tiz3zW#8B2U%3Rl+km+Mari0vivbO|Tz0s3zf>l$sb4pJR(g*bk zP7e%n=jTjS)@Q3t*9VU&>S9t{(lGZ5mCU|m6tjtF@T=Rx-y^dPe{}Mky?w=VZft#W zU%WTrGfKm|RO|Zv&5`FvI)kXqy*D*K?OvsrJ6UYb{fOIdNp2N3>|#B(b%i2rYh7@x;toZ8EEN7=>BY8TwsjVo?mro6gS|Kiqb~YlzWv>5HJ> zaj=D1(b6n0JvDStbwAD>YFONpjBtVNYOQkJtjPcTb~a&Zyv2Jvwb;;m7-x&Dz`nmLh6+D0i z5PavoD($yVfgRs7ZCcPQ>i*=hmrU@s8z(`wMK*37UP^17wbJOlN-hro%L_% zw>KNFNe#@+vHFm~Omml`Q{AcPd+4`)QpbWjvC$&^>=?~CkjpI0-}!}RQsE{({<<8? zBVZ2?f#kfDHhF9_VEaa^8_%?zIjRYM_3oD4wSC)J&rKP_b^;Hh@n=V^^uqnRF(a$_ zCA?Vf@2>^jiWrI&MJoY|V3lT?^sVgEc|>KW%>>itZU~~xbI+Ev_AD2O=&W)OkVIi z%x|^*4w9XS)Un)JpUWrlw@WcTEXG|w9BB@V{((-8^jRdm;-5_5pD)DzFoApm|JG4e zaAFd~djE}h3q_Ugc7O{_kK|r^)st^v3~qJ5l}`p!R~{|JgKo`w4#a}9Cdx&1ASzVl z6am%}X_OU1*JjrVgGHp*C!W2bygL(+)R=RhX7|ZR5FL@1rhmP@;OQ0HkI+yfy~@?~ zb<}yV(kpC_51}AEe24M*AS%N`m+gIrqYAT(MMc&zB-|QD+j)MQbymZJca7k5W^Qxl z(Wq)0t!ue{onLN`e|~*btvUCk*7HiYU7+SIs&dKql*b`n8_Q={bHUpRPA%xK+@sMQgxX*Q_?D6Lu@(J&WHMMZL}H zXdeM_Mdyw8q+g}fJH4GlhF3_*nB1qb;vVa3)&j1LMEz~_=1&yJehM6RM`1La zGxp7-L>>)VbHiHube{deqfcDBti+B&Ab#7}`0U1<1r;;0KnhC?y5qmVJ^4$I$Ax-?q`|9-V#2PUQ zJ)KJEYeUs9!Lj?8Vu|p-QV~VER9Qv0ophFxh@F%v zOH>?|UJvAoN*aS1KGS|9s58#Wu{Fv3&P^JQwMS`Iuy1>(w>$Wo>Z^Unh7&FA)^D?d z{dfJ-rRjVy@5JMGiT7=yUcBfUCVCEb4&g!$7B z6fd;Rd!+^2gxrx?WP9Gt%~{yo`Ppr{ls=7_Wk_dHQM5w>B}U(6hvm-PL;E(G9v>6- z$Be{6_BpQo>JIU4-*F#DUngtdeZ5)ZPb0kmr}~E9+N!KarHHgRBl~K-eIBWfFbz3R zh|gS1)7R!5A%{qA(S+aCuSH#?E63xrPmMmtGT#157EFusg@-*f(hawf$DUDd6E%#~ zrT;Eb+MvY^!ykB`lLIO?dpo2>`ZknF!XB*)-b#nx^@W1FgGe>z`t#^^HEIj|J_-&L zmv=F>2|X_vjK=gPUgse3Z0}q-IZ*;a{JI;-F8^dXf4+1EUT@kpXOPqq>Ih5uPjKX=> z7muUPb@iVy3NB8raPTh>h4XtQ<-Z~nenUI_ON7EXbmcDy1pq<;AQTYT9$?mjJkZ|| z3V&S`=imeYYH$He0MNaNge-s)0pMHz2utAR`QO13bO4Zm0{{`Q0)PR|05HG_01hA^ z3Fn}L3lstZ-~e}mn*k7ppRj`qoB;x_a1Ky7MHu6q6RZukbp;R)3II^y z0ym*`j+nRyU@Oev76>541w`Qj@Zfe1ZSVxJ6Q)*HE><=GSkckd-UY6QYi42tHnX>} zF$Si539NuSx*FU3f@(NNu>JKpCZN~<#ywQiR7mLuDV5beeo>Q!+b{!LQy&1G`QgFu(9GOtZJBZ86)jf-B#cDv@m(({~dWNU-HSv)6?5Xl)W$+nPe$UgXh%Bi~28aG=9I=Fae*M;NYiO zJA{o_ER3(LtM?@KMNgpMBnu_fL_Nj3b+1rLd3o{G0-@+QKJl%Rgd_4V3>hb1uFgbU zt7XY0)CpqJUv?_JVF>AYx@^vGmhL=LGNk*85xLA+tDv#1$?4JEPbR$bd?7Pr97Ah2 z$7_*VczK;>u&AhK>S{iOY@3E2UxDb`jh(zLB<<EulpnkK4}V5mG;h7{LQ}W z&&<(us!pWVlWfze7Yd_lXPNg@m31pxj)QK^So)#W2b~~U1he*v3zbilwFt&|9^tjN zF2V%SSJ!O5mV`EoSz~<9ow&NR6vPwl>Y^hbP-PvCdD6m<^1^IkcwvcEj3pa;bhN=5 zl${WcG%a1CbMMm@?N#QU-ZB{U6!+edln_3qpR{!F$HaGe$3&o+v)5)_CjZwz}HrFZ> z)Mkb@lvT&Et(orbeO1pU=2WI22MkKbt^viUp<8_}#w;(|&H99F6`uPxpKx98=f|s{ zu2Pz)i66hR-usY}r%ZUAE;|G1j$d>mmiCpK9nBw3WyVCiTj9vCVbp@?guj>ZIA);TM}a=BV98#-f}`+i3|E zyl^pRP3nSBsh6btre%E@j`19k6;BKuZnaR0MLMVMP{@41?%gwYz(NZ@o}BG^Pwwr! zz49(5{pFDc5#y((W3N)q?L9$5W4G?sc5QwJl82LrNQ=^U@jn-Z=J|EF3)~tz5koFv zcbijc;#w%Ro4i9Q>wKo*$z{z>rNH#5ikKn)HsWAKuT9I1O^{+W~$d;)?LUF7MAhNdyfD`hdEZJ|F@ddR~U83qVIN+aSEV zU+>RBq=}8h}0#d6%>!PB_Y$_?RUueL8Eqw%b^S_e*xySxj>HqXSFa6R#B!%EO z09^l{Nc@5y7hFa3hiHG%@1+d>knvBMAY^wr@?~7`YaO5upyoN3&wX~p9YQ~WkWK*rU8n8*Q$)qX<6 z&4J*}?N^w#=TE4&?aw$!3ILp6g3td7HrKq2=gtx5h?wop1)|&oh_AGPuuJ04$mk+c zI}i8H<0l|`G67B+Tm)0V%{f^70u>Ina54s9=>U!#0VltR6@Nx%%3#M|qpQC^eCLJz zJCsPNMxWD+G=B3k`>O1;l;uHJK`WzVTh0~AfKq{^Yh3a*D}+oe^71F8dV0srd)zS} zIn={UKY^MuGcz|QDlE)ivOjy-{)AhO2}gWAxat`WPU1bR>L?T4Y%%r-45C|QR2ld; zyM{3y43{L#>c2CS4IB3qJd$NC3V_^Km}^ms3+f4&YvFxMcZVC_<;LTf+1#;f>p5zv z@7b!9Q(I*!odeP>$1oM%(2d+1ln#<(G>(rXh2ECuWBezmV&(OvH zI+dyWq3zl?+c6Owt1pw5G1OeLH#*l_hvRk9tEO%(eZrs=tx1SkijtEUK602hQp6~6 zdcal}rB_qy@-m#E?Dbo}Pj$~o6-Hxj9`--DgCuQV<&E=_rVdW1gW22oo;#wKIg6?^ z)(My6rVig*2DNU{+v?rKFTD9Y?5*@o{nf3?-h72%Rfh>Ce(2Mu9Jv3$(TR}o!*`)~ z(P-RNwFg+u@bQH^x4DWiRmjcp68*G#FdR9oJWz~NkeD}|A0$+#guM_@b-UA#5kgRh z@hHBIQ=~OSQo>S|&G@#PSqwfl4l-7w*|o_?j60x23~9`m=&)>bSMxe1d$Pl1RGDk9 zp$|<6%!3(?(w|CoZKjmNOQ247m;&)zuj6(mq6yN|H_OuK1We+Y$w$piLtQ6f4?V6U zZ-L|=O24(El@3E|d7RMaI+J&RQ`ZsKSxSRD9xG;ifOK74nE=`TiRYFxpIAIy=k4`- z*3$~0HpRf$<8mH+iBD%$Ftx;%JIvaIL6|vJbZGRL6{SAH+*YP{2b6Wid#AJ%6|0kG zUGus>w)U|pDnGV;?Hg<0Oob}Z!26b*nyyio0UD$?*NAh;$P`@Xw}x% zuB;r`)HI5G+yB}mEQC4JHP*PkwfT5-(R6X=KC(t0IcacS|1eqdXJmFYtwp6^1xP$| z&Rpf~1+K;D+tAU_kWUHQrk!q@)VxBRq!V2cOGbY2olTfdC&!d{9vEq&S2^v1Nrlo+8X`bmY7umqTRU_st($Z)k^Nbns{ljy>3x_J|1a! zqRC#FLg}%>Jf_CxQNi57+j}t}l*8icXl5!6Zy0&1{P5|KkPs@*v^EmW7iDx3s%t4L!qLP>CPrA( z^nzNTy7qBBN=QqF{N`~W)%CN9vO>}b<~RHBM#^INY(%-ABTgqr%VOVsVL>zf)=mx7 z7*NQGpf9a^^~YjZuW9~@y)*IrasaKsYg^65APO>ipHnHJA1q?98f)TBvZ@HrpvXm? ztU5xP6aD>tnFvwfaO!*cv7Ud52mgF=@bi@`aG;z2@|l{Xgxb$D#pkXTaIlge{PXA) za8?~GZsp>v40n>Sw{-yaGT`79@IVwemUMBv6No_0_x^JS;pg$oKf;6aW0QZv4S!7j z*hIn;1AZO>j${^qgoK3rV+QFV5+NQQ9zGrkK0XNz5djem10@LwB?CPA|mBJ6&V>7B`NSnM|u4^B^{KGj**TID#FPE zd^kk}gaB7pNI+8ZZ_Onof3zYIU?C-;3ZWv?BcTu=qY@zh=tLF;=tD<7{{W;SKB!2@ zC}`*ym{?b?Vk7@vh>V1SdRd5%gp7uaih_oMj){SVj*7zr6cV7K(Gfz?#qJps(K`lm zV-UyYREje&lBl$q@bEeXjYwcJ#i?#D?wC5mNT0rVz$_WBW+v61>%vF&R(%Qnwd!HV zsO$2sG)qEW@XN%`cOUkiRd}#02g(T(IbdRqd@XKggxQ8Ye)b>oQ9TFg+pa9gO zozsMgfet$-feuQD22kKgPlV1L7)y+xpiO0Dn}^Y4(J3fSLY2hSnRkZ?13>^LGpzkB zKtwK?nUp%83w-Gh68<#>EPs;lV;Ttu^?XbMBvGU`ImX1*;y5|RguFO8CN{=6Ie9t8 zIFKQc7_U7yeH|4*s-OA7+GXVpyfK?%hAMYeLX+0W3)?(3?ku(F9sO((re z2*0X)?|)K3{@uT8QTBg(jDJt1+yPUi_GD7$jJ~36n2m-TQzg4PtmY!GOl^EJsmsDd zm#|t@*F={jBg{sA>_651Kf@5~QH4>BGu~$2H~NZ7ieN?Ey|;UBt5sDmv&+=p0Xbu@ zfFeLCZt*t5?13Uc1^!d{Kiv3LH~5+X-LN(>NQGDASL7$>mw8!vS?Ls&e0uhom zRipU+iIvy=37{ykIJE`HsRoLk-TyzVGQzqU0?Mv)u?{p5>!6vphUxz_I{!Uy5k}k> zA(K%Blm8c(pVV2VHij@26&I%B=g zsjL6=jOXX?4FTZL%V#{mZ}we2wQKN}sFfyXpiLgrcH?OG?sIXPCOD#~|eg;}VzGCx6 zDVi=pldY58JbNc^G%=`On(x~((pS={dRuZ{j81wp9Y+jcEoa>EeZXYo+zwXtk z-iiuCx3z#)7)(578#b`Y>(}IeiiJTa6_0xwx`SGDE$*rCbEbrYgV=k%H&4LgDM$8C zq5JI)N9w&60@OA3KJBbHxr-A5BHLd>I=1b7q6Y{2NrN9PLn$8<6YUs&_*@ry$RmU& zvu4pjP|U*?9wI?Pi#I#8e`ST{MM_EVkb{8JK28~O_1@y>_SV`+FMjlNcTNS(iF__A zj&IL)(U;uqFa3pD)^v_qne8a0Yipb@?KvRSQFlkU_)l~auUu8f{i6L*A#{k|-9a)d zj8@vn=4sODmFE5+&PVi17R1sRU+;M2+FQ|3@K;78_#GsI1*j>*diTjy3JNpob&;>x z_3qSGk=JPCn(8YDRbA{HV<=^p)#+@yQZDpLy{ff6V_7=T!vclSZiN5t{+v~Hq=Vn z{F!%_BO?0HvUXfh-Y`ZL2t+SZbo5V<51|?OF)v40`4n}eOu31_PWhyocPm<(BkD=l zwQy~cPQ{+&M^9c*`)G8&uG1hI8+p8{l@tFdL0epgwsG;cb^nWag?7^dg(N2Bn<xah`^9fJu%{Tevsn#^LNM#9U zmTt_GM={l3S)S>!@ii7;zAu_K6h~oDMaDzDD$u`%-D0e`o%$-BrH;$oWmx3FA?934 zUWNZaoEg_TePxLWVJG;b2UMxFt-pA=L7Dlq3Mlf|y-r&xJB<+$oWU_EUW%R>Oqb!! z=se*$-c8PWZ@acL`1l1!T}noAT5wnJJmY=cVo`hWTGF^qGwE(<&9QK$U)0>`!-*e+ z>in^@U0q>x$gRgtZlAMbx|T#Ur4{D#$M8PTfvjK2(zuWi`xr1|Gc%<|X!ct#4}uMZ zpJ&pj8qJ|N5LM?;Wx1)o#Qtop9~s=hD9p&IB7g8eD6V-c#Rp=xZYxaK=5BIC#~haS zV0|gjlH}G~$+YR$LB0x+NsI3=`h5%XW*?N4l&rIh@PA)u`R<{cJYMa&IGNGok+R+88klnoA#`=*qO`G!17C4 zQPhKu>8wcc-jaj8QHk{YAALXSHbc8;@2zHZn?Rd8%Gz(_3?pE6?AytSnbYap9CyiJ+er?a5Z(=R0k0o3K9Bg__rM&!o<6+^^Rek&bR(>3EzqFq_JinN%7+@1K0* zcE@_+-H)|R7QG?-q%T6`S43$Ztr*aZpHZ;*Y~Hg+Elu+#RpSdUow(7cHw)gXdHTW; zqdA$Tu(1#2eru&Rm{w%w@or6h$%DEBUrBr=F4} zRueKu!!6Oq(K$5SrT43^G&j#IL>#x)??GUJD;Y8PBA_)Gk?6OU=VW5cOmm~X&>lM=I)Amda2{iW zyl>I2=IYF}LoIdAeC>X>BDppt%F@L8hSMjC`ZJx({HBvG%W@4}RE8Z}uc(99`5%{V z^;Wm@)!gki@4A*U>pYPyo4O*ES>TzOY?Z05owN#9U-L{XXlyDrnqJXPS~MWpR##Uy zSAU`*Fy63L+%#B%1AEcH@q)Wzr$XLZttjG!e94WOjhI*L>^@(c%1iX`O=#~rJ)a8XkuMd&v;C^5yA1cq( z8UTCXCcN4jSVQ9c_30d4DYMWXYE3Hns7*48l7X2G?z5{Oqm1r6yFrk?oJ{JOLH}y7 zEp41QLnYJAs*B=wBsCdn-YSZon9@}&I%E`&uaqQjRi@!Kc*Y8q_kP@9u(J%jlSh(* z_q>Bn>P`5U8o~s=DLJhj0xTQ_%?LeBxC36#px}0pwS={A8N8 zMZO$<2(dw{YzOh*bAB8Lq zpH@_=1wXCSo|2w@;)c)6XU<8t;4Gly7$;B|j^B$tQ7L#8J$B1KF`AWfCl^Jg$;gLc zm17itDi++NKEc9kaNSkZ152iInij|0)TS(Y32u*P?-x(r?y{{%AvWc2L0*R9?C|a& zlO49xzkRat@dhpW2Zwh!hu(^P+ml*}_fx5e3k<*M|l3llme!?Q+VY)B!b{%YE4_J@%2+mUp~c&gvTzh0X4}m4PUh8(&?nlnO| zY}i;_Gn5KY3BhZ#ULqLjLj@@%J)In3p{+6Gc1{l+=_~UlJjJ@5rxdbqmYd?4$5&(z zu{AYakwks3M3?a#9^)Ux8Rys5J~Z6YkE2HUJ|Vl+CHQtNm;9A}sXf{HqX#W20uG)O zyUA-lMqc=iBK~qlZw9IFeElp-9x+?bC@Bg*>|a+vxKFBK1yN# zphjV5bjy%QI!ff(=uE`=7bZL*52#b0qhy#>l2R(%D#=1BY3(b!lP7n&bG2Drcoy{LqaQY*}V^y4Z zh~e>+ckQ?;$@g(0dFbm2wdT=9R8ZMpL-b%|k-g2=N|C6;6ckHQ%~{(}ii}fB9yxr_ z5fBb*rX7Bq99(R)0H1G>s>OP}`$(okXvS)JggKk+c(5E~MW-c6s`0>txVLGpnf{

      rmmR9@}Yj!^dzY&UIm`2<rbexZeJl`NezGv_93AQnp$FIFs6Y2R{{GcXrH0w>(2Ct6#``88Cr54l18}m^U z&>)kdHNw)*4Mj6Gwy8CV_Mx>t>*XQU!Bv|d=#8B^Mr-`XBFomZzOH|Gl-;mFIS`;k zMCF6j_b`71oHLz;wV8@>oRzg?OEKYECKAb{GJ7gHmGw9Pxs2|49p$)<4t>1cOi}9c zsNlZFD--4I2TOMq?y;PCRLj@EDpe@qlq+hw5g%Wq!j?Dm+!cjU>POM6m^ZRsNpin* z8&^O6@$RVm?#&>>Y03o4o9m3b>7jK(C>~`RVX0`rMcNpOTRLl#V>_B#Ma4a>X-Z;0 zK9oVrXo`Y___YXfCbb};dDvC{y6W$b14z2mQ2T48?9uM|8PpkLKYr}~{2o(ztI+Z{ z4Ju!K38qfiMuTk0)Uz?n!17TwxAz{Z6W2Nf?w;8;9WDzGn2JV^@*a5f@eKKjKIVsZ z@D0UFg3{;wK6h~s)@tL$*Y(gqmy*7G8fUPk2?X^;U#WbQW})_ksW8hP5~jM@8SG2W zWZf{tD)88y&2x)@(Uk@z6s79DXZx#kG-(l)>t-jPMji+mJZO3t-ieJf7W?^B86%ZG zR1B%hUzIZcGk%E8Z6(%sfnUO6%=oXlTXhNZSO@45P1TWe+Qg#nKcab-ai)L&v2f9s zD^di)>!D2on7mr74;bsPwpwVFG0!kX_l0SAq`$TvbsMK(@i&TuEl55S{?LD|uCG|r zI2SMa=(gEa!vBk}cZ?Mz+M;!P+qP}nwr$(CZQHhO^tNr=w(;QSklMF`orJ|i%88y|LiuN;OQ6~QfXW#O7PHD3?0um zMq2-@u2gLJy=LQVnQh#c4vPgc^LV#+Vl=kcNG<1)YNEsTUOnm54>JM++G7#j!@4y+ zL-fsTjr;O7Doyp5Dj@d~Qz%ap#oQCvd~B-5^p`u_1Ptl$&Br zoYwfK8J4=L;!c5LsME8KTl-(I?q}6lh@oqZ|&F#1z(t+N=A}%RJ{?P%{SZRkRkx${;)232+v!57`xGVo??e3D8z6v4QQjkn=?O+wV&wp z#(LCK$!cIrU?}2_`Po9P-SF2T=yb^_x>pM@73vUF z-CsMH#5wo$PfBMY=j<0-dIFoK=;NrR#!}-b_Pr(U4d%ZIJ-b1Qf$hc0qxsHP_DH~? zQoZ>=J?{2ZTi(qbCq)};RQKFMV&NP`K~i{_)}OUDN)#yLz?2T9*AR-kUM|<$1>nmg zfBPr%nq*+(;1>VpOiPG9AHDRm)M;M+vk z%WJ6S1tW)Zu4Z4sYXO`Ysi?y~pc}bRw??rrsq;O&dp|pVKV_dzV&X73%d`($_wWv@ zN??dihvIaYUFMou8AC}~%)CyIuxaOtH$Fu_!I+y5jOdM%*iw=&QU zoQiisE?y%#feOm}WQbGB{czhlJC_#NSkIL2~(xG5ChRFTW#V>s&p zA*KNqO~cViQ+I1Fwtw2*zWK(NIv|3c4|gFlX*lV}9_r_8bu~k2#GB5=a7n;@TwojN zxqK00KOaa~hR$`MZlORKjON{)_9++VWTiL%zxb}+x#1xgP)F++YyH_#IsH253H z3u_r5h@=&6LpElypT2?fkrNHC##tXk@22}2z(BME^hXP#;alxS_e^Olm zdw!fYj7GB*Y^XeAIS#jndc0W2dddXVjJj?CcS2@6m6lioh8l7{Z&HjVj8lVyD~WUq zIb|qIq_oaE`wcllYu>B!-kaeCv{^2_1-BLBqn2t3!&y6I90V9;1+EW|SJ)U~jWX zZH0A!GwxztZJha47;1N~obbQ=p465~i}jF{`~3&#$Y0C42If*R>;DS~{fD>w`t`qw z!2h#X`u`Py+1XkC%Zc{?dG!9H=`slYuLqp6GL*cM8ES8uyJt!hFKO+S`go5s(Ofg^KV(ie$>b z(}MyguL7patFK@0$JzH;4yWGRuTwGzC#FDRiOsz}kbQ#$*n*8{?;72-N(`39?Cc}b zOsAxpt2wO49kjo%`T1Lz7dBO7Ok5Q@WI7ow(ijC=86|X6E1dVT7?Qv6C8j1D)z#P~v2yWQ zX^B-*ix!T~8(VM^;DJYI1o+^Q_|(L~f%sQ6;9^#U{KCTW!qxHWlJFeB3Igz9;Dm{* z;q+v|L(YYf?gGBTqVelTst@EIxz-F>=B%sMX??2j_-`}W^lq$tVlstG%GfrdU~^>V z@RcF=19ZVXg%E`qg~STI`e|-BRp-yG(9wFS}R(;O_`=B1}=8pp5F6s ztPMfzGSa(r7raIQ!rbG=IhDuh8c34JTJ1?czSP^l>>I=jvQa&YJ#WX~)hQ3B* zX^>oFs6tHwa|0!eplb;HgUDO=s}kKCeLSqIN`Q$y@R=%QZ8eB0!SM+BlL{C+I} zJZ6dg>%!C+ycti)vjYPdqkF?z?dC=9nXZ9q8Sp{rFsi%$@qo6F@ecZCiO+_WLBZ{N zVU+OYLFWndxlpu`q0Yq4JduXaNCsV^uut~Pw@UVrdB+}8_n=p&!OjKEbQV}LGP7w_ zLVAG>!@pnE z>}71La2i}2PK$~Z-toWJdFeM^y;cbJDw6vOtO!K>u`Gz`M^qz!hX-yDno|C3D++D= z+Lr+_Mqq~6JFv$^5JNCGQ>54-}`K{$^H!ck`%qT;j6DkD4D&a>J z890{+OGePaf=taQ8TKyMD^2WUY`Bw22@t!(^dnc6I+|zKtH5m-Md3hYCtckb!4UvB zzj@?ebjNU-!(EN(8>&;iu^kV-p>gyIfpcaNG#`u0ST=nsyf3wSQJhp9#ctEdRKrv= zSl?@Hz}YX0Hh-=^zS5t}v;_R&Hbe}`Tw8*FZSRme9jTQ7TKXS3D*i)(xiwPBpr=tp?S1{oIaplU`SG zxzwh0yBmKctDsK`uR6Pgz7TD43yY&Y?Xe5_=XF!iJEQ_e?$q8eu=4#f~6M^UjAAv0tuY$vn$^caNU9l6v=dbfLkr zWq|rdOT)&+y&j@4@~0#G7!g}}`KR~QiP>RAmW0$R=9EH%C9P@ZG2$YY7LR3 z{cOmW{J}PT@KZ&f5@T62ATGt{qbwJ}*>%KI2SDn3ppBQYCdWZO>|WA{eY9sBBM8?+ zOo!~>`-5bfpS6HD9?yc+yX$uaS#HHY9y)g*_%m$PmH)0|5pWc?53vAii(;PfSzZ!q zUX3nWFc&>C=vON5H)oSyBJ0espoSl8S{aQyU@EDtz5%frU0% zJUKvpXZo$1|G3FKA#zw*Q@f`#%4ki272vXcm%~iRcI&8^(1H>KFYAr0Yb0NAgF?t%YC2L3h8Jo~a!8KsGc}CkLUZ z5F7vm0l3J#o-$G$B|$iWM4?Ir;erqbQwc8|(h?Jo8@l{n1rMYmGO!_cE%IK4us(Tj zq7z2E$<^oOXOnAf2f!*R`z4#lY-Z-?cl&nd_w(laM<`Ma8qgJV0>CqFQ( zrR9z{+Fu#j{637Bf=mQjv?uUhxt@kq-7bhZYv#n>s8UAJNT27@ zO6gM&(`&Od)j55QV23L6V&f^#1RhETk-J5~PtFL)`XUrIDd@HH?*!*AbXv8%;i4MH zI#`V%ix&{B#GTa7HJnk|RDu#>=_xhyLA2ZX8<@aX(t~(ZR=+J9Kd*Lyga>xABdq^xO zw0-C>P+YtNAy`~XFLS{)?OeK6=V1d1O{=HCeyu*6^b8IG{FUXFCYkF4uUc-rGzb#` z>XG*gB-*r5?tt?pQCMeMrF>WDoF&d<ZKrg!q6Gb<6+^^k4xudLYSyCsilAthF zvYejMP>wG)wKR~=Q91|}dsMs|SX10UI-pbFIB~4rg8X9;66>Vt+I?0ZGR%g=kU{+l z1J1M_=lxFQ!(U+JW^PIb1&E(aBsBqs%whu6nFV+BcGW>RhY7=DsPfb*ueg786OT6f zSV%SC6xB830+wb0^9r@w%U@Q`k2qP+v4K<(d{xy~xhi@xgV^y` zfKK(-ib}GaZ-=Zzu~=c-b2wf+THd}jfWCaE`J)d>7)CHa^C_$Mb27vR+7&in$Pvi z25b6`DFkH0$_9@iI9Q*vW_k}48C_&xN#luU5M`E$0fN4z2ZexU;^~Ydek?=%L8*E{ zoAhO|IJM{+8;z_vZy(JJ*(RUP);9hs7qCOjQ0 z?fpfZ#vYl~aPuK>?Z@uz`Agi&B!=g;tgoVF+VXTvc*h8D!{-{74 z5i=zt9mTp|PT708{!WtPSM#GJF-?2$DJRn^*pltx3tK}A{B(RTI$7caiN^^S#Qm;c z70J9u>TOqia_LAEBOlcQY0$iuWw-MMM0^5h`12WBfY_-&GUxHiA5Xy=T@$iLsw2Xc zO#+7ju)pw`m1sB^6NLB|FlXS2TRH|*4*NrB$xSX987JN@n@2wHw*xE&RK&7(W$r5u z$w(|Ay1Ahb@q&N1xM_VmKb}O~gJgY+I zFWvakw)K3i#WZ3!SQCfysRK#vp1QeuSOrL8a3>Z$Vid>T+e~`q9w(4xnDlS8OuuaO zdWL6UdZ~}@EfK5U>pAf9uJ6^r^FgpNM&9OrtGt$u)^0tWMR#cS_=9)bjIw;Ogta$!5iJ@05=^B2AKohKUb53CJdwOBlQp(v1@eMLAU+a|38;4@QWn@iy~aF5qvoV#R3g~(*`Lt5L$ulg~oQ<5r^xtOZN_Q=O zZrZH`G9m`pc2iz<8IYScAlqvyW9!QD=u7zK$@7;al1iF(g{8GD!_6n9FAoIX7KMef ztBAW=`u27+n|bj%wlQ;v$mj!*2y4Rz99a5f5&WKA~bAXq4F1yK=1YOnw!T?>GODIq)> z0085n(B}Rm2tYb1YPVuk5a?#V%0Z$B@`=YVJaLthu85BfVKtz0DjI5_b5)Q>$tO`d zWOXJz(d7XM-nV_agnmAmEcYl${Prj=B^C^eUz2lR~h z|C!&03I+cJaK7b71KvJy?oW4sqJbi9!qy|rk@1q}!R>@$tDKZf=L|AZpIs>Xl&oW~ zV;r4;in47)@)&9gBg#dal9J0=o?gJcRB{UnD`+z7Nm?`t1PRuTmq_I&4KYqvL#L`u z!@yIEm1`f_LLyCzkdZ`{204z3bHk&;O1)>Bjx?E2-QCi+#=fUW&>rL~J4;D^ zILzoU8@cEDnft2I4xz0qw7bys*T{Y9#Wg-xBfE;55*T4wu^kN05Z2%&e~~aP+uv$N zrWJi92lVw3=r`HVf&l(v0zg&nLP4M{UUtk@#X2BNkS8ycZhR7p`t&G5TF4=WejU=p zPIjap?L5>QNVWgEP(@xtB_;0m?{}G4yzts-@#qs{P+QI*i(q^p!qnvb# zG9&iT1HlIoFiU3(aq?M>U;~V7q5_Oz>aTvM2ar)FDW3cX5rz*yZMz||5TK>DjFYXV zH8~}4DbGW=0G*yqCO}QQW@8x znWGkPzC%^o4VgoVl68%!LZN`&EjL}sIP1bW0v0pBeM$4Gp2JZa*2`h(S3j8nxpZn* zu5@Kg7czAkpD{`@wf5gXilH%Nh9WAJ(>SJao>EgDy;6qriOq=-U(K%T-%Ce@n*=>& zVyaB!?dsI+i5=ns;skc_I>i#~x+!_jWIXaPWzrNSmkGVo-%!6AKRU=^+h4fPHm~U&i0^IiUE3T+43&137UJ)!i z09ycbd^NZZ8~wA822rF4!E5S^l#0=*PxR$Dfe}0$f=Ex?`_8x7_^w#csb!-ZL}ubW z^+Wh~GV@eS$j_Xk}S$T|*C5`q{1CPOE$; z&Cm7ZemhKc$O)i*X|VL;c({G;Oop#p<56j=5T7W@B2ACmoLE!vl|}3PKhDxP%wzkr zcj2R;O9DXeemD9rIIGDXRa?@$&=UoNS`$Tl0zEPqCx#K%(!!s9`JqGVf5-EFa!dc(|VOVkHbVlX5W!2 z4jPyC(#ibqYRV&5=_QGj{86i8x0qU(y&uBMF1@#$=1=;9>pIXFr^A{;)qIYFyLz>n|pNY{(tYcw`|LmZ+l$&H@*gc>}?tZ~|}_ zYe==J>j}L9V`cCu#G4R%n2~j&4Kz4 zVQa7gjo~}!&IZNYZQ&L3V`>OWRLDn#dDva<7Ywrn?%IeXs4EK)iUfksc#q8wz|IvF zd5KfxlKv)pdawfl5P2r@6o$o1z8rQ!EsH_(JX@Uewb97v$h%k8DDo%l1%LO=fOP;| z(a`(An;OoMAy9yrpkRf}*MFWPn(Oct%dJ!VJCG}4cOAVR6*eVQly)*^91YZ9KJ|C!&45CsZn>OZA;B6_@){>nQ#w0a+I}Su zkDF{n=8HuBf@p#Ynm;JkxDoIvid4!^4$x~Phf=7&aOURsjontr)6};%iLqqr+|v`! zTPn=YQ=U`kc|sR1V-{|)h&R29gq+T3TYi=IyayYJsP=ChH`~@jt=_|3O+UtBb@W#~ zx1`mc<4t$OCCOX0=6m{nTlqO+b`T`jJPFq>W^FRWOCqAS1-zB`mrC+(X4pzJvADgo zGV6YQeTPu#g^Lzj!I5WpeoUYITbzy$a(381JDlqW#QRH0Wz)f%Q-|V6@EOEM4l}9b%MH(2S;l`l_tAxUIAU5+Y# zRYxb$qC{lNc$S~~;=7NSZw847d5H8e!H@OPnR*?H*|y^p_2B8U#oi#qh_V;lN)7Jk zm5IZEr1M~t0%SI2L}%2(6Fm`$S$I3BKuHaK{!sRWvHd;jFo*G?K}%)LQl-mK=A=xN zsnwY#{IpTBD7dO{dS{^5T}`Xl@S*_X@5*9s`iRG^$z^%GKXgOsDRQ-`$z}*u5 zsaa)~7jK?OCkFl~K?+3r?DNJR{y`zmG5|AZ!n8iuEe}L3vo#ACd!o99ZbE(g@X`r_ z|K`QGP4Q4oG{!>aOb)lRTmX&7OBToXaqFULLT@4f1cy_V##qsLWqa8$kx7oWz-Fw7eS0M_@wiR`ez5IECtT$i@m}ogM5{V+vnAY?_++ue(GA0*^Ap>(=h#n-AoI z?p#5dIsbV8IJOokj3B=`TDT%KPU7(nn~&)w#A>vW=!S-5tgNNkyaZ6>!k%Ay?MpuS z4Keh<7b?9i2{qA5?Noj_xgat!L3m&wfv&Q56lOFz`2;~+HZ^%Z!3iN9OdxGMut#_y zs9yL#qY=h7Q(<`SserQxQQ^p5LZ`TA`%d!eUmb}`MXfJ}L1($&&cC;pc90nDnOz`v z#`!9pA%ae9?M*)$E zjVplibZZtN(E`MVeT7Bv?l_?!+@czyNhRNrBe}Ua<({sFgVDiXL|^hc|`OM$EfmbNv;9`Cc6p(|Y*RrmDJ zXyR{?Nc*MY>x3#fdbHtCaZKfi@-Ju=x9$CLz*6`$!y z>qF@!M5a?*+e8#rXPg=;=&HQ8Lt(J{tGd><^ZnBLr0HajrH>J6PaGTlM(HzU->r^{ z{C=Q*$AoA7`dnzk_rOiel_!jE^MpSKenc#z=KF}I%xs$|rtR_|i9!Tb0u|09INsxG z2-SNIJ6ASM-6k)o;9=UW@9V9H0Fg)hT%1GP=9f=b@|_tze5YUR!_xp{jX#DHAIz?j z{s-iOh~4#P4OFIuP{xqx{rC`mBhpPde+-~S1!*x7v;o9(nmoU#BxtX4F3o8l`(=`9 zt(8I}_abeV3(TVi^yu}QfH_^w%l4OOw&$fbPf1Bnt+!~4oI3Z?c$^x-Qp*|lej>eQ zvt<2z)EA0x#i_rF*_Q!ER2yYPG)$&7oxO-HGk=(^p0AGDADl*%O3);UjU-$o)^WjF zyim(Uzk#oVd*yo`+mwY+Z8n@Hy5wnRBykQe(fm$t1~YH{yuIn!`3Iq;?P_ErT8iu6;(&^SLvsI}S@W=fh8Tsq7coVIvi$;kT*8J>&6?+iNf z8C#XzTqV2KQhKCTP{!%A1>{*JM}eH*bjc~)$T#u?@E-i7WCLc|@+SStlgo%-#Zz*R z#~elvv{nByoMhL*;_!GopvGDXxZN@7)^sb-!Fc7 zE9o&yDVOs=`wrBk+OUww9=VFZ91geFR6RFvBJ-YGzDcWEzcRc*i{;|QYG5&(_(%h) zpu6*&+W@B-Ap!!2w?)P=x1MG8O5D?>S~MZu4S3xsEQoE5U!>UIOU%AWx_0J&RXYO$ ztJahB=%?6P8$-j!tI0lFvxNn5MUaxd1j4WjJks6Jk97nYIGFhGjz-2p()3B1$Zc~B~)0;Xv6 zQAz^aVaDmb)jEX!KE(xgkF$f2Zd;|$T)~vAhF#dyEy@>l6y_>kiP%GbtPl}1z6?TB zpheE-KvAJ*oLe6*kA^TAk^Fl;))XzBbD4kdHlu%Y&P&g!UMSa(<^JnaDQo2hR2^?6 z0>Y%mtef+dLBmhqCv1mu3J^!1pvP7NpMbyY^4&FGA)nlO=L+nz z%6!e~aCs1yyt_>5_0HhrX!I%95_Ysj(FF$|~MD)aK3}^ks*W7yZ9pG=!v`QApjCxw!Pe`cV z1wx{B9+NSojr1O^b1-O0Wkdt5>vl>zjS9P7^rFVY$>jk-m(na-_Dr@Pty}NNdm*8)shF?#~>N`G7 zd0Sr$WtnD*cSyuu3(9Ww^PIsP<17UuZCEUpujY5@8@*;GQev+y;p43Ro2-2d!R-!! zE|@+x0&wBoCkI^fKVI6#2DH^GiiGg7oY%fV+_evE_fjuEEj!Twx1AA|R~scJsDxfa zWo2L>WrteI>;0$)N9Vgos1PGF??r}rjwe1?HCZXO=hx6NmL4-3E5As?4`%@3+Tw>h9Z9!$%F8yaHdFWeuduTjhYDs(XXpC(BrrvDEe_P?~1{;w7yl zH%9eHtau#o6vZGYd88KX5Yc)7MCZ_RCM$FL_za+)l7d^!{WIJ@M-SEq%5af zFZ6LW@?Jql^kF09Fcx;`?tV4QEwv2$KrmQ42zHvKGl(5P;cQUS7F23MltzjreLF~Z zC&dzw!Wwv+41JWql*?*GJ0N_<{y&h*%VV{oE~m0Wi}vyZDy@%@|{SuJ|^e3#D{IwqYgKq4iRK4+e5+Yt9Ey(^A|2G?Yr&Yc1=jxS57B zEpBJrl=H<}wo@t({%fdbg9|;!IuY1?|G3e=^4{dq#BJm;_7L-e!?%hHq<WlSt&fjXUM>Aw9qRaVIGe>P>v2`$X2zHO}Ln ze00<8<{SP`Mt5ZUe&v6imH#k}|8Mz8$->h_i;;yz=l|{dWn=ztfr9@assDlPYW>Sn z`8V``+&XlM2DWDZ$E*Jb_oifEV{dKpkM$;OXJcSt`=4Pt|2IPXKi@O5F#kiky4V^y zTiDtD$3lir|G&;Z^?;dtBEX+NbDcMxzRo(UE;|3N!_Jq^+S=M4C%G-J+bu5w!p0$h zaPok{J$_*UcoBK*@W}ka_OTv^G^!@JSvNaBKR>(M-I>`Y zE5FoKHs(pJsLYu6;g*h&mi71L^YxU9jFj<=l6#8jwPI6e!6IBebPO$YTpjce7QXyk zrI@K_xY-vN87i42wmPp3j?qn*ueODAUw|NdmOfl7=8|vz-d{tNE`i)1bV&u}~spQ0oO>t-m z-Hc%MjY^$`M5Qgkd^WSitovqC?F4i!@)=5GGes;WNX*4YEP7-GOp##HD0yRoMCCaA z<-x}brAOd;ZGdQXuxWr5Qlz(dYhT1((C9jep?k#oZg+iDHdZ8coTUB;*;8SIwFGom zVNDfwO)g{=j7Z}Ue2xC_NPJ-t{A`T$326Tmpl^d?U-?kF zu!L#B@zTEdJkoyRL;XYr_;GsjS$uh|U$I)p~3 zaxY?bUtn}!UUXYra$8z*TUc;cR&ZBT`CKn0S}Rc3Q>V2UeP*;6ayg3ua@mV`Tn4xt zhPa%Dxa@{ET=};g`L`QIw`)bVn+3M(1-2W60c_R@t2Xef)d*@e2x`@PX*GLk)q7|( zN_6U_xo!SAP5wC`{WJcGvO$Wn8A5WI0&?jBaap8zOftNdnchRdj%(=Y&O_kUHsI1r ztnB4^_Ud%HT5LD0M_WxtQWM!lOubc6IBdGr$_Dc(8rE`ql zv?Edh26Ni9V^BLrTO!KXnL}6e;z(xxP zjFMRFB??rNxu8f{v89S-&RDaFH{Fw>Fx{)VACH;Wo>T7Ihwk0See_*`L5D389gJC5|5Ql9Aq%%BDfyQ49G?Wlr;PF%v4F9fG-~YQ*HrkUovt zS>(I<3+9WAE|Rw`lCdbrlxpUPyo(pdl(E)pcxLh><;b2X3-wRGq{H^M>Qf5Q9{TCX zgXqv%M19@w>2*LaAh9(=^(utcLFh^b>YSm+`fC=@P$$lV?&twEqZ9R;?GSaNpZBM* zv9M6s&9FS&5Ois7IdlhDZ+PK<2t(WH<{)h$e1i3&0)^AC&Db|eJ*1Unycl$tzI&AF zmA0dIr_B*Q!>o6HOz=(6Dh};9>Sr{5sx22BTH+$KDu1nY22#Z^p1Z< zg!Ua~fXqX8XwfEzL%SJXw1|9vfZ$9AF)NQhWBpp7BR>2qYrmOvY&ziU=FL1!m_&)k z?_>p|gsJAOCGAw)npv5dS2B`9GdGI_;o4V9*E6EbvX4iPqrk~PHOu1Hv0InEy$ukM19 zHrVU!-o_xdJ0yAw>zcYX5GjXt5L1Yx!e_b2XAy7`{ev%ruyzt`W>)aU7nvnqZ|p7% z3qB~+sfo9Zo4*jYAUDdrRvqI zASFqjs+O?k)Hbj()@&r*o>w9sz|GQZc9oD>)xf9;ITFrHj7>(g3{7$kIsiG_q_PAd z49e?po`Kr8bMyse+2;yw(9aQW|2gC5@^8+ELJB_)52W@!gRMhUP}W-v`ssQS`PNVg zC{*ts(xz3Jk4T6Z(U#>2zZ$Izhyc5`PoE^Fx54Khy?^vSO`_!h;%0p5;;yXiDOD0( zX(`@Vw(Q}}ATUa?S$BzE`^)XaJr`bQOn{@9bzy6OO)ua#4ZjQV;BbkD(cHApgD9>+uWfcNYv) zpM;=QeHC`7BU(EPvBRQf-jWI2kU2rRc|S9u#F#$05&m%TP!x6^x!~vokXQlb%D^$u zD>RhQlzJ41n8YC$njX=;#dCi$ErM$}Db%_TFvA)Bu;zhZ^kQmqIZ$jaRq}_BAkjaA zzUlr!$J70?O73Z_2AF1ZWC;Z^hO86RFP^s(v6rEO7;rox4Vt8onGlM94d`a8TIWh` z8`1qs_%+)bl;8>{5}Vt^=C@LB!)3Z2)j%Beu|h&MRVG;lr&U>E+>9<1C0r2EF6Cc8 z+WCvE#X`_Wq%V2>nA%!0Nyp@?dlX?SSPWIY0*k zwoSI+!Py}0F*7{}I1zb1;e4XN4soF)aDn1q559*|KD`ik-6>X|TU-m#4}h3f4KF-b zZ~u}#z~)(>Bg?c1sOxGo8C5WJ>%@~V`Oie}iIwQO!ntYaW(1XdcFY?0wr>jWcVxgF zrX!a0rhG1DuG2UY4(NC0QEN(8lvHd-yE6a=;Jyn_1kR9H1-P!FZ|~Lue&V~vKfC`@ z!M*j{70X6Ro)b^J&~SrZ?MHYVt1-OEU2qBsk_j~O^SZHpuzzLt>Z7qQc_7?JwJ@>a zU1_I2A9EgE*W7%XVi}MNcf|_cpLm!+h}mB^;GBlq@>ol2i_pNxTN#el93Rx31{pf za*9eXTZdxN*cWnak_No#>0|11?Ia0-)Xvf3QhVzTgg*ygu3V){1tr#=(h?zuA#%zm6m9w_;m40Rs>vy z-bsKih@VLiCMtxFZn9V|0-W_jNWqpxMJr(-MD>t^lNrq`v|6-KBl|N}p-6T(UW+ut zUN99=1OZ1mj*u2-RXU_YP8gPKDA!IbS+ia<`zylUPmSwuGJ$A#^RX5upZ=s@T~RW1 zg$_&tG^r6ARh;Zef~th#h2w~P@)aFe4XC0oDro=!$?Bd&w8}4{tP!)KrKr9TkCdpE ztW|7W2{Ys#1k?Nre9DpQRLc*CtxCaJR>gExfV>gsQprFaDbo=O4pVBoKkOCbFd}a~@f_p?s-Gz$9-mHkn4x7K?y5=kb>9py@Rs~&2 zxQza%Uuqrzhg;ifdHj2(U)T{?DBQk>h(OG7DwtA_M2;POp;J0Cah|mqh{7;yj<W#6RtPRopWrXj1p4s9J zwsv|bBWhauoNSNE+CiJk$bt#v100Nf=Xm&&!e96ZuJ;IL)sI`Q4!%Il7Nr2q22B}w zqa0a>ymD%$7;=f?Vw;(Rd+|Cay8C#;oMy&`m~O{Ry53ol^-)2J1jf(+r1inKEvY^V z*sdUrJ_7zcRxM<*kqzbG@wwZ*O2qamzmhs-#d0HP#J6N>O${@=W#IljqjvYf*D=ne z{o#%fpCD`Ou+|4xnTr8dN5mH}rDl2nCHFcv5~0vtKqz0oZ(_%NMv^@yu1>eu* z$2H~^ymHcLy04PF!h)#1CNCV=XABY>l!{rOiUoqatc{Os- zcPDsdsW`Ta2w3yya%J+5okcQwEUG8q&ERX%l)qY0*C%Q>D!*1rKyXg1U*P%B?4Dw17kZfLpTrJ@SGy&0*3a{EdjIzbhvQ zn4l8>80SLHdW1&6y`6hJpwF6kgHRdBnCv`@x|>%)^`3_Za1uEJryNXLGho8INYurQ z2dP_cf}G~er(i8(;*Df=DZQjA+tnEDQ=>m*SSKQekVurh3Sdkitm7w2ns}^kr5>pi zZxfY5tMUHLfupv}pT{1_SW0M&*hqM~85rKY;iI5vMNXS@Boa8e5;<>oHl9Ujs;O*v zwmyKN0N(jpjk3zf`?=w0a%R6ZXM29vI0Z>J_4Kl0xJH2e$H17DYFFVMXPG`kmMLU! z9xEW~dv&A(`%(OdH!WL3uZmhRC?)f_$CQ%2cc|RbR#*@RBB~z(nb$9K?CB(5jUQYa zr3SBy73kjO5#aCLlY%wcu6}hkFIQByU@ws>c^R#xkzXLk^bxBsgbRL+Afz&DkRQ#O z-bT$73z57Rsfnl&>>afA;x7?*7vdixurhIqLY8v;x~J2A)%|T>QW_N379x@!HVF02 z=P?jWE>!BYw03#863z(~&6>BW4&EM^YM?i*?CN*jiXKEJAO038@mM)q-~-EFJ|p2R zwvvS(5>6NnDy{1G-Ut${LDrkM$Bz2~+d4*vDTYW07FoKCC9V{}Xtg84NJ0 zcxoR`8{lAG20FvIIdRHNB=o(6uY>>>kY9A7MZ1A73KX=P?Hz0PKX zE14QngC*wrK*w{bq3;1JyoT-2yC!01mG&h9=KpK_4wxM7i!{8vDXMoc&wW2pP>;+l zw;yrjm=KeqP#MU4?TguS%nHxxFd{FBM;(k(kD9s_%iUyfeT2gSf&_9fHq9xP-6) zzQU#DZIE5WD$|q~E8<6w9*&u_(g9;f)jrQ!@V>u{g~w=YdMm;u-F+<4Nh(2>q>w>k zk;hr*>gSs{g4`9Xx9Zu#9ZLO$ru_$XJSp~4=(NNotdWt0nsxh)9dzMT^1yBA8YXEZ zWL3AWQCXuhLzD?%(o&DRT`otG12dq5R)9)j?!>4{RFCJAZdC<$N!fR_-~+cI)?tE) z>m#@K)U`79Qu(5>*1wCA6IWU482$*JjvxFrAqX2@5D5!bt7;4nr`!)@B0E4R}Dt z>52?W>SO)6JI(#p#{lv0@#$%x@nbG#O@DtqPNw7C-+#-q@ny*mzY(sV9k z=-T8a2n-#32#XsXy00#CHt0K!!b(X7rKo%fLR>_spsZ2nG0S7VUDAS83jH9Wqk7Fd6f!Iuz5S`reB3{I zoR%jgPb%^nWm?ixj9U+0YD6yzC_sO^h%RqF9I>fnRop6UQdG-^MK$v6HHweV_iT=R z>3Rw!+Z~XtM{b{lq|7F%-@lVBhjZ1Q&xTpoo<+X2En|YUREGm!f+%8?_63}d+CoY> zbLjHZ^s4TW=;g~fv#OgKq48)L56Jcd!mNZaUkDjG6fHU~+|V$6`p=|01qMk6VP$1y zLk7XyjEMdXnO&Yavi0VlHqzq-O`!jay|;>vV@uWsOKe#d+G1vlnaN_7EM{gZF_SIX zk}PIsX2udTGcz+YOH=1`|F`cy-SaRH0}nTAtz1!iXJ&krmGJF|9TC+8fv=>Bsp?Ug zGRls*f_xq$T2|B*L?%<}y%sp-VkwLvo`RL$ORfpi$8H}hX_Q0i&{T{XdGV0YqkgMa z?T;O(DM1zf2_CloCf)D>WgSj_EWAw=wiIilC6VPZ`=eA5GaEYx^6X+FroO5_s{xY^ zI>qQjcII@xC9%>4_Dn&v2`DDPtS`U4QKVS|y+U|vHz78-rkb04buFGh&=*i3B6P<= zM^plnRaa$ihpsLfOVUE<|4moX$0X?oHClxK>NASrH>k7T>lj!$1YTN1hufo`CcD}A z2c=I!ZK<+Xqztm95-;#gbcM@hsEnFFi4@(DR{67MYaXCES+_caHuGCTvPSb#bM}{| zo(q}oH(o|1!5oBJf4nwlw5$gBECiq}GXpv$10 zH8`mk4r1Qk?0_P01G#B$?eS}kirQCxfK>>;5W_0Ks+EnYKk20T-7wLTU2PDhD40Jm zT}ZKf7$>QT+0pq&xoyuIhtFGRps5w+AKI?b^sD_8ja1U?S_l6$;;Hd>)B~}>sHyVL z2E>tnWJH_15t(0_@CEWY@77n!IjD@gge!S54ZprIs$1dXxAzlInZW}2GBTHNcT7HQ z^5ep@ksG%W+rD_bKX9m-mv;>Uonb-ge(43$9m036yp5<#hc1m&Bbcvz*{9A#A4^+e zp0OOT;vzXF?V0WQNU(z_cM)G8US7~8Af&PBd2Qg}mNgudDL<}3@#ED(JWFpeoJiRP z!X?=HPey8KTOT&DLeIJQ%C4U_G5I8c$|9(YH&&!|3kY-u$Lc@+vFrAfBi0_;Q7%IG z{FRE+2AO(j!X2-5!)y;hMzkOYlp>V#03QS(zQ1mJ;N*3&RfSI$oX3^1oxw#kP7|xh zL&g2|fsua194fH9iiR7qIl~`m87>#kIXPZ4K|lD2fz@h93*o%DOG&p6k~#5Ck88qtKI}Q|*`&Yx0f5Icq`J!h;7BhiYX-y;am0r?c1{3moL?!ehie5@t;oo-Q z3A952qvk4-mXB=)X}jAJ&|yT4aZkWU8|LEWbV~E>=#$W4=^2PQ(~z>^oW?J zUr9{@WY*)-)72VK)Np=Vi#T$i{jOX08!t!WH(VP8ZXKq`aDQgA_~HdLN;WLLnD#y> zrRG>(##>*@g3o9mw1$P#jqxYv^NLcY&xr_ApBl_fvvWUD;N}pAnJXgP|2b>5RAP0j z5{pqG7f*9X^6W57IJ!jTknKiuR;5Mx-QTt$(+!Eww6pa#*dL=0&vp&StBeWKEZ^2T z4U?HPs!wR)hYz?+ z*ZNO_D>8ffcEl<2Gu61AXu7&tUzH^OD6i77g@Sl+OKnJ@Bp|$^EYtErCBpj_2HnYK%&#$76&SmTINb=%}iwP%!Y4+H3XioUg3UzeqffwYGU#Xc`D>lxd%IT1YAyu(#)Z z*4`azcdXPcL3lV*rPQ&|TE#;QZ9B;D*bQATlk-*M8-4K}m*<GUwbNkuWzGt2Sz# zIzd0)a2hzXbnb42EnxkWUj66MvmY6EvSYzpJmL&`GpM6uA?VwqO#E_z+-lpYBmuIzhGI3z|Z=U=AH$B5o@9*#D>+QZ??{Bxm z3F8_3K49r}nZs7M-Q)GDcX)q1UBLV5<}#-rnUELcd)~RqbAPtd=6Sq#iaT$s)9kT* z6laydpwsHMdG-4`VJxlHZttc^iE=!h*Z%3DN=ZB-mDAzjACrDm($YS~?keymEX*X(teoF}bH zr%~&0*@cd<&!ELy*H-IYBtxU!GbxG%5U3O+ccd&lEm$P7c0p^DVxYt<@@xj zt;m~C$)lO_-bwc8C~;zOZGCcXer{@cX=Qb4W_D&`QGI>#`@F8+vZmImqSCCk&Z4r) zq`HQxp01{vs-l*rwvwW} zgyhte=-9N>#JJeVB&>`mloSHO7!1rb9Najx&q;XrQD4y0v9RM&P;m$e&@eIZaB;qT zM#I9#!$SXpf_*@Ux`BCij=OjMd2b*8=oo!#8+&J$;NXy8V{`BP>}daN>v-?v_-JQ) z>)`a{V0UNZ(ENPUeE&@U*wl90)NI?*>eSF^*V^XL*k;q*WK-YbOy9uN7HDY(G_*1` zG_tg|F*G(YG&iv}w=mW>D6|D;nwe%=6<1gl7Z_!i*p!x- z620YsW>~$I&1^_AW!R;dEu=^y6y4>ACIq?V=Vb`llKsL<;|M6D)w_>WyY#jeF+m0L&3xnWa_Z`r{$pn1AxmXyPD2jt?**Q8nm>Ad~ zew6r=8t<;GICx$pp}k3^8D0A}|xdO99rxQ*yO=}OHN_?M7$UARb# zRuY+^4#tc3KXmhlh=6a{oN!-b>l{*f?8}Qe4rK1IQ{D68C;}8dA74V-*Rxhxrnl~U z?Qt#jd<;|lSwm%(CX~Z?X_2Znj~&NJTEyu(TNHQ8sn@%hH&m#w{j&0Y!*Yt>W%cC73YBz|R84kf2LE{TQp zXB4KWh&@D4%+<_^vA~5$Y`;$B%wIBei}DxE>g*KqC7k5>{Zx_C{yl$fY&vG7DP8ad z!PZ7#UybkM4>yd8(Wi#`DLO!C1$4*No>t26Gl2234ZrPS%=;nVor(j?$)K(6_V$+F zGH<0{d4l691F8MndCPde(@M&fzWoq$Qq|Cpq$7%o@?!R*D$O*R@}6G;_*;7T57+K) zW>mwm9(foM1KkBOWh$GebJR1i&UF|{R_{umeN7hRSq0`B8;vR;Di+`>>8}7+4G4hU zDS%=v;`-0E8@jk?IOYqs_06@Hm2vo|d!Q5dNNM97lEcjHFR1ke25&RT{pdpA9NwWjv~5~-r3{Auke>w)Uo z?vd_k?$JQ|V=Q__A_|_h%^dx6Ms!JNN&G3)DT!6qLoPoGf8cEg+JRU@`iuxU`aV*C zT#sU%v^~4>Yk4fA6t}3LMedD4-`D=Hm{ilTYRc>?-@-EYzS9(*&JZ8@9AO{X9DT+K z+Y>>^GntyH-K`~FIycXl&NpW`zyne02r*>i9v&YMPDM<&XA2lGVgWY>o2~B>B@IB#Mv2l%vLk|f#CxK9q!_<<`=Tq2OI7DS z9DhBAwTd4#30XL_Mr=-K4fGE8&Jf;ewBO?TC`Y*uHAXe2L*M1RC)Mb1_VEns{*!C6 z_zt|AqApBD;Jwxwv~6Fi5rKKS*_uAT;oF}_GQ!Q~%e)tAAHsK{ckcJR-)W+uzo!ht zdVNBMF~(_aXmjY|;#cG0Xe%_@YP(9e%BaiO)x)$_tHjFq%2>*d%NjH?s(g&QYv3EA zmYtUIYMU$&mOYkC7ulD6^gTOW=Em0OC*{lS+QCXU#;*! z;p-uYBhcb&yZOcE&pXT~&dcD@soSY*Spm*Q)&jqlwWfKlaE>bkViZ%ST%k$5+I-G^ za(W1T=Dl;iq`O(&@SMA^(~H!LTTfi4LluvuJwn0%?7e?}$Z$`1*^blkLE?is0w>yq zO{Q8Vvz^vPhJT}=g?|Y`SqvB;?!5w8sz+6b?QJQ!ufRI+9&8Xk?ehm)0zjkqeBJ54Sg_a zztYtZ(ePdLR{3R_b0p}l{HXs(S|`F+`c_X;Wa!yjGjubAOygTRRKcA|Y$e4iUWaFs zcjr{+?JRsto|aH9&7tvGwWi2aEF*he17ViFh|h3iQ$Ptb^sFzguLv{%iURH5y59!k zEFdlgAcUodS>c?sk+Z($W81`YWLVowUhYvR>?Jw?WVb^QIxMNo6O~%gQ}>#X76SXEWy=;*&wXyOWjw?4&Ji+ zE6ghQ19jccF|9EF`J zGw?G)GM+LmG8eMMv+A=cvg31Lb6j%va#eHt^EmU0@^SJ*@?Q&p1sjEmg*`rP`$vWg=yb<#gp)6&MvEm4Hg;%A+d%s<~?E>aH5jn(|um+SIzwb;0!z z_3rf-4dx9SjT()UO;Sx=ARbU%GktSG3vo+ID|%~0+sC$FZSUeckAo4snc-Qv*~vMTxrKS{`HcnRg@Z-w#mgm^rRU`zD=;g; zt0=4SYj|tf>s0F%8|)jcnz&IzY4v^zAm_7z3ICBe!F&ObN757{DA#X z_{jO#|D^V`|Lpn#_mcQZ^$L2Eep`9Bd4C6IcD(;}{rn%v=D%t<|6PYi%ogY*V&Z7z zU}5KE3m(sbzX}Q2x@pldGcppxa6}XBG$QD2| z1`!KWQxgXhppl8A77H_jqn&|~34@87k+p#h5f=x80fQlf5rZ*<34|&l9=fG$>kApe| zq7L+M8wiF^3acJLZPt6MJhJ0YMGsH^IOfSDKUb`-UyphZLoQT5NsS zA^vgbrQRi5yh%5d?<$#ZJv?F7q!=&$?rfO2*I}WJ}D^0zM6jLdruU zxk9Xne@pJL_tXXS(`kVOcsZReHJi0lbLx9k`;UZImo{!WPKUY^4apn8v_oiNvB!iM3B?5+=!&pfhB-Bm?xXBL`gu+GX-^V)<3J1Q zD(Q(y{1O@&Ku$)Lt=d(iqoI4Z@UE#ViL-#QnYPKOWm~dsNXX!jtEbXokSB0ulgs7` zJR{F{Vy)apl0lF>W#ES>1C2TrB^Crf#`REVgax_wF776U%G)7~CHk9Pq^#ig$t@-p zVSnc0C;w7fTehJW0^GP>z{zwDbtuDUEPQ>?pGgnx2`lJLLH(N6yvYc9}YCZ;xJtTx1ont7K>s4r5>J;=;eOLE>aV(CQyH zDKiTGMymFaOu-UZ;f8uRk;g{oZ9?6P*;Dn?*f{R2P%TFD~0sLjQ#zh3Iv8b z0!8F|T?xIYLv3SVT)=!~Vt363>1lc9y!f*haYCG3Un_RFl;dI~R@8$vZ(5g-t2OQfufrPF+Tq^8Z}^a$gjrTSjrtg!bh2~#G6t-cn{$Os zmjxYZG?dAd%j^QpLPwL*id?AiRIT>>hgQbX)e=;zcYCQL{Pnt~&$BI~_k@l>xIqv0 z{3C(g(3}v>oA3|hGdY?I>O=mPz)ib`xw5V?P`Sgm?I$2bOD^ZKECdx)*79>m5OhtJ77*m3(iyvdp61 zlAc{^g}OS=;O-}wLIz6BkAJ@SaOZDgoieO}HO42`slvH%Qv=CA#fL^P&>X8xqgHRC zz2;7I5+i@lWtvdVvHDK)frd~!zXDI@?R33Z zEc4u{zXehnUYk2HY-3ANMq>KVn6^eHh!|aodeCE=VCodno_6%fUzcMt7l3pW%TXyG z6WHWU-QM0-r_?3Q6EPLIb4{6ACpVuo)M(su-DlcI`Nqp+lx^C#8Z+|6h@DHU5w%{x z>yiNJiHSH8$)p>Np^pWdH%<3T9>urPOvs%-T*?Gcx^d#R6a12R;8fv+Dq)^*sU50{ zOvJqu1)pyYuLiacFcILkUPpP;mlq^}k^0bYsD@uLTW~*2E3|Kn!?h7Cw~l3O2YNM} zCE8!73Dzh4BFtW5iR;7V&J1jaqdV%+^6^L7Jz+ay;ziV&RG99E4=_cmCl4G6u2C%f z5xCRj75`l(@y@;Ovy(ulgY+f&PYh}w`Xd7&`0#-R$ zJ4!l!SfKBk4LyUv5oJ1zYiIxI^}z%tDE}*X>Hmt#h*?;huz(p1ctgd1aT{hPFtcG~ zA!6g;BI4lS_;1WcOjJ-xT!cnhSyYTe{x2){-^7OfpPYt~;lJ}3#((h`R`&nmF<|k( zcnlL0*Z;<2!26pUI4GGoef=jpF=em@^AR@&3oth^26GQPFiUXENeCz@=^0rV=_&d7g}_Ej zk^g;x`11KP1~vvRCMGTp2KYmagO86x%tTB~Moi4aPe%z}==eE#SXfwiI7LMMZFdoo z_YMH+2S7OB9twg40Er3#g$nWB10ev$2Lti<0*3n60tJA8goc5I`vCtD0pkDM2?2nF z`d^(W00?LZC`f2X7&zDuFi@XZz@4a2(8Op=FoFsOpGoY0GQ)m}%Bm6~B|}&2G-P3Q z@S7BdBac?P*t|4yWW&g=_NNeuQ8pIs%5h@HoKo2`xvKfqJ?*@GEk+re`@1%-XJ+Rn zuda7?_g2-^B_KY(zHe^tjze6{%r!8fprL<${~i?p2?<6E{Wm5!SQxgyArLd6L4y(4 zlYE9@{u%Yt=O@CoW~ou~i-z!{n(ODJ?KND&=#r%lceVp7$|-j>W_oB~a$f|v>cZ=WX( zxm&qy?I4YpOH!`qS1zr=4178SPNdT$Lj&mBncKc{?8$(XP#@PB0SXsiOzOTrj{J{* zZ0XyOfx7v5mGKC!<-^R_AF9R3*x%tSt4uwY+)}nna6@oDga2rAT=`@!&uy8oJc1|& zLiEfnFceb65Lh07j)t~0?)&p;pD+V0I_(dlYN*O{1tm5Atlt0ea??_$(-m6xk=>5>kMxE3+S_F1BM?wP9!Br zoYxP6L>>wxytYY-C?H}%Pp&GR=LSV+0U%P8h5|CZ0W1xA!bj9TcC7b4(n&>{mz z)(i7ho#RPFXfCB(@>Eo-whFmh!|5=QhD{{S4_~*ODL9+weDjF}XYeTp4f2MhT(D)7XO_(_2dHSHdD14apt5B zaR(_<3V%V>DJgfypq$nDR&=um;J%Y;D+*`u&(n`-ldHG%aI7n9DiCnzxx9z1y1aO|?hoErQwyhV8kl>6mZSC(;g+9no zj=gcmSNgQDE)&Sww?zR>$XLo#w3$#f+roJ^!q9qAuDjKmTYGuiv<gTmhd=#6iQ!{we|`^gwP{XD&teGS)GpLw>oqEl@~WgF=-7UuhJ%qaLs zF5dwbsftPwt(B?RC#vlyA@6`QmMA#!K~fnr??bBI`HsS96PvgG_P6cka|tKU@z;FU zwdhox{!aESPrB(zV8p@M^R!Rzz&oJv?0HJ*cj<-FaO3Yr4~lOBlM!pFPT&jkj7oqt zc5}D|Ey0a$eW8KyS;FULKU@35tJ zb2x>;B}d&fv(i;8G(pK%zft-0{iUejTvG1q&EpdPE&>8Vo*$gw>T6icJHX!e%X*cA zz!?=7ho9s*Je(K!xPR$q*h;x0e+S&7ydF|@t$Ah9^$(wG7Gm3* ziflBcrpF@k$0D-4S+9yrmET%42VY|MZ@%;K$b0WvmX3ukWbOB!y_Cq3Q?XJ?lG8>z zMJol)RHu&RF5zd~ee_1$=;j+=6W@=3wvRITqNL+a2yABSFQWS=byqbm>M`+GQ=~0=gF>%ufbpg%USij0LPuPG6o}RWqrSvdV`Yw zz6$28b#XOKU0*X(fIyL5>6vwkH{;QW)$*m74}~gQeX@PYSou{y&y1th&8ilR7bmwV zIUGWcRz2t%3r5fMrWDb2|EX^R+MPT*#&Fk}a?7k~8A%1Bsnj|{_GhV8CO4&|D&v@( znMA*D`B6oEebYQEE6AN26{nDekUkmo)5LI?ncnfxz|P!}ps!l;v{M>3^~poliI;j#+j|kdc?&$P9fD%E41HZq>?H07Vkq@jd~g$-`a=rXCJy7 z%$;V6;WZq4;%3c$)Yelv+@NybR$=tJb@!2*!_4iv-Pu_yT1@WFg2Fu!5r^+~4%hs% zZBGZ)%jr1Q*lf{5djKOiw>|!70mC$LXP?+9&66QP*bfZAU$m(uPZ`VA03R);?vw*O z4-FfW-^1a`ChYLr7x*gD?_8$=pY2mW2=5=68`WSkoz}x?_%36 z6#EjA^IAWF^y&5e(@A`#j`C9e3>BXeJr&tEk8cJsEG+nwt5M-|?ERX3Rqp`N-BCo> zj63LEzF~6o0P@S7TZ+PzJl}4HZa>>oz=D+ za4%l5y6cqz4y!M_k&ijy=Ope|3m4DY_~X)GoVaNBM<4rZcJ;CAbw`+p<4!-z8eYfU zecQaVRaa$l^?$g{6X-@O>}F-iEAh;xSBOTI6K($eoMp zixFE~eF9_sG3;IdsJ_|2+>WPpZE3(xTh9kqQ9uLq+=Y|7Y>V_8ah@KWN+nL z5a%LVZh+2VR;$+NP3eGCF!(Vvm3XSKw>-sR(F*tvaig`OFSRaB(WyktjXB+UD4O)~=5pTJ zYirhtB(QRpWee~S(rLD5VaaZeicpmmD-o&fB#;A^**%~O6=R2F2s6_+&_8RLWk0+0 z3jVMS%W9Vr!lAPM2(BFLj4?OS;f;whNwCk>laVZ6T>q+{-&YH_7-o78QBvRZLyBEM z))_l~_cebRab2##X0c#$L%yLJabc7Xn2>U2li$D>7nYuo-!-v^&*2&R1@!u5Zv0rj zf_cQ_yaIQExct_#`gFI0TkG(0+!_21J}D`xK&zbeCMe(kbCXI-yRu0l7!KL&E9;!V zy~Iq{<9ORJwfrOw0Wr3MY=hcIBWAWV{HJE>#zdIV^tL!PmOLu0Oib-OcTSt%`py0e#L6`07KH7loz@0LSe z*Z$$=UVR>R3IWj_F~ZKRA}y!Cmz_|)(^hR{IYRwL6sZ`8FPykfYolN~fakj0Y{`1G z83p1tcLH$Z8FiDH)(!p*$LVgLyyy`5=FJHZX z1xf?f3;ir{(nYN-BIKFB7~#0Bs^Mn*iBVXKHJJZgOhXDxCL$k(-&L@rn^`n%$9j(Ns#wh(kHO{&{TTv;&?`d(G!MPk!f1P{+ zw*v1m+o=U)b&xnWSXDRICop{<-~7##m*?T@&ANosv+Sr<2~6*(mkm>SbFI>$YYJ1E zK~ZRLRa=d$K_ygAa%$hzUbwt3wAi`|QD1fat@z4F{N8-@yhjDDi{bot@*k&Br9Fw8 zJS=vg0BMS+

      r3$pS~$Wk>u|CkOUMPoqN`PA(nlbA`lG8$-U0*#n^MUGkz}1xvPf zR-Kvz#yO%<02h>32k|rMUc^LEg@Ki~6xO}Z2)k%K1ab4NClq*`khF4mhmRhf1EjkV z_^|sLK%6(e#HV@pW?+xT+f`w!)m6dPn3Zb~uW`&W=~53oSq1r*mL+U&YvUYKn?%A+ z-Lds>bO3S4oJy3E&nk4V+|H^>a~Oz(soWQEp^xtIg`F;TwGT)7ZRnjjIEcsHo#$Eo z2rVFGSR+}(P--r12kXj;Vk_CIZ9(yMSw&~8Hosy1~qBT~3JYKx8s*ogWz;;zBIU6Zqtap!Grk02Ua6)! zrOB^m`^2c_y)aw#q4LmV|D+giq(WRMKJGR88UuZ+f|tYJl+KvUcPzNrv1&RR(2+PU zJBl5;eI2NEE9rPa&{X&~y(K4$Sn{hgrPWoj6W2!F)}(XEJEzyvA~jEHvIT|J!_<`% zPo<7`O*?H{n{{RP4$c9;EgqfK!UAKyWttc-N(1SvIHH_9QZ2(=wGU-|mZf(i0!G;h zJ)Q2J-5{ItnZV9^h824QwM)o}fBz$B~1{>IJHISX5>#MYbB`xTgjR}#4g=c!~ ztT<*irr6mv^&1XO33Q?;Rk+-BgyE>?H(s-gFH`cRLy}jo-w@jgb}ThwS@tB|avz7^al=x8nV^WEY=HzxA@{v{Sutih#&9j|q)+P|pe zPfL(c_}beXh)5Q6-lZp$e}?ZiyEQkWh=@p-L&x*;^H$NVn}AvIb_3FUqY1Z5EhB6E z@H>EG^@F!kvBLDlu>EE|M&NgxuA>|$hsjzRxWb#~%5~4(g^g23J*~VRar8#%ww=PW z0z#(5*^PBMnB48N%-V=4++DBRj1o0 zu%|xR_M5Qrp9C=V7;`VzYrX>|%_P_3F}1a!RD zVT`=xkAM|~1PR`0Be$#-Gwuy~3gtT<_JuoTE>)qp>JxKE`XA7oc}b*FG{c!ls%N(C_xcg)9f)X(P2}8wG>pVP~c}H=aT?gwBk{@-X!%@Sv1E7@Zil9hHZVC zF7Ffr4tR%3l)fHJK|uGgiUBe=vKYMStg3?Z@_dWfcOyiXYvD~b^rqS191#yrs$t8= z_uU99DhmrqbS$o4Vm`?SogxF3jw|s`e$1T;q;>J5yPpnOl(!UHrD;Up@nF~8TWM(| zMdU1UHLzsV`oIs40Y19}E>FK+x=}TxhBXB1E`sK^w(Y#q$tl{>uiS3)mQi$eVL+9@v&vw6(gb){1c9x^Q z2GmA*rqHgwl{<{n-~sZSG_%?wpXPUfgTO%^cKbc$Td-;_=ElytN`gx0iQRe4ye`vn zQ)z#LyKC)f5OtrKY*m7P=)8JA2h%wPYYlle?s80*`RF^KiO^5W(f3SzBLYG@dW{ioj%+1ba}?juQ9FICw8u|4v+Yz^Z( zU@7Jv`TQG|zlTYD^A{bFt*1MUJ2QY*gmm%J9BTj6#if>tf*3hHkd4j=x_P=3_RPsg zv6_!}{5jfZ^Y!qRcKsg$npE_SsoufPbVBHnmQB3|h7IvaOH9L(JpOFHQ+k5d($gii z(So3rKY%FwgEAlO_;ci6`+K+-yv<}Z`g*7;Ctt@s14D4bj0(#el#hP`$EV_(U0ER6 zM7ny|Zt-4HXqJw3Csp#vXHTqucyYj}O^tw09OW6|T0%||_%D!O5oo1R;S}^Xc=@7diKrGv>ES~k+^B(>vvCN*LT&#U-D+4OyciP^Y%CFvEJY4X{k(k|I zil{tG3?N!pj6}Zm36;$h*59cP$<3dqP2;zS(6F+NKUTwhM# zWVBmQ!Z{e|JQ$3Ec#x!=I2fSUKiebzpKJf@(JFo4|CnVhNQq5j7W z^*?T?|8Yb8|Jn^z5*HCn?}@7nn>g9u&v^Hr{EQ#I;q#(-vipLKaa_^s=j<@L_-Ca>Co><4P zeS>|a!f(b$8Gydc3{}b#32x-{7n%g|98bu-4AKO?NfF7q|4>)h)Z0tfU#UqDMtIQv zWvkTw4enBUIpb{jL*0&yU9}JRs7mxPZkQPI zQ_wyLWnkkvy(3~{TNYw2+Xfmwh0wv1+9doh)!%aad$|dFxzPzKS8YF4(XA^o6_vV- zeFe;JgK@yFvT=c@{;MpyRKii=LAOPIayUu~5X6!-Q{yp$!&uDJ$!%f3XMik;HarY_?mb%TwkvsIu;^|E!}VZ=z)dYNfr`D97`VNMGtRnxCC3T9G$!0S2TM+JML zp1yP{6tP?sjCqNht4o{1dQMe5;U)2D`y?W$3&CSG4sUg9;*(x|b2sh;5fmSkbY`C# z|3HOzfOwW{S=4lrxMT(SDcykM5AMUNX&kRF+-h=M^$7wp9`Aru*N7{XmK55Cqg%PE z<+LLR%Ff&$1(R0gYiUV36*tHB5aX|tct_bRiM`BnZJS}|nsY%1d(Ig8)qA0onDY%Q zG8s;fUHP@31X)uW8c+zIChY`|v8 zx-+lg)+O2nO2MJyvhf;%6Iz!_t|mlt$IyWd^J*Q@xZAB2?oPHwPS&wby50V66(ri~ z%_78XV|bp)7ZikWO>v@#Ao;p=kk1wc3#Klq!hjx9;&ZV-D5TQE1;Jmq zHw$76CJIyR5Um4JkNDg}OkW4-R~TM8 zJ~c?rDgn|V1YlsApLDnhf?Z^*wHOxJmRMg zAy_nWBpe$bHCSDJnTdXxiT$dhI{XBqp;G+H73PEKe(Sf19IG6Lj9I?g%rW;qotFTb1Bk@=~&HxNSvg#fPHg;8Cd z!hJgFmnh)=h;h1^C+WzCSgt4*HBZ`Kk4(~C$O)+bS<9e^7HvI zFDt2?%yQ{KQ>y-yIy53Y5RkO3Ty3}&$*avFRN}#&jXz>ZbA(S&YO1-k4xStn2)9~b ziykw>D2HBFxEyrWk zRLa?L9`)GSE?yldZ9aG?-&=kzw(;7#xe*f^RhvT5~0*o?Fyvm&5IdWw63T6EW)vL#h9|A9|#>QjU*;Vc3d*~2!8keI57j7!;Qo+Mdx zoNTBP#&Hk#HBI?UKM>QUzz#>u93rRKMfB7|{ z(?7276TTWfs+69<8L~&~9eIqbXNKj-pQ`|$Y#-w0+c8p#^5)bv+A_Y`R}@1`c^=fk z>V9%-MzQfeAg~gESgqX}Sko7d zuEyu!aNn0e|4V_$Y;vkk%oGo~w(@K)W)mP!Ye$E*y{0mHi@Yw$=`+y`*r6uwu1x+`IQOtW7a)I>_V1!EkWVz^@i$i$W5B)U zLgL{V#B3GsZoj4w-vE&pjO;cB;-;O1W1eYktXNkM2Dy*Sd;>~iz0RGkDUAA*ISZ)d z6ewQX-*Sttd^rTphOZ=@LE-WmIdg7S zVqeMNge4bF;oQ$^xP2uWm=}EI*3lC;S4qdm_hKx0iv5LCCZRe*xmXtp=*_Fnx%xgkFDZqMB zsD!TXbIafPo(Ijm1GXYa-)J7#Sa%1zJ`gFJkmkQo#F>m8wDRiNwT_#A;m23{bw0_V z)q!=F8qk{8z}stsS|h5L?>kic4(KD?%9%B~i#gc@y?&UB68Bj2G7t(KX zk6>J@Sh%Dsmv_b&na1Q9hGBXyS!7u*L+&%kPr;dn0s-=cH?e^su!rKtfyxiG56>kA z%rM~%a9U(I>B-~6bZx!oh0SWduF8tlEc47vF@qKYL%+sRqV^}mV0TTD*FSwyPr~Lp zdbgJp_y&+A^K=5O(c#!kU4Wj$PTq#PYyJpHbC`(s93>)FS4tqBAuRJu=G zK68J8W~Kgd{el)tAHXZ13G@yyvV+7lHR-c%IEQs+UX8|!T^gyN!G1LjdB^KH~@+=G{C=3U3Lc=Q-^D3Fo#U;B*YoAZYD9ws>D1Il^c@aZ7417mfv zTK?VL%-L+Ij#e0!tZKxZu~b!EWA+Bs?xoGm5y^o>zVydZo>m>G}U=lFg-jNYsN zwiMM=yHrE8Q{AG|Dj>j1@=DiQ3hwG{w22`ww^{i{)c;{2_m1jQ_yPG`#A|^%B+1C9 zVh2&to{A^XmZ(e#1d;x*A7TqhIjpN?)p}hX{+-_k|MExUeJ_k|UB*|!%{Q!kq52o- zANIwc`@n>$QuDdX^_A@5!|43O>V}?l-F`+1;{B1R)S|?8%rldn@0jk22V@|uYY=d+zn=Z{{lgI z^Aqf4&|07t^y^a+)M*LSBrR&krO2$aE=KPhsTH20)Qrhw=~teqnz#!`;ItU;k_c>Z zC;kO8X!#@}Kt#-%2O_oEA7i`5G;bx-;^unzc1D&z&wJR^h}ErUa8_)}m8ql;Lnxf` zRBs%QlC6p9p?pnQ%HBVNU7YFYd16U#>noV-FMh7Oiamvx_jnkZpEoNWY!rfkf zi3#~Fv^1Ogr!E5+f5uR@G||}LGi_wI3|msQcz9r!?IpI?0+sB)$AAlf(2K27jw znwr?_GP@;iTyoU}4nDHa;4Dmi$~Cn6CCw9Lxz$DYUnesil7n_!9zhSFu#q!D>j?vDs7V42kg za}Tn~SY^Si1;^F{u(PQy*CAqDk^14h(nrj3FF8)pc1aREa@RGEpJaH-wDZRtT-Kxz z7w@5o54l9?f+j7w^#r*|QA~ap(fJz_JAe5VXW$*#GtBZ)re+o|We5uNlFxe7HuN1n zMQ9owzKG?K0lr5b_LMUulAqk!RR!nKolu}y2{KRsK*(rPaQfEB#Fw7GBa>`ya&2m! z{YFM*hf!#ZubhLBM2^m$(chR;IN~0rzlH!0q#nRu4KdGxF~v_Yh0r(Hc@oVnr@Y@` z!RV0j@1z$_V!q+;Tg#)izMsLR%Qn}NOgu8xcGkZ3jvu8C8eE~zuHObWo%OPF7G`h` zeLEdvNXttj5N*9EgSni|ozwe}aV!0*3|9yidiA}aC9f3bRXBc!;_9VZU_t{i%~Ukx zVlO35$q;o#doAcG80_N7Pm;5;p>>EH3PUYRWywh3{rc($q}=@|7jUu-f7sGfkb1Sq0iY zTq3`YoXUj#xy);KS$^~T-wPw^h4Pw7o)XMk(>!sc(BHOFVmKcAOPx^Pn-C01;LdP~ zGo*@J!zN~{r09n|_2@!^vHjlKp4#9REtdj>afZd^Au1*+k1J4(cjq<5&_Kq*hcc#t zbE5-vVTvkrt0z4y$`a|lBBAsIF9MSoMSOMB5CmT?v36=hBobEx7oh!1> zc<`4B7H4A1Tf5EmgiSe@g5f%K%N-6vUGwXs#IS+BvyFBPRH-%PmR z&XDI)^iBdBye44;JD|zJB+A*hKrh0ytt5Z^RebmS(zYspeM*(W(iZ+LZq)4SIWb=m zBed|;J)UE;92V{4hiQJY#{TFvlBBVNe!Tfo3hjan0@R!wOZgE3e1ZM;RP86*^~&@`@+&EcxaQC*l0Eg9b?d zy5_cR009y~952cQ|0&gV{+JM6T&Z~v>@&fgt-t?;hvqlLy6E&6DZM6AW$J*}M`AWF zBCIlZZD++6%xhpqZ?bdna!*nzUNvKz9f*->5djQ_C`W+-I(|ZXig7o>`iB_ZtBZH( zlM~i+wLhLipQaFVl9Ubn&)OU`ZV=KqS6%NLZNSbhlyQb=9UnMZ6+ls8!69EUJdG3@ zI{=0;UeabZEB(ONsTRw4)o<$TgT?ZZhw1}oX8!dC}R77Vr%kG8&p;8W-HQb1p-;5$++Du1it z-@eJAx75ZkAW}m#v#QsJ&0O+c1 z4IcpJA2R>g_~aX&MSf`@S|PpW&z)7npJA|L;rN2N0ijjr$+Ea&7DZXu<1eMeJw!O) z8B7@oIOMgb-~nAprd7|}Y8V8({2&bYGW$BXB1q9q!syOu-$Wst6;+yUTA2CF=S_JF zic{aV5WD8~CcgqqmHu{&&^2|i91m_P3B^B3z098+u5Yi$GKykl36>HFAc=AkXu{zV(`<+H*-yz%$WJ*6rJUqhMG)(i9itCr`6^3mdw}|bp`hqh`oR7Ok3G|ky7>&kW08%{LA4ZNOd<+G3BJk<&=#*&o!Wf1A)F`9&rEH#CB`)Oms zy8SI^iw9rvn}w3hHbUx!kd;P*z~~f=RCeD_$bbX+oEQfmR{ z(?$T>-T@>+sOtyH{^Z~llyI%MmB>*aO{_4Db^Pa6fk!Nur#Ms^9vihqtvY1LGaB^| zuQV19=lGo;W*hdXvzdlGr068bY^aa6i4Jm@yNfDz9-ms%jYjCet$*Y zONnM*z2;c^0xp>dqM1|y$6tj@95nZDA9Et(lC%;s7LyDkqhBI_^w=JnJwZ+w$>%*C z8b>zj?0~GALGu`Zg>qi&|FN-jIwhe;t*(DIufT2`TfsXIr`cVWWucy7+2mqdq1D&# z%!!riVD3Sjeu*C`XUf30Jui3Qufw01)ebNDI)}{*{j#b%#!HQIUcUs3(yOmpBk15q zJV^bn{0)8B{4x<(^;Z_ONr`OW47=OPU&bFfHtJ6oTwzu>p)DMhJQbfKpKa=QXvjTTKuubt9??qd6AhS>iuYRit^aLfF8K&aO|~^;+pKy&|s~d_eh0rYV{RgUUOt9w`Jpi?8h zE5vI!5PPQa&I-MdR$bVqjXxIqeKnrVr(OO>wn4MY1-$cL!hWO5phDJF~`h4YTs5rgJm_Fwy4t#+l6!`_)MItVW}U;b~iY*vu(q ziRkH9M3%$G1j3IMq24cfULUaUEi3>P`}22}e?#5i@d95GUt|@etqLv@k7y5}+)m*k zTgt2n!yBKP8)>{6_5>;*DtkRoe1x`lT+M)ZJw*v#EEMWuApwzv}jEiTd|<5JiYa}oz(G^t*N)FI#pmXux<9n=X<(CQsN}fN^;8ogd-ixXe^gV7BApDHHQK5z zvaV>>3oX{juVoTcRWeTX5b{q_QY>__t)fJ;sf<=qpS*tNTm)%+(wU{}Xdd{iq`G<6 zrMyfGTL*eYYuZ;du*0?}pN(1la1h_pBJ6M!>!l%OQ^`=HK?mOb8X{<93-RyI%f^bC zeP=O>R>$wL4wa(xR!O{sH(2kUf~=nc;|x{Bg8QmVip?wT4I zhc_6b+nPOt+i2zJ`Xa)<)>w5^wy-M-@hK+^Fc8h?guD&xXQ^1V0+QzXo8)-w8O{oa*K+-=HNF)`i9ofbnl zNnDfLd5IvG&rsJ@)EGO0ib2oGqURN*v`J!Eet%3_y0EmUV`>ln1tI_|4Jg^kfb;tif6&j$PHgcVZmtyzgRziP|*QP*=6CqyW_J~ z%|;qiyqzjywb&6N7B|a0h}TO278S)I>s3j%8C#1b(g+*4|s$X96297L+BP2qh3zrKr z;!MC^mWV6c?H%}69_ZQ#dQB?eg}j zR*TZDeUrr}XO77gbB8VwMTk)TZgVw;?gZK8kQpI@Q$Sr#!SO3vbmF+ZyJi`UX}r*Y zf#YyA_gVdosk66sj4PxV&m8>Kk}*$JMYKP?k@k))ez{p9~=wyXZ~+5Q=?6 zW22*a)#5|>InCNmFRW}J@^{LlPjqHXNK?NpH13$AFMU1?dG?BCrJRG9Q7zMqsIIj$Dk*cViER3QMboD_3#(;SElMwHPBz*zS zj6!Ct@}A};-+$R0in5_x{$Z4nnOB0M@vXvV&Xlq$i!;T1)l3n6W9vO6J;Pq}b&BLx zK!#2KQ0)P))s^6>{F%+e*+?FL8xooze9bD-1sKNhl%wyc!x0_0)Zexa(G3plux?%Ho4g=stIuS zukq2(BY_M_5}!@`H&NI+GuozHt@}!QoYf-38I6D?sCp6oX;X);cE0-`G+)u{#9hHeHd?bq!^vU2o4B$XLvsgx%jY(fg445D00$}DB#Kt!!KJ( z2Z2!YQ4lipd9+&Bew?aGZu(Xb54XZS36yb3i#6lB7(mrjV1-Ix;);2Cg!2EDcR>|1H^fW)6>S&($&(IvV^|`;u15xUL8JAh7SW@+AhE+ zY52Uz8m{q~Uca2a0yu`kY0W|O9fyjV2H}eK-l<`oSqlu|*s)lVgvHbX=FXDj%!{CI zN{1A`SF$O#+`19-Voy{6_(-79dl@g|MdRQL*nXVCj3HONNm^}j&mwx-v3N1y-`y z@u?8xD`C*PWJD{;gQhaj6qx3z(7T-f&%G)CCkM=T-~WYo;=kb2{JV^u(6_?ww=$ap z;Xizu|0>2N|E;}7C$Il4Z0q12!y&Gi4F*82}7JQfZXLUv|G zZ8{-)8{7X>sA%M1<7EG>jZ63s@{Zzvh|2v3m*+p!;JCTzgdAA^O%qPYft`@`pFImX z{6jJCUj`xMz(7yP`VV2;@5cT`TkqRSl!1`-AK5Iv#r7Bp+5RahC(265{7(~6HbUlq zDf>3~SB<%E8wo2$LiT@Fkg)o$`)^Ik+uIm?YvpOu$qS3pDI2*ue%Jh73!#5rB(&*7 zTph)f{;?9@jfg3I_xw+pKQX26uK%@>|Cr`~@)9#Jv#~S$8)xbNkjCaebne8%wA3c5 z4O`$gp4Bpq>Tj^(-04u(8S0_p37;V&MfX-t&Iwb4e703YP93+oKqnnf_JM+xhf(y`Fn;b-!<4=CttjY<7PP z!E)?o4n^cU{Ixg+Q;dFm;M!&0hzPtZ@e3Ic*8hXp>p^kCu{B(XFc=qA! zet$>sX}H*4;OqXh$B|lBUDAhD)~{LYpE`Zu4S)LDAIbUhVt4WSJ3NpM&t*~;{MX*9 z;P$WbNG!PXhv!D7i?ny5yF160-#UlAPHHJeuDx-tESqvVNYTjW(dIPwMBFXbuv>-+ z6+KIiHsVrAJJF!#h|I*j2Or8+)aGV&0V6#8u4nQbx;N1Ks_~I=;4U}|F&7ct&~z7? z!YFN~9b&F#QTYLPN_C2u#irQes_TUJiI#Q_YZvFS_xm+XM~>H;LM(g0rGfZJG6)d$l6t2Le1!*8$vxmt##MmYUVT(q7z-t54V$ys-Qy-jdD` z2MTrYb27`;3p~#HWpGo8EHn_9#Pnj30?G{Io5=am;B&MzE*zWCt$yk<}rM`1N zWXc4YcCI8mRTQENwF91v{-Aet0F*ll-z%Z7XcGQK3>Q{5`{~AV5{wz>oUu9nF|NHX z_FASJM=mbpP@k43Zp!7Ki7AMPMtgy%uIXe&8AtYBIQWBuOBw)P9n5VRCja zQ60Ii-V;6kK*=P>i^b(R>AEn}S=7hE=~a(Nf8qgr-J}D1N0@+^DHFUiN6X}K&Skdk zRK_}@LnxgndUF}J6IK@(1iAkp4`X4w%oqh*>vSffa)-O^(SbZb9&@JPR8LI`k#*rk zX=UKo=1)HaT`7*&M$~5qu_g*9sDSiSNj?kC88}KpT>-MZ*?DX)oLDID=Swm^f=r^7 zi2JajWrs> zRCF50>LPccvK?nY_^ny%sg57e`dAhVpZnIqTjC{?TJ#a>*z6H&LoIf(15b!+n_gs) zXKKqN4I5@toT;MN4)nD;(ArV(wmA|7Je#a+56d_C>2#Lhw0gT|)%+WSi0@XD(sU@z3C#PpqvLUG|Nf~

      }>+C$I<;&3mOPt8N{4MQ zsNZikh;5j{6KE7xU9VXmj>}xdWjX~&XGw5=6}MJ+O~FA~Zw?DUG)aumRRAH`aeFe_ z)Kq>}dpmjq7&>o=g#ZOrz$_s#Kw=^fKj-8qD;sQ*H>FBwajal4*Tk}bTN~?NQz-Hv zennop@>LgUH+Pw?lo;+ZB+ekOypuIx;Sba+45zS1wc*w{=WV0y4`PGtw?&*}sNzQr z%7*pUGQCKfIyuF@ET(r2tKsO*FWZ&nb#~->FgVGxRB0l#W@wN}9jtlTLFM9}7PgGbAT}+L=_3|<{K`oDB z7@Z@%#{NzNpRV*n50|Jm$?8h5cJ+6n7N%EfO-nmHIpqQQ51VxYg8keX!uZOU4PMN& zCbLuW#NRwea5Dw+mDy<#A{x z(cII8+d0AW(Q~Y@dcW-$0`~_;s6?!+B_~Kr%a{#Z>Vh~LcJ0(=;mg61F$UvL+&=1a8CT$)QchV zhphpLw;aqks!A3E@l5#yu-buX4is(G0+y5AQ0R|kuC;7E$~sTXeu{B%R?FIZ-srk2 z9YVBQ$Es-Ee@_4$9d^+TB@MIC9=7t+#y*;otJ6O(xIPt@L=6Y(-XAl^bzDvRQmrbC z=QcU-J={c`syAWZ*D?Tx$%{^Z_&J#K9ASpXph_2m2(Wb|y(BSYfI}MqDKxk@Ls#nR z&LlqCILQ>#$oAUfB4zLQ$-__fm!cG4v=%LiSZ)Ok)Vx=1L*|<7xcDN4m3h>2>Hq^6 zY!Vf}I>?0NdmTNat7C9zz?^k4ouKMg*`93=jn?D!zP|vD?-nZ@Z@h4$fR)BIpXmS? zYqrfoo^fQoVwVFJ1#(1C=kD3Un7eqtxLT8lgXfd?(I)#WYEeDb*ah@|*z6{&|XCtjHVSuRtEEb*EeBmo)VoxS-;!s`ht40Y=1f36uM z{Tf{L(>vS?t1Sl46;czfUxQ&gk0W_~%2xoeiK=9(eFw^VMOw1h_BvSQY&cU)qlmG< zTl?VeQrM~~wUtL@pek_+NwXVoTWcgGAb!-_c~tI>BG%BLBjFW0dLwe@7!afy?B zZPno?0~cYdSdAV#6Ydk-3&4Jz#UHiP;z7<(26!8O24%06ojKnExnBH;dk8Y1#EAkF zMZF%BOWEZD}kkiCg*QlRkz1qQ94 zgOLSO-^zJv5J7$zZ;L7lqBung!G;}8@ADa+OtaPa6J2F>;cfSj};t+Ny!=?eh zJdfk^`TQKAL&aGL`s*Ho{c$-x_xJCsE+chKEJ7)&Ptx{?LYMn66g(^7bvDizdZf~k z{u!#qTKR#j4NPUPmB)XLzl|3f%G zV5FIu+0-zla|QUty{Kza#wb2e&oMg~W+|D?m)Rgi$973KD< z1o{f&^U941`!R+w@dn*oV_E#T0_w?5+XOld7=Hf z93Hb!ZK@u|6*m`SH$Rrzd0p|3{F59~tOnj)=rvo6OR2K|MWa63W4x<#2!?ci6DgiX zVFc`8oL(GgL#e{9jKC30?sCo_KY?pfuQ)0_pu(TlS}2?#s%7xiJcB&c0FNLn$!@+OXJZ>}?uDknnuulySC(^-(F;A}@SG^MBy z4ZpH?2Z?RE3|6qrk=<~B#=4y4xBAKxRhIF9aEsmUCu*|pzP8#mN4CIaZk7wwOvQ<% zHSJ?DpkJ#~B5YIpB8@(gY&V|ry!c0EhI>=z7-2CHtx6``B)(n7K5GW48hh+}lFYlE za(8=1z)we98GAmyeO}zfN({=0b(zJ>83l`GI0n0W&j3WkkycN~!0iXMrH?X3l=#ND?DF)%jl9p#dj0Z_J;^VOfs9&3C(xQQ<< z4a8wHL7`E5bsvV2YQvJ3z>BomGbU@D+A?syIy7?~weGIITx2}o;_4}eES+M@_(9dP zlGU+qd{(XT46$k~q-i(L8?t7lrZs-mTnjh_dBhVwjVUTktC}WWOIhc%)=KYuX+kz*(6d%V>!g7M5-7M?1ovBWx z4r78IrnwLWXP9Wxhc(3_P{(;HP|Z&Kh(gDm*DrzhxregO)HuW^0lRvHyFLriwIB-h-%P5W4L0ORP-Wn~y6FJ0mY`aih2M$JscPMq=*oe_63Sbk!gs-35l&$d&OhrDQM zlgju`d=w3;ER~YK`wz7EwqPQDKp_yNrG6sz#DMaYKf3;UGH1k+*GyL#^`B>83QMA< zF|d%6scH4cY;4-5X)@tXXv$gKftKo6PMtpMTbAmiYQb^BM-k(T(P~Mb1tj!0tz@in z9n0o%7T+n^B&%__gOaQH2jTBd=?uT4T3t~Qie+4{k~JdjeSx((y}L%VW#_%$!z=u9 zc4HT9JRS?Y@TObjb-wTkU&U4&sUl9Tt-N;mv;P{&_6H`aK`Xt)kI*H~wB4XKgoAFe zmBk;q6=;g|4x@uht={{DKzewPMBS$0a!OyR-D#`PZci>+JIZ7%1N2T`j3kc$-K6f* znOh2VHV5~;!DOrglpI6K6BiXfl2RZ)w%Nt(=Y|L5rZOy$cxvQG4j6h#f=W^ywQ)o> zIeKzWZucN9tiz*~xwF+dz75CZ>uoX*SKbnP)y}gWH>zuz?mao=+P3ows^lHa(Zx3Y z%%g1f5!`sRgxkhGQP%X2AnTSWF!w@-1!L4Wv0ha+cOtuw4Os*}HuHu)kveAv7{0d z33NnOF`KHcOYVPfdF&@QA^fK2>*xX&TCo^}LeA!u-l=6k%u4RSI66*vq*apu(_sNC z=Ey?JhF+%Hx;w~E!uJz6_VCRC32d6%h+nT?qj2oOYn%rVQ(7$>t*5R(d)=WwY?i8}E>@R_Wn0Ze2FgGiY46~EFSAaL>4dG^ug z4IU@&w>C3gdo0x^tVu_ZI{&CWD6kS*cQu^-QlJtDCgmHA&_Ah7J&Z?XKX4cK*zIvK z7FKnMvAp9qR&nCFL&B;%i^8oG87gDbQ;d?g(F1bCf0&&-F$YyDurUV}Q>aW`>lK-U zDQJB@lJqeiU+?6kC@N!}q|W>P*VZv1q2PSH?~o!z#(IU{ zIVxgsX?|>5+Iq*D#@Zf~fr{}ELa?!kmAC0KPe5B?-+PO+;2e&*)ZM@&eQT%Xdn6ZlZ^dl3 ztQ@hYa9Wcr=C|zheZSKLBHY+){#Yy7%+Oe&;5uq%_!}G_Dz4vgl`z4>B~E0QzdH8= zB~_onlNuUuR5;9(VJk?q(cP%KNoggmBTYcQR;cL5Qk{kQ86*)Lnymt)h9b%C8rh_>JKp(e;_eYszNz_}W{+1D;J8L>XtQ2O2N^x7~cO!A9 z9QGAm2q-_8Vcb{w@vG4PWJv7Suvm~NXNn(u!#hH`nFTPg7#?%MQQUjBrlk!*H(MEh z6Hjc;%?jD8)CxP`cZ4?jE5+9o!cBg$$u06hqA{J0Cep~>BH4MhMVi^Wl^SHI^?)Dy zQ&-9AW8X=G-_f7ZOi+!-@KVJUlToDn@jJLr1e@wXM+7G=x!w{_1KgJW?k+xXAt`_C zhDdY!W(Lce&{IG@oYt6RxX1*2NTZSkWN_o8drlhhI^3h_uAAEWe;-TA?0s;C* zR?MZC^EqP4eT7z4re>`H@QEiAUb)zdbv5@F9vdB3FjLdArPKl59qRa0J1avD8^H0r z)YgTvRf1k&igIc?v!sznef&`n%H!Ol)g&HFP&Hj}dhS%oA{tFX0GO@NRk5EIdEU7OWBoY$Rno5ZZw8^xB}Z9>c_ey=9)Ne5q) z3H6{ZMX^*&Od2p^AAle)Fp&Q=(Sl5kFsg0Qfb9>yNmRbdVd}`3yeH{H9o(|sRwES= zKV$?pw|sZQ0N?XAkkca&Y5qY>5g+C+#l%3Da=kMV8JHk#{xg$akKHE7fK7=Pvow3= z3C2AH4GBC79!jm?yeuEej4Iu~aUCt`uIIB8x%((oL9bZ>8f)qvPNhfyyh1r!N8C|! zEn_vp7Oxb_Kqqe@WjWFjC2a!dz6B>cWA*mYx1|0phDA!>G$lxr#9W~Xtq{y_8DI1; zx!=Cb|%S2Eo z-6UoaoEU7=7(_#oOV0h7IHTf@f18`0o5p~AL?x>7lxTb!iVP8)7Tfi75oN$qSpkT3 z>CY@o6W#{_$|Q~Y(5gEA)eMnxIv1_0Xzg=;eCb~pu?#oXBn6ZssVO*x;M%Ez20(7R zOT~{M6^m`{ON@}N0Jw|7#rc%1Mz(G%NHHowhQC#C9D*7a*->H+jbdjQ=`;q-HM#pc zTR2LbaOq(aD7sVylekm9;I{xcODPb}3gi5R@y!HFM~qbZ^4Q@-Sf*)+Gtk0E2;!)V zDJ908BUKOYB!-h8w`atCW`lcZc*j#gwp_;@tyEYgu{YH-=YTWrE(WXkod;5Q)F8DS ziDXD{Q%b5|0^eS;AT-nXQ3Wvrv?Ww9zz=|5H&4-_U=bBLqcS5sS}5**?=}jT zCrP8kmQ@Y0*_?U)K+Vldx8$*$si1)0Ip?q0h_;8#++vlh034o)@->S5Xxj6RwiUifa{Vr=xMMr!GW6wy>j zj36uKN$M&U%zVKRql{dR!qffM_vt831ST-nq!}D}7&xYOvmRf9vg;)kO{b*QV;>Tr zc5*sJB>@Q$3smOMIP59&BT&EXQ1{wG9c4X9ENOn#BAvTziayBKkCd93S>Hfkr$})8 zC|r0S9o8a9UwC2R;n0ft_X@Q+5pg)VKujWC(dE?Ku|2_Pm;x#>6juHrSRdtd2h<}4 z%8Y3!%h1)!?23|58iG^uB@qEmM6sGP^}3cT1A_h%aA;}A0ksEl@F|12Mj~?^1^m-J zo@oPt5E&T_{pOW0*A5wkxE$uM;$~*K-J`zXnqs1;G#;7z(8HAC#*~L0CEJe-lT}Y zpWa-JC;_@XI6fY4uHKxem)WGWtgC%E0uSZhZf&Fh-P8=!d~|$GE6X=uXG{v5EQfJP~pn^Yvy{S#rnR5o#cq;fzFij1M(5ymf47V#?lb=S+i&_)1-h~9N) zLUy_}Xz_gFf8(hJ=9Wz{pX>>n348>o)|(2q75oMXer{pgV-R3u#x<2B6RDS*qjsAl zHLwT4hBoaJq{ywI*^YE|uK$jLQuLHG;30dgG3N&}Jp=V@5lfLUZ7wYur1NSB!B=r9byK z;C;+&oQ0xN%4Li(Y`0OzqC*UKh6&?ePE0P*eaB7Q9$ST@%H+#_G83vU;W|MIANOoQ z+g4RBjVbP}^&P~j-sw9=x~TH;!N{Jmi3!9?ZeBTyKV_Lf?o|N&*wVilT4qx`PcofB zuwV!$J~tW>?xsSB-%(z$npP4aJC1MlyVw0W+S?C4*gnPhBfC=F);4Z{)#|_&WT>6e z0qIDsMmy#mT{cF-ZKP1J(0Y?G$&vd0h4_pSG}6vx{(bi(Ui5MNKyzD^bV{||U#nhx zkJfyAkXL{WMz5<9G5$rs_sm>nxw#iLQ%yla)^>8`G7ECR!0TiR)I4=ouby)m55abU zt%;r)AWlBy*B>th5jTAKmO>Y?U3~;==X8I6eLr-(P8UINroA%HCAUB4L@Z>pa9AvP zHe9PkgHvu#o8bQf+&kiU#Ec@$K~_g1cq%B;#eKq{!|junKwK)UIL$Rff1a@)rw7r0O0SIHh#mt1bjAHBE%Bw=ii$E z9zH%a+I+x?;O;hu_O&58fKW1w8@@H^xg79=&j*dLL*_^9k;!5VVTW2EI`p3c{6QYG0vHkW-zwNl30H%={qEBF_uFm zSei&X_*w%u7IPSG$>pFuj!6V1=t%3F`z(*(D+H9}16{=UM;3XnHyg#rfMkk1JI#{B zZ9R4w$yp0XS}k_Z4?#|IhPq@J#OD?6dOrM-5U z9}cTFXy(hES>3JYQ`Oyggu)Ma)O#3K0@}#^hlglkr;7^CblopLy12#F=e}r@?(Vv( zBThI{FfOlfg}yKyXgaJ?3C%I%#v$DLs_JfX`C#4=O=uOoIy5@Xj^4k@3^=DP%f}Le zRFy!#hwA^(ge?Sdh)&$Drl_wi6E~`B!;w{pggsTr!;p3DIL$Wivpy*ycV#cHOYYpI zl_}c1F@rRH?=hZCg|pA|B{#f&_GGp7(zVZU1$Bao54p;=VBPCy^u4tchb9wG9 zOA^22k*2C5see6m5Vf$&Y0h;VNpRLVvUiDpp0JwF9LVR1hTKg-e|LPiFHj`EB@F$6cfZo2Y7hT6K-NsF{7CRgi@>ItLcq%$GT{ zuccu#dfH9C{xwR@P!rjnu$b_fJr{^LSnXoeTezC!sILaY_r)CkZmv$5NO7+O2XML!#EuDpvDG(-j*(-?$3u+pdLfx5ed_FGtoit?U-76laAi@uNfSstfO6_SqlxBuoJ!6K~RnX9gAWv8)5~AWFu-W6Z!Jz=+TSR|FYD!<;&`H<9$Jn_I$ZJ(=)g z8|pm6i}pu4i|pJSeAZ>maEllf-(d{5F*-^th~Ep`p$J27LxZ7KJa^H^*V552_c*iz z(OM)yH{{_Eq&cTi9Q`ym7(;Y_^{L8|2L}&}TPc_y1969*kR_sU*MB3-z|CJ1pN6gI8Zx=he&QEUp3X!t{)E5uM90b@nxh6xk4G*@oTRls!>U9Gcj>j$0kS;HWBS(a&1eW^^di2{~Pj5T=9$&Th1Ci@;R zwD{@vWxk1Qo0n<5my32J`yGb!_|y-+O*6rViAQML4~DA0-sb=?jvY+5QX9wV(L^W2 zJxr(E-v(V!Nq>f|PPlYthp)<31i}c2HtACqd z#i^E;q%Xz%L}LM70J>cM=A4j{hM2|hm1cYa?b>2#e&(J@AXq%`A(9?NRbuqXH(n0B?jh(L$)P@ zEbfPGIemKm9^YhV8R$B72}9w=dV0YE$Z$6X*u&`FeqnrabD3i5*wQZjb#GWTzQIA) z%T3aJR>SAKBI`{i5w#(3g(}Y($x5S08*byM@b!*?h&2zZ7OrSC{q*cl@4HDP%Z-ms z^RgpHf117A%zk~J_i|HhT}r|`H810Dc#);3Ub^$QXA5DS6x`ytjl^?V)7(y%=uSg-Ct)APeuIr=_)v*Pz)i z@CNW^?Y~^H#;eMZ$V(jv8dL%_VO#0EQP|P|FBvxE`G9=A>Jn=@Wn=;=5o; zLbeBwTMOk0o2>!A{~#%uwwkBz8t^4+VE(Gm$(Cw;RzPF<`?2NHk5!iG zS+RQHh&I$H-Y&tQ!SG4>j4Py`XmTn3OcBpAsh}^fC=<6k=Vh*E$DAmh+rqg3%g_dx zkEyE_K}afqP#*gnMB?RBWyhjt#h_S1<^5Rzd@UMz6jqRKh0wB%TXN#Ga#ZxXonXV= zvRTPE1dByPcUFIdTP{WWo+hQ)pzDQ7p))SB2g&d8ab>_o4>}+BHsezuM>D;2# zq<4B7o{aA~7&%7P6CmGNU-WkHl!ok!n!F3$LEiK9E;ekM*}r(LPGJyGF+rYb6~%MH zk0dj=S7e(5N%X{bzyS`Z^Yns>hvVjj7-yF)5_J^3)07O_RtF#noa(iL^*r^SOw~>8 zWva0j0jnA1ln(5JhHJYq*}@1&RekKIVk0TWFg)`Z_N>!ylB=Ba53U2&@NJZ+s0WvQ zNYoDk`o!-QLn~_REv`1tdp8TMMU0Gx>Nv>S$V)emux&8rL56A%!XxxkL;}I~YT10C zEyC1XkeFM{TH(%l#(=38>i_H|o01w_zYe;MJ%&^^i0<5JY@3q#8ePZEk*B~?~8)nluOR!A76 z6IlH55O9pW8Qr+bMA)FdkBGgp_X;|#x7_th&R{ek>lS^ZCnm22-OM+DO-N(X5jZ|e z6_mXY5(62eX>W_nh0wQ-2}*#{H=OWOkh7?*1?|M<+u6T3rp>%2%S^p&1yR}>s{}}F z(l0U3*>hHjF*q=WRqBU0%u#1q9qQ@85`w#jbNL&70}umn7hi{N15QR6;Arcg7}Ee0 z=S72;8j+7J@z5)02#RnG4^2_KLlU(pV1RgFSB`qS#4OZ2uA zUj-@d8QMM+s5X)SmULu$SNVv&6AOqNOTgUR9b?dP`jfd3j#vr;ecgMTPm>| zgVbR%TIYi@JJjIga;!Q%==H23KKLEA&|;$FWIASZZxVxqBZ`;lIeFt|<70`SYiE<~ znl?DHemP=DD#*`mz95RsM2WcyFhh}dRcF<^8mp2(dTDf+SEjjjl2mnRT5^>RRp?ZW z?&m$z+T%tDqv*nh6?qtO8<7Fgf33X$Q6I?VZ6*^BB!UC-D6|R?3eV-H~Uz71Q3H=sNzS) zwk(F|3*9Gkud!+>#*`XBRLcAXP-={odu%U{BP#ea&x968ub-fT$#*_s+N24mgV)@} zh)Y#q@QR+5bQs-^i`isVqinn}EUUv9csAWc%ArPjzw1)5>h|-ktnc$)Cj4vLO#t-g zO&YP`4PLSQbH zzb&tU>L){s`0tANHtUlwe)eGTW`umGZ!W}=ffhX9HZVX#pGNFhZtHlShVSIhl^o{? zk~MS4vxdxrc<8qh%a&&45?EoT;Rc^`Dmx)Lg}b)kRiku%tfM$0?}SQqxd;U*-nvsp zT)P30rW~x3ZTNV~hzN2~g!Uz1jTnuOYmB0O?4rl0!PwO(iC-vKl|GDC1HTplBGa|_ zB4;(248aYMfz7wHHT>?TN-mfv{$7KcU&qO#;{_YASCXQ1Y}aD4ic$(|HH^KDX0_bh*XQb_A;zm<93BU4P%+2PF%)PL?>HC=-D_iYxK>0me{BfWGQdqOE zj8qjzLXlR9QZ7pgstEiOu^;FaaTD>*2pcu;&nsfF$Scqzdg#w%kJ028tx>cnzEL!4 zi?dRH^PPGChs9|9E_1*76S0v7X~e4j+oo;*G~b);6V2&S@-w3|)c#-!pbSU#PDhyA z*5w-^ZTM|~vW;7P8AiKpTT0m1VM=_6nQ;lyD_F*--Wug;!Ox*%x|2c z9cvBz16_Av&*n}mMA2E_z%;yT(Kp2}DrFJ7ayhJP`|{LeF!o8jsV4ke<|Kq;ePz)Q zA*tninz;6LSRp}c=Je$*hP*0n&$fWgeo)Rd$qx$dPu8Mi#w%+0;|e@2N=d|+&Q7Pe zTfu1`T-k-r&Pluco&jD@(J>;3O4D&4`PdJMYg-9?q6k$x+QhM*X@de@0TNNOfWIh5clQK z6rY}=%^!U_wh%MCQoX z0W@wl6>hP)PvthM?1LaOyzM3#Bku+6#zqhIzV2sg zFQi&l3Cb!hV9tWt{KMc!FPd^cU>MOV|5Fz5IpOy-~X!L2Z;a zN6V=w?wi%p0t>7zyDv|1Tx@og1E#nDAPoBgZyu7JN7^TVfhfut$I;KFfU*z}pDddjK-TVLA)qkS)zZL)AK={92_CLq*e;o+_57C7+vNrrF753le z|0>}me=^h2;W7PF%Ks-U%!tSOAD~S0zuEBr84Lf2U>3aph~J-x z74N?cSj>v;rvd+!_>=e({8Zq7Vmds!{}%C+PXE(V|0|sVkM3U=`A>dEJi7ln=AS%F zcy#|cCI9xrj7RsssPa$n(?|Y$ME`fK^1l-Pd&d7~c||%pW+vAEvsJD$WI_06m5C@l zh2={hRDqb`P(gle;MzL+)aKKG&_R1=Pf(sZK2K1-6-kScD;afmeY`w-etbMWZKqGW zOQ&xR$KG_mrjmR;{>f~;tImIRcILUtZl?MBW;y))-THa?^d7yQ%yaYy7x%m4&~0do zGfMr9?E{@H)7w*b7`H2G{|g1Td+XclojP;0*85mzb%>Mu%jJC%zMG@#dp!E@lycYo zw)clS)_IGat6A~uY%c6n-_b>4`@Gs)$=b(qw)fYt-o{fuxQ#{IWyT_d_r$vChZJ{^ z;>a+~aAkvglw#DGN$D_&Eyt#%UY@$|nVF!g_G3RLJl9q8+DPz4C?C z#QAq3)Gp_#0cXFLj*{^{#e1&DqvzR!dB*#t4hzL$1=9AVW5$acmFjTn!o5-ME*zeW zR0#STB%9Dj&CbkQe$0>FYhSyr2-!z6nt{6#gTE0)l?lVvq^`23T=VU+lin< z+fGTQO;gciPwPDnCx^2_4!_TxgiX&}l#Mo(z=3Dek|`z)yQ*N}&OgD` zq#A%ROaKLVz+E7&4G1#{H_rcr`TI0aw=GAQD)wn&fW$aTE9Ujif z_xDp=dg6!^e$6#%&h21Ea;t%zJaqb)K3edh8bbNij{f3o6P;XplU{Y<8arBnmgLKv z8%cZ(-C?oQ-RI-sO6Y+(QZKUuY?BsBkpGKsb~y%ojjAO3AFLdy$*wBi! zKrR^oFcFDOoG`HYk1JpOmjB_(SpuIukyC`0?Q=Q0No8@S@ARSA|yBiY2>nX5Y1S+oP9MB7j+2jMoT90?NjTB63cV{U&3EqFu( zI873=C)swEm+8Ju2%B_QM@I%0^}$?HveJeHn@>>2bNMf1F!jk_z#@7LhNY?)kip^B&UjNlc#x?jZmc35?~XL;aD@@mz{UZVU_9YWPb z2wA$pH|iAGZ~07IA51#LOKN%wlh@dipWmlP(i=4o71hqvTmV59gICtjL1%&OC|$KK zg{T+nPz#v5a3gAgAks&jtsy^%>@|&gnO_iI= z{KpmszBPp>aTdJ9Bdk|nleh3gV8pF!hfq_R*_iHk9jL$6Pa0+n-IUk2+xesW2iLDY zftvb>-n1Lwp%M}Vu%^WoXfY0ivjO?*JG!~DYAAn+$Q$IR%dygy-VC+`dc>fyuGAIGWFv3WOg2>G^1o;~ROl4{;y-4&*cVaY(?E}g zG{MAD*!W1*2ei9kNLeefcn--^F1Q+D{KqWUbJsJ3!-E#qmI!;I)Z2!KvwKeYozGlA5So5c4=3^a?4zE7+aIt{Vd?P6)xA5|zAr z%ELrSNnt>yDW%m`AN`1B{1z=oMfD*{r7+X{)JoGLKnvQ|3O>YGY40k&dVOPq1vzvf z6fwXPHs`*^@eE83wm?Z(?hT6>1k}1#6XqFEI@RJJMgtbAFADmHqT?`R(?e8)@)1(80ZxJkRW(00aftVKPZvhT*l~NDBp>J!2azJ=rHR z4b2+7@=ywDq&Vmmx7b*q{)_;PR)`%6f(3j=YO}ZncFbDS5Q8Z}V+tyHG?Iv-F{%}Y zu->l_7jo#3f7I~xt-Pj6H52U4h{R!QGcQ71f13~8h-7)8vGDy}g*Ej;Vs0uXA9Ihs zHY;QC@z>@~qQb&;aEmWo*Rh5It z^JIx4YG`r*tQsw<0q;(*ZD|>w*prBY4PrG}PCBAiIGrBV3^yMop(P4VYG}XmHl^3T z;e@Nyya^+F#h(@qc?~T$`y=cdpm5=O(o`Ds`!Uh`S1vFzTU3Oi(sGf#Hn0v%Beq1Y z4qM;rg^Plqh|^A?>1`F9CUj3V|8pZk$zNJTnN|nFAqG18LJc~*qJ3q$`dX+7OC2ar zrVnKaN6N@yj$C`+mMRiahAc4a$H?_?F}~kP)AOP+LNchMpS~dl7sq8+N{I*4^+sWN z8|2Ca>eaOhxi|96Yewe|10qX0jtW?1*5DsQ3lqkkV8aaTi4`IU%E9XX;N@S`pA$oa!R8O1 zl%g3U|Ijj(HT5k4f!ApW)RhEj>3N)hy6lxeTstfJLQjbVeZH``0wRO2cKQL(g?!?a zV$Pz4)9M}pj}uZy&oUnee0}zT>X3$;AoevT3Vh`p-mf@`{MuQEG=8fJXjKHJF*3?e%D5~T zXRY79vNmiVzk_oF2e@I+0Yk(CD-I^q+=tWzP<`TB(j6-fAMA`P&h==*ktL_PthACB zSo*~UOBA8utBRTbGs}nOXaJd^1rHh7t%{hjofki5+57$cc^i?u3mjBS_~|Zq`|9z& zJNhqaRUEXZjcic&X!U-{&N0SadE+(Cs5ooea4(MF@6_eUFoJ_6K?7f1(M>l`9CzD_ zL6>O^u7YjNnn#fJqMHSW)?r_WD&|~l9AxKdIxi<{6=)Eq1fXthPn8t4_CI2OOrVC# zw_%T3x&nc^uIkYjt}veFn4Dr~O`}%GD^s`{IiJVu{1=y6+KEO9jzXsivA}#9LT8N zQo$Gd-l&5F>bNR?z;cKbf z^;50-?CKf=`sC?r`UPhf&8hW+j;vLp9fS`gM!0kR{ospZR|7bK7JeV|y0#<(jmc08 zKou;DP&}A!xUGQY@YdT_vw{VE3l{@!nAxQE?6C0zYHJ!Co@~(Z)KD$a-2txIb!ddj z)<|m%MdP#QGpydZQB0Wz4p*a`Ww`j{i>4Vcg!Q&e`glTg>Xv8ZX7WU)H>>n`z8+*Z zM3>>lNTTIKpWvuxXnBe)Dr|fK7kvys^uHa*5U%U!C&_zQ3dym@g0cIDHrZ1S=#Nt= zAtG~k$@6wOjxx<9p#G8N9u$&t@t;Y2Ca_Z3-O^uwSBtd*!2XbBJ)Kft)>P3VvR`7) zO~_82;k9#avO;!}y=XENOKI+Nu?dn)H}!rB&u^vI$y8W=Q~S0;i4q`F{SMd{1uE== zLA<#4dme_u>eH-c5Y<}_oC0Xy*)9-sRTZ- z(yZ!AbNowxteI`P`7juDm+^Y&vDjD!$+mOSq|`9 zd%}uLHl53IXwzFaBOIktz^OPu|LmX1QOOonKcYMBo}h+4(+l`vi$G@a#qt#Z$BPvN zTtNTE2pf!eAm0;+z0Do=y20<-+*eLk26stkZuYj9UY5i_9v6YwtEoZ$lUL2 z5ReG*?@VcWx?0XJU1-^uOioMCf=)e;?}P{XUW`p4vUMGnT_R%HXgvKa=5fZ)rD7~@Y?biu?rkAs;RWW8P)lU=h8}|t|p8TP`k*kRtFlIlA zy=WVr>o`*01^tn4g>oilh92ngOY$2`(#yR*xKn(cMA?IYJwI^GpShbsN%J>PD=MnT z2+<>rxT6fhE`2_VBBsv^F1O>XE6Pc$iWG$3i#U}+DOZqbQ&X(ASIwK1e>$35a5&2< z$CbQ2fG^m~yJST#EZS=|l#Zo!kAxpg)fBv9s}$=|V;`N?U(3%rr*ZO2TMpeyDKl=u^XcN_0455ub zBa!+~L`R-Mi3^7n_hnQ{ay3?OK0UGZgRi3U$ zQCBjqK&Y33=SJx-q^TY2)AUNmRfPlYGAo%7QJSlOcO?!j1;e?w@|m1b%41 zS$v@G=(7dGfv41Z)_`GucW*AWb9(s9}PE9&FBn%ntv?|(4%eHZh*z9Aww*)66J@V7nk#5 zb^C=neNrN%E=dR5n+7Bp!j@`47aCOGy|Bnkgm|2qRap+zjC&0EsU0yv=Rnwot%9em z%m3Jz0Xnv5MH%cdX0s{mWyZ3Vlp#g^U>3h@oUgq(wC>m4YPi_UrQ8|jEJckd{JxZH z3>?LMZq2N_W{H)%<;Na93EpelVE*i?SP1^-a!z(_O3E~%1kYC3~t-px(=ISP+7d0 zW00_EO-gU@jK!$H{Ry_8@@CD7e!S=`0>}_Ouj_e}xa1o233E2L@S>4pi%ZoCBxKA}8x#j5KZ>dpje8=>wp z58zNWuixT$Cs-;o3@Fm;Jnb5Js(E?HzTVlMd_Qm3lSXtMn-juYjcBl_={}%lV^Q#E`8VzL&-&p|nn1*Q<3!JjhTWo(EMSXgtdzXN8xnOiRQ$xisJOM4 z;xdaK1}t6qD2rX}3o5mgH~g~gt7Ufq{6~CzA}x8(Rv~Au>Lgv5fOl{=J73tgw>N^7 z3@)INve(x#y$%_)z7{78Snkq&BK^3dS=O$nIv+O<*jH974ph-@fK$Z4Mg(nMnZBku0HE5!TvWJD{k?VU3d!Jd0y$K5-*4ohnNHCvP%55%?ZN zCEp1=1L5$f<7jWEn;2Y1<`6#H&82ekLC+w6(NYL7gXCFQKWVYsUuT^-f9uoWqn!1( zIcyS|<)!9td-naAp0w#TazcCiO{9?l2AH8Nodb0+=$z zOu`jKZJqX2x~QS-Z86*FgntFuS1C>dLuXv>Y1m!j#Bs$)LI%zCx)i5~cgoyoC4*`P zRwv1dkX*rCVFZ9L`>-Q-Xc;C-8z7j(n5bjR4f&>ZnVc#HGxKtdgf}@h;o^q^Ex7ti)USCa)2lgH<$z7(6J%8!s;9pFIRjwJIN_~Q&5)O(;6b8lp6iK{u3cwNn`#hftIFPML_NPN^Qc;;>$rUPf0=^J- zA!VT2Q@EmiQBxy!)G&ZLM$Fi9!MY28O3C^`>t~6ST|s(=DUT4*EO$ksl;xOOD9^op zxq1IzM0{}4Gq8+k^8kh#mF=2$fJWPn)?XOFT>WUPNaK=7dV;!vVr4A!T{IY4P|Nof zOun>^-5o6un8m}P4&U|@9%y9;sW`@k9qFWs^$tC3IV8COA7xi3v|Qk219#}AQ_h|) zYMId{%?i0<3qD$MwhGlHfvn!Q1SEoWzIHe49*wg4c?-ji2_*~JK2Hr>ES$n+H*+Iy5JKXMu39PG+1)=g3v&B_PF0mgxDoV!O8*%SnL z0~5SYVa?SWNMB=a915`)d1K3l$N5JtKV|?tIiDWCB1_?a3}wYQO`|$5`L1fGnwb(F zyWAK}^^1{EA$^#kuwDo5voHv!lvK|=YnI|eW&W%-Os``L`h9{Hw$R*L~dZ%xn2~z$ykju$&98QQCkbGL`%#t&`4#q#y%JX5j%q=xxN+LG+Ol7^I}1!i919DFfEigk>#f zc*e29$j|_Un_@TdcY9ipG_|ng!9uHvsuv~-N;4r4#4nB^;7_&fv4feWk3o&R` zRwe|RhpbwoK#49`oiS;LuHt2c-e!ZOxUA}>(r_u29q)8JVq)pEP-gmWoBc86SJ(>^ z{7Gu`lj4}@!7L9g0Ol!*@*TOUj(HWHrtykn^FxxuS~a{}>03%IoVIQt&X>SO^tt@} z;(MF!d`eTT)XQSfU^l}PkOxZIN>~+JOJ^Hrm^;h%`XpAdT^9xU_f{mVYY1Vl*H)yz z#x(BDIZ<>$00ruiC!zo{+j_hlm9H`w8R$&!8rjdsW`R^^P}#Y7DI1JXS%2bGQr7#PdKL5l00T^uW*!y%h3y3AVQii|rb zBAb%gySLQZ6>cA~eGR1-xJKs+fF_;5iA<-^j#4N_rTN|?Se*?WY&&SsPZWzH-Byxa zLOh1XFhU|4u4aO~H~Ws;@F45Xrx;u1Wng%7aiw8;7p7h5aMJ->haz6hLXR=+t{%X~ zwn7?j%ySgl)u7?G!7+b{Wumn?*zfOtC>Ouo0Mg?g4sxs~^(Bg9T2&R4A%VK_dc(JY z_VsnCviz83%K#Pr1F=I#l(IyAq!_bGBDiZ*YZzYc*3qSYu+DT$fG&7fN?qqB_`_W{ zm2yoSA5Qch@y?V)53EVV&Vl({>|dw5HXx?IxnmZWl9un-YC8@g{<7^hB0jjZG@TTq z&6_g8J;fvBEof0W3(C}j({Ay%OvSIpEcY`ep{1T5(vqQ@>|Ukmp8cLx1Iops*Xfn< z-HDB`jGF*A(h+YRv^EvU4eA-s1BEY&UN91Vn{c0aUW^w~HO#NuPEPzK-p9I&z)&iA zK+7p(K<4o0=*F+BDVFN7gaj+4$A8HJnc?Sx+MyU2e1`5}%aE=-kTg$3o^DxMt-dH& zRY=Lwpnt3{S1!JX+BT3!l8Dl;OW9cVw}|I%rHxdQ2+<M`4j9J^EU=zpNKKFL%bcSU;rUqPH zr>6E;TtkT^e}d%DeZZ#WIQ2x6rAy6z*Nn7l=>D7uOh`Dj2gnEK>N5^z;L*rc-il#S;?<-I4GEo*zLO_BMwK##gK_hSq?7>96QY|(Uw;a$_F=Dt#eqadDxVGf zFu@bY&U2XNyqiCaNhG9OkS)#(%vj*C;pK90!wokIRP&tjS4Vfd&B=c?*Bl!egA;A* zvT=AmZSL)dd8BXD@7B6r?cE;xlRLb=ZC~#X7tf1ZJH6XSpPnA4lN&yJnZN4}FPEj} zp5~vLyNfSB2eB73g4IF)_9j!_ZE8I|-EBS|E?&1=b${7nyfnOy$ywdIw|(v5*0j0T zg%+p3hr3uDcmqe!5K0?s~tiUf;i*zufP( z&h~cr4A(l>ctBr&>BN7db7C%V%3mMZqJ#9U!u^!$YG2uIN0~wW_nks_M#bd z<#}RoN>D5)JFAfWz&qTP(%d5Fz+b7OyxEEmUX-R38eVQ36gmM;ofzG0f01G z^7K}TXMA?NBSm^gRecpMgs~;w+GRX0(fqRAf>m5B|b4&CkLNMP_QTF!g zc$)$dQ`{m+tP1z(koj?cbWIY2U>UnsB%j1VvSTqF$^eCo$+GG|kSvvBvN$y`da;3K zb+=}TxW;mFGxTx3*x@;#hUB0VC`Plk6DHqd+18i1qW5Z5HOBF`O9`wq1C!fU9xX_5 zHxw9-{$R(OV4fU;5=)of1ssrdLpHfd48<;)KneU$CnE-ue}h=1y!J&Ixx0eOV}2wm zxn-CY0yhd{#Y?~u>a-uUgde|f95ktStRJCnx7onX7f4sOY~e`GdNN{XAXdgJm=qy@ zK_D3`xs(4GtgYB#(d^Ean*Wv645qY3BW#QBjxQ5~?Gp0Hdks@clQ~umu;yOnY>$Tj zm!O-+4NXIcf{N82YuzL!=F1tiM0WU!Cabj4@+y3eg21gREcf;meVFyae+ev%&{*xx zuJFf&qiop1H^CKi9IzV{Ad-z9bb1Pl*bp+t9U@BYM46h)bt_Z z4W5RCOFDa4vIa9b|KeeJKZc^48lP(}bgk zyiD0|nHQuKX2=H4-KB^e)A}Fx;Ef!C_lX8VzA$|sOyIBc=y^3k09KD-?pj_OYKjnd(2V4i6V-Z7TwEi$B_ z9kh8{_kuyTg&kJF)Z<>BD7Yv%CZ*tCzCQ=T{9}ZJZ+Tt{OWk$h?Hbex8k86!%L-`% zu%TEU(S9F-y~WICgV`0G>-sQQJf^CIA}EyWZ@Wm-{s#OdZ7G`sU1SAUE2P}Ga7`iY z{B}$Us@e+YgHfCgb?(^mjIXJCCU%yrn#ySjt+-iD%M_vjI~HpVM)Woqz(+Qou~ATF z3!57A#F$O8QjB_Q@gA`SBrKX74M{n@C*l5)m0~ae@@=^nWGw}xedL!0xeneRG}SfW zne!QbZW!m=nhtO6Zv#04fgbhE66(fsiHH+nv8)+C&s?wJ7%V{GyMmW^F6B3LeM=Pz zo0WgJ)Ui3YUg)MVAai828v6oR(NCCSj*_?RzS;5ELoZ zIzo_QkJqc&f@3WqpF4#rp*~;ts0_U5(9CSU`D!5LSw8@CJjGfrUJ^Tcp3=tOAXRzl z%GhDLS{em|br1h{(aCQp4beYMsd1BsqxB>8d52z#EWEl;9J-9Z+rkBw`;*R#Dsb*( z{j&BfIm65SrE!2-$>?Tg&8GUWQ}t8Jc1i@m>Fk*8FP=2yB zf2q(4O}MP_Sj_*%*Q8YqjaoTV%<~2$=t&{g{uXRxYhDx%s}Mn}hFxA-lcMj)KhOOHwkA+%4>1B=DAVJ;O7 ztE#aZyx}}guw}VXU2I*5IU)jK@N$B0Kjt1?UXd(lo@KMWCrdq?>Y_Gd1Q=-Z zZ5#9Mh}@{x#Gfc}h3L20#y)QC=$(**{#iG{_QKInUO8l%82|{Ftw#O*+dSNr6Z3)% zKAb9H=1zmM*c=dOk}44+N$jb}O0bTeft#j{W^HDq;P4@C=>4(4F;|*Wnkp=lq@k(` zX4uUx{aR`?1q$n~_SIHtO(?Gdjn_c-?V##j$BI6R!f#EeZ75dW-I69~v>Fo_5up~Y zP?C5t`}=BHnROUzXYQ(kR5)fxs&%CGI&4dpymDe-!56fH@{=RzH2yRt@ZxT3JeNCg zNt&Ma9o_<*#f`*oF<0)H9ha(Tsq8gp+L$Ew<}Qy`&bvGWk*y@*i6uKdMP}eHWZO(R znx~H#UP*RsYotQSNhX1He}6<1vv$Uph!1kRM0VV>qW0?aQGXzho-xr-ql;*Xi$){l z{XElW7Bs?2@Wdr8kA{gamA*CqN*j>ROB8<}I(t>) zxU?(k!WS9yQ;+c683GHHWiNua%A)@3M8*EV9nj}A&xt_T03`|3u=ZHr3$x<|v%K_^-Ud721zCTwdiI*C{C#;{IXy{u z-AjA^?@jt@Fq)7JNK=wKfL~1X_NI9JePE1{Xii>elTD<}H)-Sgq($2>-;6m1t$NYl zl)4KxsHkAfYZs6DyFH}K8X~G{$Yq->6PKvc?w*61@9r#^d@96kn6m)q54RA0{Bux+ z?o{(X|EO4kde36xs=&X&-e)k1LjjUOv2&e%3G4np?0pAR zQ`@?31Qn4cU1_0;bm<@^DAJqKJ0hI`p?8RYfKsI^Rit<6y(vXRKzi>8gkD1lNnUX8 zefBx`oPEZ9W88bkE5;$LwdP#^{MRC5&hPu%^qma!J4xb-!qaXyysh1LpI;jEE(B3} zMH5jn&ZXlb$`${NO?Wuxt>v`~8*9qkYajV|7+Fp=i`L!UoX;IPA0O3(sI+9eAfEPR$}99Rrt}-L9$#p!QK6%z$V;dR$xMECtQ1r7u+AoDN?{}n)ix5jHi?Q%9)LcvY=AqvVxW^q z#C~Vz+2~nl$_LRbFQ1-YuME>pX3GvNk8;Z z-L~$IN^$QJjFsZQ9(c6_)yzs{o#)^=H?t-xEDS-+_HGW+p02L%mNK_r6YpbNqjQH9m+#5@7Xh+8>HzYgTMWt%+GQ~=m!dv{-&t@&DCDYKQ} zE&Gp5F&yB@J2oA8K?|i2~R| z`^41i?=tZ!=Y_!D(JtKUWDcfSy1g^Evh?h{jSI<^PIHz?zLm#6z`O8GF5*YQ?sWG( zs_wzY03n}2Bc6(*=O-CCFe~w0XNNdEi}pbM@+%@{;!7&z)x{Bo+&rB+9h$)ejD#d~ zOGUy691p>&f0=l2872OY@!SIUh5rcWek`r|*uo5AZo#8tWA18=iBsq2`6F#Wkmr$& ztIHD$XK9GNBgEk{Pe6o68uCXJ{vR83{Y(S+eM2oC4Y%j6m+1{ZH_Q5SGb{82dIv!G z5EIdF0Wg07006F_rvWbkB!q;7w+P8^-6E$WB_^d~q9P}!Vq&~~o9gy$MpimT1_nkt zR#xu&{P($8B_$s{l9YV>kDn`Kq@)ygD5xnZsi`P1{}`xfX{i`^85o!u7?@1_EaEHJ#8=QAS3ns3u3h>0!Kixq!3JEx zx_a$8&JEm~cvt>o;}rlF_8*P609USF!N$6Zbq(kGjceHW{Fp{!?5hkUyw@H+H6>+q z3gEj=7L!^2h>4k8z5O}AfOFuWG!9Fw#@^z-nTsIBhl-%PkK;7WWj^3Ovy$r3i z@U}|c7KA*xS=uTHzB9SlpFvhD)`9#Xx#pSCl%rS$tUeE&1UGIG9Rp2Giy93OGmMP| zmO@*FUDPu(?hYl(7i`Gy87@s~bLum@q$lwO+L6sV0?1g$bjjh1wF`>!(hLc+$K6G% zH!JKA1aZ=zZ_gjScstt-NftWs-$x8Xpu50kcCCCj&bZjGsw@GeMWZ~CRNIX;zCONB zVZ-#VB5~&-C{DTV`iHyxZFQ z2X+k&#ax2tFG#wH95cubMk-ID=W;aW9L!_g6cZf66qo~7qogE@T1;YY5+8t4L7S7f zTD{*?NqMmZINbKTNjRr(E0V=NMeed%Bg32XPs?9sDloU4#8vrs`aIn?!+jL1;CI;; z2G3gRA_->BBx+vS29_It^VNOyBo8-}=WnN<(zqmxB-!F&D7RL!3*UYPRbEB`MV2sn z5+2!e1?E!Ts@-#|<%?S}kaLGq%x15G8Q-7}{4?T&Kna+gXal@4TNG+$$0}UojCGAw z?_tL5V3-E#7hZawr*;^$l>PZ)%XbhIUmL5yk~?lQkM#)`>)Ga>G#qv4e=Oc$d_s9& z>BV>VgjDto$u#rfUGC7==Un?w)$m!kncRJtRiz)QY=gX7y*+_Vl1HElP+JaM=ag)| zVHs6BRpxGtD|8m!%++GHD~;evzs6HBxuA|H1#S8jo>(lzig(Y&dqp>E_I7*~**&^) z>^gmtZ@##SOlyfqv1n|e9YT~!9w0P!dfS_8zxlzkzWJ>~Eh;f1D2d-RaqFHlv6Yzg zWDZ!8JgP!2o@DyP4qzosa_+JDjRTKcMtv&w6t~VpOs^d3aNHgS76~Ii``p^)!1R4L)fd2KikC$mm|F|j$3IABMEF_0t;sh=ZXG@Ek`!?`Ny0A$rq4{rt*aI zz`1@g4|`x-x)yZW%fzgVX-f!#nMd=LUx37-kJ-EP(SSLSw{cEJlmAhHcGk%59i2hA z0iI3q1SgHIR9eYt;e5?OOOFZ~VEVCPEs?6D7qi=%7+l*=3FI~J4OJh&+^t#k%)3;o zrzdYKkz)B{a~bAF9P88mS0*(F68K>MPE%1LPEO#v>#R}8I&BFh5N7Uf{`phAuBd&bvD%Z^GIA$RVQRBp8rL_EnTyJI z5E4;o=HNq279L8;qQ2x~(yc46SQm=UNBt50$8?ovt-yr}pY*^UY@Vxb`>I8lvYw&*xp?e`^B$-h}Hnf>{8`chdU@N_2K>ca_G9PNq+a z?F;PxuBGVjTQa#ad47s(9-f>$E;pm-S&Swxx3SKM0DJU&IFZ9A2^K;oM8ZWIg=W&lLw?QrP$tvzv7Ye56Z(12#(EYNnq+QV6> z@kDJVaoiJF58iF&QAY!gU$z`UJ@$2~msadO0uZrNyVxgO(94Ek6H30VkmfeoZi-D{ za?)J%4vHP#q)%?)^e4cGforse}fMkst<<^PR@y;W5>7I6F$< zR##-Nfgc*Mi3@4%1U6^PUTAF-?x>Dqbd0lu?qt-J;78P&>1^mPjeQ|q)uc{qfiKs5 z-jHYvJ(iz6BSB0e2jNAN`*ysg$S8RGEVleO472i=A_N0fw*}LDnBSkMK@L*wQb9*- z6=;B>-@c@6>zVK{8h``qPqm*#Zg#~!WX%b6uo$%~H|L!Rc1jYQ|_OwJ@0?%dhQUPOhIlK&WNY<)#aG?{H z9oy19xsA9!KeRP@Q3@gposduw@{Y;dwKu*Wi;Do-(M65R4PNZ18{ds(M*#oz>i9Et z_aB!>eqsJ!r0FO9`bC<4k*5EbNK?X=ONk@)=YxCRLY^F~XXCzc`FxhC=Fd4)*K^CV ztOAWA({BzbWO(*Wu`d#s$PQd>raa|PU^#gW537^b8LVvQkwIZP_$~Q;D7`guWVffb zKDkiBoHWPhj_IIr*+F*Tnmx1Req5E-C#v+wbllC7?dq3N8$++p?N0Ng#lO8^d{7u< z${ee${sIknu$#1^{uB+ki3VT}wD^73)-jvUD+;nP$0{gd_>($@K9Obj3@Iqx1*v3^ z!J>yConpWNpdhOPbHWe7ba=(M1HH1`Oj3!-E>SZPcT#ibHY|_n7*7IevtY@&= zR*ffFOOI86(nM$&XRU<(#UqG*>)g$ZpTy{rA(a!6>p6IjqV^EOcwo0kupw?bi&?|J zV@8f`)RZ6W8Zd7ph8?p3wF27-SyCu-Y=+Z+?&L2f{mU8+|2XLj3*Z0iN&goo`eiBm zFSL~X;za+)a3W=X`_dxZ^7a=UBxV&w@Na5I-w>Zljx*NB#EsAZKf{@;_yxm1T7WbY zA=09n=!9uewprCeM7lx68J) z4eGp|3D4jMBzkseKu6~#htejIodUW=?*dQc%8zz>H-*X309`a-q}0t7s^=dLD!Y6g zOLnzxEwrfB=#!kY0%q9VT5W|th}7kB700T;!ik|)EbAB!^*Tio8&%942ioViYJ-lx zj6(y0UZVl+Fv;@j9W(c;2H=F+YN^Li?FsD(`P3?9=#I^cs|jg{hv`X08$Nh70|&A6 z9?yTAotbuTM?BY5XxusUPfMHFt;>N;B1#cqn;yn)*B|+fvwZ49C4yE%Pv<8Ns4p28 z+fPnY+UhrtAv}#pDWzZ&m>CL;@_>tnjQd~mGNVggRyywyx!+a=5^^_4(#&Vz==Nzp>bM9-ELiJ1{3EphHY&Zzmc?fSl@m| z&*nIJE7u7kf`zUN%e{tG@+q>3bH}sA6T@ z!=%E4p_6C;&+hJcr3ES;vE(}nJ%Hq)h=$WdrW+CCk<4*=m&_^_Y0%^OK|X8il7jJc zV#rid7&C@DO=14%2N#+g2r{6Woxsp0F|q?gD+`f*xDt{3X|-fx;#`z z>h$g#v&SkTfL66z)KH~LtAn}=b>OpOfyoWojOJ?3Px7o=ejmNXjDT{7^$MQJ@*BPd{w_z;QzuE_?NHhf6`Z#HrfoY6Ho)jNN>SU{n@{x+|U5# z$M!bX$n<%lPM*r?#nYl57VCdJwf)bW3Qv~oU1%M}Rtre>K|+_(8|*BKxo@#{8cRcm ztd3)=SrnMx7{6}qZ~bvbd~5n*6J-)Z%#wpysua~RKjJa;3d6S)*nVCJIC9|87) zieqCj7rDVHcZ^DBq~1o?D{Yhe?@9IM>^iwzts}s((fd@I1shY5JXrV4_%KhF*htrG z(nNZWp*5QRU(f)IBgkaoX^wES?S=X=cwf`M7sH4ysZoK-u6`?7vn_^&aTYfzXGNg_ zQa~M*R__p1I>_YodQXi(x z;inr)GZzh*VnZ%uZH}E(mpIdw~Op2Goj<+BW8 zp3*S;e}_E%#3P0_m2~}kg(`qQ>b4P_^%24zj6YHRE*&ycdcFTHRxKC4b;}~ z4%HWUa`;L@r>SO4*{-ZIAvN>d!?%?YpH;n|OL3=fWARMN3~MTMV-=dlm-en%x0Oun zPG(K?m=UP&4jQ0wdM{5gp`(2gX>K;R|4KqzTqsw0*UEztf366gsO{92JzhVM&NVIO zj|7o@k0py@232{_PwH7s;uPCWZLEc%0Y0ZpVq%5i2e)|xN^2Z)hA)4=aq~ zsZq98l)Yssl?XYa6U;1`YPAF$xVX9Yi9_o`?$w}mI)olZX}7x6v3qfow4nyus}>dB zT5r+14h-yRgmGkeL>l$P5%G&AGx9un(5ia(TI-P!@=ITTCv?#FB5YajC~&NEQAYe3 z9>u2fgxQ;s*XI)0&TLL04QlOrIZ+fJW3q$8)|6}st{tTn)|-}hVOnpMlFoX z3W>d^Cxm3lJLhaF>pOltpH0!iipS6@&*iI1c1KbtYo)~!3GBc2U8~RJR{c3B74Ln~ z1!d2Z-b3lWjI;^iAqD2uAUXN~vvzt)rxQ+^2)r#X7bT|iUJs#gx`S1L*z4&el`^z) z7MJl7;aB(O$$HFQ3pq?WuJuHu69V^=1&_8u8WgO~+HK7=H5kStijX)SvA;=km(Z>G=XpU72W)hwFk|2sT0V2Ht z2-F`qoT}nIcTmDwlbz5BxM&V}d z`wcZXSP!W0NU;MYlgOUg zg8{0q4u(x)RnFT(mgR}cj-yw9ESFzwOEh?v-5um3U&G61@C1Z>p48ea%SK=I5nHq~zrS7kg=UEi9MPv87n zVqxd1jRrg|KgxpqczP?rv*5UOTDKAIJ%LzuqN_B6MC`qm)9P;N8tY|;7KjOni*`c} zyzssV=$sqN)YaRy6v+q?6x~m&_d`UGvIX?Pxpr0S9Jwd$k+$!Vc~6X z>UdSHk8~SzH{qbOh^Oegq4WIre9tB}-co~{H&C^2VNlB2gW{Ptt49x7`ox4jPF7~9 z9bTMif9$$T)y4ePSC~5@2CHUc#me>DH+G}}Teq7prBIEJ!hT}#^OoRbxZvX3HW8eM z`SKaS=ik3|b$BRWe*>==YJcJ~Paq`nkc(TK3qQir#GX1`e}i`8`l}P!Hv|2&E&FkQ7g%?*6C68MfBkPK=FsczDLDV`>Gm6U10sbA1!enkJWaHxF^RRR0>r^ z_&(j`{@y^#OJ`v>MYkV2edipgdgs1$)VKo*cjy)kQy^fM`HjHf>bXCigS~1+qFHr` z`@Ea-$YJ*VyuR)YT`r=E23o!E%?a94lFr|&AE?+tq{HcM_e%Fbsj-`zNBH>e_uWPc z(+#?$pHDZ!r1ckI%)TUxp?9!|=@n~WtA&)6()^Slx`s5c(-x%&Z=}8QT|;K)wk%9O z&4(#lA6}&j+VU6;0ZISP@0P+O7h(6RcPOgoN|Yfu5SPq7tsNwfaku4*ry9klJMd2c zsetw9UN&0EPMgUujYJ@ljY13E{u@Qa1h<<2uSntqj|QZWPH(lIbR=5%+X354jz6Q6f%}n$xs-Z+pUZ~KCCl@{?PC778d^(12WFsU z>I)q%rJ2#?`%9nGestm|rX-v(pUFnR(zZsq_CDQizsUrXtV zLCoQ_>n;ZSW>p}?Sh7mZ&05BFv`okAnpZ-d{cWBe2jy!T8ZNpoOP;|m=!4Y+#0{y= zxWQ7~50WzGGL8r7;PY_X6W;d}uV1t;Rz5A=CG%v+>F`dFt~hZ^O>snZS4WfrSl)-; z!aqIH;^i|5f73gGo!awaTlNEaBku-SpN9U)La%(ZOZx0=6JfHub_KO=uVjkB#?3kR zkgCxt{wdkTqgoaiAb^&|yhygPVN9da;I01bwyBvxX&X_Wgdc?Eo%@dvZM&-LZyvs& zUMwURn%Jn|x{?xPP&(#+a$hbqP;z$cb;u03xZ-xVv&7ykHQViO2jXh>P|NZSJrjF( z@Qu>(1DwGn#+!GcIz9a*)l*bsRXZX5d6DMvivC}x4Yx~N^rdRlYRy8MY8RmF6tdjk zo=BUSUTu-9(<_4zV9`sz@^&M`s@mFewM(p-a@q1KLxfi+F+K9eNBtez@Y?EiT zEZ_vUM353`KR61j0iG4gA;&Y~73DL|d(nV(9^^jDyAJ4@!8J61VqE7_o>1bb>o(zx z(AyEtr^jHx_8Md2K@V4g5W2?bez zV!ED@kNOu~M7Q(&+3(o1sqA9DZQlb4ya+Qn^ug|&KY|``6e4xbfPp53nE0(drQ_D| z3$3#7uV_Hu1&|Uth|v;bFG-n@+^6`ge4KMasK5oGFG;)wP)|}C5P}a8bA(S3f*!;eM69Cs0|<0`nyj|#nx{}eUE)U z{uco9&rKQr0FZn_|0b#97l8a_XZbI*v-|>(|FZznW9-A&Q6EBoO>lde+-9;m7$JIu27vllM9!LdI0##{ zzvlmhjMi!qRUG*1qS0o?cOtM~xKh1*y$|QYZ}Qb~{ccNyU-eO&W=&cp>XwpHG@dlm zoup~mwZw){?|`hsEEV5ajc)T{2d>&@M#z)^hc9YoMZ66Fz6t*E9>xZm|A#%f`Ec zHF;CDl*p0$DJ@@NZdQ-rT6%!9UhO=El9Kfpv(I;)dGS23BZQwk`O-@Iy&F~s4>vr~ zo}E{u8h415YiAMQzkirtTB|?fbFCjAY@mUggiBK{i+UF$Q#AsAo@6d&#+YmEi!6Ho z&4Fc->?t^v%jOtt=Ci%y%HG_Xy%{eo#a1wIx;sRo5#vtbz%r`&b$`}=xJpNZb$X`v zQKdht&WBLrW!b5&H397&jF~>U`t8Q-DEC!@W6ieVd2kOm3Xil9RLq-rzC+OE1Aj65 zalsQyaDh2nMCX{Mx0&6K4a;!ZfFWyODk~;!gm=r2A}r`#CS4rU0prn4J|myq>?+x+Ss`t~BYqGxAP(b$zdgTHpKQD) z6i2T;M*Pf%;1lqmBDSu^Fke-0j9KbFI=f zubJ1>SZoa8h0mCoZ%>WIpc?YkxsQsm6?hFS8vg)xEG$_ie;_(BpPt#Cvm80&f&507 z;La|;!|l_@?C&y{7RGyA^shLLG@&qG(b8ArHE2MkPJIcWO8GhwL2{&rXLhcRn=b~- z^;Adx9hP%83ip9L17onE42*C1k2 zHf7dURgs@z4hkwBZmCI@wf94I!FW`&?f|cy^p?su--`HxZeuXqyXyc*NWSK@X%XXh z>N>&XZL3|EtDc@r_OsS+?Yjzl8Hzoa=C=ncV&BkZ#lgER?}u$e>HX*!=|5Px*`-cR z8wHerRoCq{d{+vz;M!Ab_Jm-0iXQlu<4NMhjSEda-WoG3YU&#-LlU0`LPK7&jjo>& z*jFtsqhiuiikp$a(Lrc{T(Cyb5AXh(qK6hE2Irs$GZQG%L$z1%Mb{!{>|{Q^8}?5u z+FJ>G8oKjhwC;>1W<1TTc}-m#y^@`mJpo-U2kud~JY{3U1a$MD0q-%GKPCryeO&uf z9^c>NGncFX2A|m|_4&8K7Gid-GThEHahr}C(T$RE-A2&(xXZQx1<-(>KuST4 z3xSQ(Iq!)9P&t1)D$P4KS@FmH3Cx(qYq#)$lz!Wn5DOU)w6xpY`VXLuIWGYj5RB;m z4R~R2-J<_tE5@L_qNDX2rqV*mEySklU>Z=rHE2W^O=uj=V_p>+z}S#+iTqf9A~i*? z5I=*@PWMmJSPwmclbHDU6kT(H&=#6!V$+8gql2KWH260jr%)P`oyrp;^>*J{Kb$#3NS1?2j3C#t_eE?&OBc5VHFT)!aKFUa+OtIbXY zR7_qmqd&1Qq*4s1&s~|1W=;U>@DWBT5*HS|&UmI~7Sl#cA{B}V}s{n2o z&9Htwv)4BRx#)`P`pDsPOBMedhA0Tmky{{90KBkR zf@zzHwmMX#^_fSG_T<|=jb|Q8urilaf=Sj1>U>D;yHn0w3IX+1v7^QwSLG`Csn|5% zuA?mus_u^@iw)FTcLfh^?^2(;8^Cq&p$w&k1x&qD`Nul-v%21PJZ?S!h}f$Wca0CJ zSuyT@qg2UV%?SUfoN@qJ{8T1VjJ=nA0w;TDjdE03S{c~)DBCq<5H%JeXXEr&30N#S7V^YONKxVlv_PDwa4_F}YbSZ^qOqxo)Vp-iR>SmW=Im+Y{t|Us_A+nUS-e zhEa~G=CbSrHC5V-`HK5~SLg7Sq2$Yz>`ok-EskvR>oFR1EGD>;Tk=67BW?ekcDWE$ z_`55mP7GO6gNwk;LfX3-RjuGOdRS5Pan%ER1!WGH?(q-&l75%=H?+jObT`Lj2)^Wu z)FoD*lgw$k8=0jp4c}c&(j+p~o1X6g?`swCh|C@Mfu4R)@F-~X&zka_ijAwyUalpc zc;9F?L$hYnAV(Vq%KpA(`8=tKua*+)My%2D2f=FX@j*TADZ_1Hu@f~%-zQe~4%2rt z%|8F=r||>2=aQ&fE|?+TJQtxHnPY{y@H0pg2I0_W!<-JlmPm zcJ3%KJ>?fLPkcsv@5}5P=`^u+Yik^76KHw?Ti-_Aj-Q8x$(Ey!o|3QbBq_2W!7SJ8 zW}(GsgKb0HMoh2qF#l~2hh*$&;a-#8K5!w6x?<`dcY9Is%wKa=!M6I-7 zzK-q=Kjo~0E>Tg^Pa~Dafd2p*&?pQ|1=aI>1R~-v(k_7!@DdoY{KQc4hx3&K$R3Pz zjBQH|A(AFJ`g++W63Yy1Uv`lmf5F&mr;{lZRvmrnP~ z-}&EHgXEXF>VMQ+rNUFJ4Bnf#VIx_Dt&~i6_3d3+rr@3*qr*-EFloI0Mj5REq~fOJ zN2px3=hVR0y5wFpZD<*3eS2fw?AhzsyC*PPwsM1EXOr?su*qa;VTu2(TNw~#vzg&1 zEZMKgwWxgKt!wG6WP)=>cX+tKe(ga|Zrse#d626w{g6WV5;&PO6ZjNNc6YQjr{}1Y zd=5HiUjbroYfYijHqW_AayqB6o7rmy=x~KS)Y_c5uTP_1&{qAhtOU!bf?E~pl3bXc z-oSDjC2UdpxKB4G5y|q&uUg&btXhp?OFYT03~YkHU?vceZRUvanj4RqQfl9O;geS< zY{S|Q8)l}#g4LNj(?@R8x3ILq{$ci`{&Y(7#$5rrES;kox8}DpHFwfnIDOcx$%S2V z3+oOBt<1z28%kZGZbgr!%mMEPD|pL^sj3PuyScgpmM&Roiuw5N!8TB? z?ew12q2DbJGZUrGl4!7beOs+BBAF=g6-@V2oMxkr!))%hfCe{p`i6ibL!;EWoZel{ z;-iy~2hWY4?Ql+iJN3-f+sSr!#W?cqUFHll+-sPk>p8r&xdPr}8xPXWp#gWaoobSM zF&K$CBof>A&4!@&Zs;8x6(eK`;hThX1Y5A*gG6)u*DmRTfc*{*;UVsVQIR*aJv8qt z#_RjJ`0THa5!r`;h|4;4^6Lh7SuOXN<67OfWkVwiz_SV|!`8R^yRS_fE-Y;e%Pa4s zD3wn}8E!|ge16S*x*l#}M^sqvN=bVOilAXYd{>2H-36FSC{S*;;AQcN`omzg$q@bk zKl>%GRiArHN9Rt)#-G+iFwXffA@9|iS|=Mv$y7hCHpG?Fmx_>CuWQ3~x2UdsuS6V6 z>(3GpW+|l^)eHO3(2Z%%Q`ENh>B1&ZX(~0fD()kGk3_-k?=CdVtA4oM%%4&bKorLi zuyE2IA@c|pUd;9vch}l^=DB7!i(sHlO4k>7a2ZAd{FRWW~#iw5{As7b?FPle8N zS-iA(6Db7*r>FGY(>^0NdEgRO5SRm!b?}5}$B4AX-xZT&GEz&F)p!U!B%9RHKhJ5G z;C?SJY(W1;c5xcx;j3gv15_Xww3GB`zP!8E9K3k=o99ddG%6b{hTv;G8p9+?VSvnJ z1R9|Bv!~3TW}xW2c75@+tp+q;c={3%wOaBcC0f@og9HEc*BNJQ6v?F`69Hk{m5>K%mc<(KqzFJ}1&}wMFUEEOlMQ2gBqYIbwC!Y>W2en+#0QH95V$fg#olxaL zDP6_zC~))eH;5F*7T1~i9d8iFb>eg03doGAtMQ|o*5=7o*4g2=2lMi@mmq0+B}=9@ zV&Y>}eMxHS5kMVkEzznib`TH zl;uK1f1)Ifi%02Pr}cyreUZ?ehQjJoA1%W7Yhw8b>iTa}*idhYuWnjz9BTQd`s}S; zveq|=0W4N8>6l!jA{)v&dVDq~CW=XxHVR%r;7>N7YSlHORaG}r>W8%qy{s$C`;JHL ztE(u8%XcJdJ#E8vDsyMHq4My`ol(+GM7Ja9u`6%sCl?cYI}4RkVUb}SD3Ohtj{1jQ z0}W-$+c-moAjTdpAIG;3#Y{|Nrt|@LO4pht`pbq%eGKZpt;Mlhq2@HCB+R~`kBf_0#q9tGXR5%>z?xjB=nA)2;`VDhk5Ou@uO;m+Y*+1U zY;4Ze} zG8tH=VmADNCI9W!*E0*UXD0w(GC8F#a;oeN4~Jp*WVR%N0XHg&z&;PJ5LM69@uNw)YR`x8~l!yj5*o>6jIR;XhhNNX%+JtYz1Zk$9a~U zea4NMT@Nv@60FL=&F1ldLmMAMRY5zV7=H^z-Ljn`LZV!q;W`J^f-r#uu4 znl_E@unl_Zlr^K;x2&#uHd}@hSzznNYQaqVASPM%FnVWLbL#JVvR*&wb;Lo%qU?NA?`p@Sr+sZ6ftzohF5B&RiQ}K!*qyzkuLfqFx z26#+aI^-Gzo8Iz-8&p_A=K|LC@C2Y*pX@V!30(P z2B)AuJFlY(tGrfSwd>ySaoxYm(nPdXK=-P@E3-pKg$+MLmXT&C23vg`7X4h#Mxzu@Nh3Ex-cEmLPUP~9#u3*nc zFY?>{3ig;Df874W8Dgek;cCG1L{wCO_it%?vUV6jzf}qTv!bv3YeSvhQuA7ZnG>BHPLKDTb2!Zx?jwGVnl z54YTCaG?eg_DgD@A)DaVsJZFMO($rp-`S>*SPNo)JEIoVI@@y5Nhmgla;fy{GNzVu zuqx{Q=sLDcK;43T5QWh3MR=o+;VKU_I?vNuIitOrknV`jC(s8i2m}$da%+AB)Pi)T zR+`oxGsV+1EgSvR2Ro7sgQJ$aGf;kHJLjm#P(fPvesu!$k15$@bU_pY-qz_^o#^h{ zi+jgM&P~|0E-Ov?^QLD#` z+lVK6tRcFvaz7FIy}m)x-rKDo37>rk4b)m`p)#TxdbQCd;Jjm)R!CPRY82nHi zzCcTrd86x-WyQrZftO8&2IAgAlZ#^B)tfei_ZNy|KQH$T#EO+oeA;@6sY|5PAeBI) zO~tUIyZRvc(<`JQ4)M`l|AU8sNOp+{pa-A0(@=dG2l>$T?7k84e$kZ{fhy5MXDLy_ zu%P+{zO3t^mhUxX=ERx9U!1j$NhHI8BC~dOvQGDKp_4CDW!ZGb!7fxU4fk_i=yI>AH|{f`NtHkrI0sI zyVtwGq1E!%hVS;L_W78^HuxkL-ZWH^_Qm(?(-9B6YVJ#re3k1u$T2xEvKD7}r?%Xm zHUXw(neNNye)@JlKhsMo2<&=p&hYH}3Dz9AnPTPPT?Ua9{Pr9pOZb?_P|K#eeN?m5 z+k24<)1>XBX1I7nU9e2Dv4v6#D#~Y@BjJTBqAe%xEFo%iqgfl~dh+-WCJ~xbU05#H zOv6ZZV;(q52QK4RMN+-|z^I)2{sHwC7FFEG4}_Zb+p(SGG9iyt_P8YIlJ8B29Yy5S zm|NsCkkY6Dc4P@j6~9igJs#Jg5i);YL0Xj+xX{rqkm^zLu%}o?hPUY1SpMT+F@o3T zJL-@0Zrk>o9b3LHN`4fR)b3Dbxd*$)XQ?%wl0Ex^GT_aC-s2Cs& zzI*88Csy@F5PSzLenm^$x5p-3~+DL`cXPRu=31vwM)Nmj9-q~vd!@3QW0vVTi`iqMCC zXxbpBoQg>5)5K*oco}GuSg+%xB=PdjKm{dT`5r#)ck6*!scKHb4$B`m%!tQyC<}c* z4@7fp4D2c{QXa6B1lhU8@O$^Q5uIgs4zhD@sw+f(4srC%C`ah;IX(OlM;L` z<|KNv`%&GCnrE3SIuR!lkLbgs1VV}Khq z>`33;o7Y0QqeLh8 z%7R0gNhAdH(nn8EO7n!H)f$(t2V*~S4LF?zi(khBhp?%E?uA@7tdT|jxJ&%Rdbbq9E*}k~ZE>Etpa&OMFV$9Fk=p`P6@Z}=? zTQmKDdp9L0FY3Ne@TUw83r?w+?va?d_{wN}PV?PbcVF-RERqrA=$00mCi7msLt!#LKXZ zIRDmvPm|j0O^(8xVAWGLfxO#oB9ESNOwR;AtL(g2fB*cx3B=7*Rr8D5?YSC|WY@#Y zhs=8W^?vvHsLhP;HOBR+bnU~klI)kgY?xp6UK>n%VDR#|TIN7*SObe!^WYfiZc2OM zxm2K|s>Q_7Pvez}anljx(dO7wv~?^!ztR0VS|@C3CO%Q&iH1$r&T~8$%g@WDADH;r ztqL|iL|WDqzq?-CzEW{rp~>~Yg&>)hpW0;hmNWCU_iGah2O(mD?t#lUEc9>$CvFh^ zsJ9aOehudr<%6L0;9IX5)+pF#W!nzn4P zau}JWOB*|ooGpd!p@vNZCG5WF!D?ssWg06rt1MFZ!u!-dR84$En#zR7c~)`cmk_g) zP0@trz3+j%)7pL6jc%(a2VonAjg;_e;p#7(MVsjX&eo4$WD#-1Pm1tB0!{Jf@!ZK+ zx2&qU4MY~gXUjis$)XyBI5kpPRvO~N)lb&ABDa4`MXKL09CKjfKajQ3^PO=CAFXi| z5f78slh(^Fuj(QbkS)YABf87A*4*}WW&n?*DtlJhAu?*Io@l0>dX{)UY|z`;*Q_Ej48DZA4`AU!!z_nD#rHJ z?ut^=dZs%*=<8Nv6Yf~j?AAQb*Dko>gYa1eBfwcl=PA<<%7TN)(F&dkWeV z>r(|*n)oy!LdjNd80fK^y7Ayi0>#{>dVLx=g^XG3S@p*rHANP3HPoToyE;b?+OGP4 zg`WtJm=AhT@S}+yGg|<4+{y}>K~Y>vWUvy(G^M&xtJl`eU8*IY;(De?Ra|ZxaecDV zO0yuDsug_I*p}=l@|gX~;zyddxJ8uu_Fp%=Sl3vOWpSU3u=KeZJs^CqbshQT98aQJ zV|07z%HG5sMi^eVrVv%NBK2X9R-A}Py)B9x`E5sD(G9k*OE3DA^yBi^!u&;Mh#WKQ z)y*BHdp%pRXf1XRQW$)yEcX8M1g}_@9EM6PJU-}S;b2|YHX%#B@v#ZC4GyArJm@Xga_wRIR9K;KCXyDYHI@VULet@G z)u&uvpZ_{yLXvjy$##NWXUSO44?abQz-jec=KI$`l2ys&-S{g2#*grsb8B8Z!KuOL z_wlIwb9_JXa*|4BWjFoMou!=~Fv;q%+)0gnd8dBr<8WYOzAPl-$8A1p)8@*|7q9l( zzUZ4wvJ{EOLQF}CWv90d{KIh7+9NjUbd}WIW7W^?@(X0i@V~z6cK4Ma+&(!*1(bdH zY>9L7CY1Y1$T=JT0A8Yz9jx`KRTRVI3Vo77UQ%xCfJGvmyU?`+#d}_NdX6MD8%+;zm1mC9IcSfy1ZId7u3HwHO>S@og<=6?g{1Np^pg#Pp8vz(CEWfb6sNw;1f0! zduw!-_i+RQdlpgHa4zclU{<<`(6nBG>^dO$GGma>#T4avzW%hj2_bScW z0vLai4~<<^QQiR!-_6FgdqG3>X+_3SL7WTbEgau+XEmrBZEtoL%@eFPv|O_@IMHib zn~)F>;eH;u8deg^K7^=9T#JHmG#f5*-AL-*D(X1;M%Nj7x-^a->g^%LHzpiPp4KK# zJ4=&$s3#NXd3QpxC6tCWpQcOj8EtSiH)IAEAlV}mUMZJs96BQF55`Jr2TyHhI z+HQ+eNfGumAvjB-miHijvqrUln~s}rcxcYpO2FefHq(B2jV4{rx?Z-;>X!$3L%ACB zj10R3hLjmG~`yglmPlAs)Wm;GKaj()1lYR<4kjQ|^b7z{pE!IBeMYAzM zxT~nQVC1p~@4o3lqS;oDcp-Yv=wdoDZoJsmC{pyzNR;D9e^T3F`L#P4Ttq5mH+>Zl zA5=s&3%ig8A3s3*J~e;EWgJ_)6T_$R6>IgIfC}!sW}#y~oio1L{{m=0m%r}Tfh3nX z&Sa&KnTD%?Ch0w+)RssfzFo>mSDdV>(1Rl4=1o5K&mleU%2{GzBtE zfvCDS@PI+>LacNiR8d4<{nDcaY7ITwX(LK*aS;G z?N>U@hKX+D!uu&Kzs9?+-g7*I+Ce+-5TIAl+1y;}O|^u%c#{&lyVx^fC`-a6Rn|=z zI5+ibd6Pj*LtLsj8<;)fHpgu?Nv?1p_bZ$BLuwfXF)*7b1{)c4BqG6E^k z9C7pmJDuabv;#|s!+bI4+PhzmrdCs=3pSgST?>)xi}DA7|L)KWz~P#qtcz~WE?j;b zO?3($6cdW&JNuxZZo1$f83L8G#`RV9igG)iCHF;iweAY5AkYQ3*G0&L&!}^7V`rmU1(ok~$dQ#LY@@ zo4v>E+{@GOkllP;+pA^Py?1_14^W$LHW^lau{(Fi&D349?hUfdhPL9SeGawTuDF!l zZDF<21?oG9(jQAvDR~|q>883(uuOlEIr}p_ND;}Y3(ekx&DWUTvN|V#)6H6kNW;9% z9K|cg6^56bQpzvh(p}BZ>1no7AcxB;Rx2E890hd1O?D@VhJ^~{@>KcF6^C)L&<4i` zsFs_+yajnMwu$Lzjn6O|X?Yf-tJiyTPeo#)hpTZss-~cy!el180vs`YsAqLDN;ipl z?hQ*oTJ}-Y^r`t^mVR=IC$A@^;-YQdvW)!co*fHG6Ijft$5Q_?%|r5%Y7;`nW;SLeo|(wYFL%Tgvq&nycHH15FSD@ zG5aBY9|w9=>+w+R)nqcDwlu4d1*cO6P9+b zF`dNxT$MYF)o)sErxin7$LGB{abjM&1b6%=(YXl{50h&qVFLrmXWc|=Tn2d=>u-<0d zo5QAb>%2+h#Gf3y8qbn92js(!MnXR<&SLxRc$O%lKRNbi&yrUMPA-=CI4C?ARf0qz zZST@dxc3gasTKf`Fd2_O2`o-0t14qz%obfwEG&OPeTiKb=IYzj?Aq2n-g21p<1Kr3 zLalLH!SCaEDDX1B=1&f^zwNLol;jo6*}pxY>zzE!8!k@bBz;oGd{0_9;?P zD#Zm>g4=yF_vcu5nouLbsT=?%Ag%5Qq(MQ*&UID1^lr#)W9#-%mh=Ku4n zCdbHnxwhlfGaP2^M~9>a9COqyHEQw~7;Wb#%x>e!UpUZLu5k#?kQy}=Lh6p zQS$wf8*mJ@b;u2xxo){}nUWjZafRX~ufCXS)nBqbasv+X=Pftr7Y3-gyEpTH*{+t|NRA_TpReenY(U(4 zXVp=nR)P#kevlW#&|xvlB`I*o^^o?$fA7qQtnMD!U!iOGGf&mIarH|Q*Xee z8lm360O&mEqnXjw2Bt>e)CP{CSTVJMi=-7saqrEQ{>+rOd391sQ*D@8Lf_N|+{~V4 za>L9(ZfA01nycK{4dhBEN7t2u%Tq3!+^~V{8W)MJr<1>#+`!#h+~fvgR%FTzglKDR zd}`Z6gSw)|{k%y=*BfwZDVyHd4NPd#2HpGr4y<}(9iZNTS<=)SW)^6)tLXdZmZsh? zlM2=31~X!(HxSl5Nv|b$_==+w@qkA-;AWE)_B4fO( ztfLnjcXGWk2V8Hk_UaTIh!X`vc5u=Afulk)*!|7tE-3JvIyS+9*Y^Fikhso|M=YD( zSgVJ617U>FU|(?4^~TOLy}@tO)En44^i6NzY;qve8@QNj)Z%eqg2gg}7V~c2p53P2 zpj+A|IOw)E1;@_IrMBgFPG=k%D3?7|^X-HIDqYnbNXW)=R_CBoHKLMoU*NIwmbfan zBbE>HSF6GxSJV>&$0|R{)3UXeiWk!oNp{fzG?#d@7@IF26rDt0!Dk@lX>XQ1clUu> zBIhA4^*NQh)9T$<3pm`B@ZOZKf~wPdli+vHCVeEGFcA5sAw}N~vCu`a5yE_RVY(E~ zW}vDAmzs=VUiVJy>IEuRO`sjX(G78|a}%7}jD%)AgcgA&$XuIUeRamIQ1c|=$CYOG zw6iQAjTt-SA*{P10F+(x-k$ia}){AFE5dc;zZEu#oF6Tf-cB)AfiIP1* z2s$~=1Y*ZU#dSp1$V{IgLgM6Y$OIxOwqsVM$$F(<+;k_Bmd$!@oy@6uQG%lNI9;9w z4)>CdM5s6z&mC|B%cBYaQmxvcm7;Pdc1=@VPgpS$e32}%)U;;-fCXm7aXsPuXyS#$ zuMJFxF6-r^(tB!1e_S;5$ou&~IxOH&0knjVvDKDnL~|fd0Ee=xnxoyc94OJ3`#?3S zV_)al_qcc66`Gx$P+123cCkEGz_E+xxC118SlmdRT7~)&rJk<5qDdIdgMk_isFZn- zT}Sq25MFy5z@{1cb~vP|72$%xLWm};${cv&0E65zZyo}=ZHAK4TQ#~c&j2KnASf&1 z@oca5?6&K^YLDEuX^*aT-wxAK#Ih~LnGD%ehkhxn8*5gVna5-_$OR;*V@^!YxDGHE z%V2M_7K9DqDXH8)XLdK4=SPAmh`rsuuSklJ%4Gu7*!J@-3dH@!p1?keY#BsSBohUf zW@270-@%s|2`8DEq$G1a0^d(k4*HdEHc%tVyFiYy@q2!%(nJK3YToX*vb$Y&1O2)jjl zW@h!9*BJNn*)^llKyyMSzxUi!Hzg)(p&zJVlqxX31HD1xBD?t8fP(E42S{gx5p6(3 z?RHEbadA=S=bgtV-aFx-f;t0P@1EP3r|#t8;a&We)JP8rVszr!PBcZ+zPh zj#h+X{#zSzgtFE=8;|B_kJK+TTgGf(LC3+pH>PwNG!vI6zE(z=Ihp|r;P`E-+zKA&n^)W}FSw2T1D;L>k$fr)0p*t@ivXW6&Am)kT8Sh{Y>9&YKJ2 zcFuQkS29i^;a#EEwbXffW7;Ia=}8tsEDs9MR8~-e3YN~|NV7SrA=Ir(xQs6ZtB8dgh{oAaWL>ca-ee1sPtY+C|X3Td>u zlBzBW$OH1b(=8AdAf$8}IT`nEQ{=_MX^B)TnI<4pTZ?br)cF`FKtnazdf1>>Qyjq8 zfnK>CtABgz60c!19_r z{CS7hs$KdXt~L7MVj8W+z$)jLfWU*6)FFNaCNI5NMM z08`xQozVzj98VmGJ(5&<@i8mBPI33f545h()0Qg45(GY8j-SMNbr-m{g>MYwsJ~kFjuk2X1 zx$t5h!mI-jQFqB%_CyTRW7M)JX<}0x?B_esW}Zn7vb^&t7lw(X1~H+enA!1dE8;gH^(`X)>;|Ga2lR{NO|hgoFq}N_{LBoo z9&2VvOci5Hb77Hr=0oe*x+Q-x3-H)fVeD`wr>*3w?>sYRDmblzp|8fY+ zpY-G3mb83XVpx7&{_$&AJ|29NMG@)rX^cdviA{Y~%nHM)y__?>N$)yKMp2}peZ_HehHAMYRDmbaJX`0(NR{%(2we0O~O z^mzR6_W1I=?UL3#QnNk2`ttbp@ba&><@5RR<-9zieTJu|`B>Km&qEnLL=oVPffk9UD+4U!S$AYF~UA1&|I^yD!zNZmXif zhT=fn*zqcnZ54gkk*kUvI9`L@_!SOw{4MC6j%NVjQB#<{u;d>n=dWMCeDUM?X-6GB zdHdqk&(p=<&;R!N<;9!Rf4=?eGr?#$(6Y{QoLuN7OH>%@0j=EcA)WVos{8N7C*V9C z{`2*4`vu_LU4OgXAExV1)AO<0J&*Ek`t{`F_2G{0A(C%@FpKS^lYd=)KhX0Z;PtPU zAFhs9|2=&C^>n(rn=Zd!UL7w#91nk*7$k^|+ush`BeF%o&9~1tT#pN;FNed&!$*34 zIv(!s7Q43FP_wyhfBpI9`peVYQM%%MW)Y) z!wEd)`}OhbB|`S|Sj93vTb2Bcd!#fx#(O6u-foJgl>r*Vw{w4_!*&+I zTJZ;`RuG^$e*>zoCe8BF_b>i(G5vD>^G_EByMuH_>a5!zK=mky-y*&iG$#CeF1w8I zy$2l6J`0A?!y{4RiPZw_0@`>IGzH5u`N2uUPI6SIEn0|i1FQh5xh#R+1`-_p7BEl# zf~B&+pkhTk*fXqi()bt$m%*{263KA{Lq9k0g1rVCL))|Hj*=8sAmn^4r| z6WD(2ENM}vQ$6i$J?_m?A*24adWfbB-{7~B1<$|Q-N5w+4i_}##M2|&G;Wm=bzuGc zNE1=vVvV?!Yycb!*Z%p3KfHw&AmqV>V0Fl-$a?6kK@YBg_4q8-#CrRrMsx&)reIWI z*MF=DLs=Jh;Vq;UPiWvBbhz!V$C{#(g555uJgKo)93Q>wNaDd|15JGMSa_+BZ*QIk zE?w?vn(R6W&w4mqoMGHn1Hwf>0!J`C2Kh^hwtg z;r8+Fh-`}4HI?)XwWDYhfFffYpetfCnL`7_s&fDp5p0*5fYv||MrnFL2A-4~(Zm(X zW*=B(ST@We7)m!-&YBgu&dezfG`+2BV#k%)SD>C#?xc&5i`v>{m%7DXPE1cYBb$4G zEo6GW>RWg)cYrV?dmW=bb{H6|zHWrBa;imT$#zFp;>-84Sy65|YMgdy8<}HP@g1`U z(CPu4wBYROS7gPD&TqaU@rr<%tlN<>M3vDp^cg)_kTW6d8T1Kbymx!2p{@KFFpO#e z--hEv0I4X=7N8QToZ~QH#e!Hz4l0rzD1j)!G2l_NJ1NUZi@bm2a1GbiIY-Tj_}M&8 z_pGT2O{2$M!+WJAmD%Vh*JNkVU1r(nc1Ee)pJLcF-64u0<>BbcuBoQNrCGrWqGHWM z#i*ab{7QCkQ_1GA8g)fhG`bqMmB*OfVH%F9c7+a?qB*`S?O ztO2HK`BPvp4Ff9CaxOnirbHNmCG- zR;+z=U;s{_V=ZN&Q5@quX>(JfM!{6n%mFC0ltnygv2vB+!Weyrcu5}Fb_C5SWGP&! zcO;9Vb>@rK4u`XVuRrHPPx@)o{@GujX-!2mqn6yN!@N^O`X* ztl&2bnpuH_k!~zWFReg7sg+4A7|B z60^}+PYU9%n3J}O`rk6Tb$fG*v*^BWW%&*f!>o0#=Ac%w3Q}g*H_uhcX_Rp!%KN9#T z?;u=&x<_8@aZV$$x^K<|2_UUn=jU7*gwRrnBBIk1gRGxegN}4>it2GBhL=Arn2=+% zOh{re!*KPKM`M6Uw`wA!t&FQ$^9`m!RbjHt2SmCu`xP^FvMXRj@XFPznjNZFww_gP z!w8Ku3;dylR;#1-bcAC~UOb~Bm116GgEoZi3I?0i(`FD8F(pxA&?a*pG%3uOS|pC) zEWs&b8FocRAuisoJWq=i;Oex5Aq0^%)HVb?a^|J#$K=5pEJT_zYa~-QKbPzc(%%L1 zl3^9KgrQGZ`e+{Tnf|%R9AlE>!fgpv4@-tEfj%c3p*0-*JJKeLZ;u*r-FtM2#eL)H zo%M65-oi=q7?HvA(>f(IC1R8FqFit}kY6(O1DR*U$69-8NGkvTz0H6iyH&I6spqlz3 z@3o+GXJobl1x%cWBo#+?isx6LTGZrp*FvK^+wINgI5D~$WAX4Zja9MZqq=sqVuyU} zD~T+(bu z3AN800lC2y3{1TeJF?GwRZ9%-FrP5LX@ZwOodBuu@`qKzdTWkJycTj1a}0^be#OBx z$4AIGT%6sEBvph2;Q}Ws7S~-gilHgP2008WnxYz|qXWAc_@crzR@tEFbHCFS&FZeQQv<@UWSiP+IQHU3 zupkRVcbN?}TAP_1lp!<5v-d!$QNb?W195}~9BaDwXj&^CMo6B}$9!pT&R5K%K3+1r z^jR(6%Rh3cyjd}p6;(5KSheQB8s-R%#)zVaaPIcZ&i5pe7Ap|c+lFD_2Ud<0%_#)p zz9`z4S4}X)b-#6X%~#%#tcFEtenZg|fUyipn%FH!6$Q?P_BqXInW6=)GBTc6oEyk$ z62ua^o0Qs6&HRRvY=$emXpxb}|6{w_ku2M7SpHTqD{x8Fe?47xIhaYj|G)r&^SRv< zb(2>*l1LB)bEJBEYSW5nqMsfL+YGg&Ep~yYRPkOIw9!59@@(=^-M4K5+ph%>)Z623 z2p!R+NSA~q@H5x8E&MnM0TwFBbU_ z=Jd27xeCYD8I6j*0no=HhG2u;ho~L@=LX1}BKn`y8?8G!C_~1%bZ z7?#HQLm-Ld=9NX1AS!~%a(e}wNCvQ{n`z?kGSQ~%wc+xNr4?O@=S=O-K9Tdxb*E%g z7BodeV?j%VtUQ7mY2P+?4Aer9bm6U3D-IAgx=*!3*lUB**eOjbrCCdqRw}|g5CwNxh)?4^`ry|>roPs?~fEHXG@!s{d0tp%(pU7MNs4r4* zsn@{Mn{Hle%N5f!Jji^vy)NnS@({!PKvz~fqr@YY_0#OW)I4e)K~91lMT)1h&N@}p zx^3>jVaAPC02fPkV^#DiB(oju^0t~<6nm^0kY`1Eh}m3_93nY8dsgtzA&W9w{!^&s2arPe-n)K!E;`}qk;U`Vb18xE@B01Ee{ku$UhnN;`3G>G>J7R3iFuxPv}>zTHMQoSIgs_;f+gX7tP9`-O1K ztjm*{j_n@^qTR>@$V6STJ%!9ewxXkk`e?z7${;>hf%fjo4nhl?dB4VhojcsgNhPSa zx^clO5pl{+yQKzzGVVG9Wl|NfeXZ!lfD z+1dKWnA=ZLY*y}wjA7~%M;FR*OTd8RGFT;Unxk2_lt&%i!EGr}kg!>EMQ`T%)jsTV zu5`$Qay&XhdKk~kFGOA@QFX3M&AP1%hn^w9T#w$phB{s|s89)!LI#yD5;S)2(}bBU|N z>Blv)c}6u<)xk4V zZ!^)5@Sn=K5P?7>bl(X1O3VNQM|8ggn#+NDiJgb0*rqIxmbYx7iYwEYIbAaXTTwo< zT7%E&K-Ak7W-t)ERz%`6c|oB*+Xoy&W>y_Zx~F}d-nrj40kFK|7$TDuNYGKhu@V3( z+9TBU&Is>_>BdUtIpOX_h6>%$lBbpME3TTIk@;6audO$)dYR7s8u#xoOHnK9%R%L| zK>`_9>X&OZdi!;!g_5|=U*Gb^R02b{4B3Yi{di6)I4El-X-cP+_;8FIM(AO~Q#&}G zjB1fRYofRN=QeUMD14Lq2N#6Zyrpa**Tq}x7RdBu`Kwe8BdAKE$&pfZF?L1|p0eE% zGvTNUlV8&1;kc_pXd%cDt*&^q1(?ig)RTI7J>2SzMlKl<C54)6rwA zCuqmzVfp13wy3y#eI+^LXw7Bsq?Vemuxd>x$56)1EQR97PabRn07;&x{xAg3Nq2get;J6`g}s(-)+r zvW7`KDL|w4Z(}F;`(N#+eT(g=BWJb0cnHXzyq$e$eXObI`5zuYrxz7_tPjs?&1WDp zxS{p0;6^p4-}^2PX#&(s55Vrxl#zqkhblsJK{c((Yga`QfNY+{vQ`f=uNK#`)d#9sSr1zSMHX;duY2l}<)DENiM(W;WdKzy2!P5JQ@MLhSb zt!KURgeD5Bv3$bF62N5%N?vgbq!|Uyg!;K%W!b7gn{td_92N%gTnXkV+gEDqr006W zXqw*!54vsCagI}OPia^paB?p1i)Km+2h3!xaMk_i+pfe9Kd?P*aD@?fR_F84CSsP^dx~NT zJ%bo3^h^R7@d*IZJT{(alILDw4`at3{l2H>rN$=^6C%QbE-SKyb;o$MD+tTQ#xhj# zY94zHQ!uCTT)~t6OjfZ(fvaFFR)kcuzKJ+R6~f4YXtb*g;at7lWeCxj`C5Uwk1Gt| z=Q)>jcZ*HJJxw=ZsH@0I;|w)1CtY@8BRU=sINv#Tn<;#vtF>Xbe8N;lqOACozziv| z!&z7)Fo7yx)Oagmfape}8)UY~K&Ghjr73B9lqtkATB(-|nq7FZ($ckVU}5MveR@Ti z41FH?2=(KebOWTSA8wE*l6Pg7vsG%7j(>YF5ygz^-hTh@AAj4W2h>Go80{T)&=pt5{h%%1o=kAxo6lEk@?#V%r+n3a=WWU3dzhvGV`^~I-ORa{4AzvwsFg|G1=1Q2@tC}?VRkLREp!!L zKa_7Gv3>U(N^?hBM9755xIKBa0{1qD>#%A4e00_l2@H8SxI7o;VOBU?@Jy;G$A~BD z9vUs0%1J}ocjE39o#kq`VuMC$k8BFZk~Gl~-Rwmj4@du^uaDn;{pNdQyim8$h_eq$ z1NFldJpE!m-Q(pF73=aCi=3t45PCp}u4+_6m{FVEZl1nA9QtLiuxo;1;K@lVe3A-# zaRqV=c1_PG@B|W|zHDPCksnXv6nD+G+bn9_@_Q)j4|3q zZ*A-2OSI9gvNLbrd-S0EWWdohjaB~N7*>FFFNptxKm4kZoK##m(jyoi|5!(W>pe!TJFjSp{p_^ac?ucy6wl|{l|_~6Y1N4oIg@(3Ra zvS(fRfJ%_;!3Vdihx6cr+iBeNfCbhbdcX@xe&|78xai^9h3Mh(h#qvB&u`}wGO?vx zXI77SUL=BeJewfoIRpW_l|v9PQ@s(wJ*MIw2;p|k{Xqycaog2C5CTm*w+A7@KOclZ z)gS#vhKaVFia;u;_=_TtEY=>1 zfQ@#uDMI!|5%PR~iwC8;yUL^0(`O!OiX$$MIO2#^9C5@dj=0n+kT_};NF22iBrdTE zB#u}G5=X2AiA$>jiF#oHFyUzRvA0&`Ia(D+9IXl@F0BeAj#fnyN2?-RWNbXDwsHG6--=e z6-*qp3MP(P1rwKA1rtZDf{86xvBV`;vBZ(9P(gB)b;qO*T>G#2;XYh?9Q?Vgdd zo{5#Oz;3Y{hX{o+2lV^j#<15l!mh?`^t|-M?nsjl%*2qON@WM495vZPH2Deie^%Xz zasX6}OLPhVE`!}i3)@-@7Vfc_YEz^?Y}QDZ`?zgX+pZ_J$552;hM@}5!>o$ZEK3jM z!ZN(pjBU?FB0}!K7J}?l^7G`E-&K;>&Bn@VgPUYFdui4od$D8d8ghPC;NC=U=yR|K5(u?= z#WxHa*2Vc(BP$bc-o<{KnbXqocL-9h!x-HY55E6K*_B7j4zHm>yQ}k=> z=-XhS7_o_@Bwjp46@em0PfpgV;*N}p;f{908!*~UvWnTz-OkChueHaDp(jeA4n}$E z<;OP#3zz?CGs2Jei0~c}-Xp@_U_|)wo)F#>!h1saTTBQ)-UGsWKzI)b|7QcjFY+_) zGv|2vnh$dKQ$F*7y?89nRdRKap7{Xzo{R5l4+u5vp7wxXVb^vB1TF9u!~uZ|mdh7* z!KLdH8W8&HHb%6{+v5X*>uVq9^VtCLQEhyC@TI5zh4A!?=?R@G)`ER%RBbZ%TqnHD?S4xii~ZvSK(dF zbF_k1}V*r!WqHVsy^E2pSD$~Kh* zYnF!LZIcB4Yjj);6!o|&?+^^#2E!1qW7h&|V} z!fPI_N#HAszpl0c{D16MOO7PF4cz+_eS(2Llt`UtHofS#diMv+U{IOL>VW}o4BK6+ z0$EClB7?!uTEtzA=a0)hYe~*6?77K%?k`5tH%GnSerErS(?piIUgjf_;__zw(Swi1 zi$`Ktw>6<0(DjRCB3FjxAnpuQL(q%Q-I1M7dn*5zY$zu~&8to(6DbILKPqx8bxut@ zBG$RWK`5H#Oa(t^PuXvRxo2TM%QS9*G92dOBz(e<0}AR-+Budlj~_o)sEjB3Wr!RCSPs5rPnUAoN^ z6Xq=F)jcqAcoGvL{LE?swwecFL$Cj}F-DOME?U{{Qt|2VB!HR+*a`ALg&1|6@t}0Q64-D*#WkWfNDr(u7?&!7p}oaT>&c5rPdxc zx|ff-@PLmJzqYxd^NfKV!6kj4(F%EQHTH2lSlm{kv*+J>@fZ4f|8La!_&nRV6_OKP zuEf@g9h}i|G_WQNK~+AUB>VfJKYqxl`Fu~B_oR7Gn)jr6Pn!Saq&eO+bNW&JoHSa4 zB#udQcyiKAkH8UoOq!`2F?-awJ@t6#x-Iqb(8Y?NiySrBAyA*ACeJuV%@IeAn!}T$ zChzn0?S_2UpxTp%ON^V#aewD=ArCJeepE0vV1pvvuE7m%16*#mCA-&^Ss77qzZ*Jx zqAsjxi92eHSC+h)#;vQdyT@P_L@oRu91Z% zQw$nTsH$Q1_Ao~R{q_W0U$R-5v1{Jl3+Js>VHHf_NQ-H|Z@qtPx*B z_o<_Dh2;ucyxGc|cD2jSTx`@PnYP5N)q2oGje0TP!O!k#$_lQLH%(&M_P7S)_Vi9V zT$Q<~`Gw&O$H2PIP+8&8jB3`Js9{v-Q`o(Y3pK=11?Bp^Rv}X39)Uw5Q~I7e#faV7 zILA!bAFFWAsj#1P?a?;7k`ruv>`{mIQI=F}H|_7&F8+Eb=O099pKm^U^Vyrv{{Q&w z&}Ty&ljEeb;c-_x>Fn?%osHrDoNVTf3fOHn8}QrBW^N;G2b*C98hEoAc0i1q&3ME? zW=9lBW``%qEN}Dm?S7X!D@faMX)i{7ez-k&EY0+^*xa8}%4bdi?+&y{mJ8r_d)OwY zfd`O#HW~HYAzJNeBD_T7S5_VWOPV$HYC`T9xl*5xi$?JT5yI^()M44woJZk^*ufyZ8 z#Dv4_gfek^QT&*H?LDvu2E;>;S7d)v%wu1`-hhOnS2y{uN|$E5~P^=sNsAlf}RGlDKGpaLJ)CEKsD z_Uzu+>e(7vxuUIkq?R^|VPhRDyjsc?qHM>yxP!Ht>MC>&&?>2pV@3D&TOY58`VBq; zFGTupl--^pmMH0oc{0gtnmw_0q)=k$8{ypwbO<6V`nVhHgiY*uuQ1&^%!Caq(Ao`~ zno+Q-J+cFys1N(DDm@N^+CT0cCTnw@FDf#V_~t5Q(Qpeua9(1$#OOofR|%djt=<#$Gk-*=e_qT)?AM!ZWzQ3Kyu&uov*2 zN8kg$lRl$BTGmTkOZB?V*X#8{@->Ax@3D7x^7<=0D;^&1nemxB79F)99E&gzo~;#j zsCb0iXN2c+J-E^D;|vE|25pfZ_RRmg^!#Gg%A9!7nz}ky+TbnN668x8U#u%%v~ixk_}AAm}a&7US|67b0ct%82J+c)mM#G8C3-h$4UtgqW;?}Ui zGH$QNGc|&8p&*uDm}z*rGVB`G=mcQL>~VXJk++zG>veL)il=A~(m zGhb@U9kMb!K}J??7 z{P;|o(Tec&7M_v#5RfPKY(!YA%o5q{8KA8y+ZQ9w2$$4C1q$}(& z8xbVTcBvaP4TOLw=14-w^3Kx~)m)#Bw#W`RC;%h;yyvw`v;06XN&zue-#S67i&s$o zJMSiGH9W&rYNTZmqS7;Mk$OkH>{RO_tXpAm3O9gEidyZavn*y?m5o|gEa+^*t`D=8 zy}l=PbZ*~_WHd~;e_Kh2o2{0TziI^J0fu-+b;xfLL&bi@^a`p7J_GbEvSJw3ZjVJ~ zkad|%QUR8(1^%Jvu>l6;$`jh=h8!RZm`9%)Z&kBzXUSVE$-b3NlAeJbsB_Xf`tf!8 z#QVc12c^(Gk_<>XCX%%n;d>y#)O8Oex6SMvNQQo}dmtIGZ1+HNyXXHoklaJvl>^D` zV4yv2Og!E9_`w$v%z7*U8C~Jz|{nC^Du; zc*9771fZ%Pf+U%zBtaVYEKHEP7H|?I$t*sh%aC1oY6z0-o4Wf@qzxRbp-4E3K0=ak zR_bJ2k~ZnnB}wAZR!EY>oxf9(B-28WBvOO!f|OzkQp%_xZEL|-knn}Evml`&pB93I zk}D%5Nfz~-o+Sw-wpvIM3hYC0#9G&gZD+M8(}-1fk|a5sGCxFVDEEaZ4f$V_C=Kx= z>qC@=y63A&!nUO)O&WUBvnCDeTuGB8(|6J&DP%1=*Cg?w)GXH|Sx9T4NqTdV;$SOA zmZWVfi~+Kqq)2+3dsZaW&^n<=+7aAw%#WCwlrkzxT7@-42?xq`Q_4*xbf{Pn`&3x_WpzHpco>4n1=4*zC2{PV(7g8}ZD4SUrKnbrPCT8{oMJQX=f(cmT+~&VSrpsGpDteZ&_}+KvY?&&+{=tlUNq`3=B61Rj z+bn07IqoO7IKRdmN#8vFc9ueu5Y_3=gi;6slL@RJDTs|Vts#)^>rt4_^s9wlWo>?E z$b6mn!VK4ALWOQ?h^g0|<&E4lrDDStqB)2Z&F}F1c2WYp2gX=2b8@3%f26;90My2% zP&KiePB(SFYb@=UzSMV@6fX^b%MN|lV$PRKg`(*6OUC?irXrHH%@mKsqGqiIB2=cC zjiZn#7H3=pQ>>l`H1%6CNE@OkzKJl zUXa=J1YVGBt*9PIG+u$bVo~L6Azc?mguHho9x5|JcO-c<94|lj1tf0^eIE;}$%#w| zyTOT`s^mRi6P@>m_=0^a9JvP~ULcWp$GAnMa!rFY8Ho&5wWFTUUXw( zm7R+kw0B7)M;v=h-BXdKfsA(V+EuGsWV}$J%z>f zo*=}-VUTZrfbRQ&fG7*?#l01V_Y3F?50m525f@FaB!i&9E$@>M7scc~<>!L}OREmg z@vFo|;gv~AyC`}7i|}uoMae__JtqF<|FvEF+}|7pdGQCtFrAEKq)d`@q-OH}AoE{4 z9-x`bk0=u@U;1IYgtSPGYO+9%y1!iFJUhr96^fm87htl^l@CRl78!T@BwK(6LBhobBLFLaG18 zum5A~xpBxS?McbITDZx(fZXKa+&ttvD51mekVRUNzr>1S{s)stG?Mw&?+C4D5%8Iu zADh&7t8h_F9hR6v4=w~-Xq(wfx@aUuaL9_BRMgT;nT^))2%iB$eYNEwP zOSjctbjTzY%8cw?nk>zL&TnAxlDvWab(k|OS6KJ`bWJXyP5`soL4Iy=!JI*rvIk5j zHf&+!*auHqr}qDV=y0arpBe)PfC}qlS8ZcvmplKP4b%|$P0$~ zTPqN;7)~nSEv`ByJvjIgpll?>)50|!@>!dgTG9lm4C6MVO|Hr@);=BcuQl0<`{Vqfr3VKAK9$WH6FGEU`Ja18%w_9%Nc~Bx|gSYJn56`U-*-?8t`zn zeDm|BDdiJH@FqA|TH$|o)x6vzOn&=&epPS7rVjXy{gtvkL_4WgA5aG}STKGAxDTbg^cU4)HLI~t?;I&9EJW{!KcMPQxJB49GHG~h|NO`L(28|=_nxiJw0v&011ER74JFZf`{9q| z{vuOu*L)nYZS&*BaXH|P1YpACQ((90W>14Ev~~XmFJzf#il>3ot+TbKh_#W?3zGgP z4|}PoaEu*egZ;X#D#B4X=Xr_sZ@@Z38mEC)P3gFY5nMti8gO*1&0r}yyr^GZW{bBJ z*MzAtHSlSR$ULH1$wGiR5YX;3B{}$mugX65Bfn3Q!am%&9~F6$z4I+8X|3cU}C4)@*Ln zQDD3DT3u7%GN^Gys;ARFFUdv2VL-W|RHvg#-O~|Y2vcs+Vreay(o?yc$n<)^fJL-= zY==ATs^~iJt!bJH7ePi}BQR$$L^7mdttx#QMqTmY$H2dpsho&0(mAgVRi zsqt9%kW(s)u_XZnzf+H`OP-l@AFnM~XV?Vy8#(iD<*lFM{_HfA)pjX_qznGTOF;NZ zGAhCzfzb^HiHX@9bhdm9og8Yu2%+Chz%I%C8DiqR3sT74Aw0Gu=2)jmo&^5y+f)-x#bb%Yb|qoG# zb;U1R0UYRe$RFT4-AYer_eUynFECMjj-hWbm!2{nq9)D1ThO|aF+E5}ET~jOufX>Y zDR299nzQGa;+@bk=5hBZf0DT+?QlV+COUwsw<>nPY)>a`hdNGipyl(K8|*{H|5NJy zDsX)fdS9+1lW_q5_=s*c$A3}0_kl!S!Pb8M3S?ysre7IPZM7mvmX4YVnM zZ7V+6N~?jbK?oa?6jP%K(wVT?`KeAvjGoI=B5~4KIeARK8qG*B&+SnN6ql?LoClv& z6yzPT)ZOQjtkiBE$%TtZ^WkPGaFwc&HUssl*~G^$-rVCb`X}V6V4T(JdR^YN>WR{? z0SKXav$W!$7U8i|-aR)(!#Ye?5a_zVmR6r=nWbFlwS>TYf4 zY@F1UWfh{=?~uA{vYo=m_NN+MfxBqJlwHfWm?1ZCvr`}PuDaAu)J8$CV2n?GT!{FC z^dn)~lfsx(lUSnb@h4A(w)6mGR#0m$3hR;-sgG9NGBXy<3Ned#Z=}M~)MW5f^Qd&Up zG}X&&QKtdnH13I%)W?Do51MwtOJz8}=y)E^T9u7XUoPzNTZ zN8uWupV>$@`qy`6WDwYy-8tURUOuDI&Yo9q&P1}~=xPzQlYACYY~F5T6R?3P1?AWR z1r80amH{iWe9+j@%&MrJQdepwQoQnl$>&e0^ue z$6~m|lu`lGA%)lz3b@2SHT|W*$ZLtor9)tP5UriYEQ&;Mw+P!TB@j1DK!5!}=JvYQ~;fqQCmJAeIx9-&J0rEC{PK-{> zZ_u3k*WcPALl6dSJ20SU=HU-#CHc} zhq@{!z`;TyeGp_bwksVl&2s?#EPcejs>VLj!MJYP^4ByXn^ztHgcJry(Z zu)QK^8>6%8`m4*QZvo{&%kOy|dnL0-9FW<5lQ=^nS7sxx^RCX57< z856CAO~Z0$VRr9jWrKZ!CR-VxL=-Hw3X90mPLQ=y=cTIJ7z27H+SU6J>2 za-4GPDFjXShVqDHbmI^AuuvW_wuj|WzQTzS{J^xodpM?Nk@&LCvIg$Z_-;X`lk~dT zgaYZAlQqVIYKva@*+CWi!9F8aI)%9Tqtc*LYK3oQ5}8%0p~h_0Ox!9GtwrWm?6 z6LK)fggDC^1Q&Su1n$6f2NG#kjxq>v7cm7O`9U!KGDd3L{x0=*n`!Wx0ok#j^T4D@ z_hJ;@WIkytUm%{L03OY#?1#B$_C}*X=RKZS^8lowK2)mQ#XDXU9B4#RWLGMzPheGW z8>CiCn+EZ7k-2tUPN<@LWxqI3QvP2hq0@Qf$!A8>z(QGbwXbUQ756_77v`+@rJ4$^mGPMXRqL&yW_lsl0kH_-fXa2-ZK%(ySdc@u_n=iLa`a6>4bD4 z-i>@Z!EBAc4N?3g+fVeda|0>t0I!-@8KNM~`Zz}AV~&vRA$$C|$T!rD;(oZhK@^|$ z3Sa^P6idO+L&r=FY0UMN2Wr;I9VFK(?FcsX1}x;GWW!qDp!r7KPUpPrY?{tqeKml{ zD9)hAFlu@2ke83+6EpP|utUeaNX>=9Tcbxtv+6A*V@Z#vb4x=lb5X3De{-S|>_>mI z$t%f~YWpDis4?6Sh=3H+E!Ey}I1Y=dXSrrTGa7DDabY+7y=s+RtH2Mfn6Xz0sawha z>P3x%(n%hiBD<6X0_jT!FmU8ywB>tP8&Eu|F+C_s4&bx*K=6Gds951RC382^;)O4| zuY?47IS7(;4y58{8$`N=J0y>ZvTtNtzq7PDdL_Ra3_L$25m-8vW7NHrdC4drYqcu_ zhVs(A=|ouP8~ved*}n2M#3{IZQT+a+@Rc*|R9 z+{EI zeZUu;>?mfp)6aAsg_;^#TQeg?gsxuxWjXIF1*aFR1BY}nJY59%8@?F@zn#2BlNo{R z9nZpZ84;(oCg)!KV0pytI@WhDbT9m8Z9?hx&CW%GR8DEl6vKEP5G9LwsDa1&x~A-c z2m!Qh=Q|eA%u({=8@Q1ggV>(<~IsHjWu+WCEFmkqe}#l^$*n z-u`}QEf&nTl*_C0c36&+r#a$31Dh=1Rk(_HWp^JUOIw0>6^`A~g!T#Tii=5fQ=DF7 zd9R+71MW)J@J7htfO3|C0zf!UQo;wue2Y%P$@_gym5+HKM9b<6u&#z!h^J2;$#t%V zNNLO5MPhPl05^-MjVvp>py*F20=-HIa}J>meBJtnj9K(D3IAwXK6Y z<|w_q?K#IK3Gfe%trXVh;dpX+cavLi$o?KL$P>Xrqt``(Q)5HWc(wI?qJ#ZxR1cY4 zwh)0H$Yn4O_%Y>(N>ZY@;FvQT%BTQ*ub| zR?Fc=BS(3A(O8rTgHPkNGAb+JBN&e?>}TbZv9&E>yRDp7+2BG?-SzWEf|E(jMw5~| z=%2#Gp)`KJNK7IQ+71_O4dY5PaD-Nn`Q>-zx%>^CH9&-$u%i3**=hi$&f7kWW)7(OVz*iftsw$`Va%p!%iAO1j&Odw<&Ua! zMdWz@wAqA8F0uGaSg{VKqS6diQewfBn4Bd*Ou^wJENk-wO7rL2k^JqTwj_%Ec3@M~ zdNZFlg(;7b{b%@}{{^KiU4qb5{&xZ_X=Mj}>{bFF#=fEe>vgz-5_6`&^5gw(&+gebO`iIH4PQbip*BP1ky{|~%S;*ElC${i%KZNhK31sQ zokA0yfTc^RY5%25Ln4m%cQT7FC6lLTsY(BqOQH(%fPj+k?KJO6O#z`PA0Nt1L=^91 z)awBiJoEYMUY(xYVRm&uawNo~DV^ICtNh(z1Gxs(Y*h8n)64Bat3XRJ_Kxy6jHw=^ zx*vbbytT(D+Z_=ZW??J92$7R3lj6GtfG|dg2|2$eINtR}OJ$iKaf89065W7B3*Dju zFM1+--P$aHo1!EVWuBcGs1|fVa{kc9nxo{k&XwUxq?M==bBQ=}&H1^S za7qW&Qv1R2OeBg{9P4WA1ZXchL7Sr>U*^BJ-08dBiL+)kxjmc;+beEx`cDg}?!Uk^ zM`#5+641bY0UC>+ zTxaA>A>{{8Wc!!?#QKWkrvxBT6`w3YzW%a=7TTjFe z5WTT=3lOhKSwMa&H~7^r)^*ivJc?q!=wwy+B^rnVK|d9hm+8iXr~Um%~f65`x%kv6^d2G=FU?w1tlJPvKe zPM_Iy&4%cG`v&N=;1bCF-4b~7_w#MBTNtKhjI}weiWAEMlNUqXM3_J??^3!rf!d@w zzL|gi6|Fi!g~CJ^&yfF)XEyx`aFAa-HHid)UusuvAK{_(5pJJ2LMg~yB}fOUn3k(Skrz7 z0QK|KJYohJVP(+P5Ss4bfRY(OZxBl1ToDw!A6LR5=W3aMH0qt$vNyD)-wLp24I$N6 z;xJFL^`MA?GZIN!%*~PbT(DWgsAR(heS6a#DOG z11fdmY4e7J_&26kOCzc{0aXhJx;XeCQTr~9Y`v^LsBVr&Sf#4MI(vI~w6{%TZ-MbR zzxx-T1|ltgNvikQGRhTulsPS8q|0~XsXHv7nekl2Xsz>3lTBQDbkmh&eJ4y+Z@~aq ztmci>6@b~J69{0;-;4V8bWas=KwNg!6+M=gokrCBtTho^_2IihjbkKbuuY=XT@bj* zrYS9fYS&WIph8nJx>}Z15fKey!7E4Oem+;ALaQ5*Hu>Wkj8j#9t# zbZ~z;n4g0w>I;}Fe5u(wekH=l`B#hvG>ir zj0KG*q~in-u=)3lzmOi>gvSywv3%N^{Cl|pJNTQ8MW%Bi-#-*{YZUeU6T0%4ix-!oPuk)g3# zC=!Eqd2DQdKzgY)HacQXq}L<$1IsTQpX*yVdS@{#=5|SolW{P9reRnjr)V8iezz!} zEe;NAkE940+YeC)Cun|lx{uNbsGigbD%oxi6K+am#7_nt+n_HEjqMlLum<0M+Kqfe zV(gc0Pj3V?T?z~MAqh~4!b5gG0Mt5k>+}Vp}~U z*88EmPrL(B5CitDCv78J*nYyn|UrS+p%ah5A zy4ls1-`&Qx8 z-Do)#U>l3U++O6Q?>fT`ZRohg>NRkAwYUh}Etj^tOno%mSWN5h;s?V8V*^%~A9O*(p9GEz1R9Ax*)qu`72q#)>xOKMnpW>u9ek=p`yv{JI&vcJF7+G#D$ zgCB$$#=PQ7OdJv>G5>BgY&uK+Z2cEv*$)0EV^U;(kkIP8HaOXl*Ga=meX82T0OO%5 zBO>}0ATh;+!a`IZ?!_^6Q)(<|0P`T5p*i>u6ZQDucb(CvM;nMjdElxaOh(q}StShd zZQ4MHUfJ*~Sv*eVMx|xLhjnoZjQppTLV}QiaMc7#3R&}`sNOi`NMUmhO6oKY8@h@9 zkKpgG(c|i~nsJ?%HwRjz&~uCC!GX;!ha)+QNE(0Ac6*s!>4BSea&aBC;bHaGZT?1F zVV5@;W2>3Lw<(fq9EONpV@ipnLfH1XI5|0>W=KbHtjHcV=u{9LG}))T$Z-Oo#JD_S zg}!IPwVmNn{nL#^d1+OK`iL#%KU}*HugA`d&#!r};i0DG`q&k5LC>(nPgUI}G^vDh zy6GX%iBTGiS_#tY807n)psr9Uz{-kU}jlUDRih$>JIUXrG+Hqzi>s)|cO6~f4TEj#Qm;!+@l_6d4lhrRHC z!81fvCpqC%5Vp6r*L43af?+>^iJkP|kmd8-7)Y^8bz>8#A_GUajc<`H=&~2#Fp)4h zxjbJyh}_wpAdup{u9%L#dEFDqZohK2vR4-nNaP8yYM zY%GyeZ*(tCvC=eT?V~JOU(J_w5zpMB&vCZk;kj5tR(? z9+9U>c`NdH*aRgWw83l;tkKM#Jn6kYL4T<*UR3W?>K#w%;1C)F4)yZ)VI4sKa>G~1 zB_pI5PY>$OE&s#s4|8SQ?gug%l05`I3Wyl^5rN^*D61N8nXb&}hk8ZYeRfu9E|Xq0 zR?cdF3*BYSFEIz+a1*)ky==B*EXCV+P;m7B2GU)-yjasugtTuaWJl~WoKHKKvUt`< z*Tzgo6Y8?t_GeR4GkQ>xgL6Fe7%S!_X-gmU%=(~E3Os%niFqjKPyK-$dBz?8BZsX~ zY6ZlO2wV5?68sG*1@a$(8<+Pg09{R2gyoXAcVH0y!Wqco4CgiB4@`8L#1|@sR1fd| z(6NG{DkyoS7B}x7x2zjyAS-%smzc~~S@$*|4cO$-ts+U4winKKI?xj z&gX8ZeflT*PJA_~f2KnnAw5+2Po*#De?Jn{|5!^#Z5>xM-C9l%)7o542wea>UA}3o z@qDcBzuXUyjIR7A8>UIyqq3I@n*vKzukwN+yLW&ATmSQAI&^TX8tpSx@daQ$NQdSR zmwEf#Ze?};Kr%zPLbRA<(Kh?zghzj*;Gpu$^7lrP`J}y;VD&9*8F>0{6EK<@Z^ zJu8fGxzcZ$T(2U=26x~d6!^SGfB{jd5%9rWW^|&9c2ZO@y)@TRZp8rd<3qjAE0zha zPId1}+Gi-Ns}8_0Rrf|O1y0nn&)?L7o?>3vmPwHwoYVo)NZ>!O)7eg+G*IuVXu;u8 zAwuDN)-`CZh;5YimnpomnyeX94~^4F7pl?_?#a1!T&Kcy_PW13!lB8#Ryt}HA}#i( z6a2m&{8VKPshiVs+(t#9*A+k*+0hQ0a3`%uX&1K<^+vvrw+Q(2dH{jQ57zA8Us7fPgnB5C-Exh$2+gET2TB5evd%&lUqq_ExQp#FOL(aY&-{ z`FK=7_Vvv$PgVDX*?22%v-UZmVEyjE^xGwoqAwGmAr^QvGsmkDs82#n*JIKQfI7h9 z*(Fl^$ag;H+xse93ZQ-@lKuEyy!7=C1P9Tw6fW8NGkBz5Ak%3ibZMI%P)yHhn)6;_ zW3c8yAtkJ|3a`~_2M1+RSG7jrm-_)h@aMD9jDQ0nN%1nqL%*dUGc_l`L^kWcQ4pr0X9pAWN+sR{d_m-MU{?b*rbjc*pZL}Es9(ksv$rfl5%H_HL6eRF)a7!wh7%4PamakK8si~yDp_S7)1>jP%3Y{Ne% z?7OvhI8C`@tks1MvTBO=^s~@{e11J5JN$Ei({`gLl!Gaome_~SmQy$zcQ+L1PL(8G zSQ;A$q1pwTNX_q0qJa3(M?7tTCK$UsX2)pzQhT_W2>WK5gbp^^uY62dQ%7ITS6uA8 zyqEhpgx)Lrs9h|`*%TLJ0($SAJUIeOH;o1FJ@x4tRX(RzO-V~&z2 zLjjR@ZZ45V@~As`B12x>J_DZo?1%JY=CzK+1gz;z(4LwJzbax7>TdrKC|Z!-QQlNp z38nEI4h(Se=1Or_eh@!6MYYanbt`!#_Vy6Q%Hip@pW#plBhsfH`M!YXNg^qI7-krD zik;lWl<6jB&t!F(Ms`zU#n*Z^r3LnX?b%78S>bHzHdijYHnhq+ zL=28IbHfdUldr?q-bCb@KaVUVRq1jn+xf`y&@XT3OhNEfjamJSz>kg|NUXZQxT7?>TpH7h$nnH8lC)UMNYq13K@x_z4faQkAxAvyQ z9V9;_k9gGzWXOduSgMnS-uvPX8uJ#Qp084&trxT>!9eu6Q z0(a9a8I(Z|{+bGE-6YfZ&{-@Z$}=Zxcmtjzra7nrSME7tWO{P^t}4X4)MQ30lW?la z(Fye>mNOq=%iR~Du4@LCwJ5ROQ8lXyjt|egbE`1*k{-9#NTXkZ#2AY4sp%Qdo^+Dz zn$hpb8kYeP2mYxE4{9*^KWC;#@|rPjP||nfTh2iWQ`bm&YB+v7nP2F}o6~+5krz73 zk?q2N{5>(>P6XD_prxZ@&MKugdpkdcE8Ows^qNG8yqVSeJri+I95fuV{rVwrhTD{X z45tSF;VDd`BYi~FoEk+9Ym&G7SRwbWqZcY+%Q49? z`T2|Av{CeAfnc=n9O}Wsz$Ic0-f8(g+H2w~%2a3z98T}Wuvd-BJX7IcViO{_ z)^)LsvR08>Y&`}xFKjUxMhVYr^BSx^@0o+g@) z5e6&=_d}UIV%Wa`Zhf*%Ms4mSzX*Y^uV3_oTAE#Vpd9T@Rm>gBNjfZ0njIw*tO9q+ z5mx^mBW3PHwfjF|dtT20r{MPugM3<>@>%d-z9NXUzdzH|g#!L%_eq+V5zb4j1upa9+@D8vWMZP@VHik-RX~sS$uzyJ)gswg4IXqi+0;W-yS)3; zPl?$V@Qihe_S-~?<&#VYP2_F2jlBrry7nVtF{vfTS;^WPJX zXC90e*&bK};&3()tBq(b-gB$eI6htn-=3q-dDlc-B^OI)`f~lDid(oK?9UkVviTj(OKU%}7#h=n|3y=OJ>i;=-%?0#kyDV7g#^lM+`bi85O z;Hz+tahzeBHkFO+C2ZE73YH5tJZj-K2)RsZe z{TVVDhEB9!PU|vD71fsQ_apzBiq$skz<1^lVo3gH$*X5?xfiP3+Zeo;kYNGbI0m&k zAEvwuY^p!4bH-aY1A6d^XPL7N&Iz|dg%^jdgj+s@IM;POP3yKuC^im7j_nw}42iBT zqyc>*3}s;s{*%}lXYIceJ9E@R(B>RJ7xp!q1NRV4j?FMJgY>6-;Rr4esuyzQDeqe?J_|-D0xbsrCopFk&q~!ZL`UIS{g}KWdU^_eC zEIRY@VGMiH9vDJrVXvT<7yixG&*b#n-a$bZTWrnQW@XGvwX@x!gszE&d1gE_(&I+7 zDT?-M&99}lQr?c~(o*2MkOETkaK<6#y#;I_1Z}?R7~5M#{6V%ul=rAZw(Wwb zJfoiuA}nH5j2~O!(_9qY1C3Kx{OJf?V4+&g^R5YpeFg0T8IYUC2_AXXp!Qzr~%~^d;`lnt@BpnKW`HigELjU2<$oxxYL|kk> z{RK8@B(9+@cfhD2sT*gcX~0h^&D&-k5@}Zxk*%LLV_Q3+S7r0nbRa&?D6l3UfevbP zz$c~axW?$92&cE4J)W6&N$%;WYwmj*z=-j-bbH81YxK`P9_~Wlw9k(v+Jn0pJ;ZX7 zk<~JpQ}yCX-sDELmsf81$-1*95r>$DM?W(@0;tlQnhIjVIGYk;#Z((zFz%yVs(eOQ1rs*RV-z=xZ{l4i%9FnF^& zi9UI5&Nd03AF2+IOgDdfZN@pAZ?4@6~OPjkBL`K>o0@TH1 zEqt%iALrSt+1>n%U@%IG;bZA#%s}J+FXtY(t1+!zxZ#y+R+q+{P37| z{mxWoZ;blEa!dqha%G)M1_ z+9UEIEtj@+|6UtHdHK}OwLDbhOvvgM#aD41l?X&p9xUE|=z(AAx>rEYxF-+4u9ZS$ zWZd7C)#&&eW7O474)QNS;=1s1WxTu5ENa0omejgeac7K+C3J+kvUj>VKC;}4)+6Dw z^B`f&i5m9#KU`N{rP&W%-I*Gf7<_BoT56tLsCp_l3uv9$6QDY#wi9H|i){Y7ac(_X zK1}=tztYYxxxy48bq()w2r!*b_5P!89G*5!>tx=Wnk-l+p z`Y$VXLC4N=-u|eya-*5H9#Or6`Z}eH%iqyd$SKi`?~0khGjRv({_X9A&g=v)LLv0V z(qxi{{+tsPn2I^m6Q^Kjv>F)2LbL1k*~`i^*uatK5SwZWd9;F0=&Wjl=0JzWOs|f~ z9&P_+qhw3^NuIm%(IJ#?d6N@w4Ln}&-Ps)r=P;#k-F(@@Coq3}&u=xzb-4=u| z@nsp5iNP7|Iy-OETk;>9r%tjJ=%Ol0TuO2wC0CLAwOW&-WCuzt^q>VdR0aL*6b+OZ z6VtNlU!wtf*c<^`X25qpDm*w-iABCytj6cVOrB_XHGwZIsjv~;dLB+a)%7ys3ze{9 zGbkd1!_BPdbn9ciJs0sOI;{9-oz-Yl8I)S|#XxF_oFB)Mb`uNFKJzLMig7T60BmPf z!JqWTcN0t+W%gTybYM5+DS4*CCc5|b_Ik>eZT5{8YzcI;PHH5q6%)Tk!Ph5bUlxGy zZ*9E!%gSX)dTO*|3o^uOg>2?JC$;Z_5LYMq`V>N)C#2OiC6Umw`dBN?Q#*ZDbqKtw zWlE6sQ~t?z2`x)CanfRBgrRb!Dl6IzztkZ8;(MNIUmB6+?`lR;U)Mdz{OKupJxJA+ ztzCe)<(NpOcH@*21Xl*x{M25q1{vTNJ zDdBv6#Bmb{h&g$!g2zPAn3m(((*mqcm-kq+SSa{qs^#t10@7=qO>QR9uYch-+dZWw zn^TVt4b1eC2KaG*(-k2)DNf&4|94pF3-9pkU2AmlH%cQ zPJ%LS{Jd340Ngx=+xK-!@kAlK$=Xe#Cub&iZSVvGOBX_Bz6DOBIQl$zojX-3SyVL~ zU{)-3*}0sVJ_hL{=yM(*sJTue{;Y$uD=T?Aajy=vb_`P@wTv<~g@9%sCE||oArf8t zYN6Y*j_r+6k31Qv0c_TPiR?|bj!#r5zHE#uV&tms3L;W?gjGk*{8T}i0lk7$V(y4Q z5Zr-nA5IpPZTb)})wq!}Cy+gM!$}!daZ;GY;2fH~N?H-zDe_*4@q^#vh*D zyu&E>VW_P|uk)>%^`^*nTy}GJf&-gzY=3UPJChex7o_m=OPg^s8KgpND!A`Y>3Xcw|(<}h75&2+$RQe z1KM+PCFJwNUl*AJaF3OS{#j=TAv_SxGk?7RCjtn=DrR7Y2=Z%ix0j&a|cszuHBCtCe zPfgFJg_agCK1u#;hT#ejel(<-QT_(Q0=rU^K;pW5qJk7yKhe-r2P z=Y`bTq-=rWJ>!fWU+eUSRpV&;j=-|Qhl%X^OzcQ8; z%1Z!H*Y3BNVcORn$Kz3w5yu>o=;-+dw9a($1!*83Oz+F_wqx;N587b|QRGQ)P6CjS zObx~BLd^eCviP6;Dxm3G$7zcWkP9g9gV0UhzXR>4g+3=fKR9^VJlthw_T zYCHE-#d+g_fJcmA1D+41d<|^b3n6Wiag`a}FsK8wA6!%xk7vwIv9n^14ztnU=-rA5 z_d^d{DGWxo&NeYP{?5Fle|St5S`pf2PB|Ogt3K}6!4Yr}7R37tMz(qVU{Tp3GWI(b zn2ul9_ScRq?FZ9!wrRIw09wK7=VCY2a->=8~ zfOm_}Q{SztI|-T|zL$tDGL--2`yEHVFvaFP3LV?Dp!++7{3Q&>^cx?^`> zUK1G4Hp)#_rgt)65eeg3qm}v>-Gvpec!57JJ&DftmYr}2)Sr1N%-VW?_ME+5Jo49{ zcnQqr1NpxcCdKrB305D?k1wr{+*^e%+)|&LmhCBTy_sWi@h`dk&I^~i%RFJ>4;;Bo z0dWA>1vL^4nex@>BP2+QRvBAfhUyC5@~59Vn&l^jOEof=?~zO&_r;!sM}*t*=c+)t#kn_{EQT$>I) z<6Fd~v&!@%Pl+yz-ju|mre#+oF2Mjhq%cVIO)*K$Gw<=7KhmpUdU{GYdv#-&8Oe2H z?OMx^FC^Ywgd;=Ncy&_FVle%WFC*UW0&S4_ZUSw#S%k1kI`T-F1R2)ek=vL8Wl$X% zd8~s9347V^K^U(VgZfAVM*To76Ric{8jA6hvG*maZFynC>Un<0EZ>17u3o%Q`O46> zwRerlcUPWl!fG0T^FX9mFP@b8eGlQNeuBh??5fxhTb>lNy20|J7FgT9ON+?tOW;GJ z0~c#a`58+ZvFxg839Ext_r?6UO>&*oon1~HR7XdiCAeOKapsvf`i-C5uzrFv7OW@u zw3~c|TgEcHy`tG)JlY`B+x$GWQnSn;^X>Pzn)!ofX`f2v zJwvId!9=`734PImn)t*-u;oNbyOdI9Qxm{@(R{+j-JmWGr*@u9HBh2#;zv5oP&Q#^ z69J!kX466rnYm0rIhyspLhnS1pR(wyUfCraQ2Lt}Kk1vxl7W-BW;WGtc&L;G#>l6l z5M-E@$m1BOm$Ellt7qOrm&)^8)2Q};Pa7F!dc#1Y8a;bVtBRXMQ7NZGtiwr^!K<0O z0DY4}6IT70LQ}nSBBEc$?xHhKGqhR(*uL6V(<`5VUZpWKE>nCZ>^)DBEW2Ey;Ukwh zPgxfDK0KdRb@Pezf)tv~B{me^(x05_xlIOT-?J5$%R@keI+VT@hnc(n6sru9c~jU8%G6-W4VX$Indn^8D!WNV1n^EkKUsFWhiIjkr11MQH~P* znCfYP4|orYC)!F_6}sE~zPq~Q+H)_3^-Lr~j0m99B)v~hlL1g?!8TFpTTo{6E2<32 zN?=T$e~Nf=?Jjw?*LNxQl#h3ksFs*~!p?U>k8@&iT=g}ZmGAoF0Sk-ee*-SIh~{VN zbG@&YY|9P!98qOCop>$G{JsU$T{XCImECaJ6@d$&`lPr;zAn^7|pwTJL5wt3Vx=KWc(#se;^p`auG5Gca3#I zXk&Ydel$Prn~DQ>ohir0Oz{{|o!uHV9Q9>9?31d3l;i)5g7E1cl`h%^e>CGXl;0XM z91ZWfJBWFU#wBXNN5I&U0tUiI5gLh@3zl6LYUbED z-GZZBvmA#EOqItoE8*Cx!0qT)^bR1g>27b-ow(fjM$WEO{3u%Q|)% zcYxkrMJeO6y)p##HK9s-zlZZ-iuVKuo^TlNLy;Oz5rJ`XvjMf|Bz-x`;2aq1O2O<& z6c3`PHpt25DaU?O_R^C3rZv`UPJ8e83Mb6eR#&j?e<(){zo&1+q0n)}4+7zhRD(0- z=c4g|#Q4*jlE|`GjW_Lg1}uIfK#awJ$c)cNo3rZD`m|4H28O?-mR5C|sVZ^owWFk^ zzs0+xc)52<)$X|YO4V3$BL-_9*jZ&%|SK&&eFhpKHVCB;2#&gOe-F6z?=i~Xx~ zs*>Da<`=*wx^%o#_TR+f%Sv%^7~Ou#j@V7BIJx|bv&*b39Xs9S*YS2+D~_ux#XZ|q zT~!$Yi-_h2SLE=66joHqh?P>bhwEb;Ia-=wR#CW$q^Bv5rox7E`Bo*b&e=eXQ&qHI zl=75|x;$0&}eT4p!w0Qq}vT|05qZOf`@24uCXu8_0Y12K3reQ+W?%k6t zi@H2{W!ckOTy>UB$rZY4eflpG@5`!ZYjRqL`Qp-OK#gPTo4iO&nhwNliVB@G`TB** z9pP$m&L(LG}UnOXOb-a_;--&Z+i!yVgLSR@)i0` zJzDLP@6Pn-qn9!(FiH5wla^s}r(F6GdJKV=3gZUPeVq;erXo>5*K#3MV5HVyS#_<_ za(QvQ=AbIiB$>_|Hr;Ic;H{TnM3os*e?(Q@-h5xBzW94AL9z1;(F!I(Gk1zn@gR|44rYUvv0SS zwaymrbj8g!7c(}ly=Aepdvp7orwm*{w%94=RX|q8V zNFR#>5xrH&LKkeedy4fL$s9{p$5TcSb)1K1cQQ*nJbklH?t!POdf~=++_uJ#5nX`A z4TIHza;&w^u6 zJs1aC5NL^$oa=ki5q(|mfVB~r+9gIzu#|XSsTL(J@9t>8|hTzHlSQXfJejcQ}*q6;TkOk->hqdP$Ai+(!r67nFd%1 z?GH@HRB9~IV!~L^g0WC!qe6x{GS_3zb@N_AJF1ozl3ikr;cBV=hf{Guo%aye6%M17 zUP8#?Hn`U#Rt%9kLdL$({k3z$+@19fVG>@uq6OPok+W4JXA2d*B-~-_EX#I~VrO2u zFJ!gPC$7P>8z}=N4Z~0|bh%`7u~sc>udzZNDfZ1VViI^a!xqQd=im_7F>rDTqwLPq zy$2;)T&1=-_@wpa4nxbJ$QM(z;WyH)@a&T-)GvyDQFIe?bJ>#S9m$wMi{^N4Md}-F z3FG@a;aOwTJ8r(k^i_9l6iA#1JVBz{XdT@_7TO<=7v$SYy{**SO8v#H)X%q*dONAN zlX^R;x0CwccT&HvAWz+`F7oRU8ROANeTr0%Og~99Qj_Ck(MT!gF1eAib%bvtH8pE9 zbyA93d2~`5>2uyrO7ZOVwo;0@Z_{>5o1(we(@iOC(a3l=rFhV8zPy{#2+i9(DKulx zSk|^LkC0k`7k4yMikr}BrpWZaAa@(;}0OxY5p=5EUN z!0UEXw#Tx&tASIk)6`n)W9RBUcT@HaO5K#LTI+46=r#+{PLc6r-gb(ty+{pJ;L}p2 z-@U1_`L4CmRM`r&bL~x)os?4(WqXVnj_nkDn~S(V_sV(dfrM{UrPyR6HC0v;ZQZ8I zOC~i{ifiB3BPcpq>oiqXgR9@B%4)QY+*DZ=mvx&e#nq)NnkwUgu9%uCi+GD4O_ef7 zdb>?kF!sk64u9Z<6bmF`-^ix%g!_JqP$ITsKj0>|N=T6hu{9FZ2eAna$sx8Hnml-| zmevxj&CfW|T1D^4YPGN?iPfr_YbIJ-E3qE5*3G&)1+5t}dT4#I9Djk(Zd%(1DA77P zzr<_JSRIMi)|OGCZM0>H*94-R5d^0_#MV3zKZvb*KYAjzbrUraTQSRn*e3W7UTc68 zt@Um~pFnGMko91-wbhhZt(P$pY4ub=`b}!hLz#~e-tiq;Zxg(bw?Zz{&^;~@>Vsor{iEUkQO~lqzMID3K zdcU^YL~Og^nur~p+#$9mfA$BlpBxTizmEMn_UqXHEXV%&8un}0uVMe=4Ey)x`Y@() z_G^s{HM8W`pCZ*GbFAyviYc)BwPGGy-`%e@BG;{lR*-#pyS3t0IJ>n*`drto6;Hpl zaBIcfw=%j`4y~#&j$11ernWuQnf87G<{{RzY;#T5%IOZmn?C zncaHa7GuVQDc7t4uUGG%!>SFob*u1zbh`vihw6RF3gt@ajOm$uq$r|BZpcdzxj zwBj0Bc9*vLKRwjijHvCpwDG0S>(ar~A77Xo?KiuiY^wh9NRcOWxA1$v4z9RUF;9o6*KVJ>}?=?{SK(U2&e$zy1 zZ~H4n^zZc7oJ?%;4-s}D`tVuI77?v3e>8~oy7iBdJ4<&Ye~#R>_N=cF?D^x%DZCs` z4ByTt(;QZcb5QA@&XH%qCq(bfM5x&V&V3?9#L{k<{mZUJVj?*mPdq<0((K+^yaW_G zFBKb)4bR>^TUzchgB`b6Kq8s;#1tJy{a_oe|INn$moS@eMJj@qiDBrcMx11}V#5fj zxWS^?ZKWt}afanJ=n=u5S<_&+n#bUz{PCvX_mFd<*JW31^@|~kXCeFTwV}pB+%;`1N>s`5b-_3IJQtrBP*L9;s+6-8g7=}BBOYRs_GXs%PsN3`A@cO`>idr5ALGmE|i?+86} zU}Lah?ty8<3^u*c2#rYes=%g0p0yr$#uw|s*k$Q0jcDI%?3xzN)dzlOXq`sJS{_*( zC$}5wsyFQcTiv&_Blc`^Y`3I%7T{6vJKg(K;#!1}>#BwBkdPOXynslm{%-~M4pb(-6Gb8|zAC5@+5GbLtcs#Wh=KhgoGpN*?FkKE91{c90`4=mR7<)!UJoo*(CC=n##R2+qy;yt_Ss_0(k;vZ3@ti$MkPi;Q3RT)8 zMtsxu@Nf33UDu8r2j=?~jyD+SL5kA%7#KLPBmY0JB&*on$KGUv0S2=j-AkU9M3Kc} zDFMM9Vq{`P0wJQvVtLUzNO0te4_-}8>Rpi{C3o#0Tk*zRm*@NKNi=tQ7Rx>o-{M;W zoIOtx@MV-&kYV9DUg0D!@k|Sw9tk`HM6yTKBt3D)JUq2CjNqI&WZ}@{j|f75%>~60 zfe&DDH++%&7ieAx`vf~bI)v$<=lgF7`i`u<6Y8B%?}U0M)H|X6(h2qL9Z>)KfclBr z$7^%zAIn+d$A@S5%M9(jy%xWEdU|FyhT9%z*wPiY6J*%hiz1vU^OyxjXgp*OOt9{~ z8TPSaZ@-j#md_0EyX>C!Y@jh4_&uJBWP~=;vpGX%JW97+eVMOYx1$OK^3e1M7Od0L z)bnYZ7U`7d47P6OAx876SsG^Fv*uhpbKNt};halzs$FBQ>|*Ql_@XCiVFRQ=Gm#+< zir=LhVn{eTKPxV^&1g&7(XA{-hsT-}VT)joiR3oLo@2uvir+=6Jc6(mt79D>Sj}(# zZoNos+WGim@yONpMKgKCoa~x5@dY$O0F%k?Pus6?6B#|Lp%Z}!uP->8QJE7~)#qe= z%qKgeIFp#6_E|vPY5T&=Nskq(8f;a}q25N?fnSzXR(hHp4q!A-j?J*!Mla~%3Z3W% z}uMtzqK*7rxTST9T5@;u^B4gnUEv6?!c(nS$5CQ?%damEfF##?16kO z(GPp~sv11e5;$Ua)P3Y#JcKp~r9o=zn@9+i=(ddYK#E*D7M3(&dVk@>WX2t4+Op7Q zLeAFIw1^@I(E^40X(nSQMnMZ&+I^YyxV|@ z#q90N#){MCczvQj`#D#4 zVFERTy$@$p-C~xBOK?>1XQ_$SjA`N|VL+A02mpz!L?4@9el10nrwxLlS9!|Q&Y}o2 z3W)sy#J#FKF)@=wl_;og7}Ree(yN+Np^rDSUV%Z4#OpK?~jpVv6oAn&}@dad5 zYyhn~xWfA8ibkoX8zfM*k-oqDTD`9rPOtF%@(Ryac>dFb=eJjPzPj_(ov-eEb?2)) z|7N=LCj_MTNcW3+ihktCOU#GIQj)kMxU*~G0D_~Z4XF2Qudr2WYOakHGBGus|H7Pk z^>O`=F+N&<{_2^8EvlvDa`#gUVuXapCg!|#oOYT$?3Go=it@}3!4rYIDFy))i4MCE z=P?AB-D6`>EMkS7ODxjFg-@_<{qFZI(*&Aq(w4~u7ILmT^?9<9<-koklqs9EC%5dE zjTm!aU0=A|$(Uo*;g(bI`=FR{fwXsers4}-*i$IE%3h~dlxHh56)AT6>`BQLzdME8 z)jXP>zOiQyan?gR<~3q&!zz(2&!5+>)lkf8%Kb03y95z1)$`z%^?aFi2WpfCaTlJw zOJnCLu?Hk`Z#?w?;A11o(C<8zaVV={T!HtAUu*Zv<`c;JK|&mIZK#P55<29=_3*1qI%M=OM|PCN7DtyxbC+I^Ic?c{@<)7+m93w%JB$7?0& zL$0?Z`Jhi^ZcePIC)GK2+2kvdQhg!Zvk#PeaEI9n5G^}eb2Q;02M)Ac@xiKJ|Mlm; zp`VzH-SG-2k0*cNm9!>+sk$iYQPj3dQ4x1dk*za@*_xVEQIZ9k^P(A6F`Qp*+eNP`~RV{ocXo_RRbYQ<8Nlv~C_B5Q82aZA7ctb6yQI!*bSiQ7UH z$s@I}OQg?_FFMbFXpeFd%bazNfCf(z;{!vT0C-~R(rEJ&XSatKU_U9;@gS7fD!o+$ zU!WRv-Xr^YuNvWg^P!I~{C|p-rSdTND z47D)R0NXCO^r=ZRH*ay96^$PSU_DxL(2a z3a(dhy@Km66kOk4z4hv?S8x5F>#d()GpCYn{9M4Gw|#7c zy$|We=W*B-n-h{x|2#)9;~LKep>?N)utbXjJ>K-JhDjX73)?UfBVA!$tRL}r^Q>Tu zOh;3gHD%E>*kjfREsr#e&^2MJND0F**XpI({Py87j?6LB z+>9wyTiK;P&f|-oGZ-5n37Sa^ZYUkl4IvEZlTZj@PhGSn?HE0*L#M|DAJvDzqYx}j zu_d+0;11^+P7+6W%d;?+8@f#?T0dXX)z0(xCHTA@yT)bi&(@mBMj61s)agvKJs3n15=}7cy8yzr9OX@@y4CTYdVE2s`GVJQrufKKgcf6pyWd=}Up7I|LkJn&k z%^hI2{+q+s9HVEk@VYQ}g=igu0pvu2q^cF|9;8GT=w~CRZE>`BWM~yluR#jP1bOle zAGC={pt3L8DS|s6L?kKSl4y8lUr5n7*pnGs*KC-^sB-WI%c9c4>0%wf?^djO8dB5O z&Wm@#(U6xp_Lc3gzvcfb%FDAa&%QkS&*0f_FU|h#X!fCI)fwQNC(Ej%#F6-5*_xI}cHWL8>z*m&CRq*kTQ|*WG~s#Dtb6uNvThwVf3mE4u(qBw>mJ$b z=2^FmZIC?ccF@d&XVo*F&s?&o+hf^>En`1<);)Um&9iQs^owWpMjm^`C-ci?(z&fH`Th` zW!zL7)o%8rT1UjTc~fm7rr%WSw(jwy+Q8VHH`Tg5INOtI1EuRcsn$KF^QPLsIa8ff z>$c7((?(;c+)NvdV|Ftw`}ON@9Zd10`Cv^LxT^S(p1Dy}@ty>cPWcb}Rjy-44g+(4 zh2sqjU8JP%8DQaH9Qpr&C0WI8wH4 zIon?+wWwBLC+Wnb(um=`A`5tL(BkMku_X-_Bz`rJbKU45ouEO!i!@>B97uC7SYMd- z(orbE{c;j5l4NO*rnQ1;D1$Ay!6S_m@)6?mz)J}mbTw8MHY`?_W5$WKh!p~E7dY!! zCMs?9&K<6!!p(*ii?~aB;KF)O3;5AcLlMZv5OmgyGAg-VJ1VU;oG7fkGO?o%ko=z4 zE23+yh8g-D{ox$NiL`EvK%qGA6*)?_peJ{2mk%6Ci*sO&SRs7Xu6p1eb&MUbcwwNg zb+d{?ir5{4luP#U(Mz@wOx7WKu#uPA&0lzVVI5n%E+<4v&{O03o?Z2j0DKiPrP@QJ}gF}y|Y=+pQGg+ECFp< zm=!x_K|}7Cd9HBr2u;K{tDZj(_J8hfx*q3y+4jSOJ5g=4ufHbleW&IkB<5YL1a}pYAB^2!Q3=gIk z#TmX1vp^SiG>l>IH%BFg==DEFQ!DlS6^U>>^^zujSL+-QElDa>-gp52nP9;lg3K_~grd${Fr;Kd{u? zkrt)YkTL_WGAJbQ`@Zw((|GtmqqL9(tO$+M9$_lS@mSy!4+o&!#Dzp$F;c`iF}f2F ziW|>VD)dg52Zxl�aH5tLzkx3un$$@r8FrAguTnyiAo&IWt_2Qaf_TsK7hY2TWZ* z>#@Sqp*SIfUx3@r!d4vF3JZBLavNpnaxVfQ8S7v<4@9scepS`$f1zXq0=v4%3)mV@ z-Kdf+*)A?!ypHIoOBlzC-vWZNTczfPhQgbI1#1DTq+9-4N!eG?3tccO5hsh6t^->{ zN6#I!Xva8?z*(YKy+yd;t7tX)y-*q1P0rMY7q#r(^TH&osG)nsh$Bn*5cSY;Wo=mx zq4V8|NS1$`fCOcXufOo>^~ow*A7pE(ZT&&EW|{3ETdP3PgKYgPVLZrou>MK513LWT z)4@W$8Fxgl-YabOPdyk{V#c17Yoks2LAiqYd{OR=lbg~)%Jl=*LAes2lQ-kKK(7bm znu~oMeCpq+55o2NzaI;P7=%lGeh{vIKgp`}z-S$PcRCX8HQc@gb+o9JFvUR0p{DA!mbDHrVBUqx|-hy^$H`G)SLLG-rEA| zwKG)??zKBdKe?Bm92fU`OVoo|osMp%P5zpX6*Z1b?)49&`ruxxq`nXC^^ebSaPO06 zNxrYpeTD8TbYG$S3f(^{bicnk_h8&t=e|1k)wvJSeRb|1)44w}LcGa~1mcy1fpyLY_A$yGVG)vo;SOA- zrX+XRG&gipDsJg(P%sA^^vU&d;?#WmSW%-rZ~!D<#L;e$OMcY}7bw5F;89yC`n(B> zPl}PbsI;ui=JxvVGyE*ij^NC$2zC?vE)0Z!>4ae-IkVz-Fqu6of8Lp#KKEmV^2S;E zrBvdEx>(DlV@2-`;wM90`hiCTjADouT_8{tgpVtn0Ix_S-&*(+pReXoIRAR5*F%$WypBTQZX3BFzc3EBhQ1XlO#p zf;rFM*9HkFM&#yhj|ez2f@${+aHy->@TXOZBhb)pDUY0xBAA>WT@c(#lI=;VRYsOUD19Fsw9 za$#nnKUb99NQLN(MLhQ|{CBxc9YWoPa%wp{yhsxJ}(XLjOR zvjAba<;&^_ey@#ppxs^1QUHz0?qmgR^bC?=-W9aK7^yXCMVG`c(G_UI*3osEzjQ#^b!J_jan!3*Vsv*#*-ZI5oVqjkfFcm$HTG~qR|JYS6QhaIgDDq ztt=$1^J}HuNqA^$>IlPxt0Y5m5v-PX%BoiSX%m`j6&%b zpvD?0Dp$1G;n#O#BPZZd@oh)UN^bL5;ZfMf2_7eX+~JWNhZlFGJA2{G#YZywtJGel z_A0f%NU42)h1x6BUZM61wO6S99YXErmpXS${@T=Pa9MubvUS5^D(mx0UBza~3X52D z9Q!nK+~*410NeCq-OxKWR13IcS6P9rB^;~V7&|=B_lg?S8+Zk*iVeNRdY5r<(q@Ch zuDDQb!HFTUZp#d56u6AQ)!IL9m>L)|E?lr~bjxtp+{J|*QWcL_dSN_5jla$nLOk4A z56dFf#T~<{$Spqi5$h2zbqgbhOIP1KvR#;$uKrlD=(e|)54zOt=vWg>Xq zaE@E+4?J4#NQ+FSLssBffQ#VwmFe7`7c=aNidh9$ZWY9C)C)5bQywdP9Gxe$2E0l> zyjGzT2vr#!PI>^MYT;Bz4S20D>=?#ypJ7qnGVOcA@f6UK(!fAkA=7 zfPGxV!@K~AS=p^UR%|agKY`J&0$jIbsa9Gl@-ZS`JLaroX#=6q%#Vw`cm@`ry*ynH zIO?^YmZs=7t-#e!_8TISTs+1`K}{Uzs>ku1o1KG-okjBj6D6p!c^t41<9h3Z^k*s> zqah>+nf2=I2exh==~G%{z~NcJ4{hOuu|N-HW;eI!f1ACEZr*DKp2Eb9bzzcN)YHA9 z#F-Lacx|jW!S3iRFOBk2bc>f8eji+aGVRBgfB&&d{6n=fctO9*Ww9{4{&-ipWPoT4 z!)39b5pEmM4TWBd#)^(&XddMoj=H&?290X5?Gx3saQ=VnSGleoxem|mucA?t7k@LY)JdJBVrX_SSE?EPU1YwUQ6@B>*40_?()TC?$sJg+zkF)QM1 zQhe=9-~*Fyb^8$h2cMo0g- z_}kIfD=-u$^D{vlps|4IJ&lJ=;S&yR1$J~IS>_ohuoX(&X%JPUVMU{!Yo!uh$F})w zO4V4A$fnLy1w8FXR7Q3Pj>v$3PNegIe#sq(>75JSx!|1(-nrnN3;y_A@Z%i|-m%~v z3*NEd^Nt1YSn%H&3x0pgVmMqkS7|;)u{U@6GZ^{(?BhCbIIU|AjVSRlS32LUUKkZF}4qztg}LBQ%NmCv38zM$*h-~Rba zFLlI(ja$FmQ~P4Bx)rE-DhE63FtwwxEZlC#95{i9l_J)zU^u6&%3k%xQad^mou`g2 z@>meV<|@POm^Nn1{Gxh!Ih;^eqpp-3R^ten^dp7D` zE9q_b?`-Ac7?wt}Q%LLS_Q;^r8Ygs?u9vvlLP_IefZeg6lvmoXu%fowWbl{6AoYJc z`kxbmB{Z$aip+NK$Y%Z5_7%`?LE~b#RlD(3(~x%C!wVT-1X|~Ue20kb)*qH{!aq?` z@8Dp*!2fo~df}>NFP#yTb$&0SY}&1WyV#I+I>JbQ^t zqRTTsC{Z_hgpnxJC?JXpSI;gMloc?A?=fikxKa6;ij& zcguRLsBHe&p|r;lRVUVe#C;|1D{)_m`%2tDB5{Ab3inmGufly5 z?&npwe?Z~>{+7E|K$Q)_n$9lYQ-26t^>`dZ;hN3(y24evX23cXu6meskB*vcTnSNo z#P2yiSaPpu`ae?UW+Amf;Hsk%0jwm{6yDiGbJN?l#^w7Ch>SKH^ovWTvLa7^QChwG?&b^P;vvxTq{(ra2{5>dAg``)$W;!zeltOqdTx* z?4jggQs`bD7rOp^{cNFYCqUY8brVXAf&CzSsC2z?x9-N>>YI zja%tjC5=nzTCMd<>3)IPzrX$Smwu#ZMlm)O#eQ8LEe}1{O4PK$`oA74(ne2RDBEsY zMeR&A>!V*cIY-y9tO3s^qtq^oax0@fHCyd? ze$s;wB|lVIh^!)BcAD?VbFMr!XBGy|V4*d>NZKt4*dkV z>Cim6Y&!HCwnK;hhIZ4TdHR@-6>S_k^q{nx4t+(s>Cin@f({Y5Lw4BmrbArgxnh;g zh91X$v!OrK-vu^wd$!Gnw+%MDJ#9oX4jUp+7aRJa`LLngY0k2_&hMP%VnctIjK>Oa z!r7s$n+?4N=V3#)^I=1;*?F^}S9-hI&^#j@HZ*&d!-l>`zSz(YS!=VQU$Y!G^oOOJ z4gKj$t`!#b&4wPzarkoQDkk#{DKk zkEv`jL{Dt00~p<82=r=?6}cZWv^sHqAs2cbwYj;_D@_g;e#KZH4i#)P(S$4w$S?N{ z!l+T{Pxs6+h;kM@wO7C(>_uQMh-OP#svxech--Gq7p|%u#75**PfPi+qG!A=3+%xi zN-F$fX56A!Jk2InSAo444iS8jzo$}|GEJ)417CD2BDHhI7qjt$3{XoYkk;VU`^oYXIK zIH%)-@MM1A0^3=>@(7k$k0e9!XlW)C4ldb|4SxnYd-Wd0O{^&M>W1cQ?}_#!VMoV} zv%+HC0UpzZhGv6Oam~SOw9Fo?C=p@D@q-%}OgVe`l5(@Y@Ylm539(3=CwpQ!b2=Qd zUzSMIi;W($U&j~HW!w0o40)``ceKMY>(;_w#Otr zi~@VBEUo!fCKt}%qYZ{`BQ`CyR`eAc&Tv$GC7G%N`X54e! zJqwSh>z$2vxpIxYx3V8aK!<!0g<{Z6B^46x!8Aq{~yHR(9*)NqR4ER3!=PHe-=( zD*eOT7kH<}o=%g3;@UoyxV9jXhR!{QaVJ+)z7Be<8033cnz~fcUc`MZ<7Ll89T#L; zlVMRd_4?QB9g}vbVs+wDo$Mzbh!IZzakES%H7ympc-N7RrLjx!T;j=!zUrG4rAkGu z8wZ8qTufJnbo(MpAw%)UJy>FZ^V~yH3x?tGQ9a((2aC9oxLux-+JmKTujuUW!1U!E z=akP1S(@H4_nUvWoZS4abtyg8ecmiM{ZIy97Ah;H#^(Em37p+zDc0q_b=vZYV{{Gs z1DSC#{*JKDSkU}M^0D~a`J7^dldb7eFFTLhj6@CWlId>I!v~oCEND?we4D9csxzww zZyF<_S2wri;l5{AeZ2ko7v+wMmvY(etCC>3qD7hjm|khwLjD9EDm6A$<2gNEhns2@ zH^I=zr-)nPqZfEcM>VJ#;}trnAunKQq-xKo#kh`V?1$IQu+??(0cL13$qDn!jggqK zLi7iV^a>AEJYx(O&7wL6p4TlVX$qD#;@Vqq^Z7`(#PIer3l;|BJ|i=X`ap3VwzI{) z3UT@n(=4^(P`&g=CGr|pQLMG?Z{}E`+G}P=)saxUO1&-zXE%?_QH({fUS1AntEYBT zk8p3xlP$zIrD{RN;@36K;FOiep*RGGR8yyCmb~P(_o{Gse-J zJGz=Km^YkYZt;}%kv?gKv~NCR zI<2>k9GCE+%Jd~kKzH0P-Z&Pw#iWKQM;5Q>YI-c@n@(W@_5OH(hz&|;)pg+`xt}Ib zJB;NSvpC692%V)ONhkPXc;7l__qQ}1nigUphucmWG9U`wa@nb& zA`(S(RKm}g*N%A5K4WOdz! zp6W~O<`px7J^G=z)y*$8R_tPiO2NCvOq;XEv6Nz_))yFTr6l)=x;jMNgHB2@FE3Z} z78~If*_FJauY*>pYhfA1&7wE2=+&Hf>p#ETlcLWz?2em#I*r*NWm!=PwouzV>Czu8 ze8iTgRo^YpF6Bva{b2EVKAsNwlFl#b{F2W9Z_@eqOF6%k^Gi9ul=DkDzm)TThI0P> zUm%=u#uNX>8doYIMN5i$En+(1iu1(L9Zw8QB|Jl`2u5GH*}q-Q%NWj`LMOxNu&=DOV-6U|`k|4F$^yo5x$tcR1RJ>Bj&M z3px}Ny+W`Xwm6G!jU0nZc~tfueq1P0r?%y}-~yk7!_!2P`6y{}U|koK16ka+@kBkq z&y{xscXfZOPt6|E#5628v{OrO}iRa(s}e0a--+xO~|4O&x{2Lc>z1 zsZ~mboKMP=l^;;|)JbBPg{h^xC^|i=fnD4F-rajS9y_*fxFz()@*qfqv$s0}F0ycK z!r@|UXfPK9x2t#E3=KJ;)lQtfA!@KF&hW>ZK6uW=IDpM6*UgA=R$<7In5S#ZBU7D(X5-B=LDStgTd* zN})5WbJeb`DFPuaN6#;1I$wr_eLBc&KA__NE?E2a~=5YxjT>dBAQ%S!6;brQ^D z^M_Zf8R72rUu50^WyE(YLyL@nF4_qUrQg=x|Nh6@pKpU%Q2)go$ODDMb3SQk^RejT zVd$cE8ESK-Z-f^xFSiz|$AK#?m(SFj35Mxb#1{Zi--e{IL5lR%TJz+CxAwx~;vgpk zTOW7GQ6AiRMcqQ z1Nn}dA=xFmplxu&--`@*mz{t=!zaP?2g@oZ#WL`EMI}SMdU;*;k(+x zFt-C$m-|MZTHQ+tb|RYH{fJKz?-kj$K-u&)U$&7wyh(n_E?it+i*dNA*$+wzDhMBZ zjPU8sJ#ramXO?+-1~FW)>E-q7?PeH?!M=*B2^L4TR)0GRG!Mo7=!?A_+GV)%IB8(R zs2a~ba%w3AbA|Sqkzz+Wt*jI*A=$K=de8aV)zD~ghiOjV5^4}+Mi0-c)P8-fJ=NpH zLnqBUU*U~8qxmnD-^N$g&A=ryT5?`Co#rt?aRn`v-t=Is11M1>2v=dYl;E)rOZm-!>Zb(wMfV+Ax z=1*f@_X}b=N;=<%+YBgV9gx&KHMz$@dgJK!J&Jsc*c(X$JbveoF@ z!?V}wv9-dh)S>#m08ZVq=LYsnFI;Nl`mjU0Q%vrBjWP?j#%l=*+x4Digu0_|731g1 zvHG}#UymfV$Ci}l&A}~?_b>jIXl~o0{6VV6aFr(hjQz7AZDYy-;>s&;)R`e08sLf} zcQpeT_A&5T3ku0s9d#1z1L73`W~Cf@jQ16k4gvyXd(d9JdwO5avOTGmxxU9?`JN+l zdwufeCG`txecJgl=&VM#I*~su&G%2Xt0h^E*oN(Wig$se^dlvmBnNP1XQwK;{~`bh zb!(oz8&J};#19DaWi@flXGftxSzv}DEK`xFx!W@@ti~UE%flUscR|KAcei@;-DjMF z?~L<=XreN9M!}i&XM>@Ep_-@y4*@Kyk&U*+^DAM>LE|8p)LJt7Bd*)xJ1VtY${7v#WYGjT(E5S}0H=*1lhkta(+@3DE-jPaT~cdw=*;YHdB z8e18z)l@+_5t-(xNFgr!dee(fylFmM@U{UuXy|MY2Te=ZedqSAziWs(-7jL_bcvbO0Hw9s4vh1NK)Q6pU^==n= z$J5rkj^-c5<#Aa$W@H@{xm9h0f-0PxHP9_%b`?#KpiwN{jp#B^IskeV4+PrMCMg}v z!=Xny09w5$3wOSh1h&tp`DYy$5kpZr0PbdAuXHeIiQT@Ab})8-sT%u$sU03eY6rkj zdeRR3vmxJ%++F(Dkq-FwvUD@0gJm0jr2`B@ey4OWI`|@h^U#MN@Wbc5Ud0!d)v#=S zz3Kr5+x_dPhbIOpAHKzi`hkJi<1=h&$cy8HWoFhPUdG<|^~wj{P85q<1NqT1*6+6{ z198mSyH0m1f1w`$tI*?q5d)#Ld2r zLb$Rm6~yzfXFXr7!*a?I5Rc1JKwKWCfcWO{tR1H1+o^uPhNBk@>?q;=hoQ#wX{Xz< zjl(}XNZ}NSmtfbofM8(iU+c3;6Cf)AIm-oX^nhcQRL>t^e84(h(gtizQr&BA?fQh#By>x=d}iDg`>y*-~$H#_8q{iruB}$ACz!yNMhnxT5v^WMHi= zT`tzg*y65vLlSjtPs8!Wx8w@dU{z&D_|d42vND#c zLp_M)VjW^*9gZ_XTN-(SHeeX}bw}8VGZ|duH!NNz%Y*iX$H7%s-u3KH`PHhj&~jc>?+ov z0`x>l-5h_oE~yaH507t=7jI)B63%qPuZ?%uiOa1v@Aawb=lBzEN#e-?h;JQYni@|Z z8i+Un`B7zO0;1>EI|30}wmHh73+4#)7#?E$3|5i>6ibnC1RwpT!Bvo~eFzrSpMetr z+sMDPP$)1I*I$DCo&S=DDOdn~-hu{(er}NKsd>pf;oYsfc#@^5G35vZ=v~!6K4343 z^)U>KSi6*4v^D%$&q|tA+n3m5^~i;lT8Gk^S1TGQYu>zSvM4K-o0>+DKHfF6OJ$Oh zIdi!&r|}@McwqvA!-et2V3Gtz6YB zI9oE-^=)mjJ2Mbm)ic~nb0MS;gWX;j&z$RB#w!oa(E&PHqBGG$uFi(GN&jj5S?~;D zb2a^XBA*xKToZ*jJ-x7Y#D0yYF5Dw?#u*#+xnjA_gya7g7pX+J&LC)^%RQS>l8R@5 z-T{N}-tUYXZtAx0bvipv@2Hun@g|fnOH68Qzy-d-*>N@QX1Qt@+L1fRA^jWQ0@&pA zvf^|zQAV~?7^XalG$9m+`2K*y_3vrGCDBiQ-G;XFb3028#OS1!>>exh2o2H#lQjdV z=8Zf88sk-B@$y|hv>N9lRd|VnT2M&@%b8gzS*RkPe~-g0{@`EMgXURQ4CjCa9aT?`^a zotg4I|E_p`Ol^AVE@Q~^SueJim@szFy&o9c_r1<pqsT)13*kN*Xc8uW z&cjn%6o>~Q1kQQqoh@ggZxyC=&)jiSx20z~O`1r}l09ENaQ_o^NP(H74gIPAT9f-~hKabqoJi7`g z)Jelt9Vj*zHKd-o4*uAAn#zaJbGxDZFF429E(2%7IIYIPx}v@j_t%|wsp2>$Ij>f_ z*tijDzU2dAw>u5*D=7_}pv(L##xtQGHdypBljT)`lO~cnlFFY3(SSrm>I; zJ$4t*R!sg~8Y5?_-B13G$!}s*JQU2q54fp=S>c=q0|iTbpU%P{YJzn2nQIf`bq%~Y z?sY4g6mSE;RBRp-Q*5W`PbD$9-db*VurFX;>8i+YVkr|ogzymjsyFOGyN@BX>@Nmt zj=$raX|DsHhFVB#XCOjP21E*%Dz``(5u(a&0KC&(w)*`tOg?|H&;B z?FMG}`bWhF{`c=6|N8pR4;%j^%hwkA^7n&rBvQ@rhv+MRF$@Hl!t8 zhUWxE3|8l#hUcT_$RCEh_pfj7_yv7y$Y=L3%}!-xl!GG;E6yN(C3hGKmynqa*jK== z)fROO!(d~80S(wlRJpq*oci+`ItqpzX{vRwA{mMhgk&ozg?}EDVk?V|ALnZRRo`)P zOx-dR0Apym?obfM!7iV^a9_e1o+`EF?ilxAXgE$da((oALm#f~#{dTh%t%adK|o75 zbeDK_N7uKwDwPlaJdiS{kCl7t&`r2JBV!3GD4q`N>w)3GzSg}S?S+5WzRYvl;fH7J zCRydD7aVFH>X#{nM7oe=D38HHWbrU(F~C0J@CqMV{AXN+LWG7Dp&lGztK)FwNlaRT z@y@u3mRC$4IJ#Rz$5ae+%7JrRekWD0n+QUN@o=xakivwi*WZhGc zC|wgS=(cV5ZriqP+qP|+yKURHZQI^$8>hej#7xA*+|+%=idYr(WagWFaHQ)Sw7?%4 zSu;cHT0c3Mmp`YS8x2+xE^Eq+0h>{&u+gWVIa5EaB$LYZiyALf2>}~>Vbrr-uO}d5 zL%y3Hw zmU7-&(f)jqnGe)T3^`1JL_Kzc8wnG-NADZSm5w%anBk%t;jSqS1ZiT;wJ7> z9xe9&C2wvs+BbKF=Hzg{fUinevn@Vn2C4U7>I^jO^9VSS4M>hf#c zxxus){ABf;rICGoOGg1d|M;GqVtSkYS-<$gM4Rk9y|Jo0gEu%6a;{Gx@^>lcQDEWx zY)}r$vdO=B=To|xLZJGy8F(Z}Hao#*Wd6|4@$>5+w5vqq@4`1i74P2AQ69KAJwJ>B5oH*`SB*aEVMU;4 zO_kP|e?o(a`9a2jqpIjEKy1}-j5fL?nV4l`6!}TzpjSwBt=T+(dSDaB@fawIC(+ip z^qEBJMZ`i4h&2Y%Wl2yhxGq7h%nQ@`z|FX9Iq5)4pxkwSW^}kNu1^=CUwH&pZawb_Vt8shb z#id2>>d$KFmf?1^o|*>rHm`SQwMpgJ`KF>x_EMgB zk`^aZ$sjS9XC)=9{0zd)&YtWi4W0vE=7}AsD94wicUIm8 zTHv^;%kyw~jEa}b3k-5f=ho9~%f2c;3OS69)9i#Of5I}fAvkjiqc;~Bww>$UQ|Ap{ zIus7C*Mrf_*QtQ9qMZ}U# zyKB}S^S5NJUb?8T>AQ~E*DU&zyM)n)gbCXC8Y{0^x(%JQJC;4h?K!Fntik7V zMQR^oR~F*EO6AwPuu$7x2gp>;aX{_?8`Mvvp8=!j zu2KLKVMI8`5{dL*{IdmN>)@_zMRL|ydQ{U~hJ}vqO{c~SEJ$qemQT=zn zLbU`#vR&LM{O<_AKNp}lWYC#JJeWw*FE;k)9U zCx(}!orrdAv~^YBH6H(cAPl&>-n=x#B$!d{8|OyYb-LD?ye%T`h~rMYfbXpoTG|<2 zE%l@FaPwBpT_v!&2h+^0+RXTogbI9SR-d>~+-LF90c(30MacpT@`BZ61n{3LiHc_U5R^dN zQWnp3+EPK{J_3*JAoQA)T(u+_dnuAXbRC;;z$do4^qh@q)q{_`HC+6zvw+(FC;aYw z#?w;;rN+Z`4pITcgkg}58D6nn(^Q9r|6DSN>)|F4s?w>|y?)IkYs_^>oItFA$yvCf z{IsrbtY4NQ9N-3!QWYPQGyEVWFFD697Ks5<47YYN3A4iC*);@!({Za4>p)iCpMfPR zFJx_(=qdoK%_?PT4nJ^Fh~C{MgmZ6<_=uNjrV_rowb8Aa0ztPRJGC}yU!C$)s4?c4 z7zuOGP|Mc&I+S@d2x^C%7bKc;44=x>_PxfIZotEyM<>)(sA!(qjbLG*kX; zjP)YsQ(*g_0oc+*{AU36^ex{>%+G)%ICw$9!1`rfC~%)^;;S%Mv|krzV4u&79_bzZ zOF??u0E6PKN^BTkUduloXM^ysqrV35msWcCKLc3!p8<&f8o&Y^pJ9^0rk8i9444iM zpL$6m+#Mt9NUa*zt*b;`8%sPsfzg+*6zQK>4X@RIGtzqD;QSX47pU;`;h!XU5N>Fr z?(+%osRV3%F@sMw3Av9#pRPZgP=9QnU5@&bZ4%*U2XkDyIpIr{Vm;lpNuTUJ($4q8 z28qC?CR$~DdP9lOV7Af`R_#~*+5*DMz8gkh!XwC zIREO&_Mq#UB0~;1b`2gFRIY3GZ;DvoRP1s~7n2j$KfdJty(fq97mT) zw*OxO2*JT@E4ceK(66rU(yofD|J!i_E3sIw6M7hhKQ1zUXimHiLAdQNCZk9(MT@aP zfD1$D&vL<85J&5xoLn!DyFkD}yOPhmV!%$+l)NY#4+bODdmYZH5UVq@$5xbN$dStW zk^(#$ZAaBOS3Gw?yXH21C3kX1f||YORwdX0=Z!^KpdNxAD0_J|a1(Gitq1e|KLP;% zB>)w)eN5?J0!TfTwj(P|#k&aPi$3TiyXoYw@osUm{L+<4F9>+*VXp=(X( z6chfI%;J+QUfy;7@P&Q4=<%{H&V zHIsSv2Yr+a3h%1Y?Y5J z?;YBFtSNqv3-Rod`}>$i_+T8qh-)amr)~Ele17?;0<@0&;;fOo`5lwG0H{0_2@BEK zSti)5qw%p&mGKiM1Y#Ym&%9uEpFDRj#EF})NEn6cuK^hT8USsc%ec;?(&N6MqGDAQv6bMtV$~i3BVZ*!S@j6rs^!7Ap~6hu4Yt5 z9y;bLTUjx-7A$)G^@;U0c6w3UlKk{4YHezdcfk9xAa&^ZLwIk>$!V;2QD76ovnlh9UTm#gOMfnLTl?8rvn+6>i9`>$UI;}nQB zOu(Avj%rj$rENfuaOmDIzN4G=O0B;ebJra_#ipllYy_Vgh7>Dc+xpblxoSqXt5$G= zU60@#$$Ljy0a~0rH5@uL7(+8CV3>G*5kMO1?hA0V*IJDu(YzgY@&g5#>$NyJF?OHH z*`pw5sK+lE5=gV-Cr(#kVQ~NyK&I2FkM5uK{S#c$@FAMijKjP?^-(OQAqcP{5HQPO zN!jMZSoKN`OjK&^qfA*on_@_*cv<@JQ%$F@{yf>f1(_cbr;AeZ^8^Tq!wjZHlcR#j zO&cw3E(K##r2{sa#=LlAVa3RWd{Do4jRcP^Y#n9xWG5;uT|_4fUJhAmVXxOzh73eO z0C(Db@+Qfl0pY*=&L+~?M&0)e!2xxi6;4xXy&LWsc_LOI!3yHNhL#KMu$M7)WF*tT z9#~g@m(!2A$@30>kVo=bj?K_g0Qfc6oZ)>gg2Azg6#<5e>VO50P;5`K-0Hf$DSM~G4MSp7 zF?nDpGemi6*@MCfWw%%LPwWox5(kf$bHAziXGhpPy6E0vY;vkHYym&rE-ADjf>DQN zWzZ4O5>GAeKdMsnVBGIiAyZU@y~9Nmw}v>x)IfT)^qoHo-p#r2ONKYzwa=@nvEkDx zff@m;Jlg+asI$8YLY$e-tKs%&Xj`oaSTH7X%e=7IJ3z1cex<%hUA zm6SUcT%qg?pW8+T&q^*s0*5$;sQIQX%m8dBGcyO9r~zm2pz-vt|4_wik|ItWt)CRl zbO8T`aQ;02uM3!FO|^1amFi>(O{zrQS1>;afU1wEr~K7|X$2pRj(pemkZ@ihf&AA* zXAEdd3s$iTg0jjAbJ1W5*m{YfHgO<9+mU-2h%pp4Ema#XX@m+~nXp$6gD(MD{>}#A(d{T6Ig1#SBN+ z5}|S|pIk|86vOxP|7uxKvg^~LDn~!J9(+j+JC{K*=fO`p9-fX?9J`-*TzL7uU+!+= zL<1B6rxq6_T%DAy-EANGh`jvp#}MCYA4cUikx7hgo&4=TUkCf%1_SVLM{a;m=e{Oq z0k=OcM!9-;zrR<-X5og_nF=p|`uY7M!Fp!=^(ERgT-iRVv}XXV;e0}Wpur`pa)o^FuN#1Oql4nKFe)c z54-4`DuuR~;T38u-i+r-@_ufKp zai*|{4}qN+z=kJ>WM(1N`yg8jFrArTt5-}-hKVRM5v)(|Auh0|Pip#2^Bl8qCt!fP z9rf?(#vA8dgE?lEc>OwpXwQ4)1@MM0m45nclYv1M&b|%eiOhM{=nU~JXTcL0M5b2z zr-U$-YyM%*ccxeY>;+yy$!MaE9js7CRSsg~9Ud)=O+%_Gjdiwi#GA8`%#E*c@%4}0 zwd&c(bBtW;^Wc}oyqVT4a0$1ZZ%7?6{5!Y#nC$WjOpn~zHgK$YIPguOBUZrQmTF;_ zj)+;mU3MYDX-s&yc~+zhS;&qi+o9Ul^v_L3tKxlKzd*g+XZRU-~+cssn^gTCu3t)3Hd!DwffhF3tfkFFvQZ{oHM zwu17rr5i{6b^BkW5U!L!ZL|=KyCU_0#N-r4($sh@YBwBW0h5mx8<;0$yV{l=!{E7m zOU=X&wB%@D*#L9sl{(C(C#lSk0#C}miS3d~UpkAaZid1vH=7_Sa-6i$Di`=1z{qaZ zt!;1^DNP+6&=ux7@8KnD#%%!kTI(=bLH43`m~6~%uq|n^5@{;yV*P$6ZlvW`Eq=lq zOpQHO$34*PU+u!7)zP1i+_+voY7dhce5;c?A+*3_JM(j!DLl#_h})-%Yz z&ldDTiQticDpZNRRGVsSMKc)eRe_#mmA7>(b2aQ}k<2Ow^D>umdpkaGq38&aoI6>HN61TyxlJh!9N z3F!5n@ca>>qcY+B?n?y18rnIFNC!jRt3hgQW;*b?`vfjM4;!%dAwwLQRxYx~eW>@; z&^254#5m4SZI?_7s%Ohd#oglc?&a+kC4-+Lwll+SGc`k#>F2~Ox-=M3hM;s5YBP*- zA1Z9Oz{hr)$+|?cwZ$0ncpny2TkMJYn}o(nvX_qM8}!*kN~tOgW8O04I<#yO_@eG6 z_nFn|yp0?FB@plyeI4rHoqieAz!KTbQKD~&R@S^CIekM;&QPB($5-f4U`L>XY0t1B ze$!hohRF~7F)WFg{zk%YaLBU8-HkK`YH?9wY?vFNBr&w6^rHeW0tfWp@n|-%9Q70yakf zX~8T^%N`z>V#)0Wb|!r@)2hpFT4--gwdekRW@Abn`ky_rM?g)nOC16z=Ep~lJE;on z7@X?p;3N2zYg2{){H&5BI6w|9w`^czFU*1Nzc&*EzAEc!EcD%Xb_~P1-gcNk-rBAQlNKq098N76tEZA^eFXD67mOic zz%T)7=QAM!`CY9l_|G}B9+ZQh(r?A^T+&1csJp!4Fv3rY{=qQ8(4~%(ZNxhihwx6D zsZ=5EZP6Z_gSLd#V+1;xdYx3QQmm*w1h&yO97h?4F+7B@y&De>Vjy~Uhs^Y({q!?N zZTcHS;>ts(qjTzqrG=j8cOItRt>f24%LW1}e7I&{wzUtrIA)7H$DKs8UvF1)(3Sg4ybIXk^f#}4eA7YY|l1QG;D@POOM16PGa20wNUz7Nlu!*d=k>jlzVUkU=8iUgyIjeg7kScO$qzjiyCwN?-}B zg}g)ckg){{e)#Yh4E5K6?DD(mFjrqBonsfCLcm5EuWe;eEGk zSyA9Av+_Gy$Ej&-Zne5)-BK;O=}XKrZ22)b>zY(m>c%a(YUi3vf5X!%`%FIVEkNKR zwIQd04VLA<{8bL4x_@fv#^45l@4r z+N@}doLHQt6_y2;YLN{OK8r^oQ7YcCy7)cdDvE}C#&?d9o}5NTmvQ<>cUaKIl-VdW zI;=Ye1<11i^D^mM%xMPE9f7=j3#wX^XAdC|Aj!%8ToT8$;n~=V0*@;fs?KqoUHYAI zKyXb9f6WS2^S2B;B?9$#RKU;I!)SCbZGfnrjia2)CK2_?0Ect}2t3-ZFIcbl5!5guB?14^hUTT`!KV#@18EVAjU zvQ2ESz4+_~fbzi7S~w*E4VcFgMiy((@3s;ox;`0N8wyOP;}xrwkQ*HG;HgH;!SYp> zueFZHFWS!NHPOBCma!K{!aSmna@wTKta$z<6X9s2<)UH7(ffZ7lWJzDhPuZRRzQr$ zJ-bC@0u_43#DrLs`)SjYePVXt#Z_Y3$j*fLKBVN;7`l^^bN5cQ;P>t`J$Pe>A|2hk zPAr6Y(5KWng;o~ecj{&=i128U^w^pU`*w7jAbklclr${hx>VB3TQF>a7F5>~;&IcK z5Lt`|=CYpoZtj0wpX`^)wF8FOgMDc$#g>51S0`|Sdq!+02ic_vdp#$pgUB(Leq+!) zi)pVU1fMgOCK$Apctk?gU?Z1~2dho-85jqdOpNvJ;9P%9?qC#Ys=v$|bS+gG2{3qlhYJaQ=I6*i`osLDDZT7@PsiC<1K}ZY7ISZwh9WXvaH#SnKlfBrCkovY zBV1N4LUFx%8>w#By%Ql+DO)ROCde{$_+cRemYD~Xv+k%!k?I^ais@ELy0vggyuO3F zJA1SU7WQ^Ysr4p~~#wL*$cdQw7)fs-y@W7Ql~uz5LPvLy` z{bmdJrUCd{z4tfw9>d%6?c7*JolImV z8K)8>49PqqgnQ7#2UtHKjJdD}(4VF9A)uDi`RO;dh}7bHLtCGQVZtsP!?Q+SeeGCl z0}1@I=Ex~zGhp|VpQ;_i&j7K1719~w1rdDfS^ho(srQ5gd5G!)3EWO3%;Jx4x<>%1 zIiU82FfQ4ey@3eUBf$hQ$b4pmn6O!y{No?fK^wGZLb@suy4=9z02aV;Y7%cn8e}cT z2w}Eqf*^Ix1!EY7oF#A>o_8+9%TL~1CZz9Ef%Q3fdb@e*BvvMCq^4g!Hyp;$U8uo zajdF#=qFR{9}|kWjV$8X;$=OD0oYMelT|@({;fev4_y2LH!K!w@HPu!@h7`u6+tW0 zj%Q7DebwEa?RKMw&kT}tyTH$d&42%`3Xq@x8O2xU*4|uN(=R%1 zwS0iE*H2<2-DEoVLtPB0@GjS^$sGmRGfG2TZKiFsN8Hp0aP6NuW|@7UhvN0zNspoS z&@ye=xS2O>jgkEM!a_Y2cAvFJFiuY)*u3 zgPU2ZGb3&`R0QUv^v0#(rr_uF_WD`p=D+PRsZ{6wtuSZiZJ{tXK`&#ORu{q7RIQ~v z9FFM^0**u<@#bHypw2pC1WX!s33;8M7a`4_S^LWjw^eu3N;Ea)3LZ+SV);pBD&t`i z--+C2L**h4sYoaOaJRuMn*r7PcLmJ{-k5THit{S5F_^bg6|)e;cH%XEY~9Am*1zO$ z$@AIpacvqQbPiqQ{}c<`4`ai5TF#nlMw^q+)5;%iR6b=P=HN;L*Uf&z#|Dw@O0is| zCacaqeY?svw(09A7?(i0LV6NiSvX)Q|CLN3i{q1H5%oC)owjlc9SPzkN@Q(2DYK13 zL)8Mt+mFzvRXbb`XK=VKUNx3xf?hRZ5+k-&>BU3C>BkZxY2|+{z!Qr;qq5vM^dF1; zQ?j8ZOTR$MjZ)dAl5GGVhe9IbqQz+AU%JP5Cj}7w8N*M{9x0c_OM0e>xsf2H37b{R z1XrKfki-v2UcKWTYSvL|u~aCZ12$W(Zdq z$bkd`o2u>Hf1BEtBwf?OfePCzX58K;dw|E1yUw=0)cgzslgCaaG0AjZdgo`oCdHV; z7Ng~b!aFd@x2Wi$EphpQ^hGZipv-nUN=<1`oF;-;d@f|QK+n<+KIK$>IT!VV;7;1Z zpdzh=G3IE7mtFSn%KJ%$XZG^!nw%jp)!~d=Imp|Tn_O}h#V8vhVkn6k`h)eoW3}B! zA3>&L81;glUMdNkT=tw6+7R)c;`s)9>sEU~I)qxs(P9pvb~gS2L~NZJug}-qYU82F zTlU+gBH*?QEb^dKivBHUbB*nM`I59rw@v#i`?@{lWMbe>M$K7zr`12m7VvtyFS}!j zlcwkr;d7nlu46bP*tirzv-9znO=ZQQ5c_cZQk@rby!IEcCC<4~kP3O;eoi6uIk!K>^+)OJ#d2j^JHzlT9OG@QblJ zXgs4h!XXu0J{MZJ2-3hS5LmRMFWCPeT4V%)vo9hh>Vx8ZM zFJ-wi5aoC!)hSp)b*Mxu5WNK;5376$Zpa@oW)j@!&D>*R%)f`{Fs3u=(b$A(4iFU_ zIb(09=uaOdwy3pqxx|#8_7Oin3yNXdYp9E-EC*6Ns)NH7xn&cRncCM4C)5|KR;`k$ zR($DQgB!)y8i5Mz|3NFYov^pNPTxw`gr_=yM+(jYyg*~0%3iUfT?kpIClkl)MEREh z39u=PYbj*V=orF6QW=2-lRC4!*s>6HC{`_Zpa>MRFcCJmm>k3%T3?)B2h}u_BWh_k zOy4$9vL4h!o#o|0e9!cw`hxxS98B<*6Y7fjiCvFc&uBT7s1u`N)-gX~2Yc%$ zE0QPpuZU@GK)(^BJ)_p*?&z+q@X#|*5Kv@yCuI+$n0CByNhsN)~p2$*SlsL;Bd7x-Jv+pkuJb;qO;E|zbEVu zAYgfo)Nl&p@zkQSw%WUbcn$f>9e4cN5XkcYA1dbNH@QS-VnIzj*wp><+ZtFQ>B=F-} zgAw_W;UPGwA=gcL-DD;?ny=-hQ0>2A5z?%O^vS*18M#I6>Dp}xievIo=nV4jSx0;B zy3x@(q~PL4MV5Sn0yh?!Fzq4fN`f0Xj(Dz~+@$9_RalRqjzLQM3!L%(#RRf7k6gtM zOee@MopoT@bZ43yTv$BTU3;9+M8(2L!sM?n%umuzE$WB)!#Zecgqce=wS|nf^JBB> z+IOt1uLDT;DHtZ_WH@i0EDr&jJ%}DAkg@=L6zY>NeuwZ~5?D^Hy-|;kR=w~Mc9bUeis;g2yz?>Ij57!vWlSSPk`!VgMX z>Q_|Ejmggn#!KDLaM;(V;~IX}hU+}v37hZzCVINmc6CZ?RuD z5j&RBcM-p)%C(w4d3w+fWbpEYZ-C`}(l6Ml_Yq$GW%~Pf$Knr7l(?_4gyb~wkCDVZ z+mzV{315xEi;S1@=O0?lUI zn&0ioK(%7BlfKNld0e0t8*>+xN8G`O@l)t{!A9# z8@?XdTd#=F(M_3AEq574$|YIRZ=l_7uiq!KlqY=~WyAjJZj(H->`bB_v;7(rG!pY4 zXC?$B?mf+?euqUoH@qj!fH$&MJ3%8sxfz&^zJcbFCZ)Y{{Fxcqk{(y5p?+f7f`N=V;=0Q{9_3;SeQ}PY3`F?#YT} zDytb3B{4VQ)Mw{DWfbfn&XR%pL6tJ2;PQR};Nh_cjS^FM@0!*D;UBET_ue8{&59QCIqK-HXXYxRw~-uKR3((dyow*hH))`Y3<;8uUJ+ zcC=ptYX$(UuTEczj2Tx^b^Swg>)94w+|(mG6g7KvrK*nA!Gr+g2ITW@%*<&li!lKD z{`J8&L25M4Cp@hgusI3G2+xoDr{y|$PcK{t(rqev)ik99IoR4LC z6q)r?^CX@z?;s9q^Vh}?skHo|tflhxd5QTH(NqQVt`!LrDZUJ1sJvdM3lUR^DmfRB zj>#2)S93*O#@8ZizXZOyZWl-yi>;}*Vel(NSBW^tSxe1ob`s%UF17$S10(_N)YNk8 z$Rnb!or$!^e%oCSnHPAM7k#(hLbTm)!sbd9wx*ddSjzuxn}~GnN+IxRe#j4jqRv2b z#EQkr5mUFSrJA=g^U>)>Jbwcb;@Yrmn#$JfvN^~f(U5;3HFlZhVsJPA>3HP-2cx~& zQ}>v{Iu>ZHXr~IwZ?_ULgFLEts9m0RZ;cOH51Fl7hB6BNV;Bj0G^&Bv|ES#$&yPC8 zooC_;GV)QG*jYB!1n9=1Gupj~`23b9}!12mSa9VRa1&fW#SD)3u zl;5W=r~A206$MWEb3m5wOU$jAcs=>NuU%~(+Z-GsSf2+K_YUk>;>K@IviMbb-OgF4 zqYu!BQHg0vk@+J#;jz#uR=?=W{KC&?c6de(p7XZiR{rz-08thn_se3!gZx~6P_+k! z{%;6rdd^(wJoI1SILV}QoD@22L_c(=sAW9_mNk+93++rySSSvvEQUXaTP+fs1zb2) zF2Kkr9$Sry36lrisXQgUTC7uZhZ|tq{=kHAv?R$S{_RBM+SE!LNqt0b(H!e^D3^V! zp4Plumm2;Gb*F&RYYR)THlt`th}ZP&7mr;^F>qfQJdwG_5t$eCDS8F_-}+&)BzmcS zzN?!Bze4;ovK6YWoHoQwBETPf<`WuFTLXJC>3e%XzNtLhw^@wL zJq2T1NvjN~m3t78yC0+u#V|iI-_bPiT?+KMt3d7L)TWSP0~Yof^FTnrgH^@&*LhX> zkF?g6>vh3XL6Z)Hhz=t*Kcu+!l$STqckCw;n3v@rXms;X zQsMD#G!D!_r4s>5psI?_%GXY$&QuvmFwT!~-u%^;7qaU!N~UWqrxxhR6ky(C@ znZaiB%Bqv~E|2VwODZbLOW;-7OJ`l%Cu}Mm+baV9yiclJ zTXfK>wcC^%21Zn*>NlrWQd&CmQg!iU*w#2&Df_fn%tX5-s)+19N~y+W8@q5zsG=@+ z(`xKv6NtlUw1<7g8y~x6QD_84ZrQ5nHB`j&-B)4onKetPx*%B6YP5r+KE|VRPr_-4 zoUIfS;$T)B$D`IL;Bg9(w-&MSR$b@E8>=t`o{><$rq=QYoWLPOXp+@X@Vs)KZ~U$u|t^Q9d@I6(|d< z*jmg7VpVCkDb%UCXF4jT^hSP|EulPW(8U`l9C1&Fs?9mnV3>{6uLw8vONSy^KW0qc zoWA^h6t1L}Z!vXhmpFRGNGWe}bGzUQDAoJuWDI~CcQLCFB!1*mY|)4H=TUU!zoeFU zha|aJK#4s&SWw#8Ye;&dGHXIoafj`vr?k3*laisi=z(9FMfJzcvwEQ`m z<50NKUAR$lY8X%a`J~7OZ}dMa#X7oLl1Zhs||k8D+ZD{w*-&gZwVQ zNlLajV{c_x9e@jf;WdcvA_T6@hc5@G9-_)fW7WrgX6_lVgSQDdg0)Nm8<{mGbWjkp z@cT@;p2h!h%GDclXP`^dn{vC_?01&haZ!B3fWkO2gh%^)UreAa=`38|Qrh&D1S4~! zMgR^}v*OiVV1mvBW(40)nJ|YHc1X}{GYYKbHX#&FK@}mQXluRVz;6$LJJVVrpgYz{ z1%D*>e!S{YevDtmEhDTWqXoS`WfG)o@0Jzh7bV{!w;>iDsA1UXP#30L{BHZd*d`p> z=OeIHDiJq|*^fEU8emCkW#Hl{qp%Wq{+o_p@1SvM<0b-;OfR;%)qmfJdhrnV1zJ{& z!Q|HM`O=s(`%YMOs-V=5xCdu^SI9%1|#V9+lL0f*)A#);i0%u)JX6af$=jqC; zG#`q$=@$G4YZgjQca@s}HOEvelr_ic)dEV<<< zaJECQkIA?_sYho}ZR(4N;3QSRuq>(Aq~lAxG|j!pC=0iV6u&3TF;@%HS-{B{(?^JK zA`3*kwP9gi+AACe)v1Jj+YU7%?(?I!bS2b*+4uQ1jZ6zi2Z(}9INI`J?lQWkx^rSV zAOWz(uF~kXXrrvRBd*GV+?xx112tigpaIQV)|;B@2Zx1<4r==3fw1TK<&oZpU0pDG z=LE&u|D+j5g}4*W{DG_+Er;zfAO}>FSoIY&hif&tSIH<>*{?L+HNzNaR)OkKSl6?; z&*UsKY-;sZk&tX)Wb_DPE!qk;O)+9}1Uxqz=H#)apiS~G7q&ogYtY|CnSNq~@TBRJ z3zGE~0yvy-LktK#?u-dHg6XP5+)smXnNL8Oor^8jyToGJevv#;Mz^ZM5A~(5azuY5E1R_}L{{Gp&`1cmchsKZOX*S9VKf=Wt?PjmNzJ|6% zsa#{idlTnU47#TrP)fQ3qL#hE_4EkY`KfO7=tonQp|lhlx|~I@{V3L)nLERiTQaU* z@0V$$V>G;EaH&>Hi3%dFsB4JNW)7q=>%A_t`Q>iD8$NT#ADF z425dEp6L#${n@1$Rn{`e`tfcoy^? z*yKfySqI?48B`h$fH9~Yf*sO{EX;30`ORH+S3j%Qo<}1Jitcr#>ToMELphE#TsLlt z_`4HCMThDFuUXAQ%R+6j)XAJSVm(SIRe){a zB>sdj5&3xxR+;hdufMFc*em#4SAJ8dO;MXd_+JD{Vbvb6jm$GV$GiF%;EB8FPn0tC zftH`OUyzkBL~%{->2t>Tf(1Oo}2mV`NBU(nR8aE@Wl zu8F265giBJvCf*0ykE|if&F`ur#DeEG|hE)R2ZDBSp8%rA~hU{oJ1dl%1HcY_|M?_ zJ)94HSN62!x2ZYjbjHB1v2`Di6;P{q8ybhg&Xf-AG}64k1(&O}?wz936py=@P?)4Z zzf4(?2q#^*CRSyw*U*(nyU7GCOV_A>^sgb#5E{%vL5vn7m((5iwYQkKld26(wgHRe zoBCgbaFHopv8U)}F3cqn%if6aD;4J%Q5NYYnM$Rq%N$fP0SmIhLHcjd{2JR_I*o|D z#dLJIwNCo$6?>-|g}>0pZ9X&}{8O0YBHr)7yP z4eGfMsUr(|Ib!!juAbwHbS%+BlO?KW&2@_s9a!Id5VNhGxZFV6AC$Y&DWSWUG zHDx5DEd|J3o|Hk2gDYbxgP_mkL9Y8}bk(`ALKSI2bhSDca$rW~Urq7AfL^W_^$}Xn z=Q$kpOr;KT?Uq?-X!|V5Nm3dDrxT0?1^}%Mcmhz|qs4NFbWELSe|+0}k!MqYOljuA~Vt-e6&h`_-f;alaCdH0L#-2h#sDHm+Y|Tj0-m3))XO*=2l+8pp1j zoUc(<9=*>&R?+|VHxjMN3C&)F*OZZG7B8w)F^-fuX0tTY<<*prl`|4kw<%s)`2^)Q z{dtl#w-uOTs6j0~c4ZhSj(U|@-mQzyZjFpRjMWeo%nMrqnh|I$Gvk_wD9;(8yUoLi zl#F@zG+1TAgYJ0Qi!7al{(@Ii~MmRJ7jo`4L=onW{PfjHq7@j{v%qIq+omQ1hpOB9bl)nHum z`9t`ln_>@bnV5fURvq#mv8|ZxRL9{E5U?LOkXR|R70bwxx|NUK%9TH9*2gjCs@S{C zPpyn2xhXj0ReBgaa8bSuBR*ze8<$lPa`O9l3R>?Wdz*~Of$~symp#OlNF^l<=pRvy zxc^^cqt`Zzt;q9CcCFh&>?v}M(b5m~@QzU!^7V-W`$!q}Yq34YpA>R|cdYvUyHDV! zZi!3x1{_BXM(nqjtt@xXMXcmCev{q)Ccim)b1!HeK1ug`stTV6-^K*J?fBGVP{h|_ zjaG*T-{o|4Z|IvlQs32>*91RN@NaR)@qFaXAH(0Tq91?!oYz=r?`t3Z67iR+*xm*e zH$2Djec6vlTKCHsZJ!5|S87ppR37H=`_H~g_y(5GrT#OvOL+4S(jNxyt)CQ;Bi;sL z7gNWddg2${!FAvEIIFdu_PENKFGs$d1@ef*^?=se%#Z!pm6c{g@;_mp;+YJ*WB3tD zry}3vDLb_H_@D7V7;tZg{wH*AcM?(dWeL625H} zt(l+pC*JKpubk~aG+0NzBB)-?0>WjYShG*+-$rTK?{wtH`iDQ?AA@*VN1`kF8M?=rA%EM2Sn{F64>49phf;7~XEHd;!SRQAg0 zmPp!KW-d;Fz0QIG6PIS@QQSw%pe`jkO{b96OO9NDn}Jt_xMA@6c)$~;87S|3&MDsjvK?9?N%)c!BFZT(`~ z@_;-&z?8g^vJwM<|8oHD6!aFUt*KM-37m>Q2Z{YvP+OkK^jLlMU-}3`F-Y&>N%^yBmTTq#kyT= zr(y(Un*Qas{MzCMS1~Z^t_c=;5LaXKJ%bKhK~bUU@EHQ>bwqXWAq->ZT|q_;HT|rw z0+E_Q@nIU}r`J$foVOdvupu_>)T4Ox_=`g8^yk|9j)PgZ-8r43B8Dw5ns+KFGn?qN zm;_jrwscQ+6GXxd56X-#$I7zTM;FCPzdao>+jtVkLdy!RsU34w(s^yr(l2LH~VYFm~W zF#QrjGS(!3M*KIU^)>FKnhwsl{>%1MvY2Z6IRPWnehymn$@><+L|w=?3l(uHfyy1g z_;3o|{X|S?vL7`g1AUZAyM6|Gl&ItwPU9e5i;J=V-#z2@PqrEqq-p)@-0%I6EgetOsXo&eiIRde$p5YtUGv{qQb)lohwd-svS)T3T3k+l2`^Q@VN1 ze!f2mdJ1X5we&KJX^-{XPySI$LvNo|9|`oLdp+R<+RFR&FqRiM~UnvNYNmuaF+La`wdeVd$Xjevrr1QDOBVSE!?_WqB z;igm)9c(wzo?Uko%EQsX{V|UsB;$r;;Fn7-%lobY#R+z1A8iL!6LD-&>rMPBvzz)_ z)Vs@UE@|5`q3N1;2j$HQ-)1LcXeqgR#m?BW_Pk;;HNQG;vvRp+L-D_Pd$K%L#5vUC zkEWXOuzf_8z90OZ9yRzHljlhnnkx+&s1;Q)!}p?Tu*x{uZ0AYmlsVDgX=)zFm5N%e z-py)!$H|ZbD>BPH?5XzA*0dg;nM_Uu%> zD$m|DW!hw zrP*K48m05}8g&<2vhU18xLmSXnb#rN%~-fhDmCc%u*c<u79{CwvTSBpniyz{uv@_jPVYqlu) z&?Al&_CwE4mT$#)=+Pqi^uxUfdyluG#fq9=QncH5>Ius9@={9i;*uRgO|s%0s`E3YMnpC>8L?2FZ1(A_42Tm8vpB=QRSw z(R3>nkX5~vs?KzGN>ydm^dnUlPN{k-(_5&J=Hhbt2o)@yJ-`+!;9>1371oejsJJJeR$HhT z%X(CZmomF`inpG2jhN2}*D3d6zjcZ!+2!aIa2w<36tLBL>l7@r%h4&|Wk;qqd{pWf zT%>&ZIwHjl#BPzQ?7#aXQaoY4GhL*3hu&|I!U!qrh!mIXc0?*qa5PHr${Y33D8Me` zXw-wZMm>hqDB;=rqfuC2tKJ$#swnel6ywm2200g962;^jaz3Mp8skV5aCOmrWD1F+ zmr60yv>%mvC0JJ}>F(Z3r3B18Be|M$uAmf1-p7D$%}xjq-EgV zf`q4fFk#UdZ^(vJCJt^Nzb^6~c1xBtBLMxqerjbdj!fpopzR~&4Dqi)OpXi#89%Ie@eWU9;qZg9B>_ON14K*rqyC*Gw#Md2+QrgR6=JF7} zu8Jw^eeEr)R_|VE7z~IT6b2L{s}&Hv4Bg9iQC&zDWr-z1jGS6*H><~)N)1SE0%9Z< z3B<>uQp|B-E&~ow86l@O@38`LaC5RbU-?cXqM88O@C;e8{=#DE{BKWGYqhb zZ`)g(19dO{UD+8D#+|4@7o1Lej{$@#IUKA;e=!faIyYM`6)~LBu#%2>GIzHovJr6h zJh;Ya=?!K2lYUrXd31_S6LcZBxSbRvq`z*e13Ni2);X5{x znJpvM@R7VHAEr2F(ewnn+QeCN^w~9{F3A;ER;9GPm3Ab zQftm&2Zt0|7TB4DDb+Pk<)?vVutQ*4M^ah|xLSPfPnq;g+h#Q*7+ESBMO)ncNPMg5 z?$%>QkqD5Qm+j06qj+Um9tqmp-Qc|@ARm9M@^wLS;vSv`(^!3WXRGdX)xDu~=G*C_ zNP{(ez8?fGQD&ik``?xI?0*3DDiOch>fb7@Elql|m;Y}sS?C&TSG~dr`#FNxH z@&V_RFfBHZ=&%Xl(Xw2t1(VjuTSRfi)jVM+AAa${s((?FZ*RZ;4HM9tzclDegT6HAOM|{N=u3nC1P%KBS%N4q25xx_fB(HG{cg%{Z#aV26KR!? zQoLYMSC08QB$TP4L-03XX`u%sHB2^hhcPJMe6?2G!;~%Xw%7l57+{liIn>lI_k7o1 z$ncmf4mql5* zoUc)z{>u$CSfU5}!P2#7O~(;SPxqiB0=YzE?lG##Wu^{m zPMD!(IOXa=%8OD1Y!=c|NZua!gToQ4jcbJB9w}dAMk=K9$C8|}N!&_=f0fv?Y2c7# zTw_Pa6~nnNqGLVc+l##5Vsp=kj0sPXx}xK-7(b)ES$qAZUK;)tvF`rWWuJkLE=%_5 zEm|;(+b(lvSY&>lAo2uZI6p zFXl*tXBD#O!!2|D%;JX-8V0gDTGCI3SoY)dJ|DjKXy{tx+B*%`POwb*xurNG(}Ij@ z_A+L8M|WEgl9;4r+Shfj9g*2ActUE?sSKRIqf^(?Q4Q0a6%bV>K*{2)~KUpb8H?&V#QP>I**7omE9 z>Us#3Sam&w>hWB95vs?~({nSbuUhBBsKleM&8UPbdNC?36w=M8;%`AWdMor6R)a1X-sN7)M zVN|APmCdLukYW#`^8BM7M#UnfjfYYJo3w{AeMdQz>JBUq<+^{RC8fImgUf zb|g+jJ%^X}D7oLd`DUZ0i_ABht)>fS@$aj*@b|D3oEh=))}w%1M^4}uT4ZpB;9est z!C72T-|^kDpusmSYG)Sn5jrF};uVYLgl&jBCZ(}{du%zfA6m9&tQHJVXB@j`@7OdF zr%O;G}a@%Hq*^Acf#22KmW&m zl`Bb>+d#j*qU<#$c!7tjU)VoThc?>imZ359`^p5sQU*%xJ0BpyM6AdW<` zh^f{Vg19I8f}V9vjs+P7Ir;{}b9T-KGstrAny)2@SzrBu^983d&zKSx9E(HaG^F@? zhF&~qVKNPEgIb}RuISAow+2gulI(lw^FfD4Zm!WE(Bd?SxlYvmi2WMfRXux^Pxe4T zESppT&o>lSw~1t}Y3k;x-%GGe9|vS*oXcHM#dgoex{H=9>~54K))_6qNaj#uP)Fv_ zXvRnVSVME$lhd~*>W)6ve5%DVA7o1Nq4oV8Z#?qW#)EdT-N&p7&FNLLqToHq6SmsC zkYe(DEmlD_U`U^}Znti$kB;J9<|dXmvAl`ppM_Yy-@NkXl{c@vdF9P3Z(jM|;+5YY zsgNs}wMdN5CD7zU5+d*mqB6vbWT!AC#70&~camZ!4JGOG7SX&kiUp4YXR$5ORtU@R zL@B<$W)n0z3D{~1a*q#q;Ml5bm;_<#TS~VOlqi0pgd~CtK=_MpTNQHb&bb|G%XSTW}=8w?Q zl4y`d$6_vFdD1c!=ZjjXvv7F?bRR6b?a*8I!k1lR7PemP9j&)T{Xg-J%PA>r$=o4% zC-N|AV&8cmJ42fHV2{fjicbn%Jvvff`0enHNj`q?*N0A!h_P1dnd&Au5?kO2pLD)T z$Ov?6sOOgg`qfp^D*-bro+>{INSu?ah>f#f1UpJDx3L=vx?DL3GjMMwV}^jGY*J=I zWB*E-1#PzhsC1bj722lDz^Thgml;Uj z8kH_HkXqhkSjgAPNte}+mjxqjvdlN}6P+yc6}=rRSq5&tqmpIdUi(3oMU?(5whSEo z*2{WhhM}BnnUXvyxFp_L6ws&>pjY5%S@6SHyNfQN;>H>U6tFhB593S zbXm;l2VG_iwYcdrpT{}9(&Ym?T|OjAm$`#eSEb8*&?YBchNOhD>9TNa+iaQFm~CjM ziHEJSWqrZ4*)m-jbip>ZhHuVSu6W(Ia^Q(CB}*rL zafu7IZC5*-zucqBJ*wQJ%FjNky#MTz%KJU2+=I$JsN93fJ*eD+%71=PdH>nxl=uJL zG3EOsc0ArT{}TdK!Pt+3VrQQm7fA&HjC-HhF%y(_3XnU}X8)rAwv;Po!9FxDhR|&^ zC1mS^`0}Z{HksHhOV)`-t1byOdU=EcvBfBcl?(#+YBf6~wq8m&hS)$9bwvw?Gs$B2 zt`L=gynVGwXFWQ^Tksf3Ej57>-oag~X1#91E(F}X{FU5v+v>9*3pXuoI&Y|@tZTqV zJn6Wk8tt2plgKWj-kf2o-AKoh7v}n|1KYWxiLYRT03Kp5Ut&>>v4>0ab>f^YETf)@ z(pNp=N^}hw<}S`G#LX$TjtInF^?P>(3)-NZM)B}6KFY%GSywPYW{Duo%DhG#wttM9 zYpOxcC%ao5G$n>#h!L2mos=vrbN*t~yGmH!qy}z0I=BDMz1hsP@R& z-?&w^E=zeHQ4>mhehH#C&47l~OJ`gy0b7+#iAzB;N6p&dFvn-!*zgrS-=*c1@N;~q*wpR+_PiN=bxYnL# zp3{mpO(Q#<%EMNq>`XRoysn|iewWODvt+*C74xo` zcg6fuRLt*>K)(Oa=OgNT`;0kg`S@IdfIkkmv0*85eXp33xg_yeMCV?zBmtGK8)-+m zN#_z5tQ9Q2S~~VYQ?l0l`e4G~8B;(e2#YCG28??OK9(Tj?%i-tU2)^=)^$!ItX-o_ zP(TT#vyf_3+w#(NK*H7rqm3Ax#v;d8vE@_1N~p(WEY#y|lw>fch>Dps%38<@N%~d4 z_o#wgtkH1z1C*c-#tD+pqG?{=1s`AiVa%7smGkLx(kYRoeM&orDJH9I%xS{lZKTj{ z$A~dV5}MPwB4)!eS@jI4K8m|WKBKQ4M+BQS13hHHi7KPePr#Fi?NGYqSdFHjt&2}& z+qEdJAkBa(MU~Mv`s~O8ENvT-tN{cqM^WYU7I}c=C^7mW<%-Fq(IJYVNF1 zvfFCzH9M=j3T4tRR?~8>xw{N6C*5u`Ta&~EO`+y~S3f8ZY>fxIa)7S8I3Bx|ylL!*& z_aq6A@S$2g)|Zli&Ofz^yb$1CEnjqANcJ_Z7V9;FvQ3oOyJY=A$$Gyl)?KmwZ!6aO zPf@VGKj0z!zQ6tb0R|re{Vq_;Pk5gXjR6Pl{>R@QzoE263<&bXer5bSU^jupTe4{mtpY>gk{j#+;^v$*XK zVwkg_6h*9B3udj}IByFI$DXO25g_thdmDV?<{W9)cNT)NwKufgm%vV6+G^U>aJ|c# zD-r|L+@fT@p$MafP64?*iY@lSVtjK4ueHnJ?b(_|L&nexi(5q`Zus_qAi|aFyC7v{ zYj0S!XfFY0rRP)z8i>xhxkIe>!{>Lm%ychizv+#xw}%_MKIy!@3trv+T8XN*V2!*# zJrvkXDM?BTcBHa;e=Y&rtu*(Ub1GFw_m3l^s&H{dqbd`BEL;jy7;e2`+hhX#UtU1J zVY8BS(@zti;*^T4$iH)RM{1zU@3UR-v6o0i6O6|a7aU6}yIDV$xZqd6*L4XdDgR-F3rGK$s z?YfmEH!z>CP`tp({b0XRZ)_*~2Nt}TKW5(Tn@*4XLkMUJ%;!?>UFr5Jx!VquR3AnNaQ~zr2&ObpWCYQ@+tld<-3|gea0`yL@<#+{(3dJ_6$L6!;W2~32f|3xQBMzW z))fOG$#)q!56Gc^xiS^Gy>I^)26g%3D*A`f~3 z9iy}S;CPv52;z)Lc#0d7k&`!NNAw_J7x(fNn}d4lGj8@cOFCaufHov*jwNmpur?Lb zWikK+Ok^rkreMmt%^c>qY)x@jv}(fC9kw`J1xHm5$W&~6rVJ_))|EkJ3R+D4kX3Bz zboGS9U0OL>a8KtRP2639K(@9a?oo6Z7kHO9$=`bkL;Sdzjwg%b-h}8?SIsqp*R-8T zwE5<%+-{12`3RwIWhr7|$`BlEc-u0FQ*E=Ij$j||h*CqGv!4l~q(M@8x4@%g_!Qd& zv~`PWWjlQ!$bDF}&5f|R9CB1p?<>1{+%V|3)b@zqj`S8iSP*n{$|tNPT(b$h#7|d+X$W$% z0QTT+7C}v#1}&h*0pE9oYYQ($!k7G>Lf5tYr82Ew@NlIFhbuXC#*vC25tMdkZer?; zrmo*Om4fhk6VOZd$X>3>I|3$K?FypL(f0)Oypct)5c-C29k~^IN04IF{&VH~T=_m% zz8_lozJ6|epBvwQx$%AdT=@Ru!uR!a-}~J6{x|o%um8{M-nTFC4*tHq{NoEI8Ac#{ zKc~kT8E$Jnp@auq`Om+9`RxnJzH-~aZ^=kX{x{1?W}<|T0$7nDci z>Vt9Dq0_iSQW*Cj$sQ%WJ@m10t@xJtqsC#4so75Bg7Uq{U58HN4oP9$gQSlm_aN!L zaa^Eq;g6;za=zhda2OYq??vu9bQ*U^3gaFmeH^(5N$-uT%ZJvFMh?f(LuJS9+23vk zo4(l{)(7Q#p}h`$yX`mxh4Bx9K91~l2*$6DW&~v?Iy@Tw?b#B*v0dH&e_t18qzF|ne330nlZ`BUPA8aw~$(9deg0Qs(>}{N|rg>On zc)0b;7@$7dbzi{SnEgGJjl&yVvTs7%t;3gQr{)jVV2iBS6mM&Su(btD{SFm(>}-cM z+;aPE4wvS6-x%;VXMYcU_vssk^i8;K*Xc{QQ+Kx~ab1RM_}lPiIyr{_QQ)pke_sJev$TmR#m0wBilm6%=e@SV%vjz~OT`#n_-fqM+09 zd7)FieoR5Zt^9E6J;e|OZlspr|Cv9exDl^!t$O|P+fU9kkr9`rhNfPy8{BpoCP8cC zfwB;WaSOuhb!9*C zsF}Q(@%roi@a87mzRTVB&PNLJZWAn{;Ab%4%}Csv>$);WkD(y3`J6Gdk9@q&}DGR@3!G6m%C4OfaPBkT2DMFK?sm`I2oW%5NotsP?qYGOR=G%>Ur5fH% z30XVqnu7m|X3AglnSWE@8Hy}Xju4a`%|OeP@5nP# zl?|3_*}c9XOQ2$D&bcv9uv5%~1J>r~4T(2Sf0a?30NlOC z*rmKiDk|BnKn%!PIWV*87IxkkcefHJO7yXAI+3a}W76ZiV-&|ZdlacfYRXUME3_O_ zZF}-g+QIViy3+}G*=rwl<=vzEa$|-9^4_4g#>PB>yj=$auB!biO!|JPFq=g%b}Lvk z6X9y9szBV;c<_3`pw~#F{5*I5yTl!Rc%eVNO^+9rHdA28bu6LZ4@&pti(2ek_&OsV zrp%kZ$+{gyg^XRv4Nt9v-c^n$>%vMJJ`rz@p<(P2B5A55PPM%feGpU8eXSmBbcg>> z0rK(E)-ff75%Hl{xxo9=A*)(HDZ4vb}G-Z=>bUba9-+Ar| znHN^nGsAdsz+F@2KDjB-p+j@L<`IF<+_`*mMV$`iyAP8$L3{Dyue?}pY0Jm-MHwD< zbd5@ZT@*y>q%ejnC_adp_-w9~jZm>_EY~^|GY**HZ5fmr(M)U}73oIz?>w*UXAgw{ z&2l?RrE-Cf5yj?FQ3_Q$*m5UUaOTj86<^TLbIgwJII$#H&v6Bk-HQtq&yEFbO&eBc zye^CgmpCB8R0FsKpy{L$SH$|&Yv|;Q29u*W!`{CNa|%W@-@aIOaum`#TBCc?-z$Wf zh2`O@7bZVLc`#t4Ml((vLBJ#F!a1c862GW@cTN;ww*lDGyiTn#Ox~o{3hU|~R&10g zjTQsK-M0KqLaUkPx}(J!8jC3?mSWLLx}{Vlv=4OPWV)l45*agt(p)c=Z+Ma}q@^P)frI>>$7?&)BU;q>CG8oPXx|(tS748|lVy#@Y$iV|(#Ui5cca(2?aTlQh-u z+Z{s;ufZ$&^wONq}ITYI~bvhLN=z+Xh=lMHJ2a&2z^_R>`ctI07?)J03LFa|v#+ z-+qDuN-Eolw)yb9mONvquy(|zKEm38mgh}u^UAx~QHJKq;M!iT!$BIX&rZ!Gn}P=s zfhToQMhaZ9(glqzGsY`6JW2oS!4asP@9^xVx5Y3QhX{~u`V#(bcI-`pfdtLO!Jbw8 zId%^_WCF9|9g2h<-yflIw2<7cI>CJ-UR!L&%5s3fqD#2j9Bp8)Expru_W@cFVp<>u zc27p(jL&Pj`H{W{=sf3Za|2p{C6-n|jSn3Vvk2^AiKZfkV^eexgWNbsU&}?@-s~x% ze?1%+cl>g1H&jY$9x-I^-hD>pWk6`r5OK+!%hjK>BOh0f(vxGjIxCVTG;GEL_9&uA zDo#aeHcP&cFN{^poy&(Z{bJoRmws91wRLEXL(dATXz_AOie29N<7nq${g!Jim|f)` zczoEDYuYf~-?A2rEL9n^5(DK@osv}WT$RZ$xYNv5mA+#rT-yr7>dzG{q4NjDq4>-x z*^VOIZv9bgolJ9P1Q&lACUFnG2Fg^lax$Em*9+ z;r42P#k0m60{QS54$lgXnfm3Pt~%?2DM^nyP1Zm$Qw%n0fu6Jgvv=Kjr?X*BNuEv# z0DCRRfo<#9@$TXs$5R;*{$WWH#T3CarYdTvlaJGv(fX!#q%MNX#3i56rRcX9l5kt{ ztgKu0E%5a5xYP{1asxW}+!hO8G%LfvF^=u*+C2cT4f24L*aBcD4|Zkg6>W%9W?inz zwdKiM$p}FjGTywD+ObCRilWaBlOtOZ! zEZ3M}ujjO^(UJZ7;TU4r8cByPNa}+lEYodMY{BH+p&{E0Y65AV5uu|Xv+Q!Hppv?z zS&XExca^<@mY7DTM=EJhS1X}xMq~d+*f_k z!?xXZz&hZKo=u$|{I20qhj(KhFz;)|*tN?EtodU*0QpJM@7~_IZ zz(6<2;?7|Hu(O~xPcE8-05!$8lOw!VB7Jb;Gs1%+5aKQdXvJrmJJ)b3+Jj*@|CKim zLL7Q|tk`#oT1~Nr(AJbSrem#XgUtt5VLh5s%6`}+SHFiZ4e`&yFI;TA15d5oKs8>bqsEk>g zcO=V-UKRU&bVn5oR>~Kue|~^hnredOyCn2P9RZ^Y-#Gf=V*mX2ufMSbw{GhKjG&(( zRYG4WhaWBOvThDAZjoR%nN1)!ah!OL)Pv`$Kpw)Pkpn zWP46AMXQm9g=d&%wIhd?(PZ+pN1#qSj)#;HKiFalQWuZdo*UKCc!?%qwFpCA(zTM< zZuyLSO}!#G;VuBFxOjH_fVAsglpUx%#8$i#BYR|94s%X7rxkU9Y|W+>H81DpG;WG+ zcosEJ`PGx+9p78CG|ZKqRaqfy*-F== z7G*!H_pD%6=BwGlW(`J<%hhSwS-0R(lTu!#Imjg+7>jOOH)O%iUw1sbjyufOje48) zS5FE+(Ze(5ZyrXuRqq=0cMrQ{e%tlaa~P$iWG(g6V?FTks_(!47i(7SuZOk-o*v?&=-(^08Mw)kavjY@ZJXvk+sV2>r2mjmSx#{gLx*l#E$pW;%ZvT%zH&0;n z_jd*SUZKlFkYnimKCRSNx3~W;y zEHz%u&=+|~+DwcWkj!9~biwoIGutWv-|Z#RneSM1QJLA`ULKyB3yeEeM>jDI%okw_J9kO<&3e5y zqNWyqJvfVkIr5>JDNe}P>OfPFfv2u+fjIRJ%3i{<>g9dPUiamtn^9Q}k&;WN5!^bi z{pXc{OSqpYi8K|oy0+ZPC)0F2D@IfFAfXZe$zd&9Tk5)?%$h(J9jzRqN5^B^Ej?Bq zn>)x8>#+il&87zS#ziE$0$xFP_PF{G8($$k>MvqVzFlH9j=th`nPWi_l zPxcXo@%33Af1+fHR3?2=;@1Pj#Hsb3(nxHu=aIlOnhf9JIU$5UpV=+MnP>*W!h4&C zhvuBSVyYF#A6zt5e_Ud{Vj4tGj980;8^ckyGp`s+PL`$LL}Os}7v*#mkDp`tw{@vy z#Ec7@x}A2buI8o|Gc~yt4oT{&Ac+bG4JuWg zXsuHzV|$rF%J5VtGm$$gs*TY?A9f(2GezIB)E8k9837WKiE?8)w+eOG^+0uGJ~~I zV!;t#g(ZbY^pIXeodSjGHZw*f36n50aTV#R*XDYr^05F7)m)s|fMCz01J}}xQ1?ab zJ=jlR#~WZrmDeQ^!`e+^R=lpBRz;o!GP?Uigl%ik!>d{4I-^^IT9v}nl4VxXDW$5O zqn*P}O=r|nTrta@9`_=89Z80eFI{er?Sx`^;H0vp-Cl?~mHxsUJaMPHqT%JnU|8`6 z{$HquCpX_upLIM%G$z`xztp-)jYg)KXJQVS|$l&9b|*y)ElvN<%%=D*Mz+1Q+(@74ZbJ#{sA)>K}qjtZJ^*B zl2^KF$RLmY%yXWMNRnCq#Nm>0*shY7h;~&Sum2T3VBXpY?}VGLboIKACE0z zne*kI-FP&A$oV3aW1HH9zNJvmDO|X?zS@mf}$C`2|2#LT@5eGLfe@o zwq3uj%~FQE(*0NoT@>BMtH$iL$vPcdA68Q+m*#RYyC+F-`sX#(`B1xu)$*K=jR{u& zg;yM5BtE!+KSRtO!ZW6@IW~X;0>s3D+O?Fz?Zcjg{Nuq}ar-a#RyPGsV~Ig~_pUW6 zHe=PyyP<7z=W^H+wuj@eC_LHURWTw7pUuX7m^}*XBWRO1HJfnH*#&pyPtK)95q*~2 zG8cbY=CQeG^+jU@2%1H?CEi`${NreGuzs@D2h7gwcQrmXP>mC&np@_8kx7*PPQpbg zQzv=KJclsx#r8C_h*EoWx|Pj~Sp6M=6pBCa9*WkSM0QKUt-kNc*1>@@-i1inL4o$+ zIo3ge>hYa*VDXg(#UW2^PEyBBN^>gG0zF%-$pH)5H`H6DFF)4!5Fifj55pLNCnkTn zr?O5^Fp2Y)r%3?h?}>pfP0gb!nAtn`yi-h=lf2W>|IJ=Y_kf-iwx7FbMtCZ21btSPfDW#!uRjXJ}tE~R#C6|(${ zK;hHNB0FPlX1>{@bYSy$L==kMQ(t-pFEiUvzruKs*Io@iL3Tkz{Q$6$f2 z9&>|nf0QnhWoS}WvTH-lm`00iWn;Wq~u6LRUMjF399%wMBs?@D`lRYDXM9TL(2 zQ!}1uMPvVi!Cqclw}5*EP?=|~rUv-qROwtpp=eKm!P~EVlpwo@UY-&Ayr5R&T^nTc zg*B$`nlBCX9@`4?Q5B`^$3|`CJv^R*L%_}quXiu}aj++KzE&ps9194-W@evV8N*GL z=$tJ_#%y{VR?V(OI9(aeUfJ;%AGZkSq=zNM{?!HPl{XiVF>BL|B&z6@cfSKWDrK-z zK2rVhlX#t|rq%dPe4ds@5HzT39narQwaZ51A!u9u&p-cU4*p{&YwL&*|CvrRPG+X7 zFM77X4A*A$cd^>I;O1h)p!`l9Sxt9{0h%=*!5Jd2xvBWeX6D)bVY6lVqUS}DJtwP4 zs~)Ol2U8{HjO@6>r^{;(S0-nK2W7>7uvtw|-mt{hyOK>0n`mUO1*u|_%BO^mjAP_u z@)TY-&HyVsO0exeKxJ0D%DSjLSkbU0+-#6tc5_bkujRR8(VC4TYF>&>^mbsmc^0s! z{HZ7TIlg?|w(B=fN@PT9S@JM5OkT)SI~?V<$qr^SPiX7DBv2pWH%tVa$UC#g;`J1s z-*#4t&W|=~b`vlAg*|pInm~u-h97kH=Yig2OU%VQNqH#hCGoXac4lTd`=Z;fCl_hI z*&8Dem3jNI*~mffgSjd!J98IUYJ&EengjLbo5p_p_TS&?fHd%}J39W`JO&Ebh;6WD zyjhmNcjtX{(`D6?kQLYr3d{Bhd_Y2PigVS5Y4j*S>$d!Nt(n9*6l zpFIpl`D0gpUY+IZr_+7?_OHL06GgRr99f>{SERw$W63nG2X+NdEcA)uc5jSp@dpw- z3yHe$kgyr(>Wv%5djU3b4r)$50nKT~xo5K=)XYSxs?z|n*WNRNNRL%jtHAyTzb~t)oiR%2IjF5CBo>dFv41?bPtVs+@t|4_I#!>rTEla-IV<0^A0Fr5 zQ0ruU3bC45)oUeI^LW^fSgoD+{D{?_3++>_-TD5?6|h?$xmvcS^&!`;OANWuvX zn%zgea`ns2uUv8ad0n}Bbn2C>*?o>zuHT~Way4x9f`8?Td8xf}#h;}6azUk?OiE zF_3U8Si-Dsr+!#sP%VcgFyBj$SV9XtEb;6?Eb*}`X9=C<>!;HRpVU{LBZueyN-*(w z1QYTcn1J2dg$Xp1y;eqq8KxkcNCZ8UmOvy&dsza}Ugg4s*{!Y% z6K2=iUYIaXDf`02fT;F`2?UIFIbZ^wmM=^wqz5Ks|M)5BA25NPT9!O8AK`z1)fzBy)fzAnY7LkOxdu#Jxdu#xTmvRTuK^Q}UI`ON zu7ZgpSHi?qt61WwRitp#dhJl_^-!&1iKA9$iL2Fs328N0BD5MTakUyO5n>IN2(boB zT(O?JKVtnB4T%+HVSR+?deoAg(!M(yJ-Op}W)J?^(`&dKJRvi;KO}bxVr@QRs3xyQ zd!>j_(F_$#hR9gFvZA7j!R~`0MAyKC^^dd|g6s@#Sm#%4BWre>tw zkrVx~+KREXXXej@5*#CmE{gYxL^Kf=I4naZjTN4&`k`*x1Hp_CGz0~{#7 z;MB;(iCVK{CWTCcjzWEWlx{NRpS2u3@7>_u4es6GKd~D;@73U54er(8Kd~A-@6F)e z4DQX~ci9YnC3Ut_-O0k-7lZEAOUGhB7mc-Cr^THtp>u5pUg4&xjxApe;uf-MN!iDo zy(4q&1|tu!=5Ek%fzI3w>hVPG242#e85TZ~H^YY0taRt~;b5*qmIuG7S|B)_P6eA$ zZmm}Wg&ekcW%lMSoawwO%^c7pVcg@z^6L5;L1X;!Wl( z=#qZh-;U*DgjH@VRtvYzO zi=G)4r(9l!X|g>h+blQ5Lf%-*sa6EE{2qx^h!MRMf43($PS*q76Sfzghg4+oTUzo@7I>d2)=?ya(Eq!&`NZgO8}4IuY95N@Ah zCV#f=fU_YKNh^e~;)PXJ9(PoMOvD{&VN}Um;vP}`PLS(3+Qwg@(SB-Po2FXg+C@V- znw%^GR;|pW_JDwwJ~-Z($nsp<128ZMAJmey(iX|nnphtofxU0l;!IcPUMvkCu}m=Sw++iZ88FtUxeli zW{m)Rr}3}mMdMom-kGy!{|^!E(#+^1T`vqn2z-`cW+@sXXXsXYw6@p~Dp7<=B<0)} zOFLzxBc}Ft0t3kNnOgt@0p;8mD{OMNPNY#R7^e)kA0Jr6cyjJXm!W)Ud`cz}8?Aq* zIAT04=TTmtn&YF`CO+k3dcIkb)APr1hUZNgZpv^|hM!3po;PE-8N&9UT0Ig1Womuxl9lGhH8>9-mcTs*C~`0}(`JoQ`6`IU83Z++it z)?4RS@0#V*?g6OJ>4VDEE6WN65PV{K8@c$fe|4pfzdID3Hxm8<30qGfwG`AH3Kf%j zgTkgsC{!DWjdw7ZhMi3=FqlkboM5nb=IA>Z{6uXh82pCZLIMr>#)gN@j>N;ovcN<7 z^ZM{G@oz-YCwO)0CCLg|3D3z2VCyiD8|bUE%F`wJ5+hT7v98TxE*}XLs3=~RhE0Qg zfTs-7f&X!Ao7*K+_)f0Hk&T88;38T@%c(|!g;65HOf|F ziF29L53^!$XgX;8aLR4sNSWo77e&4th|R!d?x21hFLbT>LGewX8rDirY?gS_G#NSk zUKFI8A`XyogX3&J5l`6X(R*f)N|&p0exMUqw2DBLl*gMq)5xXa%(o|_hm3W`!XzOY z{_=_7%%d#K9@C9&*OPiTiQF5yW`|_8)0A-#9j=fT^?O#hUR&831XNp>ai- zxiHNk@N2Fns%cgge1Z$RZn)9Ov|Pg zUC(z*lub(rQlfzYay?ci&cd2mik(q-lBEh0tPE&5F&pl1V8n39O6`1#PGTj`r-B&| z2%1M!fZ03H`G!&+C&PqmnlF-36zE6J2?LL$hm2ImW^|k|v~_ee^kn&RlI&0Lf@3Lo zVg?)yZB5XgqS;*g?BhZ{e$dQ$-XrH8Irqr9N6tNR{_i7a+j7c`#dDiDWa+ZqbDKDu zCMQmDQ)r(!rlHc#iDUQ9*3OAz@+iDd96vGYIdS}kO6SDMH@1nh*^v|HVp&X_^yl^A zVQx7dB8E9RXiv@ha9G!-cTL6RwIZP6Ff^I_fUJ<)9IN038Wd|O_+E)n>=P~$X{M09 zb-L3PV{H(E(g$SCz)xPiw|TE7OY#7&Ce@HV$y!tPDV=u@tP@KcCM+4sl_8i-i8*$Y`uE^EWt|RM4iV@&hjgD9iz*az^>t4H@W?7S@rSH)^SV^|Cr|7q}#xsbI*nW6JSt zhnzuZ+X0O-j0-Z(=n>ZSq-C5zV$296W@gy6%!}L)I92@Or;G9qUXRIr0KfMK6AaGMAkAkxz5v)Sa5vP{&3_La_& z8bm6GoL5bzw>LVf_3=@^uM2zrLA~sGx3asH-L34mYGo%YvlY# z$yiZ&XD4$_nf2&oe($XH=wvP@=R_lm1FT0QiwlC+qLHm9wnny@k&WzPm^ZTJ&g;X$ zgOnBPr@Pmd5z@Ma# zC&7GbhE??|D+pJJQa;i`RzX{!f^?CtJ&a=n6muZ=(P+TMb|>s*!~1|ojn~HD;aHm2 zM}RIj z9y~g)EZpIY?W9gx-#e~^;$1c}#K?oiNifX>{UIIBqv6E_t=;@WVU!CRv|BTPbyj>Tp)$s?k6;G}_OLuFCW z83fHyaFXE9| znXNVcuE=G0vfE0XoMfP<5e1` zV1h1&nNyLOlIh9Kgek-mG-uG|h8#Bjn%o%{+C1dQ%_x<-k_HN=kewp$*r7!j2H=tS zsq|$mhL%Xn^zh8)l4=kTL=s5)>uhLIdts3fb3Ygw{55 z<{vdnMC#|#+Z2lKofkRt2$G^>fXpqEr@;1#M74E=JG{5W2|Ajahq+g(xW8%ODte-U z)+>M}8aU9=_JZk&@(X;G>G*SyjLv#BiOz~YdAAAIdJBSg$hZ+8Te}<&#Y&6LNz}B; z487Ktft}{6W4p3+>pPLNOo6WP-w0?D;BauV0yY=i+6dqnu(Yt*AG2N=C$e@|g05R- zk84rl@^~(JU@`jd)`u_u(LDmDO>hg~8dXA-1SR0{xlpDe?KC&mI;u^U%XYVRzy&;I z{`q8re1EaI>z$zmQBV!2tPiyA=?PQyR*1jzDJRvH_3dg zOS$#gm4>2uxO2}n^>6x74qNSNPrS_es7%XS`auY+prhtysa92+k_L zW<%nQmJcx&-=H4F*eTa5gF4@3w?|#+3MuZ@!v4dbNmYBQ!DFlTcW@3X;i^3C47y7O zE=?@!@;F|Hq>%aQ(esouGp*@@b6Z|1JdX5kvuEM~${Z^Ri~Zyfk+N1=SVX?_@FTlz{jIp7V%VM#5~r*bH(_3O`w$VNT={Fpt1sjbl8#(m7)d1{`b%qx z1g4?kxI3}ER6@zf&tS}jIjpRZBrX_A?WH&d!K@-lD|+z+)+SXPNb9R}i8bKa3yHO2 z^IR?@z)CZ>PT_u7sw!#fA7IssY2Lcn4Jvun^+?hFJ;m0<+mx2a@@ZHhJ-a6ZZWW z5<=GzIdQ5D-14R}VnZ;jbBVW+q6-O)VC@JlB%wKd{YCRRb^BlSigPsqL7!X}!mC?W zGPWTZZxESD26A@hg^9F%PHZ@-k5NZve5x!X;h%748j{zHkyJysdAAH@PK~7$N7I4i zLuZ)>5?*$pSAv~MF*%`gSk37k$w)JS{4nd|A4tBFOUaChZVx0Niy~4>Mhi`GoB`nj98b z+geIlTHocQqY5JRPTg!@LK#ZeG^Lg_%5fNPROJMF7q9dL5V%?+DW?%TAu}n6%r7QV zPUY(R8!C=aYauPs*=B;yi=MK7`eGBb2&()-Gm4BPCg=GqBqsH05!Mnx*~R$%)^5Fi zPm#}Q_+PDIcc7Iy0vC*BtVh9&p0oKMo7Vf3QEg&1R{LmM1{OxmJJWmP!$!T`Dls=TGJ2}+V&6>irJ~@ zS>#9scZ~V=P$w|q&^Z>gjAM|-3*uw;RCtOAtvSeJxk_Py(6m%|YG2J`8Dk)p!!k*G zkirUTVTQsxstI!aO{YQa8K>B+eJm(;e|W@?r?pUF=eN3Uw7$nf;e{)QXQDAt!9&q6 z%aRB#%03ErEI9u)95@ChM{rcWg)VT`0AP5YCs20i4n)Z3a4+YNAgwVTNR+#n2#)Fb zbs%`<)N&-46|md$kZ0jjaYrDhG-0bt0HW+I%EvK__t5fv|tQ zFHy#vWg_HbJPHvkRAod0eDU0pYUiy=Hl#+4ECqp^E0~Tz$Xdt)6Umr$VJak&Ul7Jj zIH;g*Dl}w^M7T+P#YD(5tVxYbr0k(XCPLMmBU6?>nhY6V)lCXa)S3IdFl&+bBpduMLQ9~FxQS^+RC}>80>x~}Izr#utHe@XE z=qX{uMA6g#ZB);ZLRt@s0>*z*gRJtaYj}8bpoh?dVwBKxVs_Aiz68^vUbm=dl?52C zDg8Cv_?5T+l@F!A`JV~K`_H7{g9p-ZA*-o4Ftv=F7-+^$3|%8fYwppMt{BQqLBFQo zmZ1Nd>3+>R7$Zj-8d2l*3^v2{3_9d(48D|o4Bkooav{IH9`f6>A-_ExVkrd|vM&kv zE4^#6o(q3qVE_1y)ur8q!tk5C5e~v*`iK`|3BRLH8ng#QKuXG%!!s z*1W#HaMO4kdc>Q@jjgHGcW~C|;`)M$?EL*^yhRE=^B8^QAm9lsbS5uBWoD(qW;Xn$ zh;Sfwcx|YeNVDX*bu!@tLvTD6lyubhQLQ^8*!2=^gaK~z(ExiMafTz%a=cgx&Qiy+ zbYr&SXIEzlRg%F)K9KW^Dx4Pa$-<#|Oj7f)k;YpZ@a_J(mcJRy<>6n_`f>J$#5g9N z$-=d?_8m|;tp{5S_k@-JP;>FQGP1t)1BGZL&-e3K0jNH|BDBj=?dAJ`w${k-x_z}y z8nzuWZO_Ok5Vm(S8Y~LP7!Y_|&p}?R5MfSf3XjG+JT4=ibV{`W!E#CrdBDUAPAy~z z-fgh&zQ`#tVR3vKITo#_-@~-0pXIst4%(S0pV+-ZKybH*1t5?s&yKL24rP(&f~%)0 zMY2W+tGP}&S&nl8L!7nP0^MNVIVL*(o*`#jh9NwDrN`lZY6)(h39az>^4Nrvg9OAS z)DuXknlko0(e=uM?MFDapJW-?WR7qo--RdEji0!PyuKxUiMy^nC5~suU>5`U+z=p4YS9B zp{!mlraUglM136}vDlPxxkF1ZT$n7YJil^BM^1UO&(~oSPlG10>}B{8Do%bEL5MEc zyJ?p72zC`|NAtC+RppHynIR&-%dgjG(R6J#BY5gWmyCp~ZSIu7oA#B47U`6=jqhe}2jutEkOT5bU@=Z*-IRqe|Xs znV*)`=4<`}@aGO6F#WQ$yi${XRnyf^qV9ED!Vv>auCh&6|G>lUDW*Z8-~0}~hGLO! zO-`9$^L2u$@7VZ1YwitUecO1suxzy@Uo*Zmzmib|J2fuAe1!U{e-uXm>;iF2rP5Ux zs?losYi(J$n@I98$XuT%6toVL6KC~{ZcOIsO?mo)dcGC;TMJ1(^YHB6$mUqNSP%8%GOk^OSve8-Olnz$XZT$CA0 z9W~2vDn_NxG-0cAd#s=dr^(Iw&T=_pOYFJSS%7L@2A8!bdnq&zYzH#XURaK0hryFO zb+8nUczt-SP{|?DB{{uK#I9Oi1)I{G>YWdQPcwzSeo9oW7=5B zNbe0${OJ@2TMO8fS!f0=;<-Yr?-#9tYXqR{0`T1&DZ*p~a;K^JHIcdkyAe1boeo_j zdP8(oqF}gghGU`s>Yztmx330Zb=#rb_8r1zK{^>w3bvmHXnkDfp^fkHy&MhaBaIQe z`_dl^&>ix(u7KoX|J6mdop`JTAL{5`4Ma#R8>0U@c^Y1Y2I=IegzM~Ef6mFPdvdFX z_E5%m@(;`WEk4irIv>4FuJ>%XKU7aAB@eyNZ5}#{g2oF`-xj|6ukvr%F{K=LHw1XT zooB)QyYF+65LP|s!;mxi==%E~3K3mLw!N?mBrZ&Fw&G64cQ+}l|#0d%VJSG z6OpMT;fUsL&z3*1^x2gqZVaeanJ-%PLD&~Cv-!B3A8tGvD@C)MYExuA+~KPx4-O$C zmFNheB3M}e4;gw;c+J7A4!BqJju z3f$NcuT(Y;ipS8vDW;0aY@KS4et9KEGyn9b^N)Q7vsU!z@{`hxX(doGt#Ih~O}Ht| zjg)4-c8GR(nX8=*u~rpbPr2F)39=CbM-HIQ1S`OqDvmTxf0QHl~;F`G(CSrDHg zU~^g$R7+H@r8uYwS{^w4@=jiycUd+Ipo zWv?6dF0ESw)}F87lTEqu@#otd-1IIrj*OA4Z^)oa{ZGZgUEKqe(&&wA`kJl(DjD@9 zXi)F1_K8-vuly~-wtyrdu^&CFB3HSs{cAUg%=crWt)Id~_x+1j{o03*8J%MP^Ea+J z^7kvg;wXTh0}T-IkAv_pQ9D1!Ri;iLKL_4*3A|m}a{+u`CEwHjKmq(H(Z^rnPf`3m zL6EyUaE|WC8h71CL-0PFoKSubhEp$^+{p)%olnS@Mu+8ncn<9V#eam1YoYucCl+Y_ z4qYqL_*=fP>v`~PvfXEc{h@rn;}f34K=+C8+R*b%*r$>236SqOl8-=fMf&d^+GPQ} zL^Uhk2LfYrCSTSX&c_`pt=OGx%AbJP(ur1v?qRM(51NRctWd3`JS&yhAs>WN_?%1B z?RI087YPcLiobq!EL+V04T8L}MYGmUCe7RR!C>-df>yfFtax=o6E-?R$da_<0V}h> zYhsMY2gr=@(kj0Y%EBJ0^az?tBp9h-#|ik8?o?fBhueH)vSMp?r)!rcJN(4+Rkxj%$oZ*~5cV*%z-%PniPQcDESF3dC7g0s-AdfDA-PLUgxdUiYbcUg zYU|#UES~ssdpT0iKP6`IOFcutNqp;B!;K8dXCORkR4XVQv+duR$pNOT8ib5+L&XbSD5{96N# zy6mkE!s-A@5t`_X=4$!+gM*|w4p*lJmM+X`rbPgw7W1u<|?5%$niTSsP zYB=%i_Bm3~TkD9VT-%20aqRA&ffzAoD+XyD+`3Q6X}Wc{fOeKbwFnN(*jpJabiBtv zYZmF99PbFxQ%T1_>vWHR$e|*2hSWEO>Gqbo#)1zifx586#CPeO4Da0ej)(#>?429~ zoX3XM+xU9FKV6d~Ul2DDgdl!b&-*{5(&#fY=}2fw=!`B~B};OLKW*&K3PP|Qq+t=% zC1?BV-Jwq@4CgTQaBC(4oPpCSbL1lkLFa8sqa zupFs{U+K=~?Jyn9%wyen2Bk~O5yS?PN?_MLt2G9I15xbraS`-=B4Zl26XKO)%nNs& z5k@Od5VW@x6z1*57D5)&@oBSnB_bUzI8TqdmF93Y1lA5REk~f{X8PLnNd)-P;xP!S z%!5C3+Xv8yjRSwkMF$GQOGD8u{Gkh77bHn#SDn}W@VfOCgajf_aa-&(ly@gBCBiXR z1WFYsk}uy?hVk7k2BYST?atcC8#yXQ?A6kSe6Ol%6D7Bp7WvX(6R=1i6)WJ)bOQBt zspUvRlyhK3UFRC`77uKh%31Ny=bgx%vH$q4{@m*SeB;vQrCm4&@7*!#CY$cW@)?G6 z`fBz;UhIen2PDS!ck5Mg+B!;AgarfQ>vh7@VA9D`gf2k-bDFtBK0RrU5#1p@aj{cQ z!2tesJRB@11J!5>n&@h@pvj)@gOxJG1vzruMxf{*gy|n>PS6jve!tlWZv-itN(?Vg z)4Cs?b?Wlp3cFDsw8nBhj*@HKz_sQr)Mq7XPYq(LHr$Mmo5M+yEA?<2QW8L&7aHTO zJgx;v1D^nEn|7bIhjjlFwIrNaNv|Uz5j%`+UJ6Z2cN56^7=#A+q$u+MUg|#mTwqO= zm(DR#a(4@Hq7!@UtSPtBjrW(bX>}>Cjvvus@>$~zqN6{cxI4ZBt00 zs?b^Zwlf`QdSFVzQwoeE+NVxBLV&Gy9$5K=lK;wPje+ zY`m1Ve#um-*U(m5V`7dRK1&oeNO}*L*xJtSc@e2H?dP_i7kGG!=qP#~=jEp@k5*P@ zVygg%Y|<@I7Ix}qo!%X1(g`Q*qdTHy6XQ(WcSTu%IGU#N1s*0)P^v?71>jibAmb?U zCXvEV4uYLTo+A;+%o{XyUw&?YxwN-HMSfz!{PH{3Siu@n8R0SKj}}zyJhnWk97mz@ zZZTKuGzN?OqXuI)8Ha69==?@l`%(q1#csz%5Q@I z%kFeJRdO9(@)q?Yy!cyM#>-U6ToYra&f7?->C5bIe zXF~jGZL8;DpM&w51knz|Bjx@n=!xN1DG3 zWB&kHqBq7IzQARKslataag!HZ2(tnZRi^M-^t7WVN5l}rw9~glHQgHLw_>X)QzCC6 zW{0Bs(H*?|`;KB5r>`i#jWj7({T2PB+Z3KGgIZ)Zs)O~k%3PjZK8w&C7@gNJ=b2i@ z>$I@0L9xs_-JHMJXzG`rq$?q=U5=Q_b;#s7$gX3`q@P7v=xKH;NT6USRBIr+gL*(S zsg@TI+*2^UpyMKbrt-%;#4>?Ov_4zjUz$%xBS5l2xfP3Nruyh(12q~pcxB=(+p8y- zy;@M9gLVpn=9=s;jccTeGqJDrP6k7~9&k_Q^@M4)m5j3?*p~);sE}gUsVa@$oeNn9 z@P?JKbTw>aRa*O_s1A%*$FEg8)_rj@<7HX(sYfV-y|c9;nWCyPyL58G(_K5V8eR=Sg+*Gk8BiK3SMct`M_e*yKJf+8j{Iy*>b`~m0H z6bx5mVHPfl#Vc5-JEp_&Y@$pya?VOzfZ7tX*wP~VMe54U*Lpb7aS9xi)vP-wH@qXl zPh;)<2Glu)5M`FnP!X~82S zHm?)Bb$pT61fu(<$b+dna$dMOJ$N}BQk=FKik(EgZg7M0K+^Z}w!YyFtag@7$XoVj zQZMpH+)&h<=}$Doih>Ux9b=v0j10CA`pd&TH15aY&;W04ujr_-n#3%*$v!7(ZO*qv zgMbL3YIOpBj`#Fihvm~JDG&=iJadYnW$S4@8L!*BfrsL~=rB5}zRD6VK0W0(r_w(U z!F$l*TaXBRdh+kDUdMw`_r4+hP$cJ--ZFO5ic)S04$=y8PMtN6g*=Tv&{8VcroM^= zUU#8@;Z_@fI7r)F1dj9$5<`BWVgmYN#5w3B6~YX#0Z7X^XV-$^UI$8>vf*vZpy3eV z(ND(Kd|Pp8tcuFKab<3m4TOr*=@+o!n%LJA;7H4MN^m3<+uD6Gf(2e?$*|#8HG^SI zbRto?*$DNV)v{sj>)N_uWjPTsXklgKddhG_W;5N;h|K2S!C@EmDM(>q_HBF&5<}Uf zPyT{L->j{`;dSbqac3`};j2)AB5RUxBnSL>QDMSqAdX@~guI$ji1$jx+fijXSAAw6 zWwpGKJT{Ku4m_OEz~L0g;sU|}G6o$4ioEN&n*@yHnT^;;%z4&2Nnun%;xIBIa?-qM zXD`9w-oqTO6iy6?s&(<(42a2*7~o_Ty45f_%Rj_Hheou;%l2|270s^B2gigP^9)E%GAfW88`*Z4LDyh6GpnFcUDvEfT#Iz zO@m=O)J(g(o#=1`y{iH&mB7 zR*e%Q7GFJBX>Bm|V^MZBG*zQd@t$Z`-{UB5RlX;3@m7tXSNTmG=+H-=lX(vPVcHEr z*p$9cF&plQY94$M@R-?z3Yy_wx-;0M5d+WM%IEF$h`HpcUwX>vmhxR&FcSBupsBg* z130*fOeq`Ai7a(e5IIF9mXpV9?6PiRaUZB_MA6Uj-8)cB519Hr!cCiAm=fw1qF8w4%Jk3l)GP>5y@zUTvf~QqnX#GIo1#6X9j8Wz#^Q0meKo2! z^Iz8vw$P*wo;uxG$S)=FYsS;s@zFy>y82X?(FrQ^TWqZQxXgni*YXsYRf>H5(;}7XZX$HCEY{741m(vtQ^4- zqO|SYsqt=~%?`!oatX@p8KPeYoTbg>Z%a|E^)rm+by=;Iu|b8(>$glZ!0cV?0s2vX zgPsiR%`P7TypPJGt&}PFgpKc6{;<(KxM?DLh$pY zixZCy$_L@LqrpelN9pMJXgsN|l?OXnFD9}#W!L4%xTd{uw^R-;<5RnVKA@^SKhfVm zcs2l3lvDVwkDzW-U1`4Xe}BBQ47_$B-5lXLK-fH6YnEsqWrUo@@{ zoJ!Ii2osOS`}g^P*QcvLi%b+^S} zZu*47RpQCG+++g^u-g;&798*-$Stw$I_29SAB4i7;q@nIiB}yUnNcwZULLoBccebu zdx1zjc6=tD<0W6kD0mX7mWzcpk+drRJPSHraJDQ7mB5O+V|@zG&wEsd_UT3cr4mQ7 zK^y#oD>$YPlw|BNYEdk@_*2}6gy}sc<(b$-(pD8f^v+)c2@*@CS_~RBtmN@NVLQej zrF#)H7iMSBeLo3Hx0HG_TX!9q`xEX zz3~J`*))ejKnjC?#Dbu-mh4DDHlN`j`D3tm1b}TNTKvBWj-Mex^Vc5%L0uEn@F+aS zf&xN`@&VLqz)|{wzeRvJuCK)ce2RA^;wmSgAp>5Je&|RzGQ?Wn&z(B|5jL`%r3 zPZ$Yk7Gy3aM0gsYP(N^;lznLo0>%yW%lYx^I+~tf7*%}&=0_tII4`(kPJLqA@-Hg{ z7zVf#WGuvc7htDk65h-5pE@Z^WlskeYRoxIFB!64 zMeosLgEn&?(<4~Vc6L8bO+tGQV9T_JR!`8pU9G#K*>~nPxZEeMbJOY7EPPN&vSa68 zv3pANO=+`WUKCN>9DM-wTV+C(&;-i0dJ#K{m$g zrBD3lA^v<47F3tUNGtX&2$M8;J^`U8sG<2!S|H?wxWUK<$c;IB57ZeqL$s5>P2+&{ zzEue2iH!@la6q;jcV7cI^qOcyt$}PDid>-tqk&1BX|O)SdYU>eC?)dZIcb7yX%&!p zJ$;jrZ04!Ye|eUg3k&3&n~I=d?+NGF^hq~5XHQ_WG|N2XWVTZX>lu2Pwg5R2AXiBB zfp%|QS!kc`H$P4k1BI2|SgW1DW-~c(?sh9(P0o;GBkN^@&!KpXh>`Li)o0Sgl(2%K zSL(>sj>v26Q{>zSDZc;7_F8E#n92a}dO%*+(*$%IufnwcbR9^g zIhmmn?mi(;sif;<+KIeK0Bcc;;Pc3THLinZgfWAT^;qAIFv@S$a*l4>bCTk(av0)_? z>tj!d<@*X!gobw?Fl9KFeFaoojbk{B8PgAJ%`*hB?G__FPp-R$bvTI4-xq&z2oTQg z=;vPILX<(Rwff%A$aBmU8%)Y0793M9{wPBK&qU5P!t(Bo+2~Al1j;vNv290wTDDMh zoO}ky;5-kpbZQuTDn}LSM8R?%TwL`w#ff>?rjw?Ev4TzYeMD20k*1LO#b76e%iPtJ z@AHodp&(x514Wj|3Aj)PO@~*t8&bF)8RPG;Fyofbtwm;Y2}B0SIL|blEI5~mP^vqb zqBDcmHyd5O?p;U*D{_x(KDZw zkrE$+RYl~Z%eujhpU(%`)k+(|{}5DXVBRk}U#U8|OlDeIP<97_8{HNk2!9jT~K9x@RM1N2v-E?rxu+$Cj@L5aS7jyqlH)}_5nD?l1{Uo-|> zfp#UJ`YYj*F^|Z?>hrNB)+Dx?9-(3KSV{TylnfYsSedndE#%n<{C;dg%r-e~lf{w? z@rKir<#)e%2^=isl%)e@57sImi&TCZi#eSwHCbvGLwGMq}f4*bv<_IXPf zh#uT}D&bMQT!$oxIUcKB(M23leZpa8%7#sPUtRqcd=xErAbxQfmw*Y}MQuX&>SM(K z^UDW*_7t@t`|*N(Iz>NYwt9VR=wAt@hSFb$vevlOqO3v#@sHIMW^7%=486BLpccdi zTEZO}M?C{0E`VEP+&jOq%(FT~!f~P^Q$9JE7@e`~YHK;qmcV%DIU|)EjbJ->Vos15 zftu^R@g1j5Co45BR#p*iH9Q}s+n69M{`zSVI709}mWRIqnuO`DvDZ(Y18lcjbSEuu zcg-esazzO^bT{@6Rt@O;zC8`Ai;-P~Jp*R6FfiP<#~d?P%f<%pGh_Di7ov`7{KI4j zzNpKmvGgNckLn5PKYkWgXyd2F=k4>sJeq2UV|xsQ^1<$LJA;hKJ?5%QTlNj?s9jD< zJ7}oQ)>FOJoI87sxw6B zC|5PdqRVO@nxZEv65Qy}Fk&g#rkOH;3<8fcAZQ(p<sp83{9aX;KO;GX z!qxgJ9(G(NS!=qS^vN%EljJdkktZ~b?f52`ika7>){#6B+=90zJ~JOrTjgzh*Em_E z6Bi~B>HZ@qJ*GU0?B?F04t1rFZ-sx*+1s;VFqSEkzYq?^an6;Jig&o_bA@2ndd`b(kfbW+Too*qPzSms$55dDeoJhilL=eu}M=0J|}v z4`iOHL?M`5#@z_E!+WJy+L5?thpx_0uXa&D-@4@YpC!R-JtZIftkrtyPRn7e-74E; z8-cEYJ@QbCQub88d=(qa_U)6nCY`9gZs0rg@iXV}a#YK+k#r&18!d&KU$uK}dKY-| zFm@O@i5r*=lC$RWItiU#-N2MMsMTuB!?bBr`_;}8#DE4X*{k>zs6f22&Jdxh91<|8o_%jG%Hh$=aiC* zJt=YfcPPf$A_{JEzmhO99=KP;SZeIKrAs5(7_Gsbw+$4T)tSuHCok1S6BB|eX^$V7 z0H+5ax^J(6kzVcO1LZGPJH@RtqIz;r^mCGm3>e!7q?B4*X&QDpsVyH z!BvnfcS@v|s$Yeja~l(&D~e3T=u}0NS()#berQ`V3{Oc)UfN{g6cf*i4PT5kwmTg7 zJ1o>AinIx@SuvIuWh1!>$~7AoW`3U4qFV77{*qts(s++h@-XkATY->!s1+*AG-r*s zVhOss{;6Y)nA~~6@iVp!ae~GPYN4)o-+PrD(nxh6Ly5>Y;RO>wS_W&tUK)_J_44`v zz<@vb!+>7AA2k2^rlBF++!{Tz*$BvITwHFCoI~ExH><9_V#))eU+r_+R_zpou-U*4 z7fOMyNP|A|9#t7%NRtH#j*Vy0?eC-|LoCpi>DecS;@po; zJbuPekpoFue)KYQhLfYD(9CSS`G=#l{htj#@fcOZ&_~*XHZT_5jp570r+0&RcnU;@W zUBZO3cb*&tBWis6UwRfFWDBUaM+wf;1RlQzf6~Xfo%A4b&{j`c=vN z0tM&5TfKJ`AEOE}I?>x#sx;Yu551yXC z2Ok@_Cnj2Ok!2*bLsl6!M~9Qna#R(Sw@j>=JWNZwIB`@Z2qH9=f*7aaw%NQ}yU~vJ zQo#XeZNMjAy7C!WhjDSZfe;^KmN<}@ryD|XP>1Xaq^vF(F~Jg3yC3U$Ax=*9_eIAF z8BVB=HUnjR_3%_7VsUsL>5>B(ZdOL|vT+e#pvbU-0w`7Js#=J&L!V~ipj1TXh$diL z+=>Vo{@Rp+Kf z7Gj>!2Uc&ggP6oxM$Zv*%p^bnCQT|eS*H?k2pYiJG5}s!43taVHWtuos5d#m*G2-d zk9DmYBhzuSDypNtTE}(=!3%m&87u}OOWnrFwLz6q8QD=FODaLaD>W3Q3N!Vt9{o=D zpNRj|LQG_qn`?TP#Pk+sP}DObYU;OZD=NihMUQ2up|7{&>@xHX_Yg%<$ZRB_XqK?E zfJ{ML)BP!=P!b$EL-nuSX%~K6j=z?~6Y_;wv0l1n^1g_%;j$ATLo1R=k~SlFf3L46 z2J7<{t>*DR<}}uckyq>LtjZY0{DmW|ll^ibl4=rAA8P&+(@b7aFa}Or;&Suoy+*Cb zdfGD-9bWeY3b=*xc7$?L26s=(2N;^S2qZN@jQ0R{hgq*T^2(0Nm-)FWN&;jKb?IZ4 zVo=#5=k4GH0Z8a7Kk=9~)QDfgXjRE#Ah+8N(;`G2xaa*LC40faz}K$29gb0%C6TeyE58|8 z^hdMBxIMJ_Nmtw_L8`Xe1G0@#+fh*f_tM2Clgf>D8O-B-dVJi>{j;Z5TwJDQ@d7=K zgBWu7=oH1Y_;`h>^T>4^nAkgZ6M5D1f0yq+4WF022OYb+O;uLukNF)gXKiJ4Jd4*3 z*=W12Xe#I>5}m*C3%549E4_aXx@(0^k(Ue1pZgtky2lRNwt<&+@&q;;rZ_c|$fi0v zsjX*jV{}(TW(m4GTE|44KA82?V{q`P!ls7k=jiLAWm})kMxcu$gq?Mr8^dnVA-C8(r`G@j*w|@LA~PLqb*FUWX5;``^ol?3&Q4fg6*W^~Ed^ zlQ>;34t@VCr^%PFV?00RHo7UckzrTQNV3q&0Xi^=_(CW=8fM+u3U}0Y8XlDCFrbcUNh{ZS$K)lUE`g^GD#Js zJ&e;$@lE`_&&bi92L?{g@XN}hcmErAH+CRdH*IYV-2%w+iq|C&i`33Sg?0|XZIF)2 zcqHn)n_7Weub#J%?fm*Jt$I1~YJj*e?VoCRM88`rY>PLKL8m$U8QpB{V*&S;0Q~kb ztTew%sy&0}86CdnMt*0|JQv*dS73nBCaFRVvoB;qkLCCwUJa+_pT9GVLY{NRD<j}z6}i#W25hTLlGZ&Z<)QvDlZ$9ij`jg%=8fjm3xzZ?Y|L)7HqQ$SLlFU9Lo%d zITr)rJfwMMutph#fW0xVYLDIT8_- zArHPnCFxVJ>nIYoC>{VJGG^BM$K5OS&Kg^GxXVY>@=^$!$=TcXzCAQ@)o*+Z#iG=1 zdAn>(7`0|WTYl5<52)uxb3dmqfd<{(_bJ+*XhiD+k@sV0~@Mi|l! zbSY;Ee=ZfIwhC@Bi6^k|VX_dx&^_anH$0-Jt)o1MmJf*GOfQBdAEQ#wHt#V}aO_jh ztCfsjg?f7s|4RH)S?$^7tY8)G4Kv$-_tgAV9!HRjT6-h@8~nvCZb1Lh`WFoqx0~+W zb-Y&EPxs+6K|l3h?_R>691(rholL#k4 zvp>u{zzk0~mj3Qg&`Yd%a$v+&QxYxWea48EQo86hT8%s!-d?6##8f%p8ml&1^)1;Q z{^G9^l~Q!%PwRFZqj+#vS(&UGoERL=opc&D`cNnbfPp{G79LW28lDi^cFnJ4W@n3qlkba}pv+W&m3ZxK~s^lJwCd~N1A zr=fqN152Yv+g&zs?(O6N9|{L=O%}esEfK$JMV*=IPEF8nM|yEbUSaO-f+f4aor3GM z1#(#`a5*-QH6NB&`VO>&LW@ruvmqnX@V-6W#~Wbfj=OWZ-O9h`|2ceH#G85?Oxz_t zz((hI99Wilw#Wc%iIz=G(Bce?4W?(wu4h@!ya7!z8zcH8rM#@b!4^Ko_~JGXYzb9?5}?VGdpag~vK`_!XZ*9;s{ ze#g{}M<|h?@vu#5aPW$wrKy=>Dn?wYV)Tk*rW&u2*e5;PS|km(D(*Manz6Hk2QH40 z-p&Z4$?sd4ZI`hDj~YpD)NyCQc*u19+LcF%RL#)suQ;cf_!}el>d&vf$zcZGcEudL zexCQfc}c)9a?jH7(Hq3*XdGTO^R|3RTJ6 z!ZmRT!%=KoTu`SgYtE}TdY|+PSxfBWnQb1_HPaZ-C1+D#9GrX=y0&BHFsl{2jJemV z8)xY1K>KIj*wrZ5tqSf%=UD@HRJus2l6gyX%C#!yaO<-ExAx`V+Lr-SqG2loQ7>B{ z4PEQb+q&S8kVk~{z(bp+2lid#GE7qAB^1lqTmH2Xi#}YtfgPVXa#O^XiV52*C~)%I zfj_r!FKWnlUqeB+21;O!UUS&Ja}^n5e=6jATJTbjZs)0Pw$-saU$<^T%5j;2KgFFI zyY-R1OVUos;r}VK8oYc$+_!ZDp>KVJiQDe~#}c!BkGqi8x(#T&?0N+UVfF7j+v2&L zGb@72-SOq;F`}c}gFI&ur>edTLhpC97B5W23e=`-hrl zE5`BsQtqsIspw3S#;v8-+?SEJmZSUNDPoaYu9o9#@WAEqtx|Tk`TWC9=<`)O{f=$j z&UE1RN<96*bwZKM<<(Q20$ER*2w@^nDT)Fvd#+vaLls$svl>PBmg| z<&n-tJUNJ7wL>h3YQLhxXbcJKIX``lv`&~$C}<{y5TUAtPWM3|^YAR;Fn(p8bduqX)tDH*?KuLSF>S)SL-4HrO^BCS0_QC4>&;;Q5SAH zI3LeH1D4uEPfYIk!`R*_Y_5x)sU+6fj%!weN5<{f&mj}f)+6#`o0-(yrwD8?mvOyY4j>H@%8Gg;tS@js+)HKTisq}s^r-+;dr|$n0@-=d*gnwnO_g}dZ^b!y&mfI zQ2&&N`uSR@*FwD(>i@z*{Y6UhTbWasU+2#ga3b+Kq26Td@-0$hQZh;qs?uRDWRSf0 zcggJ$vBh0FCm34EaZBWw>ct4$_XOdwIDUA_fL9c)QCb4V&2X9kv)3j;kYqrW= z*O=Q+pk0kk8plMTJ1%qw1yx2UQw0_~|Ier=Ot(k$uzq`FH8V0~9xO4{t*;Mkd7FtH z$&*T52Jes>-9-WS`}42Tx1AU{B4csQpsXku8E_R)d%Wi~u3?iSI&=~>D065wRinFN z(oz*yJtxc^s$5QO4pw!0)O#j&QtjjS?cC5d@|Ub)HtUb?-K%e+MvtlH`IE}nIc$18 zeK8WnTthy{&s7^sH^x-MHgQeWjMB+Py(~3(!0{jsXNT(VAr3)pkX{RyuVrg%%{)Mp zHrB}_k3KK#@06YyiWJj`%=f5S`aQ`Atv#aB`NXqIT>0dKbUef*-^*0xr zsbKb%t%!4ya$3hzLXq>S1KL(r-Js60pQWfZe9^BXY`=*3dB=XtTGzKo8N3?2i^g8^e^-NGtDh7yiMneuf%LvYaclMhy5za+2h8c`<%ij z2sBV4b)J9Yg}w6qf#xGAiS@;3pf>@MuH|EuO4A!yv}f?jmWPgSe&rMyKO;06??k0w zc8QR#_+8Uv61f~Slqq{2dkA?uZe2>KqMqyE;Oz45oTsaCRQdKPtgo%MH$UI}eDm{P zk)Pk+_8h7^UoNaQf{b!*Gu*HEAjyymEFn_)$IC+4Nvaz1i8wSaq{g3q4`arb9!PGz25ZH zRr)zZdSYL5=JdqQisbafHzF-9Ju&O3H$59{X(zCyr&b2{o1Tj2pc|ioQ+}p5EI{#v z$tOUu@wJ(30g6S`6qs0K=?O!6I}Uec)^P(AS8QPy0oo75%ub<%cbVHMUcF|w!z?V(RDY)A|qiXX6Nj772BKF?J72N%}yav_PPqMkn44dx1XBV1x{Xf z-UzR=FO2JTn4+!hby!2~*XwGJQwXoCh!x3Rhsw%Ur$gG3Yjv2kw`+A+)S_&4T9GlX z)hS+mUaJe7tS-G_R);T;9IL|=@k<(3hecdt!xH6*OOtGLxV`wJF{8unPPs-`5x^}w z9S9NDXijH@Y1iu%H|p8zz_60cPC2;h^*T^=a`rl{P(+n^9atU_Ze9l!M4*P(X{Aa( zUI$p~^|}!2*Qa_<^TOms?ChsGL5+#WT;UB>T#(%)J1viGCT7E(B?Qa+AJaytsCn8O zvxUf;V>a$O_7RI)dPCi_2et!aowNCzk@c)mSK~nZx0i;mit2peSyqhL6<$7~uecLa zjLyMqcUIKiIz^wLjii(|0jqm$d|&WuIIlXEU>(ZFjiJx={79_9;Z6S*wSua2GM6virH( z%#62-<1w5ZtA`_$xcbmPuy0^idAvaqo-!PF@e!~@9}!O1{rQ%EtA5Bej1lda6Q3pQ zStQ~*Ug^UfV1;ds>7T5zDXz4qbWcKr*u{liuUG@mr;F*nDf=9*!58hOqo)|oDXngL z#v4q2u%m_1Ih^5pnA!)-*j8s}C&z%+pSZ}r8{U2%jGq!t7@C>I`8e0s%;?VeA-)Q7 zNGrI&fm`3ZnEuJm&L(t|MtPav@)9a-dP(yzF2xrmJFeS$VDKbHM5TA9ICFFiK45qY zf(Q-*Pq&*Hk|@jVX)Fpmst1RGMOU)lXl4ZZ1$J4M8C}fIAYnJ-(yB2ESz_))-WzA1 z{mOriZ#(t2Q*S%2> zZl(aK$!Vs5N9EK^*(?z&Xr_S04$GS<;5Axmrb;pWpJqz28FTbf3W;`bSudp(?(6hY z3fEk>mn!Pqxs_7f>+PHr5{Du7y3Aj6lRFFI2tMJRQR?|SR>a5o^hGCLeg(5 zh1(r;Td9KHeK#T2N`Vih-d4)^BDa-NENvaakX5Fv+e(3gW~I?ffuZBH#w$2HPAg>` zkG>bJlxcwWF9%vFRCuo4N||UDpH^y1_3Kl+Km6y2VFp>xr?AyKbO-X6%1C-vpGb06 z)Sq%jlw~=?ce0!{$<}(vS+lGqIU~sCm9qv}qlcWepOBiD)vwACEHLLsg ztmcd7&4-@V%obi(&C%#3HR}p!%h7kRR#J2HU{}r4=1R|LW;JU&G5SNzdL%uod0Jn4 z)eQVpGu-{DX05EOX1$k{)jWgcN6pbhA2m-4hO1TGR;YcPhPU>tW<5EPq-Kx3q-Kr9 zK}pR@N6Koxc%IAL6<4$3x{{jN+nl6kt<tk=DsYQ}|UHKTu&q-NbXuZNoT zLVHp(`a?=;R$QNI)+>(KbTwP^cGWz+IZtYSC^yvnrt_Q5Z#w@g()s%v&Tlxs;r#Ci z=U+$PB7b(J5xHr+(78FFkCM6UV@O4`<-j*l@rf^5af>Mjc+{XH^g|WAK<>@*|xn_if3Cx z8z+u!jp6}YY`?mZ<&l(EffS4f5GlQwqd4H_rG z?3Ote5$u(=9a0K8Q$RUHq_Mf4^jWJvs27J3l^=gOp2r``>w>QfzApHWcfs$k3I4Ap z`0Eeq+8%>|Q}24f1W$#F{j~kiitZFV`E7*@Sw%-dcHC^msAyx38~aV=j%xN}_WR17 z>yG-U#F~HnWfver-Uq&&cc#BBEU^y|{ke@a$Z`VnnH{j6wf-Rdd{i)>VVIF(!LO5I zoG@l8CTr?l+29rI0=VKH6_3h>JLs%<(uffxVio3YZ%m2v>^{O65EvuKX1i~Y{bU%{ z-xuH>U*H}CM)fI_$$&j3(1R zU#HUse`n`N1N$Y+B9AwqOMJ2{zeRtFK>RXd_;ytwg}+^*DA7j!_DQ8LBdVs*Tn~Ef z3UWz;9L`GEhEXGUTUL*C4)ML?0)QDU0an)LV`spA7BzO}Zzx&RNADLvmOTd@wot61 z`}wl(ZDyD+b5|gy`vFzOY-tK*(_xD(0*s4A;24dO_66NWT?hNdxzpo~A$&M%M-{$= zBlQv1EnyXLnEUv-v~Qo+@#Plq+T?$=$?vaAzApK?&6n6ne16&Wri27%LJSYDk z(SO*la-BVL9GLG@INnI&q2qZB3>?^z-yax}RqU_(ce2r7vD;y>bv0EimUhBp<8%GR z!4s>^vr58K{DI@)`oZT9@+owWgqg+yz}7HhG+_qrR+$T~meIMDzc%v(^Am|3vHraVSiw64JJOeL_)T`c7YX-MvJo@%eeTbYT0Iy;5VKU*5Plf3rK z#Fhtk)0)AEHIC>Yh)m-iAV~MBoi#{0W9=AtzFC|j(OSL!VuSb5s&hpHo@Tc*jkF^; zQ;((`)j04M(lJ7^az41t!5KpRm^%k_ikKS`wKfEUdUVdXF}_z>2qrWavT|RT3MO(J z(XtEW3JQ|x2G&;9EDaHxU`V4%V*E{J)1SJW7Jx0zzl8E0!SA~QKW~9^ontXF1QhTY z^?rH)>)lc~(E+laH-04gIId6gdxM||61}_QvR4tRB0hyXc4KWVZh#F}!vVZu!uG)p z2YKI9#58?OvN|)V)WJ`sFb@d){F0cT$kp4X-Zu5NskcqNZR($FQ$OA=^`CaB-(bku z{=Cl4J)%o}BHF5^Tj<7@h-Sp7$W1UOvX*$@yDz_l0wbnkhBU0XCT~4>Bhec>XGDvN zNT+&@qacA*piL_}-@)EXvL)2(L{>w6V1-j(3i5jNjf17_i@hr&Ix%8KMM_ll0|v=i zf{ZLE&1_h46NmW8O37lgnZIuH1;1LET zI}qzayc@xxJNv73Y^qj=^o?U@(Vwd@1>6vU9^I9gc^K<4 zVs>od%uDJ@Y$3bkbO{cTq8_y5f7%0%C8c$YcpmCtn~9Az*|y^LcWE6n)2XK3$rd#H zm037!+Lza9VBB=_Yh#2DHsm(R(BkkOi1g}hndu`|3)dOSowz29=_m`uZH_9Nfx-fX zQLf+rC}3Jogg%#MiH55A@6wV z;Eam+!ibPCn$~|gC+v~Q% zxp3}q@uLaP0L~5Wu*bOJk^KxaDq;f^8jf-rhLWDck*QUC#6u->$*wHWfF@|Nx4M8= zP%7|DW&ibv40htaG0C{bCIMDCfpjdvfQ4P16cRP2PA`lZQQr3vd-!dIlYEN07H3c@ z;k?0sv}wW8Js)^pGb*wc?z0be4zjGVmO7hZR2Mr9u5&3H(O@;Mf*9`N_wTy-y?3UG zmDjb(eM9r{e);5i?fLENP1NncdITp*$QbB4=)07@!AZhNMP0S6gSe{>rbR<+t5noc zc`(Bg1LM6)WmO^gg?XEE-bS3%Dn{UiTd9YL^K!Vx!d*mT&7ZLOz{1-3L|E{$;DsFX zyR!~@jc?VwOz91s8dJ9^7v^6teT*nWwhR=NYJR=))aK$LSZur+)A{Yo-F-)6dhOkF%H^k#inc5$_n&%+c_I zHGckJ!D^%y9z4s6teg<{@(uP$0O2colmQ@mxZb}S)*Acc-eM~$Lpib5X1||tZRc$0 z<{zxRRR->l}D>H80ct7%u`Vv8f%x9&&ySwGlraabiMqkcv}$i)idS(J<2a( z>&zmsix){b!bq{@IFTAw*op;1%4w84Mzjg@*PL0<^}uso?wyfg9MM4!vS%yF(W-LR zAmbJ*C*E!cch)A@*5&fz8;orWblS!$xS#NpmDP!Q*p(=~h7s8yJuIR=uu9pFir_(T zR%lR~x$X02IXE6e^_+y-=*APm1T$o_nA^TE)l1|yqNVN36&4CJy+!QdRm{>5nF4w= z3gk90@}{xh|Ml0uX{7M)@kac0i=1-h>uMM-WQ+-GCBVB?HdX^`I`4c7XsH6VUviNO z{XrDO_lb^TIzBw5z5np|$nK5i^q)y%;Lh1`UFBG@z6~@*ov0h7=e2r94@v>MjT=S1`* z!C&AnPxf|s``$qrWg>ScWo~H=R4#qrzE(T54$^O}_E=?tUyfD#w>xz%qTHx-xiopO z>k8P#4b*0vCZn6y{K~gZWNcA9bBpPFE>1#Cx9DPSv;XW z@aHBGIalB4uyX}ZC-vDQJX?_krx;mzIOJrnnf!|jmgVxt09AG~*?g_A_sn`}G-%>t z9-Hup{_V>(u<~EW^*XNCalMY~bzJ|L&aQ%knMNM=~o&NQRxR>E- zapPYinh}_mg9US@!5p-K?@RmD^tjK&3<;CgPnLu#7IM-fi`C>TuJ}ZZjd+M9_K7~b z!p8M5N@YMKnAeZsJH1=35Df!r95Vx(+oi^s5o>45h!)eMON|GPX2hnFk&&93IJEX) z(aRt9=#%B$=E#82-6d%b_GTaNMoj0-D&NJ;gWi+&iOvkBKh}6MLk(TauVP;~i$B2}XSb>?z zRvCLtFN3gfDr*p$KCxzBe#7T-DBqvR+~`p~dRAQTpvBml*sXdNQ)3;6Lh^$#Fd-`w zaZH6>_Prr>t&w9JJYJptvVq5b@punJw9HxuZ=-ngf(^Xx?q%<$XQVA$Xttri9sUqJ zM$LZzql$mxDRqQck*_cazaEjf^4?GFkZgYh;2{&aAtBMwpg~(u zRMJfykU#F-OG$fLm&f|?2U8}l3&>|zKRJzwaz{rL19Q-w< zM12hP#(UioT5aI_>Hy|iuwc_;U;xKg@+UDTFCuw_eJaYB4dMl$%3-Zbsd`xJTKVt=Yh#vnQ0vm~Y@*in(0QTOBXb_o>e@NZ0i3k1 zMfD=BM;f__0rtgu( zj#^z~pK8BQ>k*N0Q0rRDJg80mp!RMtBBgwUL)w_a#zorr&>@GcvBoxDTGzTr8^=eo z`h{~HB?zF6)2{k}))QjRaX^cNu>)Gyz1js@kJzvS+DJm8*+Cmgs_O!6WU=-GTGxH8 z1KQ9sF3`r!XzhSDF3!{gT3**5zusRuC?B4gyTAFbhzQTzx{#vMaLTjK#Y+)F|N}e%02(QZ^W-E0!g)Yjk=!snfWW^aT zeq~Xlz?x)0&E^v)HXBwX%z@RR)r9o0u}fU9PiAOuM1lhHg~5m+grzB>BRBwrDh5* z;pWpx6jWzf+=;r5rn8vqYT-8@i_qS|hQ{(;GRcG#>PAb(>Mi}!`?GuQT}EV%>zZt~ z_Qok#&eww1^|FC=d8>Muo;q)x-ah$tWZq|6D@9#rxZ53^dUa5H= zZ7l|8GYWLIv_6wPfDHEpd*Zoo*FvGH&Q)p@CVl;G7_juml=%27ozjp9D2>wC#vv9s z`%Cw%-5Hpj9mI7DTNpm7Kvzv?Wsb!i5qd9AJ=e+};d`jjqx5yP*xx)b0*c=3g7HSW zo*>GObW!f}Qe}jjh5=CDe874%rmQAci(S3rV7BIG8V4LLH?CpMY1r8A8M*bEm)wl% zEe$3k8n$@%pU|e*7Nc&Oeo-0ef-#-6E(iC`8AZ)~u)Brl4mF+GsKP1IUzA)!MLPOD z*jmzGROm9(kuXqOW^|2=+CvC3SO!{j#hm8o-P-eplU7XXc#c)7pZ{iQLB^J4N7Kzm zl0Gd=2S%XtZWDSg$t-1yS+TjZVO676*gNG;jG6wAUuK4)QrJVwTRZO9gAruD;2AV8 z3#rJEk)JU;vyPr}7}C7)ZX)As9f!G)wT5q4##=zsi~09GsJWuxq~FDX6-fnu)=Tx7 zCOIQkPH9dG&?IF$6= za;%5GDIfYi5oFQUvM&1iHmw(ZJtNme-@T>_ts1Y88@?K6cDK-W!*?fG(;L1TnfAb! zX79k47UP2NPV@GHuf)sofv;@b-SG7{PkX^v53T{&iDJuBb% zb*C$@7F90%?gY^{e)nU_+J#?(V!D-+tq+rNZ zSx9ysnn{2j9c=H0N2FkizQ5hIcDkc|!Cbdv>7>kvOjcZspgd8xd=^?PovNR?M~q%} z?EP`=xqa&)X*4r3CtDOB(+PA8y349*Wo-0_h@mKJ?39H=P5YdhHn7#J#kPHGKr1X- zpZL9=n%g=TQCOs7bCnz68Xw+`9XvfEu*ppyh`@ru7U7}=16akBI&+b2VcCuEnrRw3 z&2DXWG&iEpSBp_QM|j*y%+V8=WePrY(ckd=))+56Bn=b;ZNf5D8#rD%yrm%>4V-}q zL1T)Y_k@8xnz0Rj&+-*83X*Otrr1J@UhpdLks?Xk%G2zKIQ(GhF+zbo8fLf$mKME_ z7LiGd)p>Ddp{XC1_w~oG7x@qpM>E^j2wBFhXPAI!w5# zg|a>rEv(@z8b`F_KD4w~i^3Ky=8!Yuu)Iv8ROGT5DnXgdeR=67nO7N^4b^6pM(&`; zDujY$xhf=8qiIr;>&k2F@(l$i)Vf-@TL(s1r3L-%7zbS#LFpVDn%9BuU2uU{PvbBu z?)+$tb)3ZK@ue=7Qw$z(7gqilL5O3Ek`_s4$NwnumG4=?Y9 zOkN~jP1kw#>Z?T+7UQ(*TD3f4|s%G+>to{ogaU_B4gz3;^wxr zjySt=tYcw@NSf|;*E+mb?pn8JE92Edn{Wi&1gV^3q@e}3_A1hMbCD*uYzZxDE&O?c z_*F@{LW@w&%~IT~Ir8~KATWi89nBQ(SA#@Y_J`}+^8ZLgyJsiTBX`70uGr&&b$+C=xr+Gpz# z@mp7WI1<;z%fr^b674Ih=Z`-;BHm+1=8m}5-7>V7y{(&$qtRpR|J^O-q;@}1M;OJJ zGbrMbYKVj%*jl!eaIIebFWt&wkBsz%9<@PFLyw}+tLLhg2kJiD7K<8fgbrurpE2su z=z#5fS{Rgukjd_BtiEqsJ8S=m$i8-nVB02?VV`x4qcKA3|6;%Daqh@*VE&!r#S<)A zqC`>WF<>~bqq#q@BCFU^dp7n?(9uKj>4!~`tm1RUsBoxF+~{@UxJQeKFPa(_9gM5O zMe}?;@SL4dgT*CJz65YpI5~+$^Sg|e*75r4Z@F-YNB6qgjTwniA1gf5&J&7Y2gFb0 zprjY8cX*EKfR_>Lg$1#i1v%MQ&f&}FYIVr#Zal-g$-+8uMpF%JfLdB!+OT0L3c)XT zY%=&^H^c^?0?q}dSP@+`Vo6+UW;Iu6q`=DA0VkN`(&^%5+|UG;I41E;O82$K;8?#q{A5xX~@$&|>BVpH$F< zhCE;|S<7juf)!oRapo+zayjF8%sa9LJ*VHbfgN75=t=#?*~RR!;#xHlCm>x6U2pWl z3{$$`;=w?ruqeBP6U4&R3Tql!W--Uc z1IGVFfh-bW<2i-v3)8kb`TPEc`fS9lFz4K92q}-ZUXB>9`?&%!UN6c7 zm6|&SEkQ?k)5u^CfL~de!5|kOa|N$$3a3gmmqj)%m=E0WY=jqz^7+OcB2BdY=@SbQ(8xO1Z#oj|r-euq0*>I1iF+>w@1 zkUbYmIVJ@^F-cVNjyl0g#b*o8H`YMqoo7wBiVV*Oa_m~)`0KE&BnS&S&kJRgOi#qygi9E!9h8_npj%4J+>ptCMY*guWuldiD=vyY z$9z+!YGLA!JYlj9j8|l&`qk(JR^;QT$>;ayBQd>$!8;hdgTXr(eBQy}PY(t^-nrnN z3*NcloeSQ%;GGNpMRUR5Ut%s?^ppa7$_Um=J50tdFt4aWZmD1#W1q z+*5($KDOp}JjlR!GPg`NEUfV6((b9iaV>BPQ-NEAvx-jzj@t#Nd@49Grh?PrQvpsu z0DUTmJMMrgcSNJ*SP))z%KQdAsLC-GxJ74utmxSSC17%X;quPj6LUcry3)eHZP}0G z`i*fy)XTAAQjz&!5P{@@7!2a0CRN{HXsJ7VFgP&=gKfcJfXB$z(E}HXTMPzqYuU2i zy)rg5Z%^TM-Gc%nq4Ti)xeTaPaU=S9opBxMv z+hp5RcyTFYJtl*=l>>`U2H|Jl!au%@nhF{zzJ2y%#fl9+#3us;^RowoNK5>$4Z&># z+nMIQ17a?4i{^kdut5joASceb;ItU8P!3FkrNflT<;~hB$AWN>j4N52m19C}xuc9v zjs-Z7z0LID62=0zjO>>eTrxqu4|zb01*gTwf;d3RJr=~3wH{+ZxLw*k7N9c9E&9=v z>M<6ejrGThO5N2_Y8U4W%mtA?DXRQ(Pp>@}{N8K7eSQDyYl)5J79R9kdsYLUD+Y!0 z>-v4=^pGazz`2w_P`LKCS$9+ zv~ZW(0lbBf^P|@s)utSD;*cuv#~n)r%xy{k)Mi8>4?QA`Cl3JY3FUJ<$}R~XxQ9^iqb z+~E}-Y_aXc@i^wA$8XPvru(YiSM|QC_f@^G>is{c-XE{%eMRppdSB7|irzmadjI}v z*F}+)n%6DURmQD(+qH7%;v=p|2R1(8Y6OnUtcU1zi||oh^g3>0_lr30a~yBxmSOr> zF`M3^w_Pg@2mFNSbxXZqanT!i;0;~$o*1I{w7BRET!3Bl#vPNytLfF;n%AL`%l&B_ ztCSmK10=~_(a_kJ42!TdD&P#$JT-5uPsz&d4Hvkg4cy0#afDWTtbmGx=8Z4lfzZ71 zl__ZkB3md~T=Sk7bhRzeym$+$EQObo7@9W%MWN>YhF5KC+z1nC9IT5BsgD(M)yD~C zVh1#DWZ_IN3bL}ICzc4dR{>%L%^SHsNyMRf-4eo*n%D8@mzmSL=rw5cL^%8*;pq9^r3^CAcBv0_VJ&keIf@kTPuTkuA< z*;c&2ciL}X-~U>BWR+H-nrzX2w`Cwl`}#ur--f1Xxu}Ah=U79(_XqDauohzvz?Ze< z18Te$ixri=nasf8p5<$=+;J%$s0{457(ELtU?&d_cgT{Bc3XbJV%$?=iwbC^)PSiB z>7;kbe6JJhzIq~Jh zKh23hUP}DeQR12shb<4r$%xBhW+x*q%apzuaZH3G8FAt0n41xoB}yh4abej6=&;v$ z65_IqVviNZcm^Tby6Uo%5SOLM*o3%nC2a>G9+-r9Sb`7@sd^Kl-%;yHh|7z4mCcCm zWina=?pjYqT$aY(kSn}rBqe%W#@v+Xf0KPkiEimPBSzfn<~zqWPeybX`h2VyMK&XP zAp1#({!KPEA^KO7AcGJOOhUXZB*b_N-GmrX(vuMVQd)w}7S=H~-NpN#eCXe$@>ozs z9tRXT>CjWK_DzSLq9mT6L&tTVbhs?NCNCJ%x)0rSxUgEb8Vzh(Pd@ZpMK>S1oBWWV zJO8e-`4KyGJ{DMSn-3$D?c_s4I^TTgNy`(M<B%*-KUNaE|R{b>-f8koa z6PLJ!ycOsBNsAw8@1SW|ddZDI?Z87Dl~##I&tdF}PvxP=pWz9-#*1-%g@&nqXW(Vx z)0>B7d{*zoEgvZ|t$?(Mz5R*9Fc~*0yrRup?uP9wVPQO`n`KbLt9iz*8K|br!UIiOr%z)h>@Q zl#HDl4$&c!VeR4JTX^T-TaXr)RQn1VJT@GET~lB|qkv-fPCH>=v9mUdyCbDQ5h;^X-ZKO~g-H)-07wm>bab8ngyyFcKp zhqaC+Z{AaWwJiIQBi!oMS=~~gW$%?k2uX9hUO1~br`hqy;a8GjdVhQY6m>ho73XgD z{g*t5(BF#st*GCM`mLzHzZLbrUr~R&1@&7{zXkPMP`?HB|Ae6adn_0sGVy^CN4fZ% zo67Qevp`4pUo3t?wHNVB$`C6-yq&8v#T2zFn^lETU%Wa>8H4fMgjxlY({*-7Y!-M- zl|1u*V0Bm7H-{EGH|@AL@j!a<#g+aG>WBit=&)Dgp_idzoa4Sx>}iDuP07;9LLQgV zH3xSHoI6ZED;$Fjfne2ncOZPO((A84a!$IqJu4W;P!NcYxfr+hqLJX$>=(3Ha7($dZ5LfZU*-%b31dD`sV0YqKwL>++Aq5LV(Sq)} zYhjUutg!vSruWNbnGxT|<-f3;^88>XdvBN5&zl90Lp};G%O(dCn{wwx+4mvbN6Y%~ z%8u2hw(8s$raFOvPJ*`jv=8rasuqq$DGvn^^i){>^@q?5-; z{zZ#1)!QGq_-Q>^UNL;e>+{>6zcKhwEsc6^Gl*fqqXeIfrrQ zE<3Sh!}Mq!`3LLJ!?j^=L}UqsC3SU=ik8D3@}JNNabPR`fjwvN%YusM4x4Z@X8}nw zQHwSGJL($s&2YtuTn-uwaboTl9b;#O5s!0a{jC{~{#_SV4Rg-iJVr}g)tY?Q@wZ>C zIPwVUi-uXpBaUoEeFNQ*orS8vE$7vFAh?x%RWoSGrQuf@)x{V!y#%O$Rmig{>a882 zGL+Y3QfJvlA+<%!;R_B=H6E=%tQ?^18*xta!88-*Fo#He{cqZRT26v0yv7;ji~FhE zhpWcw*5v6;XZ52+J&SglrGQa1N^K9^E-`v6W1T@?72O>C-Ia42M=6Z1jP$0STUj(S z$8O0LYh81kjS%&dZw;or^N~klfCvY!hZq<$r{kxXLWYR8h@z@4+e`t}v(VQOz?6C` zNx{?>)1J8Xqh)r6O~iv|L58tt{Nh!_nz2h@Pgz=T`Scjs{i*^C?t^av`{uE``oCE! z?4ket64+A?eTt)PiB48Za&R}Vv3IWMH9Mnf=4boc5T1$&$MO*YimR$mNpGB}nw;FA z^P!^NYb#Ti-8q0Nx;w7l30%mC%K5vFNz<8+9Uo1Ebgql58{j+6874Az~ z?0;e5$FCE<74us$zZLWUv10ys3+A_AehcQeV15hcw_yHH3FeRgcD;-PhHj&(kh~MVk`_i!HJ2n zB3cQDygV@9a)(K{!8rJYWMkoat91^1hQ9s1Cu839G2g}TL6{~X5k@$qq<|(@)~H?z_#<+vo0FQs0BPL z+!d!bv>r5~$adEbyiKHL51cVLts3!Y!nRf>k0Pgm!*J@t=>a^q@R;}?<6gNy;s-70 z0N{paNMH=+7miL;Pu?tJ)4`dHXm?arDO6&>y^K5*E2u$jXANRLwE07qSkQHWJhL6~ z;gMzpSQWRKni=)587K_2gB96|$$oiwCJQ?#H7v=%ZJh#{OM7BGApLdfj0+k%u%l2K zemx6B*^5E}%LiWkMigULNpYYwjOTj3p;&Jxr=VieMcE1AxCplkR?`>kRWaNmVp(-B z>%w>eG7@84IGfSe%>#2Wv(Xg$y@gX>qliY{3#CIwaHSX3dBaV*;U#+#E>$n?aBWu3>YSv@b#)Q4qY%p-wg5<3!!NSgj{wPkwPbq&*~ zG9A5jbrV8_^fBQP5Gmj?nJ8Eao|1tpgB#Z&E4}dPm18Lskldk)hd9Ua4HwhRd5Q+a z3=0JgSSIEaFnEVdhTz+Z)9oypf^rH=XFob?Bp`)-!8o=HV?m=Fyd3cO+qd-)zFIJM zI~>tloo+e*fEFzgY9}vf(;GV7GnJa`uTphx)y5b1lJnY8JmMFr4~#v5JJNx#8V5^Q7E2YcK-hy^76wvx!y}LX_w1EDw0X3t-9U zee#Tb+gBE>a{I-yF(G(UwRuAX0z-3fSozMIH}x>+fsx9KxNs58l({psoNBl@=3#Z} zM`CnYML_JrSb?4G=7E{Ja~=wp{N&Qhu3B<-(>tviUkAL@e8AdfE}-QWnP=^NsvuVk z9QA4Glx}tZKvZmoVy6a#0r?0^hcF22Xtco1gvq;x8ODhdmc=~9q{X!?1QCBRt0pn> zo-h^|xWH}>!F}$x4e+G1`zOqP2sdgxNEsb^pqK+YrQ2d0<=>OA#5$(x1Rt3t7W-(< z8is}Xdtvrjy|$#h#2v<~7W3BgRg1uNi2ydsA_!rNguCQnSgeS`@IS=5;aG0tr_YhJe;IC-oVa(`;#=P#z=4#};xb zBn(?6@ZArJ4RN&46*AxW3r{qM8M_{=NTuDrJAnJp8)*_5>XnGol5)|HDNboqcwq{& z8vQ2393k<}%mS_b92VzYNz0OA_1v@*PWMPTQl8QyP3E=HG`|y1D>~&f61D0$-VGQt z&iOpgp`z*oYRgw@Jb|Scwksti`QlUeCBU>LA}jd@-rOcCzhP6g>h!7B8s@Qb)6-;u zR83mkvp$$l@SgqM!8nZd3>?YxqLF2_o6qayGCeoYI3y$XTPox(ko*1$o+&|2pmtsl z7T`aBWEWbBSL`gW{Gun_2}b_PmDv>Iy(H&)l$GwmZB>2doIEQ>IUW`((YCFk@E9_N zd-ex29=LybSBR_a+3L3^NN`sV;GwB<^4(C{6t!0S;+lq*Pu!IzPr^6YPBX@N+G6|d zqKs2`=*uCO5jY9Zf7n}Ug2~G8mTKww|8Fd|Ds>N$4Y^qKV)~uih z?#kNtiJ=g?|1Lq-aQ252&&sb{IobY;YYuMN6}fh<_|ylkBIt3gI`8aNJJUpGKF@;{ zhZgO#<1*mbgW*E%HKF;6&LHa=S*9$F+k2{F_ho9`K5rUS8yF%lz^rBX`~%c?Z{nsXL{DXqVb0ma{kZm5vYD zMEmc>q~?waUETqLw?#CqFQt|X!ZjyNRuRQ;rFX_ma9oWeb%&Lc3`QTNwg+z_P8`w< zSoc}V(qIvBMW%-UR=;vR9&ja}`phQ~|F(bZIF1o-I67LqguO}@53HCun_L4FA5hU* z83%0b&>fl>K86{BTOIA_#pnuPW!wZ%m6Unhz!AS9R4qK!E+uqLIFllC0w(D|V zO1qzx{^==yC4<&fJ(CJi@urx)v$gT}l6s7i2QR(l{!@z_Wx8l?1}dDW+h` zYtcgDQZ-w~N^$Gjkw;o85C}}m)Sy_C?7+LkgGH#37Vqvrq*E(P2jwt%zA01uh#F`Ye_Es__wPSy39teuhz9bvEc8&dokX%TUZ<1Lw;p`8-co zt>4$_&fav3&uge4_YD*I#^B~HW@b_osB!eM_ ziIduLapD{x(LS%+1I~W+`oTfp_uYXnD|VBr8Cy+&mMs)~RD=5Y!ur#ZJ(;YfgX2VO z7202i4avw2rR&J)We3VvsLK>NJFc|pzM~CAjdNzxxfo5xE#s~{QzK9P|E$y;QobvY zP4P|r*-1x898Qr&39QuNlh)jjqGwXGpdw_xdYDCw?Hyi6M!!_^mh>6)Y%k^$cy|5V zad!0^@C~H5E#Xl9urkArnWqCzW%86mPwYL-wB#O0Q;K%v%s?FK!JIe0ILf?F*latT zv)QlzW5wkB^$)uK5{KE|d0h0hl1B2bd|rhjlb!9KE3gkkFGpW!GJ;v-e|Ch2C#5mzDyysO${ljAXh`LY(UA6i;;uA$6u!Y$o#kWFm?-z+6VK4aCw4TzY0B12 zH5lDh4t5;h`qq!hDF?Wo{gwyBDNcL~2qW3fj~%7xov9-PKHUQ=$O@-oRMm_$V$9__ zuAThmP^wr%)PJ89vF6u5c=U@y3R#kq8J&#k<6U8EjQo=9X3?;etQj`p+hYTLZ!ot=7toci>`HQ!j%dQlaEsL+tSmO$s%|~4^eMwkLC%_|-Z~s96$Q=}^IvbQ8_Hk& z>-TJ^g$3u=`IY}&dBq;IU+aIaJn|2?3m1fxKX8B54*+~XgTEB>eASPYc>j-{;D7N%>JrBaO2ot^<$-bG)?sb=Tvpo|KLac zpOsWU->}9je}1Enul{k0bbQu-&{e6#{TTUr*8e2%3?qDtA56^zv6#FZSnt>42yqh z!m%NMJ)i+YhYE!1|Ni~QfBqP&{OP>nz<(zOz{1LD;a9F?m&Hn<#upv)5@!5X)sSk8 zqhh6JLwa|N;~kurfFlXWBDwvOu7o?_rcVdn<90yd zvqjLI6=Q)4wc7S#BXA4h&4B=Tbd~ia+qx6sdx&ZO{;uGe>C0^|ROK+e>T?LhdkOCx zLRLCeg)4^IeK-Y!r)jK!TLBLdr#ZkkX`s?jl8IQA1smC8to`YipB=ofpC@~MFjIUk zD6{n)(m>aOjC6??9Q>t)<6##s0qhTx~RjqDtHL*53dIiZ@Dh7UOG!rID$8fUv2Bjt`3EcN3$l7{mrGY{E z>(UuFWeGe;l+ORd1X1=t6b`Mx+XLvFqLkbwicYWh8;bQAS%t_LZ&7w8s}bQDg0<-j z?PW~9B4p_(oGBb3%FKudTa06Wb~F`HzM(7j=LomBl!@@YNS&+*GMYhlesHhHPfR=Y zbM!>y5Q)>Oq-?kD+3umr)=H=OEwp5|2brF(+6tC#xn)*_BHjk8Diav87=wvqB%6Nk z|E0Uik>%KNpg*V31-^p&avsI^o}9VAF}Z+M>?e)Y0W`@X0c0YPYotf0la|d&N3Y#z zVu+Z&Wo=uxA3l{RdJ?B0*jd5FbHqxoeXw#oKoO-TPsrw>yyF|qOgHB#8WOYASq~n1 z22H=K4-dC{@in& z6N2qJCp_mI&L!>Rm}d))$+%jc_c6!wN`#EQmj3SX3}Vk(hV)_?X5jA{+ge7lKF2tC z4oi3ienXmQuE}JN>aP~H6?oS2*hcte)OGPC1~nt>VkHeVtM$XgtA8z}+qz&1bcP}XOvCM#!$L0>6T&@-DxI4O`?G&F})yX*G z6_;cbGv%#YwvzFhxz5-i&lQ=^+S8<%7rKo>n!0pb#;j>UzmSA4JGK>Rrz(KmLb29j zTcpdG!6OtrYg-_0%_mSp`embwB=3DW|wNNk*lFZR&B8E$kFV_hRG270!{;mVCo_OEF$O-%_NVm*Kxv z6`+G{2JY5|@RVf!;{OwB+uCvSi;tak1Fk=wB97tyLsK=Shd%dK=SI_d}q7}rY*LwvD&?K=Vu4CA)sYGDydDyLh5LWw} zhuN6r!xJ+h?Cz<^91Q%-9yRIDK$#9tMO)WcS~o0HSTO99oS9-hO02m?6D1+@{Z2q7 z)cQLk!CsH9Y)KgaT$O=c@QQ|~4fj6RrNk-p*sNRJ$l?Cd0eB<|rM|wqI zjiG7D?9m`CM|sPbXO!1M#r%#tCHa=mJXCVT@hrfMvG=u~6Giq1+>;N~c!PlA2(6L? z$=BX_odW2ghgg+7fiDjQrEi3ynz_fV=4?^N&P|oVLQxfCarOMz+`)I&cLn3n<}+=m z&XebrSx!EWj8l3}pm9k?>{}|79;Cj$ct>`a?eaE!DFS2(_~(Nu3BGT^DeP0zAstH$ z%u6LFwWDaihV4BjIu&X+HTFlarOGx3>iX{UJvaY z@@>}BHH^sv8I)>N)7IGlV|Vq#zS<$omT2BEUmqF@Zg{X9;gOp1IDB<`74Ro;HK# z*+TYsJYVu`34|21gjZHauIz(Pw~7NAYTy87tgP89C9;*z{n(B}8Rc4WDqx37!-Jw7 z4bNZH4x&C*nX}YRd&-X8U8%+ZUef(v1K@tUo@2bB=gXcNx-P94d~8cd@VmouYq*v2 zZqY^5xM0`u)`ec1`dufA+*ZxVtisLCm<$X;6qI&sP3vcDgu$5wE7dglv1 z7Qqa7IkR-w&Kg9?GY;XDxW>$|gRh5R2hUakqtg=FjSq22Y*ra;KW92gjieHsO_c%L z9W5BULAP8C&K(GUSsyEQgoqDp6(Yd6o=MyRPZZ8#sljwPfb+?n4Z64a4aEiCS`-Lg zwY{RI04K5a*jcltcN|YmUQraUY-!GK^uX9CaVY~oyYK3f&Bepp@EP4ySaxi9s*Me* z6}4hlqvAHY_s`ENSu1kaqGoz_w)2e6rFlTi|8$*Ss-W3T zX9gh%ue-39+Rw4cUORRij|K!Bpk$0d#x@7T?rFrOR6y|T6eTEhO^QrBDyw5mVcX9h zy3#a1ATUL#0avrwVXrhE+XdAm0?!IWT2Ps0Z`dkH*ma!(Nz-R$)>>-<74In4f~_6{ zyIFp>9@&E&WAVby-L&3SwcT)`W~}*q!+_sSA`;iwu|(Nvp5|cgX0v5~p}ZBNbPda6 z0;ky?!zHu&ctDAem2(nuxsA2!=4rd#hzfX zGyTaNvt@UU!Bbm9o3<4HBZay z!9ylG(vB!Zy#N?mC*!q+wjmr5h-tG`7eEfV){I8P?s6#Kbzl3byZ!&%HL};E!|U0k zh-_P|1UyH_9f9_?qP11!K?eEy!u%P5-OAPUy>TOU3a`&CcIrXeBQoS! z1D@RCrdbPwdFE7nijc+AM-&lbd#2l_=%*ZI%j#)VY_H87*gHR0?3LpLd;{q%)An%w z;OQguGH(Z4z~n8PcdXT;&{TRTnv}dk69etx7R-V4wnyq`M@a1mXD^}F|L{a{e*ICd zUn*fXcit9VElEWl&vg~Zr4BU$#xij;h&V1&R+JCH!;h*Y#}%8(3WF6R#B2mJ%mBKSb)hh1Or!y0|x;D=|&R|x(=b@PIq_G~xy2fnoXwE%KswHN#Y{xom! z!!v4q!4G@)`htJp#be#zho{C^D)7VBNOi#f*bn$GkHF6pW_!`kZ`5|fAGeU)3-}L8 z>KFYm6JPnFAMa$j9Pkg8PkEs|s2V@S13aE#&x&H89q=<1v)KyG*9r3hKR*!)KEOX%g7XDGvzYb^ZDz5q z8~l9eSl0@C>d;4b7VFM8_!-OkV*d$sVEM5k6cb6ls$)vc=%N5D3_Fi7-J#q+++_*2G~|K`Mn_f zl8omPH5mT!U{8(5jc_F8(An(11mfLg50@$3xvav~OpKsK3XHeOoRUKUZz`M|0bWT% zDVdT?i<4VsX7z-v_0FjG3cfe?vwB`C^Xuc^4}2fD#$6Kd1by-6^5A*hABw-6le~H; zx$tYIwT?RYZBzafXH4^q;4c@E_>QLxc4d@c_c*~NPQvVv2H=gTJm9H0R%Y3gTnxX( z?;y=rsa!8IjQyT$&bbk_Y==E z1(`nJ(&vdfOzdxF7)8!n!HpWH4(!}y6Yay~27q+F{?AF;V!wxAuuyP*SI@#zt1e_+ zX)IGKT*A6-{shw`O~p}*9ujRO(-k0&Ag^Q&i|+7na^i@@UV+V_t&eKfpgNlp;e?a( zBI!}w7ERJuH=s+gQqGo@LnEWWccD3Qu0hjGv*GBs7W zf0;yIDJ?6NgSlsPi~iGz?fCUs#Z(X5o%;3GyWbyw{pZ7Lqn`Htb9u}YjErZ_{_(44 zxA^t|X_L}Fy$y*1%3b$5!n)=UV1an^<3BEzFkHQTl2f~#%j8nw%P-cnesmwPT;egs zx4PQq8Tv-b(MD5t$rj%%oQ&`|o1@JU3VS@NsM7m>*VkKoB52)J=@qkZRR0jKhPD~$ zS5NcF_U)TWk47-Zd0<8MI)3w9yU?2c$al~A*4p*%ISG4tgEP+M(#hvp?4yV{<^vhn z%OkEm3Xjuy+h-GYfHMB$Ea_^xo=YSC(`tY=XhqYr)U1YK^?Tj_r*Z~ho%3gpH8EOV6p|HED|G@uz1G1-1 zCH;q$)yAHHV~!`s>MU3)kCrFSI@p~tsAlGY(1c) z`m(~=B5miqz$??JLght}M%P&5Tff~i$@|@-BOXo8LRhA4W83;@P3>jHELveR!k9|T z+7j<-LsQoq-;zi!r!;%?vPZYrAsYN3w%XAHZX3^Lq?$&_h+ATl)+{p?nBfhbM$4vPxJiHR4P69aZVD}MlRK3DV7hmPes$(oXBa0bS z79aNPiRM*HJTigo`RdTUW>;Oe3st=coNjKE<=gv(!^}w53I}qJrY84jZ56g@N>b0z zcHZt&bh_P!?4YpljNlhe0jvlmm@)E@W%K0{pqIyp7dT-)VkD$A{KOO|3rfRF1m=U6 ztASK!IqOxutIpUa+EAXLc}X2f4d{qXOy4&kF;8(P7gOlT;gv}mtD)ymz3u8PuN}+t zux*TbH2Br_H+7$(=qzj`*-FLt?J3vL-$^HSZnu7kW70Ih@ zBUjBDh6lOesn!p6!S1;o@Ve|kuk8u=;t4Gs_=25Fy5Wnr@X8i^!3^wiY{DyMzrhQR z-qOp08r|!^l~dJj@T!EneuEc1b7PkW?qHSM({AkICEA5<4CJtbU6ow+hAu|3)B{~L zhq4Uly6k|ixY%np4{-6!c5rK7VB;1%J#fl#3-*}h;MTS` zZe1S7E!gdu8@FISrQf(!Ndfx7t-9E7pi)(BUAV<69M=lgTo7)@E!2xd-Nr3=O3W$W zfPl<)fa}M!6>wF=Xv`b9s)3N!TU%95vGn3$HA}U%lEPDx__}5$vvtjB*ny}`IpR#v zzsUh%&PN9lyy}d%>wK(lXag6X`wlH2^12ne?$S!%Z?6;T`0x=xQsrG3+&h!al+TNMvp&4{gL@Muy?eyH zcPcmcCQ533P;V~rkOuW;1rB{<>)0tfs5igiIxncVLv#Jy)SGrcMEmP4K8hJq`65@A zqxyGvGc?Uezj~U_wQt{4dbvk%9wNZ+o@*BxbCh2_=UZ#nyXWNV-(#hvp?4k%b zrbDwIkGS^uF$J@Tr2K@Z0KWSHlK)~sg+%n9`uWfQe%ZrwSkLX{9JWgOaZY_X=jWck zKYmJ1o`Yr%Pv|6ghSx<1CCFZ<4GVx_mz+N@p#)D0lvz9GEraY##}G>J%z7m+`4kV*(AeAv+QOW@QCsS%Ybb@ z_JU==u5H{b1D^l0U+qYe2eJHL;Qm z8KWqxBC`mR4+JwowtmrNU{OzTbQ!?9eeC@yLGGiUUYtmp)ow81TgDXKwuM)2JKl|k zdmE>1sR?ZK{T^XhWZM+5zZkY{X^tLxjmTbWmv3pI6mH)#Mx}4(GTcks)^*_Uu8Rrt z!VE2M^DOVJUZ#)V8)-NvOQo~L142M*&}%rY*_0Fz(FWlOj;ZR0Yg z)v=Asuow5TC7i$3)3`Jg;~0_J*v5q#i#5ZzP=#}D<1*}h!!j-uZLOzqS*hn>!nlkn zt#9KptX;No$@JII_AMhcoXvd;C+f}@u7nS(`SdNE2lVS20g2eQr9p4oLRE(8yKN~f zWo+Bh%1gX$%a{t;UbbaeRJUy{ylv}X+_o@-Uao}|B%iK@J=D5g%P`y0ur1u2JWt!g zU6Z+O3v;&ZTGs|H*D|JuOSx+q9(UvY&iQS8vs>V=*J}?g z4D#5OUAp6C^mzKZ$J>uLMZeJ^ImJF0#5kD8!I-nwAiSVJ*!csu@YZQ-1FK(I^_%(5 zRs0N^6+-b5?3&HK2{X>pV3F(rgW`ZCO|7DUTV+4c{jP#xM_}*MQhY>4 z@(go^%y)=k8N(xR{mC@nMfP9EwmJ1jK)x^|YPyfeP)46&I8I8e-drdiFP^FnD*+sn zOBN|NoLm?;V|TBqkC@8TbQ1($qA@X$Np30+Mo6DNVzoxXuhKwy#(0H@;Ve?~^E9E4 zvk|V?;%p>ykBRfwqOr#&jL^id(iVHve&FuL!HC76o#PBli=T}xfvae6ijjl*s} zKnJ(zX)3fEufH5c4+Zw49GO)fC0iQrfbehVFav6iA|KO7)X}5pd9VB)wyT0~m-q4Z zx34URPXC1Dd}m4N2uJOH;Hb*t%*eu`@`cfdu&()#GvS%*JlMz@6J^=_kz`n+1WLf` z#7Wd%V$BvlUK@*KC}*f^$q0z_B|mx>`W|)Z5-`1X_GiIL*OBJfHZEOMc3o{T%b*Zy zXH`YFGG*QG9^Mjp(C`{`S6)AxAVm7{ohedlX=pXZQ!>h$Fvg!X^v=Qx8-SUQ zn^m9%87tk<$6kc{Kdy?zt>t?_l+r#Ev{0BoJA@gPsw@QGp24d%$T6}2qwI= zam>2&(^0J_O8!P!*q&K~wmBejhVi;|ocVvNF`Sc22(=ltFE6x)8r_I>X>CcCRtD6> z5dxbv9Fm3F1**%}i$5yFn@^Xx%=nUN!fv{Od0^7}#XN3I%gjmfX7^+)t!Xp9j&LWf zL_dKp&A8QCUAPq)&$m{OwefsOg;wOFG# z7Fqe_copu!pGk)IR}eOh;W=@_o+F!4J(bz*tG?eI^0)>`|5E2 zA3EHRSA+X%aQ_Dl?#HXY{qOqQGhwgx_SN40O6~2(ZPc&Z-Tv5IMn?1$t&CHWQ?+gk zWXlK?`XyV2b97)XTUoquID{*soZ1#H!%cMymtn1^Zjm@-3o}H7%a*T^w`^e#7;tFiW_q09Jv;Y@O*ZW}uRLTcm_xRVDIq1;3R<-jt)_WljcehuWmuAK z*)m*)oVsO9ZguOH;neFEF5oPuaOGy%(k)!TAsA3DgJYh;1)|FqF5uR-a0NcDRxroa z!oFKSh3jBKxPrNa3%KhRF5sC@;WDN!p-RkF?Rg6qvZv(~F3+!Qp+f#6-wStbr*IiF zdfUQ<^9vJl;mYVLbqg0xJLN50z}Hteta+Zo1t#8Zu3W%Fw{itO(@W-YWBO>fYW*3* z!o1b*^d|X`u3!%7Dt2$Dp3?P+$sRRJIfd$(u_%+BQ>dPqBWmyPnc)s^AM(m@EKu`-cKReYP$sm^QQ};B25gxKQVv4n2c{(Z(+gw zn=sQbtKRQV%+JHDW^719=DkX)J!NaYn8#);r-ctq#uTx}T+Wzaju@PzUDqon7ZV&k zV}iLxSiRxW9V3D{X0;DWwOuiFVh#_n@<_6X$J<}5e!7Y2KffIRiTlJk+J0w^;idTS z3a6^53e+}+*D_sd7IT~Z`cteP>TR^;_XUSl)d#hPL5^8Ov~Z-4!YY;~K` z6sI)E`}&3Q)M1BX6Zx@CRBIjcn|Uz^^(z3PBr-L%FOJQ%fzdnxR}$O-ql6p2^J4IeQa+cp5icR98eWk~n8KC-r33Sfld)CM!aP`R^vU z+$Bd0G^g^rC$QxWalrkC zO(-K`F_o$!Q2_;)4hO2tL{2jT{DjrtOyd6r0|W* z1|TbCO=pRKlqh7iv8IUftF0wOO7SE4czxBYHh#`{U}oB8NjGT)oSh! zm}G=9^{T7a9;0*hKt4%H@e>gW;nq{3BP&Z<+GZ&AOk{%5ACYKf_HP3<_@ zQuO`jVXue19`<_J>tU~lz5Y?`_5KTaeWqtkxjg^=h0lK7^Y;%&)1RXOLZ^4$r+We_ z;Te9Tk6Z(c3<~oT-JS+YliAYl1OK<^#c-5@6cq2)QG&_tG>2VGk2E{=IGB!OMN5Fr zP|e?;C0b9J)R{ZHwiQ8m$ho2^lBiznuxnuwOUoTz>~2hBoau8eo$S#M+uK`}JGRHP z<%%_kKc(Xvo%p1UQS}C7C?gjL!KSw~*AOCNyC6LR6KE!jzEL zI^&!Zaa3!$0s_M^bFu~cHjxRfcK_cp7+@+AQ%Q-7iST4L0((Pp6%oa-ggFI}+t#j) zNFzj|r=-B1tH0QNe*q4NiL@v5%52y$DK>hm+@TAzQ&?{*z2MHQHt`4oC$Fhn+DWd^ z!LIfrGfn-rkyi(Vmle|>?IeTpegN7i6B{Uk1*^LiEJ29)+Z|l2pZM#ZCiTW&x3{QI{Pi=Y)&qat(f89M@V9#d*Be~j#B5#g z+x_HnuJ9Bj!V`You2W9V?Vgs&TW zqYzwA>~#+qgV^h(tHj#G-Y9mdC-%k})=unok7&EG*KO~}8+#)PA}g^sq9m&%_PVWY zUD%rSuLt(Vy~n)&_Zp6RV{ZpI9oQS0lpA_`yg&8E-pG66-i5ux}J2H!8^5B=*+u?7*q(-)ZF9ovD?G7?L|GjZFvX4sSYs@1`rVgiWrHZCv1g1Cq{e>OMCU(jvdPz=3KFU_JuQt z^|Yb#q85WYRLF?gdh4exVFpLqi|l42L17fH66q|Oa|Fy1XY4ea2-njw0upjN3Lu>y zK-zX!xT7HXDw+!vnK!`=CM7}J>%A|IM)e#}GnSliC%n|Dl8P32O>p*RnH_ad%FfBk zE&M;2B(Jps2Su@CkbJaZ2WQ8YazlkyDqN4r@Nv`^bFk94N1I{A0>Ui80pJt&&&nNu zUJd@s?wbG{;Q@<4IJ@%F)kwSp%`{G-8)rig4$!gRGAPS!C~L=Ap>6oT>-7l(TKC>_4Ba#M0_f;)>qcy@X+woNHrL(p zJ9BlSzp7F9GBMWrWpfTkds$Jpvev;t<~RCo7^{fNmJkeBUOi3eyP9JxRx3I z$0wrxmEv{)-+IBj7DCH0G%@v-V&+v@FDt6rae|Tv;}dPe!%_x;@^<2MH&nkBTx$GR3D-G2-QcZ{!@hN-#>=> z+9VW@!&C5ic)VY{+k6^S$OyM*l0xALdm5&2#+Ni^4>nmxV?OB#z;+t~$$z6rs>EAg zhudgS;lvyV9I7Uj=1c~$9rkG4upPy4q%^dv@q@4gt>~U&ldXtL=m0{;d?-Xtnhg>8 zmjsAh1~!O6t3W zJcm2f{r+eu;HDp7+D;4{A73-|k*`$mw|R8e=i#|#-I^D0{>Bq98E3ET;O{(<)SA1h zqmur{Q%C=@>#HZExbW*$=eM4_Q(o_d%lb0w_3hB#7J46pLj4^cX>XVQc3UdwDD}7T zVR^}K3q?zE3Hfb-y+q|eDI09QOMknk*Zn*hxk7luRM&1>yY1K!BXq8CiMjQ7bc@BP z@wozNY0=u?j?~|7D+w(1x7$X=PW^4sKsY1yxB1W%K0HEzA2t>E;YkUeCoq|Pe}gYO zXz++)wYN)yn{dn^!s8mGD}s)DdL!s4R+P~$l!;W$0@R@hcTb&%3XgJ3%8kkTvE``3 zqv9Bg6ya{R1yP62afb}8}rLP4Ua z#ND$%7T#!0D&{S?^j(U%5p?d|`Y@guORed{@ctAv^hDtmpRKmg>NS5_)cLLFqF&#nLw@5S^&Y#vdM@f+ zHHYFm&qc;x?gcVlp3D03?zlWx*nX6Z`5Vu^zK~77^Xy$-(NVwkTtR+`hw|uo96gVt z=W+B0K91i1ptsTc^E7&%M$gmec^W-WqvvV#&wCoZ|3NRK_y2>3(ceG3`IFa<7^_Q> zetygyfozATxuTKtpzzc#FP`F3pkBlo=a~0@|Kch7a?Y1CQKpvwVFWTp0NnyPCIFnzh+3H$jZV20J|?y;g#83qE!%c z_uZc_oRhU*_|Cjx0$&F;WfqR`oYXfhP z|Iaym0}Fo8qQ?u*!q;~MgISf;Y6*S=+rZcjEn2}!{+T4RXcu< zD{yP$z~Y!I#dZSswMZogC~@{7SBLe6#BE11IRycmzGns(aICwSr=OoiLvV8KPh4p< zI?(zFxo6t;plFifQ#7)Z11Ap~+w=yrUUmnCLqZapH|6O1bccO|rA67hSCo&wU1vT~ z4+n8YT5*QFDzPg_A#N@A2uK}lh5C(<|Xr=fIDr1sei)k<~hI>7;V)(S2NRNYsT z^-s|HhYIV?Ws4Kl>fQy5HSC}AOfRg&T~Ausm5GawqP)2!?A4OncB0q@Cw}4V!>iY$ zT9UF6qJrC&g?WQ#GRv_zaKxMf{PGuaKP3FxeH1(p_X95@{cykIy~| zJPr@E?ed9!8X5Yo-zm4_OrFE4@;tLB=a~}VBm%n0ampqKR`)S>IZmNzIrGjU=K)1i zB?*+0j8c2Ch~U4XGc6$1z&+?h126Fi%`nsaW*n1OH1Jm(6Ge^rhmMgiIEB18oRT?= zD3i4aj3<<-G^5y`i!)TtJ5WZF5l|;Qr82g3U~-^e}MQMmconIYpsQ^?sTcFchy>lVc(0wuKSAvS1@ z7G{KW`X7ywl1^~o66X|^#dKojy`+3O(X4E((kj@9cnSgQH`IA!B@5oV`D0#ffDnW}lRVKq6 zvr?IdRJI}`bCi)*H_Iz5ezuaHo^!~#N-nYFm{}~X$*9f+j(E6{t-6TrCz=OhxxvLpdnDS3tH6hQ=JzwWr?xRe=1AhuVq+(=N3)3|PT|;8@JmM+i$iu`O-{?# zF?BuXRJ6L)XVX%&`5KS-HtSUKvn_dkdM_fcEEFtnZp7%Rnjuc%e5k8SG_HRaib9G9 zMwMa1E+=0YQZ=h)OzEo|g(9gruApl!Memq)nQ1k>E1hN9bkFAlZQ$Bp?q7$XqTQE8?TIgL1o2x(^Fm_mLv|%#G(#`dmt%OX+`oDSdzL zq|cr7Ke3a(KUdN}zLM@idv2u9jr5n>NcRH%br;efpjAv6M67mP+HPJ{tpHBBos<@E z@2XQmnno!}7md**&RJKp7jdLK{i1P0Atl;`fmIw*z@h8r3#hS&O~a`PrxKmP!r_$LhXDB&KRDg@pgCcnVichP zKdMneroY{8rm52tI_q2GUz^JXe3XK0I977Ri_aYwEIXK=) zNlhG`4+)xWn;A!SHe3!JHZMS_E$IY@CvY46f=3;TqblY(=@P*5_JB|^m3$p>0)&Jl zSDPzoQJ8xJjTpmY#i49=iXk?)+fXWsL-yihXx(qy4iWq$1*r&E{4OjGTZpz3eJH^; zo4ZC8Re0ebEz?b~?2W^RVhwd@FQE@UtcI-p%tUe!6w!3?& zRIgqP{}C_c>lBuKGQJYc?ne~dF25SsTw-}dfz=~T=SmjZN0d_19oIeO^y6x?JMwH^ zf3SJIKYQ1+cm1lp>-~SEb^UmWul)P*_BY6^_R>O5?UG>`?%4DYFNE{;?|*&y{RK@Y zceD)rrU(K2Wy%-3<%VTqOK55MXDq^Ruox`AO}=X;<$d7z3mR!bQT4G)fi-LapG&#p zfRx=SJR7J3rzS4#?$rEOoZ{1mF>GjG$?SoM5)aBFgYJ3EFT5aXya+;5Wmo+|&^^zc zYo4zN$C2@$%~!(W9xn-V$`=_sc=nWSf;?wm2)gHa=o(&Y-_qIr+nes z!Lz%z33AuI5OmM;IBTJP>zEcl>l$88x$K^a@P%WGWB2Qn;%8DFE zPw1U{DK5(AZk;@vU%MbV_LZP}9tz^*!v0he+6VgecTK-g4~mV`JPh{~FBoO(!)#GP z)AAw-==86r1|lDQXi4iU2Tt2~PrRg`l%-$%r+vEzZ@XK04s^q^xwRfEH37Q%Pz86a68xs^hPVGb-h6ftB z;i~Vmv06##Nhy!cF|tygQJuuI%Q#jqIkNl6=NT4WU_Nz-hvODihQT=sJXTan;R#Gb z-YMXois9mAICFFkTNe?13_v^4P3Ew=+HsA|ITod3Ka_;7{Pk#%4d-5#1i2$ zdAF@HJ@&(_I&pO!Ck0E2orpu8T9I)pQRo8FErw8wuGUbA%+kDMvI<~U!?l*9WJJl% zk^MxhDw$AJ=)4M^P}6}zj!_ByC*9?eWa(}K;kj2)8*qo-66@)3ga_Rd?|)z*QxZB= zcXR@dq#r%tBL^Nfo~d5w!vD1|&S(iqS!U+bN3!Hq-7ePdF=>B)OJnBt@JG1w_HXdm zLd9=hQP|gyo}2C0)BI4Nc+4(c-1ZaE)2%oo-H8`t6HypYhQ+U^n~U2yO}AI8j9(^- zPU<_1G!c9eObRTJ<9;b5@rB_yD z?7dc2?2#Y4pBdXT2TyBu@Gs-Ia|el1S)7q7NaB62Mz!W>uG|4{0$~@xkbMkTb*0@IVIb-sxiVm~UHfxGQd+Aql z0z-RdoTDD5`p)JE)b+hf2_vkWa)rKlnpmNYecr93P}RxjEZBQ#c2rPZ)h91q-gR_|Q~A(%e#Iv;|mM`|FLw&iODf+=Ik zyiG7oqdqRd1U%Y!vKIW>HeOS?U_P{UQM#>MrtRtI&U%K-i@O8|7#?t|K2}}Bhmz|S5@X|uvR)CkuqY}`h zA*cKm;bkH%jJ_@Bodw8PAph=1oikvOqD(n@}8M1}|yB0Q& zgFzvgF}J2*w#$k!-|=)$O*?Tl0;Xbd8Z|GM?vTY}U@A#O3pU?08jZfr&|GZ*(r;0Y zPTyYd;Ns*Chm@;@pqvVoy0^jBXny6nbO(D9olrDr6V@QkmLH!AaheE(2vfv$HR90e zj%wiXx#u<8Cwj6|FHMNYv?>nCx0?AhC-I8L^Zir({&KmgnZWFeKoo}P=?I*$F1)1+ z%~(M_T+wq(I?-%*Hg&X47k9Cdv)i7#K~GpWstRN}I$y%cLEutoxE}$bRanu}qSpoh z+SB%EyjPK}z(MH7ow0N`rH&r){I2+#{1h7XTM2`*dli{|>!aj`_8WUAj)UQpgTHmsiS; z=g?qUL?&?0et|5Ao#)Ugvogx!AKR&SPR}7eK^_B%TUK=QZ23}eot}FSUUnua0FN1K zuh2F!w2ffRx05+RWrS`PPAdMga8lWGKF=HKOD{jiE%@JTF`n1f9h~m2!377kO9Yd~ z;xV0JjaEgOjTP=1{>f>2_wO8Px#qds-Jh@14`MB`e=I9a@hSNa9r4}JJ~NFem24IY z_?G7dTbn=)oh$7?3Qo=|o1W!53!jld3Qe61dH=Bs(^DQA;D78wK@@%Vk-(3C!Ibj} z1XAd!xvjzHEj$}O0cu!Jfe;4{G>>K;Zxt``4vAJ+gTN9B_ANkQ8GT48*ksldiP(jq zh3F_;_q$F(uxr>vQpoT;!XYkHVD;09SSzP*1yIi7bdwT}D&iq3wg*iM-%b%u4o`i4 zzLXS}@?81)Us?f9F-HeV08A-26A@`sDfDd#6qAq8tT`cN`TuFfnOcj4)pb{m z*kkZtq2D%TLnEzjih2E-rNw`Y(pxL3j3np#TZ~PmS)opW#1dN22Rg;vxHfFMw}}I& z%jZ^c5Ta^QyMQj2R5f3+d39akPMc)ou*B6RrTk?N>Q(l1aOd8(Ic4noqM+EN$d}A@KOrj3P;VWAHQe*|{~;0?kN+hS+Y0qK%^>Ba zFtHA>ino>RUEQcKJgw@B&8Cm2%w}#Ig1dc=Kx+5uL;9=%)Vdl=MVtIXdO1^gL!7Yn ziAz-@S1YEI^-uv3(;=j^FEvr|0ZjxQDLT-w9iCWBb3;uxKH-;P8zb4?!hL za~8huDPZ;AuX9%f?rUz*qq>ulkU6mNwLp4y_(zgIADYy1zuJ1AIZnezWO^N-Hwa|^ zma?djHbd9qq>-jKK-w1z{`vc|7~(TgFA>k%0%jQXuH-i!m}*y~k9{bb@qvlZF8d$s zL|rnTXN8gVgTL-Y0^*qonHo^ma2)%B)DSy}ae525=Q*pQ@0p3uHq6sP&MQEj(F;>9 zT)ve099!pA_K`qZ>Uk?rr?g=T04XfTaa}kqvZq6EPafJ_i#i@{Cv&DUaOaauD)wB+ z9Z}~KfH1D}IiNG-*}|ZVIDii6)kYAl4$rGUZH@#vuryYm@cQ{`!VV%+j6W^cU(|UM z_@vYGGsQ0Aj^7Vk4NqOyL)4EVhAurvous`^xYJZQyELsAz`p1q8t4LTYmMM4P;1Bl zhkBEa(VguE6RA|G8l3)sRL=KgXqpx=D|F2<-Z`k;QSVy~+Gc9wR4Ku!!WkNVDO|~Y zl}RBQo>_&#@MD$-MJf3Dk)iT3-SMV({G)T7@h z-#T+IBGm(*_O8~WX)$$X_JP%MEJxRZ2JRCA)fGDjJwIF*kzed8?Uk2%XbhrY#(<$V9U-FI`mC0zRmMA zaNpi9ccR?)55L`AOEaEy6{^<#XV5i^=LhdzpP>iHZU>HUjlk`jV-n2~YY-s#Co0LC zMy1`fziRk49DJ$(qgC9p?5%wRIAcLi4D_b}%1i2Ta%(4{P7&%3It$?59wTA7?u3f| zG4amO+;?9uFxvIxtI=3y3?9FS)}oA*A9}KPp?g~zc-4jTEsMK%yO%}t4(Xl5^p#iP z;r;kpMZ5#Khn;!R0mu8zMv;A=>e>1Ae0|O0x$nij7Pp`tzwd~Ndgz}oDJpwclYmQS zkMv6M9@~jky}z9D?K0YCDoNh{xW7^~6?`7V@7^l)-bV%LO}`(iISj1Ji&WdgDV(OA zGD4x60e^ha`5-|yUJfF?Yx^Z9=C>FvYPuN2lkex$DjDE{;H zQeC0{_b=2zR_8}8w-^yJS9C<)_n2U{6{!n~bGwc^K6=h%R)^0kzuL<>(;TnQ{tY&d zujk_Nx^A~VTGNj=Z(}`L50^E51HFsThfWM$AHn4N$#@*L_FPe@8^KX;Dhj;+_POKo z_sy_Dhn-wl5Y1E9jO_W#Tc~wq5KW^=PVfluxp-71;F;CZTvvX1VWR?sP>hG=*yUgBIRT!3(Pr#7cQQ|mxKDS+XvYq7**gOStcTeY9lX-2^I5sJsxx;O>SjaClniMWLIr>0`|~3RGnxgyP`rTvd%}Z##V!& z8;h3{5E==&hfv!(_HC0{Y{Ms_5grjNyD_Iq5a+Q4^&t;eCRgA_`ReV|`-cE)lDIDj{{ z79}Eo(Cx&3c1~8)?iJMFQ``aoxx!OEMKXNSHjdjA(Y#`DU13^ga~jB~QJ#nIl52=- zlF+*Jr$VafCTdfHpz<8%^K^+m>AOX%gyQC!rSW76sl4`DgSkm1>rsshS#V!Ca;ycm zxYfhy2osBu+SBP}73Q?QRg4Vti_MF9>0jalIAC0VQHhqHgaFMGdaKlPz$wen1wx+3 zg{3aJ$oc9Nw`%r>`YSeEGHDgX+-?)1JvRcgR`IGP zq1a{2m)T|EuQeRHD|gjfG5k=Kurvc_71D(WE2Fcu(A$Vx6ELA~QgrOMG9F@Xf2A}s z&<(l&y-}PvZY26?+M!DSxjB5#jI}}#T6iiP7o%WC+?s$n>Xvnv*bXz-Ct6vQsVa2v z_H%F7d}`2=XW{PYbLXF3w(|e9;Jp1@5#`FL4Ik9+uLZkhMZT&w5Y`ET#c+iKBxygy zs_8=+K7dfgB>~2uVIyp0nMPqj|Lb_)+lwR!OZcs&ETjr5*u;F8XmR8T*D_@?yV6eo za)a9}kH`93-KpeopiRW=6hvOG@;;_0-_+ZP#H{7G(m{}%sOPVHNQr3+OhC|s%!0U> z?K2w#xn|@-Nvy$U!f)W1DuB!8g+*NVh1@Pm~Zm)Z$N<+StI8(r7HNX-TkQP zX4QO4r7HD#dW}31ZiFC`YXwbjJ!raAt}Rl*WkjHw8-#;|Wy$tCjQcBlk+W1QVR+6? zfIJdF*2P>aw6mE^f!IEyauqk_1^!oU#|Sw0Z&{baZ2j?TOd5Jgtfa%}P@e2Qq`z&= zi2tH}?KB4G?Pl_0Xh0xN$E{wx*NYYL8-pex*n%qCs!=(19~TyB=dEMG?~JTH1lyK0 zmdT#v3twYhep6jI?G`Pb|CBC7G~eJjQ2t%+xlqkk9iNeKH8FlQ@eNllzq|pJhnWcO z9fbRqt<`xL(I)U|+S@azjUWRpzy68ybX_wd{rHU7toC9xq__R|{`Io8IG!7DyV+#4 zciH}We}$U~@J0>EzMTs4Nq$Y;YI*So&+aMNWYEH$*d_^BR(+=+BlL-ASZD&e{gp>@ z@Z9Qq(y8J$(USO-ERND_a>y=a46C=S?)xAEm$BqPmphK7ZeBTDigD!@AuV{PWpXMd zHcaUPYBpT*JY`>inH+S*ArZ%&d4aeO_Z8!szOlZ}p!E2Ie5NUa+C;Nw3ff9w|RVFoNY zPP5WP1?(yR@~u=J7|6#pSuMj%mcYi+Z5^ef4R{;%WfeAz{H?n4`l9D~To-LtH-6mb z(@4a@%19vdTl36-3vL{OLacms(Gx^p|YYq6`<+Br} z@37Wz9%qVO20dp=o`U01Rhj8OR?62VhB`PK1QWb=<; z3REvu{A94Q-KC*Qwc?yD5{@zQVoaqeb9gVSR>!SaGZ`_1)w3{*Dct$$jp=(>NQ+jw z;V4&20>&}NZ>L^sKEk?h^??YpG00^EtFrCC{po^Jk?T7NrB=~vq~S7CB$`DdHZ*S^ zq$*_;hHXiB1>>#{wd)qVz~W2sn-o3BQdz>TUwn<&+Kk2?N^mBSVIVSn0Grlz%5B3a zoGfTL5BXnlws16Aw+X9kuoAB;nBN*_5gzvr%xQU-wZ=J?YcecNgfSo6xW!=X7|130 z7U^_xm|TL4=a_Uo3t_Ae`jV|i&}lf^BrTYLMYY_k0|54ISFF%$k2c@cEt8+zp&tYy z91SkIzkaBdF5@dh>7u-98}3dyJXcSnY4mxPibXGZFgp8scbrgaVFG4>5dp&@Vs{q< z+)iKx2BBW7+Q=Cd*Mnr%O}3#I&a2((!*5u@9E)L4WQUY8K^?0>752&~^-!-pdLaCe^kOU zv7nx*D4ms9oR|CvWjcgj_G0Vx5-kE$sq&u&9h&vTZG7vUsx#E1wYQ+2T(`s|fmh-W zJP?UD-^yKt$yzIZ2*lh>BO4kOzrP9Y7R?38%;K8^Q%HmSGlf*k?1JAjQeS3>E14Y!cCe{UR4r>^1Mt>s^UTXfV5qZXi;kLY~RE zY(+!gA0K0UeAS@s7u(wNk2I>26d87jtA7izSXk7KxUDZMI^zaLp!RnN8$-<9B{Y$+$} zd|}q$u8~eF(uoa^EdB^lgvyI8l&#qt!@p9b1^J+}a#Gs7Ikz+%uGEUY_e@Cq_L(ls^1wH4tR~4M)FE(bXOEA|n#a46@5f zc&r*BotJqJ#gxb47h|t$XtB<$bLrtsu9#fh{loBQI}W`Lo9TEemY<3f?JSV+P|!%y z6#tyH$m5kZX!qic(FtY$TK~5z25BiwsZeA>gGFrSMxTvt{9w^!P;u&1lzoX8cp>sP0)cy2f@d)hP?s4YOIEzu3`=e zA3UDMJ!h?HNJdY06fb2l0Wi;DJ$CuB=O{spVJ$niowHs>)q?Y27!})LDaVN{vb!lq ze!$3$2@7tz4rsO!?-jX6Q#XNJdCR#5Tt&yDcVK%X2(YDpRhVG)I3Nqd$n#^od* zy=9EVizeHYqU$KRjJ2>Ym(y?4EjJc*d~2ds$5=bnGxvHL!gqPIQd6~UB1tX z(ZDa2Xa@b6=u@P?bz71wLkX3l8TO&vi5#Om#|hREIg6=M6Qq?cz&7Q$Kx~EnUgzto zTs8|z@iHnw!-D;BvB4_>{6_{ad3hNB2yMC9rtVk)s+Mua|ZksD-W0#Rx zdq?=Q@*>19XWU+=MY^tn|60Z&r*^N6-%P(EZg!c3w7>78LfEiS{uZ&ATCxEK;j+Vq z*K!l!iTKu7NF4+uQivPGD%m;NKyq~>Xvw@%WVYo>(LKhh@QBjY3%q|QP_1xX*YLA@ zgmRU?$Jqi)5nVdGGa(>vXkS^Ey9;$M#K8aQC5><_Axc}H6Fi0G`kyu&-7@bl*joQ{oDZs3L&rfarqk(h{x@TJ8*uHu}8Dl(H@4$(Rj)051k`7Aa^?X$gp%nKYZUZa1H@cRiY4on zVdeTkm{!vCJsc;SwW}^He2GM+jStx7E{dPAMk3hvcP*kn(6g0gLo$a!NsW3eJb4e1 zPW}C#06S@}kEYZkg*Jv%po!@AeoucXH%W8_tO#2dzYzGyYHnb7(wwOtMUJ90WlHfE z+n;Rjh`oUJnl5AA-VVlVZj{AiFgJdllo->bF0Rq6Eq~rg$!$HZ=D@Aaok2E+qOOqnp;rvsVOyz5I+%a1 zu8thgm?6L1$soJDxLIa>Y*uvU97oOAPWvaK7*N>-AFNM)@R;Jd;HGdJNatO0R}&nB z)*+iooZsr3cfA!aCjD{=Z(DS+o)G9^wYuAOsw>h;)@?UCV`4Yl6lWHv3<4-e1-M8f z;BPlrOI$TmasLdAt96it%P@$>Hq1x8y(b9#La-+^irn_fRXyBC_cP-pVMj${^%xcw zbNIBU^}-(HK8i&bXEjh9>g9oJwzDoAS_5(J7FsjBr}^SJf3Gi~bDptIRz$!xFP4ik zcm=}mMVq^nd-qgnGfgy9|F8Wl5tgYLj-aJI?O^bJn9Ta2NLD|-O8g*_S8$pk6+CKpeT;aD60;On2^WkJ1Ug(*X1Mwk~Y)k;;i zL@2_jQD$RIUc!1h)F|x6nzS|6Xu=HEo6Te^Z&>;S5M$O+{{`xJLQQul|7g-_2`sVT zlGR^deTI>SKQmo&GmI`38noJ?s6_#805q_3Y#5%2);qUq;{myr$7C0L8(=v z^Z^uqk6Si(U!2s9vW&TJ^m^@f;12l-_d_7;z5phyYn9uTW;`*Z_BIf7&DnX9)Ow81 zX$6&-MqcY04;AdtqsNTeS$a)?jUgi)dn?ZGz8-iMWIH-Xv8&%iD6{?+GS4CDiW9<6 zsq{sEmf+K(GtVn_&X_Rt;e`wK+|5+7f}&k4dDlXYYpr-XhoKZV52IOHTu{#fd@9rg7Wsdp{X&5rHW_q4X01 zaa{7r6B)+PlK~-d?(`5KwC&A=d0lx;y@UA~D1cL;y&EZ^wng90YrWNVC!Wkyd=c;f z8hWzSM(BNlU6v?DU(yq40zar4{cK#CKNc0jBe+p%m{<-T636Db zaLyqY8{f_@t1zDSR*FIo1mc&bp%rjSz^?>fL!u4nqu-8XLJY? z%v>VsKy~djN?((A7-tl&g;G0N&&1qIN1m2xFppA5NB6fleLDqew|`)GQIld(&MslL zfEe_%K{)|C2DMpMb>t? zJpOBnjXAHcJ=6q5UnTDyR{GbDIoo!!c7`AV6YVnTxr-0T)=EIVx>ipu%cis4@&+8B zq#Niv(z9f4Si#ul$-I|XXHDF@;6}qQ{(e4oa?6_0i z7RLwDIJoixA`pqFh@XD#gwv!X$}g;5Vhe57Wb34hY2g1JNTBsQK}<&*785n9ugIE! zH)naTQ*o)NZ~DofdfXbvrqt-elwtw>I%xhcSW`3YEmVS>$ zo4#%EOgxyrv~qYF-b~jEg#Fw->%5yDEx*ZSI5ZvYF`0p=;<3l6I&INVjX^~SCq;CX zBeA5ik`qjI0u>&QGgtq8fv@|rzchg_?YE4LxDRC$9bUT>i$Ht3_;62xE3kZ0d0l$Z zh;3RZ*DQy|966*?C3_DrJp4xdut%AkqpJ-{HZ`KsRQA1BnWMb9UzwsxacsYAZ+m!B zso{LFU%7vP%GBO@e{x|m(ZK&?j|zU`;Dm}tb8L^Aw&2=s>5jTTqT(bl8&<_+xpY8z zQv11g5v{DQ%`$uJ>wxOg%hPU&i}BmJprf4gU^1+7Haw}4RPdr;-)+=er@J~mO@XeG zF$Z3SCS$R?g3~RBP^D~YS4O4S(MNuHhj&s$WhyqRJXF*dVYz$#Vno@g)okAIVj!ww zsxdv%y*a9Kk!3+frE5_{*-LN|UE%M}Nl^J{FfqCU4fcGB_|pF2n#|JihtQH4v-r}k zj97P{@vxL=xAAbBo}OrTpYpJjNVoFu7aft$HJw(OD(uk_BEo9VZ;k3*JVXaAa>9*Z zpz*Xw{}PQPbxNT2{;&cOnJn9o^wUSOJp%o?Nta&2fb)UL!Q&aDPW^f z1GNs_9-348RYW;p=ix?b9KdQKJCc2MHHVNye-be;|300&OQEu4SM1Rm8R|?2I zN*^jneM~FxWZ|rBAo?~(0NNsMdrL=(2-YkP&DLaql>#kE0BBG>amZoABNr+MZL>|q zK_QCcM5KD-zgkiNWelyU`~arO@RpG3qX|fGZSeqt$+7Ww5HrhdvU_QX>c7)+`qzln z<~baa25K=0>M2E}SgUnOAaxQw#X%aK)@1wFrS6vCuDVz$Df-vV6{OtH3Oky8f^{zM zAk+rtHrKoKNZqx<9kr#jlGfg4kUeT2D@bD1RGOPlxboRw>smQmlkcQy9)C@Oq0o|K zr?rua2-&55{cj@T52(7PX4OnNj!V$oH}gwjcPjqZWVW13GTHyHwVz9}G@N5u8ZNRd z4U4SGBWKkXpt9=FVLNZ9=39+N$gIXAqw5ICT6czKuQ|hVI4q_(9?ZFj7HB$`4Hq9C*BP>{mu=*VEV#Px^ zgZV5(JoYZ21_F-)1_qDUI}OyD9f8Vi=J?ZZqQNxjHLWa?)=GWMO&;!EptcHr{{NuL z`@BNTgXb^f(#=f?t!HD0mnwOC)HjpOVT`~^@lKXdqt0L|EQ6g)@+`(W0d{%M z$t%GCQjG9N=?F^=s{;BEG(#n)JOsl~a3JIrz5t1cz(5eldEt-(Q$DcL5Wd45>Bku& z&j0fmbD*z}LJ4p%md4+v4M+x{8x#qW*y=l@pGH8MVbVsl!++;JWTIW@r)x%70CG;&zXu!MmPa$4^u%YDb3*WI zIqvS?rCR4oIvG{^*?88}ONOIG0l(XC9V9VI4HE|a5vR2q6oqw*DM{rcD)%>g!hH;E zgW+zr2S1GSRO$7RjBmprGlr5uA)nGW8|lHIpIYPgn4JtZ%^MUV94To3ED$-|;L)CE zjV(U^(i~`=L{8qhxsO22-8zKBA|h$d+K+wja&XNZenU^iC0HdL0Fm{Rgdo9EvMwxv z9LtbkcOFH}C~<;if{0WqYsIJld(=c%*%(a#(5Xc|VZ)6F>sU*F?V+Q5ALd-6yb=HV zGMqcC3rL+Yx>j=7MRgf^;}$v5s?LcCoR_*#(Q0J`MQkSk`Q@K1f9^OqS2SMimOj9QBmY3mcI#ByQp$JW?_3<$eBPJ24FuMG+FS^!%2Z%11_V^?@z5Wr`db{mqyI=V{T$ zePUOR?U$Q|q*%L+hi<9@Bf#>>O4&@vc9RHE?O4!G*lP$fGIv#) zoRDB*O*Udr%<jzEz(=g#WZ*FE|GCe=`G^F*iL8}DyRlCdCec~cXyZOSFhN9>FV&ys7(POW0p zK{op_9rn0b7ynKzetVpW_{l^DmxGB5PkKv0K4ANILqryvG70IhQ^G{zO^|s-Me@19 zaV!ft#(5+Ssi$$BPIC~Ne~^RpHk^R?O64kweOnn#Zl%k2MOA1I80EWqYq}X@7}Y<# zIwvkH*7&=P#t%%@CK4N_hq2}wMuq&xIA%+K|EE8gw)6Q7a82`f`6k9kw#T*3cJN{dA9h1F*vv4hG z_>d|y+{-lqjA|ew*17jA7q%d@#eh2LtYhn_T57e{+n3nR#=p>qDK)tqRSIN7u_NY7 zML$aS{TDAenMaa(^wWj#ZqvK3s%kHEP^v-%DmK4LCLT=%rcvOv+N#^phJy z77nh&w=Dz&Vh@ddnsIGOS@uv#l!y9Cb=woY?iz?TQVUT7nOKnL?XI}^s}A985|zZO zkcqahY2Y&N*y?I zTlZCjSKNx9NpHm$)#%iRSBsZ2CJKHWv=eHi?%$5P5U0~_<^K!J-F9nS`h1&d)r(U- zT0NV|YrE<;Ii=yUTlbin`H^sqwFvurepbNwyS03%oMTj?9HxdgM8I|RuyCA;Ge+q- z6?-_3*NDt@6`#`{0KZ;ghu!JaXLLDp-?)&|c=|jvnCZs7;_+`f`P-{n8~p1*;N1vR zlvNaD)E`o3|F*%uNWAKZNVJzQM}99N+P`!kHA*$48*;#WC7OecJ;1G_iFCyvMQOb8 z;XESp|B@*IJ;Z@pOE$ukR0S`}p++MPtzu9_Bn_(TflZwhRu{maJ)P_{OAZL$T(; ztm%W$0k(`~HaNzv-G+%PcZ~#%^~ZMugJ)BP!%Jo^Af%jopF2&5ld~ey)e?2gCNMRL zb}|aYPZ=LGKTZPansb_k&@D{WW27RrJTKjOkO(@tsUrd3ZOHQm1Mrxad&Y zu_psEhCfTYW0TBNYZ31HpUi2-mcHb+Rq`9npN>_rW+5wMRj|T4LI61M+Z%kGJC(p( z&ila(gzI2>7zI)`?5+@kg~&KDF8Ud2(2INd446=55SUH;A(!*pL0VWDZtnJsIf5z3 znqkJV8TMO*)N8v0mx<__o`=KxYn<^^7f|Y*GmssRW6PlKNY$1JpCU1iB9L^EzBKmX zH#SA?XQwmvOv3JM2IKx6vVA~ANbZX}`y%2@b=H~<%6m}4vnRYtE~-M=1;Cp=vt@Nh z`Q}h|YM(r+%$uUc;w0P!Ek;9P&X3U9*Qkr}45sd2SvaYHL#SIHMq)349z(Jjj7NoG z9WO+4VreFf@36P-D}&NnH;20DI&tvAz`|-t)Z-k2?CmNA;+O-j``g5Lf665p64A29 zp-Vd6dFQM^DVlI_;*#TT3*J1*5Bc)$zq=uCW!&usKmG>4-uflH>BinP9}E4wM;f|6 z^UC)S>-HMve$A$oN2%Y_T~wxQEo{SoCfGX|x|Bj?H}9pZfusyW23dtMndq054@1Wn z8r9If0Y0m;)^+O^$a;RNM<}(@{>L6uqI7Vv5*{2~MqB13SW?;9-Bo_al6Lg-NsI-S zgC_y7zdJTF(+lbbLC}M20U`R0?w;VS3`lV#E{0qt&FuT!=p=Zuj)U;r7o+_SgbNo9 z2Fu^a3&%kyJuSK$jr8$DmNIu}}*Sm(lxVrW}0f z3Fd2iS;$O>Ki6uN6?ELVT!w^F<(Qwjc97On5BwmQR8!<`<)KtOV4QgAr@Ren+y+iz zfHMtukR#n2!xu6&7xBvR4iSW*0bs3Cvi=#m$|93YJ&jfFziEsv2JI}!Z#(stpHYDm z?GWGSg;3cDy&Rsl^rKPc`1XsFKxT972z~m7QS|IEvC`Mc!#o&s3>nvS%vbnr7a_(B z1DM`Hi&Eb<0Z=>oz=^1EJQK>ffzw&z&-&HV(p}QF<0|efyrA61 z`Da4dMC&f-_}NGZs%j?W7dZhg}||ty88FC*Wb|Sc;6m3(UH0?TyTr z*j&#%d78{#IyqpCC81IAw!ITC_bTln2jGOzWnmDsnB)g3IQ8TS9TvxgBD&Kk&Fn}X zaEljfgf;WlC5!WRgU7X|AMk?3bEe-3ginrY;0YR~I`M^10w=>}-k9m_3?h+tUKc1- zc=7HCL>BX?%TPLC>O8~~UcsruP(1wj4+%sVFBepHtfwG|tnwN`uRIU35H)7p!fA@yHJ4H5PZO?@%5O z13nLfIVXz=gE}`m6$j6Sspe(Kt%vAQSj5pNmQXX%rc@+v{{-{(6 zzq*;kIA;xqE4atB;0`9=a<@&-2x&t)uJ(XR08hL|BV-5Z~rJ%0MbwSRt52$qIw>sIB&eQdq+eL-;%UV0aPTl`l<+(-=K>?|17= zm2e6>8-`>tL(_)jp?tw3U--w4q*E z5_cp6X&^tkNS~KrCC(W^a%2#tFH<+id;MPg80q{zhyDG@YfY?Rn%Y-{K)^Jz-hcl9 zGZ^ilgyYFyVU*KhV_;;hp}5~lB9te2|D0Ouk?qoqQ+$A8ql6=NeFXtsKUU4fae$Q` z(W7ISJ1jm!0oxRJqCo*W^a^X8|6ZB-gMFJ_EvKJ9vRWKb^DZ*X28N4_cKS#Y1&la* z{e}&4FQe&o%5N<}yTO5=m3w}~zco05D(ewZdK!JYl^RWyD!3oyq;EJF2u#!ax7W?& z4@FX!Jwh`^7X(6cdy8*ievG{ukihU{P*DDekuBFXu{ja>5WYavs$?GifHGkV;lQxe z8gV-QLLPtsw3aOy#K!m=$Wh6`vgeq2lh-#cMGUC}y)|P*YyetZ$NUn*oal<;h(}G? zC~$rN$@rs0fIGC0H!CRVxXU{TC}l6jUHV?k(5hYL-jCB}$-a6p4vKD;5&jF?*$NJ@ zLtrXA%rN5i2P81^O_w(iFmiEO4o15DE*YqygjQZZf7GS11Iag`=jcE{1sDSRgF5#% z79*xN8R-hA>gaAu@fqsuF0HiQABGKfdSY2oD#G=IM@@ILuhfX;)ZF0;|XJ zOkg-Xim`kA-gh5AeJV7_7jPFNHi!@SF*1HQ4lZ^$a~5@aY!1PD9@7J+UuVS+w+jQCyrFHR#shGIa1 z!ZRR2+cF?Q{h$0FJ_$zOxJUi>)DWY<{NBR-A9O_f9SZt)$p67mlmt+C5+s;VBt$Tw zaFC!dgkKB;3DOE54-A7#03{JR=#DSI*=2N&L&=csEvykD91sc<4lMXZdBTJ}8j-_R zlbq!fd<;b)1ny#Sd<^9O>;@SSiUbKH5Fs4+HF57hoclG^98u!eHEwWn#9=L&Eo9sE#lSan$BZdQ7_{wg!B653FdbQMDzOL z3Fh>};>_;5PwEJ*OUmc+O)97yoC z9KT&0f4lGyz}dDS!tPiSV|4%IJ#=VNyO$@Z(=Ulrw=av6|H|^eGC79hlQQn)JYOWJ zUmy8f;a*fA9aQgs!fsSxpB@r-^VxsiK@Z7$+WkLA@$(1r+oV1iWm7D5J7t@IA7N%g zl_npG0scpd7f3FE1^IT}kjgC>w$4u@2{-p6R7-*v$jQuZ?R$}%wiUr4m%^~RUMbFK z(ByfGrmr>d#1dp~;;(7!m~CPcR~SqSJ7b z6)*TxYkjW=JLynhSo0c6NB;3X5iCn2jUYMo%c^zQU2coLj8a*smbzizs{<9mam8Gf{~RmdvgXh2C??q3y4P21pMG(T;Q zBwCNU#bw~I+^X-9gh_9X!2^aw@vQc^Ie|vDX)2wiw8j2@(yQ$m4R>8bm+DU(NXU3H zMP4vFc5Oh|X3^SGId`R%%Ugb$bJEaOD4UFij#L8x**xWYeKz4F<2V#Q#f><6zEqRt z1KVH#y+4cGZq+C_J6@$giO`M5=83#5p28sObZQReZq6SXr7<>1v`y6v$*LFbxDzV_ zefPsX+JU|S>9FVOGnxv(IK-_8E*c^8fc33o+gXcGsOJ$nM(?&=z~m^B9_?rgPq1S? z$9UTTc6;Vdn!Sini2`t~DEbGGw0j!s^M~Gc&E1ev$sqfEM!JJtF?q6aO-s`w_>s~< z@#GsxBXyD;c2vVb!b_ym+I`uky8|G!25y(=GkZ*M@G_0E&Fsf-@kil6+9dYvw{TJ~ zU*oXkjXr)QwKRevc$}C<2(_;dFHpLYaYGLIJs=Bb5GjDzsZuiCEew~q{6fygi% zdqKP{{%2aNl5Oa?IijP_s$6913H#x29OvsgUppBRi%H-762@8N?^!X|jmm@Ryl&Is zPjk!bbcG@>lQC9=f=c)6=J|G&J|@1mc#bzjx{WZ522uxDoe*bFN0BrZbB{Xnit=ma z8%j41z}oheX!Q>H!vh$UcuAcJYsp*6nW z$H_et>Y;hW+=Bi83C#g#Em?XyHvpGK;bAVwhd1F#Ls2%2&Rh9R1N@oWVaT|eTUlIA z%xWXPy~vF`89%NF$f;+i^5~*b|B>(f_fx4{$`Xrlx^t@^7hQnq7=0()GhtSA@Cv?g zAa45!f>Wk~7Zr?(=SbK2sW!>Ct0x+m7i-$VZ^N<6c%%D|WWug&h)akGu3E0+mOO^1T9%(FG3lihn#T{}}fCiqf zAy{xzYK_5(qkEXy&)EyQ8lx2Lk{Ou+$@X9jwLOb{WkPmMZYpvVH5!-6n~G5C9dgR; z2A;2>8+g|jC`_U)uYKs6>}C7~87USUZfUg(z@%Z504zj5v4Kzq=-iSbg~50A~aE^8cGS`32!gMof8RNEmeoE z6#Y?^SBybYIVs`724?L$(^kkfjP+jKzO)rNezzkbgObR_bh0x(_aMHyHbfy-;`6rm zpX2xG8!C3xx#yd9_W?~Yq_{M*PT%&HUFSw`uh28HwJfg8#kMq}*XPVfURc!P5-UB* zohveIzN}1MZq9vt(ZAZq1h3~iv--2c+}18#JjrK4AY0rz&YiY1=29A?kOO3tmUEW^u3=IB=S%oTJC@p-cG6y_hD;=t+3ehFY!C55@fuo_3K z;zGP2T_eFh4q!#%6}4eZ*dO+xQ-|3DN-qU4t$h&u@?cz#x#%YSICznJ0#KOZlXLTh zcB2TfWF9*Mr$N~o2hb8YW$-8bN~_x2y>Q9mfZ$B#AZc z6hGS@Z@R-P`iJRW<0rMc&X)q`eIvo^IuoBmUCKWQ8;iW!+m(Zaeq3ww@U$pxo>#*Y zS_JXFMY7%rX@^<6E;l4?GlKP9-DIQdlM`7T9*{hs;TESSjxZc_ZT zgk8X-)CH~{-L%#;Mf73W(}Pk>auX0b$*v^Qk8EJo2%RQKkKT=9VI$uw0ht zF19wlk=d7_=N*aZ-Ne>0bgv#Kt|Uhguba&<3_Z8a9u$nL6DC=gNFX3H3o&u`k7ey1cJQ`Po@HJ@gzZ$@8MY@#T3vy;$W;YEHW*m(w$M&Cv5 zK95@ML%#L7i!#}LYI3-v0KT80L?nzZ5H5;7Av!t+rZHLJY%9=du|8Hz1hjcQRnbf% zv(O==(o(Hh%e2mPT7oI`yvk_DgIq-xH`PpbA%i@)h&9#Ywh@u0Kh@~#C~49(@HCx$ z6~tWnfa9oXP#F@DuY4kCqfBbk#GHh&V=eNJg-vbE%8M12qV{6%%eHxDqL*T~k8V~7 zE4AnK`vh|p13jTfmw7PLdxs9!ptCtGOf0?nVG1N}&9(XE08t<^=r<`s_woQyG$JK= zdc#7~R#(_CaAG!09Qy+yV(L&Bh}cW-{{fglXTMCsPSEO$No>iWU=nuCQZFX4j2%79 z0yCz8#lES;@f= z>&HJoj`jej z7g)xvRz5Z*7=Z5#Fs+Ff!o)=lht!7+Z6{rrr*B&931GL$L-a%?>1`2bWkQ+<@)p-Z z5;P@2ufEV@LyBsAI2_b4A9p~rPBrp)7s1dUZIR&KX zb_Wy<%r!ZnN0>Z}v?)F3=+}rs#UbSd+lvlc5Dakt#A5epB$G6r4M-g6?_uoLaJ`peF<#*D;DK>KhTZ5FkX z`M?YG&Fdp_X8TPo>X~_)C$kh)>m&Z|l>WJ0DQ7B-ehnl@7&km9>fMLQw1(jVn=?%_4?VDsMF(TX87!H6maJ?cpdz z?9sYn-Lq)drdk~>TGh)eX@+=}CuJ_F$7SQOd6o4$TBzODSq;vz%&sN!g)?%ao#x3G z^|CRTUW3TcJ=R`Uo}aZVPTQG=<+e9)dreQl;<5^Mhh76(*QFVa1A3*vt(&&SS5b1* z>aTxQuS!~K>H(+Yd9X-=_T?GZm?LNpI!Vx8@FYPS@FYQd;7Nk^f~R0w0Z$UN2c9Ho z4?KmS4SWi@8u)bC!l&aAK1t9XfRdoS07`=P0F(sn0VoOD2cSZ3JqUF?Pk($r!AsCS z7$rgbV3Y)HfYc*Z2vQQX7f4Ca9*~k=4Uh`+0jbABkdmN1ASFS2ffNPp!6*sZ15y&S z7f4Ca21>2dZirG6v=2(1xEz>zM@o$Aqi8>h_K%OE{U}-~+TR~R`+pL&zdw5Rqi6pM zdiM86&VJG4n$6s{np=@@7BDj{Yos%SyxN@{{Q zn_W@h&EnVie-=NLc_x~%-7BM0nmw?G*}ct|y@`{@V@+an2BZ}1trbvSV^tTOJeAYR z1$3d@@ibV#P2OXo?pE_c4N*4TQ!@o^PaM&*#Et&LW^^0y{frP3HJfq>f~L3)Or@Y{ zjpuYd3siEeO_~$Az9@4!J}aRwj{JMPR|wWSlF8{^glTbvPW*gQBJH5q3h zw~ly~%{cV_8c^EQ0#vgpw#egXQ(dD`&Gx0oNDOsblaG}{&7xPqGcvVlt+Z?IFFU1B zv-D)*H*5elily;+yI%teG}P<>7@B7^o?>Z4D^#;-@PC<+`c=hLSQ~w^){OAL1R~00 zWJ|vOXZAx(2XVqvbpA$p@G(>32E7lJYj@il&9?^d>&IVzx`fQC;JzusnqSfLw1zkw z%CqpypJ=O^MD6N4`{gO1uV^k+^0j(^jsq{=UUS}u2|ddML-9(^<}h_Q5Ju#1kK?w^ zG%uaXv^JJ%_lz^X!*p6Tq-`#lNOu0Po+Y=J! z2tV^?Z_}!n_9DxBnK>B$ny(cmZc{ZgcZPQi+BJ#CpOZ$rtW9pcO7S)$>#(uTFI)Hk zx$&}V&?Y=2pTHV!7O{Pm9$dvv)tJmODQJNi)-LN7v~_TWWr1eZUI594yj%0KV?gP7 ze~lG0+GJLbDGgoKj(Xi5TqhOxjO6(u)K1-ND^&{4vOIs%R%=Q4Z7N8uV<{d~+A{fU zk}mZ%vwx%dSCfw|yePlo6`iQ)y664KTk?%D?r{y7(OF83GQ?CWYO!ziW#Mqo`&)oK zY7k%bh?;vs-HXCSKRil^!)Hk@hbOL?qyCd8ya!1$IP_Edf%1%=V@*7=_p=8UA6R@~ z@qxt)7GDo5{smTiJ*fEcpyCfg#n(fM|DF_IKLZs1+??35b)dkBEgQQ9PHdXN>*ho? z`;Ccem2zNWUF<{~6DuGuV4~Xr6ZeXZiTH;SFj2pe>w$^tLA$>&v7v+lCN^}S0~2wr z8Zc39o0pf37I0vqdS(ik*c_KOCbqiMbz`D>mIO>}&8X+XM73KzFi|~H0w$_GO29<7 z114T8924;mDPW@7RSrySfYS>T^^9W%OjNtJh>4A3;KoFC^cFC&aim|Eh+Fpq6Y<3q zFcJ0)nCSM6iD7|ZqWwX@M0}zgn21C4fQdL{4w#6?DhDPuP=3HfwWajKuKB=3O_7xX zCZbk)z{Cc`IxtbwaP@$RYNLZ+nAoVeZcJ2%W#z_1e^NX;nxX#E!HLMSc5q_wkQ04V;KajYoTy)`Epp=Ra83++&chs> zXvKSTBF(^w?V1~#6OkVhI8p66*TsoQxE47Pzqi1NRs=UEBE4GV#C-${BS|Ghk&X~D z2gTdtpy-YZ6sZ&nvw)i#MN^EMXR;Qi72%aI1!;p z^RQj}Tpo;)n-kUS<>o{+wLl^#qR__8iHKzloalDI8utXO33+ow|KLQF_==oJN8m&{ zE>2XlN#I2EjC^on+f3sWh%Pe%Cn7lg;KT+FEH@`&jFiBM2)7)Zh!;tL6Jf8wiTZ-6 z9h?ZyxH%E#44mk83@APCud!l6i`K*{-fpTfk9+R03mVKfPoK6cVK$4;7d@0kNYkKj zbR#cGebt9~w}@!;>$tlps4#n%b)lirpX}7Rkv$O!Yb%p>9ZWFk;#4?&8YDY~Rzu}= zDTcIutkHr^oeKM+V_8hKBBzhZc9?aq-;TaA7kEn=b|n2k^D^Vy#S#3`aw;TKHz&!K zgPJz)(DS*1&!Za`NjI0~mAoUnybBH!WGGM`f|Us(UyeANz>BZIfAcwO<}4nS@7HAK z8vkQhF*jRh=VW_o-6CTXmw=iZSP8--Dp9%4!Hbm8lc$u>|(kM`d5q{L&_!~ro1 z<9~>nIjh6NoD5a5nZtI#9XS&Z&~Gj6D|d9^(=2E6=D|HH*vr~=!9A$9 zC(I?6GQs1*1yxuMDRZnb;qu)R+5@FbaJX=>hImMsV@*7=&)Y}KJX+?_GLM$oTITgg znZF=qUXPM_l*|uOGOtI-JVNFXGCxenyncp``D2(P2#){@t+!(`7jvKj9VF20)sqIv zXJSkVS{=c;BX!kod9zc-yQ?QV_znQS+9^@kl$kG^GzWUS7HC&P#o-UK<6os+^V%4j zxx;D@*gANKxgiyO0D&qSN|6`pkjxDUpBTxSUbF!u+^{`sl1UM%0aZ2~dzaZ`Zf(^u z@dsKr|8lHuc5{fe_>W?>>H2M%1GH<@I=Eo%I3bR16Jv+;u%b{n4YqQVET|8)JF6D2 zmH3UCAUi$V_1Md}wi-F9*qxqt3XhV34E1m9< zsAw*@*cg}1NSLy@R%mf%UL8%xGGc!t=Su-*o}yBQtmf~LC~Riu?=5YSG4x&F$(UBK zA&&HcXFklft_|)GjQ;9p)VmakT{gpkjSEep$g_QvA;Wfk)*YXm9 zXsiu910~>PGPZr53#NYpctah_-)Gw|T7`#7oyxU?9n`Mfh3(w~K7al9KUVY&Ee{nr z34Kcb{vwkdMm@`uZF`2cwRY{I2dzDNL}_BM!IUj#WPJ4){DfWSdA6FFScT`QTh26T zm&ea2%nFP#dS>=K0rjXo5_2()YPM9*#OjKs4?0p2ThONeL7}Fp$O0tVC2Hcq-i+w9 zpJPPbbH`7Kv*a(19+nu>irbk&XYKOen0z$^!$!Tg9Yx>RHAQA2Le6c`QP`w9Wr_(S zD_xTKT>N5A&37zb-&&B4%T#%NS>>=>A5KHtlRBl6bv3<9c45@{Xszx`R8XUOa_@di zf7VR9SQn)IX^JG>j`!sV33P^^33To19DexYaeMdxGB1anM0hgcO>(6<(++1%^emGp zxQh22X@}kFye> z8L(q$Nv_xmwkKre`3U6sd*5yb`rkfGb~C`#zSr1koDhX3GxP2S%aZu+2DnZR-Qc!& zH@H3Q25Q$Fx&f#&AKidb;A#eXCQlxAgK2may8#jTZU>rN^{#ftG{<&u#pdb= zKd`@;2`uVncejE2pWn`9k_^s{D{5RsU+uRYWVPD^8ydxIJ+d7XEkW(+=a?EC*eiEC zXxFx+K&^Q)%&x!$wX0Q*JDTpxoyoX1NP`?h5u>8P;KESF>f;(;$C0T zR5SGlY{MwE^Wqm}7PD*K(VQ5^>S=Z+y=CH#&P!Lkj+$bM03NYx;ji#M-S`Xk$n)b4 zEbULrrX)G6&?Lgd!asNmCU4&SWGnSx$D3_WYajdrnj+0V(LH0tA7_9A5zNBJDSVq; zj>pW!Uw;(2fA`|Mc2{e|@&EOaR}Ux9XBN-sIuAR`&PaN)Ap>(Zdk~oPR{yA?(*00* zF-+B4z$h~W`h1E{Hh`y@{*`7LQ~l|s|6{)j(k@9+;C@aqM^He4^YmsGf8BrELWEhF z)km0Ky$_zIh!8~li>3c!>Him&9$uPKe1k?DA%BN_N^7SnCB8~m87 zSobJ_(w9wXj$a--a;WU4*#&>xacxWG4tP#Qp4#XysI*;4D0^3n7j&UJj>qP1Bu>GK zS(@|M-H#_|mkFevh^o@!?a{i$!KpG1i zff6zJfv2)p$9T%E>h#!^z_Lr2VaHV5%MZAhJunqUthc#_ay=dCIH13ObdT>gjd|aB zBie??9D?s>7t!cE)*Wmnp4N?*UK?Z4SIL?3NUh~Cz?7SVQ0oGSjDS|wD2lxc80 zcZ~ShPu{L$3huEtUOyOhDDt@68ReK*p@oeVqhZD5OChUc3)hF@v2#m5_Ec8SR6KqS zE!tHrXS^+WtvF3HX!~q?b@`k#B3NHRj}-cziYzeb7%NXzp^kyP_13ToqK-ggX>#N* z{n&=V_VRdQg=CPj;K*b_U?fyfiKkc|2)i)9n^pe%Xa9p=Ri`MCe;PsIV)h;v6xIZ4 zZ)Lwt?5WxVXaH;q3lRI5PSge}OwES8v3VB-NQ=jlAA%%rtBw_}`($E>_l%i9@~*W`AqIagBrKa4*y+6IoeLGKRp42RgT+x$yDxQsw&1L6yNR+c(ke>3AU#lH1BWL2 zAZdqO?zt{T@8CrU%4D0e^ktt+#Dmfgog_$4B$BBI^&IOiPsLM2@K)q2MfdvohdefK z<@#B%2`SN;JoO~lk)aQ)lhO{96ts)HI9=l51(QA0WyMoZLv3NF!+&mrh9R|Mt5Z-4b1IShkj zaqPaw6l|E?FHZLU_Ea{0{*j-bZ_={4!Y^!;$MN$x*&{Oo|$dC!0wtpcG?Bv zdTxPy>AO@73+69C54P6^61*69kB#F3YkZn~c*JiH| z`>Z%0ZW9D&4wyx7&{xeB`b1@4;k-rJvly`grW3LC9SWzbR|PQ)Cp7$D1$&Vd54v9HOdwS52205j2W$pCZSA`gcr47#L-Mh8f?;fB<% zy!y@uFS(2i20LWF?a=_sxm+G5^5@UYBH2|H`;%>Y_((q=k5U^*1Vcex6cTr^s<+k* zEAE_-ffwZoYqeXvNbLTPgZ`&%dV+C_vCROKQ$=@e^j;PZW)+^S<*&RG8dvCHQ{EY`gLZo{@N3aGsVxhSywixk;_5uNn@`4ZBoBL(ash;?Jp7 zLdP?h2FG*9h>!i`?K-Ax@^-v_FzQg`artFGV`4>(V8A3+OuiJdIylOUh2ybvqu-{o zdM5hE3Rh^q*bmP~{fc1}3Zt z>9m#YMj=$C2h0FhE+`-nPl&4|C`^U$8Jy{&B=966f`%=r#LFrveo>F#wsw1Q2R&jq zqqtp5sdbUdAv5%zF&h*WrPditNRvhK?bx%O4XPOy*}n^QHa( zQbn;f*4;2RV<0l6-x!U^1p&Bazl*i*nII}ofd##Fh5-`kai_!DP)};0nZ^~v&>!l2 zKIn}irVG!v4Lq|nQL85xG9PHAQm3Rk3kpoYp4UbV&4jIHkBtpQ41TW&jOO|P^~h#W znwRa^hJ;&c6eI}hvK{G6fiDq2(@1sP;BvzX7)s$>l7UCB_5rRQ?>Gg4Z1< z4;^CQd4;m0m}1#BADJQWti`$FD5y;(SH!GsyNR+c(ke>37(Gy91BWL2AZdr7Vn`?& zVuKeUCMPOLmcHzhiFiPoo;8Wl6NzN%1NF1+@>D!U1aCD^Kb|1II^?l=E7#A8O-PB( zWQi&4$j}GX5z-tesWen|O*Qa!KupTz>ywSqseRR z3!N2)$HBd;c@^u6e{{EREbsuETx|EU$v6GApeP~jX~abw@DQH`hmnnKK}6{F)8x2O zK1?ZC43{%E*E+VkeC(kYM&Xf$``=%Xp0a&}p|kS|Y760iZ)!j^Ch}ZN7|kwz2h+ui zIe>zk7fn?Dm_dJ0Qb5xk8gL5a*T++{1&uHpo!=hmqxZSQr99F0PPEtR9lIeAWwlE( z9FHheoYNj`NKAlrejk;VkAq>T_m=62hI|g83{wQ#1z~{3AasgHz@3i6LhN!McTl~ zQ-!3;xFXB2K^!x1ZGJK_@q90aaK~;Ih#pUnA>x|hU!53!se=#utT-S3oBe7>w&d1f z`CY}tj`X2^>v5H9f-}+Vzv>YL)UBRzYCi`Z-3uP6B~c^*1*E;ni4gWiuidrNP}Nmj zuj$RxwBt&WUff%p0W6J9Emf~wk;CYEX>M{TyxcAq7WIP5PxFEE<+09+VwE|q=5PCD z`Qr+d6lMRp1D>No+DwbsHr6tFOCbWCMAfA!f5D9x!kM}6m9~70q{yUvw83;H7Ko+3 zp?cQV`MWl_7W-{!gDdYMpM#NUe3U>kVuSJ;!0FgIrS`M3jEDn~O7?XU(OkQbbROzx46%JayG)H_D? zBMX(dp_Lt{v{$IQoCeWzToyB3;8ua-3x0W|TH}9l-7%umAtxA-5_qU$+T?ZPKaRj7 z&0z%x0^%`ZFc)@VOlbbeevD{w?SZ2}njeD|*U4%gDT|vL;3&sgn_5wm&Dk;YSUHHo z%&%8PHZa4;izu;v;u-qO89QRNed8AflWklx@xGImXzX&_=gcvnX5OdG!DB!N9rs!^ zV>FH!gQ-cn@fpYRE(BKr_om!dEz!fWVMWu#T1LV}zNh3YGJ03LEv8bfyqN=3Ny+$+S!}9u{E;VJ0;=8=FB1?e44ix1>>hx_xGV*8@ijc zmzcb~B|=FHjw8j&L7Px+VG#=2^B6HzAh;|NBbOXBN}##P`|n0tv&K`f;a4^Z+jG%3FPs@|)5 zuj;+3_p08jdjBES`~FXfp1<^Z@t%5Uo=2cehvs>t<#mgm<4sW^dX8srr|9+ShaR`+ zIVMF(^&A&9fmF{UwTxT!fJePm@4}br9g$Ey;5u*B!y0{@s)t>yL-nxBb*P@7W2vg8 zdN^4-R4-26Z&gEPZV}`JEBP^?tV8x3=Xt802U0(DuV>%G-eVnY`xr~=D6BJ z_B=ug(un3vqN)qoYfB7s$(~27_gnTH*L28UgA01dUW4yBWDnSG)!XnAy*m(^7t{N# zc~~Hynui_8(}d<>hx5?92J(1lo<~-hx8@-Wy6w0Yn+mYJ*d4y^Wt(3&BOJla%vuqHR@wT z&-Kzglv63E=6Ph+a%&z+&<@S>5>4$PdMH};MTj1XTHPI@hq9NzNc7Mc`~FZb=%jXw z9vaW=6g@O=UAO9?+rivh(}FnXsd^xgaSW)J=LyjZUOY9=1J(}BbKJ|Jd5(1^NuCGh zI3&;Us_mA%155I*h$Qd&f+P=1><0`bFE&wc$-^dPVMFr3v-2T&U{(=ENSUJOz#25;^!^tCi31;_w*oQl^nAm0FHYX6~c7jYByzsjNubrpJGA{NnKP3E%il_ zzo4mH0{3+-+PF(Yg2#$AjceA}Qx3naMbQWzDN%HItK`5n>s)Vf7~#3%gffOwNtkx#dg2!{Tnj8yG46qv1Hy|m%&7@@V8kIB7g$PU&rfW9&)5;@ zGrz#g$!lp1rXkI$8KbHSJ7;v~Bj7ojI)}f{v`eP<9+A;mGBc|c#=R+2R;+-vih9wv z5KHs-f>+dm6Pf>tfRgyZ!EQ$n$Bsu1T=t<`C!Js(`vL<74Nnk~U3gR2e2j>y#}_(D z5O3XBqVD!jn+Bm5m?=t&96Xu!&#%A!h;yLJg0{S}enbQgH->qsd?K<$p2M{(XYQim z;HZ54QFJgsymK$@%$o(;5imM^J5YNnmHgXQklW{`4AmAD_VtUqZ z?8Tq6X6#6f3odN6pcdk!it6NdY+`Z7nB3GTtf1L=3h^ANG)%%gf9LJ2aKuPcpQ^{A z@u`!m2Rw2RPZf6$;$kY%U2@GfeHUHK>}<}`f>Qh(Hvc}7qE|k#a{euXr(5)wmmDKS zeGa<{Z0gApyM^Jg3-dfH&0_>IOq^2-T%}EmJqpuPc?Y_*UWeqbKQJzv6BvQ4aYk#Z z#l;zQEEUea_RI-kwxh6`TB;qTNPHIW9+Bej)pTIFHPv+cR@9Yn;&Dld{Q!#kwZGVO zujhF^&+BFLaFaQ(qHM8v7b@z@?~OFb+PvZ$fMFf^9r% zJHkAVL7B(%01NMXfagJ^Q$HRDcno^rB`bTL68*D1kKz`hX`ZJ*M0ZjxBHXU!DXzQ6 z@|3H?VR_OBvpm3cUCU!zwCs5-3BI03aT)!19vowO2ZrbIgSBjWY>j$NkEOk?=}|0W zKbV;wjf_Uu^b~K#V|oH7({o0+=>e2=Opn5T{DqkwL`uJ=2RUTdgPYjzX{HB<2!FXE z>9Q{NV<*pJH&fQ}JXR319?xSXb8Ip^j};ZK<9UG5Alvf*x4BCOp2s3A$MaZcNP8p& zLA|cW+R@b9O^vnd8V}5FlT)OX>wAnO`msI6DgAIpcUF(sa~ae zmFiWhSE>FbrTX;>)!zzL`l6boG)7sc5LpkM(g=;KQwm$@SEm%$(z8x!q?DvnvuUR! zoeG?E>Wm1T!U`?v6!wsZP9clgt}ZEN<_GTd&?$|~mUK$-8tv-TWbN;VPHCjoq*K7- z>Qum_Q)htd6rd!X0&WkT(ujsP0MXx7?s5ZVrl}GfoSC~hre2y#a=CY|ynj!fB}a~_!j9+YJYkvXqS0WTRxrZ&DZbw`*?8LUU9Y(360WqbB3 zQ+6-?%9Q0uNv7-!S(b1O^2GW(>l7ZmC!JCp4sTZ=c1|*dnkq@AP;xcNlw!zOk|{(= zk}1VhlT0bD;~`V%K1wo$Zbk1T-1#9>pr!DgCR5;uc4Z2b(jGFUkrCF`WJ+;wNu~lP znMxzP@O6cHWlG_kS*1W*l2i&LHIhmN+s5~l%7Uj|`YQSt6Nr|KyZsKQ|etM1cO7W=6 zMofvaD2~19*+}8T)h-xx!2bYuuSAIaf!e{n?gLKrLO8Z)Uk22+o^RaP6DNJyr(qpdV)6L zdC2n;7$Q7I4OXZ-&r*EXIYvCkr5QWdgNSeuk*1H(tBWP4LyDYW3;nKn`Z-`ctSm?H zt(DNlD0ZLW5j|=1_YG`@nfr}9WYdvGub`wTiI<5{)lT-5q48E2N-qNrTM$zP|} zV2Q>1J;SZ=@(O9yXOQ^&R;l45_KeLd4MYOmyfyT(>^WyW{rd5>fj_OOUtjh5s@GS& zzUuW=|BA2r_1db}R=u|BkFr(&b814Lcecv?og$ih~O(Zp?hiI7FcW(&P(;Ayf8 z_GpHe97WRx$3C?ONbW>^%{VJK=oLtMYC6czU669!mVF+?To1t_hHF}0B< zm|HQIa7{2IU6LU|uOTuxoEiBFt5CvS3uo)gjTYyNi(qD6tQU1^nU!kBA+3Q$Mq>K@?`fC)5$pZ(()WPhqFlQ>P!s||dn*~bl@F#nV>d!9}cH$I_=h*F9#4O@27~i6{D6#I{vnbAOm#sy5 zs(7+Tc)Hc=)614Pp4b0wW5fiJh{P9(Q4t!)l2k19H0;N>7WS_t)77!N$J=_Y2=pFQ zzM_N7mDC!$IxANwq_yiNo7Q}O2~{P#O){fkJl-z$8IXWHB2Hd#cS=U3`a$cVCo`Z( zs5%R`7$LZdghv>w8(D*0D%fAFier3~*$d9CMsvj*S5Im*e^F?N9ixtegO}%gj4&$& zY>KB>)%|~3Tfj;b8qo|Qrc+0L@&11etthTP7*R(&&a^eU<@p$8Ysor z1#Nl6TmqWH-x-bvaBf+O)PVgkE0*vPxZ>z4zhPpZAl$adkS|GKcE$Lt1uo&09P2C2 zt;RcIJ%SaY#2bayjwtZD-`yTbwK=ZJaYpd6Oj~+c1 zMoEfyLkowWn(JdX+$*H7kiJ6t2MOt~S4UqReRcF7)6w)jDw?}C)$d27GG!V45+RE; zT31FD6SXU&in-M+qu8gZC6GWPuc2VGOCfa#??_Ft-f}3R59^pUei&HbXr$O z#qa0nsOa_ZVZ~~JR@RkG#WWsJ+7^Qyu|tH2Dx4vzCZdX0+d>Ty6|JG5Srbv*LL=( zeibEzbDoNsS4b6)wob{2MUegxQdHrZS4dH%r;caz!U{iVLW)YS{Rk-klV+<9}$$Nvo+k`PZ9cWTbqj~`BQV@;O{Ey$H<+fsjQzP zcdtF`r${i(ms7Y$*fC!_pA5B8;~az5mvf9qj`=I%rN5W{Ui$k}=@(?-AFIo6jdyJOp4@X_=(jAhtui1^8 zU0I?(JmBh={&-7n(4S)Fmgx^gQTwGoD;m1=r?@a@`a_u&zVxSfgaR7tvz={uh>aqwP-v0;MF7w z#n%2gS}R4{-tSTN);5LFwPZ%CI~IK32KE`F$YaFpu>xvM@mh{oJfmKIqqq|293I1O zthEQ^ki8obd-rDTXm7&4Kq-!AACc6I84E50Ns`1nJC+N50<1zFKweoT4u!`xT=qp# zrJ$S54XZ=JPfyas;p0u{k;)<}Ts6I4-UPcNDJi z7QrPw_6Xc$?$=zwN8+dGU4pNChLm}5T!gg8h}QNB95uFLkNr3>U6zG-<1Y?&s_tr5 z^^1gm@CJ|_nIkG&`iwQ43i|jz`&E)-$!-JpK1HA4LlQ*|=c!FEs#kq~zzha8WEH** zZ)~8QtB2szNHHRqTtgbgW5lU6uR2Yog`_dtc<>563G3{9bLuwCq# zsDN#*JyM8Bo%6@;*?>coqJ!BYHut|)PLr!u*gi@lacVKHf9bCS^Se39CZ z$9Lu1TD`5++gklGt<}%BvwAzLx3l{3c2;j^^*`vWejiCL=m^T)SXm_Xem7QMBH|H$ z@YPruF0Gx$s?6QPL}O(UP31IJhH0zOSQ&=bU!9djrsUIEiJi}Tn&_+ym$6LJJ1dK5 zm(y7ZG9{<8GCcb-d+)3S#v-SaGAyY+aNWJwinOp%@2n(y*Rne+!$Vgu2FbR-YTQhPS5p;y{rJYgRn^+Rg7nOvlXiC4^l(WLO-Nlz270VH)e;_-j^LxM_aZ@?Y%|6|1RkwHIgtMq=we_Lu&6N z>L#_r2dQ1@AEfrDxk!ztk&D!Lh~Vt$E7C=3e~H6bztV%#NI|FoM-(f4yWO{ zqh6dY!KTIOgBPbygq${9FHU2{sW+z)sTZd+``XQEG!o^(X@Bf?IE}|XjP~+-Fxnen zIgCc4^4yF@pBMxUO8fI(lwKX&qBNd!JSqKPZ!r2b>er}WqyCeO`seG@uTQ@|{pa}f z??duzS}YHn&Su5+VbfnC;*nV|o3>SwTsCbumxoPbpMKf2;hHa-&co~BvT2L-blJ4w z(b}=;Y(k?;MBSz{Orai|&JfpnY}#wMELjjM{KcmrW~xB1?uUizB)9rqF^JE@VX%tepFu`YKWLtIseA?h2pTPKKhEI7N?A(AZQXGGahe z(h!>G#_3wP3vi_)BA?$9^!#jj_3+ihR}cRbJ^cB~;eRfN)*X)K6{OSN+g|zCj07h@TnMWdqk?Q^F4CU8sqOGYp*lw8Chrk{B{d3hwC0#`@R{z zE2_^^W9`@d)8mY=jM2UHTgooszaEilWB?W+Hh}@G`1Hh1+20Ql`<6k(HUw-$6(wY_ zo5BN!7g%J1mlkRGRv6>tR~?G#f*5ZUl6?Q)Q0IV|cw}zSXap9SsA6mh;U6#+j&QLt zoGY&&!Xudg6wWC48Udc1fs_G@rw-q`HD<`H9%+qxRLB#u7Aq`_ymAI3i57?z`gAOl zrxHh5`#w|ghex{n)Tui5OYxF`_!i@}`{V?CQF`7cC)Bd@8j$0m_xpc)sLB6TO1xv^ zemd4e!?f*;FoaVn$qnRdEq!>Yb{FGkeKC^!vy}zHyyVGMMy#6hdQV6{PBLV$V0wv6 z%*V}uqh#u1K#NSQ1xB8+McXA);}B6Y5HTE5FL=M^iZlrSUciK?_iKw{@40T#W{s-j z>j+D(=5>j(F(>5KA0tvB52SjY-0rZ$SVZhS&fvs4xo>&?`1%$f6o6MH|Fuf~d`0pV z$yX%*Z$RS{*I@^VjBYvM?40wAIu`yrgWw3 z01L5q&4DpPjRN^GLX|i>YTUp(dEk+_KYD)0o$ISz;l@4dnh}vG@A4icUe6v}kx%T@Ud<}R+B5^%% zi8brS+_gZPuMsk0hUu5zqwxPeRw^2|7@e8!5Wd-s3FU$FT&Y+QshrU|ac!{$WX!O( z95ZS}nhqYyUlZlW8Q|E$oRMT+BP=y(tZ_P3+xyN3ma4(6?YS4`f>EL*?)Yz&AnK}oIF0A57JnP}X$4&t8=a+E~-q|IV0x^O2D@wMRD2|9V7H&q3h~LOvr94pslCbBsG1F4UE* z{Cml^sX!KPGdV(#cf*Z3;#pNx(W(xuoG=TYx1*jEOr$2Dm<=MQp#hGyu{d2-4EHG3 z21z$O+t>w*1?{;c_@)j^ObA%F@k9Wc;M1o#?)8|b!J$iG6vkwcsbOsyC$h)L^;lcr zQ0H2&5k{pM3ovE9^8{n*WK$04k)q}ZZG|Ur@?Tk6p5wwbl_kxYQ#y54{1I42zCuzz zPfmNs!8?@LV@h)@l^Rv*!I1?Wj}h)LSV1ol!JWsFsT^rrG6la!-e-T{x>YIylBjz{ zn5I0q0Dgg!?i~tCX_k=UT`N4J3zIf?#i$iX4XP`%Rum3oSW4V`a)mUOn5SUC7~x@w z1nD(;Ie&dPQ(3OpIHRTfW4oZj%UJQvD~v?__(t96Ga+Ptl3{nE=H(id?-G#;|DS{D zgzq6)vE+zk7H?Awvmzu+ETE>9$_Vtz;k+d(D08>oqJm_JW$jl5!BFL@4=CHSf~+#? zH2@P=35w%dxj>pDCbX#PDaA*44}(KWe&9MKW~_)*&S*^{3!I^YSvq@Ys#hBAbVGNN z?QBK%BXB(R%}UH044eN?_N!divJ(jA{)!hnu%KzW;d`EBO)xK-|CdZt#d5}S>P;uQ zDlUhmm#V^9Vumja6x^u<^Scpe6z!HSyf)>M{d_6|W)S`hMSPB<$3WcsnI4CM9RC}7>NPaJj zUELU8^xUr*4KKC10-}!|_37Ct+{zLxEc;HDtM!4E2JDQOVh>SZH>@!IV91B<&MLTA zH)OO=#%Wg#Y=~=w_T3Yd)Y_*D7>A<> z;ZS&R1|49{mihzteh9;7AaVyK%@Zj4=}l*f$H_=Ust zgj!jPFIOmLzQ=JZuI|yTtxjsXvHEg{Ys7@Xa$o?DKybgfqzF}?xUFm|2RcoAnb`~* zi8JLeG{iJwrC&3`h^-ZXry-3k%6h6yJ1`FcBWBTS1J{md8^0*D1H(siL`O#HtSipp zQ$$8FFf?7U>wKQHc+IT$&a``DM7V38p&8AV5sDn`NX*I(PPk^&;EY&-`7tL>yS4>u zWI=JyV2wjSpn2`q8r3y*gvu-QI5U)%pIB|_@fs-t!zZ ziKD5|J%DMYvo#c@8xLLBJboQXH}^(7*^Z6R19pbY-Z?m>{h;Vz0MGa$ceK3v^<)`X zeD$X{a7t<@^bj;_GG-RCQr>v+SiNQ_3%|_H4y+Al2or)Yz-yZD7zkaMEXBvcO|_rD z{QfKaR7Xpj!t1P&XbN233tG6$ej83H16N<+Yn@e*Vc40_-suAER1`#_2&z&l1h?jX zRVf|*RmVR0<;(6(LPD`M72o%CbeC zX-^8n+xse`JGj8hw##G2D(2Lk`9$FqK0RzI-BiSm8d+78ihcZCRok_t`lz%sl5=69 z;4WUVuXGf2-R?p&#Xi9;R+me-*WHe^JLqdoh$o&9L;_PVjxjlFK{Z+BzgUNiQZvDb{fW-Lzi1Edz6s^jiM%)TBYV*r|! zLxCOxA(dl56{M7=Uto(q`d4)aD8vgevR1M|I z+b(KQ&oXmi#m0aXnz^Wmz`YzZM@&N!HMD1ptMCK*kqF(~K^P*dn2;DE!}%1bb_8HM z+((rdFOUJVy5|U^>cCFxyQ-f8fmt?JU z)Teh=S9mTB$H#lmU`KT>`;sa~@-mlLG6|nAGy5R|E1PcUnbtnG>kF8GZPpjs^z%=R z`yDT7DdH2l{+P-#Gi97+F+5gDoaegsI5ZtBcQFwJ66K}fd%rNj_sFi#8n9RVt2 zHw9kp=fCmv-9b%8N-+ny)#i(H7PmcE$(h&jMWS*PQc4C6t2wu9OGpG1VTvx@w-c?pT&o)P>hvy;Wbn<5S++Ya~V&+*?mc^UR)*q34d^9=j#CD?x(!Cnql;(;YM zzsgAM2;W7p&yk3SM10C&SP6o(!>|&+^=4QJW|hOR1FPDh*ny)3Er_o zu`-g{%Ar_^wCkbRfi3BwSc%uF-V{4Vx|YqUGQz_T2&LAGV#k1HJrpa!Hf>YvfL8tH z*fHW}hhqnBawrz?V%YUR2zGughhSrUwVPq%0F01LR6HMsjq8}*6dN~6?WWjRfjlrN zHulthD0bkUZ-$le!sRBDVF%7t4#N^(413L(3>zz0Jq#O{?s73~oFTWvsBy;m0zFt5 zhcki~CmA;KI<=4#J8-jnQ*6{isfS``t;nHR363r|#YS~WITSl^E^;U~s+09gij@eN zAC8R*ulaCnbb$ApV`C)wA=&7eQV+?pS3m#My|he?%Zx%8m-?C`#gW#M7KfIC#Oo~0 z!I_PP04fp5tmRA!1qZBJ9^~VzmIah58vk4ebc*Loj z!KkQ$9baqTG>x<;Ob`-4%fKpY0pkbNkmg>og=dgV@4U9v zjTxvS*NnznjWv6nxGGk(-uQ(V9y8?+C>~BF+AfS<`~2k|0X2>l8mO`=uyvH$axHDj z&?Mvz$!w^bRB@`)Up0)QqbX!;F%# zf|5*RwO4ga$c>(+RcbU7nir(K@bhMtyr-)a#;N7xlWR*G2tZF6sw_HPV%J(&-n>OAx~tsY0c-AkZ-& z9gZo|lfyVcXxl_dmJ~#kERXJ)|A#fG6E_Ez=tp9jpPnBxLT2U)s@t8!7QWp~!Vx4v zh@0cc#r%P*tq(ZhTrp@fFbr7*?c{ORytbG%mm|aYVw$|bU5^7I#uquH{F;%BGaPa1 zl`HCwROBmzKEFeFLpjEX_Qu#bv2jh^7`sX-j~S)m3lQq~75u;5fMEyEh_wgLYK z;lWgzmwn(!Sg^T(0~c-(ayp=8xSWbp7f;;`4I@+6IFQ61lK<~s zq#bHwB!TC6c-pYTSa_WisYS1czy%5EDoF7h~30q0g|-9XiFJ`CXz z2;9)q3NSS~-Qp4u=Hw=}bDzdfSRkCVMSqIKX{?p!0%iB(1Qp4+J3a75cF#zh7x!`M z?-^+9sd)lBD-mSxWC`d_Uv+8Q;(Ne#ZB2Hom`qzW4LJ zpYQ#A@8^5}X5afKDu|PIRy%Bk^1dU*q6U9Zz1rU+o^CdBTt@Y5GTeFt?)YDrzZNKe z6F+C6iiqc@;UQQNw$kvVxLU9kD_l$9IqdUiGg-!p?cp)p#$%rY_fGTd_0{mCg&3|; zh9Ac`y*N%`332fWcq4u69|!0Tw*;CZk29bC+{ayZCz*|GdOh{WvRjujUfWDe@8^Q^ z%*M6kh!l7| zPxE-!i5*;s92b3#XrR)jTPzP%aL2GbhKC+%O*b)4u0nFT!!<0G-@UQJ+nN=oz?woU zj}-A55YHO~s6k7b>VXxV?d4?J^#&V0f@Db5-~K0g|AR)>vfgX0A8dhym#Tt=tIIJ{ zli4>>9iw^jqm;#t`Rv7oVpMTmnG+sBaEb`ZP`E;379M8!nX#!EG9a0y;PbSd8ecZ{ z)CvE@BYPJLJvMj?Lt7Y`v>9?fbU^rJ@>SY;N&{a0*a+pzORpDp{pN}@!s4NV9XiXE z3Yg$N>l9Foc;Sp&D!D>i2=O%GdTO*=G#@As`c*NZ4qzlYFc!$VXVgI{9KmNV0C9tH za%3(XG5TUDpcvMXu!$d^?;YuJYujO0>JPGNFXVBN&PyTBG^8yk(|XGM8D=kQgMGK8 z2^N?J1!URApY<^&I;lpT#-UJjX;h#aPDEC92%s|TOCWZ2)PybR&;DmXXe{u>#-57v zCJ8;6v33It^hz?#LB`|VH~8x<6%Bej@;T7-oIL&D>rT zxk=S;ICCn4}%NXKdFQ9?X_*@dmrJ`SaK3`0Z%==h8lx_PMlwgiHJV zGijem`%Kzr(ms>+PcdnK{!(Z88Ks1b{^96X1>UCR0bO2fpqd~#?v_PX`+wb5ksJ>J zUfXM#k4$5Yk4(;3mMMYNv9Zfu@nB!!{2JE`S=eD2KWk(Fuv;>$7>a9pJ#;*sGlpFp zG7i6#2L`wF((8Cy{>Kpwc&&4U#tKgktZ4o^jt6jY#t`Gf_wH$i;lde;K)6#3Tu-Q$ zm$~9)uQJ>Vhlr6W;Hty}c&`WJnq{ms!()jRS}S7N@&EH#8%OqqSbL#yJlnYNu9Yh= zVnWpyUjw)TDq)-FO5(C&BVzn^T;ro!l;XH@#JaIGgCdej2CD;0JB)TMix1d{YEM&>J6UEhXS~}&+BKhG9}^!{B0V#9 zn}h{tq;`!NrsN?FmET}W8B$Uosi1iDI+zfcq2#YSjDwGW?KH!lzG6i$po+hNG0R^4rsolmMF3>=dECqv+=iCtD;=J z0$V}j{-BHgs^oNtwzY$T2~~Q+HAD{!&d5}(&^;LftkAj{B;g8?oxO`M zz>3C^I&tl%4otI@5BaR=f7M7i#AQAcEKUxS{BUPl~)FVG0aRe9|fl)LR`f z>WvGc!SyI^Y`L{lDR9S}5N;jg-h&Ee`S!;(GD*lGUdwCkZ*nIs|jQQP1hFSyTJHVAzoh08pZjN6}5_as$IrO>XY zxtRI~^sAWqC$?*b)N$?5YQL)K3FE1zC(K?-_Rn7*0*_-4D4m=bN5MEE1^Tn2<`otw zry)ggoyRHQ<&I3VYxI-{u&9CM#6kvSc5;DU&eF`g8LsRsEm~Wy8T%MZ#-6@XPV(0c z8|bnSV&FZP`^u^p)<0ACOA^Ys8<5AgK> z?9>Km3zLxA02|powE-&Ote-X@VI*rB7~FFA)CTwwaCA9&HD*Uto{-Gtc z0gh*C0}^H%@Qknxh}U@A0FP6<+5kVYr8dB@?j&7)Wx3jbpom;EN>6Qolk8G1XQ8Gx zz*#y|8xXAhNo|1h{CFZIuVtq;K;8WQJbi${v93PAkL;-r@H@`84~UOSrpVu+to-+w zsSk)CUVVTwk}k=U_rL5{%dQwJ65Ho1&N_>zfuex#i4I1Near6P$W0yuI z70sg$z=VAC0kPuI2kaf}K43;x-DM6iJNkeFs}DFL(Fb4wyZZqAu{`G|N5KdT>6j@YS@@La=jR&S zNJ`d)C62FUU#V!k=#jhXDG8r77!DW7*yZfz8Gbz?WiT04&DnL4PV1M-I+p3FFP2oj z^wf#-Jr%J<-^nYF)VX9o>?`g;6xDW}!@A!iYE&34QzJ9*so|42poD~6g#$CP<00*A0v=FYlWor8Xt$fMFNW1!rmf5 zJI!R+TVM&Q{4KIv5gFVW$yfW>26y5jb_|C*v1wOwxcI9tKILB*TPpujIq4WDPkw$k z8Qzq2kspV_MLNo(hr>m>4tbNx`9pn%9Bys%P4Z3Gz}j*++>N)xJtE<7V+H%z4mbXx zZip5ak)`_=x7}99l~glgEy^giU6^uVaj`%bYr*2~Eo_TBBN(|o?!>urM0nf-%i|uA z@VHpP`fYOYPdhy>b}bhi9yjVD-3~VR$WD(NN!X7OHS&IYT%?EP)8itc77N1TBIQi> z03P=bqW%8m$JaQCuScC4`0Ekz!Azy<{d)u=!$@taht#_l%R|`gWLg$mHmBM>#-xBjOY;>+z+stoy&+9Utg{z zN^=pk{wUX*(%PfguC5tf1l?do=D9Ct30{r&kbUgy{{D{#_~fg+n)qtstBJ2BzMA-# zHSy;wiT`_&`1?yPvqJT7RK#4$e#%h92#mB95qRpv5=6k#4?RS{#+E}spP9bI4!HGl z$RQ#_3Ca;RFE4F51kQe_Ap)h3t%kr>ZL8slLk-V>YsE6^R>Sy1tA`rK9z+&UL*Q$v zn_HZsCqLvc&SmK_!mVvR1g?@R>S08d`h_0GoNFmu*WKM3$TjWIMDC+JMhtIT6yqGC zv~{j*TNC3VuD&(##MHz)LQRYXYG0bjds=$sns6zCYKk36M4@j0IEPf_QIW2_hm8!X=1-+t`A5VhZ9J zL5aqit!_b#Eqgl@F>=_qAV!9cTkgn6=@3LxSbdB@(l0>-zI+^l7}?af9!9#gy!G%; znvEVYm+8WaXe*E4-s%1%o7rn*Df}SG_v%=Xu7RI}$YxAx@YJBDUdFGDG;EAeE zkjstr3~IPIVm_o4DAtE-C+=d4nGIs@t8qeZ;`-bl*!6ICWN-lJGHB8&e<40HYnsrU zBU~?iUG*lYd5E$3OovYA=ceWcb}6omqoHDY1a&Iry!50ICCFnsS@G31l4dOH7MIdy zxv7H-O1?2DniCj-b@LA{!1A!nU*;~a(wb%@CiCEmS9RsO&d^vaFb2x)MGjGLzTAvu zUeOI#wb}iB-f-u7Hu#9k{J4au21ByCSkMse*kEHppQHfi9Ld^5(@vteBR$^UL$Bj% zJNMZnp*i_Fm$IQ$W^7%eo0tn_wW?`VZSv=cOCnp$NkyIVmamobO$l>VW}q(_c7Ncd zcz48<!e>N{W|Ht>7;+YM*20(-wtDlh(Z_d-#z^?m?3mykY3_L-a)H;})P#54#rkzOVyRa&<90MBV8%qunakru$@)v#56(fA}KJzyA+(I}f)ETlDO(@P0QGxwi7}_bU zQP5p!Ij_Lh4?K+9kU!`%cSdpnYdj%WC@mEdvQew;YD#!MXz*6swxSmo3LM; z(2?O2kC8Q@HI&+HWWo^)b`KMm#A8(CaY7rjHf6ylCjJ<-7ztekHyP|L%o*2JjuG=R zVRB*x@N4m$M&aRQtAI;zshlJxsjm%Ptmr38E{E%=g<)Z3E$}N%>50;l2xy|)dvtT zZAKQ!B6Eq1Onp_Ca|mqk0k`OvdXfdMnmv`HojbO7^xd+cuN@;xyhTnRCpn>|+>RSR z^!B}iQrEau=TI-+(fcKaquN5IRvNZU>=fKHs-Lm1f2=GHQlAn#OS~qPpGZ&kmmtXa zl~e%T1-q-uD_X`UE=4f)@PVCfpJXs%Jp&wZ0TV=5dEQ@#+%yy_6Qh53+9d}{cW^b@ z0k@JI#C8VU^Mc*1#XdQFMPvctsObY1?*mgQc!MoXjPC;+3CSq^!hyJc&`R=u(`QYq zEY)KkWv;7~+q&98@ z=TkjhyZ&h*@qLh7Ul{!F=M}$I^S5gLR?Yt>s`=-)X#N(>-=g_jG=GccZ_)g363svV z^_rQjljX;jJVar?UY3T{i=|rk^vepB>%^Md(wdz9{^R!_Xqw0ZB=)RGeV$YY?#~7a zORrQhv@8y)pA) z=*Vp1O*szSu(6I*XogyfFncE~T_(TdAfCc3#)T z^_N3s;$C^W)K?J^;D+R+9?TfgY) z=ERa%zyn1{+`93eEq8G5oS-&cn+!69$NY%Taxg9n&Td0z7TpS&6h-Y#xm0_e;GEh%u+}R@ zI@6qY04WhulMr7i#>PraLB$=_(qay2G2$rpLpzCeB764rkEZybDQvQeEZtked01Kq z&oy+*x%~ZPcvutF&Qw6rCD@~ZDZ+^PpbI-^g2Z*Nm}=fSVTN;P_=Hm0Rq!nz7Rd-b zWc-gY zUeWMgRiE>?Xmp@K!hyAcz%v?btHc9^OKeA?_@ZY#$8<@XM9_D139K9s2;y8dH(Zu} zyg|aBOc_hKC65iwce+G1Pu1dhtLHOY*YPW=@ra8fCZk8ztX1zWVpDo3%%-Q^*zq1z zb@vJ<^y>uV!OUOmtVViBm)PC)x=qlvFV{1ZkXNZKD}K!+*d5{ojcNIu&wc2RAAkLZ zw_}i4&b!jsh%579-G=|bH-}C@lEB~p`}2z}KVLRJC+6drG+f6Z<<|Ik%)Ld|NzQqk zbFmO4T61+ysi&_j7^8|UC@)uZWeRK!5n@LYH1OH&#!LYe@am5hCy5IGfDh|}PsJ+m z@z4%fdY(DiSQfpU)y2dF)-XXoXcnYJBQ0-9kFF|JNW97xCb1It;~mvHovtEx5g%8} zCgDDCZ~NLoOZx9!e}(72vaq4;&lWL-UhA8Z!0CEuV?5)Kf>B?DslW6T{;=DR;utmH?wMB@?Wrjgo!;w`y{EIpSxx*jE}xiBZp zYlkc^*L+I9cje@2rh7`JBK@}df2DIUk>J+vHf%4EHd;)?EnHZ<3vHVO!cHoZfOardTa`^~2 z|5&FU=Gx+PXp&oOdpG7dk{fJj(lx{*<=igDlC`X|{6#nYGqu?ER+pSn031HL&xzSo(D4w#X2QUZ{b{C(Hpoin8$Sb3JmN5#K!?;}P7_)_I)3I`uF5O24Vzc!y?B*PbI^ z?+=VO%&Q)4VM;p|XT0Q;eo;)rIf3yKBQlk@gOenhkLcrqe)W$1`p(uB_t+sf9dm5t zjo`ZMA4eDz}2j?terMcI?QoCu@32Irn+eZI=dLR@)pgl8@9osMlIo6SFE7j;V3{%=E3Vk%1gb3H-n}E* zl`Pc@rgLS7uW+W5?U|rro6T{@zG`JDX|XEj7FynQ>{;$Zlr}myiwgsX@GLIoa39(% z-u>!Bc*!nt=Y^u4J>$v~cq8UxMM!?=gp=-6#Ng#SvAR>QkqG$^9e_)&`u6!@uHl1^ zZQOGMUVF&k8P_V|M9WDsWBwh=ws4#og%dhZMO~GDuvo9a&n#+S*V*LF&(J95Ax+B% zG^Llb6o{GVH~k(?7V}BaheN@Gpbxc~Q%M>Pc=~ga=RvQm&YZzq18zBCY4QY)@EePq zL(42q-$qGAe3A=TQxCi>Qg2H&g%j7D$X+jC8|Z|tPn)T{T3m1=XzL&R?Klqy$_gq|_Qx5Qhs)yymd zPccn_$)?ZL<=Wkojzi+N#UBi+LtH8 zgNMi!GXsk2hJD%3uq(#CaaLrs#|k*Jb)Uet#w0pEp_rPPMl&B4$q79J+&^GWpWa|g zcrN7uZgMeA8N+g>&FcqiB}1yZ&>dFtVN^Zh%H!EaKD!-{u2D=9YCz0uYE}BN-iphv z-ez?=kg;ghL+0Rg0c*oJKCJLUMmo!7>^S|1BU;x zFNzqR9@ln-kGyy7OSolgpVi@OC=oY}!qI|_r<-19t8bqhk-AeWLqEI}=C6n4NawxF zQo@(#EZE9x3T;4CKym9q;#&LtmHj&>DsVWl-Tyb+)siGjXan~?#azG+J_5mc9QLZ; z#`j-XsZ6Svd9&(}8YqyIsZ?MtF9A>4<2t=+###`|Fz2F~Itq@V#sorxZR$JFgRnbI zU#1N`$rg>L=7N?*7!_jeo4sn)jwa#HQ4yEyg2qoz&4KOql$5sl)FLJB38LUwDp@ub@}!;D67O# zbhRj+Kd+S5IJURe8*LfsPW1x9X5gM1{cSjlJa?!qYWFtcU{aq!~>Rt=2%n z{(5H&c&4{&MR43WB{kC?tMZ%4eXRy<7BO_*B9h&@6z)uWbZX$9{S_V`CVt(yVu??Y zv)7Kh^z#jRO2CUN+yoBb72hbVkM-QDN%{349^893k{vV+Y?}n^Y~0bL3>N`cF#$dN z5mveA1A%mV{scxfdb$!ZIDAs}XR0);jx{oMr*M2RmEBnHrB3ajhc)y0tBO7`q-2eB z3ddodm32HJM=QT%hgbGi(P;YGTW={&D0MJT*tYgFT*2N7CC4uW!-icef(*Usgq6Vs zcPAKCh)r^)q%4E&lG&aKJT_9kwSyicYOwHFMP)IcO-Z={1!*VoTfuyNI(rPXfaAJ2>P8lrIh=6G-) zj%*&neV9{65cg9P;EQ|DDd5F@%n#izR4+{jFYeEjb5=wl?s?%p3Rbr~xQA3}FYa43 zkom$sOv@`gux}ur7xiKK-Y@DO`$7HV5$bWkdO?pb2r~jbt{@s9=;I$r0D^vVXu3eZ zC?~EIN^rFRgx1AHi?-qS~oXU)0Al*S!BPXL#7mh}V9j z9+4dVMg0?LqW(uJi24SxXpab}kdFH+O3l9h_n&|KHx>k{vbRK1M69mAV!lz(WCwZ5%@D9;m`r%la-DV30nvCVnrUch9$CRJ{X z3Mh)OTb>xDZd*I3sy%)^SFn$QVbYwMAj%xtFpaUOjT`2{RHf~WnCd+v-i)pLCB(S6 z**A*BuB4}kt#^60*kNq&PduTWzUOH-NytH68=kD^RJzNowYbx5DF6-7DS@h4it>uGb8-;)4fbjp17l;&DjJWC9pGBO zRs@4A^@!He9vUP}JECj2DkbWpCVOeL2tRBwYe6(&XM*vl=pnF&3dy1pZyT(~9rQ$L z4W4KjrU-2mSbm`}up@z*cQA?zuj`9 z${pq>wru&B?>2KmRldeZvu;vlX*)LzirVnB(K6d+B`nH~Yh#Mj z1T(+e+nJ2xiL=|tGfUnoD$7>dXg+gB9rbgo0eppLcHU}tuE))R!yb&JS(6#x=vtCEgo3wDUqIJ1m+!?V6VL6DY2be72Sk8YN{%{ z(yfi8-t9*qDdA6Za6aYuaJ5j zb~s{6pY~ew-9=Mr#W&&K?Xj8fvHG=w1^u27bujgbB{U*Jl)RmIT_ot*op?qO;wrTX z@jgYc%aRj@rT{$WXCL}^2;v%0 z=a{HCAK#C8w%`~s&UMV;praG&RFD6~10_pOfQxvpD1e}$_0DXtx28bOaCPn+jNTPn zG!@^72v(1X4hkzDxg$JXXHK*gM~sCnl%-<=6S&IMjy=fv8BmXqNRWG3Y1gi?Z*xbL zLhcE>v^TM`K)09O+d4Zg`TWVRLd5;zK|5PNJ;@XKTHX}&jc9CwxSeRN#s5cDuo(Wi zxO`O2vvp}h+k7f!M%cxepn~8yyujVIu*$EoS1S{ z$mtf2o|7pQ{LTeH1?(B1sUCf&*<)izaDKOIMNoMg*9j23-@h?A4`Lw6f{gw$c}Yku zhd!)n`bvUwjJ2Bs2$&eQ#t(CsP5RkgUl@}Bo_U8M$*I{5udw~tdiU7Zil`VTuTB(N z*i{s#M?~;9Me0VWg>8cj=jeHl9hBd+fsU4<2KJDaTZMLNT7XQS=;VG>7J8od)G`U& z!8`e6Z4Ndhq;m}s(9G=3WiNT)-Q%SjMk+)5tiWVPJD!%Itp^WQm5k#N$$9h*o%+KA zy&BbWdpIG&PRWPfQ)+%^*;Zkm?@Z&sG-od8ny9+UET<4CiFJ~0jYAY#OuRL5sd*^h z4&ryJoIUu=L5wZKf0^yFdVePEZ5+C}_g3pLonB7H14fdQ4S+UPowx z!4w+JMmQGDHOmejt+BSvh{t8~oqXa|ee`=a!n?;c8%0=}@9PBMl%MFXxFz0jhsH?P zu6eKb%Z@k9gGYK$(#*vkua?SB6tb|!FI-}nN_jCk;!r)Jk7M%H%hvlpI+r~&M}}#W zVof)SIG(n!zn&n+{npoy955|g1LV`qS5diKsvwFZ`mv=Z_-jX;vZ7cEvuDwra7vW9 zQQ$$he{#*9(n1*7!k!vDD-=N3mCWQ6R}1wnt^!4eU5v~hPhS`nTozV?x9an6uoh`? z(<;VFD4v>lwfrY9gT#g1@b#Qk$7P2uWkY>DQQN^2JYhbe&u<9(WoGVhhe|;*(GPJ$ zy9CTS1LVU&@xof#wPGfI@&spy`uWDXEEJF@g3B#2s6w*6kI>Ksl;x>K)57=Q_C}#y}UMd1QV5UtvI=l z6ClLG?wvcVonon6Fj*_hc!fP#YYIC!BPrA_gzt#OkJAW3uE!bO0{7>etVQ>9Gg@wQ}~A2h)<>hO6rA|+t}Muo#lyZ&TMDrH&S9{=Z>~5vQ@pp%?29X)BTKach7d0JJ13a zr<*FzvdZT~pm)qnH%LS4a<0<}rSIs?X)djpum?^avE@H352mhC18`sa zt~TD08LjHtU5r)VH9zrLGdI{Wpwpoh+)2#3MjWbdQ@x;fEa_#cJcxwLEA&Zzik@4V z#Qg5UIt~k)LSjo29_;p9lk|;Es?C=6Ua_(vUGfmI`v7(`7e_+Rm`bw6bE{&)(tSh=6R}PoF}S{&W8XLx8SS+K&TQQ$u&R+n$0rnLX3}Wp!yuf=Y$KoDj)&VQP7(yC=J!5n394}ZzEN{3h{dmJXPE>~_lAmaqrrOLM zHH&5~j<#kR_CxD9kqx(HBd!#&A{#Y!-N1FaKdQ$mV)S-nN1G$rvjRbi@j4+igZLZ! zN)f{Y=Gs>H$a~kmgj-hjSslIxiMVJKP9H2h-RX6<`t`FAu{gCd^u4F0d@M&@-nT3z ze0NTZtvqvT17iOZcQ;5}Yrp?x_0E|KDV*5ehvakzTFM!((`!y)o9#5=+=l9+Xc$?S zn9qnK%MQCi;%>Nhi@ z?8BE`;a&mvSS_DE>dL!%#mu>A&J*xjGDq@SASEPuT>nWOhATu``=8WDmw&`t=Q43e@ElD^eSjE5A`pkA2^&W#8A4c6jg8oa)%o5XZD$ zXY-Dhec`s=D#xEYeE^kL_MjtI+<#J{Id`rM#PH$0F3t^QJ6Y2}UV=>Di0U zh!L+9$c3xr30ux(=9U(dh%K9$qNa>^Ws|)WzzZ|vCwJJwKn)YmEGpaaY+15HrAwE{ z8qe~gOYUq%s{>eX=x2`{v#MWRnUJSP9QkRv^^Fl3k*K!tq{q`psQc?@C{(s8lG1K( z;a`?V<`o^`{*&RBJq#)xDSN<~M_BeVr@u$`OC!J|dkhafUZUPP8a%SUMx1K}czEVZ z_TX#Y@{&FKl=jHJp?u6o^?;!(+^TQPJ&))C*Ex^qZ+wgXwxsBJz=J>!^3 zK%xh3YrjQ*TW-<+V30&lf~~$`sO2g3h^*S*qNgWEe?)(K+M@pv3W>h4Q;c`7+BnnRhJROflIIKDi?9Fw z^M?&Q1j1AGLEQ2EU$QJk46`Yo{4LAjutp2S*&4Vqy<(AXz@5WgVk!j9$=)9;*tF|} zwuIeOaf-ESG1e`gc{XK>2dfRnC_&x;H=PJI#K|3QyBB_!R=CnK2?@@eFv=XcVTPYy zn>Wl$cGY+_%dU3?rG<<8lQVJgh>tAFUCLna_UdUlnLx&2ioz4xRT-CX%N}#M@r6Z{ zS1art!zvro8&>t8Qo`P1+WfdiFKYka7sIZegGZlfxjZyL-sd704SX?RDC^I zZ_f3Xp+#sxX(j{vaGhVxzOk+gO^zZY)Yw|lld+{F8<>{BY1XsU8S@-0^LWSZ#*yFj z9gr!<$y){a5-cK9D~3qy6!_qQUT)_OTbR*0?b&RCm_MPl8)(m3twXQKW4umAiD*BTx_ z@D-Bw*UN%LhZiv+Opio=uz1&}WmLv}dVY+SqDH~j^C?|BWO{xKikHkmO<=tS?n*nz z{!6eGdweJ#(ZX);Tb8cKLkwFx#xxX@hGMBJWa44pVoPscvBP+ZNyD9gKI`w_-1BXp zA<dnfWR`HN`kov@Pt3XR-|r-hV;UXcT+$% zMdR!hj2Q4NUQt*OftNBr9&@f8d$~3oss#A(S(LWEd0~6jJ8YREtxo7Js&V{{SFGS^XZZ9HC0%+;t8+Mg>Jr)^Qzo?$%3E9=Tu zB-yDX6U-D)QGx#C5+?y3+M<2%>#~e2XQYQ;d6adpZUQR|C4lR|L410axJ|!dv&_Hi2+2(z ziN1Wah8Oge$ZkE+SG2ORlW$4~-xqN1#m_bAoqI6>-NL<$LVS{YMH@pp)yf`N?<}}i zBG)bdjaX*~_loTMR_>L^po+Z`nEPR@pIh&NwUGLShrL+CNbJQSu7bU6El|N;K7P4| zy&}h@#NMJEVqfH8uiisX?8Paa5A5ZwJ!)XD=&=%W_3lwO5__?0w}CgJ&4RtiuU)AZ z3FwHb)QbeAk<<&_dZAtx`_=pl>SeS&l+-JFua(q`SJ0_aFDB&`)Qd$@pw@@!qawYYO#B&SkCV<#I}uzZBK05ws&mXwr$(o z!H&&|?TKbGNxnSidC&Rt^^fY+tE%p`dNr!AtE$)Cn7lnLRZF-{r((EqxLXn8Bm1*U z^RYzh*Z?%x0$^JG8RpX@CUZ50byK}gm$U`Ov@jy z(GC@Xx0-ovXtVTfRF}W!(7ZDFvbUfAxEoeAv_n9-%h;-sJ z)+o`hA-d5}&(Sv@{UGJm6L z#-HpUyzI190VkbkNq?*n#kvy8K}%~{dSLOAc&y%au6HWX+-T3e47R<-&>C*Hk#0sw z9I=INC7ZxOQ|e(SMwc}3WUmX8oe8O9Q8O?Xxnq$gt3dZ0kEd43G2fAeZijt_^}vel z9yAs2T&is?cykYeJLjE+Z3#B;N3_t0++Hov7FM}6)+j2_Uik)^@(&oPb%i=H(ddSX zz|Tx$R4z#DO1lY)*4~HiQ_jSEyuq+Yll8QqTv7688Llk^b{lI{6=<7}g5Z9c)rDAS z%%^xb)6u7lBX%s-SYa5H!tyNT^agshwV?w3*oWx~BlDhXOceM~Z_CtN_%29_2}1CTc@Zsy#D|k!uh|m!JGSTjxobIzkr&K@wkp+F*w0oo~t6L9) zBR45;Y;ryd0(3uDDYUG2iwFu^vxdCQ&%M8=jOis?Ho4^NK{64c7(IRMQc@zk8)Q)q zQ^&hxq}D*GIpOjp?lD)CA^2DhO9Mu{M;i7mD9bvN7cedL^5y=P))Z0Wx z1QLE|)m;O6=%9WJt@z!MiEt^$*_tvgsfody?}-k1BhHEV6>+Wv5O{S?1Prxme@hxf z-hhq))73_R>|rd4y@;LNZ7tE(z)+dL2Gyc&*bC19Det#6pY~grczk)Szj1aMV>^$6$Aj)I z_BdF{#^)9XT&MkqXATC>_00V5yDCf9R+=)l15T0!q%p?tlMI=fcR-0m?Xs)cZ(2Z{73|Hg1RZQ*H!LakCYHiN z6B!#q5_j%@?_F`O0+?%DqaEt8lSu+y7bDSv#V0u*Kuq<_h&Qi84i&Hs&T|OG_rb zi2G+`w>jM$XtKTy1biHDy~bladR=(nP&9qM@ckM{x@A7I(hRha_PN0Wf1-wxlp{JolVqJTYiebBr9MIHTj4?x$sXVHb!vz*We7R(@NI<-BsrF z6x+#neD3LqThJ7|8?pcw@|{93h7-e$+oF}L@1RAjK4k>IX%@*BYvZNvl|D&0EuWJ4 zrNmgMV>cw8^a(y8zbQQFF;-MBEZ;fuUBmn2FvIqd9-t@V&A6%kDo!MDDezconY;L> z&~iMgpwx1~l#=0cr{o)@fa%%|m7`crSbmaW&oCR>ytL*%F02gsK5q9D_#8cF{U7W* z&{;n9zV@R5-9BzZp=y|=+!Wm&ZcHioT&M3xprzeE6KYvn-b8`4+3i>hnhe-ZLIDg}LIFlTl0U5d5mc7Kn7*wtY4Sk@K~4 zt!d?hR_w+x^UzE|)rXc+cx9%4^g&)04=rcza6$nq+E3sXGy(-2|HO$H5`JX(`4f6+ zX_N0+o*gO#Y9jWzy(JW&W_c%!&&`MBn`3%7k6BuTEd|e^@pwQA#+0VL(kH(#zQ*Ea zFCpJ)TN3cyX}4*t4W2eEfe9vXV$A1j#)WfvMQf4*#t|BVf80v#sh2PmoO)rB*~Z<` zTFGSM&P;1+VUoQpqe?B~Ye4qB&})Rjbf9Z+Y_@Ig8>qKssY`(B9^p6lGIh@h_!sL? za4bW0jgwk6JW(HW|1;?ODdCd7^}lyvmbO*S)uHehz=P^hx(txK33j~POCMre>GVh0 z`1v+_sIO#@0kI~Uk@Wp@^&|Vv1JiKeV(~RrEYTHB8=i!pC=~x8B4yV@b7~=T0h8% zKXXSwyA5Z>Z)hx;{(UZ>xTxrVIQ*|f>xv#L&2LxZla!fn+tlZwTZ)e;}LCY7tnVtZxMPE8L>)JXNaURu<^WQjym zX_-srm8-u|EofIO_@-9p{j7p}b55r<6n3LizY%Tcq*lKvHY}=C?~mw8qs39mvaAAk zV4qWI)8m#&iz?E-)2r?yVOe>gWmN|jb28VqlYrWg z6xXCQVIV@x={fM;+th)}`P4Xca+ClcQa*k)?<{0;_E7oJ3Gp1mY*%v8Xv_sANzid% z&y_cXy$5E9C~F_Iu!&bMX06;-AV+_ZmB6zYPO{Sv$HVB#y{{}jvNUqP*i;>bcRX(4 zvOV98XCYhH$eo$4+ZTT7|Mp={Uh+c%goih5Htd8z6}uQd)wdbAlYW+qV*FTd zPC>Sj6e|7)okv*HCkFOO&J*lU5!XH(2Izt;r@Q8a45xR>{lA{)tFj&b$~%8O7XuAd z+S}b_59a;#a1Q#v{w`cspUzatu=4Vuk!?dbEb6LC7voo05%KAYN*}^wnip+N-VlRV zjbvPS5Z^>&;1+hnX7J?6u)sYk)B36JF*fycyFkQ6-K*C_A|$Pq8Sal`N^MVc#7zp>#2eWrgjogIra<`HXmb#^L&q1lZGQBzV{I25Rwjnd z6pzmq1>JqQ_M~0$v13<^jlJBI25W@_*l9PY%WcOEen`3~#aBrsN468;aIzgXBO)VR zY5yDRHnhWVkJEnArWb4maS{FTaEtrRuzBdLI~=x3A=3_8ui0h2u8|(DYDZuXg{~IulYU6bs zk@X#jUXpH^j%_#-qj7v~7_jaC){NL3lB3yT#Axxf0Kyx>?YsQ```qTUSGtYwfz!rI z^z(Ja{eJiE{-whn-V^D}ZP7^ZS8yLIpW4qFcr;M=q^&^K+vK|LGzR2-n{R8t1MHIh z0xGOCX!{C2k8R71Kl9DRNg>XI&e+H0afdd`9@NOziq;$_ZIOfPD3-J{#lKwKqt4oc z$^K|E*4}N6Qaj3so(Fn=e%bm(-=h@s@!sy&{;L{0#Dt1@yD*bq9ihX_J&qaM8|ZG& zY%36jlj}nK>uO`6>pG-{@?!)frsZCs!!XgT=;shm|IK$pk2T|X4fwbIy}8ZAiHS-MdsfVD_)W% zrJo$9TaAVdTxMzgpc8~@0%l$RZ@PMfvZ_G)=hjAgfCM{zs<+W4DGZ^)EDQpCj}v_c zYTrqubUf9JR!NesYmFs_0dxC8kw!c;pBWOj_+nu>x=qAYr>aA*0dvO)NmMo7cWSaQ zh(}5r-&=!kJJ3;s@e+>vrfvvSzSw1af%-Vmtl-zk+$`_j*ykscaFNP}A4T?aTi(4XATfk||1C?7u zw@qInHkq8BEzr%WR+uA!slx7yE|1ies)k#l>)C!jNu?y((Z%LBY6_W?KAO*2lJucg zuqg!Zyw4G6xp5zqg{vAZF=fRa=9=Iam%Lz?q^4qOm>YEFJ=h!DEvQRCQw)w9Jx#Dw z5X2}D517sNPNdp$U*}e2b;g`|jCps?a!wQ=Q&V&CN9R!F$}>iV$?K#Ojd~<}Uw5dG z@=O`yZYSzAk6p;|9PT*8lK4pvW+V2MEJt``|IF)HLNTNYZ_PbCsC&tMO{Zs1hnoSZ zTuN$W8P7(vNYFDWjDkqv2@PD+2bpDIo@z0b3CWH2Ju!S^uyLn|`*d~v9^`8wG&zX6 z$@0cIJiEzpmkIX7(Mt>D$UXmIO~444&jYn&U@1J&S`XoopCG_9qQbOJCmf${UB6+o z;ThoWATOnu#JKjnpV+J4R`(BFtkyX6{a^9!xLlV|(Hxe{ZchhbH7uapXjz!UZ?mSt ziWwtM%@&Z9m1=zLL5q#8HE>6P>RPSEKPixB;i$%sSm$^}V-a@8q2QXp;Z%j*2(g1` za`=8=(pl1Ox|c{OHf(AkhpYXrB$PvFhe#YghSd2|i6=fnHGM26)le{~-KZ>y-HveU ztwq7+qt%L5JV z=R4hWn{7sqdjZGLqAS~~sN(N+-0)eJBL40k7^!$mU=ZaJ0Ap?Te?Lz2r|~$P_`j7< z-i%!+Y_%Pmg=f6=ut^pLnC;0hmwVF8CCGt%19UElkRq{XcY;E+rzgGG>LI*29l`%j zShyqN-u|`;$strn?4Sv^BE&{s$kK~U-#{wb^Rs@Vv8PI(ag%JC$$0E9eWC1QI+s6x ze`TV(1%8Iz=}gy*?b3H&*0Z!c>U+eUmoV&e&~Kdd+~G0)S(e(*`zz>v%%OLwH_VId z#a*_Rsbh{G^z2L1Fw}opZ=5gdPW9kHzmeyT53KK&i1n4|yuiEZ6?+M+IAI*7#6F>r z1t8k)%LDcU7nAiy+Rl@=qn3zzjyA((Ws+p;jjK#)XhoJ8vtvjewk5O@z36AgSoA2? zBC~a%COOud6*`abi0FHc$Z%EqQ~>sfD_s<8ceULVYfhFslxsM~I?1+~tZ0mt{*SG) zHvwPS$0(%T@y~8){zBmY3#9NwA~eor@vh}?^#hwQOWMi4$0)*XGXD`xAN)#@?Ekxn ziS8jW2GECgG1+MVzsWeou@2ZtwZ%DnIM7p+kOKqMV=La~xFE~T_R$~deTpW{boqxL zrMT?GaB`h`4^w_k8t5j9PIg`7@^GHwIaJvzs28{cn(HG}j^A*u{i%1e>R{JtSG^65vvR3sx?f>q4kQgck3G;@b)QYRa?O*OiuGqy@U*o1 zVi9D+PyIlHeNO&Xr%1;;#l{sZQGg%P@OpnFf&C-Tv;Ue!&^0~taPkopZO@R4C|H=H ze~mb#XnX_9`i8j=RfMjL6|OtF#$;gVWWC*p;5G~G|1H^_FMjaFfXZVzb|OCAR9wPp zC!$lVwG}<%VY5&ry*eU@oSFpzcZ8A)s$J2SsZRW?q{z>NG$X8!ELcvfzNygdh2(P; zJctzl%l{2?+cBI|7?s9qvN4g*RLAbhevYse;g)bjAVi-^fdD*zx;b76vS}qeSQbvf zTHA>VASX%nT8Hi)8yu|q;O0~%US&67b8&1Ql$=-MLeG#E%(JGBZ}ys=*qMKBnl@OX z{CT1-&n8T8Vb^4W1W^yqVsve~0)QlRPw4BB$^~@yUBJCsr zp9C@W&x(M7(Vt)m{Wts=n7_){JO<;O>r+)0HP8VBYGUf5eC&>6QnKbFh^~=scokzX zsz~<7<_D?Xmlp%h$lxkNmcBqLu_w6D#C)IqTe35z?{VsCMXgyZo z1aNB=R&Dw)(Fki2H;F;>VUzz;iP2jw1Rre&q&&D<2`5+=J$tM6{-GdxC^G`5epUJ1 zg?)5*>uUdJH{}I`vSXCD?DD)?L5GOnHr$g&Wrs)~=0%;-=O^6LT!Tt#_UJaV;2&Q4 z`l@OUk*dil^Iq+AM(3ErsP5bJLlriwm25X|hVCNTRrr4L{@uPozvPf;rw}Zd66;xr za=9h|e^F@{=X|n69A*tYkhdwtGDA|tf>A3GD@FIn?vz}C>!k&CeTTU#>Odkc<4birspd>RMJ=6Nlfo>my3kA&Q$QMuib_j!LdUnz7fBn@zx1r#xzG;-}=OK!h5Jm4ibFaO|*m1}rWE%-{s)}}qIC0my z#A7ug@Cm$oXKC^WF?7l1siDgPddkZGH5V(d_8hry^Q4h~g7v(9SZ(5Y)=GXGCGAoSk#+cIB%NGWZBuoVj53ct3r@bYp0)$B&B2p5o9EKe zFXld)lW+j0Zlo;3E!@7K(iwUPWltF%sw?}|J$~*~Z?Qa4NB*iY&9CO8_p5R6uxYRB zCPc12XpR0b83_*-sTR?Y#5hXaS%~N>_yzxqmgh(4le3_?M{0uI1|s8n^V_Jsy;e9a zH4@V`We<7UmnH7j3}Et(@l9@u)BD@IEG$AQv_kp0-WKu*3P?lA=k!ox?Ap&+$lb|$m~@B+9T_r5@YWnmKij@BRcLA%9fUeel@eg#L6l(GrHrCI!Nny&R6jmNOKUo9ef*0~Le~6ug!Z%b`q2L?Id7&fJ$3GptL4u$6iiJW04-@4Ub9!b7AV0Nu zFDj<=Sz0ZjDbm`<8F0ptR^Rc2lztt!bE{i#H(Yg;o_uD`>KH95ebzL12@^cy@ULH)anr}d8Y`mwyd}4B^NEX(e>u$P6JXf+y^sGnAV=9KL^@`&Y?$nmMAWq;~ z*!Y$nzIXjW`)b)2H$Q_^cLqlQz>r}0bO7MJj_f)a1Y%>?e&_po?q*k zOWu@)D}_TE-h&oB2<~$Mbwd@z{l4q0TnKy4-3VLDNVQxM z@HuNFD7Lbt=rVOjsxzwril;*;p$`#!CY;sdY84d8ZWq4W`63&&$fqoXA|Fpf%t1>& zDd<@a)F#twvB%M7NP_q8sHO5%)aX%0=icNHp)Zgtm8Z@Vj-t-;62V$$Z6u2G)&})& zX124n(j5NEbDr>5tmzoiE3MouQ5BKUp|G*D;sk_yB)I(j`eIEd0LBw^uFI<$~(tu5Wq6TBp{xiu!X9k3wl*eV8KCUh|u9M}|X1y>faha^~8*MrH4FnYU8VL3s}0^1`g0!L zcBFGwkq0|U;q+ex{LTSR3L^e$apXC$3XMVRO=*dP7?ytcN^ba(tNFdJh{#Q>xG+A| zHjun!$PTAt3*a)9rv4Dk>Sm<6XjNF6h$t!@jALX}Q=C~gSRT>42Qn*5&UhWfk|&3a zOfis{m_OpsZ}^I$ndcKX$w|k&QC@#Ox%6o!8(7H}WS1qF(+q@@}%5N{xF9!F!bi2Q_?ge-8cYQ|Qx)5&<`}X|0_WXKZ zdS3ir61HvyKV~j>5~dM;u~I=qIN@Dzt)k~T3Bl~e#zO;HoQyb&vbCSgax^5{8&Im9 z<`IY4hkttSGjR;XOH7cpo>uS}s7nX$7(D7Zcrz;KH<*rRbu`L>tqA>@!r*$5-yL=x z43Ip!RvE(0)SvdI+Ai2JhQAwiwfx1a*NVzrN}9HpY!(_%kuNiA0ag`S_40jpf~X5T z|CAJl^Jra+5HX-0kB_$Q>GyLbIE9!CY3vfoTV_*BcNM`@a-?XkJSo1%zJ-N7WlYbb zb!h7K{cyUyK=Ezk=CcG5y(QDX;6h+`5-$<`%@f80kR&k(nzp4^82&Okgp+;QA}KPgyo6#S^WJ^@mG5!^e!%~3+7 z(r?i5tJlK_i;C?XLg;?}dw&2@GIu-SeKaw8yfs9!l~GOq+r;a~paLa;ZE?{lg&HIF1L^j%sIQp$X#z811aY?rT(-{!qP z4|IBVJh9%#KH-P|nKd~V+HM%U%RO$m^FV)Zw1`?5ERW6i;h_39K(0pDy&@W!`(Pf6 z6eS?F#er4E#Po;$ezQ_dX(oW}lC_`1JqAIj8jUL?*N^M8b13$Y%tzLH8)er4UGuxV z>KzSQ5_k@a!XH-th*5_*_c-mxW6Ae1?%|+!}aSSyIfur-y4aI%S8~qO4rXY!?-sM0&x))bGbJGo;_Eov6^%Eh3ftJ ziZ~PjP1g_HOgN|J=tB42M#|}Mm%*xIJCR#hrJ?8iQ&<#Kwbb7LQJY4im4YRyjXrJO zVz7;~UHs=~v5UOV%=G(^3Db(f0{x}>ZO}6wrY1ll6)w}<##G2y93$w_BRp$1s8E$H zW4R+ItvT4-^4B8UZVJUSE~emt-cbDp=r#{onb-8^MN|WC3wk*%W3Kf@174CN6lA_{ zqJjo4t~$-}`YV*aA_M;@)(;u4v#)J(NI@Fgo1&-jzweJSYcf$)IhyBjVE#Bt~WwmC-oNjDt^Y!H##*iF@MmECRVVVr3#8qK~tXEGsAjhhdWH}rGx5}19 z2=drmo8c7~k>NoSD{Rhh%108R<2|LKA?YD⋙a(f>pnY8SLe>7Pk~LZJ1U~*Y+jg zoo{o;>mkGRVQrh{FWlg^`8DU`{A|PN(uf}`dR0d`*GiVquC4JkDb{Q9uxt;_dak|c zC0F2uHYb-^uCOCLR)9C8r_=ZEHO=d%gln`PD!}ofi)7&HEak^~bf>Cv|FaF_@gpfg zlkzQ#qE)m<%(Jrr!vghADwlqS<%xt7Y-U{gs(gwK91~gnf1AgGUF@m{j^eCjqkP9hg1fS-n*X&F=Zp;P)Nl0D`yvG;+6Zj z%rwiwj6>NY&~}urRP#y+mFz37fmJnhWyX^jy>6*2X#(ULPk2YLK6^@Y*^m3Cvb|06 zA{!f&NpJ&QoWnm^x0$r4Y%dyMF}z4ZRT|oJ7r`KBWufX2F>31ZH(bsotgK=KC;yr@ zDe4Rw1<_Z>zP>|Ard7;t@2(-h5(#MM#O4Pl(HZ(u)4#aCD{xNa2)Q^V$yx@Qs{E z9YY5{Fe~^!*+FQ0FS6v3L8Y$YI2r{&F^WEI&9i;eeOOIGi06(E2Iq56Erg4c!ulyt z_7|d-$NmXExIOmJw>o>Hk1qYJ>Vh!6One-iJocwokwXMpM>*C^wV9hh^Cv+p12ok!kC7uSo-i_ij6p z4@t}C3+Z0Ek?HF62{FAJboQ6A?mTil^_5gq%yAn;=dBCeEym$kEPlv{8kau7 z;h;7OqN6Hu|SzCZu(oZ!Q0nfDSSC1fWozj-~TySyh8gW2QRmHb_x^Wh3Rogl;4()V$&IDv?W-)Dy{ zbTFp~$_U{xHU8-#l2vO|0W|}8HKekd}DIKbJ8WGa&3TY<=ue% zU5M#=z&Ar0VD|NBzwnCd6`B>WdByXJ=-igduM{`@x(N&^C0p{wgi0*<4nFE)<=w)U zQM^!Un|==C!83_0V&EOQyju8e-9%DgbIqXm*;7h;N;;qKO($A;Q6n{_w`{H~8-Z^0 zsHuvQVYeYMS#NE+lt%ihyL-!w5IKpgqX&|jc&U7C@#dcYgf5jrwcIPqjdC(|QCg`z z(^362IJxyP#fhDABOZl=Qd(CfMFq`bO`MuCYc|WkBIM;TT99a;awEeI1P*djtQLW zNdRuqTATOr>Zo3Zw$?aJ_Gg$$*1gvQBT?7_Ow_V+98-fng=10e#|H@{I zqgk$q?5n9U^1>`(2q!ZuN-*lekL;^=^$ua)li~8x3T-oZaYuu`vXOmORQwaA!gEzmLHJ9-omVP zF(gi{%bdbS&#hpKRZmlpDBR^oFgA(}9|nY|KOgImjMmPC?OJ7@aeg|DSnhn=M|=Z= z`Hc1c29N_g;zl4*XO;Libm6rPF?6H)5L}`zPXs&UlHiL95zl_RFUe8x?L4_>2zk>a z<#)($Rpdae&@-JPN1|8spj?6h?%F*VV&QI{#nSyz)LMcEcTe&{ zVSc|^ao3w*yh5|DpBhGx<@?a{w0|D5ze^+fA&c1<)ICiEbm}38?M~{J zh0+Zm5rFlZBu<{Gk7&x7sVE{fERU5(FdQ6ZhM3lMv#b$S5dpW&c~2OjSu71-;&`$ZdzgXODjS)H8{-I| z`!iep%)=TQFVpy%b5j!sN5agIkOU@XXtoTj%pQ8+_A$xHtMg{+A&=-i7Gar?i|Qd|N2yowF!q-1eF*3`eW9T$kleQB|+EB!BwHmYU!efZ`2ZncuK^!l}B z1Q)=wPB&Bw#d4cw_2bs9S2MUo&C&f!1sdzYeU@P+ej_f?JP2C8_G}o%UGUv_pcyFE zEG`?L@}aD+AGhCdswYC`lx4p)IA_2ql@dLyAWLf;e7WA!EvzB{iiWE9GN*!o2tDDs z5@UfIEpU>YF6CVX=;f-(k*89anf+-43Yqd3BZiKq#2UBv$BtQTJ;KpU0X<8AR)3j! z6~tj$)hWV-aNJXZaSHBi;#Sf(Jkf^imFq)NQUl+cM1rxD5RQ_wIj@}9f*E&7AlcZe zN`l7bLteOn%8GC)DzNol=P`4f?k4m~F}t=Sr3>rGIGx{&NaA(7^{&i4?(mn@M>^aG z=7(m`UE03^dK-Xdv(Vfp0S4IFX$SwG<0`h%$~<1tYwMc!5d>#0D~7(Sr_p;rgbxr`wy{ zPC)Bi3END%+)Coj1sVEKyl)1=Ad_qj% z2&-mbSAF{DE)6H?p7?W|-R85|MxN}#SH`w! zSIoWWRN_#PCzL-IYPs#}sD;mMYh40FHkr|>r?dU(CaJBMm^6;(E;Ldja01?TQ_3+f zbj#FhPdc_H7(MkjoL-ZnrJf`^;He4LOSaZ8oKM_p!)&1#=Ab3i91YP2PIbq6=TLv?2?g2mqT+oMjChSaZHy8*qK-=OU(uvBZi+U3 z#byRb8To{%m?Z%&ohCg57*Xt$pOxaq<%#j-;`^TAqm*#4oO(0IrXQ)W$x~y zGF#>R`3y;S=k68DwPN-R#}l2@8rexkd;_$ZS4B{F+nFwNrMS;(?w%x*RGHiT_xSY9 z6KGIAry%dKN!J}1Ftu3t_JQ5Aj}!orz+bBf3Sq+ z2@9bFZEOWius|jqGDqHBx{(a80OgZ@Skp$c+b#!_`9hqROQi&(i#O_=N02o=mBZLP zR7a3`j@vs#6Ubul!~==#7><=f-&MM4f|;wiSfZI1rprUkx4Nl^Kb?qbnN2meFoLwz z_;SgdC^$??VSblgfZcT&Iq zwI(Su;l*SUJN*LuX>nv9;P)@C>wD0c9zt1az^t2O$J1pxbV_w#-gdjc-{9;e-mD7k zhrM9;@xEynL0%Ldv7#bT-8Gg4a1~Dwx-B6f|1D!j`lSHY>)^Js*gYVkq`_hCK^FDm z1}qXc`4jQmcPc7$I7B#e2QwE}fVr_f;+N!TVuQ%aO2SO?CGhhzi8?yCnmf3z)Ng_X8v;U;1IuM=M~4+-o4_EZ8mnyQ++ z>M|*bNieCId%Auhd__v+zdOoY}3zLMkovS&3Ny6?6N6g&R(ac;>@c&&!IH@Q-&FN+{ zF4XN8&0I=)UkS>pM=fwpBrFJ&ZDJ?az@`!=2o`w%0ucSV>kEkfZ@Gdrt-5(%|G!rc zKK~Ezx7!&@0n4l%VA9>dA8Yi_zex%;|1Qt^@^%v5POqm61h?+r0~`W+-aihXj}zS2 z@9*bwX4sxLxovJv?@YCL-(Lsgg?tHt?{tFxeV=d7`n)No@3RB7v0lFaZl80A{XKj? zGl)O!C<9Ih-d~?MSDg>+x|2Nz5h!P-SU6kvzPD4Yw6yv z@WhWBm;@%mx&H%f18PpC{#5#(c>98PEXitTR%#S_W0CPU{8h$77P#ryzLsktf-K0n z^rqwoYz-st`KD`k;>LRl$HC0mi3qqf zC<+AQNK6aPTF;iK{K%#9i?!^$t$DEXH5YPi$XCoWh;tcuztjK-UXH#1coqKjV#xrr zmVcWU?IV#W%2Pv6{XIcHP1BnT9{_h@qSt*GhJ=vGw=RGqQ}*nP)sM>&Zs++uyl&@t zOg)?keo=xxa~@pc-rvP;57j>Fad)*Y9|Q+B#ncDp!q@NBhG6X8zG{Ux#~QkV=&2+0 zmC8@D%djYX+a%H=zx*JnhI-NB zxvhi#x4FhUO!JnroeDGOZ>~RpzkUI!PGQl#V9fftNwY(x0N+Nb=hFLBCDc3wo5D6> z-0Ev+5a#CGP=)PHsII>q)w?tn6st{%o%H9MQ6N(5dOmRDzBEeU zZRWmm7ouU0jp4}n6c*gWqzHkM>B`vOm#S=pBQqbAB(BUnhN z4pO3unJNHa6o(s9PVBBIgE;jqF>XcV=J-TK{7QF8CmAYOl^K(^n|j6Qm&Wu*i5qDR z8ob}Dz4-p^^q^kGC*tU2p`EF5P43JQarGP95rw1W8*-^6?p(+Uovvr6&g`nDz)G7Y zaH|AVkkZWROHs$Ln`f=jS~zKNb`>uNE*Y%cwYYhWgPwXNHc9Wv3cb_af+R-q>lG-p zdYOix9%K?i3<=1H5v^D$zZnjTe_sJzVv$~v3BpMb$@`ZeA5;%j%U)r`1w)T=1G_>r zc1KS{idCEG1rk+_ru~=~g%^ZZL^3B!9}uM;)rN6Ztm0J8N?%^6(}!Cb^Nmzk|LDDg zJC+?!$>34!t>;1Z+KMKrA49YviSszuukGhCI;75fGRKJ%JnWU*&1Q?U~k)euPxdPD5+Rd>1Ick_Fs!@9Xqa?;3S#kCAu64}BF zh%?p-ex-fU_++#)Hm`_^D6g&!B5#zAuF%q7Doe+Wgfn{je?ok%9CZSQAx!1Gk4>nS5^CA-%kOSR}o+apVj)D|15PGq{GG&TE{eSHBruy5WP zUyJIUiR~5-&m>!vGWtd1zUjx{+RupvqZ`fG1!Wc-dwBDU;M2&?D7i+zeh>6iRRla~ zyPFrO2>UVJviY%#=}o}6>wb&fNI1LjQtYQxNC9uZomy_hIuR%MJ=CIoKp>x)2}M%n zP=u?*$w*~C#u@&FgvqJVxb7tOpnjLY5bYscvwHRXN@#i zLXz!{x*J>$z1GZ-I=nSAGfEgL>}qHhx-lxqvzI4Z1_K>K$MRuyW1cmm9eKo3l21Ep zRnL-(!cb(35T(XN^V;J3Qc_n_hMd{&Q1yQ6S|}LJP9S%5T^5stM}V(sw-a{tH<%F0 z=g|T@ZZtRn?7@;a2|2rov({G09vTFL1KTJs#-QW%Nu#+W#>3nmUI{1y=NR`2VM+%E zrpCIHV;w1a8DwM2*}Q6FUc^+K3t~1OVbYu?+^j59Fsy%zc)D(B@?})7#Yl$a8pa~y zbALgz)bZh7cywc0@jw2Z)M0~e*Q>@7yJw6s3A(@c?f02I z&*%CSgaTnEMeZk?BkWk7je@gVv9+?^pkC46qn@A95>toOuwFNH4u+NrX;uhg7DS2JUJZ4FQ!=lBc)ebQc2MNHD8&lAU*=ZLr9LKOK^odoG zwx;#rM4v#;piEH293&=k~In7T4d(96^j=8kE-;c|UNHFBx z1aT))i8L!RG@WVviqSTnAS(zQ^QG&+$ZmOGP3YRn9>-Se;LfZa;m3baHKIM7;G{6% z`5ai~3rIC#>+21q&h`?y82O+#r_s zOY)Ql>!V(1!$DsKzeXPw8P-@x%Hp`|J_me0Y;SKH~Z(B*Ds?Z*Ur^lPA zHS0G5(UH%bzTlJ&YKvu-*Aqou;@#P#9;d$C4MYBa09Zh$zrItmlNvIn_ZB^WUv;>| z;L93ZFC$@s=ql-KBR4`E`x-Bz{R&4CRs|F5vY@o)t*}RHNV&G-zCXLKrAk0PuNwFVDX{ayN*jf)S}==oTXIFS>uOG1o!Bcu0f7Tu`jk*aK+^uSuMK&?$uGwyOr`^~GOLEMc{C@v%DnUqi9xJ) z9YV;|&L0wP^tqr^-=00CHgZhaat?9|$6#ESVe zRQt-_38$!;?0GV(jJnIUA3sHf^%MK;W>Qy1q2}M+^|woBl*UlbDKnGRJL`!90mlVo zCys3q#$~E;H}2b5%&$1SJobOfN#PlGIq|Tz|^d zE&w_cN$EL1bEz~Ud)e0`fBg2>@4qEfd=Cn`jO^tPzuoKSe;@x)TqPIy-k=PBlV8VC z!Ot_~S8Lxpl9h0DkApjiJTk8v6-CIciN*rKkh=5uI`rUJi|?K@dPu*yVCxCCP2s8M za*qQMTx~)*IwPUzZ=YPeG3JA-DfeV-81Z^ zYw9AS(HyZ=S}}WSdG3|tMso4AX}8(e-rQKA_z<6T@KEm#U()KEV-#&!aePQ~oewYI zrl~E$@jc`?fzDGJXD>$5Sbq?j9+Oj?9<>m$Fh(vH1Rf>h+VLV?0Pzd8^bFAFb@$@9BOe)ei8Qx?i-pe*q|X;z_d zw&ylOb>q41Kmk0%j_67=Eg{~DB3T0PU`TwdEu}{(dKR@aeP*3=#dNf`h{aSkwZ%x) z*>5vvi|KG&k$6eyPs%=|hldZUmEDOu3fBbrr@`P(h-)tus0CPWsug}T@;-6{Rv+BH z+oFj`g{j$z^E5tDUtMrrSqVQ;+TRj zo>r_i^`s*ynQGu?1*h)yQ{OLJHUyo=;fgAk2%LxKIM_+AbczjuKIX;u$c(g}aa0jr zz2|s_F8)975TsX8+1wneO|^u%c$0E=pQ<*w>)9;prVN~$dbPX}VV!Was-%UiN8D`Q zW>dcv4q(5sXg`j+1Sy*|bJF3Y{Ja?K!>vpQr@>U-GOn&l^Vk#NJQx}t){BFoYa)A|1&${hYL18v? zyBX=4Fb`UG3P#4&qgtR$U+XdI!qF`f?`4JrIgS!MgCc`S2PTt93E3AsG8Bs$=~cvs zBI84k``|--yT`3Atq$%sZEpSKx;{RH8j_VCZ3a`IIO6Dsb~?vk&en=dsfyB0FnCwm_R{;MM~OW^R1p{z@8x`Vv@II8j#Iw&Tr=c97eamxi?(L^d~ z&FibI73Fq3OYV#4YMq^|AAMI*6#B6pf8eQHse+_YoB#du>yl@eD?-{O9DA3-Hs;#n zEWjSFR{Fg6kmCxG%V1mII+DNqCwsaqU0z8blY;tC-DJ(YsL)u}&$x?6ahnQMC{Ivf z%Z|->_t?E^yP0(u^c5^;dwUO-ifvb1%C5Dryweh;?;xuCfa3NTuWUy}x*2*q z3hAU6zJ{A>k>r&N=pI_W#`K(3H$j_jraC1V>^5_xt{hhwUN9xVI}Px?$kxB;SmX^y zq;eJPOl%g2fdwrEi!H-K-^t~v@|!Ef5gOLX@kXKLp(`{8)ig~_XEPAPP#EP|3^`Ko z%^gi-L=RWvcw|jMHC-Et9>f{bn<`ePo^-RQUgfYvqowT4rK#uCJy$=N;>jOK#YNk3 zFiK6ubIF_{Ve}dwiZi*0+-qRtBGO&Q1a*ayJdVmUxG8}4rRiO`uz(R1_f%ik^>GQP zQnh*sWRNmCdY(bHi&v|U0K!Gv%?b#_?9aIG8cdz^Mr{b-^#omxV5J3>QNB75n>ucX zzZ1(lR18sCOs@>Bk4KOFsfK10U>u7G0?88tw8q$o$(;^FjCaX0i3mLEw&G1!xrb9G zmb>XqjZmI-Kj}!EZKkOAM8M=+f4n8uN95X4lbHPwzm5YHs`Yp%R%+@P2wRr@DrUjy zh)fT)#sLb1vFU0o;4-iO(A;5N76Xcr8x{lxyxdDV-53i zsPWp!QP2W3MX&4ZUPeFS4<=*c9A9st8dis*Na{n@kR)q#^sBR-Bwsf?(SBnp$jO>(^7dk1|e7eJ6O8IPY?F}149SR-be z9(*c>OJ8D@g}M4RKX`a$OpH4ia+vnx4LuuBW1dp*>o^_(yoR6iI|t}#JF4kjc!l8% zHXhRTN}lW{WtRqd-s22z=ilD-o_WU9DN#}D;J6jFs3^=ZZEnq|>8q zW@~j%w_9W2`)Pc2#F7`susC}U?Wglq3BzD;ziAsfU{fSi+mM7Frj?@laPlB5p3zZ@ zw3uQVf;9z*5OXreViFB!f2pf&N+ixRJzG~5Za>Zw`n?#LW9CS7Ij%swz=Y~+ISZ7l z+gJ|wl;66I`qFN^EjgQ9=+h>z%|^Ytjkg7VbIGc2d#rjD?V4O9jP|WV%?2EO*4=Et zwAnU7y#;k1n-7BFXw~lVzWQ!9U`~y)B)~>3iDm=lGTY5YO@*c5G#hYa9iN-I74OV0 zwEQgj={0DVxqA(ob?jc_GV9(N_IX7fwfC=%(`{Ui&JW;jbGI8X$68OjLB}Y&-B4u4 z?l)+reEJQ>G4;rUB+$r^RsT z_${|vo`w8X$Y*)*2Wk(U*->*1JLxeT`uI?q42f~DH4AO=mSWMi}jO5T`kOUL_ zJyn_2eU1R#jjCU#yB=AY{>+s3d391sQ*W3VL*MlV+{~_Kg2PN9yEDNt%_TSv1131I z+m+?u@{!9fIP7yF=P5Ywbuu^;9JpJHyWl{~icGNmFr{8KKfH=$lF+K*eF7qed{n!HhU44umyN!GUZW zaViRCW8D=8qS|DiSI=2*q2hpFd)p-k0wFRb2g2miC^E>0(mJEsvOX#8l4B0I+0;M=uK$$^WxOf4P_pU4m}^{?b&Tg4!Wi7nuBg@Q*#`=Tx#3? z%jt|m1?#e>YQk9@jBJ3wR;FlUJrAcOjj*Jx9DuCUB`)!H#&UWNWvEuCrWp9Ha-=*h zTdWkSzL_qOgcm(PlZ{u4vH9}GC=!3gd72XQbTmtzr~5!Hk@FCkdPwEzw0if|RJRw# z71Sb8M;EetljL_#Cw(QoFcA8t{zc~wvCv1c5yX6TWWE&62H>iC&`pLg``J|wB`t-L zRTFpzcyvP??cD5@;4l)*A+!iJLBuZ+T!D24E>ia-;Vlx)?0IKtKq3R6ZRGI(!k`%kFBtiS!d6Zo&fP_FbhVY zknG~i2L+40JO}HTozx-GY$VU_Zt+&4iBOU7I)IHAorzE*nMWy}qC7lR-<~52HZW(S z^ZdfZ7&4|wPe~oe`9{tZBa5q2orXN~tVA*{5HwA!R$1=xXj+zX`5Z_-PhHS_cL7&W zv}^P9M%L7dhZXZRCBGYSt@jmWCZ>3hIi0<-aa3+IXc>j1`Ne8$D3BBwLV-8c3)xq9 zG+?b5k16U-OJl|i)z}9UNc)Nda_4*$Kvn|+FSGQuoC6u@X`BhWqhwDIqE3!8f!K6A z)3FD%GU*dV09oRV$W$U(wu4rpS$((p#Z7l2nHlFTL?lnms}jts$36>u;NxD?oe&kB z92}q)jSebc!4JqB8WFOnsenkvGGzygz0uP1yzCUhxW&$3npLYH;< zXdnP-yYj>K6q1bzp*DIS=qwQ+A-S-GYyU8_zu3z zcsS{#W`aGf0Ozf6>}JXc1N9a9o+&j%p;6Q5K;de%Jrxxp?L||-nGZ#!4DdLwl&?8r z6qtEbLPqoDs45LA+>=2ZEsFTqGP4)q8mI_kUQp`GQ%1Up%;mVOL2=~QJ9e|>Wsu5N|@7F7NcpC=93+vc5pGp*JXQ$RYkVpg?oa0n!;^L^BXk%Yo@rTwc_e zRXN7ITq7;$z#laSkXLwBsjym|t5Yp=fL}|2owR!TvXl14w;kYUAr$l9+K?lZwdUD) z3cv^ghGx!~?G8F+f_rbQ+%ae-V$k4gWt5qt8L%MLbChjKKxfrV)LOawa!yyywko7C z<&7ERT+D&w7$c#Py?IIo+}vune4A zy|H$O;Oof)$($%F$-`CJnuPIk?iDp$&Z0SNTNfgz~+>2KzJGay%)F+Uysxk{WHy@9e$9y?V3dWJSy9AiBB091obVcS1_$d(FlaWo5 z`5^2|AywZEZ5XK`@G@_~o#_+HG(CMr+2`Br$uY9XdSSoMGa-b8alj*LQklfvusrpp z%nhHz3)Ko=g~ZKuiAFCLRt$rQ*s1Bu^Jqk}S=xoTD4q&NyR4W?JDR1>`9^(aWSiAklauY~@|>rn zMP(DB;$S=v*v+`ds{2Zr~?lIFm04x!&qnt5zB)@sd+38sUK4G2O}tlrg3g}d!7 z$wWgWn~T1DAUo#wQ{={dAb@q+6GHKUMBBLTrQmv%acR>(gOTZ`fXpF+L}+xq z=?t^H@I5q|Cn(+lxHi)_D+hIpt5FX7bmXUMqPeHs`Sj_xr+=L4Fts$gmT=IY9zX1F zvvS8jM(}E|+CD#=-u>{9w(p-#e?Gmtd>Py2)fTs_%b!nS`=f6DbxYgVEr#uzX2pN~_4LjAAKzbI|K(3V|8Tke?&|9OPv8Cc*X{Y{<>vWcH!r`r{#CE_ zEqaV@{N9ep>S8^@WF+>#-rj7_cb{)xx7VlbeEZ??^Ue11<>q|%>F)gD_3r6$KP9a* zRC?+e-+aA$y?y%U>-OdO?&*1Ze0se*-&}0JJl{RO-aUTaK0JQ>e>afiH)w`XxWm7{ zJbixt@a0xNdB5E}KR-Rc%tw5@uruDPtNw76w*N3*WxH);NA%ra(T`FvmhQeJFHvU8 z1Y3o^284z%gu)lk#o1*-~IFD!{hb{aaN~s*nb!pQSZL}nX37VVY}Il zlzEcsCBwKiGrTL4si4o}17k2CcmDY6@cVcb|9a^YIOF1*VLd*KyETJqzmo@!j}H&9 z&A<2C)9NFF?$SHdmy5q&Rxr@T{t;qtx5J0cZav)Z4O_u(ae%&mGl7*9+|_4?*S}gDvQ&OpT_Yt8{rmnY<{bb*>AVw;j(%t z^MpA6G<<$0B&B&g3{T_x;|6A2sTUdc-yYEmEG%1Pp8wvZ?CVPo}MiCLhI-6boZ5arjPQ@k`@v8w-`eFUy`q#(zwDy%J=da6~Uf;wE6Mf8_&>i~` z{$|7>f6-Oa3W>(8kwabPD2uBT!C`y>su{c)D`GAfD%*M4mSmgPUGfT?^}m>hF7#3$ z97}}Wg&vdKs+YZ-Z+nN*lsfnUjfOK_o$z*wsIr~iZDRq(zhadMbwf+j|3Q|uV02M~ zeVrzq@wM~lX&4{3H-Gr~`{B(G!=K;2`SI<|t5-k0{&D#B&8t^8ufKa|_Wp{Ur{IOX z*(!u9Hj@>m9uk1r8@i*4M)u6ZON>HS5nnMV@N1N*K|bBT_}j3o0sQO2CzZCXJOkqXJBDJHcGDK-)Y)ionSsWe+_=;S4eTN?=8_ z@L-aX(OY=jJ}(p=^8+z`Ow87h7@L7P+OoIiO7mG(8XBz>(a0q30Lx-(B953ZiBm{& z0&NqRj4K7*RZ8r_vfzfdh_lF`7$>mO>e&V_69;ic`5;c{Hi>iB10J^3D^pIg16~#! z&( zxByKyu}^wIgzP1wyR5TJm}a@cnNDOz*({k!QifSa;Nm8&V=J-};R2eicf}#Z#ju9e z6`k&#c0@MndS{|kbY}7TX7}dqVp1iP$R&ar6XAJ0ahBp0s>U2*(*pKp3lMn&10bbl zc+fe(u~(Cl8gs>oabaXttJUyKEnC$c8If&Y_(3L&oWNJk0*2g@GRC=Vc)MW9c3Y^HC>}{ooT@(3kq*SZXj;_H zIVPv1zGI>wiixwNl9Q2*I7TI$*qWWt6)z^($_i&%5d-9-uc$E!x&@Jm1|^y4iP(~aic1oXG?m4;vpZ64hO^!2XRLR$RL^$Mm`dA7RWYXK zb?DvnDpRzov76C+MOrQx*RXoOIA2MK5iu1bgt40Rg{;Bd;&ed69rTVSf!Jxe^j=k} zrO#MZND}?aj^MIg-~}@}<%yudjTr1EgT-Je$infVf1ZZqm;=c0Jh%T|wk{y)&0+yc+clZv+-6sezr zjr1OpWsktx`jl=_lc&0%SB7d--4~&NL-KZliO7WPMTP2Ft8lRs6Ao??N>{*3NlnFS zD?DU|h*4Rn);Q5dP@aiRBROd+ptdD1#Tgrs`MT_2*d@I(W{r_(OjY_6BND2t%Yo$t z1Z#yjQK&BnSBn-U^l2$t8AZy zdS)7_S*ft!WcXrytQ<>HVTB5^k_$!UN-_#!lR@mg=5}N|j5|tF*?X4Ww6IjD^ck*9 z1ySWE*5e5jqqH>8JOS}jKiiSfxabLvbi_uRP51-;Hww~PM4XysKeC88Z9Ra*J^J7mTEK=Un&*mC4`fM~k&(AbJfP<tJqyS5V2*+sz|D`L(2_r3SDh)C&(NYFT%Q63KVe_Z)9Pm z1@m|E=d=>c-%WS`sZxr(>13>o$lz*H`K}q)Eof8Hlr3@tn5J5rnM`qSMKDCoi`1;G zj~W53rWvboV?N)(nMBh-W(#_wX``C6IW&2#k}VWVRxeVAs0YpoKsYp2P<2t&8fLKj zvlSQxNkN>Y7{d`+u{4pQqBynMv}rmLtND=WOos0PLRa8 zS=-Z_DWP)}h>J;DNt(KYeZZo&Vl`Ad`_3WJhL_;Qu+17gr`b}SB%(x-T7L4CD{=VJ zcXuy7$rG;DXZ~DPLbhkEuWaNJ;AGEc*G)|8;DT58xvU2qi^8mzVjiGP%h`@7Osh92 zR__Jr!qs-{uuw4a7fUGKV|4z{-c%g`b=joUqv*_N; z{TBmdT4nX`C6ty5WRfsH_(Nbdr`$TDSB3P=4ZSEgn>^E_q=kgT^96zMK#Bcs@TtZ1(kG9O;^_*`d1J7 z|JTzUPalBQZhW~Ty0$u>ess{B*f7sSim#k)D!I5n(ItCGg})IHTg#MfkDcqI9}^O1 zh0XH=*n8>2_CG7*2UH_vP1qEPIenz5M-`fIgKn(Y@w~KWPE!gu!q2W@|+K=Q(;tAUwOeR@Pj~zW-ggfAQ(-?4X z@>h?REdF}T@ZV-=)U63@!Q;C=Pa%mWBZSF&jV)!GQg}nhy46%vC9tqUCrTpODs8d; z*a+{|dqoVDT%k$IVIQ6sw36^hB{goH;vwuVj86O7axw_V8=82)u)lh=#+a{XS8(zI zxJXY`=@}#nD}AbwwKP++M7=s|2~X4NpZDYAioQQ9n&XUAI|Y!9GZgALJjQ>H?Cze! zpD|@+Vz|;OYit-B(O5Z2q&+b{jWsTNl-TEv`K5?29e^WtE46TO#N&AwAv|W|ubz0J z)X=&+rS&S6p7!DxQ}EeAq4R_K@J#(IFpdy8PwqjrA7|o0d$8#81gjOwvaJTPM{#g* zfO5lf5}9Gc!Dn`ww4I6Ub8&|cB(ilZm=xjJfG&Huoefj(Am_b5MErWR=9aH#1>o>j z*onu8#3pu{Gg7wvO}*Sb@i1q2*iH*x3WpvmpHm|(XpZHW~>b-WB}7VcibbB z)d}a|-;H!ePcZhyIckL*oiWQC?dT)phB4TjBS2-dqC9?d+f)4PPz-l!8SK{+;2VZL z3*bvxTQ;YLOd$S&p*0Am>N@NV@F(cfp8J&~qV}qt{ON=jU&~}IpZw;zZR6hoZ zQAbxfPY!81xubhIl3&U^(_!k7hQ=Lf zqjZP+XT_SE;dKOV;}23hiYGWrj)meK4LhciX*hh^DfY=pZcqPlXG^LyUwa-Vy3@&S z7Ob-Ok5^s2o_wrT=>)MfbffI!vqiD9ma-S6EuU^RTX4L{wIc3tgz+p>7Hq@g^9`uR zEqQ`e7pd^{UiL}AbZ!_`-?34x7KpP!t^KiGjXU6pUeKjhj78}*CXVR9dM`zro<#wn z8<*N7NprrU!`|!;qfieSx@(2oJF-=v?g=g(Q$OGBOmrjdQhD{ejyPqT!HIRFxEWSg@Az7;&QEjZ1c_?@MyvdKLDMV zAczB~Tsuz)1-wxvd1l&czsW$BGpF{6i&uyn|;uJ5X3TT=|bT)LNSN z&t&{8Aon2f_U7^T<#~YIlT+*hanj70A#Ngb_4nK0LU4TeE~U@T>k($+8kfxb}ABlJkzb3|+Xf^zg|LK{GhXN+5!7aBOW zVnwPMxuEPElU^vF>N-$9!9Gy_rh`zHQu;TPk7t!W&)h~Fj=uiGlj7Cs(S<7WfPLC) z;4e*JA4T2=Vi;U?G!zPW8)uG#P;c?B(npoH$+%&mAjyY^*t(;135Ii)V23QeJ8ZSh5)|Z@6f!nEo_S5ID+xXoflL0I9DLDmR6T|~>H=}zYCFJ?GBIrDxcqV3{w8usvTDOnAb#lj`WbadJMS`IE zMWrW>7%0r-RqR-7TK4~RS38a^yA90WDke7I2T3ILN$uE9yo3t_4(2+r18?6f7S(E< zi-!pY#UrW3ZeMs~bLNRxH-T_R~|wpb!b_%Yf% zw6z|I$ze^g|pI9qYI&ki81woHQ!7pE#1gc6XQJ+Ye{0u-%^f- zsLq5)-pci9=*{AHjI9`fd8JiW4nWB(ji`$ngEop$s7Qv?97e>@Upah?FHapk0@E8$ z2o3WD>QOm}ti4{hk-LB<928qfjch5rvFJvL zlrfxkZpv`WMHzmri!xByH)Wux-jv~fzA3{4aZ?5)`1N~vQ-*tYQ--^DQHF1OQ^o@~ zWu#$KhQo_8{J5Jk{DT)|c&0DP=#2WIjLvDhDFcarQwCikb1}cv^k^=byj*)PFjq1M?4AaY^7))sa?n8+EIR!jH3c!M>s(PjtPgTz?u;f=H zc6hD_ceK`X$H*0+P+&}lZZ5o6Ks+h|H6FC8>-QQM@d$*u<^QMMSV!IK(^A#A0#4yW zZU-(}1NRth@y%{w5l>89sdEPkG_H&(;_hSka7Ra1At042bP@MSEEoiiEqVf$2DOP0 zFS=cn>4Au3Xfs`dq4l#=X=x084BSX4P^j-fKR(j^{oB9X;suZ7;biChpG^3A49vH@ zmH^x0C8zKsBi+EL;H6g0P{>L*dW5QEAgWV)gswb}V|d_y22&3S3l}hx9mBQAM8)rQXTey&#a3p4 zEm0;{m(d^mx*C&6v9rm8vBUS8UMtLara2P=r>{EphE=#hoNv@H zJqHJX{|By}pDZKN?G|JTUd+W60wDoErv?r=g^9qS1IuE@s+SV5L7E^ysuxCRxr3G& z@7y?Hu*A&e0>8OfwrlTb-Lmw#0+EWQTwjlyD2JJT_r=DLT4R9(uu3SyDeR1<(hv=P9$t;!~~eGMU++tRkzDEu9zb zgBKZt7o9U>(J#+>-!;?w`*-Urx6dpY>Dsm}}(?xMSsr7}Z|*oXN0 z7{VCAburZ?$3TBszQdxBKW>o`X_nRlb|~w5HeP87wSfka^iXo)HXak0$J^@_K7`>rvQa`i_zu}U$OB_c zl$fmX$+>%@1^@Y_EOR{QHQt|ZEV`>?TN>N~HoEB|k67qt$rYHV$*39A-Pv>!t0$rC}DP4j+mFo7}!wUp6dnR3a`ePJ0qhV}0f%d)G7z zeG3%vap!gMQl#pwImcU(UY1a#X?YZ>CjV?j3fwlmhaz>0_H`7gawsH$1vD4KH1qmPePuxn@U~0;eEPU5a?but;6%@hTaP(4~l9dECbgbW6*K zhAwribsSwP^O0MrOOdtF9wmyT>UcvPkB+5bwR)7Pf(H6grjD)b9ELJQ;psZcRH00d zFa^x;rZ`3AT0PA3Ks zMH?ycXvX-YJgpgWl8=UFToLdUI?smZGO9Ggc z!50_KZ5CPC7SNtznNB~dT?S7|k;kG_V#Ec8N_8wVB?*OqTjr`^5wPrZiBP$s72=-H zwbkRcZiyUVBzXj<^V*<%6v%nUnrd+|X>Lh9Xc(6h{7wB>ko5=mP;A^Vl6L#jSYeff zw@~)DfvuD?mUq(VB=Js4KZ6vUurPoFRvMkgePRMve0|J+x@?6?Z zvGQpHpMXR2U_=ILh52g|Q^UPjnbGD#D~if0Gc1gy$c87D0lz=O#9CfH8H5BnqLi1< z0=xt6p)!?o%UdO;d8v(YXv(zG76D1 z!7=P(^fz{Z&SqS*#EPD35s!@d!cY<{)MK$cvsBJ!uv45EO2Ykb`3W%zgSEy>@b>{- z<#0xadj@oSQRC6*(yGnyg1X(a)<@u>{9X2jmEui7ofFcZa0Qw~W*Js?zr>ppdFc7_ zm|%QQ9Nt?Y9T`?wm|nz+kUh|#zFt_WJ{xG6jVnr}9)`A#QW%mCfe6w9EpSDjE2<&p zjd^ftK*=VWEDrZ-Xgw?7b4l6WSS+RTonV0gX<43`Zz@zYYjC-E3Iv*Q#GM9L>I@D-Oml=&ecZLprRK91%+}X3hkU@xq z<@+XOk%ul6*|Wm)nF~r~>=UConH}xiU>Ns~Nku(q0C*{Plo^wA{h#hC*U_Z6f%!hg z#0G5WKGOeH2F_+3*zXURbx7SaYh#1i;wPykvPc$#iB%PAVN%>+qTe0K4eXywIUCO0 z2v-M0oLL}B{f3j&^R<+qE@jKLWHlw=qRec*L)0-9nC>HbwM4I4ASD}p(0JiMmv=j2 zm3IpW3v(5F>}CoS5f;!hq&odn1m{HtU_T~nB~Z2W&CL3b{cxC^~OT$mW8q@ zuryqulwpDom6UNZe&TIm$y40z{zR%Oe9d}e>8(pc1}p<13tT*gHOvk#TvR8n1y|i#MrF==$O2X^l92F@uW}{<;a$<>7$uEk<`ia2wWQ2 zlhPuXOR{ySsc%d~8h9!utH8Z@j^g&E#5Kl__;_!x727iOoDdDMeE){4B{jaJ(By7|vk#M+*}! zMNd!j!HRgMPCF}x6msB3bPk%NiU5-9Z;yCB8e9+%>7~ob?*LJv8~I`37f^1-xw#T>c(-4 zD5?zda3TMOgUy_z-WJF)o#0+N6`;{YoGdwrTO3ug6_GjGOH2hh_k;EFQR{2PQ9hx@ zQkAcU#8%euMQ<+MVt+i&ZWMYy!|{n4+S9UHiWU@A=yzDQl}C7EH_|t%NLNFcAmjEq zC`%|<5%R2Zf-3RJLsNG>*>GUcxQ#mk^fWY@-XWo<&NA?ZO;S#I^TWSa*zVsJX*VB$`DO@o3zN$u8%pLwj9974) ze95vbLqdY_o!KHIXX5P)n|@e4y{W&{R_KZ~lwmnCO5t1=V@K4fo?k0W0F=Zwh))i- zeHfF9DOTjWA@LH5#Id|r3qR=|`-~3LG3@JU zI>2d+OA9`=JXp?I?Y$zL)iotS>C&A;LsaSG5R2E0mN*ty)TY)4H~)N?@-)XW%ZmzM zdo6KQ_%z2Ovgro$1g?zIv_OSrFw$`L;)>13hEN6lveUAmEi#T_*&K8>n`|iKaEovc z(HQ+Xu3Rt1jvzT@@maCer8gBV;N%R6a)>tHOHhKBip)pk19$o_3S=haKoNo4nd^fQ zeAJp)ojKkD*CE_ISW(QvFr0)r_)qMR!|4x}U%i8tD3cHYf%}y7%m@!YSzI4e_l`7G ziUvwiNtO{PRv-6;P*aN=;05ckMW3XtYKdd6Q;o4cUf1($MTFP~v=CFk=_vHp9qL*( zFPRcTd&m;Q3o7ytIx(T*l(ObGiXBT7kGf3|wd?pl@p5b3z5AwAj+_l92{VU>v-~EE zun4nDf34WAt2kj3FTYJC5bpF0+QpZavhIj{yZ8y{v4oe3cIbeqfZi{}T~l*>bO%(S z@}WB_PnzCP^MQKwWCHv5@BzI9&MG>)ZgDmhr&ZJm-C)j-$Io%Yygq(Lf)yZt;NO2f z|Mja?YlT{w&%gh$WDqP4`g=wT`uO)hfBp5hUr??sSOn>UN#*?IkrWkiqY^zVvhVj} zsnJlw&oN5HZ4+kCZ2SQUJS=nq!bhiD$mxT15-fY>W_48Sk}3bW2<_{ z^oqQ-Vj&xA(4kG@4OayoP2bok5P^|0M4SjC7xN1jj5h16x22|{6?jzO6!jE1VO%SV zdP{fOsH|a$j;lLCcLlCQvkB$ey?!7W!?{~mZd-8K2Pk+2EE-;4AgIDJy55|cf`h?_ zUNw}DCaKThExEnrc7q9=`xD&HKf?V5wT=pj;Ng~otU|!|H7MTowFJ0tU371Kc=9Q@ zaP!r6mNPJN>M29cnR;3dNdc@T#m7&bVdpIU-dBeEu-ASzl3*xAFC@o6Ujx@)` zpO5ct`T8RoUnl{f;zTox*Yr5x_haF6MAqr_Xj^1PhYz?k9fe^#i8Jz{qh%=JI+27r z3Ouu;qaEwD1O5tpUK>%0dJ;{t9*FfgsJ(yhT?gWxz<%ebUcOUv0SIpQ68xCNE$qN~ z=yOGLXjsbBj?}fH4tZd;Ox%hB+|P!_Q8wNdWf&N9AYGR7gY8u9IF=L~;0aSC9HaY_ zTlvnm$4j{)fZn`zR)(kXabJmquE$N#c1%FbYJpo7uB$(OHC7;|vr#MJIjgw}6bDi# zxN>f(bfg-Ye#nD5wm*K72@(K@=pC0}*Mhohf+(L`5`B8sp?OT-b4=Z1qr`(S%f86# z+sl?I7L*y|+loG1cj_=_nrntPti64SCil8XtXW_9iKs0RbId;XgurZE=M%_rD&Knv z=CFudhZCjh8`-T7SPxfcIxE5vOPNyyF$I#h)eiRU zzzg1@`p55`Nva)#1dl02ml$wXz+#9TEgGJ*e(C_sTY_77w{N(QayQ5_mXGummI~ou z3U*6X-Vr*!gy`B*291p*#8=jinkRA1=T5t>Yx!b06178A>s@a(#gRkB5@vq1o^o2) z|Ke6kpEC9C;SC4(bVzb6b(s}i&n#03S*o6ihUy)DX&NdNxjHsm-$=D9cB9>QDVojE zMAHBr+8d!^y0D0Gd&qAa@;UHo+PzJ;n;3~+32|CnsS06Rru{={(fsI>kkWD9TaL!8 zHCubj(Qg*YQCqGYEp9$Xj$*=Fr?*p&a!MSJ9>vU8>Z3<7^Ub5>rdQ-uA3YjF1w{VU zqXl~Oblgl_TYKqGbf5a*3_bdmqhTm!ItvY^#MB=-8q$9DBatabGvsJ~Ji6pHt zc6R8@%bwq?vn9-;LWO zT&UKpw>!IfPXO-ILh|dKx(s!Wrg5))h$<*kdam0+^6l}_{dsCislgFGf-xMlHgGMs z;^Iw5Zbig2n&QBLp;W9?E`lZ&MH_3~6nxHN%`}hx73Y&qV6umCy(XeP`DlImd{`2m zOc=0!=i%^+|mF zXucuyXqI9*Hb2i2OzU&ICHRR!a05L|tR?gfKUG37Gx>9WL>8BJ867c$7=p*#1+GHu zC;hIgNr;ukp7IOaO^P-1l+`0?SC|MS=KAvnHR*)j*eN4Jv2Q^&#W@BW^lWat7lXQJ zw5q>8Asr`X!8wAq47g;g?%nP@i zlhQh3Frs%mfiJg^ol@&f>nHY*ZVPswz~WMIzMctVFr@dg37+G*YpSE7V{jP592s=q zY&dQ{UKL+1A;?l>7`_hFf+=DraKt0fW8P2a#<{`RB&z4CQ367Ylad>iLLKpoTd&N9 ze#mmEnX?TFGGFpgO$*FN5Y*m$fasGL3lUs$%W-rZ?FMK^Lizi2S`;-5hYYVc>*a^w z>lkDUbBFWhWW5bn3xiOOf!H4uaR30p(kou&Hhj|v10CYKWV@-u48rv1=MA9>^RQXF z!NhI=K3H?+CA7!Qbp<&-6Qp=*-ZG0n{x`%nUSf{6R|rXIbP6g6@6JApLZmD`1`6r42;6oqH(d&Snw9-LXP|87mI(!qf;5A#b+{AVVS(83v za{1HHTyR0z$Y!w;YjB|7@MHxZl;##bchJhJ)wc)#)|npP!ywX8qcLv%b1+w;okrXG zi(3i3t2WrFs84BO_PV4GKea(}?m$Hu&abG9ow0AG?QK6a)XJ6>gXmqdjvW>v=6Pp` z&o#vHjMgo3(DWr*8^tjX3^nmHMtL@5xdje6|31QxhxB_EWti^UHLqF?*Rn+^@|3lf^CY(~ev zVku_svzWn6q|Hc(&WHDJwzpHMScsCPMnw?1Y#30@b!;w-hxL?tg(O18cLgRtycw`g z&%FjeghjOITveDPhj9}N5hx+dbEr|v;(X;M1TXm=eCLTHepy%JzB@gc3?#~}#W|hvyC$g;MwLhAg(yghP<$#i| z>JEjpN>l7#p!-$$r0Kvsf!Ao z8+GX{K?dPwEDqLOlG(oNEyYpq&Wg8wSDs?}!EAKrFnRTRuiwZIHL;5^psS_kE$&1EHrm0uqv zY8t2*k|^h`_BbscRCS?{bvbpQb2ZX;ZL94C>Q|%4&%SaJuq$0-^^I*=47z_&g^Z@5 z#M`pt4Zu056^l3y#1t^`?n~q(G7&aQB=3QBAM4POpqyjEtw_1x(50f?NFXEEQ##Ky zFhS`i1|53Mtd7G=zftOQn!e14HgxEW#9^}I@_Gc-a(rgw9aXT?(c zGr6E;VCyg zwKmziW0PNknw{yQvGXhJMp~Qo#^m0Wd=ah&f(|8*%MYknc@QZqZyq1|$D2vwcuV2q zQowj_fD$h7hzdQ=+U0wT@g6{}-G2J9BioHkB%{n?4zT=CfFbO~=x2wZ~-qIn9$~Zk9 z7?{~if(h07=$Y-WZ8x*Rtd~U{xixxl_SYqKm=NjW53dcL#O8!|F>$KMSKU|P?bco< zzjiuvt8H?ebb$9IniS6cer;tDsN}6)U)7iiwja=9*=^{p4k=Zb316DaziB+eGwn#c zwjMzaTuv;aB9pIEp$t3&LdO(jn}anMH<5TdGFzzCp4g-{x;Ho%IP6-c#Wxf1@uwU{ zcPyAGZZ5GYQG9+A_fq31b!9yPjD~b@QxPY9t6?I_Qwd;aC+LN|efkqw=LOoqrR;z6 z>w5Qjds#{suJ%3<8NCCEUUdhS1nR-DDxTmm-s zSwez;9+zjam*t}8d_F%zLZEueWIx^u2*vzuF4g|A^zQ7AagP*OC|fO!(=L>EMiD9x zPy(#;N5OFDjf9yJHBj(WvgNn224hphZ61nV_Jjk9NfcPKG8aCqK8N&_jpR%oc(Emw z2C&+WHxZIraq^H^`3mCvzW?DSCe)XIx1zE&mJMed{8uJbfIqLUcQ?G3|I z;NpqJ%ou_At4E~ExP$1B8O-PCm!~SG3N6kUl@@rlyRc;)EGu|nnnYBsScW^c>l(|} zc&Ib=E4g^JA_8@Aac4+GTmD5B)lJr~`C%L(m4skM<{WHU7Nh$kR9weM=rjQ~ciwwb zLwCj>P76BbcP2a>Z}gZn?C*52x&@KW$zm=ZUvEpcPt^qy9T3zNKKZ)fy(GF_GfX69sWlw|7ba z$u^utlg><|fsH!>Vd#=vr1t(N*bu<2_aV%llAk*hu2%L!^cbT^*0Dri0>UDjGWKFM zPC<)4bLG!pa?76H>r+A)RNvtccqw~pTMeZ3HTSNp^@aO1+f|I(Wl)|`q;cd5;E{6A znbXcxp^WeJem}>_V>?$YaGg_os;{=p?kj}>+b;dWSAqHH-1_Eu5rmKJ>Ia7}<~u1& z?7ST+YER7r6%FclaMd_ul;E0SD5Bic2W)OZ4b)=bkby68ETAXAZ%OyIs&3B3q=Ut9 zWiF4#A9_45KsgORerqM*s6A`as`e?ks!B{af#}Ra%N{o#UjRRtFi{5=6PfH)w~u#r9Hswr`|5GsS89g}Z7+>pO!z^Zg!jwF%9&zi(9i^!EvJ2DQQGu2EKH-42oJ2h^bls} zVi7yq-Nmla*<8OjR}w<{dQ*a5nCdN-q78nd1ak$BX?9#Fa9eL3fPLv&!fLX|PJvPa zb45D{d8^jC$#SK*g+{hOQdYDfjq12j7tcl-aIw?Od_gLLlv>>^yX_NDPfsqFlyu1{ zko1dL&!wh065Wd{Dq^&+qK2~qLHj3BJ(t8#!)e`n7@1RKnpIQN#1`F=2*SRs_B743 zfk-6v!)P6xKRg2vP+@JlNR(1ArI*oKR~v@=bX1}HKopWcxmRILxybH*Q#BF%HzTVs z1x=C8hp)0a^MX;?Oj?w;R4y*d9z)9WcoOroi-n>Zqpg~hCs;HAcWkDxe@{Z8S7|@K z&oUbP_h~%_Xw99|sLQ{2@z$tcujr;aW?)5`!5`Ad;Jogun zg9YH$c@{#Me+KMQ(R>FjeEx;6SKiBXSl#5dR)Y_nXnvV1>N_fK>K6`<;9_NmQh=CD zF>|s#_R~_5%cUQ*i-El5*jJla*V{mONB@8p87U6yu?C&2N9&cl}}wr&{23vArRG?X9}s+?Wo`4jKH`EvXC$3yKQ zOXJ{s`I>fLe&#k<=@^-Z`Zl9tN{ll&w?kQNk7SLz-)&?Kitv=wq-|bYG6i?9^IiE0b$n zjml`1;&;a<9|q{?U3K2x4M0#!erA{C%4eARV;Ki&2&ifB2%N&Y!hH6YSO_-$WftJ! zI_xdpVi1^Zr}3;9v{mYY_%m%!0CGyW-y)&?^qP!XgPxENBI#}Zp6;u$1FP7L%2z8t zNohE(%p9$8<`Q4hlPY8>%GVy|<@j@yKZrH;KLf9h@EKLKs=CW18HePO6U)K|m>iCt zDSO2wBKvL2W=tYlA~cc*m5PH0DYqzZ$UDQ={x$QcXWl7HJ3OHWhc=~3rxKDr4?4D_wxDNVXm>k3>~Mc zGr7zKVqGbX>H@&3w_&0q>UXPjSd21TYZlh4vub?r6xhu65(2p}%HtrM;Z7X>kTBjk zjb+o=pHLtrZ09(tz|hH%H1NffvT@961e(UsMi7;P!tE#1)s_5A!*%@CO8NCT=V>EMJt! z+0E8k;rl(LLie7$6Y#UoW=>a!o(#lv^f1s)+YxsS&AOR#`gi(M4~Tx{jH0A!l)7c; zHA!F{ewSOKMjI#O1}=Wj=|8t1FWEXU{mBVs;PW>^PU zWt$rBsy2bxh)gnHLtJt-tFSW!((CZLf77rJM3Aw23XkXMWT*!`&x=ZaYaUs#A8GP= z#f_$uE3v;kvfxKA_*#FIcTktsl~E5(ol5WLEVHAsXGG{j-Z9G)XG;o|h64Y!mFFPo z4eHwsCTdOJvQ;)p0AnmMT9`iKD0ahchA;titKa}mvqnk0*?vC$a99B63vu!0-6cOTJ;qAwYt3 zd0rs#au0Ihx?x96LzQ@OQ*|Di%ASDRhv%u3HKMaQa$ZcjoY(a zNLnZ*#DS7u6gj!@+{Yk{IFg$R`CDPXdYH0*(43r!6wDZ7aweN|L{ExOA;t25nkOcN zgwj0SAI1~4WLtF$c>Z=VY|FAV4d*y-(vW0+X5ZiNb|d0>8o?brYMWPAI^Q;TsWHfw zlle?#)K82S=lx-_B3!>lv48glHM^EdX@WS4MpWz;s}0?R9Qx_mw|txDX>bs0$#{Qb zXyLh;B12*HQ<4gbcfdU;Jq{e*P2yZF5_;U1;apiP8WRzK(~;nxV=xH>N`F~Rxast--Q~ z&n;`cbQ&?Y6D50Bv66Bae1h3)>5Iu1AHWDQh2n~NJ;G)jC)XX!|87HX)GpyfLe1yI zI;jQLRayFJdi4_>>eL$rjEx-Qb>R-ousEy#z{+{l7<#KPb|{ zi0AxLG`7NnVXtpZqz28-H$`LqU0|F*>8y5x@xyytM>>49=>8Gq$gL5mn;v`_?b4_ zjY#0qq>;P;t%H>r zpplRlaARIk6NP4r6!+TNjSnV-!O7is-rf)i9{t%=j98E|{TTW{WdP-9DUllrF`9mAbzD-MaT9zaFn+@DR!sLYI z(`*n5-o9dQ&d?pBm(PGd_fIDX&utQP`*&{C!WJrhG)V}5@h?$2=sA^`9=+QY`x4%a z6}hlAM}(DNB;5t%T$04pP|BkMRa$w?P$I9?yc^F0%im;buNr&Rg8g1dCx1P&W3?Y4 zA$InqH)wC8{Dx?eX2I9RJ=gD1frzcpJu2FuMGv=UC%o zT&eRWL(&{t_C{=BQ0q`;7 zyyXRVWt~~sdE0m5s(v>lV2l4nU$TIA@)%rrsO()vQ z?$d$7mE$P#`9tg8G-gMi${Huz`th_l(a80h1d$}-o$Zb5_!rompT#5sHrsJGL1LIq zHI7i>+&I}OcBKf~jOE5hUz;NOJs!>FHNHhJ(>f#zK<8zF;Kd`1;L2Scj~Z?!gYdr%?|XC~D0<1*NiSjm(XVVWKq{ z(vKMaKws@a?G*HPIinBWP@`I9NZZd_U7@qHCCdI>Amx`Jo@Z*NmR6%oc3RhUrQym$ zP2d>eBDF~X-FWzgx?e8dG(aC0rjK0V3v#R%EugykLRoD#sdn9?kAHM-FphsPM2`=GYQ$VO2Pl7NW<+%QRh6 z3d~Dx9-n7>2C?$ZNn3}flVA6RkL9J;v{xV)m!eACiHJJLv{`zStnOtjjGyBusKgwi zo7p#Jh{v~fZ^s1e)03%WTwQXBWL)X}bD(7HVa7^g*f)*>UcUXpDg-SS>!Ww#S!1@W zxHTL{!yEm&QQ0+rDH5LX)o~+$4rz-aAuScnpiMj`lKzzJzRy^699};E5q;{euMka# z!lm$4OsA!&qLN0#9QG(Q-!?G)Ty!jewHCt+*ZvR(3VZR8R1~ti5KT7`s%Dmcy`ehz zm~Y2cNoSrOoWd+UmQ>u`iEIA*vgA3r*bX`zD5kjW^l<@yt@bJ1=Rt`Sh4kJ(Yk20r zUxAg0#i6U{su6@G3tQ7eNp%t#=b*S^Kh*<*B8pjyETKYX;oZjPk9@VPE)_^tSS9%JKOyvIlTHP%R9@}8D11|Bc5DDwqGK}^xkS|kFU!hw>wv( zZM_!rlOr{}jE);zYLzzzA#IWF7_<&;;^$O+PWvqd(vp03EQP-E28ogkM2jA44ThA< zaek{%>}fBpWGJ+Jjz9DDc_%BAg;*iGQ2O`_s%5CuDPpYbprD@U)tb5|6?Pn)dI|f; zVo8p2uriawA`y8!D>(@_a!{rvoDiXcF+7w&8&O3PT_De0dCk)a*+@35i8)}uG4;{lj+ zJtT)*=|0_)5=evPSs_~=BSYd(GD>Bn=nu&{8tM@d{Vg2qvHE4Ns|k9<6KPl)a*=%+ zYO7KlF~w2w%sA?0{$1hW&6YM_BV?r!-B>55yLTGD+30}Hx*Zg7f&vpP1}8%qQ1sXL zV+F~p<&(3vh~l3yUZtwZM1G*8F#Hh_WrS40OW#QpJ{7c?O25X98z=bU&uW+PWD+{n zt?k7MvKpRYC<*I|$sb0`p~JqB=-g5Bmx|i=qEW{A(o!nHbAd=rYBQ1uAATbGOSLLD zb0f(8^Ved|+wAP+vkmaxUI9n~V~mDUTh^3noxuA5czY_y_6u=`Li|Lw1CHX_@LGX~ zJn5-qtJrVNaZn}2-7F!w8_J-HGZEu7cW!3U3H{MMlEEE0*x$q7e`k+Y%tdo123bpH z<1gE`ua4pj`EL@zNboBL9xDB~#|;TcC!QYfx2C-^70hgKmYNu=VodTI2iIES0adGJE1x9lZdgEXC~zPx)o7s;|z+{ZCVRIt#dTgP0}Z_ zV>?8I$7~bj)b-6@YtXZl>hq-=wsyyjr8V~jzIQIspA>mqeX|dKJKgGH==f_ z$J?{I2C_5NvbV^Ys-eK5x{!@QVelJuW#l(tK#)XdAa@ckR&K);8_ZrVV&6WxrcSTW>T)I4xK1HtD-I z?T-nDpa}nmD&boC;`Kq~?%2me<1f(=x&%KJ#T(L0?6aiG7-TpWt<7X^Db4S8asaUkc)oDiNcdJV=h*bh}wDi zjw<^{KFt_UBhr%cmz172IVSk6ZO<#AP08AE?l7AqD;SS3YLxq*uB6i1TX<-dNJ@}8 zLm?Qy-KFH0v~G1O52RACWRXT~ils`Sj1Owla9}D=EBBS2?ST!}lILq@`E(nQn>N;1 zyBobl58idU%#FVT@kBo3<%y@RF3c>PyMgI-`vvbgkqL?R2fW=ez7`@{s~Sj#E4rvH zzh=EU_nS)k;tSMoQW`vU7YW1?^>)TTeSsCwry#zna%}Nv^x!`?;oklw5wlEM@Y)$1 z*h4QM5U%;*}QTn=%v(YM|f0$}6FguTC#8Pzdva6!B%dh;7BGL+~t+4&jZZvSy7A$G5q> z*%vpge@ae5)5C0P(2?&|yglMJVdftNJN;y+r*zXMD=FE-XBi^$kYRNgypbN2J>d}W z$6(=}&qpJ16}a!9IHuP^mEY!|7AM@<98DyQ$T%Db3WQun*b_`%`Hhi_#7S)VY&f98 z*8Qa&iJDP+7*|CemN*R+3%of?sTuUsV5*t87t0>01yz5j9fQq`_@r=W+T652xJ=@N zCuwgpFyjLD1ZDBwFsNRe@;I`EZeSW;KEOx?GAu>hFr@Mld{WuGjrwj_0()gO$k}{s zMTts=23^CPi-M=O_^_|Pu~-U8O&wzcXKpbl3#83 z$V5{f#~i3=I<2nXRVFEum?1gBdLvV<;NYjzlM#w9kB4n0&<3hcC3cuHU=LUR(`ZeLcQ=!fAY$hy}{)as9y_;l2 z42wmuJfbpI0D_uQN{{~?O-*G(j_&vE(ohbJ;MHNh*Q>X1tDmI*ns7Bg&H0tJuK&Zy z!^zT#=1(v{!mb42f65&Ei^TWuoI6Ex4`WS678Y%~zY*`)*y;Zh-W}b)WA8pdgMV@T z{_Uepr=V|RYW$Cf1k9Zr<%}H^^{wr!jPcp&glw(#&29cV=L5xO^A|bqW1o?QS({G8 z*~ZYx+}7qV$RR%c-}CeUAaHQd`};cx1bTgaeSUd)dU}3*e0q3zyuW|AyT8A^ySur) zy}7x$zP`D-y1u-+y12YNzqmX*zc@QPKRr7;IXwlQoE`&Dj*o#yN5_XpM+b*Te+~}! z{~YY?|M^I}d;2@Pd)qs^TiZLETOVnAV{>bLV{>hNb9HTFWo>Ytg{ci7UZR=?H z-QL{V-qh08)bhKrxwWCGrM|JHuA#ZEp{cgMv8Jw}y0)RJroOVKuClteqN=96vZkz} zy0oIIw7jyUtnycBMR7@aQAt_RuhPQe(t@Ir{K8*(g~fRVMY;J!IeCTIxdqv|`B^!6 znb~<6S-I(1Iq8|%X&Kq6=~*dhnJH-*$*CDhDd~yHX$eWG@rfyM3CVE@NwM)sF>#5} zu?f+!@li2xkl#6VS&M+0YRbufg%0@ z!F~ZjKmCJz{Q`Y|2KfB+_xAPo^6~TX{^{xM>*3|=;pyY<;qB((gMU<>f!9_ z?&RX;#?__J|Xk+JKZEJ6BV`pV;XK7_?VQFJ-X>D#{WoB+^ zYG!F-YGG_@Ze(I+WNd0^WMW`wqHkcVr*EXEZ>Xzhprfm=t)r)G^C?}^ND=RM}BPT5_DAtf#@ zDJCW%CMqr}A|@gtDl9A_BqS^-C?p^t$j>kE;|D(EA7tPSxD#gI~!&|M8!gvAL<46Fwt7 z2i@Q7Q_$Ah&erBHq$v9bb@V@=pMQgP{yTT{Z)i?BMP~!2zc{4-hS&Um&Gdcw{Tu+# z|A8DdDr;5KCo}jdGAJqEU_@CA*p|U z|F)=cVByc5iit~ba&gn(;=w(esH&-JNXoC~p{2uz_f-J&UwPvL?8J)`$BPt@u9D5I zogX@4nBpx#V%;aJzT$xzRp0pOR@HSceeV}^pCG!-jeUFG0c*;>`$(Xoq<6sQcYw9> zJ0Mo_|JLc>-6sa?-E3DG-T`8>i;Wq;o$b?4M>m&A*?--{e`TT#0Nc>XL=F7XdL72 zmaZO27Y}glxN^@)$?9{zos-!+F02P8?Nh#=a4ATP{C&n%)1D_`%MRAXYqLpH@H~C% zytfW1DgLe~{{H0u-TfF56CHNDq0Ujk&RE0DZXbHN73ank;Nk;gw-HZah-^QFZbDov z=n1v+@T&Ekj^Rd*&fU!1p2l_t;)qNeS>f;G#?@B4@SL{hyJTs&Im$$vKSm+flOyYB z^s#V%p1;7{D)(JGC@=gk)xQ6wFeD{WL+-xX*4H!`8D=e9I9kG&9QxGaC$DtHHwro3(e zbx?fQZhiM>-vJpQ$=>Q0pP1Kl-5cLhw-1xHop}c&NWR(L(ZO_`J@Z|g`~J6x|1PNi z)~Nrsi~k>2ApcOq)q}9OyC!WP^8OFk%zxKV{2y!r#OAfN9Xpe?xozpby&0xQ-M8Dm z1gSnP`5p^(E=9cq?8@E&oEISSl+~-Qa}?b}?RP*C(u)oosP}`)-gTR=YdQE1Xi@gf za(zpbJagN6fT_NzdI!vgc~@h-?I^!FZ#~P?HSYPYQ+7?Zy#pjj{y!5?kRk27yheeS z+mS!|OYO73%9~^%WjE3faPetC1Dy*k(c9F$i?*>Itd(QM;@0FR!&QQIuh@U85CrK^ zg?5xg?SFq1RLDo~s*`Fl15g+5xd;*+xAW?Sv+|Cyw!+H3#+>F#$W^UwhL3>zI#jiz z+PK)dD7ncSci#@3%^myp&y=^zpIsZQ%kmZ8v#JJ8uKwkK6w#FnXT5WqpcoKvosDIS zUWuaUVRh6J=>^*CsoZ5>Z^^K{9JP(gw6Te2@!ZS2<;)H9I`5x(3drT7y^gDE_UNl4 zA+6EPp8V%4?no$B;W#m#$38{D#CAI?eZx@-LwQeVb;;gjsR`XUBiCRW&18^G91Xh+ zcMW~G51Jj_KK3DgWg-8B`Y0B^QdyyBMrl*~HmokGw`MfJKX6CE{Bo8pURux#S(Mb` z?j7;~3Rb zxY9X(2rvJ_aX9R7M7}nr^1O1-a`{6V^mKv#^jbA|A94-a`p#;T#YK+(L8!x((ICnX z7p#9)%QbMq65j#!nJx-1VAd)ui{`cm0UhUvGC3^S{N^--(9;3C6+4RB$tfGJ12n9f zn3AG!Ie*Y${#C;wRJ^yEm}kRDTt7&VOg;I!yy1fQ!0s8GgRTzTL}6)J@$Pd*W^>FS zwsoJ0jNA47BKyziz~7rX5${xrcG8ldc}L}zyuSNZy()c3>sCg|qkF}jEmBzk%}7TZ zun@3_^uxRQnPwI~J>l|SmXV77;Ld2dRWAwQ+v6acE_o%wTVu3Y z_PQwVVflHpSXyGS-?a7`0^sn%zWl4zS&^aH@PPCDJVl*9j|g*1hzjUa$GQE?Dqg6P z@~XNtdzX3V$0`Rdqn7rLnsCpyT+qA#uh;MYs+8;lMHjkNvkE2PMjdcf>!7dEaBx>P zl#dQYT*uICXux_&iMHw5o0Yug^|zmEC+2T1gYZ_--vJMw@Gkyp zSBhQ)@!qx#K@YyiN?m4#?|}8MzMU5!%ChH*cYx5;v+ffyiBERa0&T9Y3fG1@(p+JS zTVs1ptTH4TW|m9eF+)$Zuik_Br%S>Ax!u(n0vRHWE580wbjBli7V%nT^EI7d1)#t( zX%BLH{CIP|c*Z*b(Zv^wlgbocD@RIUk1uhwG;$u&O9lvaQ(SYpxUfW)J>w~!(syr* z>K9Z}RXA050! z$2=}YX@ipAh$$%-$z&%cHtsdFU4LQShpn}R$&L1o^}CrU(-rlLAwbq~CsrRnE#EPo z9MzRoJkvRfL8_?6oUnhNSoXl<#C3WHunfJW#9R3;Tv3m5s$0#8j{C%I;k&%PCXv{F zFE%}3m>S4?U@6AdApSH?pc|GD<67V?Q?@}64Ouva7?U*nJDS9&N`?zq<#KN4*K)6v zbmFVtYrMV|fuepW|7N{KSMX+`>{A)|^4LsjQ9fGxy4UF|`uay$Yv5R0l(F81mFuhm zr)UC+%@G=HZ!1c_oUmD0?@tWmuPdo%+RCKmd(^sVX&b^%0a8f3W)}CdC+LlT;H;`B zx^_PwZQYo~oIVxusdQ)4j!(NaIjFOi!0=Ym(TanUHB#C%OJec{aL!9LGL6^{WGMmW^(F5sjoS8O(~f_^%fc~ zzU?AA*gM!;-PTz;s|cOBtr`wMAbpL3R(0%>qMERM^rneksy}`QWEFIpUx0Ms+x3f5 zgqPf*LEm}z+77r;P<*1J791$7Z+BOWWf!W=VhyEH$d-&;vCL)%LC>$y3S%Hh6n!{s zK1ttK%b~`u7292jk1^R9&Wmj%zkqlkwil%ymiV)_fAVbdNrh^qR5d4oj%1=#ukU1+(LDajHC_8Mg z5E)(R}vaTF9W$8_^4ngyYOzVAL7AsttRRE8uw zS=y$o_ft~~|Eg!n-sY?4iX)5T*PiX@?Wb?~v?lb`#H>HHmAiSP_vDo#17 zsR#E_4??g<<2ZI@x^A64LW-NU<=q7@0kI`rz!))QGAF~UXi;@EC)_>^(2tm23W1r5 z%3Idig}L^O{-8zB4=0qoB?XjyzbtY9q&B@++-aP63gW8S9Pg@EewN<%patElmm`cL zKi$(WuTnC}u-`6=!gF`L16EykV9GTP*;(?n_5g12)f+RMjVQmz>}f2q%Cj1dxTOdT zoYr3lTbCPn4{G`%gnmj?Te~P5mem$D(I>b5iWMb5gTE5qnZhcwqi*c#(7d&K2j~E0 zdTLC)qY61D?Y{aRv_>yfKUy!aI*-?#HTp7$q0E6q-dsPFkVU)FF%xr!*8b;b2j!RF zWGea1nl>brhR%*nsh|3Le@U}$>6Im8Q9Jc%5FZiem8-Eawl%H24sJ z8fPO0^txG3yji^iwtOH(5wQ92BmAtD{9j%W2OW5cUqsUchC80nIuAi)X@1=}Kd-^Q zd<4IoRMf*vq`ywwU2;azltwjmG%cK3db6EQM-d&x2PG&kIBn)>pToDNRiLul9R{zF z=>N|VvoPT%MMs+I)f%>{rFnFT=i?*j7OmP#n5ZD z>NB%ukf1{}P{bQNYZZ95nfrY$On$VkBGRXLHE2Oy9HC>8&(k(S(EDtamHj&*ckiKc zRpvu8V^d?>K**dYpLepS7VmDv!p~}|)4Q|+oV-Nbsfw2lX+9rUuLa1mFLsQD0m9w_TTx0JTE;KsY^osmb*TyM2-?BAt)41x! zHf_EEgYpjggw)Y|%TCJ%-=4N(ywi^+Q(G=B75F3k2>iF`E0#}0S0h)aMf`$05%3Pt z@UGnVX2Sx+UcmLaxS3|BsQ4N#2Br1!?vzH)aTxRWkISVV(GxfhGFYgSC_%GYza*X* zOU?FEOF{8zX3;+jjvs!jIQV#Vy_!K}aPsEGy556F`rEz)nw;lGnx3bNhv}TPZ_6mC z(smt1xjbI%$PLl(wiWN0MzeGL9Z>7^QcBC=6ZEnPv2y=o7mR0@jsNlo5UTt)FG=dl znlS5%yzwtbkZN#yYZW5vkl6?8hH>`j)cOg&zhRK)3`AATYW2W!?FFC1qRKi(Gg`aI zW*E?P3hJIRGrj*2q-}AC#3?HkfV=h%xB<4sdjvIZFNpJ5j`mXzGk%JKs~rd)!i8Fl z@n#>qwO5CezQL(*%a;hVsXdDuJ1HV>{E5lw^yO!k*xi=g02qEyLu`C5^ev?Q$>8{ z+jesgR#ti!@TM{L4v6H7GokT`o^iCU8oV%O@YcJuwTY^JYwdTZF2{YrJ;8P5*>4@{ z&yomVI-#rmFaq|*J~V9qS^lhmPz`UfCy5}E_S)}@m6Mb>-is$(1U0>&$^dai9t62VTqE71Zy)Er>vkn<1@a@#B zx%{r9RjMU=tvL+F*=BbVjN7Kl)jSynFGp8<&sMK5$Zxc~8#&9h6+>zDX-Eor>bS8t zVEk8VhwcR(3hp8RL!ND*tY06#7M{yS&dQD2m}u@hV2oY&YW%fqO29j$k|kc6j`izL zAHM?2=JV?y-joDm4mVv(G!N^T8ta(=&*62=;;Xhe&dWN+GG`7|rE6^9=0QvIQT!63 zKBUzFM_=($+&34UMI+o7i|5a8t=|tD4QXV{x2`AI?y}wia{IF77c~bR(ZqY58J)8T z)3-r3j2C{PH$vTQgb6Y8H`b2!S5WI32YB`$-F();n2DUii%}J-jn;D~u$F{RQA#RP z@+2)RT3Dzieb>?H90AMBdw=y)90vdD=9?=oaYiZEHFZR@z%{BppLjR=bsMhF?!cst z4l{SgUt2crE(Mf2N5x{x@@2~X{m{){5jj;mK)H`)e={x*y)Wm3FYCv<)BD-+4z<)n zE=>z;^RmRgLAL8`UNPbMmHKyI+mUV}x3`o4mj_MV+h3z_p=DF%9hp9f2xp{@Q0u`N zV#*S;{Di=|rX6?}&Qtq)8Kf8Y4~TCH9H?7|uz3o0S2X zLU3i+fvT>UxnE@OwG0nXP+Y&49qh~l%{+x|U9OiHlHq2)Uzp1c12J0B7+BkT%%=aN#LstT-A;9JD11sqt6K`ui`U#nQM^8lw&mUw6Lrto1+eg1=w%1jn z7ha3-uBb((D>L-lj?M&eM-AXf9c*jV8M$exDRynDt?R1hKtjp4Z#&=C0#~vG>6jb# z)mxa3n4xN;vh^zg7G>pCAWaCS2nW#Q6*B#c=zS*H{`Ui)?DQMaS=XbBcR-Zh%+{Js z7lx8bFrPXQ{gw^)SMzL@%V$;1`*TLUj>7Bos`~k|&h_Ap-zRHLOFWhJj=rv&&{2r> zOxKQ*(tmy#ovjY4PTgUmD0}SM+f(Hg({$1{L<{=%u)Tq9zSMU&sHSf+g53dL*Vb;| zJ|eU?O36_?wx#ld}o$B2|udGLb z;)a!_-$l-KO&ZfwW`;P&i#m(V{O~jz`Q@T=<3qzP!YXl&hx7Ii7x44v@Uf;7f>d-w zv~wz)*#g`GgaO{7kRgJZ7Cc~#?bfbemLi5-T^_Oyk3@U= zxh5!qXuqtI|1O{$sxf~X787$R{NxT`=7+G>7Q-UaUJhhU=AJmCZpe~MzoDIf?1gb@ zoV2qPY8?b%$E!p=k$opjH^+};ItQ)MxZc$7`^0opuFNxLyaR+QQT>d`-r!ylLbsoS z)GfG95LAeswwFF;X*o9yhd%4Kb)l$!FFDXp$@FA>&XF$s+&I%i<3<2=Qu+TB;+Z%_ zS>^rmYWZIQ-kDwPT-DQYV+`lIY9Cg57Ew!$GaA0Nghw~ZG$-%u2Nn1OGvLyg39OeS`H0NM*5|b9>Gxq&2dtxrHmo5fi5jicD#$uVN|2X7PKQIh-3j z=-?a*AyrxDVflgQoYpgp)}B$EJHAMmuJb@qEoE6ybV7%tk%=X*^OSS#-n*+qd}i`7 zUb2%iSsH$*7e4z^OTUh|^0Z+Ag2($m(0gDFf-CMb(4vw8|DZ#lRRpmMS zf!1I^SwT#k4a>yu6zkfA##LM)R{@d1ycM*%TtoYXq2LCK!w=k)mW{RSgroLZUt?$R z)_S4Yke9{}*8%y?lo`k48o?8iswWcR`@QwCW0y4xJ4c*xcKgvbUrnIuJTS-1k{adj5+Dd0C&{TMVo~YKw$Io3x9|cnnwp z%Hn7Kl$^@F16I#uPpA^}nS=yBXXON9b9Yec@o)t@iTj z-;ZlV9}POtAf?~hIxK}ACiN|WJ4~5Se5p+SBR~37!^G~r$>2`^i^^TBs)A1_1{VG-rqS? zcl!zy3PM%Wb3)ee)2LWA{E5s?#Mja&g}>tOx^T!;OoQUKcEYw4i;(5HS8?0y@)ttVl)&2b!Zy~!OK1i_jLPV;B3yWlCZ5fRuSh#b>K4_E;V2wD0V~APBjQ7_^;U-;px)PV%Cpl zdqmq6m|Z?YJb>|eA@qml*zkd&zBbT_bj}Q&!_~`slgL>i$)C{j{8?>U^EgF+9=L}> za3^+SrvqzoyO)%oL?fTb0$IDbe7<9Y%kKfg?6SPc?hZO&*MDf?!lNVoGxLpDN`3kf zl0{cP93_8nuwLUE#JO~BfS$CRsH?uC;VE}Kmy~1lwJi`Q%X<&2_GG3jcH=E0=&$)p z_MJQGnQ-S^M|hZTa=NIH@+uu7-rUOnG>7;$;W=+%e)u9Z1^)k zIzOl7f-7HG@Y77RWC0+ zHET()dwfFXdh@k`LxccC23Vs5{D_Wfg)slf`hN?DMw&wYersx}Q4{(O@aM`!CDUb` z^8)HCiA7R5EiLyKCF~1k-p75?K)mJb#2XwhnV8J_%R{M_^XcRA0Gmv6Z}m%rolOVI z{?pu!+UsUVxlLmrPmr<%6CKQJUbdI{zB)XNNv7Oir)lFy}q?0U)cfy!Y5IL>CB zA+rS8l^Tlnf}6&m4XMaP@+V$v+~nf5&W%rl-y*D6oq_e;hY}RonK_QXw<1Ija%14O zKy!LO|G=p|Kj1cSiwjtp+;l|WrMNgO?R+6)wFO!Z*#ezQogHR|4o@Ab^Z*X$RX0bY za#1uLRQ$~qAU(Z2zZ7T%Y;jHtFkSSBc!n^(?X>&a7AVDSqxQd^fci~CM_iGn)g&5p z+2BvO;=STnMtBJy0F7+F@VoEMsqg`eYwGT|d;ntou&sLM@5!oUp}|tW|H;lb@}awk zAW(ia2T1gH<%=FfJXfAOwzx-p&k43UUN!+C!tX1kt&F%EF`zNdb@{sP!9UYr8}9qd zUq*Dr_dE#r69w3sLq99eJy;Nl*g5k0uAR;%KSYN&CeY zSpL-XuS-kIjq08c&x9$OJ0hklTM}Kvh8r-e*}2Q@z}j?pD2h~o?*;>(N_4lr-?Rm~ z3T)mbFur;W)9dGNeBVy^@BSwW=al_GE`b_`mVp!D3Apo$rI|!(Abzo&bF&_B-%EH0 z0AU%?hnfU`N7~Tu45? zrtm&_kyW}9`KAev>v&7xzc?DdOqH|3#N?{gTnhKSI#@wg~EIvs00VEsV?kYbwaqv&DEi< zeIC>sXn)JB*W4V<{oRpxX=z%#FH${|d>I#;tx%x3Bgy2XNQ8!Ha_5<nte%CU={sJbg+TD{Ys9yA zHYX?5L;QA5-iTS(nbf)&db+5c#Gu`JM zqTLK$e((H~CBA&KVrC8Jad#C_t`>sQlh$huGP^AW48&voNVBC>=u=+e{_SaDBwD36 z1v5bshmG<2t7YdMktKN9b)dKV{=Q<@6RrdmOs6tb4?5yxL;Tcj+CcTwhqb8ts_II# zi|0U3w)=&Vzdn{O;R7pm!p6AXnl)=6*aE_rFMoOa_V<-q-c{&Q=>CESx4e17vW+wf zEZK$ghf0^4?nX{&e?*kIqOLDXH1O2;WEUM#ih1fN^(7PkyM80V6Z2ssvRq)Drv;u> zG!L~R78PL5?bmC6kk_T_wA`X&+_^}Lsn0qFf%5PnEJpY?#vKu;Cz&O`Px0|llYWA^ zR`j`E^u;NE0GuoLTQTA#HqajUJA&+r0`)OM4|;QHH2E%o*DBX1EEz6@a<=9c}kIobb4Y-kZPAAi`DM_DW09=nbvt$pmz2jy*&8<)b-7#o-gW(rEGIt}@qM=#TZ~ zR7h0mYW@Vh#0m8J+eV7G(C=G!YB*BaQg&^hnF}RnrcdB90+tJV?pxZ%=nV_gd*7P7 zsx7^{kWFyD=%yrs3U6Ku7ftaG*|rz`yTfu~Lj6@v$U{E`leM#=#XBw|G@x0C;(;kQ zwxhr{ay|`g*qCB@O*P59Dxkv|J-GAPd>DuNVJrQ#SaAf!Va`rSTY2#O)1JBMnB`$B zwKpHkAMK4xE@?#DbM4L4*y;O@cYn-3&pna3Hvyo%yY;4i(gw5kP1v-0nsG4w*7&$d z6FKCgX=STJAFx^suKx(h%~gP^%4KItLI);ebKn;IlVyczBkHn?7J+)2nV$SIn-bOx z!$^0%Y+A6yH>IRXupJW{wE$4}IXpd(37 zk0VY?FpM`y;DutLjp@v1dU><}qjSyWC&@fy5ckdcbF9kky<`nyK|5{ONY%YOw?04e z?D2O~P~R_Oq!H*Bi6mX?S)p$9CIq}O5%^3GM|;_Fzp69bF&mZ}I17#MU|`oOYR-OH zla$F`?zA>7U7v?VSRZ~?&$=eFsY zv;wnDsXRDi=ptKYPAQnFEjd?7m2sNvX}22?(_qX7%<`;2k>>+55Z0HUAf0SDoa*U* z$scWQ7?nA1CI@YS1gse*noZ1bx0S&y(5>q?t-@FIKky9hXLCwd-zZqH8ird_>|D$2 zH;j{}#tQiqXL!CqC7$f_xQwu9T#XUDQqV&K&`weS?4^#g!N_JjP1oJImsI8{WK7m7 zfzXVOjMMw6E6e`ywAV-C#vxzFO|Om2>(`dYYQS;PuP<`pfn9bC=Xw@?skwJ&m{nX? z`gAr8Hy|N*S`d5J!mW@D8!5MZB2@DvnPjqdrbbGHOM&+k*2G2Y7*1vXCU9Y1GSI8>%Uds(q6^-*297dQ^RFOnodQ@ymE^!MaY`q2Y z1G1iW*UUWl zH9W=2HLTZ}Ddw;R`t%B*AY%&TfI|f>N+rBGY0dd^_L=#R<;cEIx16vOeyT#P;6Rz{ zxhF&7V(WpsG?PA2@JBK?KiH+3iyrvcu@GhVqSBa5hSu z_n%WsDJ$Rx&dFu`dK`F(5p-Hq1(jgC;y+>Jp1YY51)SE|GE8rEnF$zv{et>p2IwZf zu*+D*U^BxX_zkc?2$qT50ujJKrh&@b0;%dS4+D31)D*7k+Is@ph|I%}#cddQCg~4z zy${0^z!;(ROw>{{_s&Rv?Jdw7I1`KCn4VP=iS#!I@=clb5@w3zas)G+ak70==DU>$ zri%mxGfw2rmMms@Ix|h$wm|4YW_I>+Bu1O2rnv>$(+`+`ZDd$+H)?|4dVL1q@uQ&e zavVNqp7#D(82$x=H68UGnU$1vi_0v@7&TD=Ua#5M+j9O*qtsQ-4dG0b5qgt$*z5`b z0At33M{~ZbA6WcaW0;qlfZmds?HU|JhdpNLy-~ z@{h(EhIiWx{qCbEhQ9c-e=^2Owgdt-wzN>S9HI&MMIG0b*|*dXq@;y`yw8@mn9 zZ=2z*D~=%CgJs*XZyp?9d9|4ttW=o;eR)y>>!*=u)&bf1&ubF1N9{~?8-U9V1+ot3^O4=~wWUAw7d0FP0vs)m* z9-jdsL71-SO(`9wJ0M{<4G#n*8&tj0V)m?hT$R{jdP?pJdb*w|p0v4Gt0DTX6FB4}{y8N4NC5N@ z5^OROX^-lmH|f6j^UmcR(O4O}{V|y?1X$>R9=_$Fbv`YZ@wt4n{&a7b%G=`SqvqQ_ zEeVXi=tWJO$FI+VXPVN&`dg+q`W0;YwGNxL7oKr~>OJ>Y)#oEt$|T2D%qD zCptW-Y(AYJkzw59Vzp^*RySyh2-*!|-6MLJ{EM0B*@7{)OD*B8Gio-on3DJNIUklsp*gKb9Ev2~oUXjM`Ofj&s;PM< z22SdlOzOyc$PNBimMo_|&!!v3lAY=c%1F&@iIcB8X=004KI;Owk22dE<>{7=CIs~o zsuTcTyG%NF{N}I1$oxVqqAIDo@GI^I2{JlV2BBwmGRh~zr12Na%rehfu1d9qv=mgp zt?CD%u_Ij?_Abx%rv4_*uWFWHsP0hWji<|<^1OO3QeK%V#TOK_1M>VIB41%>mr8Ph zlrUEp+ki&j{Gnf2`AyZPS*&OIFjM6xqI!tNCcCIoJ83Q5kk?^7w2BL}j}e$@*6~wf z$t$MJ0Jn`0y;U&a0w1DK(c^gNiZ%WFGvoA6&9&14m&dzknzhHvYn(=<_^*7@wR>b# zI;erQi&81~y)YiGH*$(uKZ9T6nqT6NqIdzO=pqg5AT)aY@-SPKQ{qUCyl8dsmd>IH};Fqrd9Xm!cwT*ROYfHIRFsh=d??#GV zOSlC#>e?^6Q;PeMgf6;J@NKwuN{sXFUsd^&wfy=__}^`YK}{_eFM9jCyaV6 zm#BVb%+zt8TeD)(9}3nq-p`guy+EoNwXF)YyczhdEaaNng}|BomfI(<5u*3L_iW?m ziky0!RQW4~6~vxFl`=}9EV`=tLZ)ANw>^uSayuyD{Gl?O6i@%YL#zssJVa37-oBJPhKy9VaDN@%uMVF}7H93NdBqfAzd*gQc5Bfk21E?pDj-KAqTnfy9w zcO&7;SJzL84a^^0OD!D|oBz>sC;}}K(J}JqenOB|!Z+(wtI^JU%trmR z?1IT*1#Et+y(m^>yLQ(FwR+gjf9hY_WjqW=GnqEdAGZ6bDw`$K(6Ewtu0!*VXxlMR zzLlBPXiafS7@?(_BC7SH&$wSjJ~1VzE0LU=VpGl@BHwr4Rk?KVGI;rFk$jTDU7aqz zCovWzL;io}SY#3QNM_nZ>||S%rIBY^W^fXGSQ0_D&e|O6+joA4I$MHX$U#7}bU-tI z7u8HajPHnyT-lPe0>P?|0xD}}8!Ul)aLPT_PCm$i$4%TN%-YrFK`f zKL~rl@EPGexF)`CvQC6KG?sLxVoY|mqskQX9&bLnF>}ip-6Ln0e!$YG^3~2p5^=u* z#OGhA7OO}fK6&r5lVytT@i#cPO0r(*7wmy=7e1k`=0urBm@hg@v%{oZGJHa_n!Qij z7Fe2dWnU#4-f(TrehgS+v2 z8Jl`dsd^8pi%Lgmb5|QtJP;2lUW88b%41E{z4W(@Nw4QJraFz(9hZS+>CMcc$^5CX zZIBva-#y=r{wGC8^O(4e-W^1yZg6+e#ZwEZeHRASghITZ_LPZT#Yj)$B_qeeOseIP zII1-1yuZlid83ODE{}Zt&5PCmKb}rW`?raLuBdo}W3bD}euE(_Qg60lMp>!nUb!Qp z?=l+xDwtayDB*zZY`>b@Q!d?UrFk3bPngYOEgv8(3HWr^8qNsDG$Q)L5bTLl1749idt1b58guV@AI`S4dagX<(KKUY#xWHA zoFBP8(!B*lpUB}Wv&b^aZ8EF+B|t>q`0P3j2553lRC z^Qv=Q*$ar%Rp+c%5XkHV;3+*HqP)A|4)$_t=Y-)^DsB*(-}RO@{3)f|r4uz3uQ>xV zfi6l;w^Ucf3rBdqG4HPHs5^_wtlk3MDBl;HLr_>WHFaZP#3{k$p0=g*r%Giu+kg;h z?WBKonxoE!p+@v432$;ctil<#+#0|yqMd~qBW&Pkfeat|cuCJ< zR6Fp!u1M~qSF!o-!Ab*{v2i8)#UexNTg|`DPrvDf5rdf6e zQ6F%uBSk94mYG4a@Wy&fR~?643A{C!W58DDQrOeOq69W6q)%}6xiFqaQa|P7kE70i zZ{5H^{qaAmoU(koBSSTgC7c~y)1;$A^);;4t@O>4U?*F~=j1sQQ1GJUEOYe68u)ur z3vvvQ2%VxQeXm_XZ2?lNH=e+eP-E)qBx>M_`qUO^yo-(i&xr~lwm^&ao~21^T#;@5jTsBvIckw(*eDC5#IOX=P#EU9sJMWl4emcti#|rxQ zzecoNf$vj(vfVEGlW9HH`M7U$c|}D7WWKDKio_lDXi5Ckux(^NVB|ko6n%onexXbW z@8=pmGPd}I;hX#MDfgj23Fu)lD5q6Yh0ao>zn5sG3vflztP%y0ct}#rdk6$sw?Oo z1suhJ!9M=wnrrMGkKV`>Ea%eW=jpe9#6%fjqo@?v{KIhq@$`Rw`F~mmz4~uZso=>i zP_cs2pl0**6KIg0p1-P<;)kan-Q}d~i_A4!T@^1pm>M|7+{J@be=F-%CnvP(q;`6> z-v3kMtv{Ku|Lufi{q|Lp`OBpvg*1uwC&W&%_NAvB3B6owy71=*-m$(m{H4*ARhgL{ zRe4~h(9PvRNvjc1w*|m&be_Hc!^!BE*8eGIdRi@RH*$NkkK7}GuU3l^mC!em*ZikY z38OxA)pXPV98WC)(7%Oc{*1bECK&+u{(tm1!BR$fm8B}Gr@cL&iZH1Q)(a5M(`;#Q zB>0$+wKQQ;YSBYeEeQrOkpC9F=w8d6+K&4>=_`kU3p*1x{#eJNTmApG=A+U-*L;*c zqi{x9?)Qp5Dk+`&rRL)~CB^?cYCi68*s=HjzM7Adg@q4KH+e!1E4(7{s;Mlmq7MT* z&qamcC-~Jt)W#va{XMTG6txUUlTUkfwJ?BiK}G^o#Z5+P3?10$#sLQm4XJd!vuklc z2}mNaCl8c>w4GOCl5>Hhn<%Pe`;arE#G0uERF6!m7^XYnHv_Hz0(mtzqB;|gm zh5kRxMiEuOMit%{Kf|B-<9TK0Y|aoSr1=7Ztt#Nl=j~>kpWhRE+>43kR#R3^a1u>O z`d(l7z7faZ^W04?DjrUsr&q5#6v~wiQ4-oXp}D=~ylQzvOHGl|Le~ag1Um{QFOt5& zYUXyOqCGUD&m}oO%3Gj$K^L-@)lRCf-GJ|ir&8fNd{Y*@cu(b5%23`+FkW)aw#jDq zF;d?uklQ^;o9ExmPWPD*?#A_-XXYMXCT#jwVx?@42WJgT!58$zI5D?9E8CK(LbeUC zN5yjpZDMhoDz4`IDQkb9z=sbRLPlxsf|086BZT-!cYhZLF24QT*FM0N#$rjPrgbUB zIdW!--%eHP$cS;YxIdtJ%FD$jwm#2OZoiF4goc;h3OZ`}L_iaKNPP(|L02y}>V~qv zXxn!-edL6xzt5{?g#JlV&}mPTd4#u3BZn9wZl@o$)3W3s=gFo;Np{$|^;;@sIUaEq zeWM+MtHB*$?ttF(Pno2_z|{j#C6lK3SqW&(3EnAh8{418rL-H2fh+;1wBoUUkeBJ@ zFelnpz|+7%vn?}4+#cAN)6SN>@-=6Zo@7#S5Q02ovRIc;K3Licy24k6%x$Kt^Z8wu z;)M5O@&cj^IzyH|Lc7n_&ebmq%*vKG%hQA^&TD5neI2|}l`>Yotcm!b-WTIkHUB1^ z*i{x>6qNO@J-etf=fIqu2oHbaA*bom9+fn*o^LMGj?;B)M6s>vitBP>vfd2r&Fk6t zqZWtDtWb&?9hksC$F~ztdv-%JB_rS}aQ)c?H z4Fzk;IF%7Ts34)LL;-)v;eTc^L0l@Ax?_foE=Iab4W@nX}*rcVg-aMSDxC+D5#o*Zs~bp6V; zE^>IFbO^&x83w=-e~V*q_eaQm77yek-f!OHO>7Q;T2zltmgYPItL)aoP}q-}9J1n@ zC7NsNhmjH-od&*r_A4)17cQ3&j8$)vaYQHYB?V)};7N`?qqu`DJNrtbTbrguSBvBV zt;l!3)}QNtNBfqf1k>5`MO1oNYZ{6k@xILrpqeq=C89XEi?QbD;b4}reC1;Q#E zkF)QAcA;kVnd6_*&mUbr1pt_KwgY!-fPKP$dRK`a?pZmtxl3rZ`zGB3|F>U-d#tX; z+J*mUWUx953P)dkO#4nuvh>-+-2)U*`$pvG{0pe?u*OwJCVtI3W{|Dd=9 z`g#gTePcu0A9r+K0DsfJymBNu)~;KFb`tf_CBcSEc3PNu8b1(6o&Qx*0IYuqMgIQg zYu04#c&~t@fp}Y!$Mpb~h7kMPiy`0p22f9d_pOVpLGJPw#+I)#$H!DY)v1Xwi+YBg zQMA1PYpJViTcEeeOw#w)4t(w8-vY`1P{H42{F&c{s`Q-FR7!Ee33~vjO%|3p| z_?i^ueG7>)Y}vBsTgn-acA3&rDUhvHg!0AKoBP?V*!DH6-C1|xXWh2`9ukfY z(sS|ZXqLYLm2l8`w;8tht_;n=lOMs1zz81172_{d+Suyk9Kb_2>` zUn`-5SB@M0`uzJJqRwesApP8td78g?FJV^F(Sd92H37{<-9AUl)o(=UHPkG#*-iHn z?5nQeb};vQ-5OM7Ua#8% zh1fE#Tf!_g#nO>2RpHU*t_Oo#*`=JnGR}+=6{@ejPJd*D?U8*TC#~d_@qF-2Z*d+y zN9=ZVG;b-w0FgMlb`%jHvhim5?>Mh=50ymAEs!Z?S}Bt318uz*rI5#KD!1?I3yug& z9yOIHVyKl`jIyH9lsevooKHS_W5F3+`_NK5?o?Yt8le~s3*msPdlQ$QMnFgt$fq;z zIKdry4xbAuT=4>}@YpX#f;cB~G*l%+DY@6!s<|P@ z*GXC+o4c#pvW$GZrp%zYQ1q^+EtWDHV;*ZJ$Y>4a>Km6tc5`GUbeC}&oQrh#O3oQl zEGFYBbh>uwWmwa}nY~fLmdO5yV9Q9Z*4FpUI%Rbw`L~#bUg2Uw$KNVPb$pcL-J#~D zq;tMx>1wt@_vp&Ls-zXG@_eCblg$!^Hor3S=@t*4n{5rAPXv@Q41>*=5T{gQ3e21m zg7eO}LDchytYq&ucu37CC=A2%z1b~1vt68?7d^}~*6J#J_`sdTay@sMO4rgK+&0uj zH0as|HpIm(&}?rtr>m3ogB##rtyx8k-PjI%ASXeO51uFK=$MgPYr|dmwaCfU$3~>A zBBU_M$3PDwFxKg%09#SUBCMs4wFLBM;zJ+$4tXt)C{3U*^7F&H68z`zae3m{UAEO(EA)dVqj8 zY8bu49q&|}fR07%VCbAHM+jQX3`oZ8G>Db5HaVO5nJ&R+!BQGQGFO6FCh5L%yPdH- zJiWdJB05iKq!A4f(hf(AMiw}^x+ScIXQeG$i;T6^6{4O=mBp@-Cd;SUKPMVJXe)ZO zcAKT7%k%x{*R?sgtB?BLOxF*^HJ>71>ohtIuV55E(vPCi+FIWJca1G9cWU@^L9CL9 zatrt6^NR_%Omh#<3(|~llj(QIEh9V@WnAfws)_S*&r2j|&Eb~v zg_OSbLIIx$3)&i~qitUp0BNH|D5=aWO2V^I8F$Zl194XTkO~^8m7;9W)F8L^U?ewCQmo6^zFwwdai}o9>GIAd8h(ttx!aaD9qi1Wsqq7~-Aa{-Jsr-c* z0UhCVGvWYm9bqs6V$yHvuwZwKfaA0*Kgdb36?_%w76&SQv9KuoSuA&!1FrINhQra- ztj--N+ZvcV4T&gQb1_Y?RkO*yTG69{55WXl=Hzb+jqHbSEYq~#n*j7;i!nDMvne~dIh;i?zrS}f|tzlhUH z^-?=D?8+{APkYQnJ>7*p7}~S+4=M|2a}?ROs`3b^@bfRNyZd2}9a;-nB0Hh0XTt5I zk6rbdd64;Y&kUe=Bjpi*JLdZ?$xqhD{klG0=^n!`G=#|Fte!qFWzfkuSs_0Bv*iLg zY??6T5fD9JByFz8{bZN{T3DbOj1jkV!AD*~YZ;K3S{TXA0I}DmzP1d*)qWGx57n$o z#Q>^A{X|g3corqox4O#KpD?-Q^k~d1n(td(2QT zw@^4rX%W`MCKa_(j zLYM2z>J}%1UIL)$mkx+Tvc*u6>7hO48^gDw*Sx}OFPX8Q{N`(%!p~z(Ga0(%vpMB$ zm~9Hfrd1`FQ#>?dJETO4W;mDPMIRRm0@vaI7^7P!{Kb1Op_E?Jk{#-iD$VYOJWVw+ zVm+%q?dzAQ(+rIkPUkB&aPJ4;l-`uf;5Nzm;%=aBJ}|ETuY{Yw6f%z(RN_Ajxw(z4 zDUW?yvS`1#bE=O8L&mILOy4GVq2pob&Py*7E{2QaY9yhFtfFs|8seZOj=mor3IiX- zxn}l-Yj?t>wLM`sVa`t}_K)u0=89IRyM2&1n!@!=L-y@>YB9+UIo7Hgy(*Av53kt$ z472+5Ws>f<7OL!?IJAd10`B26;um&k_pzFekc)SJ+Pgcgkn@DpVKG*{{mPx)XC56l zoyK>6+XCebH)SS6%^h9Q`V(81M@)m+O56G=P8&gqy4Km#$ zQf!ZFR#7zZ2=W!uG}$AfP{r8Z1YEM{v>>dgDD77|B7gAhz-na4w^M6SYyZydEb&4} zscT91 z;6#grr4lu5z-^rC{<#fd{xT&8?y9Gwk2krkq8-kaei@HPU9<%2^bQOgOo3~4(&W-Q zqatU>p3yD#IVHg@Yk2!7&Yt+%`y^5>RFGzF@rW!57bX$s)hF8pFPk13Gk-So4W5cl z3x&NQo6k1i>tIWi{4%n%KnaL2I$J1HO&3M>44_Ob2%%6blIGeRqw7(Ky1im%3d~BJ zyF2KWnqKUz8PR0$1dee6TIHj7Pf)mEFc_kd)BG9M^U3wVYnCk#97(R&e*Er=*-Y9h z)TpByZEkA6!`PfJ(V#kdLm261M$WI*`owQ|qmHcV^>V;`s>-(2LG?t-{Uw{WJCh$?!nsw5cGin;hA+%3a5?w;f`Ii6<4MOl-N zgsZNhnS#gj2PNIROyusiCGb|gIu6UI(<5mZ1Oe*fua>J(1b|nl^L2s-In6XmZ zYfvPw_N#|WpJgnY^Bw?hLjFUN9%adBR-o=HNuS`v=Mcd8f34t1VV2_i9C~cA9Yb1D|k7$ zW*S}filyh9m;Sy&;*d>bStU)W{3&XeOaU?MjGB>iPPWusR3Ka^?Xh5TJ3A4VQJ`YA ztst`dV2oBsy6jv5Zv58Rwwwn${TOmAf))3x)yp#vTj!Qy6o-Q$kx55p`oa6To#Gf^q3>6pGIblJMLsm14{y2~UfgESVSg-S zcSp*U^5@deVNb+v#)yQ68JvXb1NesUp|u!~pqCw7wpxe63`D&5bUZjH66V=P#$D@= zrk)PdJs*AI(fQ|%Ki+%|6yK2F8Plm{@oS4fcZ$vD*M;;1iLQ*JiGR!X~&4(Tb( zs9fuHfknpTz4U)-UO)1B#uV_Kqltwf7xqh@xxDid=+R4qh@Qell0-vMrFX+!7Ti;t zx5uI5cSp&y(8}J5-2>-2p`!h$Jbf6fTKp6cOQZhC(yN2`@rR9&DU~PTalIKQq<`M{x~}Rj+4^ z+upm|M|Li5_fUVnFvRST->pc75vs_RWzBmK10Ek{u6X3)*5*rV=eJ?Q>Dhc{>KBfu z{KI19`#D6YtENOt%lmFzb|gy_qL|9=!8vpoX{rd(@4+eFW$|wy_EA3`NO)cMXm!j8 zMgaGISa;+z8UCCU8!2O0$j3iMlOMi;$~bcNsk-8HIwf=_Mn|+%u*0O@*nEd394g3m z8Lm^Sn*criX0I=Nh`<}?RWpk#5Vk{AZ$h%$3Pwm-wSBH*MB{7|wR;|ll~|W8ko7L3 zoZJYj8eB{;DX^|TzM8DnEh8jTHwC)bAWIzK(Qo#h!9{$z8l#y8sGz5UzOup+Z-Z&LtQ1OMV` za88whiwkuHO;nI61Uqd0wjp%bTk69wE(&CK|R zxcbZ3oi>6Wmhan>ec*%qrd!GV# zSFd!{Hcycr;h;UYhaWB7D z?Os$VhDU+AIyb6!q0?kWIjTF=@~R;&c6HRR3Ki>&({!EvW|7(Vm0Eg+e$2AjAy{d6AeIyfI-UBZw;uBn4 zGtXH%kLHxOxH>CaQV5kw>svXU=LE$qJbJeK+wLf&s)NGb*_=Q-RC*J9=UG%xfJivz zVdfw_r*!QcXqMmV!l(tzK<4y@v3om66>h%cCH9@~;>rBwve?)<$9$imZ8{IwhWzqz z_p!|bmc33&olprFWVAv(lV^9f%Drm^x*@vy{=E-fWXfhf8RQUgb*e_pFywkb=k=eo z_=~jyhd=pcey&9_|njA6O zPIt=Pd}jT>i>}#p|AutSZP8HR0F>2a^V4R8@t=t$p#}zv_V>s~YCQhlW}X2t|Fb3{ zDv>6~{LdUnS5L48084fg>wi-QfIj*YWn6QQga(MVh%D-Vhcp@fsiLPc?j00u5&KL8 z@Jvd7fw)ZoVxJvY$69DI?N3Le{btLt?UNTq+>yXS^v|gq(DlxEE6K6$=+4Ej{yFF0 zp)A5qlRC1~#P*Gh|HNR7%3Qtl3MFD!>IyGZaq8zToRaF2MEMIWJ*{;8dl|=K$ z%uod1)MtDp7CaTZdt$V|r+{H_Nnt369UYjZPS`x!6frk*tDsyB4U145o$UF@LkwdX zE4;R!FRDkdUM-jdsviDAA+luD^^{j|>KpaS_#1l4BQjKtM2Q zFP`M?Go1gvj&N;CF>=3f>8f2!sijt{G<-)a%czz@Xh{HVuQOgbx@X)e8@Hy0wacvR zwlJ7{l8zV4kR=OQ5rIjitJ*c>TRtasquh<|+TygF6|j&HbkT2U(`?bHrM})VwH0c8 zU0OZfpd(}G)KMebF^i^>Ub`aJTeR8iVyc%n`u3$p=Nomcxd-Oc%LdB@NwbowEr`PW z4oqdjmBjZdPdu;XmA=b$L{g5E=4kxl8zqxpCQM(g5!PnMF52_z1xMiIXTYvbxLJsfY*sPsTgAV?wd;VLqK4LI~Z%)|c zOkMNhmqtkl5LfuBk7J>3l~aDj*?S?&wkCB&)+WvQiP*QcS3IVqq>Jcup z4Xg-B(KN6Eb+dc1*X;9j&*004xlK+AciJyI!G|oU<@hs)2#<)y2)-G%)1^6{#zy?B z6=#liuy?0b?M<(1kf)7eXvbeCWHp}ye89d+tZQ#QNrMd@ni88ktBPh!Qo(i80PWDL$veN77QoV zl`v^M!}}6p!Di9HQ6N8|z{63Ni5)J+k?g&RYSqQ>y4iacpSN7a6jha3t%9yi7JqiM zfA;uBme7gG(%xHi-WnMx`v-PQ&qd7h3r>+|duSyAPOFh~f;Ta%l6kaQqzpXg*e!k{ z`x3qEKDIN!Rmh00Q0-5!;&^V5PYR$Ea#u*o6a`5Z5IVJvxkpwU0a+$rU=P#1-tyT? zbSONY_>52)dG>21nv1yS=5M<`Stcg7r`x6Ti@NpP%;711&u-fd>WV5l3Fk|~-Eahx zPME8lYh}pD>@FkSBSM0dI4$|2$~lTE$9Q6mf$D+vC$4uYYueLm5MBYYD&o@swCMVy zY#S{_>o`l92HW(efo6^uweKxDbYNx_K073(gtX~d00NegZO_lyA4vap(d(okZ@qd{ zF%CdL>sjoWT6@$hc4z#oO+g8P%t0t^m9js}vPY`~bGJ}#;7ar?CABtZnKb1oxWJ77 zN*^O)H8n`OEIaUSqcJO$&smF#Uhm$i9ny2i#V2M4VxHk4@ML>s>w`gc-utP}*IMpb zMn8+{M_%$*z`C4K{e1NpF*qC=1kad9TE&M?;o{;=@ZakC% z*M)nM@7C|J^a+QQ7!g$JI;Ip>6;cY9@9lXb1|6468=xhUl9nB$j)$xZa=9vMiaWMt zP=Pu&+8?`k=6yft0;WnJJ7iF>0LWp^p53kb&G$Qwf8Um}Tb{fJ-i57$nXb&alpH2J zo3W&~uCZ$RKghkK#1UW7vp1xy?(>q29;S$o~%hc2`Ny<0mRS1f;1YJ2CEI=DS*)Ir=u# zjGEfM{{nBYQR-2peM1rGnFE^n7Ya&ORUZ_%0cnW5RgxW#iF17hfoNzzVtcsjP(D3m z-ZJj$Gu1U-N%bfg`z&`Q-PCH0BKB3`vud}>D#wd_bN3gI`>->d(s{_eL7GE?3tipW zjUB6o)k@~3>CTS&Rb3Bda~z#9h+?ID(z(Y^PIa_=Lw8p-KAQMu} z^jyW0P5P5OzB`xLf;?l!ul?ecy{mV*x5tUe2{7M5E;?MY;1!0IK=6qRwqVr& zT15daz~cLl$BxS9Br{CsdF5vo?!K-7HjSeKzEEZu?vdHodit+8ZUC@%%Rf73d&)H> zDZJuY@;|3s!r)_6k;)%*ZAPQ2NI*pa_XBkk6e08l&J=ik@S2K)k zha1+V;5mGQPg%|h%xHaeDpI4*EHS7hbhT1ZIL?otB!1)>4ItoV7{NLy_$*&U7)z-l zHYv8>0W`$`?JY$%42Ck1XtxrqDou_>VV-=e+m6B;rlgqN$+_ma*SOL2?N zDGb}?BL&I7$_K78P7m!?ImyJ+aQ$M!q$DZ;2*~ADEq4QSbekgd9S(uThE{`;G81Go zz`Z2ea1Q8g^hho4n%AMl=6(vEk-ce(h_{;I*Cdisnjo91*v2QC0gMLH$$=V=KVeZkZF>oQzNW?61vM z4eY1>y8Nxd+EMZ*Be`)hJw=yKzzo9KbYH1K_scW$Uhpwm2HW{|Rg{tg}b(M?S7>nH9N zjPua~P*PMH^jO*nPM}7sLZ-~pdzbEtb<0S|i9*w`Hkf?CZ{q@X_T7>y&Tk_z(+*@B z0e3RwIpvfjrfW%8K0CLdMbzAvGqM3GK0%=BrI6S_hmgQcK^B$di$K9LXbq?R-7|TL zbx$tGtA*FBm}%1^h?idLG4J^q*9d@Ky43kW$yCwmP=BvwP)mtdq&Q06FQk24ST2p? zTt=oRMP6-6b$jV^Lw`Lw2}EXOmDI5OR_KsbfM<}?7vdjf3k2bj8;u`=SFh~*vdb|} zg*`Yxi1;%JMJ5f`Cx!zhkKg5c@=nkXGOng(g*n2PCv%yu)-`_|QYGRWzs^<6TXbmf zMw+3=Y+M?o)q1$~;)!+sFvQ+ZNhE=#bbmZ;4bVbQ5Dqz5KxDSIx!dn^Qlk@6te)6o z2Jr9>(d4RuHS^v8fUBz3-nhowL~P~rMTSke&KDTA!JD8iqb;JTeFMu;CYPK^p@LFq zZQFR+&Z_&WNj&2#vmXV2fFYTrRN^O zP+HyBw59Hp{znWiDJ0DWt zx$f-3rII>1J68zbX(%HQL6)MGTp#|y)bE?$56)0|7+Y`Mn{G+j^en;K=%$G^Sw+Wt zhr_s0{ehLqrxo$Sm1GvVMUoZ0_C>9Vnl+^mX2F32+XGZCoJm&-UQw%Q8PP4-4N>O) zB!lV9Q|!QrDzX*Imo3^}sWnYp0RqVmK|Lu%h2TYMFUR%U#MQzZ`Q`226JyX!Z)a5Pq*GJDntNW8bXbz{0ff~ItDs-tJN1>W9$r`c2Y)E!+3SlW!G z?3|azs`;tlQnmDZa*dZU_(F`#vq;zgJ7xNe(_#msx8X|6a9Akudx$wQ)gN`4&n0^X@#Y3)5ju8qri~^02I#KDPXlly z5GdyC0GHO_9xgj0v~6tvZ=~Y}LlN|{t*?0p&YSf`IRr1WN|aq^3aW{-K~C#=rErc5 z9it8^)&2Y^6tAXloGJ+_V`3yJg^g0o$mcJ7leUWVs4sJfaUbSl>t|nI{&v~`S%%E| zUcY%`K%`zN@egVGf1Di({ch7#$Z+doct9Heg}msO@*6XfKOW;)&dgajs1h31vSBky z_$v(x$yaZ>4#+vA^kFcVMjCYbX*iO{3(-M-Q1RsqA$XvZsFsVG=qRR|aZXC&q020et5$V#ju*0|Qty3yKMlQ#XAh~athrjD zmsN_HAHqJO6uVeh!~Es_BQF2l3+VUIlNzL{p!api{9HSAqAmx*d~wfz%_@I zN;YTZRFClr@2|rzyZ;8|``0&*8TB561%V;0Dlwh_ptN#3HyWRAqVr02>+7FozdI%H z-`!)7S7oz*%H$UKAO3@Bv4-KR@ZM{1y7d>~^}H&ipl{WY*?b)chKf_p?0bC_K zR&v^$J_4(6yZpy{vi>a8esXxgSXZ2m^ltv&s^_ndl=*kl5-YNlyvZaC@riO$wOZiO z^U^=NBJ}NV+Uh!Jz^_cJg}^Deu%v3mqw4ZMU;p=A2m6`K*6-0W?aJnVErhMWayMh9 z7(Z>C~2X{U(S<`urdV!&y?`#%Og?eE_9w}t=XY5l7^e-8I3{?A$cpO#sybQGeh zn=2X-XbF4NrC)i>ls8>G6ojtXlca#55$&X%xpleZr0ICJ>?Z-!-n-5Fd6X*hk}7Ti zGx%d8+PkQs@5*t0gl=${p0P7FeI+E>&dH%B^Ateqet9`v>`+y(E#ppaa+iHU9+z0W0Yo73AA#E#Nb~Qt>z--;Xic$xlCpA-_{p5W~VJKk*N5SGnVR{BHsB zqk<5+wG!+{x3=%x&gmioax=Qjw6q&_mWv-7bNcb8-VBZb1^_3Og7s+TX_WlY+|!36w0Vly1{Dy~}RQS*CL3tGjnmk89Bh2kb>|Dp|()O#H{>NXHvp zrROYd6)Wr}@ltaZi`lYjf1WZ+s>%M8mrLVzLr)(2X%L@eEt`@mH*al4lbN9%yC>8Y z%j2iLvwn9cs+DT6ZREBmC73AlZFfb=cS|s3=Ma59IM`BSVJ=cG=#RS%tw=Xeoy2|F z35G>y_H&Ru|LE2eFglM#Cdi8^NR~&4u7-mkb@>$8&%eIBUV;xY!z;*}o<9?~bY``n z*NW9eDO~U(udq;#y&Y9cZ{o8%iXCQcb_URK^4H~R4|jaGzak)aEnNIm$0T(MaJIG3 zanR6(B-Ox9`cb&EPFi(S%jo){>@=5LXlq+lyRO9Y`_!FG9QcD+{k&_Xq9G84GmbV4 zhd^uV++n_C9zL)4d{N5Zw%L^_4gIG{o9qlU8}#nMt5_+Tn@(Kylc28LnK<%~^gpxN-jam=3S-q8)2bJ?7=Wbz{q50Bf>ndO+@Ol3%$5-v#aoh2Fmk9SJisckV5$8~~v zeco%&P4v0GJaY<{jQN1NRUz!Z%VG$#!t}wcE}c9N>eK*ZH-fP*kdKFQ^MAKw!ii=e zEG!9f{Rgpymv$^RIc>zwPJ+{)Nz{xT8&|8TuLRdgJnUXcB~s}*GY zUI?}I&z6FM*S z@}jI6x45{6-H;mlbn|b<`5zgLzRS+kl~KSsVdlnmBly9x^8Fu0fx;}J?iD>$Y*&(U ziid=HgmRU;<8M7a6NCv-aj($cG;3DC&_(X6EL?r@a#);He#J@EsR~-&>7&OSxrjyF zC}Ih9f!S*78Rvw}N=W>XT!6Phn?eaueH6@Ra}dBk{WARI#nVADg8#HpyTM={4`Ais zX8TB&AM2CU>9$J+FDM%4Cdt#mapt?Q`=KLzqvGtD{7M&iN;vWBx_h4Vh(q-?H~-Cc z&M4rwm1X`^*Ob>l8zL*%V) zh1ww6)LK){)V;(KZ*O_K5jyR~_JKD4yU+>}c@JIWr0qt@bnTnCYdBct|RP<7=W&SkD)o2<4_j7$ann)yL{)?@HPxwP); z2wotb?2K*+zAqUUXV`cobv`Q)ekh4mC4ffAeABgtAgeHo6ET!hZw?i}FY%b51M?Jy zJ8)Jm)iAwEeWW({(u#V`FD@#?!F+S-puD%{%8s!)=xQRcW7ny;h>DBZO2t_#WvSX# z;=2|0?u1TCeDQ@@g1Ho!*VMJ(voB3pBKgEKXWp$WdHX*5PcVgK!KVn9C(tuN;fQSRurdqlpTABI5IZo3T;lpfg`=o6Yqz+ zMW3xFzW$o=g@K7|_SD2%WZsHt$>_8AJPp^|Nf*45WJcr)-#i01QA)dm7H6{2gzf^R zE!@xpY*JGUA8S42#LOZiN;!y?^CLk$6VEXI9b+)z#m5)~AW$$Pm19&e`t`l3O0=?jL=DrsDidu+!Q^~!OfpMDQE)!#z!pFMqeS9r21od(jiGCtlQ<@u$e z!+MqmqSl2i#1&gyWyYJ0n${GVzr_Zx`X%{Ada$@2{-jPJcS) z2>ZR(UHZhYL3lbb>${e!x1ocnOjAESa$AmjcxvcgmPo(Va#p^XPm+lZh~HD5i+r;n z+e4rr+UmO16NE0*@vvEza?0164_g~@PmV2QZ~^jDq(?EvALa*+e8~)nX$1vay8LQ? z{f>3eos+#h0i|9`HBJW{EQ2%6!vP5er`OBX2&{;fG#f__Qm}3`%~9mL|I8{q+kZ2o zgm%SdBu!inG}7mM#TaB2vPBr6h^eS#vVw0}OSa}GxYPi!ARKrNUD=KUX%XDiHJ5tL zEpz_begU%j@H6PTUDGkq$xXwnwB(`9DK`ZrKILf6^~c|wd;3cHD-gQHrK1I<8g8KQ z^wNqER@%Y5rn>2GB%HCKjlN-(^~j)+IdYvnX%nV_I`H@S0AyUv4E$vGF5nbqf;da6 zdIVlm*IZZznVVak`3Wd6Hrs%?)c`(q)zTzAg0AEz`RFhLi>Cws9G@e7ecSZXsrJmN_zT%GOe}Pj6i%lISl=5uzudE_j9*}HT z^}0IUpLxo~e0&DoV=?2!W$9;~^-*gc=Lsz~ez4{w0_X~~URtB4Q9l9zkGW~961fE# zKy{-^Z!#Yr&)^eFTGyx7GPurj+{U0S)D=IsD-I1Ql)BGcvW+|JDjYJeCQGHhd2CCT z_f=;k%dTUmYpqsPgJISN7ezD^2(FHt3`}|Q4@|lA*YqLN@0hY{=ZcwHfvmZ>oTa72 z$vURR<&o3j+DLAtT-YXJBU|&qua^vZQ7#Jvj%k8|`!1(>FftWtI0;I9d?9`zw2zCW z>&#kH3=`}`@knkLoU+F*+6sF8;X!1X@6MzeE3D;f<~WE>jl&mBe;KbC_n)NrrS`vG zHHeEj7wA;l8pxmBELq&$JZq1%;#imc~3miRG zh`AiyF**`$!FzB}fGi#bYY8hC<;D7zAi<@T1SlJSqfrhO-Y7v;NGT?5sn71V%GTI1O! zt(p~X8`o5)EX&j|riEFvs|`yG%~*8YDyFmb{(;w|a@oS~paetgB`)3+Iy?r2-Sa>q zR0~68`xuZ)A-N>DCEbPraqGXCUm<@SpT- zAy$unf-J-TTu}cTV9<@>%uuO`+PeglET@t8*DsEcGEWDwXT15DTG<1;5nWP}UR{!^ z%uUK#$kf>=i8r|Q*jL@}`SbX+C))OTpAnB4e;AtiKaP-$PsYeR$$B#A#rTh&RJ-r3 zyu2)fi0A%0$m(pkk*EuC5c5Drv!@B3>3JxjlUOJM+A z{(YY2SZJd`O^1=C_JWmR_3ehTSB?sICS1xlU;~Y2gc_?$4(g(XM$Xu>w90IqzJjW` z`#~e^-KX@^_H%2B+nb}iP#eeccT8kd=U-H=?eg!|O65_15tm)})^L>dZ)f3+rR0&- z<*6Y)9SCO}>%L7Y>2CITil}6vbL-=R2!?8P_280?lQACT){?Cg`;Mp-K|UkEC5&$^ zc2FP1msuR?n(INOwqN*7kGnO^6ZO|9%B7c0o3z6wVv$Oj-V9DQ5Kc7eMaV_$$@^sH zxvgjIH{?5p$maAyE5~HdicNj-wZBmFS+IuQ(I5C8x+4U>j;4bL=*1nQ0L zBU+cyV$oh-$iInLi~xoI?`Qwc+dASnBLDkEf3yWQ zRy}E-bkSG%_s0BBs#9Dwx9=7gITB@b#GR~Yv-O%j{x2@r-?x;(buteZm-=wXY56)O zox!R4quJIgHm<9R!m*5eG4$Zns_S(MW%+0E&OXBz3i5HVB0<;EddcwFp8UP(+-}iQ z@m1{8^@tU!u3BPHM6dSX3xs;9xkj~EA)IaBc7S{YT@qPVDA_MCetlYD#`EoI*g4~R4aG`0fQ%>SopPQ;>O5i zid3nbYDmPM%TIJod|+!SDw}2<%b@eEpw7}!2bZ^AyEyS`vVod;`eP!%Dv06Cv5m?S zQ$uKZ5IOn@W)s3ms~lU`|9rM=6=!r%Qiw7>l*dN&0>3GrFYWKg%r$fX_MCZc6)`kq z_s!YXH2ZD4ZVW={u{FdSS?c%MBb6$2Gsw5N>eHI!BB;3e^~a17jme%{yOx6myqflm z5-rx%LgqedG^f!wAR{BiI<)CP*&{gG-KfVCD*Iq=Sdujdckidv?OI1xZwp(+q_e%K zvvZF`Ox>3BFVhauPt%G1Op@Nnk&APu%p9HiM0$E12071e7JQBSzX=e^7W^?ckIV8f&(}Lw1G5 zevz_n$FGvi@oasZssRn8oa#l{94BbE+GFnW!M;HSv(DJ--gU!3FI%Og^>>+LyY5klrYrAmJPs({Kxw;o#5c^aIKo(P~S>gCd zx@~%Q-AUN|+OR?4@_3`Ci}!}FNEJLS6X=GYSo11Vis+i3Q4KqBR{>36uC1;i9bg=0P3P}X7<(f>TFbQTZ zH~J+dzQHnoh?mTG>EitTHT|}77O4QanZP$fMyaK;F~iE1pA97EsB0=bNkW@Y7q0$l7Y88C_*;@<5pS&f z4L&U*Y~SQw1J*Q5_x2VsbIleaE#g3qb8G-CD&CDaDCPaD1)n(@CQP7Y-3_ma0ZUl*a$o9xsLS_*f5-3PkD>jse(a#QOs@rsnU?}+jq zvEd_^(a_2pq2n@Xyr|e|D?Hbv9SDQeXh|@*{s4K?mdCBDqEsA&E=C1dQ)1-wmHB9v z7XBIE+qTT8a)a8%Cj09kL|sS=Y3GYzte30E7O>BsT@orrqv*Y{oYo-9kD4QYOJb>w z@Al5v(?Dq4Y(3t1N`Y1>WTxq@{9C_EfaTH^1*TUw>_>rXs~ey%lI+#GmH-aSq{5T3 zv3N!#+8-SJk^y1?EYM$uIbr1-c2y@OmzGol;zIR+l zXp8A#-zVH9P{tzAs%C{^h(lAnJhE>X3)K&aDf(Phcu`qirS~7ZZKiM2~Imc`w&FlLdRUuV!`x`s%cyMG4-o&6atHXvfIm3IW ziA8p{zswv+se^(Ut_ixnv(7v7R&_G+zwJi2axgRAA}!SsE^OwX{RP|}qKZiq%W1Ue zE$cuTn_2QOo(UK+luQ25#g)p4YCI{@`gSQ&4>R|*Rz*cYmfWFn5Vuge(-)$xCr1{> zIUKi~l>nEHIE9OH?-3P{W#=cA$qthWk+!c4Cm{_b(w@~MEmgCeQXU&jKg>ddw6g7; zE#YAOap%r)0mBmi>!l8KODh<4<+Yp`l{L6fAfi;?>?-v}OBLr`ZrOQnbpBMq%to*L z-RY643}Wcn{wSn3Tfe9OEN$s+%3orMp_Rhx>vnbCM%$K~HkU+3C)DBVyIx~3p3)Th z4&8q7nT88IdHgBsF25c#Ar%uo_9nW$uT*N!&^Y@AN}RQ}xp5B)<_Gs(0(g=h z?wi`WccUPz_=(9hD0$m#8Y1`9m#D{**0)Ti#69%^(eUV~$(c_!ACEs_?|o2KH2BG- z?rdrp_iW?Dnev0c^)lOqQM#p=D}-geJkkm>m^ZdHH>U^jm^{73>|;iiB*>SIdtst? z&js#FxC^~3yDK?J8VmNM(%YBVrk2T6|BK~cPbAYLJSrU!cO@y-Y|%xkG10N?LML!W zb_v#6T0k*b`>{1KSlXJtL`*dn0v~U^-}n97TkV_AJ-)AYwT?UZvQ}GvYhEYMJW8Q% zFw~Q|3XOo((uX4|_uzdfwzc#-l#sBxy{ z30zqIF;S1u)8f4O(&PcYBf_gtGPGxsKMac^}=kB|Zi{K5SM(u{qZ z3jgZlwO)4iW=m6`2X@)HIx}AM*CONe7P)F|aibPLZwm+;(O7-%GPW8UPCoK?D z7)xrX^>r}n#V0G_=$7QdyQQ&hrunq$!sop_;x&b`(WhoVG-Ed;;$PU_ns9ApBE|1_ zO?q_Mk9vZ$%!aY)QiEzN_vdQ-G}Qq9TG_kTGq)%?>!ucg@s-F}0-VSV%uY zlba-gnVs$DCC^(S+k&*enP;+h)s#G6YP!Quo1CxJomdQ4zgTIAEPnl{h|IfUxoN}_ z=CZphDTo&cs@EG+3#kryzBj*MxwN0$$O~QSb>p=hniaTMRraNrYPrxPJMMQd$<5wQ z-A06h&p90B`yA@*IhcZ_ZGbDzRVqz>GaD48dzy0C^)hl>!M?s>8D$NXMnLSE{R~pu zxiWO%=UI8~rXQpq(~n9z8y(H&-U`dHQ?B;dXlf;L64vPoCS^a|v!^^F^e$r?LN9?*Km06qG=$!MjL-e8pfMAkbe5V+u_nkpQqf@~ zLJO=_$g~bErJ+_YIkbpfl_f2+auBZW9v|$@2PKbegLuzk=h8^{Gho7cP zcz};dZ6E?gm#cdzF~f3-Z2<;LmvD*axL2oEsXHmU2A`c74%B~AZwg~aUcQu~ydxT0 z>3f3pu+qg6;Q@0h#;?kBze(&=xG4lICcRs1W&kw(LvO{SSj*f(<}LfNO)|BQ7>SBq zCFcCfw*}XFbgo?g7nMicF@|Z- zLOk5rHIEmTj6Q|O_KG!s(j!nKA&nPRKEW2&oS5fxNnH{1Bu_JON)VDP)*F68% zH2?9Ndx5-eGMBQG^4)gSYLJQF|B>hu1Q9dDKEirI5Fhrirw1oG4@cL1I+hEzAcV0w z^D#BV{-Fz_Gg4U#;>VW9)72f?3ahXs{&zE7vG{BNB zcH@-V>6W#vvc4idyb>K#X$vYVu08OUV-6&rVl`0~ngf(Rg|sxLK85iDvw>g{=(Mw- z+BN^Ph$JBNDz-E_R}vd3Em{%lv}D55Z>eS>`MSuS5|{Md?mV7Ls{B|v_(YTpQv=|` z%WQwRxh(Tcbr4h{gAQ~ds5b{acs?YrX{oGUhPxrPSx-XNUgn@DtVt)Hamn;% z+&dZ19E23sxq%r~b=`+*XsSpE0mi;Kp<4PK!6u16-4=~xt|t*eb6b02qcHPje|;gX@6*}+~o&hI$Y ziYfXgVW_5HGiLrMg$~f`hfC(Q^$jnzBTUKbe%0G+;8TNLpR4N7dFRBh!#;(bvfpim zeY-7qPFgC}A&-eVm@L!45+$*b_(c8^=Wa_+Wg#ls!HxT~YQ&&kzU;WQszr2+LqK=jw?x24tK@k#?$xW> z1@qyMClC!2?5*aOyWdZ%x+GbJLR$9CHOOlH@F#Yap4TCAjNQ#_$)fSU&vPw|t=^hy zcN{p%lg-vDW;e?F0o~C}zlLcaD;XO+YS~K4__<=(F^Pk_`itL-J$-{06U*^Eg*^MW z73E*p%UI5JJlm(4Wdj!`#KKEZ?E50Jvt z9s8~S$B+DRPg+YETFzS0Z!eHD0D@|HPr`n`v)Io-*QMKPVXloH#d_QKJ`K%=NtVv}cI zR;~Z)Dybkl*KVlM>IRf*jG&BeqVyFxDn)FY%Q&#)HzNQ-fa1(=qx7hVI|k2-SQ>?? zA8DNXF%S(~q^-Z#DW2&}sN}7|Qt;r@;t%yf)r%op_fFhP64oxc`;xzD;Tg!aa(&m- zAraKu63KsDMCYsMWY70%8*AC|1Y=D)I#KyrVPo>X^u6gRg>3^v@vfd;Ne*O|s5cqC z;#6uv?^6r)Z2X?v+Gz*31iK8>DMZTAU{hUw5Wt6%y4u5P)}lfGwRA}~9waL>&e#fp zhuQn@iu1yC>MW);Jg}&Akyk&pN+0=;tW?T78ruV{604dr`C*TQ;lzgW@G*&8sd|%S z>qSiep2A@B+l$j1f*>j+ZF~z#czGL)!{S4^Xp-!L>tPhN(~q*ETVg9~_kmbI!3RWi&^m`{B(@!Bxvw?0dAZ!AQ276iD4^c6L3ze@Q^+Rmy+ji<_ zToG*lV0zPTWe@ScZh0D0hdFm_|NhX6*EMJVI=uWZtlc6z?xB@owo$KU^o@o+-XP-z z8^iv@3A)PmVjZUIReLDIybOA0Uh*RRdcC2)zQ$)YVjUCHsn5&QdwQ$ZGkaGQLh(iR z@8-Wu#x=~H)1AD3EbpQ%ruE^gc(oc*GbN*EHa#k-7U4$MpUg-?W3WU_v~t?e91qba zAkkNmvliQE-4)NLVQC@H&K`zg&pEeNNMO;P=yIQN<(p9#Web_nLnI#`(E$}|D<`mY zir8E4Qw-OUCGNv^o)Ye#JTggFTCigWfK|$lnWZjp>&!Glua6DAS=~^_E(tSJ*la)h zr*rTvbX7wL=$z*oYMfZc@nPiknVTl+!u2_L)sOL`hT**M6!NQ4LwfA%+(xYpf6_kN zU18-@mmqlxSL#AD2TrCiQuoq)8uk>Y*7%s0>Uv`cdm`QXOAgqE)%wAmUX4{yI0ZAw z6?0i24iyDKnC+pn?^pcZNWg_#HPXG2E~pSnb0Iip=_fz@0UY~oW~nYzYet6%4e~$J zPrPVpJ;z8tleWiw`stibz#(WGR9D|x87d)>Yx&IkTrK&Fj=9^oh7Tgs7BC| zjROYt4OomPUfjG>Ha`#7R{VKcj@pO7lSeExCSS-Kh}N|Vms)`!NJ(kFtvP`uAx;jH z36H7e8q;vKWg>O%L*WW(Wxvs&P}v(ny>zCuoUV!?j4U;UU~-@9%m^(i#xf!_Ws>4% zwBF^z<%Yo}_4!G6I?{YkDSxYUoTH!T=CMRF9Rk%a3&o+)FQv>v|E2fL82q(~k>_pilFAym&9hW;MKQ1_opvnDTiHZ$actupem zeV(8!>gVfA+6(pvuUnCJiP2Q8r%-8j}QYd~gJ&3c29*w>#{ zt$rIeNx4}c?aBS7h9=ZCeEV1-^(Xn4N%<~_knKo)Ks44l?0ceCJscC2ywC*{}C zW7X#cip>w&hph20LK=s@BL zRO`1d$h2t~lry=PV=M4#dc5X3TV-{BYyPgPyn=!pf(42WcGJ@XA{DnaY0J!7#k3 z4lz&1OugG7*>Y~N#6m9L#MGPVb97b&(tEfyArm7cZ*)h!z@}kworzU8Dsfc9BSSR5 zAQ#L-RPBIGOy_xpi~iOu*;F{meL_HkPZG|7kVVuFS*<8rRh-cOR8W!O_NsKfyCNx4 ztbE71hw9I(sND>5b3S&Y9j80Q@qo;e1cH z3Fe0wl#e?;SN%9}dv@N2etikF(~zDJMtwWcCs|yUGiY9Ee}0M525uZkTP|Iigsi>q z`VFO z_x;s(DL;*k{`r{p$AXuXn7z%f{=;$b7rhf)U^jah@O6PlH`}GS{0ltF7Hq)>8oAVH zy^NXl+OO!TJ-gmn-Ul(UUOQz?-;`jp<$yIQUpEgPL4S9*H zf2i>%wvx)#nz>Au6-nId&fxwii1)^LVdx2xi0SA?uFBo>&wptE!Tw7Z@7LYgJb8F6 zJTrPU<0wB`|4!aa&_0bFmZfV=;A(iHUC+~dH8Oatv;r+O&vuaAx`X+#u^yNl`!?id zb@sh4QGuH9=0WoC%BJKU`vi(HtK}=EUTL@d#E}EUm~^(Cvh78J+y}m;GYc#2!X_dH zD@&@=PFDdT8e(~>15C0sSPU)iVj)-xEw!Hye>w_W#iKuVey$;qZLj_s@v`jsbAc88 zYGO2%s^>dyPJgl25Lx8G&M~A|39eOfX|v#*Gm@6BhDmazx#aJV;F?>#wkI6)7HVB8 zKNlN2%E~QJBDz$7L3=GO;zjip;UMu}qkE5!)p>k zjiVwGjb-5C>NT6&5)o5_IS&z=Jv}RWV83xaHyY%PoYx(Z#NtqGz0ynPr&kh^g+J}P zwcwB5)j*(5!-DMO9y9JAUi*6J#JB_)$qc36asUR?weoZ74n}eX&f?4?2u8h`aSm>Z z#tl6iU@J_SL;1dt_pg#H@OtIP;i&zIk-qelIUf0-{_M=}zamgK>OwD+!(1ITv0Rv+ zvPwM=9;PnU)_XC_DHE4z`N<=r4v4SrW&TL?1W~S@C+=p-gQ&JZcwOQhQVQ6=3*r#P z%6$Eel9rl-IA&tk$fd8KAcBH}n4R(<~-8xoP* zsqh};=acxA4-Z^rk*PnToa42!M{xsqN>#>uml%JN~N0R z;4FIsu2E@hK}+5oTL3A z*EIB(_yvlMJWhGd*gNivw~(`~AY5#_1d9B{?Wtc{r54F|xgAKxoP?Kmc#*3xZ)Vj& z=a7xr!jvE4Q^B6aq&%tELz7VsXCFE~V(7ytjv5W9#83BF_e4r z5Bfw;%OJ26#!@F|Fr1oYV*0$3TVZUjIhQ60TSpIXkd(y)PSiFoVq5EB%?A7MRuUsj z;cVJtcuUWeczI{6T~d9)X*FrisEYi|q@ljZOc1chWym0keFZpHvw`O(Ozn+Kgj_mk zGKlicw|PETvNUZq0Kqc-u6w%|sDAosjj|;5D8J%$5dr=EXJ6%V@Yb6U$EQ9%RT~ih zcWRtk)Yg|8cW;k7oN2eS>{g9aRoxlo@ZdtkK{& z6%wc=A;UhGH1?gw=&1GHb3r$e8lRKfc4A6NsFZomz2>pgyl2)GPHsO?1DI%OIVwYa ztDfVf>wgiLl{M4i9~1wi2D2vtL_O-6E)~?@ln3 zetgu=>+U#>zL$lWuIu*DkES?+{2H(h2I#&W`lLqYv+|fykJ+|2_ta00@4Bs0 z!MI4aC3sL5wynuyhL81pfztHTT`SNO!`~WgP2r|+GuZeVjBK_?-yWzMNKHFWZ80=M zOcLziNA$ysG9$_lVuby*gc&;C+UhSHg`D(;B)tmnitR-Ux*D~L=pU&S zl0yHaB=KYet$~&-NY6x8pF2Y}yfq<)_=)b{cOSW7uUzDy)E9X!WW5UL8Mtw(ZCc`i z37oZ*7YvvIzAkVZ0xyTX5L0S_Nnok{zD>a(KvxS+v5CLpn^b`FtQ@Uhg zQp_#Het?JW7y1cWx=kvqT)CS@e*(nU9;FHD3NEZ|DN4~JH z&;Jyy%L)7Y3wm^7`oJv-TIC{Ltl`fb{UPQeH3Y_)n@JCn zOU|ZVlfz$F$k>(qNPk_;JH7nSExZ4aw5U>dAK(3@6F2^Gv61PTc5tm=k7w$8iGSWg z)F|(b>eI2E7i03XV|9xP_Noh?)CvGV9SecR*ikT~yfaCr*HZD6fIzX+ywPe=^Ely9 z_x=VlmLuM1SA74Lj3JU_7&AA7{0VrFiLxm+Ugg>5n>O#HKXl!Dxp{rd_=uQ5_Xhce z4k2;%q0gGUA9k)PPDH_^HsEgldF&#e4Ne(ck{zc!Yiiyx*;8Rw26ary!mQ>$h;F>L zfCt4zI}E_(cu`11=%yeO4*_}a!guq;uM1<;#0iTLI_1RSb+yQr=NV28(P$UC8Pq+o zBHVkX(7r}OmwahX zzhwN-u*iPQwPlY4jF}tpRdc;w35j?SF;B7eA5*Q2FL`lTDsLv z&~KV-IJ~V-lppd$J@t>w-U<8BF4pjtWB`V3pm(l7ecY~`xIklOdbX+8w{*KO$tU#zH9()--0+$?Ism;oT`}GR4k<2E%fbXyjMjrDu zYib;gukF3aPKl+ojc&|P7b$GC*ne>o_PUy6D!M$8?pBK%=Txla;!Ta=_ppV>Fv5_J z+cK3lGQj-d&_UU%^9s7KoDAjJU*aU$+ z`hL1Tb=n02H#L-6X7D2&=j7E_6p}CM+$qkBjE-}Z-CZzx(enl7^sF$VCV5PACqgu* z$yK9STe=w!kGrTF{_~WkP*Mm0a2M^?-v^^;GTb2`_jm z$YZ%=7-tBr^f@+L8OI(i^P9_z7fw8@M;zSoSW-J66g85!d6%d+8G2{F?*LZ7_Yk}HOAX_dg8$=CI^PSX&p1Ud9yip?W#gC#HsUDUc zFdUbb4%jMe>*x{e307rH3HP}0Y?ayV%pnP+g>$X{cR)L6#zg7QZHk!t@!qS$-+eRfn% z`qe^K57|uhxWaB-HLTUR_A?LWhC@^9>js8wogZF=!mqZ5CdvZFh$WL=?md<|mOJ6>OfS=7QUiTkR@XcWug=8* zHywnD2l-z&nb=s4$)d!L)#Hq;C9h%CB2-=1CYKI{c`Sa#(V$90`nw)q+G`RaRY53S>>ti|TDaqP}Y}X@paQebCi}h;plA|>7PIMbBKf$zsj}j)@X+Rms$FfEdD32 zO{)Q?SoTH!AXPR> zktWhR7-@!33;`4a3JNL&6r@+_C4`DZbM1^*4 zxn5f~Y;e!Q7@zXq5k5cXi4;FtUtK^M=Zu6m+%Xvk^Wx`ARX#z&$y69G&W_Y2bV zCwtu(S(6!lB`fc-RIRLyx_5D7wi3;ViPbi0@pVeTLLg&;z9Sl#u3(T%`Ks2Cjw)7wy)zk z^B<&btq2c&`?a~GaKhXPMv`=T5q0*%%vNd*hJUC2h^LC<>iur-7H8A?im?YmG8zZ~ zAI%by7&RdCJvDmA54=P>o5@1$?%Cun!pt#C_j1X0yY(1dOI|g{{ihSyG)wpAwp0|3 znnv*H7f>2o%CS84gGzPO4-{iAam_{av>ogt3|-gwHOdX-g!1T~+O9qRU1;FDz#9po zTCpN-Py=G6*~7n?y^ipsBS-@Dx7zPN9%EyxDhE$flOE%!fjvw}L+|RjWA3ZFm!}hc z{g_uUJ~)lz8>I0=%WmgIFDRV2FCx3tP}B^{ zVy|Y9N@>x_us?^%i{5r8@Yp+dQ&_ZesjKV`2b=Uw|JBL;?@zRUy2<}@CE$Z@ zkJ6PF_C^;mE(QP8(lQ}mEQc9Co%kRIG8RoY`FwFe23WWu0cPu(KN+-Tf7dzeqRx81!JqlV7P>!wX=zcq^cZHiZ z!RvdmSPf5w7}lZ%f?l=IwSi0=Y~JrPY`)rv;8wcOWr&QUK>bV|zG5=vVZ!3DSvr7s z3nmXSQ<5wla9)FLLUy|Z$elp(p!+449OQ`C7o3H^^H255R!xjTR$W*0-}YXuyPd2P z5`QZyrx?gx88uR+kFkFU-JQQ8FN}U%mUNL^-e5K~_Bhny{8mFO%xCz?F`FGLVG7~$ z+T&b$Qy&{OWvt`vCt;U&s);?{zKfG!47h!)5)6UP4-w@w$d~l*OFb3X6&}c`M_jd^ zQ*8?wwLH+te%|-_zLLbAgM~gjx4nj9B3T7NU{&n!aNMrYheha35m7;9LHDHP1<|r+ zOCHuWR3d7;sfl)e5)JV|n+>*>uY1Bvyr~Ya%LX0hw>w3GEBcaO&XC2u)1p*$K;es2MaL1J^Cp4*8B3Jy=)zm4&R7%56~4w|tohTV)h%Gx=|= zO~%WKs#<3*bHL;yPX3<}O%$|>shYuQSzF3ED3wZ9>LMZNgXL--A%&$RMCci`~tTFsDe`DE- zKQ%a*U^?lul^}8YG#E><5vi2XXk_OaIhEhk+}S)^Jsz6J6~Msx4^-*+^|Bm}G(7Z3 z=vtm!yNe;r8Cw}^N-?eb<2@mARsGU*)Wb)u+R7=h>J<3(&YVkb? z#Mx(9*~rofcOT&N@R{N7oxmz9P`(%9AgkNoN?Mwn-VwOkIbo4Jk0VOs!d%IXX|4V) zf27Gv(4NS=RKrt8nG(5e!4Z`8+D2>iyl!ANQ!JJX?; z#&#o*49jV9W)957!6KEv>JK9Bf0ZVe*2UhtGs6ix7EO#oM)fqj%XeB$k+Yb(qk|Z1 zaLYKj1rXClGCiIVsC`GTSJu5q<@QJ|E9|FqAzSxwf({6ITxW(mx88K z(w&m88_(il-l|8Xe#vt}=+!QW>p>Q=Ng0RANU(&?V+pmB3p1)5G05uV(k1I=j zRLxASnk<#~TdaFl;<)|kN%xhx_42riJ~Z7LsaURSh$ecowEOkme16f6=rc8OwT)3R zfZII#vVQ!MPK1c`DUw{W5Yu%A+%-Qe;8| zV7D&|VCA2iFV8h*`k8&xwYOVwpzl9TA48cN`Pvy=#B42JX^OE2AzjN00&vTxD+(BkiL6(0N8qHNj z^G($e?Mf;!uZ)K)Gg*|e8-HDm{r3rN_HjKB=nmR2PuaufL8+HEdopKTlf_@aQ9IvokuyN@p zNSbjW$rK9up{+LtXzPhv@)FLUYa3xfVBo_CPfRGD`->Ou?q9dGgDefb=Zl0)8o<5MT}U zW3%L{Db-rP!Z{yL-KHaCho$@hkrG`?R}Xqeu#*4Gdr!-jnoUkDe&k^S(c;Wg_8V+< zr9S6Wg>QSzCvxzJXufq?ODd_9U8+>L8KWrjTp}B=**{kTMx;)Hi15~F0U0a)?~jfL z$$uAJm`@D`Fyt}9?gv-0BUKNf=HjtC&?albi89-J$9Qmly+D&@=W0#?TZ2$}NKGf^ zqZrM7%iXusD)2BcEK&vieFjQy-u_PR%$iT?^xEoFC9n7K{X#LuZZOk{UJCCP;un7R zbMivXr04l_<~@;A3v!2Vcp;Xvz73iW212QC0SO zp$VNO;Y1+9ZMwFLY!*zfsW)1$#ZnEnCEKd22o>r)EXNLe1>x+)lpfcS`={`Qb$&R= zci+9l_Lu$bdVa4=zpY4o3P>Sii57G~nW!epk$kAf3WuJwu;eBaDZ0yp!)p%vmZ+X55=BVkGOsf^K6sNsr4;f|psFc79gw`RznpbkL%T8kww8`WkxaK&~c0UUploA}=V1ut#$NbOBuq zeq+(~+r@V6UW)twvv5GfO`eqzL37G(s)1-tiF_?g{;O5ne|`L^fv>v^Jr2_Hfm4>a zz?B?9n42OK4Beefyf`E?Vzcayx zANH*bcLMAi5Wf5m_Wc;C3?KywO`8B4^`Djx`!|+fvnB~lga23uU>X=)Zak;4biVcX zl4yTe+mBM~RMVyBY}J;3FP4AH|EfFwiHh8hU&0lEf2u_`e!lqc(~iw&r8z!`_WKz> zfBt`KoNr3<(j=;Pt^i%6ZQe(3ju(0Hdzl>;>{D%F#NV$wl5Y5FO)^SE|Mw32Uv&uo zu_yjzG?IUE4tW2f=3x@+jQ>hk{FfTc-vrzLda(UZ1e9jd((bL*CLhUu@DU9Q;=1U& z&5Z^~2Z7fYfWUoC&b;X9ZyIg(&HMD5c@act;U(v7JGS+kp-+3x-@R=)7(qgK<~G52 zE0BigA(^_`UX(k(=0~T3Rp+fu9e8U$MDwezWLETh)i(#YtsNi~LDq3I+n;6v3f<0+ zPpC;`o1Tdv5Gru;1Qkh)OmMrXAK_~ zUaU4XP`hI`?8>zZJ6Q59FnPDif_k}@mSrc*VDZseG0x*Mviq>>)nNDjyP5;N&E>%}3ilY_;) zMj_FQ>EUo;4+7WwxT?ltH0o>p;JSCAu!!a-Upb``5d9r}v2xT_HCzY8WxeKiBIrPD z$n)XIjA3)NRR;o`hAz*r@!iqzv04?pQku_)MzZ@N_2Ihf3IRaGbL4HY`9heEBEG(&CVt!NVd@FrkAwf|Z=w|Kz^l8V-eTs6k2 zdxI34>0fAvKy*fwyYR^`M$cZw?cAWGP?_wXfI}An2;(;wOpDzTa31poLpAxT(aE_L$kXs<~6%Vbv!y^UZN(!6Tz+EbmwTo*feexb&N7fH<3JDr@<+_u#Pwa z?1{m(1LQUXeq(uLcvN0Z9_XmoSMmuEFHBtT*e)wSxpH~py`_Z$8gz?dn3m`jpNVmZ zk?)*-=t#Qlr}$4QOZ$4>f4BMauc+I!581;i&j2{6d@m3l$2GbBz-7eQnIXz;$TVZO)sd&4!nBVCg4UaZd`I4 z?qDj{J`MQTp(7SlIAj~w4fXQ!P|?5YEJ#*A5`25nS8E@9>Y^?)?noOBgD9YdbC7 zaq4yC#B9}eTl#jxftS&-9)rw=)NGL&&q*cz__br?`{s~qQ26EITv&1nMW|zkUX-RI z)>A(WiQX$_zHSqVs=UiNTL&@Bsc<1R90%0>%paSs_4HpZ@52Ha<~3B|-p`x2E2632 zwjgCH50uf!MhOAOO;(1pbiyV_^upbNUTA@zvB#{OQg1 zJfVBczOpJ4nlFESw*c?sn=slnK{sh}tT1F51g8=Qg-K>io|r!P@BH3_b&tb827g#n=4!%1mnFbylWs*XqHd38YKd!m|g<`so#nuka?Jb4lV zZ8%p38J-uY8L-+uisLw#?p0tsP+kb;sv3D!n%d-7z(h%KE*~)H%-A8CKOZ-^pit58 z2DK5eJa>#ZE%GUM{(6(V>>$OX7zK0pferJ4eS$81Hg#_;Z-3zZQs9yl=zMyw`nB~d zJP4ccDSot6scv>rYqH19XqHh4L=p-N5)F2E6hJGhuQr3F)t zR)LESK-oF{l1y~*RdZ4bcmM@$fF}U1by1lQ zOmG)oH72~P+R$~}X~5KcN-hI=q_|X;H*u9Zu}L!ENi)9*eK^zn9#`HrIvWze&o{ok z06)C{(Rq4za>RqWVu0Ozpqw}fE?#4$3nW&iD!(>em434m5hgQj=2?y(iX;;}NXUyG z=k@)MRNxKd&ejbvYt2nZLR5|mPAkfLtn;z#C-?Lv_JR-|2rTZRlOJ1XRR>jjdU$`} z6`>#QJGMS?SFRzXVNS3Rq?$H0F@Apvj6${}5M;X^h~=Dzq>}A9WTA>3_NZ$O3Kry+ zNk}2G7jyblbt@SR-S|s{CmSg1-@X1!hD95N1P?#(=&V^2%v{p#bA?-wg$N6&_W}+m z;ysR9Ysh#8c(#*ctksKAk`mB#Q4w#U>cdL85aS5SeU*s|ZSL=@O66S<22dSul`6B- zJ<^zt`UpQ;=+(xxHY@s)?{0?K#pTPSfvIDS#;EsE`E*;+t4<14G<}DY*npkm0=?gM z^(vrCxo9()juHo0?{sJ!PrG0Dw`8V)w0|8=!GYgE^Lx)cTh&OS^AL(wZ`(gR%V%Cs zfZ~bcG~2G>sVFnt1kM}!w0q~BmJYFY!ZI96RN2dTRF#Dca`9MCa0|&h#Q4zE_8}9K zW@8&@pNA(`T8#FrgC_lS&(EzuVV^5Rr3p4ZzqE$f(Vwgx(wk>@b;6LK z6>bg~H0l!z83)lR3BGYdt{fA0X}^Gs#G3HFEs1=dN)Z_yBhNeawVIGSw%X8?^}0xq z-1YALq^Cy)Y@u}Pa<{c%s(lnByv^3h*ZTrT@jHR77YBtlHvA{}3EFbeE6~x3J9Oe1 zgZz-fiE8XZgq&^M2bRjZ4k*^O55g9y=2K|z7EoS4-~fw~S`(xkhD3bc?+-e-mPzby z7Z2>DGI$#UiiNX$_7UpC5fjV5;tC+V(t{hYoR386N*(!xg$q<3$x|R-*uM;sDSNS| zNTFM6(5;IQ>DnHiAaU^8?KbzKi>KozhFl7%N&2d(Z*f#>MJ=bJiXjrD`mj6XaCe@8 z*=S<_nA``C^0U7yGKzTuJ4pH^V@q3M;;*N8pwdGy`Cb|6C0czQv7#o_YO31neRABx zMILwVG87C!9y%LadgFxge+a$)H7F-qB@3$XL>@Wv5<0!p{Zmv8`=3uU?`#5dbT462 z(9U0vJ+xJahs!O>SdFR1xRi_8+LcmK8)*E(y}-`hPKbrPCp%oLm;K@`yUxsQXikYc zKG`0guBK0}!Kl4GQD1<&a)Bn!W0YW6tRw1bTdNV85uAcJX7pqimF_ZBYFaarr-a+8 zU0nIr%kx6TDtx{JxrXcWQ1Kbi82K^{#)hnR=1{x7nFP7tYJ#!z1!rjuWs|Zes<$`k z1WUthN3<7=?+e=36lOY5UEQhSTeIjr`F>&zDm_aqjySl`RW?bu!yM_RT#b5q%g@3I zVQR;tJYl=!Mz4ubl#K@8X`546Cj+~DT(P#^$*|$+c^RZ! zde+4+75~Ev{g?vNkJDG@gMcvKU2fUG9q{w6;?HpJf9uS|E-05V{8{4O+&HJ1cBa^_ z3W4kCV^lRWl?2Wz1uw{Mu)OM06ZkQY! z`RIynw&VC@-Nc2aYMCZm5Sz`EnQcwy!u}_w?5I`!WFmuxRPnoMuy~F;B`{^aOeA5x zC^uJuvP2i#_It{z{*{|9% z`Y8ydw8;7;&r=JJPt&i$Zzb0cI~W#OUl*Ol>GZQ^Cd|b14&1?K!8&*r;&m?%&3XFa znx;awrMp^Svi7D7xNb+WsC0x&uhj59_b10!uG&VBEoi5aJlalGo%D{c*$TfLiZ%NC zSOWZ*l77GA6~6wjn1j~;$T$1Ter}e}yF>ZcdF9??OM}1_S5=+nKqlK%eIfJsl=hpzOOJ$f`CDZ!MnGB(_ej*ZZ|vkP83D&di4H#w+cc;a>G5Y%QuqeSZLdzy-Kr8I-1JMOJ` zAD%2V*MX$m7Yv|78I_0Ob^zV*qBPgX#&Z0NV^vuFD0oOwRHndj=YTAq_N3*9b=EE( zZ2}oFQjjFI6Ge=o9?4;(ZTD$m`rA&R31*t6kQ_mMq z%8*^tOL}GogP^l^oi=j5)l^9_M{-tzOOwQW9eKsBQ?VmF#$5Nio z4^@5%xTObwE)pbGe2j1`2LWlCTCUmT&V#^lL*WgbacE{~h{Df=qXlOe0g|Dfv z1>|zg$I(oUo57aTt?vi$Pd~#D^5+)bTT05=u$ZBb|kiM_OM^ z1Bc=(`d8ncR*Q(-(~tsvyrp;kld+9|;Fq8(XI-uA&wf3^sKA+T%nKgQ#`Ll(@^s)#Zh0W% zWtDZJ6Yq$Cwuc;jY`|`*SIHsDKjfcAx1(ew|;CY1;OcQoGcKA4Hay z1G^j6AN;|QnX~=tZ!Gkp--#^G75f1DatR--?K!>_lBPu104d}DeiC|hXFfIc1_*PM z#BHXu2{zk(AGA2|4`R)?w@3~07s!3LOot%tY_EZfw&b-nNS(aU4z<=PkLOVo&wnK> z{E4eyYQIn{-@*fcO%ss&J^CAqZ^;j8&(~#P&UUtuP~;IRF@6X#UyO#ZMI*zSCE8?` zC7`x57L-a=!f9I%$e_>L1wb<3r!%$kM|70LPY#;e1oh`Rdl(P%wa&v+9E(qpbyPJT zs6s1BJx)!^#0^?e;$9a@*XoIQivRs|JH3VcM@N7PwPYH_B))}TDu`8VI|S9rF~7Ji zPPAwx7URHxa^m&o7i;F$A$I#2G9L=|e(Kol$EYcl*hAk6>w;#03g|}x^C4yZ4f&a= zRZPatK!7B={Xwe7j`a(VW7Q_D!w()Ma44mH!|h-q(<0lfL!0@h;L}Mh&K> zKntoFa0|h?B7wHNnQid6>Cc0v%S0^;h)=onVuI%G{`|vDQcHVF%{!CURHx_QtlszI_4D+iPs!mpJ9N)7Y*gXdTu6f`z|RCiX2TV+zwE49I2w>>l&&tiG? zSj0<=_a5{rByN?e9seqO!dpXJ-c>Z}Jyz>^D++Ay41Oec{~iSUWsEpvtr6LDaHii> zuc$)W18mjmcf$*W$pd%zzVA4T56*eHGhAeC>z?mH=R|-Pn3dJ9hQ>|_#YD3^W)=xS zG(xO(51*vXTtZez>LL}t)<$X82sL=~Bwqu`vrv;N2j_%aZfPLnRi~YW zw`1|Mrnn?%I^iDRR6cuVe?ED>8{;#*a>~Htj;lAOl;WiiZrlhkPN^;B*GGgBW~%!v z4vsIFxo^Y#Chv@EE||qzEZwfFALI{EYDEvX=j|Su_xqUCwYw~Zb#fn_rIhkX{!y=? z*lPK{67PPXVrr`=cPp+q1Hi+&kYE3Fq0GhAd0K8g%DY4)A!bD;!M7eyU1HUD*ptxP zY0u?DA}Uwdk{Wj`lK*DZyn77$AN;t0Jh=UKRnQM2-@h5C?!P^nyYDgPo8Z#c`Siu_ z<4!aI#RAWj1)MeL%dmgzgjoDkt&XI^s?R3Yeh!9K}y41pn872j{LdwpxaP8 z7%``M^6@}jNt3_p1(4&Gl(K*8)$5ty4q@MqjL9~jb@q>pj1VqOQiZx%8$6~en+YiaVzp-VyGdLm-4$Op3!7A!A6 zIu!Si3Xx2am53*CL{(eB_0A5az2CmJ%z`vt@SPhm7^h1ZVtH1l9r22Ai&3olFB!We z@>*EJ@}~HNScj0zYhi1Y(~{CYRL8a!&hNuz`+j&JDHUKeS^EmEQs4tujbw)g&RkV6 zV^U=*7+L-0ALnqUkyCvx_`2rBCnp4yNr5JhQO=~b3#IH7^RVN7zf9Kz`}tAu)A&?W zAnaLs2Zj6ERxEqScX7AB#h)#k8VM2&eG-r>C!OLH%&qrz>qfl}H3JRe_&oroZ=bUr zAg?z-Ue>P{|IF{?6(*JUlrC$L3O4eNyTij)2q^oT?h}0>l*|Bcy|6%@{!izO$}~sA)j|`dgLS z+EK7rP?k!>oDXmHRe&l+k;SgJXCwB(4z%>K$~&iPz4(PtMXaK{dvPR(kAFZgQO9~V zt~@OZ1xx>xU@?7iOpqr|Q*6Vc?#3j}p(Q}z<{P9%X#@QHTOslZ9S~FknaTnv))5%q zk}?i=S-#%Sj_!NEUji+H)W=Z=$ELNyswEJA2BXcQ44on0_^6jl^80FN%PB+UVQ!0V(2%EI zi?Zfr;>}r7GI&;cwA&A91+8N1SIN*H(hA?nr*>^Z5)mfY)`#iA%(&!R@q^!HJ-&Y! z4IE9m#A6$z8_u3Ea7|>BsDgDEDm9>7-Q|tRi$K>u-+Ak7>fh;A-VSB8`lt?n&c#K4 zU=-uuHtYX>(#ZJ|4`s7tSR%CvFJ<8WLs(%B`$JeE%3#X$M&Ja2!45a{6dsn4jHz5} zM?PgsuFE*hVi0VS_#*jPyqU9|N^Fm9ha^0ib@ul8EjeiUC_Lr#Yn$m^tX59xHtry6 zfvR9Z1M4-Z?6DLpdoRaYg-Si$PqzyOUb+!U!$Qtr5T=)7Oa#c{KELkw>&VCJ#2r5f zVJDvHdWLJ(b2ajt*3!~u#ursGEmGXEs`CR>7wTVhhV#z6Zq@oD|MPNatbHmoz~0$- znQsh4l`0;|(j;-^Zt(kU7BU5VRcbWh*3Vp9Vx;!G+&R_ia8o6rDwTAN7jcjjdH1R= zsCU}9h1aT^>no8U#5;G-g=vIs6B%*-(B69Ss%`@#qtFM6&P;pnWH97FVpc!AK=i6C z#I{9(o5zQkj)RxVK6xTK${On@Hfi_w-xi5AB3;kk>&Bq-0@|t6Iw`=NGW2d2pwbhD z1+5Qs@>nM<-TkaIEJr>=NYJV$O>*SiBJVT3j*xpZvpVZm#SkkVJM`6R?w3(O`gqZ) zv`c?Lahnx)E5oA@`;>q1+lEAaDe|I=0#YI+N-yZ{8{wr-FRYKATL^p`H)jV8C@#q9 zxXQ>@btqoCTZXbO$fbD&wzEc|iH==)OCuivd4_4czvUoPc6Dm)*YSDB{vD$dLVsYa z(j-Zw##N3e&y#1Yk99oeC5Uvs#^D>VtI3n@yOi=RUtUTq-lq_HcHp(evMzm=JiQon zk@SHnKI;VQY$AZxf6*^?R<%xmL72LN5^71d#PQbm6ye`k%KF=8@q}B?tA^yTG$yQ{ zg`We!3Ei9i@yPc>S3$WpY+_9yrCX2!z}WoZHXqKq=)6q5{@i@>`ahHvB%f_|`AMpQ zjyI)@W@>Mo>UDN8$^K-Jc4*Vm^D|s;+GD85 zYLhB7MCJO(9j8hYf`!3HbW@(#Oo(Y3Ui*0W#;fk{Ct`AIz22n@-yL4O|G7J-W<*Tp z@0)H9#!Nl5^x88+lO;z@(~@u2w0n#>a5%h4E@qFLxI50%hcX6v`ZgFJZ2yJZ?oZ7y zozEAI3-qFIyt(gsS2X70-DsVQ(U0_^fv;oKPJI?liN2>t0wDE(;=>5=c3IgM=!&}m z?)xzQSH~F_5Ul#A{-jpB`)M|D6P)Z#V?>jqr%LOZ;z|{QC%&0PPT`M*v927reC=Av z>$~?Z8t#8~rse9ByD{x^3fd?3vCKTWNy?=yI+?sGo8rF!-pIecqM%`Q?uO>A@CXubR zsd_7|)~UI92HeRj?A2=!EOx;3uD1L3f+8y>Uk=I*-FlfK=eEmsDmG7ribYbV3$MPSC`$P5@kakk6K_R<^k@HO7~Em zj}Fw2G=0gp^n4$tZ(>4^zduX@HxlT^HZAA|i%sqTnNX+ek>wAFMdtvOa|G!9njA@! zXw@Ouv)3zAhUw#LHE+%ZzD}njg;oBQ$aadF`Kkhj$^P);O~- zBo4v8gWvRkvA&@f9`)Nk-|IaY z;EbboEG$3YN?!Qb2LgLB3-BgkW_9IBY?q0W#e}vAKDN`>!1%%5>xHn|_YTR2pcwc(ebc0IEduHGjz%nv!`<=u}{wsIw6A}2WGDG%8T`bCr!2(@B~?obMZGf&^=D0F5ur-o=FY+h!~uo)e7z-)@ zXR*4MJc8NOnN~OUiY`uJ)_Crfqf?v*p!tQx{m=CEbCTGx;W&?L8yn)Iu3mEr)q79z zNi$AqvF^^_S2VE!VKq$&s)rVcnBlF5Qhpc_{myKD0n@40YPuQf(aF3Bi4p7^Iy2nY zdS0PcpcqpNhupkDvm`4!-uwGt|JZIr^-Ejq5Ck8JJ1QYDQo|})367?LpSreVwE#wr zonZTWF1xK+OF?_;Ites+_x1t;k)nYXND2_SC#HvuyB_O8v6k3^K^d-opV%(R3zlJ^ z*B(IKkAD+#;1FzNKFBi$`xCEn>_ILBoZrfL!h5iS3hWi>4v~9^?CA3CP*`1V<;UbWKy zez;UArNWOollU^ei!^iT=aFc(|HC@@sdTuwQ{p?GZhCB#f9PkwMgMmqe_09;uF{m3 zsbD7Ac0R2Se6{zh&_9h=B#TO+b}zj=c4u3DW>*SpIvZ16fk^iAmM)X32#o66cCt%Z z9Kv@HGB3zoF2l);TBfR_2vK)byEi6M%QIcQN3U0j7+Z^x1ID@tLxtYPO-bXa7I1;G zJVf+qV$Z^aNk(CwU$gO4l74MB!`ZiSy;${fUrG?#I^dK=164D7v;879tGcSJKm6#=TZ*qli+x6CH-2NGcz!iC z`Gah6y|&2;EE=o)8bqvHwUMJ)f&w0eaR#5z-l&fMN}IjYZL<&l>TEGoOA?;SvcJ4c z>JzTFE(0Kuexs2zl_p4$Q$KWAtX0kcv{Ax6(q!GH!A$e5)qZ#C-8`nv9cEftw{*7H zbut?5w7P(ntD=Ajg1Q=mQ-k!TxVFRn;;$~UREJjTD6%L69MQ4Kuj~upEba_n3ixZ3 zTGF>(-}Kkn(c04|&sG<=!4JJ(TBWXRcK+gXLr2ViHDw&djBc#(EWp8$hI)H}pXsl`G+2Kw7Wr8}tWcUP)t&l5l<*Ss}B5%~mohdVO(JOnL( z-FbFYr|w{&P&@@Pyj3XA``D=($cT)?enhBUGK@h*4qr*5QU#@#Z4U8=Ss+PM1&$6q zfhwE4!)_&lrHTS|ook1Md(PW({l)?zlj>joxIflK7+@6P5F2Dv4YhNY zf3cBpDVzo;pZV}|C02c~T|urcPF5y<}o;K--f(!C% zhd6W#DHxYzmaR>68lMh?$oJ?#>pF+6t2+7RAcm)wX4fn=S=C{jWpT-Av!Y20@W7YR z25(qyF9vUoS~wAsk-nCiOvSvq{s&V$N=7QRrbo;4Eh_D%p>M0J-sVF%=%d%v9@ahU z;efdh`SV&UU4e=~ZU$us_}u>}DaUiI>@s}*z*GcIVZLZ~>)>QX>DBtdZ7X*eC>Lin zjfX(b`EL}dm9;U7y6dnIE6NZvSnva}Z)b{`sxA`w^4)5#z)X3#Wem!@?AanTnaAwo z7fQMMgi)c1kET_-TPsAW!x3>Rt~#30TsqCZpCk^+?(tP+y;Lq!ZtGBb9TVk9u?>vy z{pvD(uP@>owPfvC>W8ucmFIygHibVe;B~~Heh;N*PGWYvkd9ai`cqt#hV;NC@?lA+;rHQQfsHK1 zys6IoCop-NuIAZ0QhB1?=TS|VLr4f06&`7__+5&3KQi(k=tRtErI|1}rjEJ+Z36Sx*0 z|7Ibj=%G+Yq+}F}zla!Sj}SR+>6x*DSXB(`h_MYwEQh+gea!Q_3rk=c&%UuY<eZ_G}m3Lr9HM)YUq&F>L=>T|_|@xCbJj6Q`gYf{s!2 zGr|>;r|OgDB(l1*8#>tf>h>(5qY#6Eib|q`oH0Q7H48{#WzL$nledD$a z_cgbVDx$KPU-w}jBPG|B_hRITp;43lx+zO5FAqCRj^R%1*fe_3Y)Do8j5km^phz`; zzHdO2dl zCXD-JK*h}aeUTz}pihfCdDJ}iwnR8gpLkT620ksr9+W96DsOFpp%6^orIPl`yLCgp zV|`ECYy&}2{s;^w;@MYByhvRA?Re{!+{KLLNETAAcsA=9=`@k+=HIra!0b)%A>V}? z0yAaf)l2>9cOw0udjn?m1-h4=0t9`{-`dP}8uqA3&f+iU3pT-Z0g{+?a2_<%x^daq z)0CJq(4%kYd-AlCC$xp9_C8WxyIW}glT(Fr)&8BC=m!f51Bz|J^GA{9Lr#GS6E}Vl zQn{P-{V}XL^8A4e3)%QvkwLg6J;l(|MW?Y8NP3jh^H72V!Z)>75X|AWDK_vp^%25Y zmmRxxi$rMw$qV%?#O=Ahc=t23+ttA|Vi>w216^wti*T|I=bs)@tj5{0nmkSe%hHW! z+?=j+%S>HOZ6<8G@2G41gb+N;rX_9|u4TeNHM{sz1xqeSO9<8I>AJ}E+y*lmtnYs8fVcAGW)V^|(AIS>c zJ)LgoJ)|};epoanSpDsL!Be{BGE!$op$yRRqr>*BA8w!c8OWn>YT`>u68lmIJcrh% zBHqMg&v+Oxm;d!1PPnZ|j`MD^t$E%-Z}xPbxD7P#+*~_O-O!2Cjg@y*}p#qQS&tR9Tt&s>*T}#L6=qc4AJ#YtAw4fq` z27%JvninDLs=z14rc3ph&shA_E3#`aS$*|I;w{4hK5=Fg^VKHYQ=grHE3&K3xQpCf zWi@<>oD##`%z%WUbHe;$djhV7&f4ZXoROMM$zRrD>jIQ8p zfGGMzZT#^AC2+p3PSq6Mdj5}?-@`{gd5Qm-V9>vP(V!VqmNt)CziM$>+m*X)3+Ejq zih?X_k!C8@F3h3pFZ1oytBh&b2wRao52Ex$4(K^BKD7ZvkOUs0c?GVvS}}0avG0;2 ze5tHG_w_tt`v$xNcNpf*&!KGM-t-Y4c7Lq?12u(AHzoL0VTIn0cXYq%d;VlcHTLy( z0k>0pzwWK)6@8LIdtry^FM4NkLlBS5RH@0(R3n#MdFF}UGFzNCP059(O!_@G_`5(Y zb&umWmi&kLC1aEKUQ2yylY*Huyvj?3r&MdA+|H>V(8&L49s^f7KCaJ=O~Mhsll^@B zqqF*g@+JUg(}q~nzdbqp)Ioo)maUrGXBV@CYSQv64mY=Rht^=LI!7y`HR*t5PFl5x zSx2vPA+ho9QO=aMS9DcX?JV(I`{@%e=N}_weTGw>IbaJrV#})~0%>Vhy*kXsCCp1%#Nz|iOe;a*Mt@`k z3!WhL$gAwxa9rz?$jpbDViq0^=cRq;tyx8-5yST3kW9TEzhOHG}*Bi9!x#};x% zg@jCBP5JfnzmCHHL=r-2Ox4UQ`1af{bX#`}*@9B7)GMHoV8MCD%ZMZJ?6$tUH@Ez8 z2qc~p5ecQvp7B7b}YGY%^e+q4!w4P^hISF zDzD^tf0iL`nQAR+jxvzcM-?P2Kn5w-i#bE8e{K1_IsYjc@n75e52Mq0@r)t0zg%k2EIjq<08+Xps@fRbFmK6h?ohPE~zIA1Pu_6Zh3#8qMbrH$o1rD{q7eQN!gxb$X zS^K2F6AwEj}z`jsUOHO@FRNc}Qa12f5B&_S{iJUKt-IY>1jVj-= zAu2pmJkjgV!Ln^gN*1)Ku*UUk1w*J_;!jw~@dUfCeLeE?R??&1Y)6b4<9{NPr{fV>1( zS1P#rTz&qp{!Zs#HB0_>z`v)vEcnSC{4{9j`%d_+vibjqy7z!;a@qREQ4mxF6cy=M z5LAkS^eP8w(nJiso=^>;7!nkuD~K9Eklq9-QWAOs30>)(K!AiMoj_>P5&S>ibMMjf z-Fx5ruJ`-?Z7r9^tjRnxd+*uL%$~h}KiD1ijt!E=98=@Ee-~)rr*bZUKwG#$dIkfS zisA^Rg?2XA+dpGdT6zIGtaK;)Wy;Kp#icFt_!^qehT z@DjBe4NZ6wTec<)W}ee;jHNiL#Hjj~rEQu=O>Kt6 zHzPl$cc!oXn20BRjm6u5=pCE!709H`>16}`;Z+Zp_f{RR*DbA9G~vU3KsI7Gd9QS0R6S5P;a-KK(50@4%zK$oUT}LhDx} zc-pnZZKjgR?Xa!zy1a4cPv2=Okl$&r!iO6*gwXFa9$epPTul!ad~;Y1C#4TZO85Kr z_4p1xyB$lHB`fgj%w?F9XtOwP}bzs$?X+fV&c=lfGeWhhNsp* ze~}8~8n>!>$O!=&mJ%&xN%=H2He8Z>u&`~YL`^FlV&;zB7rhE-_;;Gjzl-hD-^7+N zwP$Md%479dEDs`dxNiD@zeG{kT-TKSD4rg#y)>~6q;UNy&E)AXdht-&A}JI9SHB8y zQ{GZdlnF~G*5&l%*cNR$DY%XA7s1Q6Hl*AlF?_QR-N}It6}p3O1t(829ob^l+Rf+i z^o?*Md3)v5&PRh=#9AVkL2ke5NkfoP^OIZJ0$>9n>X&ZaBI$1~9d1GdIfmXq6L_Qv z;0?O!Z(r$o(s%M|e^qI?-nrwST(%Fc{?!J|upApxSb?!5Oq>eK&C;(GX`W$UL4JR= zu9FNDXzE=5U(74Fyk9QI;+9J8bII;;hn_ii+53Od(Y3>n;k8ZSsb7sRB@PH5y=h?f z0Z83^pGWyHf!^Shnz2+xdBXUHR`E3ZwB}>fPqQ_`A=EE*6iA;($t?o6B)DgNjm@%9 zSn-~FaY1j_CNGx~>l_ovN4Pn!+1T~C{hM2}m0L$y8XllKe5AdfsE|3W=6w?-tD^HF zevv)bg&PukVpB) zm73!rYOz|%-hnNj>6y9g{2NRUC|?@Nzz6R)8g9^f$SnToVe z_@+*r(j08m_-VfX))~b6(nmjO;ElFmx1^YIy^K_fi?VgNoevK_foH$tvB{UctStNA z>F3uqv0?No1#?TII=iVeg0)HKt?2r1e=(T9e#pMw(xZHA~KgoK`Jge^AOLkd7mznLo1tva3EyhCdAnn(8~_vo6qBOXxj0e2I)0p+A1QNBFOTQUO#sp8;{#?uVUFWmBudyx_rme)2$Yj^IoX<00&1?Nt3DTSh}7FI{j&q;kj4piHG*;ChB z*{DfhTVXAJV3_Zg*Ez1?p7tCa)N9kds6K)4*6%;yxapX6*a(2d4HgP4db8>3d(AKS zUP98)2tG@ZPdgtOrLB?vou;kKZyWEpxh3y5nN1t2iXEWiD=Q_WjSW%1(=-^F{X81a z=ofglnWm^NHQp>Dz(q-03Ux4x;}_R1!_6coA9TDac$1`y&VFUBjm{tN5h|gP0x1Br zFpc-{*Rz+BJWN>fgSM-1X+VvEC0Bg24(;|u&PR9}a8DbhvdlXogQ5*Z0IV?OW3q2Q zGU&BMs8(-sk0K#qO-1{Od>i0K=i<#_FWrWc#BnS2D{A2|hwjzqP_NnMC=<_(y<+zS z8|Hm;FSGH|q@}XB*w~V~FJ&*OYeIrqlBh*b$ZJ)o68Gw zqqi_GwbZ5%24fr-l~dJe`Q`5AK_P+iAAJ_{E71HDun>iD5%s;=RqB6>`E3J}K$>;d@80KKDr1IWuQ{!@U7rk$oeq5+ zp;l&?N+CfSpr}?CCN$iS;^lAd`7EZ*X<>j6rWT-J|4_IX*(^l{kKZoo@x?!@KpHXV z!I>!MF%vwhttfIRQ|T~%QoyL%uzjl^U}u? zST-%6@IMqw^3Uk(aBbq9(`OAtT3Y^=433GgZqe(t@Ooc@tGsYv3b&!B zxIj!OYhAZZ2;$8Nw`b(uPgv~9Np6+IT6}qH{S~>%*$OTvd9DwbwlriW4O@ypW93ip z`QJ6#ufJ)dPT_fT;y=g!gV!5)<<{0Jz@Yi+3s|inq+nr7EhE zQfx}!mVGN5fVtAh1lZB0136LrQH!;e4ntC={f|Sx#)!SKU6uZ%^_@oQp_sU|rv-(} zoSmICG8eewgZ!`{b9i_3=9Nw1B?PfvW*Jrx(I>~-!uo`^)i{$8r+3ForVzE6cEqK) z^V^XJIfr*!ztcqI9A-C)rfwS$zKT1^KQ@7Yt(2mLitrw8&+AxU2gjvFBiO5w^73y~ zRIbmJcV8K<+7i2ke4P;IZ(Pc@XpK-rm&Y1yUnq5~=-`!F&-b+!aWgzt7kd&t!UZH{ z@4kRA@-g1%&`EqGQ#U?uYHl@!AFh%`D@{CmxM!_jS5oB>V@_L=YQ|$9!4?|_rH?gY z8C#~vJYm0?H6V_$v)_vV=a&o`xDw+XKkSApa}3yh0foa7tXxVWCxvJ4K5432$0*zN zBA~ZH4}Y)3C=v}lFs~JXyPi4i_-Bq&$IE&NdENCjZ&eGVLzG^*l#sU4GIl*rt1MNH zV8wQgD&L$htNL_=U)uU?-pT(ZvCW2T&vRRx!VSUu>TGf%stXX4HsSmMab{Pyejk~_ zk&E+u(F$>tVdaazB(}M0UPK=Ma*ynSYc_|xUV0iQv#xbti|x(pH56OVHVFI#rgN^a zrB;9dU4did<78TY)4D1zQqsp1E-K;#(OM$T9}UtX&56|mfl22`_2;J}Vp0-f%^th@ zk|kWHZsljjalUZ8qAYzK>7ce!9&>PdQuAbqmXOirsl|`3irDIn5~<>@t2mGC8@c@1 zWEZIGN10b+Dli|`F=c?bQV^2d%pd_!^Zdv{Rx(03m^!ysZoBm2-!!0q0(piDAQ0EQ zhM~STl+_0Ca{rKHg@V0D?F3vxU)A9gAx&%2UFWWn$rUy7&WT(94h57G!I%%Q^z~)K0}*G(<%#T{2?N8kKz(ZOrcxPQ)(Vs-{-d4=71&JMbCl~adG$a($$QINY5kdbWY4L zRdQHmxj{y2BDoB>i+}@rADjK4Zp_gwLudLX3g_hbhZ}JCslDP+;3sW7AL37tm*-YM z0b*AITh;v>%-89)sZU$Zu(gg;PfHq><~k1hJQl8At_)&dhdU{q$m{Mzm5b-6_G-V* zqd8!ZkKg%V+%N7@9Xo^TNX+-!mIf$!UxqD?m4J&ywnGMp}cU zpFQBwzmy<&IeX9mhET$YW#mZLcTD7(50osG> zAUoRSHJ>gNwah$yfe8*06JPmMWv#+goLLZZ!2W?tqt(q;4-w<1k`Kd)wwX zs2hJ)1QF)3h>D5T6?jxsj4mnCntDo&F@O9%JbzB zJnaqvAXzvkwsE!y(ip+256fu%GoI@Vo*55C63pKmAX|J=o}6JzGjtf-dC4ujoY8i@ ztu+JlsC|rcmq4Hk7|k0Wz(X8C>F8XHQgb=VCv%CjLptp1QeYS+uVkI4=^eJ4=!HKF z5CUI3$g0etN|FQTaUJ(KRp3612p@)?E5TEdk_Otq{Gwl|BsJ;_(kG zT#$9K?E&2#1c|h*1&Kd+kwtQKeMz0)(QESI9@oDVzg&%mqogm0B~A47Aj)7p6>>p>PHVdbS4#=hf{m&@b3?N)nlS3j@`2FBr^nuH8$rNk zorNwd&$@QyoJnkH8n|L3%gfm-2*u11yBb6QJv zLMi&n5}p*Xo7G#xk@RZhR!<4w&a+<0YHCe4;G2=BMVuXRF=6Xf1_p1J5;4=`dABsD zuj5&*8qphZ%KV01J7yZlqu`VYZ%ObKJW}zL+2*`uSW$e1H8^oDgwFtZx=*lpU4@b& z&sJcF;8AZgxoa;zOX42%&qN=weOQzz)X?6>ryCe!^o;5Avhr+ML}LF3;aA1;IYL{$ z1z^=;sO>omeY{WdaLU)+dezJs$0>Mlh!usM&-EMP>_T~c_{6gq9qwgRT#BV`M|ojc zNKI#x8nizxG74=>%=bfB*%QrZA8mLby@xm|ShAn+Ge7Iivw^QrSXz7nKT8`x$1^b> zOZ()!u2|Ocw$WaF)6qz|V6{Jgp1d#uIdJqja(YL=2CsUp!cA6DKgR*HkIE(16pe&3 z44cP~HXRS6f9TSpTw3B*s(5fOieQjg{D}b6ru^3ICa3Yc{Cx4U6E>6Z^zd<#rHYcU z$k;d@l=_EN1Ld(MqpGx`9eCch88k^H_Hh0y$lt-yKSSBazZxK;7@v=!w5;}Rjzx!@pZ7Hm_m#?~Z44~au+0a;V z+s@j*Eq`!kpL{^+)?XM#cBVIF&^$>o2O?Ls4s-u*8ieqKWSx^?f`KB38vujFzw2_r zuM@bEzU#O3oyHs1_q9G)i|+9Wx9#kIC8^3k#Hr_P_2icG#obuoCvN|RjOVf)Unagx zQAhpP1Nctk;23b?WBruTf9UT!P3i3kKF#`xcK>SWf0E6DU&o&l@gGiDQwj?gFh5xM zXGI%5cA{{c2NRu0V)+~O%)DPJyQ2%&WB#0p5zb4$!`0puZg)hHyr0v_b>_g3Gn*)u zUuHD9XXykPH5_eq#JA%k3cb%bvc7Gmxp_h{$Ll1(+Ngb2peiwszAdFPy)jdB zZ9uG%q8_2z7vd(x%4k>da%~A^b(rrfS}-+eUO;0BwMCWmjQY-b#W}rG5P&O)K_Kac zQ0l2-3>2oV8vJ45>Gh%If_L=6R@$WT#uvo;E%sJ@bm>iheNeMzeii<0K9#J$)e ztYgLU>gsbT@<5VCwpcz}h_~uR`n|(sC>ihRk@>kH|7~!zW7fiyw~r9S`dH0&xaCIF z2fkbb(13V4_EKO()NsHARdW5lA3acMrPRe~Dn6k;*P7;qFG*FBe$Xt%22%RKW0;AZ zuTok5;2>yFl9wl`dYw*+(O+hnpOO`W>@PuYqVh+}Q8a7;oJ}F&he!C%Zl#K_G_wzo zb9hD#>wlIUL@1x>!s+L0w(4Jho&jh(|;W$kLME z?gtzbHcuQQw)1X`atCTeBGxn=sY@X>JI7ch?v@-JYu90!IB(=%d0FH%|wlxu% zPaTG*4i-iojW>rO*X(srXt0x$+lP|w?7qG!d3+Arro%MB_sM3zSgYiNx?^|n(Oj9` zjmC{Rxs$ktHq|5;6GH4WTP1pZlpL9Z5V&8T!-kvXUPOs2CwrAir*`ta%K68l|JASo z;+=oLkbm0$ziKA^hYMNE*(f*|+n4uMKGv}*CQA(b8`gxT^5Z*an{rj=nx9j_qZ?+H zyhjaX(axbqSps{11f%}=b8lStFv+@pe3Kb;Ho(I6ee}dxAxr5!U%ns7q7O8KB;?LT zXw)i7fG+jSX6JvjKy7p{ z3_SZzL%EkvFNl2wgu(cy&#=mV`T68Ohw$UW542?niNo!FJD*;xSj?{6j>Af{Jqzos ze8$`ZQep#=u9xz(M5SHqNz z{7=obtWC1dx1gEjo%?k+R@BP|2#-g%a%}yg(kVr<&7u3YMWEyk|MKYx&;1gfsKpbd z)GjWs2WyuKM8(0*b3uV`3Ap>`6^5DC%&N9Tp!|_mqmj~wi+lOR8uu+_$|^h zHudVPnO^Fx{GU0!pk%HDJ#DMKBo8_$WX{I(r3Y>Ns*&9G&ze&8#`1R~!oIpV8StFz z^5?+rddtPV%{L!DS9mn{GKyW5vuZLM9IA(K+7(6htXx*l*Fe|yw`F&t9G1NGh)gKf zE*ev3p#zRL{)X=r(S*=dZhU&J(TUY%!$A?4&WOC8kNHvh)j;kpsv; z23b~XaONu~`4&I|S4CON3fo&cK2tJ0F}`p1@RCWbz_+pR{gMJHvYx8B`Di|7sQH4_GsSdpe#-4V zxV7?LRN0=NqO8fNJnyQmksXQmNH7;i!?Q=;u*2>+U1q0zQ5>K7IKH1HYLL$<=t}q* z^S~Fr2~Q(XfjO5p#glHHlYkC%jeX(Yy=WRt5X5t=1pVCDL|c2~Xnz`Ublq34BVS z4P?k~jZZSg2oz%gXF?_%N&nhiOn!bHJZCpm5vz~@&JWU|uY!u@#kbh|%J$#ttYl*_ z{}v09*XT%4anOC!RLZWA14-QW+ZYt%^Op40zJYVjeNKzCElIjE*05~GvYbX|E?1+P z+zhfb+2<9$oJ}7(q%2Fgy*kw@B-dmhnP_TtE%)#vRD?vZQ=ikioztp*m=DL(3gvT) zO%7!xNt=vuFZ<(LjoVuhMyl)FO~phGcN@~^Xa}V2=pR1l-nh;`eBk*4g+(#xkmA@u zr=x8s*DZPHw_h4Uj6juMT&S>s9wHJ2F}o=Fq3ERVKLYg+sUDEv(2Fg*muIGjceO9I&>ASmkU1#$%J z=0|Et2{&Q(d~(ePR}eIxfPR%Y34jg6EknvFDjcD!Gx(%1aT zjT|FW&~MEQBaa+DL7JKU$5$V+YB^2A-y|*gy4pkG?-|ixDYBCr*XTO68=f4c+0j}Y zPn>)WI>BF6upg(*K?BOp7ph~G=u`^W%A_QYo`m(UGi#78;SX+VAf;S3 zzCC^JEjMdSx#>XDL~6L*mevsp*SwCY*%o}!&)LJTR{FAEPb=FL zse!{mNcDz0Pofs_=?vQ#%BRx-M`_*|6MkjF$z$_vPUm3csUWt%4)2)Jw&pAS`uEtS zQOFZmW*T1*^1x_cRaGbtS;)qx6_~W-#_Xl+#e1*DLAzv~FLFy9J7nKbT#m=b55A-O zbtU}q`H$=QQ!M4f6ghyL%$16=b)j-=$CxanRr~K2e5Yx>%5!_W@H>rU+AjZxY(D@3 zWfp)1H%Vff)+<>2%mCYl@`CnvWmuWjPL()u4n`dH3lezETB4?+INmR6CA-wq1qzD@W3 z*LslU5v7ycU7-Rhk@&B*sLvzHfcx*ZREqgJRi5mhocP~V{Z&W~bIMlR7LH2#T>f@L ze?0~(Oi5Y&2@(6P`dZ{fyJR~+!2W`N(6kZ9Yq`izlX z`CqFfnz~T?_w^v~A57wZVDRsdzA`$=f!zBX-r7l*xC%738?gK$@_ z$eR&&ashzF&?LWWh&AnY=`&}sRX1|4fWi37z2+8w1Nb*1hHG6t`*HG=0@=e1V6%E? zqjUuk&~YPI$b>!k<`n|$K#nwM3Z6oc7P*qaI007y0FN;`3|!D$R+*OR1RmO(R0&dl z-}3N*#-~Pv73c}|QuZgV2qy06^^;vzY!@3jo*31T0Hpk5Z%)B1)F(UzRgXDlhN<1G z*_(GHl-DD7DjIs)7Iu&si()t7=tg(@FH5HwJVeG?1hsgGW?Dkqo?C+iN(Wmg*C}sz zJAJ;$?=;6$_+!2jhWDkZHASO1#cQ{@{j9#-IKiLt{sN88QMl5{?FS3g2Dl35wXgc} zE#}bLsjq7f|I7$9W0%#Jg z1ZASLlc^=V8=oh;BED~G;Bjb}|ESoNMQ`)5w=W!k*eQ7`e)wd@%QTAb%n8N% z1|`TGg6pM<6Utq_Y5fU@CFO8m<;!i=_n6>>0MSbkSGz=Lod)Pr(*oZ)wV<&e-K7@h z%ql#zVqk?%K3<%4z6>lm#BsB*-#6iAL6GKYq6BvNsy$H*N?~H_WG^for>iJ_zL;ya zwc#z&)`Z4YbBa85uE`J4b%U7W^}h6+m&;#BsFWCph=6K%u-#ISk;@JntzIizD0CWd z@@rkdke|+d^&TxBR#hG4)VK^EoCu2x3)M!ow?Ni8%*Eo{Ubl?w=dxVw?yo~0wGAXd z(BH7c{p%YyY7((jm?bock$Xat*N;&-4{V@4U&@n>TX%*wlz1(PiaNV4192h8%)j}B zABST5H>YZqZ^qqklB!(VPmf+_O%JmdogW^+M3Om4?aP9&5#0|e6X!=xwV8*81*(I} z?9B0qCW%3c*^cWvRrBQ+2XD0l=}Q=Y`4gXQ#&v!0?$JA(Uh2(t3zgZ}DaJ=&H*`|` zHbb2FEp_6-!mbxAj4Jon($^YN+tZ6UYS{bLVMl3FXke9%WzJ2DoXPe>1@;Sy~YB?V87&~0b$kDpay5?6sZH@Jx z8z>K@u(AWA+K{3O&9P<~Key(ooS->Yqdmusf28IAiu9_NaqNxY9d5xDW4X@q(SgUP z-1<$R=B>hAMIrBmAomL&lsRbB@G>cx&pesYLPn|QBY)vWQeNTJrOHX%`i+uk+b9l- z)Df}Su$1}UV7;@V_FIg6(D0ATD15LPG4xJ!MhB3x<24YGrikb(x_2v4_E2|LP0o}N;de1_xC4<%>MH#pu$3Q^`&DPVHx?EybsdT8 zJn^%8x@fKQKPU<=LKU2fAN+A(0{;HUE)Yv zwT3joPrZJz@-3Hk4WC3UWQN=SE~exlH4bgUbofrabDT-xS&#Tvm-dZLl#Q>>`4uP9 zTzL=|{&N6Q1BA;sVB08;!ZJrygo%x@Y`wc8O#; zeWu8}(k>~Olj32mrZ+6mibVNe%xlh{ImpOgJoWMZadfuC$zbb^kg@$7Q@!QVce+>K zptGljpt)}xh>}hGch@rVxAM7Sw(>qbDw6jxt6y?nr}s1}Sme-?OiZ$p2JQqOo-{Aw zx`S*^TYjMEc1AdTY_aLo&Pxtt8|2<>qja4qo>Tn5U=vWqqOANFh`U2lDQFT(ozXNg( zi5Q&UlfR6)#nVY*I1Sg6>oU&Ut}Y*HXKymqCgXBWnsGMVUB42;=$#G9SUtc$=4_HV za^%g&cC$K}BeYj;jD+-&FJCKo*m8zShqtai^2d$|K0Z={sz$bVHkFehtzh-3Pc8+L zOKI^DiCsC@Hw}E5Xs{P0WKz|eU3*P)@=ZaYG6KNmafNp~nDS7-GnoEFpUR6PK0*uK zALZf0MhvDvP(nYr{^CL6Lz1`KQX~kYCz_l1l*vLt>HU8XQ%DQ3@AamMeOGcZw*~x4I zm0AjO&DsE2o(IQq&N~Uz_8s@5evYniZgYgcR{rgJHqI33hB9R5Inu<>^EtYq;;=A$ zgcH%LJ-%q^m@zSVU6foF;o1B)=3WiT9okugTla-1y7dK9@!L&(O3POZ`U9wz5gK^mY zAr4V`I_7qHrNnEkE$K7=unJjr1064s#)FPy$`{|Y=FID9n!~|Ox!ihsmj~4SNuW8jH>}P3pT8^=y-x3NW z9zx5pc2mhA@y=jc)nrwC0@G69{%zK&kZ+Eb^E0wbFpHjj)rBBLh;zX=e16BmIk3I0 zRnG)j1g@V=una$j9KCIovLkes;k5nCD{ai}kvW_oM9A6a{j;N_rVmF)EDT;+^?d6Y z1ud zX>o2{yZL$H-1I9&ZEHANd2A3@$qHg{>0s#4_{NyEe*Wc=SH)VW!fq%NIM_C;^I5`C z8};CNLq+ABv1UATyH>Lgm)A#5>5}F>pJ6Q0=iF|JbWTZC{cC$P_E??55LYo3w&eph zU|2C}{a@N>xx1iH$9qQ$z)3iV%Rvl+n|Y4^CI?zZMFPaAr{^Oh6}iF8J$~2 z;!S}jw-9lf%Yps4>y3^3pxD;_AR@yiAwU>O2rSL4*l_;9s68pN*@%eQ8ZX3E2zDn|hnyVY^M zUfCy_n`I`nwizU;qX2WOuvMXh;*-r+q}d+m$O+|4fC|=v!0mL#oS0;i^=?W$ub3(= zmfpo5C+*DaG2=KnWBNL3Vurm=!Md={ z+~4F1Y=ncsYy8EZOs~$ielrmFMoLK}ib0ynyI6dYqCQxO?ozMbvlBUQ2TYw{qkxhiowuDauH_ZluEFUP0l|NsNob#MDUI z)dWnTHe!mhjC`BjGUvIIU$Jv;IOtn@p`;|nTi3>6TXrTXX+$X2*Rt}R!t&FWyjswb zqyngn>9f?>3EG+2JbV@u(@W8n>=Ea3@s$F+Td_i%-1(%#LQ4=EPq%bm_X8qlDhUxN z%2YP534nPyc-~8cN=WnL_JuCUA`9?P`=l>5E3m3a(>`;A*D&B5hlpIlqPa`ufyu#E znQ6!GQ!GVxbn|+wD1a5Yp!$cnyHvnAja)e!BVOF*;)UnAlNdf2IJ^6ptrDuo6HgFu zLOAos;z9r_nFwYnwk(9wtARI^i)cxY=zUZjQGA6+iix@JQ(-ioy^k_BDDk!++-K*m zKyB94Ae1;WeagGqkzmx;j+Lv;L@aNW>A!Lt8hFs2mJ~gYNm5g4;?my6K7qk`Vooh- z*v^=~nPZ4O!@@0#K2mqk__yr9UtR?N+gu0lMxo)T^2*P}QD$SEqO<2%|6vM$t8%8) z_-|enK82YigGc%%_6pGSi9>zEAmF&X;J#w+B`)O>!R!DEjPE7r@e-=WM8`?AjJDRT zixSgD+m&&?c|@xFrv7l?%Q1GzwCm3qHZ4c5$E&;rC;%;$?`5;jiYp{fBIAh8t?1^; z*n{j@>JzE7(%{opL?*{JTVuuFLT8PAV`K*~*$?j^nuD6?SHPikcVBoM_|`goERR)6 zr1;*1Mfws@1nyw_Sfq?7!W*gF6Gs?+ zJANyrTA!^KF8i=*%G{;KfR(EnDyHwN{(TM^(R!Y{pc_`}6^zzkf6T93Jg!r|m=JIr9KvWFKV3{A(fp^TT8* zrguAv^ld=~0J})iR`@@+xJmjc<{U-sYYDL|mq37=$N#FIfBqrRwYsDYQX&sfrrR%_ z{A*n$ZwhD7#5#}n{ZQP$9EcOKqtu+w&q(Pu^~iuRgrl-k;Vh=Kj8D>v?2R&(=NZ5b zpvam~5Kg8=Ch;+r1^NUsWnZ>CY!UI@xVWtbb-0yt@IVECw5rqY+w5n>)m(h8+_Gz zX#N~Xm=}qNluA%IKEw7#+wB6|oqa`z!DRxej}zSe@HFEa2`$AS6||reT^jRz|L^1e z`3o3dHZI|Hh>ricW54XXUJ-ejD(z>`_VE5AC1lGIOjnS8A^=HWf#rlE>y9#N!Yl?) zFgtSxo%{3Ho!7<7Q|SgXF>4}@5>0J~&AC2Td^5D4G!Jt^Hw?Nu`S3PyHy4k{X5Ls3 zVvk4644IljR5`8oc@f2Lct#$yf5NiXwKH1q_G~GJ`tW`l&6&>6OhLhtf%?X&_p}f0 zDLJsIpU+4RSt=?o6j`SI9Pn(w^X>H?NX(Mb@YvHt?B^Kp%^b_u=1?hPxSof@o;5{6 z;e?3NqeLwsS#}PYena1mp%G+Lk*5a}5n_ z?!SB)5!4GQ5pC)$%o(mBC57pKY=%m4l4kMXH~wYU4Wa&zD#H(M8tjVzw8b`g&7?uU zNU2eEYO&I-`_z^ae4)GZhs#wLB%<9=o_j&kRY#U-XJ7a6Ipv(}?5d0?Nzpra)l9oq)%SP^QCU$T!J+~Qri zrpNV8)s(<1bZ*A%aj*pk?USK7%2m9dHXq8rZ8&YM`#VkE&l@d$Bmr8JW=RC8-Hk2Z zR<`j8y;Rk4(eC$gkZsAaJWd*t+Em3dUFCXG>cK2qfa*3qo-81ZP`^k`BS-e1`bMU@hidhuEL&=_}P0; zmDg#}(Kfe=paX0^RS@32KWP2LtwW;~_Hrp+vN=G%{vzX>BmApJ@52e<&!PZ|jHz|a z^14Wsw=JvcAK1uClH#<9g9@R}RInFcJp&~BVt3FTR+~rn%q{tUw9yPYb%voXN5oxT z^Wu(rF11VYQwa#Y0v_%Wh@bB=e zaL>mM-mQ;dU;Cq*OtaZ|_lrB{9DlbMF?ctBK8fkRU+hHBveGfS^3J_G;d^N8ek}Yw zl!A66Fl9bn5_Mgf$p1nH?-}`6Oafpi!JIlF!A^1__ZUddb*CE9OV*m8IN0+&LK0# zqL>~iE0IIX$A_PKjTD+t$jDRK`?vIDf@?5evvI#8kL%)x%sOcOGKj_q+foyoS7cEWfeoq?FzvwRg8{_(I z*EUKpPZt7ubNChUK+2GU??2BxS}~xA$BYB1EV>8DE9HJbV!A2bt9cF@_RYxajxWkj zBg-;Yrsybzo9kUYegSl`J1uH3JKadnc^tlrM#tSIoXQs~@LX|Gt^TCjTR53cnfAqs z#8WZJp%W<`qL9Lprnk%f*$jBM&d0wbbFrb1NlkBzR6Ro^-5du6VJO>T_`txis~SHr z>hDuUK5*iwe0ZB^0HgkwY)YdXoxF}WI!ljKd{>~Z_TU8tqg{8}+Ut9tbU|Oh3ecKY zmxN zU%leY%5dd@%j(G-CsQyri`D=wz|z$&B~g#4_dkmSd+Qwx_k3u@68b-mQTsntTX@Cy zv+>l)Jd62u&tty5!~XK1-K$5EAVbp9t87KHMW4W^aS>ZaF>+dzr6C2oWSzBXF=+9X zg5hzfyVN^X_^L9ILXPk;)m^FEP`<0Z$gWC~s!ScvQu4_%Xg|oBe;aaS!P>!@t~q^! z{wq2)dxd&0Ycr@LNwleCPId{QsE(Cs*DuCzr7gl{%S2l6Tr8JKmL>Jb=d{gzF53*n z5A1hcaf1)$d_M^0NUjWjSSr%M>*)wd$YG|ydk@E5`Q9tuA0&4CM$PHp^pe)l4@*0y ze?ee&ytkM%c^zi9eYKB(nzh&C31IpSbbhDF|8{0| z9mtKZWbbH!celtlT?e~BFQLv$k`t#&l&o%KI~dM??nGSMm(GnPRu;f4Y5J+1%npgE zwh!l7%CVLUM*G~5u&nw7VXjbIZi151y+d`8EoHe_#a-D82B5$QCs*|d*1__s3TB@V zgGRdg=lgrNVm<3P3G{rFtrTgNwirGa(ZYeV1AeVXy05&^YfKrh z#k-G|?wUKu?}#MZ#=8^}X*N|u-&QFpYNqviNAHe&Ej-D-AFj?oy`$%~@Lt)e3|X5# zUR$$3uDsyW<-mZ_rB+x>4$f4DSCl%SNSp-BGtX6!oc%6l$~q3WzbqyBqU?0L84;_y zby^#;&UwW#IkvU{gseotoQemCc5D?)%UkP`{aIrqY)Z zSIYJh-0B#%g2`{bE=2Stj#~_w@`@sRN)C&>YauzrK(}Ga=H)Ah(I>sm$sK{d{S1B~ zRcu3~3AR0C?u){RF$Nrx1-|mBrReo-mqvWAZ>07Lctj}b=|vBvd!F9Yle{_KX|Ao{ zdp1AxM`&;OZ2LF(a;P=$8{{x<)ydZocQ$Pl4qp$~daYNawdBIF3ST7b3?s?D*7gbd z-~uuO%!HGeQX3kCJ!oeUx-@j4zD@(P8jZ01(g|bOC%W?=J<_v&HdN+lk0$dpZTe0I z{!;V#iaMx`hfl;x#mlp+$HjjaRBh2o>4JsNu})3uyvtlHRLB{l;`ym_E(^+ZpQBsj zdjugP!z9g=Q|ytEu*Boe7v+CDGW|3=nno}9{@J~VC@&7LzQMFtD$C3xCz$6v7nRSE zQ}|osV1>%(66YkAokgw$NnHOl!c!#^3d6zwXvnQv>kT*fl?*in4bz*A>XW(hTYQvG zD(Gk46QZ*7QYZhJdd_oU{H@~p01HXI$i-kf_uuZuv1&y<1^zF{pQ^K^^8>mu*}h9u zK}#G6%}&>yeLo=p#3_t%G7Nc>NBOx-FMc!1$Hm^SL#QGc^V&A@o`3~#$$gVe3r#PF znebfHSkE@#rL=DVO=9PrePCMoUh0$t&i}G;@rJT^$H}@b-q5@@5-((vL)yiKeS7|y zjL~bb=pqzMPOuV{g*b!xApCxj#o>ghi6ZZn?8i4?5MP8`k;|s4Q1Nm!3yfn!i*KwX z3b>n3BjoJ@&OQcI=yR&LF(-`vEQ1VBU8Dxx@|Q ztX)c}yrb5RfT#xzt0p%K9aiQge^YQ8^I3qqLiOd9JB|Ud+aa;b@_LziVOTkpXpt!^ zfK5b>M^n$`>CEQ^?o@`8o+Fe(dmtn~P@%-~m~h?BYLV(2PVh;d7>*pBwYheoqD&&n zOy=x+U4D1N@@41Pin6tf4bA`?$*pLM*~r*& z4!QjMD(;>UmBP=kJ&P$^oddWG3mxP^5964Hg@ty60NhBVV?4}C1pX@Dc5MBGK>P2~ za?kKLfW066@rf6XXC-&KE7vGodA@fmQWRCVVjN|=YV!A1@$1E zd0h9h$uPA}HezfMn^M9_JR`5cJ7eyqd$71MH`M(tG4jLlFh1vtt7-rgPwTZ<&))Vz zz9Gj$U6B+iLHMd?${XP8tkUey%QXs!+d#felAF%K{&$*=A5E%nZvz|pa(+QkB^v*?(y1hP5#q;WP&mPu=|zcJ599qzx@6GZc`)NlZ9~?hr!WX z%VsTm47>NxV+s2JDMdUmLvwG(zmE;NkXGxz`(sA=iVB`9nBMYj*RjiHEpvNqnE$$c z4%0%^)$PEkVqmU+j+OAUzbtIFAMmP}{UM>)pItp3i#^47^GY+A;ov~(=hy#gL^&c0 zHVgLCPnH0|{^zFNYH?|NV4#3E`(Nwh=NMx*D)=(1qFaVKl^3%AlO7}mQUPFv|BJo% zjB0Az_l7|fEEGjWq*@TRR6*%YL_|bDq!X&42q6>$0l}ci7L*o>6saQ8ArK%5Boq-L zNR^h5LJ<%oB=n*noM*Z3J!gCGKIfkM;e9^5?|8=`V=N|%mD$!>bN>IoqS8(MTynNz zzxdkVSOm_mJgF{c0Fy)@&X?BwxMAz#al^tVcrb*ASNC|6GTaqlMBXLT*zO{Fc_5g% zJL}Iz@3p$LNZ5`Eee?Y+#uXadZx$9i;j5p`GR`LPo4ryn|F^aHPb_frKd``#f6laj zwCw->r24-zRsTP9RkGQMZ-hMd9}`GG6|zogJU{*WIy$cEBe_lWQPyuE>55~gG}4Ai z0@#`zWfiR~L)g33gG~x0bJMP40Aq3;oheN$51=f76pJITN-bJawu#1_u#Ir#xG;x@ zw1;vb0M*UblO=jthMTcnAf3ky^AVqY1@Kbt8V78LISA6d<0-tCd1^*sMG{|Co?Qjl z>e$O?{7M8GXhyx zI;H7G0icpeSHq=@YqDp}P2va#l`GKwbXlEph&&8z8e-V@^_$>^v*|Vku4yE&%?e7h z9Vj=^F2+4R+57fqP7pVwTSQ(C-qlaNo@|Z(UxP);y#8zE0=UwjKk_X*X$BN-4<`@p zVyK-gkNg~DRA^JY(XiLkD#hJ$%oyx(_5PzZCj9duL|K0gBE`&jc;iv`ChN!ZH`@#I zefd#7ogqOjAKfi5ElTc3jst=>QO*vhln^L>^1d_CeEW`h_h!d3J0;@M8nEiGPw~uX zT-9;qQ`(&Hhv{*HK_ICdOx=~^Tjw1|VDdW`6{t*=sKMEs!Q)Pix=ELueF z;{*9Q!{{4g#xht!MK@IvA8##MHPJj3I9ALvgzp8|Ff@ydSu4iqSehFrpCeN=dnsM3 zV&-S({JJpv6}$Lr&;5G*=bFak*W&+n{8u?!pM+S@BC(@v^+(ny&0#E;%7Cfn=p`FI zIc&Y_T&4y$JYUKUgjP~F*t)E5x1TH%W%8@PTzA7fLU@cUvsaVGl3 zP{!ZbtjQWTbPTKnjuo^c{dG5_M-b<-vm0NFY$~TWV{Hs>ObrmOvFwE=GM#JC3xHJx z@sy`kVwa4ZUv>ITp_HPfsFV*+1T!CCpB9fCT1zGJB%O2(kTUy?v7I zi)rcOA=r<-Q`1Y4aUmr9M4 zP?24yJFvRcwG~8Pf;?2rDgr7_I*Ig~G_PKT-F_uD{3!SLi*2=%1lh;=b&bshR(_B^ zN@<_cQoXd}y)p*FhHRlPNM<9&vwiw4uzw!&LlmF^d@=WO3AOw@^h~?pA_|qPq5mXz@M!4Y@3eBm;=|M(a|M8*!Dq{zZZ>)3MH_s3$i~dbruVLN zo`D&64v|h7-jY$j04p4@zJaiEkbGmD%b85Ng4gk{bjz&S2G<@qIZZL?t=PVbMgg9w z{1Ob6)tbB3{)!!@P{GAZG#|*JRoLrFkrZTV{Ht0aylB*BW{$0#Bu=Xuv%Nz&ZCfhm z8)*z}{*Eda=@MhKugr5j9hDzrw`Zu){G+K}9p#e5%gj9Yb3R@Yy72cP@pe81#zy_R z{iKh|G!NOgbY$@Q6NDz;;EUDVPHn@tBawH;AY;L}%vi5#P3HlMgoe(oksN*;Eft!k zju~=6Db8=GB!6P{xddZMPEOtf4GGVywJ7ye-KfP1%?llp(wq2Q6Yr#22thwES!zGy zcBR(kDJ!d7$&F)KkC9>fO`W#`H64Vh$4>ZmJfGrzWmsDXR{S=coviQ?3O`nzrkGY6 zd?wjZi%r>@sQ)G|TShLZ#iRMN1qJGqO88y8pnm5T$2LR(CesmS;Mds@vFJfmPE|$) z1nmFH-PSl^;UrV7o|{6QJLL5uF!CVa-uDN2wrZU^4;S3gR9x&;2rlb+ z!@fT0vmO}>n${i^mH6T@!)}t1I*mc$)2b)HPTzzf_h*iGG)nBfROB`G@d|T{U%2Dr zit5-)svL=qJ|Gj0a&+Xo>_?TZpe}p)7u*+)1^GSTdMQm>r`vne;MP5@wspgHOzNb_ z>E`fk@q=q7WX0u`)zO}7@XT^pgzp3_V@NCap|$AXSD0wf)?r9dc9>{QgZu{Z7{TV@ z*4^SF7aNZ|c(R=h1@S11Lz((*xQ=j5?G~~;9c17EJ$>r(aK+(lhDuUHK50|p;aQ78 z#qmp{%%`+97feJlNj1P#9=;@@iTBWbE#L8R!=7d!kX}s^n)}Erga;M3+jf4v7Q<=b z49@7bb9_pT*WA@FcqNg1W$AF5uO^=@PN-VOwUP9RGycH9Yn#)b7`5jZ^Z4%a51Zo* zhQh<@`Z^UX7lbHz9LHGO8{Qt@ilNNHFOuKAeS+Y!A!z zQGzQI>V06+9B+o(!j?K|NMq>+EIKPb)yehkm81iA-?ddKT-XpsXi$k1hCn|So9=zV z={>O7d)8528FpmTrY3odSDUnht$WmUUc*-|q{=;E_d#RFfTpT-nBm~$+BfOBqUkHm z^d6)h65CbEUG*q)j2AE^z`S`h3lD z9Dm-mfGFHKP+5U4Tny9fn=_5ZdyY{*N47ycKEVJJ59q(IqTlZ070Y z=)N2Ha^%<1K|3dN1hSYV$XcJ&cs;oEaEt}d^;Qmyah|{PBj*)`o3AV<#ktW~Xs++s z#D3rvpvY+|*o~F%Jj)`=(%T#oImR?j-N^iHYF*0BQa%9lW~-qtrZlKm*b(ak*azjs zbT+3C1iiE?U<7~*+KUbg{neph$j@39fH%ht;n+1V~@*VGQKT& zRtDMBH+-J;4hXov8LvE`KoeYYkHe?e3r{40>^wT^NA+m;k^aYKGW%*l%<27w*}+7f;K|6g=j(6 zIz901AZQ)gV)T%lz!l!1(HB;2cuH|A;KBXwlu~K>YfQZry7J$i;>Thn%I8N3&!`=Q> zo(WpI?B=(JrXRYh&c0S7d3t?rd#dAV*WKF=SEXDk4Z6~>R~bdzPJq3m4!rh#daECx zdFL67(EE!mB55mLsrl){0pcbjQvg2g<>XHHL?h4JdX=XAhqOudw@6}lh^N_b!Bxg( zLLW{Y^<2JQtfhKr6nmu}Jw^A7th5df{x~is-REgtAW0CDu6A?&F}vWvu=jAV?Nwis z*uS|Es?+YPebUYuB}67I99f~%MVs6{r#D12P}ztBr^AgI7-U#`Tul~2W(EW`;&ClJ_YeRt?h9v+Dgo3^MCJGw!fEc||k4t7CvIY23;*|K(*M z$;2}%|Ipv-GJhqm9MdrR{aWpb!7h=pPt(|z+YKn@qZ1P&E7UGKr186gGj3eAyGxG_ zp6%d@cALO3$~rWK3ef3xzBEw+OyNE_!}#jj)vn%lwc_K4)2%GVWzi%x+b#7sLIdp8 z`}6-HV{+kihG~a~4wSdr(g@X+EmI?23c)GAdtnVCwcqX{#-l0z~vko?B-^-2}-x_i4!VsKHQ0tQCL#ke_X6O@2 zK2JE8!WF~)iljGG_hPAIPkWOzpRE1Po1bnCVqoH6vM14LAL(QbY}CH7E1%9gkDT_^ zu8ZkZu`+;ZA+l1|?s{4lhirHUluX6hMAdV7p(b6nu8ZDFzuRwLE}mp@z$DffNNK2G z`dvs@m(SgiWkip&$;yS?W^Vr8+>?>CU`Vb7FA{$zZWD^P>RS(L@2hb2S0fZRf!HtY*}5ZiIPjx!odN&Ap7$TA&~UAg5{gWTP677 zQD$(K3{QC1xP#uVj7!h8EPS)(L|Be-i%~MYEWeF9n`6&XK5EHzx2*x?0e~*!Fo*I4L^Fnrth{F?&l8m^_^mf9Z@gE|;(K0IL;Ui;(}U8~9(P5_AUuw>m<_M-BoSn4*>& zhbinIxGY)vuj&+ggOd(cFfvSD4}7(Sl#xOD2eRygiP%xGuL+-0Y9Td!1;Z-Lotw%w zc1pnxdH~GOiu+N%$!TSQkDV?qx&#dC@v=2#M3Bb74M|wV1$5>bfnO2!l5-qEr<>FC zSGw2GqcUZ^E9RqT6T;59w+LQb(P#!h!2~H$(#(`TB+MC;;35&<8(EU0Y+`8h7F?5^ z$qat&;H7NuynJ$`%c|H6rqi|V+3$>8pexvH71xqsQVePEwqm= zu*1jDf_z)fR#sc88cV~1(;Gjq@$be$n5Ffkn(f5^7Yrej)Kp0a9)^5ED`lY?P*06A z2)?3dl$^I5$A*N4ZC` zOZ!o@CJY49Q(8Np@JTaY^$o>+ooq5fv%dPUY^7ew+jowOi%suv)(T}aA8A$DfCAi{ z>_vo(8?)JML-IOXT* z|8N=q{`ij(1D)J}TMXatuF!N3tVt(g02zvHUpXC}gAJng(Uhz38%`!cjZOVw&-{E7 zwJ#mPh+Qs|*&dgoqAOq(omaLAt4g(2@-{HxMg8e?6s6(qh}9 zAi{K>OR^2&KN8UxH!E;+tQc8vR><9ZI_S2CbN1B2eRVSh)OMsvrSF_t?OmOho4=)P zILOpE%!R%wSLD2$eXm=e))tq9cjenm6U89XR>1cWCrDe>`!+$yOZrB`kg3uQ5g@d5 zk;r@E9(kVREIcN!0E@dXks6MweBs3Y8o$6^QOiu@q+G2Ao+VphS*U8R-cy@9&I#`q zRv|U`XU?9Lt#$Qi0tfDP#MD{$SvT-Re2k!jd{N7-jzkrG2L}Dq(zcQu%VEOAH z7jUa(r4lbqBY?3`-!G_f;-f_NQ}qELzx7n-5s=DS4&OU2ksP9^4M=I3{imW9=pV@> z^Ir%2za9S!y!15xSh$R;e8pRqY1hW3S@atwpl5hgt5d=j;| zVpQbpwnj{uTQ*6lQbH*Ww9VuRSX6xS70aBI;TykwJgoo=&SdfV4UNzv`;t4qzb>VE9+F?{?5idApla2G%BRI)UO>b!BrAOzHmGw3JKp} z<%L>}k(l|EllZN{{z4bJz0L)@=G+$J`(GWdxj z;l1XGVO`V=&#*9y|9Z9hdwYra6Q(wIPiV9uT6?Rl?e*W>l(Gq#e{XjxsL^F8ae*Ol z$TfU+QS5FMOrr!W2E{>ZWRzUni@47#j)nGH3~fw&?Zg6?RX?CsTc#;gUfzjb#4Nc~ zm@W-mQOj#T+=!}j@j`Vwi7lDD<#+$V61)En;R?(6M1Q)V2ivbnANY7M(2=w%8x*Lq zBkMT`3FaSN(F-5>F4;4&kFXj7uKV0n3)`ySs(M zx{LZi#{6RVAVYlX?BCetPI?w^h|GE3Av>)e`2g5&w0H&a?Eo15H2_eJkKXNk{JmX) z>Zrdrqx)kjr^%wEE}A>KQ%QOGr%tk@ss7C0*nlYFfBrq4B@YPfKM>ywFy2hR16Yvr z{f$knIM|9I9{{TnGn(o0`}b!mF{4w8AM+glM+Hy(lNzZrnhf4?0JXdyQRQp#?@qn` zgjfG_BV@Yl-+aBcO-Wi*c66J^{%-&z`Y%28OGO@2n+$y?>U4d2%mOF=(oO%g8_FFu zOFT7|u=uS+Poq5}tH=LCKmXGU6#kFH_)F#fI&S~}^?-T!XaCulFlal(9Kd^McPXYD zdnB}+Ywg)fLJt}RAn!^)YZnv;aW=eD?$o_+IUhdU z!}<=8FTmp@q4={cy@Whtqhu6L9(k*x3_@HlC!S2Fz}hkm!W`}1YTD@l{HQnDpq1st z_HGYl+u_Vb`ZCe_JABDgenLCqM%g4ibP3wau^CkNJcKR>iNpDHUJCbaRxM45j^6Z1 zyTi0;q6jlj3Z(1$jScb7ZX9B!0Gf$m%Pg-zrC`a){53i7f?$$BzEwSjIFKb#iawR zqOz=JnzN=Y1=*V%bg|aIPi9mV%V7C#SGuvnjLxq;Ie({I0{J552ccc|Y|WAEEkTH2 zYeSzdTkNaIO%EGr@a1!{I8OWCHhL$0P=uY!%0$A!C+vZr`^iH!ZJ65E-^BbKKxsWBqaYdyL0#aW6#1(a*~tbo5^mP(|qM( z#`e{lLy5J?HxFG>w|^)qx;_Gawm}J3?9P0dI)qLS5HD-ZMqJmGwsTsarWjN`lR%)WJbn97|N-(J0>eNy>< zzlP&d+4+s3(p+0Ad{g5o`O?0FcJt@(I{?9C3d=vq6|9*YK663Av~#SEbxe{Q^4=6o zWuXqBmd_nF1&^{&UXaFvPvd@QzKT(DQmLcNLzTqqRfEO%pHgJTv*dEFKOc~^-bxl6 zoKn6dbv={V*e2v~<1M?!Ir0lc#^wyB*6Kq3T<@BA(jOfCf93vny|5jta)>RFFC&++ z$?S@CEif$cM9xdegKKTUby&0UN&wKzXB4htN*Y73!URRgIjQJ(1gdE? zJoYr8wB^vH5vAO}eUeJloFwnF&NaQfjE40tdM=pVCsJoyhVR!t456APeYfVn6;!X( zQNKKR==;e5H_HGP!>%93tK;bBtJ#)0?b_7q|AhOi`m)2Ik$i4Pc>P|5Lu(WhD%#M} zC#0=l=F`XO&M=ag{E3YjK3a#*iuf@9$t{Na2f}8x_8&S&cRS{*@Oe(%WgVp-FbNIq z1p2tXzJ5Y-MAD0J9X%qt*5x_R78lo{18*N3G($d1N`#)QOVJl~f3hkx9`1z>Q0PXF z463SE1VwLS;@fZikeLVa9jYpfU307{X;F47#Cw<%y|^Fq7U0P2C$^tDe)_we@-(^^ z!qT*Na3v7<08cB;2l7F3QR?wwxsILfDi*UsXhp{5k5#e1M|7YkO zEK3u)PuUo}xkQBr;IHJx5O$a`AZOoru8 zJM82{1oiWEBTn-a(QZo6$!b;?#)w|m-_BHnc}pHUZ^-Sa*GGc&$|;QmT8b@5+yuDiET@g_2^@Q%&XZ``Cb`w2sX``2^n+`7Q92QveiI zWUq%VB;9Mgd?7O&W;W=#VuQ|*u95_V;H`}xI5H@);QQ#Fh`KyTVeMt1TjeRxP4f{- z0uOY;f?0%Hx_{IFwfRnC?ak~T+qqwMgSFAm;Gn$uG<52Vnr@NPAofqj$QXe3F^UuN zWz%8{JliYhpQtDD^q9uqvwEffZL{$AU;h+a5X*A{Eele;s!E0$Xe)F|O|)0J@lAhT z(Qh^@(j5f~N{#R)icY*!j4u1w4p z4>{sEgqave53(*xEWWZd0)SJsMJo@Eq5BdWvMRWH5Ooo@&}So2IlVp_H-=^~+YzYj zur=w39ShGQuG!jox^Dk~b>Dm<# zNZt!(C5Q%`r%1t`$(1DAqJU};aj^DxeP87XZ=4brrK0t0S|@c5k$t7^;Zp%m z5ZomGJ(jG#K@e*(>ATP?&oLne>%tjRL+>-*B5j1y?>&|o3C7|cl?UvkViDydVCvGU zIOSbP(pSU6#r@%Xz}}(c(~lSKA&dUlzLy+;^#&}m_~sISr3;osHn@PbLwcxlzF+RS zJ7+zO#;`B?YxUKZaoyetAQX#SGc-F{=fEzOSH8HRiX6|?TsgE2ndUe#H4>B$?7I-c zAgeF{2o}xjm4sCvJSCHC`#?_iKI8}>0S{#tioQcW+t_8acGjJL=1~ z*a$^~Y&C-q#<$sy05W028XW-EfRQQcwg(Y`KMnV;c=;2|X<6Z35#atBL**Ckdt*5H z#z^bcO@1}SWea6$7tKciy(+XM+E}vBkn@7l%`^)rv~tM{0u?ToTFX4-gUrwe2;$9I zyDBY_Eew$|IfuiQo%63=-WhTyFlvYH)8_!B{}c76*L>?#>|%|hXkHzqX*hy&Y2+;X z;VP58JmfBX&&ulWv;Ehn#n0nE5e;|vl{s||M#a9;S2`FoKBtVz>vKE){mx8JU$b=J z2o#IOM+6}+eNw-0HJzh$SmMxePN|n&aP$ZP{QMI8QT^z8Gk4W5Zgv)4Vcq4P1Kvj^ z{O{d8eo6D|3xo}Ld>gt+v7@eBF8OwG)?HWO>{cUZ7Izx*&6VE|X_>w-dY;2|$mlt1 z@@2-0q1KC+mE8P`ga9?NVY*5*G`=k`N!KthbMQSwH9RI$(K{S;qs#ZHm}Jlk9{J-R zk4WE4(pm*Fkbk!9zlY?~zR0)w=@+o#Fw-mn=DGtZ+#RNwIWwB)5w2??_?h{3YK~nHDgI=}Hcpj$m3tzh{N*nav@*&m)n$RFBtdc0K;CvqM)8#0Pp? zn?i}_@F=xPq7=*|73U5oMuwz)mlM(Q2@Ckj;H@mGxWzmzhlEs=+v6TP`-@B1OTTt= zUH=R4T6L^m`k~I%~yEx#X99?|sp1FGa$OLx;rfO8>&}N3#@82?ZHN}sM(B{+Knm$i; zIVWy$>bihMhFFT9QRTVa?m=H19WCZDi`?|HG`&H+#n_?8{|RR@kksC&S5J`j|J-}& z@%|6VMv_J|sh+@^*^IbHcr0B8km%?_?;NJz?K8UhpEiL-Mw<3>YRa!0h)ja`pQfw(~ zMq2xy11j#v@((Bh^TeNg6rd)4Tn4W455ZqtC^&#I{|!}%)u!M|GD~olqxu4xWv6 z4t%7h_x>XzfgzaY2F6z6wxPHosPEQ6a!-`1qjnGUxfLnRrUvX>LB*$_<_+$W5(`<42BbQ@DFKF@}_z6*lO-*AQDGn-t8G*e+kG z&ni|Ote}8l8&p-bE2Kx7x8#Eo+WhWdixjcsa83KE4uad@JoVu3lrJbk*}c#pY>XFl z1XBSqhDz2r;-%J*$vP$>K4m=~WN1cZL6{I#=A>D1y}g3`*m?_I;M5I&cZvWM(i^hb zkpE7d@Z?kLGDy&@;xl;M0Ev?(?iLRcQ7hoc*Ve2L(9KQp6P(clpvRuzXccCbOcM(1 z>kn~Iv0N(Lr#oKZ>}a9UnMi*@Y8QN_2n(pDeAr7n?3@FH?I}RS`vJkC;l$ zG1j36#JevAonv#=j%M4slup}zmce?z;j|qH@fPZjd=zd}+24yUOry^6#FxI?`{H3g zw0v=ap$*stA|3lZG-se(CgF)(tM89?b&ADl0Tzk6on&~PvI6$YzV~we%If{DoJX8? z9SqxLE9e!(=o#vQ!vIe%+bV~FfZKSNED_x8RTl~78VK;@kLk-FzDQK7Y+x3)eGMpR z)ND1c(pAD)ZUY*Q2}iuouh|<(giBioY3+!J?9it;sE3%e@Qm;IIE4$j3ABSd>XLag z{K10X-SRhb=&(9M~7bkQ~caMiL)~b8lh(DWgiUxqFmK?FLK$ zXyUw)v{qf4fSN{;Sl$H`k&`UuV7pa)eD#Rjh2p{S?SQo!=?m#(3j;rKBLWlRpvQU> zUb5vFADIG)UBVV05eZLe(i9=3Ouy*{BK=mw4{Uqli_4r7K07+U28-EF45(h!fA`~P zK=ndz`+RT1L;T>_Qfo~=;L;c4gK4OAHgY6m-RD=*(Q_Bhg;i-Ryq5guXRTp4c_)r&Si@6 zHpg;qHS(?=ah1JQtt19yzu}ix9{jfdRMvsGnqGWAZxZb~Zz0mM`P=S=S2)tNlyzNQ z#J6&FHN@p(6h8##fT`^p{8mGOtCvPnl^X@2$%Y_^#n5T~u_CnW)u|OQwHM_la9)@C}9xf(t;q_j@vWd?EfAxTXQLZ(OE88Be_Xt-1Xc&osQL_eHX~m@D)}- zS5>Ip8?kL5fxZqcH2T8l5DI66Ctsw#K*l=xcj&$$2*h69-%v1&NfvToKR;DQi-@B$ zxApmok@^)SMw|7VdVpg!%6&Z{#{fRvu%W~#r^|25&e;nSyo%28iRnOI{kf2NDO6K) zAChHKRarIgIYEy1+xzHp4-zhbI@ItckXM^XFNB*KEb=y!sk6_$!eXC`-OcT3EO#JM zVB?Iv$n2@9(dFC|KFvFxBk2BQhhY9CScArvw6(h%4dh)zZpQCLicHy0Jdu{%iOpRD z<7`!$2Hks?rEhnAL=C{?!l3&&+MWlpAN$QOEO%-vc~0~0lv{ERX>=3t%!SG1#7iVn zhTk!ZJ*-VC+~M|HH7&2nY7Ld&_N6>n&75n(X`|{45_r!vijS|D2W>T~=@8Ylo=zHR z#$MkS&aU3s)E5??AYToADAAo&6iozZ^xhw@?BPYsN2*PKJI|~{%g;IIZDCPcOf$OX``uh>nE%f@M%YitWITj#zw_ zO0>TA@pXsr%Vl!5>tF5#Hp+OPG+xyjSf1>xJoKJCG_e_lU2~xkuC*v2?@T4(%Wn2%p=EdD>_%REI)&(pvl*xCtm|VK& zi93wYFJ8w(I!hA@v0$?zkUO%OsQ3v4K1h}7erCMDliH$AkcOI@Sk%?`kcPe!aYxPq z%hF#qt-qu&tvvZun1&4_QB768-7@I>ji$!72=nf4g8anY(W4ypq$ecB_|KL1C(lS! z-17jva7DPH_`JZQ%VNapJxGMHf)bs;!K8j7MJdLy$AP(KG5Iz|5^3f5v8pq)*jUIr zi1CDFeH7b(d+N}&-~x5;7j|$MU%di28n|f>HxRtlW-<|qIFGrkE8qBIAKP>_ z**5e6)_b9z0w>CwUqR zQ0w8M8wL%k8VjZiVs04`tL(OfG7ow{Y#wjheW<+e?@*27voHK?yK3D4Cq!8&3hHw* zR?d_6(SyupbXvcqf!~IYDi4!yofWL$wtQz*BhB<`ogHqSw7nFu$A56|B9rk@Mfc(& zP5p_=sA%ToXIz_g=@?0Av2di(risN}v;0mT9l7QJSP)dcNc9ynd3YsJwxrwb=J(%2 z@hB6&rata^x17xyQgn5*5pN{@R@gSy<7!A<-FB)<`q59ih9I2kGu4d>B5795M#W|x zVUXR;mYX|?KB5U#Iee&z3uQfnvz7#VvmnV?@nZ zCugu-v>-W%?PusUVOy%MW~;q>1J?b{&V=KYVb(z0kI>Efz3@+8rKV{Ho*D}XX-Ee) zOWdmMjCON^wh8Py+i8LYJ@r=BcBQN=1U<&90L}%>PIIRvNDfQBW!#Ug+^tA7X|dEO zDs$uFhTsqw-0m;8(VdgQ zjg9z~khboq5=+63`f@lw;c?LmGK&oIpf`Fw?45uu`3}v8XHxs~;2ov1{;u`jj$}rL z46ndlvU=qw-?P+rfE1ReTL>Vy&<0PWGek`M#Q>Py#K$filZgbj zrz~pAs!@1f=SunB7@!3tk^Zx|<&RRLX{V&BrBnkJ7$m=cTt13Thz|!ZxSN!i^|I4&}Oldf#S@tayW43$uf73hvF(CHy zqo;66H75R2u@K$NM?=OS*|HjgP-r|2=`u}V{{EPeG zKZ=cu*K@w~^}p3gpCINP;b+3_UcExR@g}+ii1>y@+O}?`9#*`RGg#xNpwLxuDe8%R zS6d#=f}Yo4M|}4|?maPx@28-yNL2ma^M>|nQatY{>G1)*Z}B^#X{yEn(DlVV3ujHo zRXJpX_*>VZF!_hYtcNFA>np+AVVU!Ema^icmQ{>KIIrPdR5a$B1!xQ^u()a4Q6Gxd}ne~yH#!R2Gj)wP>Q=~eZb)0gWzm9p8yPt4Ad6N!z4s(o6 zB;yHJ!-9o6DxZFuEVmfssep{7SY4YF0Q=Fkp~XH(zdAG6VZM34@{^QP)Gok5t=%-w zoMd?w7AOYM{x!ZRk&O8h?t7!7y+wL7I#mgHuF-mGiCa z7d(&fP|Wuv|=f9i$mHZNE{L2gcr;mM8>*j`t`8o?MZo3k6bXB)EH7`EJ(e_~= zK6pksB8iCJi7Ou!H4{E1)-vd(ydm1x;kA39iqn3|P2l@;rSh8hed)<@*yc|(3(|fxRou%6f+qmVE>8pt+3!Mva6CjjHbPc z0L0T{jUlyqnpuSSM+1tX(WYb3;kG!^`zX%pD>WU;?Y&AjpGwW9YJ-IErKP>F44uL5 zDfabMY^z_!GZBa8)ac|Pz4~}0q{bM;P+Z!UcYk1ro2t;Pm=gAn%|jHNCdEQ)c#!Vz zRe8cfq?z6GNK}+tR?A)alRl8gCg$PGqVk)sbi7;cFnD@P-#(?8knLB#7m(!6@HKe; zWKsS-?D#8-vgDp5o4JPxyOOZcQvQxFm_K1r`44C5SKQgjje`I8* z2DCrxT6q=4$r=(Xk)WnK2jPI1Ot#R?#eLmInt;acABqhp^G7;Wrd#tyN~gDD)h|3Q z8133ll}ES6OVrhW6>chgo!4aFNyKry)fJZD978aF=3Pbq%)3gYrGapP73WOn{2KAM zbBxvPN+mE(t9wsWHDP;XdiU$Q`?`!S3{mWMAlqm;LEnynovig?J@{dP}r-QaIOpnQ>X3ZQWe9>tTgZ@DHD`_}Wkvk^dAqj8$=6j93Le-9#s)*z=O#WZA`ie$iSsu)V`sT+iCSbUSXn^P(4W=raQdx zPQy-pp6~pm`Mp`kYwX!j>6>V~_|&k~to}i{VuFG0n_%mtdbXQ)VO|M< zUOEcUAlZ-vp{2k@!w;PuaGB+r?()ih0WyShwVRC^taAP7vF4FyFPiaat3SV;dpt~S*Gm0MyO9nd-wX`f%Fg>!X z%jG^jm5Wx6F2M;mfI>bUR~cAir!;GGaU2pHpky zs=Tk@EG6)Z8rd4u9$&{Zo;7Mp@mp-mKkp$Rrv1`9mH7AJLdv(bT4RSjO0-=z9SmnhOm6uh3k_ z_id<6P|)VK;5uu3dBVKCf1ncL7IJp(9wK@2-3rtX;)8m3M1OSUOzXeWY5&KaWE2M* zCtWhmzIuPbi5z|+b@m-@iZS6M#5-B&IsA&km?i3I0=uAu_T2`Q6@o{Ytj6(FNa1xIxiBCI$i z%?nuj(lfbKSn&R}dTXC~^()wP2a%2m3zRE2tT`^H@!_Kgs~hr0uV)VD-sj{Yn10;D z9V#mxhN6Sjnmen>)&fDT8w<^3*WK*Q)^e zYvpfjsEMw!xBvXkA7H3h|8UABsj1aG6=P2xVl=keb^Y%Ks%0l#{nvq_&F6Wkh51id z^(p8yiy0QXJo()6toug~*81 z#Dy#nFGFxa){FD1-~AQy_O=$eeyE(hGMc1$4N-BNa*KI{fhq@wL90g6p2AgOuNIfL zf8#DA1H^Zc*;<>Uhj_%iz7}-@!lpbVTYY=37uIWd#|$VeKE6(=--xCk-Qqi?-FrCa zCCz+ahx)VkHzq;nyUa`9cj6=~g0BqkJm*$8P~gPi5NibsF5AjpBG(I^yLTh=M+zcy zYD$o(b!bZ&btY;x#M~XO#ov+6`66(iOGt*f9L&LfQa}34SIfQrkQbGE)0pO=@9 z(ypM}(&`2B>P!CXZSfbEgFMKSWbTl+0Aek2wx}z6L8y(Jt{<#<szf;Vgd^0mO7VCog zjQLXe=%IFg+wyN00pIaI9m@HQ?Ek!B%QMt`IyZdq{f1&u(I6M!t*``A6wXMZWbPif zO|5%wrRpU+t3*%(Dyc;4=(VzBT;%hfblU}=_51k*R*h<+#1&59?3cA@;W);aRW9aK zEyDW7`|D|mpo*i{N|I}zJ%6yYj*A%;nxnY#p9XQx2_Ae^Z#iUTCrA$cwsq=?8g3}e zsWYvi=FuZ7iKG?9ywnsYZGdZd&x|ctYVu@Uqe$pVhv|j6a{w^jXr`Jp+pNkX@ik2P z&^Zf>#fF!p3!7?+1;BQsC4DGhp@^nf6aFb6`*avwXtF+0D{a*X03k-hIG$KAjq z7U$oNSOu#zA}wT&5o#`u>R(MH`#f5x%LzPkQ6jAtZ{Ql$QWxGxZ3+f6HQ~?++@oDN z{K}f$#dj%3j7!$j?|E3oTkN{}3yTXQW>_$=)8R+W@rZ{+zTLpv0L~WnIP*!7f?rC z>dJG}Um;r|0So0iO??}RKKB(0CmJXG1J!~LZ=P4Y*xgeuT1eq7t9&^EC!>c{Z5)d{ zbVmyruTMQ3a0)&~U>NfDiNM*@{?umh>qnqzjLCn5DT3U?Nadhh02h%nnD6J{I>p4;dA^;?Mw)JZ>S%eadZn%#-cfvWz!hxI zWsmB*hs}<_xo$?KFY{(zBjtB2v>3t3Vz1X2YSH3QPrsog>i*>lcb$P32|k|1L3R~q zU)h8%^2ln8K30BPD5G1eY#=GMpW*O5fiABGPd-@dW?8?$KTB?znmZc-(u!)gHCUnX5!Rnz(P z{_nE6fE}=$+sC{iQA3f+xJG84MMZnxzBm2XISTkngk_f%=~8I2T?-RX2L5d#I&N0u z9{F!Z7I2}OL{?sn4_!ptWUqobv6nLRebZWStA@#*&H4@&*sL8VwUEbI z1;fK!HIz8 zRr!^i>m`J3A^BR3i?=t;zmnt&6Y!rNC~n$0H%x36;oxG3mjOp|42@A+S^fXI}MQE*!&9;YYGc{i|^}{;38h!0b<>WJLw^z}kU~jmAi}hSi#W*n< zD$lwYWT=>W&lSVMjE>aX&WiR-fV(%Nd0jo;)@c}EY9+gvA(ag$C}t%~Z^*Wz`+p2v z&g}6y>>nS8{S0Q+4*8?wczGZr%&4f-Hj$`_!>e#tutkd|p03z5l@ec+iR{ z6i!3*Rv*rm6^=OODXMYR2Fhvt1K{o3E2_5L5~-U2GF<=GoWf&`7>8YBew!65_-5Foe? z1ct$V&|m=)NYLPJ!QI_;fFQx$b#MqiK;GnN&b{Y+=l`vD*L~|PSkSYlx_5O~?e5-P zRlmxyrCq7F{Klzw-4Id)Fr3=qbV^m7G#Roz)#H1*rmdll->DdWsT3?3u4*C19WkV9O_v*9~&h|S`2 zI83X^X=Xlvmf=(0L**--q=F>y)_)5boZ!Ku3m;hBhkyIylUTLF(QP7V9O zZASZ)|KJ`#47ya{nfm)zk$+M}{K*mn@su%imXx8c_%A&D#7OLP>35`kUGE=#X0I^( zjx<+|uw^-WJ3vDGaXibih5WUt!ukG*$lzxQZj#{;!$C@IG2RZgKezN3qQ3}p5I`I@ zNosmY_EN?muJdJCaGqTd%2kcdH8c-;dExVpBS^;!mySTQ2Xt{`eP0 z|8{VHs;E)#EK1#9So4&BCmVVTJ68AlS%Lqp_D|aKkK*{}LHz%=b;OYUuJj6j7XR0J z^!HEK|Ep1qRj5jBS>P9wId7S&EB-k&|EIP8Cy(mCSUi3r`O91KALe@?BJCh>v|F{w zQg3f`i?tfC zaIqZV{i3U#pf)(5!d<+<5|^;_*b*We#;CEsReLFLS*`L#k(UtbhRIJv0^}q3l85Jc zj;TyncLm-0^y>l>4b}QMrAU3`qC(dDf^MtiR7mLu7nxX1Br)sh-K)`%s5igch5F z46(M!FTzT@tO<^a!rX9$563pK9|U7Fx!U)Y@T;&QBXUZt7Rsyyc%kF6d)7@%q1EUD zpJ$gFt3KwvuNQ9X1;bE>(#i@_{Rce34_y6Xr|HsJ`qVOY--10)` zHofojU%YX!cU#PCXA(9ir-P|&91mreXq^%Y3i8)ARVA3gp}1yWc~INaA^?2T?_1Xb z1k>{@sfj4tm5_CIoYXbddx~SQb}aoT`20gMq*5Z1MPqXpbzQPVZ^G+^bELcrD=6Z5 zJyTkwbB0y@o+#B-Uwrkcmn_K1dEv0~s>?OF1nr{gN_|>-gwk{*~bq{ur+hJF&(dkI4xQ5oQfce=wGnqogD3luo>x@W}Eg*$v#lI>7Lh+Zl2;G zqSJLbEFrmNML{O9yg85-A(w>B2w6wl0%GHq%$t;^5a=A+?3JyS>h{6n(Fp(hLAr>B zk=)|jbOq;-@m@$`_fmH(bdVq^b`p_-I(BPZp;B+=JCfw$OTh-x6=c-bn&^l>&xb|v z)l2Rd+I3r&Gt!OQK?($SuAVLCQ0*!iXcUg?I+STgf*)=*4MLvbIq#KNI@;aIRUI$P ziz?Na1Z3EprDlM*s0H5P@hicVqYV^lwRWM8YU}R;>ekyaA;~j5@1Hp7Fg7RJ+fB<` z*t;jz4MEQN%x%8q-gCsNW&_!C&muApSlh6H6}hN;kW#J-@V2|FC*}mn0+~zox7fVk zx@pOa3BnJj#}g(9mse{DhTN^H+6LVq1wskv`(%RcmRA*2z@iM2DD4RGo>GCKMZ85^ z%Sto0v3KWA&O)SpX5BUdE#|dVgAlxqhH35L`n;CHN$c1*wdp6ugw1_5wO;YQBGUX8 zPh8atw~=>yilWN1^_h_i<6h_XY(}_8yni2K&+6&Za6Sos_0n6gz4ubCDr{U=Lxfj5 zbT&2c_}Zmz(9GN(PbgtAD_8Nhn1v`{1i#3&*KkfI$9U)H&Lae%{7!{yUzd%9h~~V! zd{T#Q5!c2SH`|Ex$Z!)4sd&O|INmC`2MX3-)jtA}e4-AL{BwrJpQf^ZvIb;At=)k? zw!C4ff1nO|O~<8-6woC(6rSRg#mC#cuxT^Iufb-F`-V6VKo}iN=F&|lh-X1xA@lWKv3V4Y=^C>9boZp+ zSr8V>XngM0zbd<7DXiY>sqxy7^xX^bA^R|?Qm!Iv*-$W|WlemPwB3a2b!jr6lCl?m zQhizYE<&cG*ZGNp8!mEpg^&^+`8}`42vDeQFOIH`v|(lM0+Y>OFrn^rI6kNtAB?{d zEJ?kQmCst$j7(Jkd`AMT$gf6B_^ybdWl0e?DMt&x>es|cfVmbp3MPt+B`MWfuVc{e z#=B}0sfYHP8fmqT>K{015CJG^<7ou6odMZ1y1E0=s2Qu~v{&U-L8V;w4o$)u!_q?H zpD#r#3fYi&H(uD=MN&jtUTNh}OiM5Ax}e`Aw^~AyPZA$y4M%P1axaaZ$*#5bmDE`s}e? z?={nCMA!0P+&tM^b%szWBlduwt$mIRpt52PB`)#=Ao3P1a#P-Bzg1l;7v9b--9m&i zA&jE`MN<$T5j%ThpafNHe7M=Xh7f9y>)g42nco5Tv1+@id=G2qBjS@Jcm?^&J$J7dW@Fy@fj`Ut<9> zOS#3w$e21Gv9~di;)~_c?z(o@R%l=08_m*% zv)J-alMOR;@RZ@I97QZ%AUDC(6hPywdDmZmhxqVNOp@H~{t4*dSRJ;tUlxsF0CW?; zT?`9_&7Gytw_4lmkM`vu4Ep-2_VKuG@eJQ2kl9xC`=GmJCaO=nd%y#BkfaND5x`_Y z(9H5gU+mmt;H9r@*x{s|i_ zmEoh$%~{gllWPDY zaQ;R?eo>h}=X^>+)iSQ_Ub8t%$CzZq`g{4_#--LiX(J z<5M^7DFf5;V5Y)CW07h-D?3N42;v@8S&?yU5qzyH_a>52N88!sVGC0e$Dl2OSN(yB zS~mo$2ALG|gFbYrkA$wGi9ihxM_9WH@ym~zV(>FJDdF(z#z!QkFN?qAme^6VGIrWOzKtYFw@=ZNSr;$g`XC`#IE;uabJeb*B6UcEbuHIsB>=2I>PM;sktsq{0BMIWn*uAy|cfdrTm!7x! z*gw+E{MX+fdkx-w{F+=NFVB9^&NnBH3&i}QCM_ck$F`papAzwqolQXBREId(y9&t| zep?5KDYxQwH9W2+FC^S6Dw>69k*;jm(0wuTSVyk5g1O;)kM@_PXI%0=IBz(hruPf? zi$euu#)!b47@X|UyWxFdG zLbb>5{Ln^ox{%%T%pb4<#he24Lj|LzZa|a?=-jq_+4+>;RP$I3tHOjnw{hUdMN2RU z#I6YDy5#N#EXap`N3xu`Lqs7vj1?TC=@%I)zUWq18H$lD%Y9yyq}cFLX=Lg9jxRUt#QWt!aI8A^j3ANwMGsG&5zKmgm%!Zdb4%`i)GbZ{jqx`^!>Gx zJk?%d)KScW0}|{H+H9m%Id@MfcjohsLCDk|rs^TySvz9GY)&f9L~ki5U&|pLpWppO zq`Wa02rB1;Vkj9~Ed6$nn!<`-cYGF)#>UME-VNj<`yb+_i z+Plur|8L3>A&2m}akuwZ&J28k;T&0cxL3isd9M)cC_KYu(GBv{Wh<%<$*O-5t5}b` zqV7HIDY1vw{fK{V;(+CzXKJIcOdB>cKEs1HeJPWg`!uh8g~cCWg-w^76f_u~?Ae1T za_aUzQhm^{+`5sQ6e&!L)E%%LPXn|SkI24P>D$eRYJ%h`JMhf!iDM1DyiL{|y3Nde zZIsklUshvHNX&LF!C(8Ge!CZO#`qpdeX+~${PCm`Vr)iu?yPe>4H7) z>YclRP-=3hwSVNpBQ@*XaZmw$F)Js_;{h=g!bKI>Bg54PPaCHuDxB7LPyFVPxmxF2 zEs;&8M;-DB>z2?}Cit7BC$YW!M;HDPm+P09&CC}=l_B^wx0y`l43SX7cH^I(w|{V> zx5dDXr_9)^7>1^&PfU~b%sE%`ex{2^6v8o@YW;#XuG0qAK+>%X9ew3W{a&3OL0-pJ zI&GRE#ky!6-stl<4Lwwro@E!XDp&zbsp8}I)DSoR$Vy##D30}6ZjkWtmqztkjjxlU zUvNHkbJ#%AXiS#XrPK|=To7&^Y-aZ6MFZ|MIvc%5Y7sJ>-4)DF8s97lb|9r-d=x_} z1TPKi^Y?c3z!ijU_#!%V6E5a>eld$Mj;iig{bCm3&A3$LAh>Q$lv0~E5q;6azmL<@ zfDMR!fNU-%TTWX(Aj^N}6ME(rVYbYR;{1J_9zw8Dr4Y@hc2r6K288Rkkh$O?BG-ze zhVFZ3WM}nCosF+#>cR8+ugi-3C(dxmF&?l1Yh-IcPT6E@TUzJ;eqx|>5>7}|t zjw<;Z^G=o6xrOTQA@WBv%L?vuI5rW$4Vl1!=IfUTj8rR}A)Ys(u9itml!exo`cqG6 zJa{F+ac)}byw#c?&pZNVwo~-HrA&HGHsmub>Z1B8IJrfH6ShI$<~9V@svFRU7LW?{ zi^4UeA-j29yU4+W6xah+xNMj0VZZ5PHmr`NYcLy*%v%ayO)f^u7M0TJ^`ZozmT{KA zRg0^t1(u6JT75H1!v`UXYdDG96*Ay{th($3$EF3bHE5JJytpPgZ|8JQz0)ICWw4{QOJej&b#`G zDYB1&lhsh8(Q;_%zR5Xa&Za!7L!5ipYlEZLSBR=>)-=#;+@?m(goVuQzsdn$l_3tw z1FE5`8q1(K7jDlm$XNg`&X3G#FRH7Jr-5MvH8hEg_|74S@QcdGBgsLKeZ42Kbhox$ z*;yl^ju!buRR+W{5)n7&k~yy~@;r8CFS^$<8J@n!ni^H0%^S=Xb1gFqj5UB*q2vUi zS{4;QkoRz5d57?KcenpmcHlCc-z~C;Ui+Z3+@b`Z2wP;EPj<=i*9R6@O7BxD1R||J0#f*8eyRREHG4mdK4hr(0MEpH=k(zQ!=w%H z>g@Au4q|jKqLDJpY{ra#muw@!$R8F{Ry_nIY}Ok#)jEAoUAu4VJbRDoec z?9JaQ(f|1hxx8C4dMl<~tDz8Hmn{KiSg4Z2+^9%%>u;R9kRA=0q&N_8z!#l*t&cta|Uy2@x+_?@ba8dMgc1P~Ati0*7wR~I`S z^~Ihm!D}E8zbU5HS4`&eeSPo2CQ~(dOnX!sn;m`k*<{l(V9on+PD_sHBE^3()crZS z2=6?t>2t#x*5!+?eKPPux)of;OufkiAO696ZxWF}`}9z3pAiuh1N@PWd$RRAQf;C(g?h>X{#LjjQ+0N&~N56cuAu-z((WHWJ z+y3KN|1KHD-O!YmH8?)mDFuyU`HBA~C)K3TlxM+Q)RtG87N)76{}cLDBpfcxwX5Pu zgYE1e{r?w9Y|`fJAsK#>>^%jR1Z?~#H4q?xFgR$})bZPPLm2*VJmvhIuKkVnXw*Ah z$Z(a;8I2Yrq?+InRaR;ctyvT{YE@lF>u$wKo6>_;iA|;4>RO%#1Sy2#nc33$ja)Ak z<89qJ>)rP}jr7q%V|GZl0{2rvHefth40h3-vXt~#bjWPEzXnNXp?2hGs_NYt@*Nzd zx%@X5ROkPyBgr|0vFNk!Hu&Ez;f7wZKfoW}e~`N}w=z?jbk3W#hE0*7MGp;aW2XA3 zZRSOmSeHJKs95BJ@e}t103pKerv7%wdl00jY+<5b_Ut11Z#(zPv;VuCRSQ?9ChOu9 zAr@qXG*EZl$K{Skj5@n=`j$1g{jML)%SLmjZ3sz+o?rt&TOGg{;y*1(8AY$sII;lrhA zP?+s^q+ttq0pX-}ubJm+&eUoH47XS`GAw1WjisJ*ue~fTdSoPavtg6VO|X|Ksa7L94N zQf33@DIcj+2G#Dl4L&Qtn+N85ho!&f^}WS%>;Rz~Q{F|)8I)0&`s%p<>@kTZtcbUC zTDRQ5H<-&L$?j@+1;1clcg?q2zT6u|06T$lNADMA`?eDqAM>v1Yu616#z%?v*B@Y74;S_AL^^kkdJaJ-qRXg0sB*z$$v zzUX(PdSL>gqoc$y7X*UQiEUO$R`{-sLI61Y23Rb{k$>_gKj_$o;7X0R0$k)&2uj(a z%CH?_7*P>r3usQ8LAYrhAVv`kpN9xnKX8w*eWUbx%#~uLT zwG(6Q2da;GcI!~Bsf!;_gliA4yv*+C@5?J1=<@(gDrX0Z1|i}gtZ{CJxmK^$1oh=_ zyOM)RCn|RLAxRibo9;BgrF&0N79t}k5C|yK+J~p~p;RKG>!#UQO7dS$%P9gEHBv`7 zvo;K`Bwk2^O3UZf$x>tW(fITXUh~$kh_sjGJosvU9>%Ac28DTM%8hwV@AGMHK-h~=dZ$+uhiF>8{_Z|B*{6?+>Z{ zweSb(T2A-&ybNKgbWjk}omv3tGTSB$#TUXA5~E{nU%nBewPIXXyhb8jk$cK)kA`2h z-+gaN*gV(V#oJd*d51(msl2|>-QJ*@NvzlGevUag)9I;bx6x*;S|w zuVQ{sGSHT5!*8+EmCE=1bi8H&wiP?ROYY_VAz&XKu08f`r|G=>9NP-H#5rZ7@>zKI zC!g?0FNKt%1AsPiLvo(~2doak8f7plMIAl?dW`k0#5=<4M;6=KV>7dsbp!5N%os`= zvmGy%-dmCtfUD{h@yn*;$*`?RVaoKaVVHaEnb&hQ&9hXNN$~Dj*-3~o@%8<}?Hjqi z8zC;r4Z;}0RK#)F(Pd6wf8La%A?V=5;t4divwju{9chYkS}&s%M#*0DRalo z?{jprj9}|Bc+l(Yjm?~K!ny6N*o1ifj!o&bWzd3=p2xd8Jdst!j(c}nBT-)C!G3=Q z`Vqx`&}*$-r>-j+DuGHx?n^q!xyW!wY&QNjl1Vf&Q5Vf>US09AUu8^RmWEVi5Sj^E z5sOz+=_k;k6kMLf=gdSAu1{ru{Mw(#b1fYJ*Ol19IMEO&hIr`phV>-`?L(_-R93!_ z6zJVAulLtijoUHE@*b!vvbM7IByB|riJ#WM_S>a1t`$94ugm8Pa~x{S%e`@l_zc2)m8psjg9`@$fcQEl06>q4t^9e0T$SG zuc`AXvg{DI@^WrL6FTB}1M*fk?iIA zt~{wxGsq=XO30D7qnvSobrU3T#jD2YZ(?g*QaAL=7<PpIjM) zgn5cK#S`gD?BcV|OlT2PeigdKB3NG1XJpA4C0MT@x!n{RFZx!{h?g8G_7IhM@6qar zeQJm$@ho=GjkLCH)&Yj^6GypSn^emRPV=-;6KuVWIeony5e9#LVG7>8yM?V?B*`kJ zLnf?>o-|5TZT(bTR1EmpWXGlXP~PTXZ(z-Vz4MvIEar^k4EP~>Bg@O}BQ(0jGZ!rf z7ZUaw@@BwMHID0fbjV1VMPDCly@L8AxWtTO6Dt8&NyV?RWvcK6QbIhKS9>ZtYi`*K ze*X^LziwoCsNqn9)2`lGH@Ei$S6z#X%o-Q+VO~liPs&YjRV@a`o%QfDlQp z;fbK6d5Naa+pbSniMniEo<>Y%=ls>sU78W;>DzpNeFgI`FiZg1y@in_vWJ!N^T=^minTUqK%t5ugv6*Hk}n z6BOA0|3;4RHba+(5G(c|ASh&hgP{1=4r{#eLR8ANpGIB{vJkmWDexNX`;O%I8~jHW zT!{G={}(ibCkQNqk_)jPP#_8RqYfWYPmJ6Xe;|is|KAPZXi8!fj#n_$-dY)va5C}V z#``z&%b@@CR-4)Dn739#@2kua(;?rVJR?v|T9$sr4>12rA9FKsQWlDIyhjoE z7=PE{pDQC=2iKp8WlYu-sC`Eo|4(}V9jU_Mv$xDLWf9^f``^3#SB?Bts>}?=cUNY@ zHc~N|3tPCUPzi&*C8w3k1{b!e%J%J8A=t_NOjBo0dd_tjUn>Q=TUnh5_bPAQU4)*e zB-S@6;^P$!5qai73bQ(A(aJq<&2S2G%Om9#4E?+3uh+S^Iqu;ceA8$i9ao4E8DACF zlUURZ!7CX<;q#9*TbI6m3E%geBozIEx5+=Nk#l|ORwrUuMAc8G^SBOeYU2<+LA%~N zFmKBVHF(#^lDEFiJkesl5oN*UGEaXnCp=7Aq_}8&6=kMHUd_L@U-3jwSFo7XsQw0n zH^506s%)6I8LOeUhZQYAp`Xx!oVA{ru~7es^Xwra|SA z1KalWqxzgH+OaT=?t1@en*|XW3|Un#O|9~ri35p~@hgo+!MAWy8tfzrx~+G>aXhIy zjFD6J!VyIHrz#ow*8%kJNJv47np)Y_Wz9aUhJ{+2udGgzu$^t^IrFo^LdH0YtxsYA z-FBWjO5t~i3T#>m$SliJ-ak*1umI&+zd}SCvdm++I3~Mj@BxOmI5eP>Kr2tyypT%5 zW>Tt!S6YIRWWf_x${)Ug4Kj0J`~f8AJM9jF@w}ys+zj?K4V>NHgn1wUI*4`3Z0qV++b%-d-JkFCmcL?idz1Tx+o&AQKxtSmAtdo ztP6~Bsa8nIE8(4Ym6M-*zNpVBGq1MEwut8BJ=E0tm(oFe|MoEcRWtvm=gLVXnAn%( zRM{5!gVmw;1E*MvrmxC^%^|_hNRx@Nu@>gZvv`M=K8G3dv{loK9c;NPPm* zk(YE!OIVoTUC-qGR(U4mreaMbm@_x=P~8}#5#Lh2HgY3w{B^FLj+703k%zl{VjfkX z(3P|MQxbe=S!J^4^U>-@Wmg8uy@k4AVc@EWXYM*L!utxq&T8L)AuR4M1&Z$>ayv`? z_O9`hlPAsUsL=HwpF>jfXS_RB1WVhQDyn~=?&kNC)JM~mg-wspFCkS zX6Evo`UX<1vp9(2J1X8g5vspeXsl|-d?iaS#9UsE7Q(`Y+}!*xcpC;p2`G8Z2%7`TlF#64Uax zA@4JJh7yV+l`2!0HLpns)};N!ZiVpcm}ockMyggd{i$$|CVNwQ^ARb+S9>M;I( zNsA>t?HfC&^|dmezzx=@Wl+*w_8a$)g7r1Fo9oPsh)ltOL#kbbo~TalIURPIN$}L5 z@g|2&sbEnUr){o`*AX)TyIH`w3E_3{q$+-E|^sZCgI1S0h__zfuS;%uGDKXa-kw7crD(F6u^{>MRpO_iVP# z?>+HCZKF)EJIGJk;f(75yFETBN4VDE%uQA*Cd6Gbq%F_wrvL+92&|6-k=xP>y;`;S z+<_YzANA?2+VU>O=NwAP6Dcp}VF6Y3;`f}^C3a81V6hp{?zRBS5b`%2h$Q zn+BH|?A)-qFHzZvXk5#Qx4GK7^pn=6F#O{1)nEzUZ`NruN2$&DBBL`b{eAP)AbP`@%MEpz4})V$ZFm1c<^fMWDxDS z-f*l`jW5dUU;Bwx?=YW=NY}sSZKjkL;~g6xJz^>j?AR~yjFo}iB^1UKsl>b}>4w6l zCK?C}_Ug~e1}llYg3-;>DTP0;4F`?tMmjli9dj*)PRwCX1FgvXBY3%oBG?p&U3tNC z{U%yV*AQLS*ubVYbLSj8g>L!U^P)lAlosVl(xf{zqh(#6tzX;Y49}0(A03fJ2aV!! zQ|T+bbU((qnk_OpKC5@cJv<)gW$6UHu@UG5w^*Z9&q&nV->!>j$9spjHD-9yufs^# z9Ges{MQ&X@tG}UXSco<7hNtynJAYb{mtV*%y++$veQLM7RVpP8XZGQ}J@><+_0`v& zVZ23P(Q3SQw3psx3u&MPxW4CiB$qasT&(g6uCC~b_I?k$NgnHvjXakgK~YQr0;6dg zFU&45OB-ljz1>qg^R0-?!~MnEwU_>eg4aoeD-+!n#5%LjL`(8#5-#JHF4)X}o2wAh z+mH8$o?dH#Y4xeQ*=Oj&cwRwzllXqV#5>6^HD;P#X?6Sa5Na6uy@;5WE3O&K&x$G^ zhK&KrvZ5QzHoqmj0!E?Vhguy}52{KSsD1%Hc%NP!H17rhoelt62!PYoKE6Nj+7a%tGPJL9JSW!l z;Kj~x7WyIkeK}HD8UxQd!up-$X6W`N}HRPKvK7&?oil$SJlI~pz1>NMy3sG+8(Cz@a5o(EVn%g^9VoM%f92VzPEjx3m&kmvW~wTEAc~Q;XSo*iymS zoAI3=m9Nm7Ydof^*=U;^ z3LAEi2l-ay1v7z@e!BX^+?NmK-|9ys^vDk$jL^I?h>rxZfOgr5gtd*g_0IYqcv%n` zSRde5%_fnO;vZ`Pe9oD1wv(SX+E#FFV!f`hi6GtAq3FSASC2hS@;3slu1sCMcZ$)5 zjzH#M=4)mi(N;D&sX^K$lq=o*{bq&>te;+A-vdUv=UYpym4yL#Tt$Z2Qrww|oV>E! zDS$O~{qD|9rAT)1=g-DqLr#RKt3f%AxqzJ;IN_2(MvNuvgFN{8{n>B6elA!DcVx z9n~?$HN#5mCFTE~yR3O+QN}xOKV&vzD;{xd``;VRSibFotLq~?6*cXq8=U7C%&7h+dHCPz z>%ZPdtK%l*4DtBfC^+xWlM@*H?~3AI+4~<7`M=)B$~*DoJ5ua5^t|NzG!`xv*v1&* z=m0jf#zmBDjVy4v_^COl5d~pkb_rV>N3e|}gqoWJaZQC?&CL$X{>sqw#~%m87u39b zzg$mm5kz_^AQE-dh49K+X4ik&}ZO@PmC71TiN! zH81zCEwf7_I)Z3Hnv)v9`^(qTT-1EOHYLqN&Cm7gH2^iwFAa%`vTG?BS%5)5>d0Di zQS<-WzN|HZ;@9R8S2?J;f2oI{e&t{bQUyEevcHm)W>*8dIwEQzMoHq=f3iS!DOX1s z)gNPoXi!EK!No6KMidcj{L&zz5mhroV{;o*1cj;@CpGtPtp7PIzsTU%VR;F*F?BSf z<^%w^`Prq-tsKD)?9x_<<|V-(TVt@O=x-9mdhtx-uD{8F9P3ooyhNc z>xx*fD2a`jzi$a$n4R9S_ipjL+;JCbhA-}=)`+#tH(z(*2`$`0D%`sD$z*Iy3!tTr z6RWsn%{PLfa7_>RoA0M*3WBO#SII3bVQ!5#&hUZvd zp3IRoGyrA5-E)M8|6HP=rv)RQv8be70Em`e)JP8l;c+wKfD3 z+CSXrVcb7?;&m*J6v8AjMdiXJY(G+0!b~uNo;ffkJSec%%u^}w&Ec5!95{o_Us1h0=m_08Bq1Y zOfUXu=7@_{Xq!uP=~I2>!-1&YBg*?jADRZDML%Ra4l_>=jcrEik<^rVQAESkO;S9# zoG;>za?{=9{VW}?j32-LdXBPS*+jS|{^T)#B2HTt(By2wWu$pW#X7Xx* zNc+-w59Ru17$qk)$pOY`R-#Cr>+q&N#+PvlCz7sCB$ysz?Ho7iFy zbZU}TknlV_w#}x*qpv69ZDMAh+6yz+^gDnG3XPlztFiM9xrb1_J%sA zHCC7N*x)G>Vf!Ij3g`Y?Nu@;e)JOU5`M&kuVGfKh4_2_A&_a?6KxrlpA|;vPD53MZ z)G16Y!a)}4qRb3~PC_yh_xQN1JGo6rgIr$ls}HFg}i}ulXXnc{RvH?e2|)SpNl-F4t&NFfKMXyrZQAWKMQE3jcjCYS@N`sfR$H7-G-(xJErI2S>E*co!U%{ z0&5^K!!q(vjElUKR(Yo`-qyT^Z^I(Mfi1j&14Bs1X15l!Tgv89uvu*ApnHHnP(H82deH2DWXm z>IKp#qS98Y4pQf_{QV?$KUQXJ20ZLly$=w!jG231csIEO-a4nzkbO64?w#9q5OdSW(@p+0RLUdjG zb&5N2c$9ZW!SL{b0mMT}wIkVMcguMT`c+~&-$H!O$Rv^DqwY6ss{*$=!l|eEZtd^m zAUPIsl|Bz7ZfFG=EuRB+$}R0!TAw!+c56_8Rbh9_o@Nx^FW`K<3cuo~4B3~ZeY71er_h!?8GM7zb)#p$$<>O48P$Uyo} z8zKi3x{qKPvDT|@=5+7ApbaMr>b^Uzk~)?dRYl=cJ3hT}Hl(2Z-fmo=~ylPOv}w=nz#Kq#H6|~9=)(_ ztsGsp(eW69n?S)$W-x!xfetNgb4vEuUx?S)cNG(?jlnyGiN9WF3iygzZ?cB$tlVKjM+j zM*-nKVcl$M?VB6Ieo&b?|I*-HVWVo-vX^e{_97I`4}jEUP3l4S(adkAe8Kyx|6MEL z#axL2VsO@*O)eEWLTtB=@w~{>Pw&EuErKRejC$EedY*+_d^lK>Z`?|E#u4k=ZD_nZ z5j(%T$llj|rYAWwv+ADB8hyIGo?$zEVRkDXq0;rl>V>kpS05?b57i6>Mn+{ksf(Z} z6w{-29+%S>z{wAj85|6Y-*Aashe$kc)yk>}pBBj#`u66v%GD+DtTl3}*#Z(wKRu>K zZG>W<#qt!g8EOxxV&v0gFlE#sz-0@-GSy@Odk2~)f~|MF`p(XI9vBb15OROVm!tzz zX*!kU(}{($%0Ge?(Im^)j+=foYwA`kYLD!ld8hy(2C}xBswaaV%+&CHXlPBaEC}L=L9>_q83noSi0JmpSw(FD?E0L&QOB zNd$*cv|9vy*5e8k{X|UEU48YzwKP2DEUUAiCWfg(BD4DgoP-TRIEgtQTt4fdV4$FD z7(7bCENv9qv-G33JN{Cp?$}G)o?k9|$)D`2K9ObHL*Dtubl_!OUGB%=DN%~yS9{S` zT}2bU>s)d+zOyR#jE~U7L@N`@pg3ztG^J;AS7w}6yfec_9N45@Ssv}2EDuF9G8@;m z<|$@}3^IC6_LCysk<`tUj`}v_O4|mn6LXOnHdUm*{czaYqhl~lUmzS|YxwZK^z5Fl zSKwWxw%{E~EqN8^2$d_V+&t+=IDPR@XAcp)z4OyspOT&q6O8ju0c^R8#F*zAZQa15n004-YXsT)b}iKtp+Y{*mXO+D}a@nZ6mwTJVN4;w1H zI#@H4wBEN@@Gyg?fL!eFr+B;59Y;t>RzcdY8G___b24CJ)9P>rQSJT99*0&V|*m z`P6TuF1bKI)Q@G!xS6>>dtQ~S!2%0fu!y@+-;8FZd#>HMIVB?O&t?>|5m*$#Gy*S= z*$lO1Zqi$3#f*jS7IdEUQ+5ShtW4qrym5KPHNh7^klZRvF;AZTO^~scIa{xI* zE_pZaYtD#BR?Ku5XI(dJ81H^iZx48>DbX#;n(33J-f9P!*e{%Al;<52r)0u{?y7Pu z4A^bVf%GCw8%1NS_PNi3NVCvAvI89U(C{e#pnH45+8dcwR=X_naxmrS_ZcEJoX1f+ z)ry0Alx$q1BMbVbJTB;{v`1ytYLr=9+L`7XJ%TwS*{X{)gc9RCgtY21EdxoWF9TKs zJLSc_%kCn76!u8@^brO8z|;e4d69d9cn;Z1gu4L|L*sPO+Xt!55 z|N9~EdNw3-vd{t;BJgP})NX7rw)I;X8c8ZEzCsC>hb;WFf`D3n_l<7pXYYabroOv0 z6U!vwT&jI28~r>AcNf+2?Q$s{aFq5ZeCT2Y292{m#rqe$eF7877>jv9-3;uL)l4eP z|LVtFYGb^e0$OvQi?BfExu>kv=NXN@!h^=8;FDX=clcI(?|GpkrJAy&!Ochpngy;1 zOpYm=^s)j)^?Wm;*#KaT$sg81P*q-?I(0{0WKUCY!2hGoYCD{frKP)}cmX2pHzO`| za4$`BczRB6TcPS*oonQShK4C_!>97?^y(7|B>a4*7H*N^FD3Ny`N`r$Rsc|IfNZfH z^*M9m*=nwb6CZ}c7JtH;mshtZf4(-mT1-u1{%m^2gcX_5%El%7W9m@*%dNgL<-1ss zFTVN8q#`RTBb$?dkYiaOXp@|Jtc-O|!r;#OVvJkz?}zMAn7*W?q^ zE-^iBxa<6#Q05nk?>4W7!>{+&&7NP$VUp;Re?t~Bb@eU4^^Xj>Aw77`SCzYzg47Ww z5^4UecbQ&U`7WQ$;1iwVTn(>YTfJIT9z9pmK?$WX$N zwes0|VfAaHR+I6QHjXiW6~_l5OqcU2`N++n~;XIU^+H%{7+*1CWw z;3QNkU2dF=fL?o#P{x*a$=QC666;-1H5tLZ55uWPIESk%nS7+#cS;zx9PTi&9tI!J z`%I}dP~0o|Anb}$QJKb27mq$b4ll`j)OXb^NobfN@a&6~>B}9*vOkDAK!rNXdCPfxn(Vg#l>%1BX2ubcyKIh_uKlY-+c%!y+^lEq9m@Do7 zA?zK4G--lI-oWvnsnP zy1IVZ!dw{#T54p9NTs1UyquGv>j27NZq=h@Ipa=h#aG#fl;T>;ki8UGo9eD+%XZF9 z8sm%GZp%{GOd4!e&(`QRoh|4heN?(lUF-M=CR_6xil;xbE9!+}eN&A;hIOY=%A9EM z>yP8b^Bt-akI>5rPJ#U8y?vDVOyZxlFWm~C%hey`MwX%&EfIPHjh&mEkp~RB9288m zc4j#cfosA!=MjB4C*2AMtKTH{z!?&UbgphS#$U61X;I-~b=#&JnFA%x7o8FZgSprM z%$Wo>@WX)^Q9(ZHX~T~z_Z0F1K8|OznFKX(S=y9Wc5-fXl>lJ^o11y*winora#Wx= zdW=}UKh&~hm6S#rlc+j!)YN_gKH)m3$LAZ1m+Q+s+m2}u2Q*%;g4GV1eK!a0OubS=9W`&lHLZRD>bN6!8(m&`vQ^ z7%W}RWAhbvgx5^yK}H2kBaa?V(B6D&Zer9P4y#d(FT1{?3&PMKeP1P0&b=k#Wv%tA z*>@$UybK%^*4R(@%Y?KgX|WYqr98Sr!^JGy$3G91q$8uA?JWn3OxUJZ zVxdJ~*iMTyESC3Z=r$*0lePzAdhD}mH4YHdahNP9g6Aoib&U}qiXf)xPEs&w&9!2d zy>phZ6D9B^n8+mt{7StvsEq0!~a5_9;ih^Bk5vG9WaOS#uvv;r=Tg%O1MfWfUf( z-L~B!JppUOA$XspwmZ#oNw0y&5d`-Bgof=D-D3hKt#EZ2i@ub+ix?Q2-9{YDOcFPY zh+bb+f%y!EowLPjn5JapJpH5#!1my`R+F+N9z*1wTYFk)&A;hswxm#?8UQWf8;3S9 zYrrr;LSaAp6!+ZkcQYAQb&b1r=s#I;?s-VWXt;#Mp&l8bWY=4SnZMl&bjp2Nm_D}z zRwBBy2o_VI&d}^1S%f0$a5I(sJ(<+%;i4$1WRs>L`0wuKWR9uhz$Cw|nWIrg<>o5P zp(L5uN^;dbBR%1}=bgP>V`J^O{H z;c4csKD&iQtW~Z@8M|F;NBjk>wlu57eFy!3A;v(|2ixtOt&;6Lt#wlN(-v0Hk?D!z zmLoS=Gi*%qR34Rws~})Xoh2Oc(P2l`lRPDsf&@ps-TM2KHp*6_6u5h}%6@#cMI?|B zO1|-hhUSzAv;{;7*EwM3RQE>oOgcQ|Y+=1VGyEe9yA*D>7k^E{69U>Nj%2{X`IZ_K z`)2a(c2aFX#e!GjuGMMwGtpi|pk& zD5a`Q9!~EZj6;)1M%KSvgvbEBQ<_B26r00TAQ2P zE_3nBP+&klDd)`lCS<~RpwwDm+35o9u@;Dbg}$^Qd2r)$S)#HTarhJ0B-w6Zv!Uha zv>T@4@tZvpQzVl0#-!-><@WM|xPxY`xEoGyXj`yGd{ZIvjJ$15g&!=X>3lqeR@Oez z{<|Z_!r{IA2y3k`)HJAuW_CaGVLIfY!J<}*S`wa*Dxr*&B5f|n*a^j-3_lutNO9Sn zu6V}geT5-!nXwyD+a$QAz_+Opnx}&&_Vb_(Q z2Mi)>*RpJz9hs5m$9SI;Mjvq z6hZ=Wy*MhI_p%iC z(`J4g{dnW&q~4VWZVHO6UrI3-cEXnf3T>#&DBNnoCZ9|F;Ag2Y(mPpuX>;2`$;i%@ z*8ux+t>;$lt&cou10nWN-xSZ*41Px}&Z+4vkU*ab^v8rLOY(@*5qma;*Y(2cEx~wq zWiKj6*$e0s3?r=?$9CTb3fyG0!~NA^6Ou5m#nM4P14NvFPfoReXI=Yz*>cfs8N1nH z5nJQ31G}I&*g!Ls4!x!n>Pu0DWu=;$K4Q!~3QAmNBr84L21}1Np>NlS8U(XPQNGD% z=E|0SA{Iaq*0t4LD;^OyZt`n!_4$Doa`0<7rjApobm|6or2|bwHRlljHztLc5K{ijRzONawboBRw@0n zv{0?0iv^Zc;(vbC@SVXjJ4meO%q*m-Zj#;67versVl1~n{m zW5phu$1c(_YYkiL35@i0v6VPuG9#sub*qY|b7TNR?Kfktru=qRof0lj29T|t($X6! z6GT&>nP$N(f{S0k2xG2gmKyg?RX=}H8qH$tFNp*!gbh(~PG>^xxlX$|s4>bC?CIpM zG%tF18m$xeok?JmL)P*pQo}*cDyzx|{M#j?L5l5xTSwY23#w}wyOTu+`U$$pF<;;s z(?&U`3{J|6%Z^$tSAv)1~h25HgMkx)SkISO(QSfGJN z#V>NkAOiv&1EXrnkMHMi(m4$IjT30;IHgmOTfdk1dBC zb{M*r7%$U6udtRXm;r|uY)wDQ(5Qx7A{J?qk;7YfdD2BTGD;YU3_&ns4MiLUf@=UU z;Ym>Tykns1ly!I+hHI*sUC2~RfQ7~fRs=PPddonE7;v2E*sALmp|~PF;7HY%0{>-7ZVhcj zawDTX9a@k@E^Y13hH9UU;E9It`x2~x1N<@aP^P|!gFcJ<_Hz=t9@olLLK?jw0tP}* z;n$54RL^tks@^R7D}#t+%+=>9Ul4*LYjgS?=Jdb0Y6C zyZ~X7zzVCT?h7vF6w+eNT{ZLqFRR&DQyb<{oeUbT3eptKQB0_uANS{jyck3ON^?Nq z_xa0!FDew}H}D#y>iWpS-d1dBllP0`>&4#I(}jACT}s=g_KzdPMDF9kPD+!vhM~Hz z?)ROQIxntwq6or8P~zjJDJ_7*+sn)S#b;s0jt_@3<~s6TD$ts$Y$Gu{FZii~sdnTf z@W~<@z=PWjJACsvKo?rO{qy+!{%q&>eKc}$l$n|N!sqv~u#@_*b+Prf<5ug}_9b)k z#L^Mz`Tyr;`WwrY5B)3omyEH}@tLZU*mX2Zs0!CPM)E`Zjll z?&Sn77w>Vj^yu2#m!oG|%)7IJXS=f2w@qT=i)!mxZ+*g+BVsG;;DtL_acBsdOxINO zA)PCbzyXpT_*W^UV)BqKEbTIY_W~4_t$iQHeb2d4YbOJxM$+~&S@hkllH{vnNpaPE zBx20zuPitxu|OHKp!A$G^H? zk1Jx!PkZ4Sc-`TnPFLZB?UChQz6E};^9rJ`1+&sx+1T8yZf;sJ_ip4`*_oVhu^wDL zpYKD2iF=)bMoj_W!EIL8OfTN2pU%G9y|vKBj&+;eRiCw*7b*77lMNVZ98QA|`$bH* z-FMF~na_FZ^%cYVR}tF73%6pBhUqPJ2ZCB z@IjF?eL#L^gTFq5*JL~{gattJtL~!yZRg32TTtpI8>wL%LC-q0t^M7FMWo0I@SXen zAs@(Z)26i*uVm6ud)aAvRBA~yue;)kDO+Ino zuS^et*AoK>SfBTj{1YbwR25Xw0TuNJ7k#Lqyy{%l zFTtmfcdpY_e7Z2!5_g8sh#t+!ue9XdQ+^>%a|2z8`A^T}E4Urv4%Zj($>4GR>4N09 zJv+m;{qvuZ2gt9xSAqn)Qcat5=g)HKyimz%&Bel=PT&j7wEd^@`j*z($I%X0E{SE= z0k1x2++>m3%n$&cxx}3Nb<8lEOY;26)}1>wI?_Qq7w!(MD&OVdTnXSNq1)cAif6ot zZWAXuRVDF%l&a$YM~RNi>-WAbFX*!nDy*|@vX6_chwh0jY|@#07VNdap~az|RsY0i z6eAn5swAwWE@>I1zOv11Z)RYFnn%!(U%meikzvAyR~b^T*Me@!u2%hV%5|4U$U#e0 z;S+u=O>IqkerfF>cuq;E(02zk_Zv-ybKxz_AE<_UW)&qHC=qw23XyVU^?j(izufZu zL87DaaiQVeL=d=dW4Qs zFW$ApKhF#*dEiNm$SCh)ZL_@+Dk7>wa=*Y}BvgVxQq|Xovx36~rBEXh1tt(<4K-%A ztEjnIxYu+iS^_UL)JjYQ7weg+IAk*$q7#VPM*lsls_NeaVx?hd@64cM1rE!&%x(++cxJLF+OUqf zWw7QXd^^Rw9HxK%s06Qb6hXPDbNw`0htI4Py6JY zV?$rk{i!zw;^_+2M#vwjLPG63(btm9g2)Zs?)JDgYBooDThBd_4|he zg9zs)?$C>r8NhxZGuoj}pH~2CKb1VCPnCD@x5_0NDvZ$h5+mvXy+RGpPZ?Z@5&e*! z`daT>BfaWAYCj`8jPUvjmef7FqVjljD@`Me@8JySp?@}|?AcX~6+!*8h;m}bJe7Gs zP{wHdfKE?t!}%3!NAA$>N>~2)W@G=_!9%%qcaTQP$VpTQeVD|U0owt}PgSVY!{N6) zC~d1fePrk--XZcrcapXM+|YH%g_&i9*AYU%x?-%vIxNMhzzwz6#vYItMUKwZ$U+|4 zg3#8`Y4$_EP_1Q6c8N&sd$u5*$397OXjXhnTtv+*!4tSvR^i|?)7P%BD@^Vuu7DD) zs_a}<1I{=5NfbIgw|W8K9(w@5Ibq*yKoLUq6$rg@jxazZGol`{S8vKSiAVj<2YaGy zRr&wpg8?IzVaqDZDHC*D3(KnEjOG8-NCR2soumGVtQctmAI{Up8M&7aH_$CHm2d~% zayCi^ZeI%~Fphx+o@8omr5v0jm5@h7j45o}7nBQ&-Gv}LRhJ$8CxMyijOziK_q8lO z@V}qy*#qzEC~{Ok-}nUl{!}!?eKy0+(q;yGHonTMbREOKo2%_O2D1d&w>0ILN?u^7 z6$!bStG86%S()PShsdg$>)mHRV z9`akEx7C;ajRNny@;)r9j$y_uIMv!YEDO*7N-XK6eI8HJCLDM*Iy+^c;GB~se(%!urpWpt;DdJSz+#J{x_}X zoDNolP;6hw(^90!ZsDM;$G3hnI>Or;gY|#dlh4(E?djwIDsF$Ny+s~|BJpJPq}aVVQelKxkN7(9OIHFCPvj27x> zR;}B-zJisFLtQm>m01*SqFjqwC}~jL);7}QWU^%3PE#CpQlhR?85e=YIP3=-mn#BBiGpLoJ^v0|2Jd>a~&e%=;|IY7Hm&hUM`31532c z2)O4uGm*zb7L*Zr2}7111wWOgK*@mJepZj|cui;+YvFhQm(_L_Rt_2guV#ABA@+Xd z*dSd2mz{jXHMMWtHL;BfM$;da8U2v!d`m8DPU)OE=q(M7BCr4KO}Wk=f!_GfUZIy> zEtrj@3M+b{7x`p|O!+5h(^K3EMv=n8i}ZNze_8Q(MzF)50NW%1-4D`k`{vhO|DV zL;d0Uryw_NEZBEZNG0FudqvS?)YWjQADfEAz2Xn{7a;$ob@uTc!@oI?Pc@=Jgbh}q z#=L@DZPJr__hZ-?>c3DQLw($cW7n2W5?rmaD8QmK*13TMG_ON$Ek|-_WW#e5Km4J6->4@ zJ1k{MkwX6?L+D;)A%i1}T2HvZrPve#O9okq&iz|ZWMp=aI9@&}G2Qa1DqTB3oc!MB z0VO&;I1#_B-8F+%K%OWWx7S$torz;aYx$cNA4x(`M~+S8)FLGKx=8ukzAO;sf}`ut zdc38wNOBiY4ZluDUx#z8ms=D5Qu|>yOBXtBU9Vp{UFLC{0}=Gj1C{%&zjQJ&;wNuM zQCotLt5u^``)X=9%li6wRMGrsjL(=I+}~?b%xF@9i=+kk-di6m{9EUPKY+6r!@DF( z zRmlDrpOp%tx|qs5pHH&#hGFTeCX5rgTs<}Cr*Dv=g;+H}fjyk!F1_XorXoIsK#S;& zt^j!I!tPh}B2o%T#w7JXgK{I*iW@A`WS#X~aC^&88hK znm0v=aa^V`&9R<5RVkZFR1M3n#mpmorMW|N=L;(=tug3s@`j`N%E~BeWlJ&q)1KGv zeaE0(Dq^YDJkc^Vxes^cRMBlIN0l~uo3t-=m5EQ-3O(pd`w{Y?7^fFmK^Y$J? zd^}rtt@nXPDl@jocgzJyVs_?CN#%tTB(Qc9bXpGF8|8&;h$G_n!YmCF#IYhOq;SeZ zTVx3%C5$Dp${4XGm~^d^q;bk<|481B1JaNZ%Hikbl&urQ@yaM;Ic1`*>C6cggmptL zF_R79#A|xLjmjlWk)()P5E8=t_K?Mz6U5=?sN)57qa0w>2G?a|PFqw%}?3=186AetR z#aFb6EnH4sHT;u_nnWoEy$MT=43VuD&xxA-`-U;TW+9gTJmEGK`YLH*d4p%-v4Q4l zrX0E0rCa#w+bnuVs9NX>P8S1x-|HFrxuC7_KMC?ClM}IX8*(gtiXcN#t zXd#-Fs~AOHL7_&FWmA(OOCyTBG)f<+teh+^vcytkOG%_?(mBdTqidTXQW1szr}WHm z0$Nd|Ntj(G8Ot<|QYGFY!qPZRph6v3P_3bq2tch^OqsnVjB-WI{Y%k?2!E-^G2%cn zE@EO^vdEWur|Ts(NsF`5i>?jIbmXr_)^BW`E6)k{jIM3O?l5iI)!fhQ5yO$40_-B{ zTwI)p>w35jUN2Rgx|?KO_k4*Ac3c?5uo4+@<|og)VsWt=48_0Bf)U9<$myoy1j!EV z&F<|MDaeXwa5W_pG)zVC70&i7Dz<3^!OBC0m1UwK7>eR5-0>D`eOC=daTRj}O5%G` zkO6^o6e*&3@rLCTN&A&K3;UHN@Qz`B8!9rfT&n{(ho5KwY?S?*VHN{|!s4f&lFm-M z0@07}#uk1i7uE7MM*#!#Ml>BI8c@HMv%&r4J03=XZ?(DIbK=>^VyXIPUm(k#nB*^y zPvDkR%*NpWMBt1=@egOhWRGaY`VD=J33ZzvJn>q$cklXB`)hSzshFu$V%E{|s6C#e_5iKfWw2_Rx|}sD!iv zKbb;>{|Qr5vV1%qux2kZ*wfdXt+ZJu-@-lhI{ZYUERsVI_ge80dFaK(WoJ+TC zQj_U%+w;Zzrsvg3my7pfY{rA~;Lg*Yp4Pv(^%NCHw6u{1ia27D`jL4%KbgT$9J3Gf|G{s=^S{@usAs&rBH|!5bEc`qY!a!`43v-Y{FO((rbFq`P6wJ>&B4`Wl z{$8^9Ix0~-6e!(WvE)ubpMo}vKA21Ey}*~083r@Um(>LJe@z_0DuXr8y!fSr5Lr|` zpb#Cis4do|zqYL4KFb|U%GbB3IUO?fd(U`Pls%M*=mL(QV z&9937?56q*g<`Rde?!0ibOoLu<(*fw`X=E1QMQUYho>$p5{L%+rbJ2@q^oJ)O_6%jjF7$zk_4LW;?r-hfj$VY9_j^E3y@3GY zW53_0of58IL}YE^WkkAxv(@cJcBa9?TgQyd#`0hKHM*IZ(i@b)r}8RHE#~AOPtRcR z&w8-wS8a`*dg^Y~HtOezq!GxjEk0fqHA&(_ms(5i9IL$%1=Ab69VG*;Kt(^_tG+iqTOk zmpujBkQ5}YD-!WYUDw*QVQ0#;qNVZd0I2#VS&1rgqEZSYq(hGqfHs221?3%Dgj>0N zEc$BZ&=;x}ercR?_h`m2VUSM3&4QE_lK+sXJugO4U+q1~9^|oM7BT?LD}WolJb=M-t$V?&kmz&8Ts7bNMh}1Pd?cKT@Bj~5Fhezyud@FS|U7*+tHqj zwV>xLKDJ#*PmG@2oEe;K+u@!VT!?B)C&OF{bc^9_|4USEtLi3W+==z1h?kyu`912+ zc0S}r5>Rr!>56uq`C3GD&X;ntt)`~hTA#zGys;2aLyt$eJ>?zjr^Y*;gYRr2^)=ns z)EjjtxS!# zszffo8)MG4EU{T@XxSIc&Pr{n@uR%rYHJLd^=U8m!ecP$?GY4LSI04H!i)>~1^IFQ zYmOAOwMy(GSLvuH&g)aVJk{UW^e*YeG|Y9>7~*JSh~RPpemBt=;y)K;6BO|VXfB*A zb20s{n3(wcuOHn^AqS4vj6A_`7Rpf+vKfvFq3$+_WYfQSsD&@XRoIvi{@#s1wo?#V{3hwyT!-1b#Sfk`MUB|CegT)gk!Q2h{fI*1&y4OM=L;52= zFE-nDDta%01hio4+Li2|GHN;)MGo|9X)s1ZZY4#w)hlTbM%|+NMHp8uq`$Kyuu@z4 ztw>*nIAOi_DQ$fPyfsSfvPAKzcm4s2rf+&_gKvvr8;!A@n5ZQcgzP-b4H`yvo0_R_47wTw;M2ks_9d<*v*= zOg_Tz7tbsHXpZNy2H@)I5^uz3tcR!S0X8i_l~ zs)$sl5?%BLi0^_4Ze{A!k&iGb%8;>2W_U+Aw_2+9@=|0~;$(-@CUl1@g3G+dOLXsI zb7WcKYz3=;(h6;XtmMVIfk8370$ceK7MXX%(zNha({grf-}>`ho#7w{2M1V5uLzvTw)-GRxUpH{IrMVz-64UQ^6w zpqyc*-j|UBhYp~->s6w5O6OR}tqC6Zj+G;z9rBTB5Wr`>*z8a7+L64^aARL;$pusE z-o1qr){oTzRuMREW>^|;_gEWgs~q$D>|rfZIh@?W4avt*)~j;ta2Dz-9+^w{&%4$g zYD{W!FNkTeBA$NL1(QM1aL2FrzxhDotjG~fMqsC)#of2R3Vjit7s2`H-@%!&o+9f? zdEEo9@$Re_>H2ftccD7`gq!L&BI_=o3fa(^faE8(dV_QTz+Zi3d|;9I(yZAptw-p3ULrvv3?Jd(nY z+RaJM0rnm%3Wll*tOb@#3O5S^Hp6mBj8|&Xj4%&bIHmEW5$j0+9?2tZlvkKiOaU+)}AzuHv=02Ho zPjT-K^`8lNbdUwJFeu4*q#p}*xCR#Dae0n3aYKhSnZ6A!Qj%V$xcttaS*JQhvxJ=> z6~s|ty(e0S$msvFad;&15M*Ao#&-S{WCpz;5cswlgz(S{_QSWcRm(B4+D|<>rUHoe zHJI`ma}GHRI5K|Q43PKlmdxoe<}UopcCWWo8}vt|64IEr(1u6TUy93=AB^~70ao>? z{oi27h^vaV&AV_ikn>?Km}?=>$%XPdFT@yoH!uKI>93)a4k1RF#TrB{53-1?S`kyi zw2?}9YNt+DRPh^2W4|2BD3QHn2PuA`Cx)&?ZU zf8jg3FYL^o%Peojp;m2l&j_j{oqBn@sO+ARc0Zgj5dCsd5@|nrMWTOi=yY227_nqv zF*3`pm^#W5npc_j2;?9m%e3(7?}4}Aj9^Z(r@!4?YL(;YI5bWYgexg*)?NU=YkpIn z)FwJ7AzT?XEn&D!ZY{?OgE1@Pp-qNQP=BVs+cLxwol$7I;i^B-al$l#o%@3e7^xAGCjj`EEu)Oz1Tl1XoAvj?80 z#ZxJ0q@IOhYnRvWw{7?vLKs=4{X1Ja-sO&Jv_oWC0!agnt+`6;Fbf;O#e!?%KM}kJ zTbfniSo<0Q)d6XZl4QJ-lF+irhkUk|;^$pRJ-jozm4pcn5_-$JPcV(Npo4OryD#VO z?ac5{9_fzkFqnLp-UdFRXEzQ&l5op5=}<~B??JEFvwWvFd8$u$k=dSQzL~WBtdpe& zrs72ThXHhNBOWg|o58xTB>ILOo}yx;2W(9@tA&Ft8z0*MJjM&>)@ADs%K*9%4(v9w z4qm?NK^8}Y%w$$ioP_RDG64U(9Hno=>CykSnAh~9Cod*S`Mj#ywj)nQ zJ*PRFNi4?lYCg&6`1g&KSxnQ?c`;2aC*k*cJ5ge@r^Q5JjHvz5X85LNSJRP#7@$p+ zhq}srd3lIMEX1*z)${M_LlwzL=a_TN(!aNY=Ca}`T>&44(g;U|Q5BM3lEfa9epGg* zVV7uY`zlKH`j6BLlQ!=>@;4UHuUIaI{~O$biQymW;s1aru(5Ld{~#2QV{OEd9Ds&{ zp}OSRtTAfRMeRzEkXf#5FihtvO~vhY`cH-6MIc0eBOr=v2#D0GqMC=8R2ENX1GZd$ zezvatS^-)Bn+(9eWlyqg7krmb{nN*7Z!9>j`|m{KLPr=R&4vHvt?Xb#{H0Q5;{+moQRrcExySfVr zFSVD#R64v!-SR6_v5_Suz3$2t&W#(s4i1Js0Z{MnLl^f+n^et#Lf6Qv@TK{sg%tro zs2j8QooxbjtUn(>j{LxkQ8MYO$SA^z5sBXBxE=_~`u2)ppNfQhKDKL+@g9_@&>>*4 zxxA-$8z!~w2c8?%8!PU?Z9XsDfBx_b%d=kv0WZ=!B+ z6p|BG=Qj{?UPOb((a{~B`_$3fd3te)`F-}@yfG#ikLV#Y3q@GYZx77t1<9bAHlmuE znlY-CL#PJotTAR-j7%)*oH2Xp?vxD<)WNpAC1ZnVhHbV$`AIzn1`2!cdklE;oy5P6 znxo^ynkLUw(}qPs#!uPx-2?LTnVv#Y(5IhenNt?&wA#Cx98WqLhAT3k!WKu_(6zhH}a;WnV3Pdel_gMK3E1L+5KXa8TL=s)nu{}DwDjP&#@|CczTQ?oGs zM=D`tr>7G)u`n}t#%ExrrxUbrc9J)76tc6ix3m3^Bg6g=HS_`nYW!#mq>pkrk_Xv30MatDRNQJ<>PtngO~86H_x&vzNxg zmu)XKYSf7rYE`9HO&T5!@StTk>YcNth0t!0xDX9`B|JgS5SWzJDxS!r&46z|voQ|n zr$CrYdP5I3$C(G3x|nX@3;g@CW;#;uoqJKgpX#5VTJ2LVgXbLIxu~GnF3=b$9(?KA zyBuGS0=N`j>6o(N zvmnz=bv}_ZLHcDkru|h9)mh$hxloz-kGm0HGKqwT8!#WapSz){C3LcKp??C}*gck* z&`?M;6UJ86xdp=`oUhio<<^iS`q(U`B%CwUuAneYdRY1VY;@tt<+3KV(L_Wvpn-o9 zkoQvH=`|qVL({2|Q529txSeVB(vDCO!}!~dq_W;Lk_aQxaA&+pJ$}% zg_r^9y<1auMikt#B{L9(FG#GaI42%+h=V>-4;_yN(F*}778$D!aM?Y;$0l+tEG)zt zNKKtM8k0)K)9LP1Xt7DM!>33Es`%p=cfft*zI+=8BvKG@Q`#Uv{ujDfG3#A`8CU`c zKiGGZR96LfMgd-NcnTYV`4T7&KERN@)!mA!1vUML*m;%x|`en-anv1*#AO7x$0jq{hMD`S@!Peu@qBvUBgAi54u>&SRvK<*}&TNKFe62;3y( z)BGjIgz;ff52H8Hw|g+lglb01#^&qVz{uf(NA|9xrGKd_cjhj)gOtL z_^x2tlnBilRRO~It?k5oblMq)^9)qz5;qTqEW>%h!uTaj#yH9Xeh$@ z=~yr|`QeeJok2Y8ya4rNRR~R$mZ#(=n&GL*iz=Rc?W&UFjr{m^?(iX|LV{sr&9|TX zHJFfR`LoI)Z}RyR;|KQag86aeG;xbN<;ldP{i;WExn9X z8-t2}nR)Sv%VWqa0>n9@lKPh&*s`M+!sEz>7U8ZLQJ+i84|xCcl5xz05_Egv zVAJw435W^si*Gr#APghOMH2X^=&ieIio+b4pXW#~Y7WYq(h4kWj!+}RWa!VlaWf@8>r*aOkh@aYEPfOXA0Hp-eBUa?5u1PYMCPQ`hwYA)$dlL-xc)?p%v4WHwQ1!%@Y%0@ zVVqP59u)t*S;6CA+9r>YYUAZ)xr4VS)+%dk^Bec06I5J5v+vZ6J)PdM_AKiNBl@$Z z1Bds{;UH3_S{aXF=omf|c8cFrp%P^jnJ>=1TDz}PwuAwtOcLl(59 z<%ESDu!w1NfKTg?VChaduX|xWPaOt;o7^RW#HldE5?<$Mf*X zv}Cwy6s6GPB+wxtQ%DHbNlBY2*A5pf05wWh$h=8YCdN2!1vu4?MXMS$!vh^P=t{P7 z;f&`IHFA=md4V-lD9-XYGkqkm93*p!lnq_rX@|#t=+ol?t-`eS!7`Uz7XWRQm_@VC z{B;@=5{PKE>c!?o>n|#SLLWvG*BL^+`j4!asZUkq50sTIC-;5l?>-ZTP~O^tab6aG zQZ37g5B=Q|Kvw++h`oE1E8xvo-@#LBB|EU%hAZ3Ei`pcBEJP9Z5z(8Of#GgsgY_{l zZv~X6tyN65RC8oxWJY%VN`QE_Cc9}$Y3OzRV1$g!1KK8`2Gxoyv~;Fx;M8kOa^QLm ztu&i2{bQYzi~I9_Ca`gX)5pQxl^deuI;)HOJF?tLtCUWTuZMwM+{o*K6w{ z>m;;d-5M6($eHt;Lw-o}s)z-sMu(e|vo>9*+8l!fUv#rt{q4NT~A z@>p3byYZa!8uf*y=l6B=fGW)D`;%$c{q<~8pDgz41x~N?dnK|3GQRBN$M@@<@29xa zQx{vT=GKH!8Wu(ZA`xbik$x)2ahhNy7zd&qrjZ&DguRIl9sCJ*xLECg<(U5D9Ck2R z=7q7EqO6*1oUGj-nII)=;+FWS^d{M`#k0LtM`7Qp%(wG#?zQ8U0V7(Nis$=!7iW(f z$g96N0zxP8dDNQ|lADPb4;^xCeA)r>FU{?PeOPK^<=yFJ6&pHq2$CCP+qF*i8cEk) z!%4^WP7Te2N0c;PZnM4kR04@R73(!9Z(hvkI0`^lJd+xN#gg&7gVof6B zLzYWC4~&Q0eTdA8W7IN##@4v|tY9`@1FVhnamWlT>ZS`Q_hSxzUo#F*%xewRBp%rw zejvD#Gf~IVU&Gh$ZiXk|M>3-06XCM3??j?f>2h;pT>XfS(LGN5k6EV1#{$<=FXa$6-N5v<^9;$-E(@neQp4v}@n_qiKO zma4`CREp6EJ26GN2R^V?|Mr5l(QfU(-mVu3fDK`5#B+CB>+S-nX#YVR2_12Hsck}n zJzi8~n#0k5VOm%=AO%eQiI<6_Pm`2%{F(Kfa}9+-O0x!Txsh1BKN^z38;7zv`*}?z zquZGzq_0~4Am0d9)Z{8p$X<9{1sp7QsE-6^FWcrF-67vszqv*4FzGCf-wZb%&7pR$ zIfxjG5h+UohBcwi872t57*MmB@>Nr1t_&Qyt1g59uA7|!2GErkwQ z+jZg4K9?{lBj3oX0>9TZR6oGL8C8=A;M6(4R2 z^jvCR3CETP(v&O>1oqM|^dY#NVv`TLN-oZI^)fGWA=U|@cT=(bR5qJ$1nNJo(++nx zs6voQ#=lbrpEGh_u8Ih) z4B-kQgM|dTsk}?o^X2H^?s@C@*%kOXq06JiI9g`=O|n{LLV_LGv`9_9R0VMqAsE@Z zT;5T$M!=q-Q->s^g%=M~EQ--z6b)>To@k{*ltI!Mzh-DUt_liWkW?OpZ3f`Uwk9OO zF%5-&`Jsn8FJjb&YaU7%ofpweDA|wfoRe7^OtSiuO`$TDxMYdq<$p3|YPZw+BVKLzQBD6LAS=tlFg|T$D@9Eh3OQ%ecVl zOfF)uhMLUri(DeZ6cCO@lh};+*4o;5=FZ*i`E`DV7Ta_E;`ea=U=gL1_$xlCC zV-5M&Q_up<+2F6&mUWXpRzN~R5$l4+h?S`;gUto;vMH|=EO(2vYD2MVzKYiw7-JW{ z^?X*7JNn!ARgX_W|f!fN)$|mG?z!K}^y=w~=fhe;}Gui-vZ}=Ie1a|CzVrCjvZ- z7-ZVGU%WC-qDJ9F!-^${u~fkPaM&I4mqIOrlO{jTgc`;?VE_!D^y;CtKh}Io(+wp8 zoz>C6AHz`Jv3U#pmc|-Ys%?+EgNN68(_*}zkp0nj+3XA+c6PVxhu727*H_WrW=RW+ z(pZSIoUbXg5TPUm1#7Iv!;GYcvlcKz2u-a&lVgMrf+v)}#p=22=VD01l~mo--Xrcn zC*4rrZZCgy$DarM<@I_t7(cxIAbZo89>PMT`qHB(tq=q{&`#5+aVlaj0;N^2?!O1B zrG-J3R2ibANjH*&GQ)%-*%Ypfotv<#RX|=*zScI@pq#7%D*Q+$6*XptyN<0->&4uK z)zRwt_VCg1$plJD&BXe9T4!1q-Qe-c1|6S81%a?|?akpg|7IfVSJIsYbm7Vjo}ad` zSrJ9I*vyAAcCh|FE4{>**%w;rTX_067Y9z{v8FAy^gI<6St4c~qjrpN{7lB*#mupaU>+7YZ&w9Ia>`c-o0jJglH3(TnLj$OLpu1_ka$$Ytxg0V6bI}|B zN+8Fdx(0!&ur#*&!!`&-PY(C3%Zo<{sQcot({pne{lUS0B^{D?KV1y~6l*0$9fN>| z=AX~K9Yx_;k3m`ifz$6gT`OKIEpx5KBI}q8x0H|`!KH@P#Ide}T7`E6;fp+HLFYoX zLMZgZK#jGLy~g(%1w`VVV#G>*mI!<;k-Z~5Te=+sI#OcA>i&h%rU|bIR*zTCC(dKn zo)?awDx}M|d)K3h*Q#UI<2$e0;TS#|VSGk>O?;Y3gV{yXmMLgnk#q^iUrp5rQpGqc z78{%dDGZdmrbLR0U!vvZ;km`*o#Wx-;n4TUvdOBuJ~zBjrs&3O>5y^1sT7{$JpNGE zwW7leBpY09O*WFSSp$}fEkHT#k_)MhiK!qN52w=WcCnz24f024^sW zSN*w^b>B2fA5356xLBTz)Wg1maopqGg6nDeB}8U970*Q@X2Q&Ymt{N+pky5cOl*-r zo}<1uAvJL!rJA337`@E@ufJ3 zco%@I(gKd|-zx@km~&2Cm}a_VpFm z7g2Unj)(o4RB$oGkR#5k2A|C>ksh3o+~`gi$K02#1=OC+*7q^4pqJ zo!o?RX{3+MO6m~|mm5Mp4@oLC=58UTVt1kSt9n~p;a#c_Y{ADA6z&&lv~8k5c$rlH zRl>1?L_a3lWwKSBCA&FjKFfSY23-DE#-p8kM84(#ZClo94vHdYKH?TeTH@f0Y3<2v z8gPdeqllLT0(CX6aW(?225W-eohuqd#?gv5zP)x^wq|drY)_|?NPX7c$@xPNQeK^$ z*hwJfI|EYhllRy*v_SwlkQnt2aP=M<&DulnKvN?kNbsa#eFAN}#z?AaLs65g0QwaU z>%&B1J$CCMv6ZX&66L&$T!S-wQCaB1JT@EhKC&7oh2JTADm9FfsZ^=RP}1Z~`M5Ie zX&yyEzTYPUzhq?P%t7zJQ7G1TA1%kDr%u#nC%9A!XxCeVWPZMjAO~d*Hb@-nqUszi zz=vv3eN?aq_f`%u8Goas;X*X`VybFJH?zvN9Xt~W@eJ!sWCU6NkvIg2azm{^xC_3m zRq-7&NS+>%AyX6K|Jbl^e9un!(i#K`4f5E%5&?z2T5l>+;_rz&r&w94p(MeBZC{(L z&T>G@U=U*gX1Z0icO3^f67gg;%VI=Jpi-+OQ}WaHlP_4mAhRYD;R2k0R9`>lqt4Fm zieI{|FYGKVtZZ#=}uU*^O8(f@kC zG5~s^^kdV3gWu?-fb@6pRY#%Irt}X`F?xvkvWMBzF(v9D@ip|vipenvuU$%ME?94a8g&2zPFvCY%V7QaEA=K?Bt}bR`;@EJUrsi zG4(sHl8>2!_rrzdVtH|0(?`ePVGIyyO@^zZxyYmb<}9%IkTwX=Nya+{j^m3oSK7l2okin&1_Nz>AsA7lIbqxY5Rg!${t!f?M(*W2?{ zVSiZFw2DawRAxVMXz29#y}tfEIJo}3J~%iybl~1A=XkpN`hCk~#p=9mbt)|G;*d=y z2~}8LIeMaYMuk}&Ww&%3H`;(~2B9-?30sAwDBnIe|TTcwbpL zP;#t}t8^@kqLeBH)i@PdStYR-`eldBH6$$VU;r_?Vk~2Lybd>N@eq-A4mY;Qa~i}@n;8Q2=1{$t@9$=572Q`{h+t!b!K99#Nu_i7z}7JM(clg^X?ZH$7s-u#T8PCE--Gry(FJq81&!lxYR0_h|`9 zu35{wHYZ|oQsXm)w?VN-PTZB#=RJaH9R%WS_+b@hW-AH3_Xx6S&#r1RNU#tD`~30| z&=ml9O35IzGrc+4poTf+M3`owZAJyVs2>(U5B~Z$phK<$hy*&o9cJRAa$II70PGV` z#&Dznlo4%OrLx5+DyF;b_Hjlh75JDydVmCi8+1I_+9JqDpf>;jKIoTZKkH)VJPrl2 zQbtTnbO2!1?rGrK{QJ4T0$z!^Gh#~569CM_`BeHN>+CbXzy*;S zI}&s7&=dIF!&HZI>Gi$;1`x&tD?9axfdjZD{N0By%*0BZ6v$4$cq-wwkY)7Py?l~l zGz)z{_-Z%e3-6IGZVUgZ`A9RLiU2~=waOJUU|1?zE=g7R>k$-#WT(3m_PX_ z%^4=_?GVt@bQ$x{;GN7`GA*t+(xh0}&F)8K{e>?hQ{}pufp;Sb^{S)DI->EJI1|+8 z$Bz0^-{mbYSKdxGEf!i8nMsQ$+1q|jI&ME_Vn5Bp{>E0aXvsiGrKL%0WAwDvDx3dw zqf#3t$yOvwT{o=CuiAzm{~0QzBEQT1sDGAmN8z;K<65&ONRmENpj9i?(re-J#UP=4 z*Ir=tEyRA~l)c|gY3xk>;ct|(5_Yo0En588l4wN!nP+py^V#Dzk5&$kgnV<*qC!hT z^qXgiTCJS7XQFNCL2Zkb0v-tm%{E!)@@My6pNum{3A~5M*uKG_DEnqTp{D0Y;Yiu$ zoJN4?UGjh~jxOA??dUF|*4xGNm8yp5p_ke(MRxK(Xl28Nb%zF@#MSAQ-QI>j^OWIb zkN$c*dqY>TC2x$Yh%QYqa;nvg=Y+N}p&fPkX-3~J-Hlt9JPP0cnO8!Y^lRA=X$cFfR{vV1+yI9s-={Tfn8U#Uw{{j<$b^lsiQC~ zAXyD)tQ3(T(NrHJLdYS$Ox6*ci-CPXG|T$9Xk~P`We*bLS!&+~)x^P*QEnMn(z@?pDV|i*HdzT=LaeH1Tz51ic#J72fEWH3(R z93L+3-tM068E|k@Vwu~=+3>8c70GQRPgUc>4<);}Sf)h(z7?yx6h$~af9@F*vmEly zP=&NlkDFX7APqL^Qd7#_kvWSInnQlz-JMt+9}X>>{E-cPy%Gek%TvgvIH`!7yjU(~VjF=L}bOafn|_ zkF`tM2iS61vYgT8$7o}<%3+Dc9UG`uj!POY9J*WFIz@)679<&*n(VZP?+R7Hi5g^H zDr7p%%#^aOi14Yz94?m8$)=U(=aMgNr#@Fwv0mD*xR)kEoXxj))aUoYEnDQLC0x;4 zPC6oetQ`Efpp^T@f1<~t|8Ravq?9Hk9#^85ucy!=XDW2I_g&AnPlx~ZG}Mj!T1LHe zX^t+-XbCgFUJyCu8LMs067>}E6tQ=OPp)Jg(z*S{`JACWTR5@(Q zw)tCE&8hZ>ThST!lB%dVIN9-Hh^Ms6lsj5y!F5ZfmELO8!+4F>H2=dpY}K=EyK)wE z&ZC2?KmIyaybK!Tt2X$UuI?-IYP8FmcZ(?^fFJkr_6`WDLoAf<#_9dGhyabg!(1$!Rf?I{C3vrTJ(d+ZwOy~v@v{#{>|!!SI>hVU>Y}amseuz=FHj91K@22N zj}GJuh!!H(5(pn;t3HqHCWqxf-6q=jRgZAsUe|R19}gNrV9^<(hFP5t$_0z11~qwhqx(#k6|hkUYB**>uO`IoNS4QrDa7s_(xm& zaNGM^Tl@Re-`V5{p`keR>E}n1_qO4<(UHL}F?%Yc)+8y?cN&qYzo?!;C{P8RIvd5sA4_@(2xQt=uoiy@>SifU;?$MkI)!S*6BLwt5h(J)8t*d1j-XyTEwE z)D%6&5FLiZ#8K2MTc0}!_o~IBJ>R&=M`mSna*8MhNd2lMG)zPe$3WPmMXL&B?xF@{ z`XlkYWX~|>iV1N9%P(ML3N?K?GK2*aAsDC>`aZD4Sv@%%d8V9f0wLfEAO6T(Ko;C! zR4IS?LZ3(o7}lN~A6OAkR|Wi=-F_(04G>mxtR0b-Qt??3Rwis41v0GkG^}*2X_l6$sp4=jVTwyM5t#%f3$*f_ZP9$B3E1Crn6$;tD=|x7x+v zXDa;tAK0q;*{n}@0S59yNT zTy0%QIBNRymA<&%tezacD8()FI8M#bO<8^WPJJidoo%A{2N^l~`_e#FvD8z?P`tLH zdz)6#;;u>@J=2{yeRdF-~O{x?O-FA0ev-vqS3 zi6(wT$M?w}tj3l)?CObk&#Hw~7brJ{V|#a+9r5wrsRa_Gnxx{A;aY-Y3HEcPAZAH^ z0u+e?2nlbyHczQiMN|HGo;UvU#hMx;Xtsc^1joR0r^MpikleO$9QbEFPA|j(f>;yh zg9K}N>X=P}_4OE4L=Z57G8hOb{Foy2A@~76p#Ay*`e4> z-0lrqGa^kfV@)h|>X2VPsO0z}Yu-lRU!U1uh{Epg2$p6L!vwU_f=o2Jr^_{^36fWV7dq8LhZ^d6+Q|JaBh_mlJgDYanIE4E6i)<=9$Qx zadGS>SdW1p+EG?~BeWIgL=o_Uj*Lu4a>XRQPRK@e#C)po;h2JtU5*$W0^<76rb74i zRP`DwV(P8O%p`N!rUG^w1amb~ch&Z>hU_fqBqFm4&HYLwFiVms0>C>Mc&MSzrC%fU znLe64Dq533*OTUXczCjCt4DwFvOn+Lga2y((-;}KwwAU~sPg_({`BY9-KUA6;r>*A zGq2bEb2Dp^N%gnK-P^@pUWbro<>vb(b*h}pRn_vc>YwbXiH)wudbS z1PPPU;y5(2@66dLq%5qT4B}>CV}Ms4IPCG?1A|H7Oz;6sSkP!gX`=K~g0u}4^l`Nd z;);UX^cD3@`h!Xk7A?7WEoJm~n@yy&2xa_Qtey z6$22;7Ig4N^o2B+7RI(|>Q)yPB5r*(?%aah)a}SN6gzfm6V3{meV?!+)3MRlka~kl!^||)zv*7+jv}B6J> ztd8tw)FsjSX(%(-4@)b7&e)f4mK25_j0xeYPgEl6nwe9IAu2nO0*s1&c7yV-kc?n+ zY}bzZI;VgDM;FKkLz5w^^#7h$AUCtm#tJB37*j$IufcIo%7vqz9xtRBMotPa@U}Cq zbhfgwad&?UZr7DeQmK-T{$uUtVqjq4ZEtF7`p4pY=#g^yFt&kvX?8`+^{s^P&-HVo ziC6t3HGRbk&pw^`QuccB`Y!3z) z?^NxKkFVFU;L1*KBJng%_id``g+f-+s*M#l%EZ;UG)vvg%*;gW#A|A<&kB|F_0A_L zu*svNE8Qa=kDA-+VV5P~#f2Fev zK_nF~F3lqGzxmL2r!h7K4629FFPV2rUQl2fxy`7Eof+L3Zjbsv3&3cTlf!B+57NZu zlv=FD0M5{L><{h54=0L_kB?JEpD}piDJ6Al=yWwPc%3(r4o7EKOI=DyTUmWFfthT@V5S3tX*ea#cn6w$y{*Tx#=S2LYO}$xXw6ML{&p#^d%imy=l#6VzFfphYdlQef4lR>1i0Cso}-$h zM=BT^no4^%q3Nua<@tUX+(bXdOS?upGqw~J-#!>a%GI*(Ou&#(o_1S$x^;_! z{RNzWe4$Gmks7AGgB_k>AztAK4uYd1y`V*y2}jU{Z0bEE<`W*LHKhn;4nGPyrvM6; zquv9|;+f15uu@Zlh~$N~^3lVRPI^pC=D8W+S@5ntl(>Unr&tao0AeSE9ZQpsUh7Z< zHO4KS=kQlgq

      *RE>Z zbumu$RrvJ~4$u2)Z?Z2IHG!AL>5{d{Ea?si4kd1hx5jS}JwbLxY?tYh7Xu5MPQt=y zrBatm^UC2T#0w$u2{+&crT*>rlffC7??1~QCxl2&O>zg>6EuR0BNj=hB!rZIa!}x2 zkAj`!bHW<#U4KFhlSOvTA^%WS9gQFD+Py6xN)5v9TVn(+j3`V-!LPLloekn`22Y41 zoFD$}pvzn>4=lf7pbAsJP!=IrD)6Z@ZV{~XXC1Yhti>9cmk+wOawk{71M`G|0kUAK zHmRlg@h=jwq{?@I7E<%z${^2XIBk;Or!#}<0hjg1;?XBE?{Oujy$ z>-^B#kcH`^{#N+pl~;0p;k~ML#D#Bh1fxN@nOwklW8kZ=q1)DA*YV|^RHI{!+zDky z7IyiDZWgCo%}g@mVy&XkAk9#$bG!_pC$4MO@0)HY#&J5whLA}d#uJH^Cteo?@{pT) zGgDD0?c-EvX}Bzzwb(G^!=h;MFv{_Ut0JobKy$F4byFhu?JG}}Wabee0DjcgR0&1o zyqU7NDgWN4SBc5}~Bk4k2snwSD~Z zWol5WkX-D52(Ad=NNh%!VQj~^;E7=8CHzYH#ln06t+3>9Y5a`0kU+KdgZ_N&n55RR zoi+u3HiP{`lI_i18-k?0E~hS}zencJHtw`4o48&J6iPe#JKFWu6PG_fgWusXyH8rM zaog#*x$RD6Uau}5yYHooR8XXiH>~BDxL66wX{51&t($|u9>4s}=7Dj3FC+w*# znKM4pJk^J;OmZiRg6=2i$e8Wv-GdvKN3$Djy0fE2rn5MM=XWO)70MSiG|r~YN7-&T zQx#W;cvDIei@%M&XxFaS7OXOUT`!7!8z8_*G;(*DT{74dFbt!gcDv61m1@mC%E|^m z>h9YqZ+>U?hN1&Ns4b}bKNjHsql5mt0RMj$&eIARJDVFCi`whE(TX@(8#)ot>QxpOt|L|9>8_&@pTOhdavjA7lM@5BA@7 z>i-`PmhoRi>wiq(pX2}iVt<&_|63219-sN&jO~vN%Y@JT&t?6nv;SXg*dM?3e>kxW z_>BJr-2OjtV*lg5{PPh0*Dee?HdgxoLl?$>Hcb40uysbPi2s1FBvhUvB&82(KrC=* zAP5_HwvIlv`LrPP(B9b-RHu&56I5?SGU61<#+_XsFD{(kU+(YMlcwCJshh*GH{Gx1 z$lq_VGUXrVC!Lv_(eKBXYKCQ7?TpiySs&Rb2 z^hEJ`;tYRM@_2WCzdvbn#2bE2byq~Vd460!rQ>*pwh|YIdhsW!vJZ*ANVf{d{$-iZN#Zc&t(v8xze#9on zTb$O4mfdl7Cx=E}gi@Lo2sl>emDtZ6{!D7mG+)Z!ad7aWb;2&p(6-IU^6YP)!eu*t zgEQRQUD>>BE8E#aps8`!d;!duW`JhxN2D!5+)b4(F}->$6-DSbTODSf{Mj1_xG#vl zg?aW;&WfzQ2)aLN|%-bKZ<0eDafdB~@}?YY+x zcfNX~s&^G=EUDAt!#B!!smM5%9ehvg%n6vsNb&OiV3dN$;x%)wBW1o)?p>LvwY^^w?_r*3#|$_>3c^ zGzzaa3`0}z^Ns^coJgee%skd{HOpmLBKJJp3U^+4$xYAx;OA55Q#ep7zTcRE+ zy3@ECZHn8C5g2?IsfW9HC;AMRjETt_E8?os5gpipkSBxu!=Vz5j}g}|$fzJVAdG$| z=UN)a0?U4)1aK&xxetXgphpHb>2yg)1MY}GY=-u`MF~?rW}Dz{4_1RsL?l51BM~VYi_-OQ*{v!!oA!*ZI>B5!Och9);1kWgMZRHoXBb=H7NRj}@ z$z-M$k$l-CKn^cje2q_y7|>KdJxd0V=qZKzrY^-N?Pv;z3(%q*8S?`P55gB|>=oA; zS$L8fAP4Hj769#HLWxq|_=dwV;A=ExIj1@*Vz9^zPJ_A;_zBb!>kz}u9`}XVUl^D* z+hu%YU5324SYg zNL3TCY}d-MyqjpIQ(Ci@#W;y|K$NEIXl6oO`xiq&!kB6EL-74ILJ6k zG$0sLmAU{!vn1FAp(^E}G9SKh2NhD529c#CNVCgzcPbmS)W!Wlu-of>T&&3I$})VG za>;&AJ#uu+4@M$k!57tr2;y!iO)5U{x(dj6HG-jBk@Y&p2J{NuSUUGjN2nfYI+ElQrncC+NFllrE3tzss1))22`K(UtB^Tk_NW1Fm6@~ zP$Axu2$;KQLedIAq7OGyL%j>^G>U-XtJm(_VeN=mie(mnzt5Yp+chS4jB{-}q_^J1JphGcsaVXA z-&joFmlW8#kyu>Ct`XY%qu!4m)l-UK61zNsY{pE!LvLT%UM8a-4_eONV)1VimasRC z&Vi814>OuoR58@RPvBf?i{qEZ(cF{%%Z1r*%K5bOi=YEZvvyzur>n9}OFa$-)~C2o z%dDC)*2qPGU8rXa(OTyF!HcVC8!7ZF0aO6}nt`krMPN~Z++!fuhS`u%Sj^0%Co|T- zUP(`C`e~9HY);Gz_BrDW2i4&bA0q47E2ffx%0tkm#SSg#P~lU8i-}f6W~t(TWLQHJ zS~4R>79vIms-B-BJj3#;y3z*_-G$vh0~AstFY4K=n1i!)vWA5i<)i%r%nBJ91_1jI zzLk~^=zdd6Ni}p>MLV~F#Q`2R$=q9?_{%-<6)zh+C#jM2^NX9KMWlxqZzsm%t~^-0 z$&h)RnU;u4%V?w7fs6>+B$~k$H8kE_w@L~1luv}b4hOx$g-^wM3;k;*fJzNiK@Zpq zUOePr!$n0AAk2v-7v)WKrYs=M;ZYLfDTVfER!sHAlT3QBq{+-;gma_Q%w{SRmJu9K z`6Yzp0NleeEzYTmhQEtrN_&GnpdAAO$2J&$o%mr?C<=Qa_w23{C61E6p?hQ z@ZhZ%{rw_bPd=Lp++$qwun-4GKQBMdYFXPL%Cy`7}wI_n_lNpx>BS9M!h;EC3odW~di>>J^0H8pplzuLc&C{jrd+?CJ@uclS8 z*2f!v6(Y1$ZE{SE{Mt0Wwfn=a(OCFz%+RVMHxY^<7KN;KC=a7JKEFY2 z9P`T|QH`iRafDG|2=#e=p{M*%X)+n}fO8$uo?8iKKOW0FjkVub-LIMl>6MkNGO}~- zuHw=y066#8lx9oMh7VH7=I#v?n1H;}so%hUzdXnoiM z?IubT;RBL=K~xyw^!PV|Y^sYn#P9i~v`E$DIp`#9Xoh_nIbMEhVk;CJ49LNiUFvT` zqbav(Mbl=EYNEC-1x;;t2TcFiu=%Np6X+3c#s#k*xc(??;Slo+i$r$Xz&eq28F#ok zEj=z4&I*D<4_kT1*X3}@7@q3>=f=cRKmXWFs{@e`L*0Gh2Hjn;zA`-nZM1}?4%8>J zhq8nt6_hYX?!9kIRmmtLR+#l;lzO-r-|wX9d9fH_S+vnl-;jcfRQFz8wHj%6{3hL!5aSH<^RaALxaH<51v$F z86%J@cE;4!G`EC=UjLS1OV8s3HRP@Yw63RbY?82%$K{rzIt0PWRx%@ zJ5ER)J~KG+#on(NVmBTr6sS!tyxu=I-y zmMlUiP!qSPaH!%vv_J>Q3@v!b$Zl1_itW5OkLC4#KY!jvqUZt#)fRcW3*NqZyzh?w zOI8&J?P((y)ID0gU$S$Ic~{dZs6B$k}HIMUN?z(J(v^7 zp^cg3l3cTy%H`PB9ny2#Za18B0J8K&9Sc*F5Nw2FsQ_K+hZe)_ zI5ac|3@Fmq3<}OJnp5is9oeeHI*1>B8{^IO_k%BvT@By_{_*>m*R%aS(3lLh093)c z2*r!#hSv&M4sX3}H7iumw{S7whLufb&jA}hpuVQb>B$ZqPXpBw-5ubXU58GrVvW4U zSTsI+KEvjn8^xSy=y3I;!r~E7EShD&5ZBu>8{iAmYgnF9m@AN&-K;X;`+AVykX(kF zAd8g`eS)K%q30>JsIv11T=X#lG2l9oBVN}rOj7i)7E)l31!MOQZE~a>FdU~+K}6>6 zQsnJ&9%WicLLqF^9QL68E|++agPQo2%Hfv&id!w-3IH2IMy0P?>dTfYRz!{<{@jG( z)EQno=O!m?C)JBCOSzQhJ{OxH#e7rmr}+FOOQ@uKebix)`5B2RVnhH*Q2n(R8=i>vkVJO zKTZ#z!a~g6eq>Idx?pi^4v*&X%OeDy<3dSH>G%_BingVPSmD`Pc#gAH8a^uR&3Zu4 z+7nh}y6Ie&LzmvV8R00M0#3~dO0<6_Pc2te{fObTdx94F%pmB8Eee^%AIo0=94}rF zZ~={r88#U4K(Qwndz<^C!V+|C?yDrLfV-r#G<(}?;^1ps<4fLSY3Z zL;O2anx3wf^Gg?6HYStPeo)xd^Y~79pzp=l6cSt4VYwv|)=hpLZ){~ZC>#{zrF(hy zvz9}@YBR#bhNDO2rT>A#PRT4qca@VteXd0D-Y8Wm@z0e^et*3>jn--5AVokp`tm z_+3J0;sRM3 zuG6beR(Z|xsO(+qaiP<=Il%$*29;Fb*$FN=*hEQVysV+NWga@`g0SetXXg>2+LTYY z3Db!a=%@gr+b`WHb~gs<2v4nR=ss1Jo+Hhwm>CD-oX)PG8bt|1n}W2H3HG96bgt`2 zbr(dW&D$^t#mn9uIM4=1`6N%+yjl4S`S2+M_KjxTMLL% zsYWLVgBT_b_cZ?cVELjbz;5292`Grclj(*FY>|w6J`2c=yC02c&79vD+0V;J8mGB` zJM<8TB8ZH-NHu6_IDhy{NstkWE=m~0_aGEd!_aczKn9#}sNE=42n5$j^R}pbqn{jk zeDk45glxJk+mU#RMRCD{P|v=KcMIv9$lj_{e8M|Kci0zd(VYv0lGzC+fO^~;wSLD@ zs3=%t9{{v(#gQ4!HucuJlzTrl?Xv=m&EOd;*5GO9oMbBzAZsxyG(TIksy%sjE%(cL zU`wcaRi=_j`UQo2g`#-9XB4#NM8vf|*^Ws~tJBZE!7bWUZ5C5_gJ>ku;ECkOGiWh$ zv{B@~ksmS&TMhsDJNl}K9i>`{E| z`o^~6^-B7iPo^#ogVAt9wT#Z-r}@{i0DZca?FLw!8gisED=`81c?o$hHa7&c>5~#+ z4Jmrq-ZY>e4mQ=0J~XJldts4<1nD?6tFj!b8SfbKQzv4A-hsFcTNPhNPvEgJ19WWB ziYoXAgxwVJGH2aN%8;gcFppn0$=BH&TKDU2HCk-uR_P3LmZm`xd0)yk0gmE1w`S2> zv;392<;M{{3EpelVDap#>&5!xt%|54>E$O0)dM=DUYg_=_08F)!LrpwO;2uwxtO49 zcqe^4U^R?ybb?KCI9J=HI@!R!cMwLey{itNve1YggWEQ@uEVAnRu*sO7$$65lQ9@R z|6)?)`2^cfd9!B2I9_xX1!Rn#*YmtdTyhQiggKjAc_BH;{bItlWkPjk!e&zT;LP=w zV#Z##4pWzVX_4aKA|#n#ir*R>dp*m8=>Jc58zNWZ_wg+ zCsZms3@F;`Jnb5Js&#qDvEJF9d_Qm3lSXnKn-6h zYjcBth(JF5$-BN-r?n~%^88FWHHV-t-Cgbxdgx21|I5FD00Ir!g^7s$0fV>~Ry- zRqSA2Na?jakMC{YLbfHo=Q)M?f>O4L2sv}~2Wb+-oV}W9d7_jZJrQga@V>O-9loYW zHdN61+N&^Nd8@kV^5cqTIlG?f&dWGpUxd^+V10XY6wCub^Gtaw_3Q-a(H|PtzUJj@ z0UH8^S4Jp>d~?oulE1mJlpRiorqSyA z&~Yf&&uLYWd6x=KgoRI!Qn8^nD_Aj3L~Tw5j!)$bDcP0Nkexf2L8)9(5yn%MT_G!p zS_MjZTPj(sFB~^#bf|oA#na6YCA52wOFGnzBaLKw{wJ|z#0^471`w2^bv7?n6no z*68L=^v7bbKkPLvZacDN={<}AS&?hxhlgEd`-g{(5SaTgXk0;DN#~2lP!=f76)qjd)<13fPpd`wb!gdpMQPvMuv; z1z02XA(YUE>Q=;vB9>~MpK`XMYyD%dh%sd z@u%G3;!Yn+)6UFFd*0aiOT)VNXzrtSwNP z5r=8A_5ouZbFMpNbaBY(<+?o2e}GtqA0W141*P%83@o(E6YgczFN^8u2m&1j z%}=MDUWdhY8W60NpB1Z;uY1y;=RA^Tf)OB;31W|;ud%*+t$scCvux9zQ--K*$(wTm zakOU6GqhHz0PYh>oz-?aeZr6-%?$Vlh)oG6uL3+W2oRC$q4vh-B1t?3$8RF_%HStV z@mpWNd>2p%P>O=gv5CH9!|Nb#*CO@wr!F zb7nLS@O^{wnohvVn<+7O%&}!&%L)sNdCDW-nF!8P0CUYy9b3kxhnnD`Ajp5US4sp! zy&A=jLKF71OkEAPg;ffmrzLwlBq!PwI;~kV+gB4qKBzHc1uBq$DMbVzAc1L-5Cxr_m(fV7@nl}7L9B5z zp)n;j#H5Ntas&`)|8#Q{ce30ODFvYTWYT2bl%-;#qKj+LH3OdJ7cdcB2rGefvWvSh z3YZd>A`UFX%LXbVu))xTO)Y0N+F7Kmt^CY^6!5q*UZd0j!f?)dx0kixee= zj@&dygN#=>@Y00B$h4q#JzsmK%5vM*eS4P+4PZCATrGj2p7zFwGHgSgs#r9~o#-^+ z5z3A-CAG?_#nL49p6bFL84Yf)RdJ8~bvWAwgs@i|s}aO8jeAQjP(2VpK}ORFXYfph z9~xHW3WEum-t4B4VQgX+MlI%yeXNN%r{03pqH@EFy_nKe6Ll`-ZYaWz=7`TeB6enE zi;D!<+Pd*r71MtTp_&FMV`xqLM2!>;+4|TM)rCY_+*S3b^@pQ-ORZh-=JCMSNG2iC zNMFvksE!XZ?LKmKSgKBN<_d8*$kI9L*~eGJSR*yq%00NHxFW+>Oza%Y%4~VkvkDUF zcl(i4BIRKZ0La^J4}#L?1M7VkW=#1+N_Nzj7RglP7T+pmZBz%=$pVAq(e9rdpx6P| zT=w6}xKlRFW$?f7?-6x6YONr($O~rAB+A;z@Zm?UWt#caPSD3iieuxQp2NaB)Y!BM z7bS`yM;4a$f>~i%!SJ&ujL!A_b&A-0ssY16Cx)H~xVWf)U|6`3OhBrIaPgMrxrr@F zDx5pGShOz*pQBwI^-3!@8a&|fKX*6Bz&g%IRzHA`l9%ENeGC!gzdc zqXN!lvK(|i z;EkxXCKJmEuBAZmiCe0+$mvPLN%O0e>6WFF8i{;WBvh;o2Kxp&;P(|dm=Z1+ z=9ZPY6UiK_Pb1NpQuEZ{b(=GI%bxi>jCGS16{Dk1j&4(mb9r@;@^*At;1?#EEc#6( zo&LDbe4INZL6XlHA*5{&h&T6o+pK00Og}0t=6Lx-K#pt*|(1lx@(gn zl0p(ax%dy30o&t^`hBuN;AIP`Hfx*O~O; z0=VD9P~1U}EqLbo_as*n)}Ka`A&~jrK`@Y9GL>tKqQ1?iB%?fnxJhAMo*10_cXIoE z9)uo_OUNG=me5Wt|Ki7mRroI#ws*u)k?$WDmZ@#aF-TqKwt14~r^7P{IDrc?V>;7_oJNk^irmg{Lqpew}eT-{TN}>wbi-B^Lg*|e&_l8(80~q zxs_={)1;eqjjMZ&%WH#&%lp;+OYH6!lOWl~?zV0g5AWX>`||9LZR@P;>@3|QA|9O; z%qD_8?cG4|_W;(y|BbbCh|&b;zjJ%qwr$(Sv~AnAZB5%fZQHhO z+t%A==F7<<$@%9bi`1e{ZE8`Qs`^#k`&{OJ9>B@kdQ2<1BYmc%?XY%plPFh}LIJUo z8Z*KN(g)c`q&GGOGHG$bCovM?ny*8LV@E%}^>C&_l@Lw@dxdvmE2l8q+>}@%SD7E| zR&tz6ap4alnhHQNHtU2XhAfpq$AdvP?aT4w9dO;rF_i&{z;vj7yYu<{9*VMO*0(;D zAxQOx_XYmhjcsTNq{ih-B@oR0(D~B1+37yhYxZ*{T;1lPSkgZlQg9)G9g1^awndKx zZl<`D#r!c2T|lB;r>e?vEZ?%FMw7~?BG1)%x~xS%ywzd2oM>7#RB5}Svia9W`5Hin z#QBlsR1Bhi%Z{_QULAb`u1)Or7H`u`1@Jx%Wo=nMkq#l!Ck%@>l{5Pr3Q{Y6yR&b6JEQN@8J$$3{PyOm1lhSJDA*ENSk9zv?}(3vaGoiDE+5X!_*# zub!+~t-$nJB@e}YWOF>n)f1ZZ;ZP1_7hgh>-5+@8hudTd&99_o*%ojKhCfz}Lz%dn zzGV&9K^l{}4IoevMG4so9f&q6h(fZ8Ss*nWa==H+U0SsOPf+5z!n#$S!HOgd3JmFN_Ji`@IXCMZ$_*|gNvijOX5bCQ zq0%|@B^J4iaJAr{q_Mqax#Q79C3{t*$EQT*$cOE=#=!zJJ$){{u})Nj=&EszFSgmN za=BlI#Uj+)F2C1P*}&`jN9YlKr_8qIS+Agn^B+e~g-GBu@k(!14RbwZE-JJVC|EB>QN^9ErJ+_So-% zByz3zo##;QY9Bb#BAO~Zp#{^(lNUDJ<)93;YfRPlu9U$i|30v>upvj&mb)kqmPX9K zjp_P|m5uc$D<;n)D`k@*e5)G!?&K|W7Asfy#OHFRLBV4Oavk2HZaG(Dq(a09k-Kilx2Vwnq$C%Unuz|a~W?a zRfFb-)UTeq@d|q_ge8fQBrLj*+TzxgBJ0M$^uo!y7wPKWp}DML7|7`kP=f?(;K4i+ zAU7?LBj=CU7@?OG+|-r24>^7Y+oU$1=D8|{BbR7dLa0R0%cn<+Zi+3D=jlfez8v?) z9^Gn+V=HT0M7#l`lF^pJJ3@3mKD~Iq{Xf2Zd|J1iJ47F5US0UB{h4+fA$BGQNOh*hNyeQuOmJ4A!13i+2_JRY_tcoZut9r{4 zcWG!U^3&qqNm#+Gnzc%H>M4>h+gLJgO}hhmv7wZKv2iW9tO?H5>fa}0tHw2vTrP#Q zdBxyVOwLCI6~(G3RS`a$=&wT%qQv(4UqfS7j@yyr# zP^FHjG@S2>Ll=*(9=x#S6FTiBR_BLT89ugrPe4Wf*A%g|0-xmUBTwf9Sht>hhPY{Z z(JFo@Sch7)BO|u8&-c7GJ1^dABF1{wlWSeqFR0IRmxc&2j&!2Nhb^nhBgU=aTdO0n zRfe z05@ITMSc)qH6up6*lE5&zq1tlb^lTSYkbjd$LnFXh;w^t0jy)+yRYaSJA zxPN9vZ8ueInG9t^2fSuc7QWMWd8$P-XKp!Zi`QCS>XoPC9j%x|y{JH(^-&()-KVX# z-gJP**|et>8h&}aGcE$--^E!&^ef5}J9;~8-Hb3L+sOK?d0qhj{@IYveEf7^n!8GP z%H2d+;X`^MUN>Al;A*k!yylP(zxvomdejmaeYPDfoeS^(Hx?XSv287_8vP2fuEqh! z({A0)ZODxpT#Jo}t9seWt-I+wN4nI){MEke?8W@z%fLS%b1UP%61%vDZ0Ct!^Gi{_ z<>{`@nQkNXwau|?=oBN{j|k}0^TD=E?cw_6So_*^DT)B&&KZoFFi^|du!5V5qD{fG zsZ_D@;W(pHQ3=0Z_ss69~3%fNN&1=mWtfnh-IRUT+1 z$Q!J_>R}r>1MynnI9$`Z;TX~l4};_U-v_)m%LDQvz&p_DZQC*mQ#9}&q_J|PYJ)9H zcW`QmI4#R%T~tO4`@_bqaEdHR zP$mQ0W)HW#pI|y?&(cAHk<7!x-C)%{9ZI2fx8mUH5<~dsXY^iyEv&LioD|m4+(;2w z9^6VixsEh1=e2xOu9g&Um*I@=8j9w~S^;D@!8#%*eOetOt(8W;E(==Jr>)HgFm4>* z+|dMnv5+c-LIuOSOh24pbo9^>x7G!bG!V~cq~bvwbL@{!~^2Tkp;9EKF^?9QGx2jj=}g(7g>_);)U8YJeiY^BD4XY+IS3 zRIhB>m?FsS;Y+x>kZ(?_UEyA^^8N@s3}SZf?gto1RL11)zmza@{O{^j4i3iui*^+@ z?jMQY5NI?sy6b%ZGI30Ui)tc{hgc=)lrvcKU7xWe)$AIfd_lZ zoT?He6ZYY6NCW3$W5Xg`a@Trr1-iB6j!E^FDH=h7Lln!8NBHiEi3a28(q+OA4CaoN z6~$WKC%l$EzG^V%!@+at^OC*u_*OWZh_?NlooL@Sii-0%f6vV)eGt$0tmS=7o(jHX z@z~1K%gPk(j6PW~<1dSBjP5(IR*5xvFehYV9)_!Mal5>Z(KCD@8vhE>ZN1I4UTY*u zN#NWR+99h;j%WZLCYl-VM0x-MvZ%kU{tX(} zu!w(VN;Smf2SJpE02IPWSx2WXFKF}fdX30k)2x?xbZXUyAIS^?;UuWo3c)7W$*low+6(XD zWwEyG)UBC-sN{jhWBMAU+N~hiYNrpX1r&uzh(yGidgn76A@Bn>i2eG(u(0j7O5o%# zZLFoH2Vi_ReAIjC?v{Yh?OE7*DZ%l&s)qNrRMkChsBVG#NLym3-|iZ0sW<%E7_rrM ztG2e#(ra{HY}rt4;jXOqdkHMz5|fS{l2MlQJnxF~iS~3XZf>(_8P-zL;~UjcHDr?4 zZ^~OTqAstg(NSsX=vuMT?ll>HPFab1S5=Me#+QkP z88-#2!q?Tztj@mcJWnEM**Cef?%JFWcf&+qnrW`2pKL}!Tfc_~jnZfHxmSe}OpyA*FaQd)`#+%g|D!YiUq#?dTrB_JQ2hU0 zB>(@2;@?ucRo@&#lfA<9$A~#{zoQgy`Ab$coQX0kTYlQ-gTkHi!MeP zc$X#58H+NYMVF4C@pY3XH6l)pHZ^+7O&S|&$k5qg`!*_h~g;lEpo2AL@*BRt?4c|{#UnI{u)R}+8@&CteD#mFK+EQlsSLPP}rj!TfK zzp#qZ1fGT;TB4YAIk ze~dZ>UP2Y5iMts!Ygb2m_zDlkg9Q+Jv)?CYXJR$;(_o}DpmD_Dz-3hzno6jInyIO( z-6~bN%Lv64HZ3giG0ZJ5mV{x6fOrQBl8q;@E35W7F%7kdW}t;{qEt%UmcYS(;UeEK ztvA9?J7GBnAQ=cpXw+cFU}qO@i9xExl$k3`M>v(s0@v>~9@p15PV{&`IXpc8w9RLhUY-c_di}O3 zJl6g03-qU=awrPCKhVT9KShUI?Qihne_kWg&IecTlLY@PLX-WamT4Z+1ha;=E}JSD zCzY=FB~)BpkqNU!3FzZ4RUyVNz09u9t3a1YS;d7SkvIdwfj5h&-l#E7tt^>q>6E%AlY*Q> z-pH=2)UUwq8AZu!hZN^s6+$yr`GM^k@$@jl`G8TTFmQ{pwL2RLQpNxUuv=1fs}>od z{+F_3m2?x55Ew!31jeR-8^_K{S82RhXD06zXxL2EkjQG8V#baVd=Jh&YvLRfu`v8{1itT~$;RSa{I97=fVzXVySuxGwY9a$ zkr;ncXBNtq%=giU-_cHW?-L`7-s{8k>tXLM>hs58yMI>N=JT-pnxrBh)i4j7 z{6kWBMJ=kYa_mR3Tta!3P`ikauBxlZ4n% zGo0g^&8m&qFSj`m*(#P^@3|JPUj@AdYwDf+hZ0J2!m`BRin*D7ez$`k2n2EN?5Tb} zZS`;Y;fHVj^aE+2prEIrS}h+K5W}4u3DUGOBi_EOFNO!-Q&Si$qlE+l@T&}RQ&Uqq zygl_?ybj-_xE|QMFeLXLm_we54Cv9-d8B7~UXu*(+i{Dw06U8D;#NK7^pgJ7OW=9e z?kBnBRhv#rtCnr@nA&RgDU(Gt)t!2{IMAv}VSIK&8BTEVyMDbwkZZyI^tIwd{iVaQ zSc?oKrmG~lFxD~D7z^C{0H&iB8eNl=ZuC$kCAgP(DPqe?k2yMUMAm;IfNFHxZW>o# z`+gm*Xg5Ixxhh4?HeO_BB>UFoA1nY9IWX<^X&eyb+`nojS3AH6^M* zO0IS9wQDvFOwYpYYm+9_PVY!l6?sTQlP-XT2$3x6Z!&qnzqf#7?ap$C-Xj$)12ITp zJ?Ga6yyVh{8Mxyx*8UW!AP4mF#CSNb^Tu2M*b>(yx$Td&*CR_E2}#Pmq>ChLpdx4E zs0>SB3><;(N2ao#jz7#^i!1i|?+3%`dRTQ=QdaFwHAX?M|4}_N5;4J4q=P~s zOF5=cl|2Mkn{VUBQ05a-&O#k#-jiRsM^mL-c93A1dX64Nz!&gy7@y)bk6`eBd1b)OrX`3LlE9J(6*a#|oUS1N}oU!pi?-S-@#wK%FL5Me_I)Gy)2 zRV0~TK^MmZVai6_vy&xDj~cQ3hm}wV%Hy&?PW%0PA6)hk3DhL^$je!p{IbY`T3Gka z2RENpv;m)l1$cBG61Lpb@Kh8L}Cm~SbL;!)~~(^aF&oI zMKKk^#?i{z`q+>o#bUf8tM+&5^HcI^YndU{|89_h{p95IwA8En+>_HkMXDG`vU};% zr^0+{c4t~IGmwa{0K9|Z&hgJ^y0NfMp9EqiaS5aJ64-z;Umps&Y7mGD6YNgV997@w zxcgn(Ygx493Y5=~o2gLYg%*+8x-w-Ds)pPhu&gj2L7_%(rO}RKc4CEaPvL7d{pMpQ zW8lFR_#Va5ke`ebF~5m|%pc5PA+RL))C8&WirFP_f=JrEEr7~^^_x(DWSlJ%q|ZTY z^IG!x48=*Q_X|qo4r_!Lal#DHd=W6}(9#sAM#b{+(X-op^Y`6o8iW2$POjuY4-;3C zRFFQ9baxSx^&@&Jy@soljn?L=uKVWlHQuK0lhtOk?xoL4 z%OTA5bI|6@Ma{BZr!mZ=YjqF&NGQ~RQoOV<3=JmBL&E_h{O^a*gwHclDrtKgs&rOi+pbL-NZcF1GJPkZb)u2!rgX;_$2g>7QZZiHEH)!e8Fw``?HdL$8Gc84DahDVR;VkP-Y zU7BcT9#)HxC;xXMVP$_SJiKr^EGK>6tR2;nsI={}A(vv`M|x7*#dV6@Bq1m+6u40H zIcu{9itCG1j?XUv*ia_nR*@j&dy;>*zl#%*T;;DCldF*KL_3)u;vY;;d4^Cezhu6Q_?oEi80EI46C6Qen(4k2^UhLjHl3>siYb;r&pj)n9)N#7~39~NHH-L z&jEoHL?t-^i#fkZCX`IG4w6E0M=9c?bqzJ5hrlM_R&GyUnBK0wlUWZ#}2^0UoJTPM-tw(J@XB?uRdVc%F@X9OrWz-4CLl3-ICvyi7VB z1yy)qi0nEQ8n>(P?9JWZ9Y4HQb~G(SercTDXj)VFwRYG238h%)-V*^wvZPiDk^ ztZc`~NpnQQZ8LxPE?!N>#`^v9Yjnms6=p{-T$t5a0VDNVhTIoVN*L`!No&_8!&S6V&cWY zBYeNtf7l1l8@Mpuc(7o`FxZRFae@H`gZp2ZgQ)VEvEdQmM_LL8d*k5|c5>B?kME zrtaP?STW=HwP3{=?H=Rz_xt-d{d;^l{v}LLj*s`s$;HR-;`Q=0#6bz|qKirxSf%~g0LK8{VWA~>&s3KL$w)PkD2@w-l+5Wcb+i_>K>tzv^3-GGheUC~1G#V@3J-?=5zqE=2_vU#xzVZJ4 z&eCdnEotoQYwT?6YkU2c^b{*@?0X%3YMqs3ZJmXam6e6Y$^NeuM>W;oH(C%2CljTi z=;VIUVeL{I3-wo&1wdj}^7hL^Q5(n(P_MAI8PKAsvsDGDEk;$83B#a6shI|$3Jw1r z1VI*MOe!h>p}zxHR!o5gk6{ecK=f248!iYzLKMXauOKBlv4l=?BDB2;|1uAG`AX94qvoVa_j4MlR{>DD1dV zn@g*dzY8(<&_dhuBs7vMJ#DbYr0qL!5mgqy-M{K&_-}e{PUXGmjv{}_(0+N#t_ z*VZasb?>sd)mLi$+aPyLx(?o+L^bm+*w|ap+`6*;={?+{qU}>s>U4s-=>}h42#DHd zc<4-{8rG9q1|8O6fMWw_X%2&A3~18N+nq zLK^;5^m+><&^_My+Dd)Ypa96>A09OS0!(zIeR}U75VR}vnAUUipnVP3N^ks`RP5x5uTam> z_ucy9yH?js&+O0)o8(K^WmwW{?f3|oU}L{`Bh&hf0j1F%ON^g4Ide4Rj-mcEFUCHI4M?EB2fvHut+P0#O#w?@CKy8A#8UHE*a`p1X!>}%e0@1eAQ zb1H9iGHZ*&t>NnA^zZbgYmQnDfwm_0|ylaJ_|NX4D;+q6EFEa%J=|DQ}o>wKkH?<@p?P!Vm>1=@4Z>GxTI(_26{zLEcteu}%U&}Z<;?lg_h)uC6~CT|%3p$C1fF2VS%+tOqeF|c@&PLIb&l^%#DPSZ`gp0h) z%l`9S^zw~4nM5c>dwxk&$m2ho6Vl|Wc$khH%rUZmvme$(Lf(4D(U7R7;;tL9xpaup zQ_jCa&V(~*W9Vpjy)p^q9&Q-XLk|N?h@!+2Ib|%&Fr!0KW^-RQ1F>=Ek1X-zP^z^9 z=hORQ2i59SrX5bI-9My`wgZiJs@-~v)TjM*?Esnnvm@^PmAg%hwQ6{ z+H}+Nbf!%fyT`g*PJsP1L?~HSoNQ>zpzXBZ(O7CdLe3cZXO$u!SfJjqRyX}7e1uJ4 zsU?;JvnfvI^cS`Z)b~e=@#Gx+WCviZ-dcgQXoRQ54y&O$xHexTKdh#*Vgf*3YtzL7 zbvV2aE<{C5_*)PJ2~3vBW&Q+;2?&uX;7`@0LRvmfL;2-gbX69)D0r-$0&pJpXW|=# z0xR6XS&5uSxO;A99F;X&#>N?Stz|>;CE^t0_7yBhH|LUi$qREfK}HkfWFD1vhk=lw zQ98sUf)!Tgl|)JPW|WO!bqDBEd%jCXX&3}JC_x?xPn>3>jYMl6$JhYlqc5ao#?mxV z$SIsrUwVKK*fwF1q%?+s&zamIb6OOP=xlJZ&;uny)T6lKBd>57Bn1-xomfFjR7BJt zVNp>JLK;YoMMQe)pa@mPA+Q*=a$u#X-$Rg$!AL>{gcX>iN?f&e^SF$ zBJ$Bp#Ym`4BqKyo^?cuPX}HPBVSz;ESq%sSr0S4#h*01f)KEEyU>n2!cH_#DwXp0K z^=j(vfN0K`wYIjVwWPMRwx*`Gq^i5Qow&KFtJ&R7UGMn0pY{j43wYNxI)8-oOmCk| zNTIOaX|5NSCN;o-XnAeevb�PSEpN3`$*gq-}0avN_-JagOzqwZ2HSoj6UC`@B4> zWj>w&&D=R7Ouam3J}!pL^dAAX`uBmyh+O$C#xDuDUh-PQS;4v|@q+gEML0Xdy;r$A zh4dx|gJ(yR1Tx}=l~7iD-37pzfh8`!zeI^yro}bFj@L}WOq1q+heXpBv8>y$4~X*X zL^(_ehZ$q09ygq`a*UHP>zFZT9__sX^GrJ?DMCDspChqj9gp4igC^3ViqMzT7`w3;4a?VK55Ne(n?Ww7uM&Zt8!2pHydY z_(5rRyB>~IdkgHoF59cA@xMJxdjAVx9JjX@@;#m{v9a0G(bWI(arN~@^SgAv;cau? zO?@}r1@ul5pg-#Cnv`W)?4Md5i{y|`>aH1@yZ$3ox;_DB-PC4Rr%SGOk(Fl!Rt209 z2Ox=pD2pSAAzT4j=)5og9sz^CqhU3*P4-XQC&IaXi$KNPz#}}jLZ!SVD^=lET|fJT zj8LE3wHT)`ee5t&wmcbiP;on>cRI|n5F~Fuf7Vpo;If-_GF96K zXNtr%?^bdDcs6`&|72nX>zZZi4xAts8b#!ae@NP)!m3#PB41UmN9SUhzOTqD;dI1XCsQR8vh0hG~XLO`9#gB0=@75 zjspyK0!AbmW_4HTpgE1tMzREYwT6dto+ZNNS4x+o>HUM8IP`#Sp2CTxIJAlq zH~yQ^6>vf$tVe#JT7^CofO)O{UAJ)Y`mtkM$a~A`&niCp+hFb*mw+ZYp*Xd#gW&58b`s4tJuXVRn(Uj5bR1Z5-xaU?fI z9>Sx5m?Z30E3gWV`@?!>cQ=US`XzJQu2px))FB^Wg4pbsVCINS)+M?CN`PZ78V8^> z)l`eodee9i(i-LCmAK2e_taX2_ShaBl&Ntk-enCJ$=-hSZ9{j3zbmj;Q zpN|Z@?2jS~^;zbms!664NBu5Dr9n)OA?zm!8+a|qwkoR$&ahuMCW)R$S3su>njnUD zq-2UirZZI_PyD4&hTR$#+Z^kE+s(IWo88nGzY$CsKjFma005EtV@{mFIa!1dCxD*t zi=P1WEs;@DnKiLYP)!ClIIVByAn1}pxcaMl)H|NQzSg$(>vb)4anb$OO}~d5vmB*GLZvAB4>S%_jV4|FTS8N^SRc~G+?qBty;c>*%FPVU$kjTs$`9mR+f zmXU<}pk|N%7-VRKftV90QPlvwTiqR>XV=C~fNS^b#uP!WcHIj8ftjQnHqwNPZZYOx zvel%=(!bJ3269YD4}$;jlQTpkK#}Zo(tv}aa}W3{XK}H)Spotj;AC5Umw#hJb92M1 zsipg?ki8S3JRvR_#|kL155n{@2zsr6EH6ljhwMHOK`n@iHEc0M<}QGIH65~&au`|{ zny~eOSBUEYYXVw`DG~^2hAXzy^Kx%S9moBr$=$1^)1MI+o+r2q=$`EUH-sbYq$7GU zhMgqzGz{_|VmxoyOXk@0zoJ@2LgVw^IOuEdzQ=p&h5R}iCCwi{ug~+EhpqxWXZpAV zj~@~Y*s-e!*xQPSJ*I@Fx#LqZ;!#*KxIq{y=jD5W(;Usqswhr`&x$zE{V6fe8&%T% z7C1v>VRaIWVcEgnNMkh$`LLFnHpG{EE%KHn}>;N}E zU`|m`dt5PaQJ4hsywx(wh~&aVz)%`^n;|TH;$>v;95;jktMot(RT?v#7u9(Bj-gqr z_L^-3AwEqExn}xy57uaPYx>`S4q3i?(q?0N+_XAps>9#-F7XC-;HaRw5Qf9J8!qQG zy40_KPph-hj7?pC8R?cfKFg23ZF6Lmn$P}tJ2@=`1I^#U%aDus0CQo@XO9Ubi}6Z{ zB#N!Y4{{1YP|VTv@ulyI>n^!?t0NB7QhaI#Y3@btF+ykI$}09w2-O9SlS(ElLtx;7 z(yIQk@l3a_Np>YIci@v`?VRWv$6~`5VJFhng@}>H63-oR089`P0O=yJ%b{ergvV0+ zIH{>+|9zHo$C=IL!|~gl20TL!Tt|r7LGe7+w`+NFljIJNA6Q>QVHlB*~tGH`G4fvCprwFrP(uU21azNr-BU3tO=cLe?+dx}rG3V*n^OY9cIiq{J zC=0n9J5sk8Q^0v2EmOyiZ;dYk5$z~rGjskcP)fAI6lfmsozOoBkXU}qD z(3d)!=Kexd$)*RG?|uYsWNxF#&J1#$@}2tLc<%tZ*7+)w48&fC zJ6%@bE7yPcN*yl1&+t3~8d6e>0{20Ru5Zebi`LC1#%#_XomemExV?LlD$^mzcUjrT z6jdorv{KD7cZprW_snh-nlV&aQ{v*{sBtQ1x6kj{eZ3{Ty+2BGVTUJAutk9_0=_ov zniar-Js}~=qv+FK79C~50r+4~GyJAFiTYHVoL={?`!cPjyS2yIV$yL~wdiHbr;W-t zPwNNK*RuOIe;X}Jvlz2K6+F#-zin2P7sWCUkWoSNn4)TDVgnY%=5!_e#^{+>O*-{{ zVU%~{MUn22b$PXEuZNdLO^}7sDuV@S1kq07S2QI(Ep$Y!K=gUWEszfrE6--9Q8j(Q zQR@-(ay00fu5r_&$#v5#^kmzgesy3vrsRyw4tK{6guY>DC(JAU$&pg|MJiyMH?Xrp zOg8tme+rQ%wy=}GDjkq9ir>2VrMsqI=w49CWbm^L)qQ8WKgHaqs}-(%)m3qnzNjQ5 z=L%YzA=xxTd7~nSq4c>>OwzKXbC) z9Zjp}8I)IArGx$^;0S@CQ+G4z-7QB>K6Glgl~PYlUy(+tw($c^s^K_eA?BG+UYl#I z-+C;yYTYKoOC_Cfoko!cp`1rndasZ+>+uYo8`M@NSG)J#NClByd*fNO5og?TL0T4LC0`tR(jUlrb;guRMg{o;aW z%#BQjYb#1MJ{zv zqPGQtskC_gH-H}{qm!yXn-U-m;AzkZJMHhF01nc?B>)NZP^U%Cp%!%ceUTOGiX4*$ z_$C2L2sWzL*;xn0didweQM6lLi3?96?D(?+ezl89)Pn#Q{%BLDBzm8UpOeqD9YbI(+Dnsy zNZZkXZtZIrXwE!JB<-9vtmYXBLg2FoC*$4I(LaA+6E+?_JtH&_(z$oSwLtuyJZ|7e zZ`=wIUxB=Nm(Vg6i!A zzD!1o$PZO(_=lZNLxwjzV!(oNU)$$Im(^fJG3cdl4?upf;|El@GMn4q*m-80dPlxz zq6FXH0ReO~6}y#6`Pkpy$qdYN&Ce+xm~6rvXGWmB%bo#{y@1cdCf#>)jEUA1KCHMbjq z0i^JaqPy$E_eKOX5mKscu>MWbDJzvJS88h0XS=bG`$*{ARD|GUBpzj1PDX#$;mb@0 z9M-(2&wZFMGng77o;hOz%bYPFWsx#yvT-OW{VONLO~qTjB4bAmx|%T>(>AlNSV>!3 zyEl9jAPgdpG)FuyuyD@J%?-hH)N}s4b)E2`lg?e7%>oaXAwwDOuDKOyn4@7{+EMA* z&~7~u;hI^GReo|K*a$N?W#(L}Npb*Cyx%^zEVQ&3V<&qrvfVOa#g-j0%<{5%$V5EM zG(Vl<6AzIm3|XjRq(QCbGec$LMhYFMshf4+C)N@zbZZ=_L0u1VX8@3!U%7Rbsghpz zcr70s?9GYB_21X;l6#xs!sF_DFqUPsuk+2vR^qy*h;O(*jSRUU#2t8OPo#eC)wYJo zwyE;|X>#qP-Q0d*q=@_GCA`M(b<@pr$g&+IT zmm*En8z1dfS>)?*I*x)xp-$kAYl;ZdsxtZ{imOy1o+2Xm3v41rxQ5p$7ZVMER9wM> z3|d-#8S${yl`9n~t~i8uNf)TTP~ys_URJL*t4v`~x^omXCV<@dmnX%N4OaeRYyp85 z31zB#-1l}?hkZ;WN5(7=zv`_JXQjn<;jn}!r{e4!@k5b-QqxhJj^Nq8~1bR^EO=mn@hIp9p3d5U` zj0JWETc#JRTXYIXK`zV8%#5M091@XQW%x8ApD<{}>c7&=Xsc%55R`f$-#2}JiVb@i zI%Jm?*^N3`3J`(YzPd@9Xz1LyRa3+Nk+#v&&o6V2wmL?~lUWlp9@5%w2H5%ykJNns z9`bYC=;OBlS-@#0th9mu8%W4Zw=4r_QpPmgb(l?aV$j3>U|s})M3Rdz2x@s>!{n8<-?6-cfAwQ`dLHj0zW|CScVa}L|9oHBR>|-Hv2cPHcz>=7B3Tu z2=!S8Ced0D(%fx|3rd}NKUc}$3a`XSxdU0s>J>o61rmD(Wylg>9Tj;{aE#1~P0tXM zgtUj2XkB4bJdFg!zGSGThLmv7f)Gvgdk@Ah^Ns$k3Fa zYHo&u{}r))rdF3gW2ya8#NSR9J+lXoml=-Fq48$W6S6ZBNch*x>pN+O9PT^fW@fOY zMsxk$4`h@ZzRNY>vk#gGG6@CgLO74a!OFP~`D_}|tfxi&hGoVl!{Lq+h17;5sBc64 zMvEt&XY(0F;REBw#RJ%*Vxs1&-To8N9~=|Nn?}#(zjUDd2h0AyNavZESlHSB3l9o| zx}}MW1tBvhJHu~NOLGesLMBdD24PDVX9ZIy5qnz)dplD*7edZ|V0{~VCuIjiV^c!* z{|S+=A#Y@5YV5+G>}urlkHF6$X=`Y1`aeV2uhJ|vR93M@Po1r^Mo6nIQ5H#Wv?DUx zM8VTAUv!NlVC>2QYzim~0wRb(q9~(bq9N%a3j!J-LBoW^P$PmhLNQrs>xJJRMLwGJ zOZ+_!&!2WOc-+IVLXNUef2N#g+J8J}on~))UaV;Bt=ik#XsLO4cs?&Y_Cqly(fs}W z#aC#|v!gFDNRTvp&ks#<{We$IB?M0KzjXap{TFY4*?8IJU0MfkeKU?-KlR`6g?l{W zUfho`&T@o%_B_p>wAyrizt*q*4YNOI^Rcc)(%!{C|2ma#`8rm%_~0q@*}=PB^qz{_ zG4|cXx%^n2b?XtZJN-Cx_htNNzJc=dwfXv31AolHoU>oJ`A!1)kcY=*G@b364Tg{n zeTM%wy8UDM5hjO#&rRa77V||g4O^dqX2kN*H|i`LJ`07%#9=ekb(a7b6wq|IQ?}U8 zSBxeWXNbdWJi1FKOEB(@`LsDtaMZ`cGxvAt@?8q4EwoJ&+oX@L*G6J-6uT-)871e! z&FZ>%O;(m6dqf zK|bp&n{n%A*7K18j&F|Pm8%VA%ip_3<2s(Y#L%i2km^j-$KV@a}qw!zx4lfIvO*o{FiU((=us zYT)?pO`y^Zc+UI{U*)!Yjn{7bNB`;Vt$a09`yC*s#^>~tenq7i+Eq~The(D!Vm7B%0u2QBqfgwHX2s#T+wK(JUu;Dg92wKT{G(7e6SMyF?vR zkV6_nuf!dCdfM3G_#qMTR+{aBf&_xQyMH|I zcW>4G0X^1pPIuKzpT+{J(=}CorIdg4qyVZ*@X32ck76nYrP7FJ801Xj1Ci;i-&K_| zbnIV3NJzMRq;o=LxvHCSPJ!LHaT@Q+C_~!~4ELHRofB;LCipe$d z&&8_bCI7dZTtIAU*+a`LbrU>sM9M~a8c1mZc)FXD46fw!afVJV!@{PV@BlWSUE}Wp zj?+>khqld*48G=qzRGBhxMrv{8I-by{%BP=K|Wabndb@R92_uZ+jCu{al?xk0ceZgxj{!qjoQ1uc@aH1hQu9fL$h zL*yR7n9ko)_QPSr6BkEq6Bf^2n1%4~;o86IAiHJkl$HR){MjSF!l2Z$T!6S_#i@Wi zEe9h-tTD{WYIPMHj_i$Plh3W|`P`=DZQk9pk*Cyh&VZogCa_z|&fm9`$Cn5@@KK$A&K?vEHgvX)T8w)Dt13^z(WcFOhYQ!_ zQJr(&XqV-2{Vdb~pv3ZVkX;6N8D@_cb`KLs6mr90$rp}|4*Y6-tY~aQIdS&A(Rc99 z5CKTrjWTb|qYhHUg(y?_4>2_q^0Y5eh{@(Dn_Vh#$>uu$2VeqBcsEkXbg}Hk(dlAiCkWYd z0O@jf<8+&67Hg8_{Ywb!av8^a#s1EM{4O#Q5VaKDuC4RmG}&F+ck-J7DaCxl8ruS^TRf9ck2X!=8`u!(X^s4cfqN3*Y=T7}(fklbb=7** z`WStFr76JJDHb#o@;O=$yPPH-hDfU3UwQppz zQUJ^p@O*&wTQ@T@aH}fHx?eX}zKU~Ol*go4kr1mKTHD#Mt_|01|Dk)~`! zFY$0SV2qXgmYUNh4#(m!v&BZ1^HF`!wz2iupkLK~5)CgQYB7eqQ$F6a=al`lvP;IU zs%_qe70{0ml%#o*+8OAj0|eF<6OKmys*4ykKwGA#MYSn&b0FKH%@j9 z#P%hcx?1zI)k8uwebx+!hwy{98vfRfO6J-J*cuJ&Wc$ogL-A1%b1NXf@qTen zJaOuB#z+5@Y<3~XC!a(lo$PI`BecZ9Kqqz$jYmdrjTJL~(q?!2NY36bWMJvg@q@h? zWDzsIJ{)9`9H5Vi`}h@vLXEbJ3iYfK3^&(cZMDs9&6&m8mne@v@$!uvC8pNOp}LQA znSXku+M3sE)nT^(n}oQCPzXADE1x{=z!zf?V=B6=Mx39aKmDVSx9a- zx5R)w4O$L9ZP0sbZCytRPG~6sBs0t7g|kkAV19 z@7Xv1qkCq3{Xt2#o7f=#rRU=z_a@i%{Xo!>)tQ_%fq@!V1van0cuGmPiQHa|(U@Lg z7ShSyEy^*tto^BGZSW(b=}_H$FZb!(8>DO>228fd<}(dx<+VdX8JMxc3QME6w>Ak@ zyhZ;aIZ=7tK*A$$SK!M{q~fT97;AH?N%Gt!m%XhUtNR?CfQKn*2PgUq4-U~%@!D)cUKkN=%?$FE>T3!G z){P{KybBk9sr5O75<$ecH-asf>U?F@)=0_=?&;0ab)@a(|KuU`1P<1VNum=Ay(Pqg z*)o@GNU*Fk{y$T z^9?uW)6gIuZzj||0jm?7#Y-*dF!he10$x*i`E3NKZ}0vukjNNLj?wvUB|%OIP2MEh zxUw{*^DPc9!$f`tNXo9cgXl6|@8JJlm zO{_Ww=tU`Q(t|noyg*8W5R)M)J|SB;3KXkL!Qpu>21)}+_;ZiHYZYy~ZkC^>+!L(~ zI-4`puuvqIrkJ%-SO^I`%MiaE3z^3`exk0Dk)PTdqLSQN*G9G z_WzjMw&a`cXj8K_hGWZW7qE6@*~@vF>b&PuqyJwvwk6dpa;)LMjJu;D6_xf-qbb-I9+_)Bok5`lywQTgn zj(r>MU}mhdV(;?xR!uear(_XjnwsM2?(s?BnjeicDom~%QO5dNtU}*U#tXkO8^fN} zB(~M2aKFTcmi?UDsbJ=yb{48&$wiSiz8Ok_eEzz6`8L~ZWQJsreSa`|$;}GnY<9X% zOCQLrt2ydVZs_iJF1s?u!cumWzawZ6;I>TGth*EjHvE4%wcfxYUUlFzYK1vWoWv=6eg!+>bt`rMoFTOE*h!!=qEE!nSLl(IP64yO*e2xTX}@z?AMl|dF^5^C7JNwccZ#NX zbx&-pNW5y-SX=3cE~$=HwZJin>|^^Np?`3D_BthP#gw(ox9i45*d{KN?ERDu8sH`) zE0MISC&%+sXbbJbVS_q$&c*5rh!kg5Xb+PEIwjqaKh-5o9W!|7m~u^vM5lfK(v z_QZ{j?Dl1Kng)~z0E4{EiXya*QSFds^HYg4%@J`4n8H*?jD`fDsA?QXM6wA#qP;7K z8iB2kKce2USo#NlZ*8&AS~D7dtQcv5drpl35?$(PGhCK_Q{@d01QJGw2{~)j)e;UnXM4>{7bWlk|2$!|=>(DAb5m$1Z#z z9^tfHemK^v&Z`Go4d_#T2WQCgq(h1d9)nOjY*IA%@juoRw5p!QO+i8VVjabyU)h%E zhuH>AlmKeR!;z3moKXpbGgYgH#<7w##zHORQ=6wM>+qe!=6J)!(##ENY_eEA0{0SM zdv98?^l4QrG&41XT?8f>Gv%1-d1Xy1C0q^Wq!x9@qZR(vyIjjqz$~B5+sO8Ox%GyW z3ZtoI#~*X~g#b<-Dxx_~l z$7%lvhD#K>@2r?B|2cwI?jAVf`5>)*i+90_F3IR^O4-26wo5cR?1}}F35YsR2i1F zpTXLu$(Ed(5Kk;5sAvBKy1gg_tVdw{p*FGkmKOOU2q$0QW^?vyeq~^IseNe zT}maqTS;&w7tG8uw|w&O@ZgIZcTH_ME#qvrcNr`m%PQ&P&#+??L(xxn8+!NL?Yn=U zB90_)-`6sx%{qc4evy?!_xiC*ckXE^m|{QG`1ItDeZB&Q;{~{a`;J3O1DX=Fymtx5 zN)}81RrH!4;yIb^IZX})&*PGM;|rU1@M#(V`6i8HE1Ay@4@;6%wgaXyC7$OU7sR{v z91W}y7f2Vrq{57PHSOpQp(lPh&nuuSYuB(e`ElBL@g2>I>Z)5|lmbiduA;xs-^ttNb>udq8|Y+$J8w*_N7 z>iG=&yEPF6jDdogRB{T^pq;?#M$X~6Rqe4tx&&Mndxs5tBHg0&yR?OWQxBi5GsoWp z_E4}ODw>65$%fm9%tx)Od+8Vi z)aS%$&mQV|%&m$txxA-&@R!c#HD&`Q&~%kNZ*pXwONRs;6F%~Ep0Mi8gsSWGfgTS^ z=l7ix5wo2F+F24v@?_ANSw|bW1WQY^%jaX&IKnwTR-Wfx4+;49aS`QU5)PX^H&t%C z9B_;`@n%4l0LPnv%@Ncu`OlxTn1aQDgR?7hCKc9kI~=XF78zK1P{z|<;hGocezJ;| z%bjZ#a4bdXGckLniIJKgrae9eZ~WDd;lb>Nq-|(E@~rA(VntSnuti5`eu~yMDyoL` zGEQX9TT)41J5DI!zltH*Gib_XD;6WEiN_YUP=}ywV^t5?#eCIUiVqAc;49!e$j=gZ z$a%TG_IKxhy6^Eln9k$7dCHwR;AL+SODU%1$D~;ETDwYhJx?G%1)&v9h^EK8gla9B)y1%{qZ-9NdN(%nW zAv`bbuvI(1&(h6Ut*f5+(!v(k zB-9QFu{TsR27t%4x|^Rkxca(Z^q~-D@_W??F@HargL&8dq_gRVpjY$A%{cpSz~a<% zWxL?`$?hD$)5Mm*7TH*9n=iSI2E}uZ_Npq?Nys3d-SLZWYkmx>;<)x&eSLmJ4fo{A zP^7>RbIH19-N~fqPydjSpN2SifW0&-+O+N^5cHQ=-*nPV6Qw%PQ!+%-s z??Ytbt-|lWD~17;I8R*W%V#qVhMo7QqwtNPM!^-M$4!~*{w@vi5?37xBy+z-`Jx&_ z98$S{A_Q~G7u-3t{u_^~WlY$cdOeWH_(0O(cti+Gb-Dgmn_Owi8aeF6n20$FUNO?AZBPg=9fbC0S|+rF zDKCE-osY|>9t>7Mrq*DTX#?h(ATI_>;v&~t;_^b4>-9RP?uO`GJ&1g~*^Pq|McUn; z#%Yo;_+z~r0+f&@!8}MPmTJD|i$q%dY19VQ`uCJK${BsLR3;$pC8_&&rUfe2npt(+ zwox{yL)obe9R;{0ST+%{x$RZJFfrq*^`P2}a7=7oh4N_)w!W!(=aA$ItxmcwqNs`& ztI%qbKCw?o84)w3amx2(nY8QI%2vM&m(Eqj@$X7X)=q^)aeP1+7et2Gn52K*-SKR0 zRzLgHzc2?llTD-KHMQK->U*#vDTr3VxFl<0gJ{9`_wPmV{k$xPtpLti_>A|2G%{bzNuTE&%M}J)`j&5Xn}N@09ioK47{J0^Pej_%H4W5!67z!Npw=0z@j4+|vpc^?gOADG<%O$Uq0~R#3$P!QAe-vhF zQn0iC%{&Lqjs`?H*wgeRl)AJDuc&>8fi26AojP8Eqs-#geVIYp0jd(SD z$%@Q&zdbP&|F|}tX$ss~+w`}2@MR4n$1^)ASu0q7e-NqCQ?vN{_>WO41lSNVD~ti! zpn()$`Nj#sRIYRti5GDCfi1`(Kt%Jjm6pbmKR>MZdi_bLXP zma7=V>dftOOJSiX^rObM4c}3cu$1+Fr9f0`+P!WZ;>4KSUcOph_dpEgSr6Ow7{yL- z8O>^rxAD6PLHO4u93bM>_gM&zmW@E~5U$6vba-XJ=Si>5;>-F0aT~yk$Cp+G^>e(E$KK_qFLAcSWc&uo}et?6i>!yz$A z+8w*`k*rC1AVoH>Cci6MqLOvd$hY z;!TDxnvf(AZJts&#C1na+Y{uytD8*9u7RE`)p(%wjUFBsKX@}TAuu@~{q1oYOsA9w z116WMEOLUr4O5)@H5UXl6mlnK*~hmLD%&~(XTxKJ8Ll<^aSk_oP)c=0{gb@!aBIbE zOnVv`Bu$c<-1vSDei`3Wt>ZyK+pwq3V&Z<#PS1##hPG4zL0P zGV(Jra*J|>Go&4@Nj$ZUi&ERyVJ4c*P+LqVDqD@v=yh4cqMmAd&$+meq(hT=HM?l` zIRuDLpows$7_C>&CCka0XQ+i4i4%fcG~$i{kQY*D{y`Jb1q07AbrJr+51xA-m_+`_y4%dzcT|B#O*sX*t8CHJ@gmZeP7x#|5Y6Moj1&)r9`$qHsUix~NkvkYmCmY#aT>a0uV;yhQ;=ZR6v+&SUQd@KivDztGPi6SxjtJTG*cbg z%*vy<^c?$A?TRH^8h$&%E!c@;4#G3dNe6CQ-O|X4;Kcn06VcsbgIRYO?KIExg&HfK zn}94h?J)N5Ky(;+COG+ghD}uwbnS0Nsay(0L2byShpv~g0#6U`C2jz}n0`V39epO@ zaKRzA#FlpM>|OjpLmI|16AEM9Jzg6-b8QpN!=*%+4CZ0E;oj#%Dd42-dPU;454?qh zY$Yf4Qcy9%-_jV_jtC{b0T$swB@3bF*B#|E+LaIrXz=^(Loa6*%uT~5;ml`)7HD?o z>)ei8)YE)5l~agEN&E+{12P(9#!eO&y%UTuLCZwkzMOZ=VJ0vs-_?csmvg6d?Tm&Q zCNjyq&WSH5;0`f~ntQml4_Ny6rtrBV8pj$C{COIUM;#Xl-CQCkA?2*0;J>i*AZ#yZ zFn#uJKxeEms8VyCl6I_F@BFK>3nr&{EskKb83Qw0*y)<2hlK`2d@!)n^MqU2XAd#8 zCnkGgTv5{yhzDfXu?%k%(4G+l@Kv;n>Os}9hB=)kG2@GQ-EE$qO|c^#~UdZUmQ3B8N=`F?UFA()w9gY(n-7ZY@p~zdhl&W-hXi;?^(| z{^GOH_q&5^qB&IP3BwHoOqUVI!KcLryJx-gX=>2=%`ItKyj0ikKGvL0QHVy~85f&O z=yH1R@lC6x9EC)fb?Cfcu~DB6K$qzoXGYijggx#7rnhaP)HyCe{$#9@9)BUk%l0M# zSW&~f+b`L5OsOn;`;P)LL6thj$}50ymd#!lQZdaw0EO?Dj3=i2(Mn6ZY&IW%dS95T_;4tTh+ zQNzhJ(7h=N9cW);DYyBx*?v-m8|a)|pe4+W>zWBTr|mZkP2~duhMd)<@PD;!_6k=3 zKVzx;^)A(A*!>U-@yq;r#fo3N(H;VoQJpnK1+T`Ct*ycwuEBKs_wU*Eh6;w){BlHY zFIXCwkPtApJ)Uo#XPKx!TdT7=6p3s)!c)CgH(-p>rmsT5UMpJH+y`cJJ=;s@zmsZz z*XiXg09cXcVP#Hj?H^c2l-4+liUO*ztMw+S;d2Z(XoVXsrsL18R^u{3*XQR8FE&Ib zR{<3^(ce$* zU}bR<;>-R-mEY?YJ{Ena_eV(U!R}2Pwx@`gZyuhCT@cIGjA#AhY*W#y8M8cZPzuxs z>8~-3Xcj3U`m@{$+{C}fd43ho>+!Xp_(n8IpyD8*;YSn96I@y}MzJ@YUwLQ#DOhrY zr3c^Zd7Sz0MdZ2e<*t!xV6xx%^)cXrN{ zPs#n|mdN|v1?=p4$H&#k!P~NfM2Lw_t35c)kM#}eBO#~X|5)E3 zj@vFE09wT2^N6ey)%(2jkl&yVcg%4C#eJ7~AN|UKuk%$uoGGCpAR)c)5Pur#>IBiQ zr_^`(5cVU|^De&L<LOMTzoojE!IGd+Ur_ogFHi{MpW2dB%kBeL@| zg0tt`6Sq` zGAQ_^zOz+}sJjFVY8C8BzJt1Pg_2gcF^$c3S*Zi-tQ9VsqQUO%KPS*LP>KT_lE5r$zSQp%2^?G6zZ7B+5}3rHynV!G zlWte~acfNeWb`xDpnfy#YXQME!;R3vIIw}tb&hov4K23(pus(JzhBxbTuX7tNvh}_ z8jn5WopG6q24!$NTj!btW#B5y_APr@;}{M_;rigM9(OI_(j)5Fz7Y2V!qS;v(oOJ# zshM3!~PBhFNga81vf$_RO=vqi3cF zo4Vz|rs)WnV)D`)F>ulA~<2m6hC>2x{tPEqnxrGL^dW7HthhN=zB~4z$y-Nwf z=Oq0H3GF5miLAq)d4y7|8v@Qyu3E*T0z@MXSOG6K7+Bn}OHkyT$V*d|L@bwS^>;P* z)p0E)PLu*)f?!DVMVt z|I;oNB17PoU%G1Ycyf&Wime@irHY8&L>N2+b>uF|$QXbYoshLI_vjp=a#lZA?5npq z9Q!uMovB1x6p>X`!8Ewe>i3+HR!^J}!mfEBLr{1o&hk#W(*wdB%dh5%8F+Jb8n=g8 z%QjNPS*+Wvue3mQXFdMhVtv_qby^UsU&JuA!1bD6g+4k8yMnN^8PcG5leREP%2x+A z-6z#6aFDR6v%nYKb=m+GzM+h<&ysO0{+PwTLcg4)6ZJdXG`vQBC0!s~Nam&|2EC+- z7Mvz6Ko9n3;S2(5*h1IHALYN^3f~kU6R?N%J?)LfN8|z@kp{BdUw5gM_-T39oXdMz>LRe-buNe)4@+5~r&09j?%haXy+ou^wFgMRZ z>W3xFSyh##r-u9sMt-W&gf?NE723o)@ydco97m11Vf|(go|}dFjB=r{bldma|Ja9MM^d}0WfyGkBkJusW zT;L~9#Q{6<2*9hRWqaJ2M0{+f8d}Fp1{Uend#X>@f0AEs;Ao54Yw~iB{Q}BJtW$K3 z!vHOtk(_|mb=ZCYv36Uwa9e+SlL_uLfY_b@oN{66lT2GxtTd|n;x98$`~&znQ@z=9 zV6JKIT&K?buLD0CD2lT&UE#_|7k#khqoAQew>zS~c(toIw7oC0*|*jG6pbeGY`LOy z-HkH6{xgKbwo>GmJ!@s_+LN2k-r$X(?9`!UA=b4i5#%l}r`GRD+z%eEeQPd-|gAfocdlQTo!ybddx`>cu8s}3=lO)8MGDUyU{qUmMt zHk~R(8+t!lYgkcVLKppE(D|HS`lF26dFaNF?m%|i#nnvtgLNA4YPq$2Faa`getsMq z+tB-$g`?h- zCZr8`TLUj8S->HTt*K<-EaVs=zlXcC?CW(~p?nbw*D{ehEEUYo^6P*5@O9qg({UQz zOLksa8v44Ag)Xve6Bpe0%{zIonNw!pPq#Yj9e<}C7@Sl$wrh=XlwVjr)(z>FU8D=^ zID{JEPJ?QO2sS@Jaw>U10x+pAor4g+zuI$&ri+XH7={_!=**>lY@6IBlfPFMSDQhj zCbNhIPRx+?h5dJt46pnX+l6H0AId2+PWI)X!B`&p8w-vkYTP`0cMs+bM*sTTt^a%b zYRayq1`b3{EbMVVfFsIE7L)V0Y83_Rn(FqVFXrQIUX6!?m0;T|%_HBk#0-v;e~-I& zPb;5!n_t$atIK9UUQY^Nvm}HKgx&8~7Xx6Hlowk*=Zg3+$hxE(V+0e>t`id+7yS9; zt!a?!g4(CQr!#RhlwIB|9)$dQ6}&Uo1M3wYQ_a#>kg+yC;m+Layw$}5W?W+Ubn-lY zI#9)Qv4Bf}lq+(JFvSYB4WCpC6Q%rhaV#_8L-cyfcBfWuy8_Q4;q-`XIP30RY|G^8 z6NhCsMXFtCZ1RTUm{VK013PlX{b`>`;=+}PjIf`5mxk=??Jr*o99+Z;{lMQ7pwC?N z9ZWu(Lo}6%)5D#wL=wyY6kRa_YTF`+wVCVW?$#)8I@^a2D0HHp!aFeZzH9ygTxtn7 zaVCT$|D?6cmMgdN?%!KJlRiZGjg`3FwhOxEjBCto{p+I$ugXvPKztT#Z187#RLm|f zGNWSYKJ4x%(=#EOSe1>uc}2ZiY(bv?v9(cd%;Vi!BDEzoVUIq^?|(nJxMUmf0o+sF zswV(<^@NL9JglxI+R*5QG8B|7n-O}XS_;@;J9uT83pE&YUY1{EX)qd+j-%MJ%a8aZ znf=VK+<6AdZM$O1=IJY?9605vaGPs63at^ zZU_8rg5P1@&QSb|yghUNuI)sNU9j>_PJKS+PMYbH0!IwDo0*@8c|5Oa*>RuYHU%4~gU01)J zOy`lW-F|x^TTNcF6WMsuC8_9-JxHZ!lHlx)cZnUDo){)K8Oc#}v`r+-=_qd_eBu)D zoq4|Pr%R|16~k2u1-t&1OrjwwGIYmi+_y07GH}Q~4wUq|%8l|$o(lTukI~*i+gl#F z*GNWXs`g_RjOc@*#r)YW)AQyANu}R6!!Gyr@vY_4au831@vfJ$%S%b3Yt*7|jWNBp z#BS)XjgK~I-Z^l{rNvHK)3heq_j69H(tD3_nv*afikfBKk88ZxQJc$m4jw*A&+;zg1AN+)L4ZXGi?`7rT6 zOZopS<^CT_Sf$Y9KdNONMLapELNyDbGrydj`Lo@`Gu9NOpRQWD*EuD-qChqRESNc` zI@=?k(>{3&c0Y32-r<|w!6}7)(`5WHl0JTw-?FegyU1H1>PubnF>0}94+uP`^my!& zv1?+AAqyR6+*`wV*!~S#GEG`)qdEz$YbiM8&xb{!66!@KIRw70CtR1Pit-4&w#WWy zylHBTuBY$uywhN0`q5IK50S2OWBEHVc`VEJn_}IZpHIU@6ktk5Uj~4B3*cdKsIhg+ zH#W-=@x&1DFyQ9CavyrA>(MmdYr0?JC{(q5KQ%Z8Ci4cRdapa30JDdEaO-qD%-Ww^ zbWI~)9yPdlavWR_v{t5T25Fm$U&j$Pn+)$YT#8MCMUZ%&`mB>EYJl`@HXddz&J*() z!Jya!5~a{>0@85lvT@3GoO+Ai^-t5`2bw1C*QV1S{^_}u+W%&LDQ+Ytr;6}zo&aZv zeKf&u_z$4)8Xn>cV3W5nNV=@2DeHk-bBJ&=Rz_0&dWRb?-Fs|#vA%Je&n@R~pAZwK zZnZj(#{`H|60=IGN_gIY;()Ry%JNoJ7WKp@g}9V%FuMk^^m(W zATBpYZW~p@+L7-bbT6{DWV642HsqQt?`Jlq$&o2-KINsW)pU1l(ZA*c&#uLowKc*m zsCd`jwFp+4fdcZP2n#tK0{?b@&4cZ2w5(cm^GfWk8QQ!nF%ezw@xpnXN|&>EY(!C3 z^Z)vWpM{^e!1xSAkZP)QtBt!jD1dacIy$DHv_T2ZUN zp!X%_Mfs}NojktxkWa=-Sz`{O{%>nn=s@Y%L03|<`^6K1anes@CI7*M1LowlJcZNi z&$W0yt;6Q9yLAri* zx>0x1u95#yI#kp8IZ#*m_@`x&B||>!TVB1P_v1&A%BaER9m>Yew;3^!?OfPT#`qZp z5k%3H@HJlSKBV69lJtcw1ZgpRkkTiEs%aJs4=ns~iLWX{;cRVpj7c$Z#Tk_^S<%1J zeiBJ)BSS$#L22f!6iU$~hByXwl^niMSYGCg9jB*6>{Ce*?iO~quFj6B3)w`h9QwE0 z!ILNO=6<_zJsOHV? z;bO)!2tW+alCK<*$5fisll-M}p25lr}4 z21-GNrfldb!te#3JYHmnVLeaOJIf==IoQ-EH6;OeHj+`OY$MblygtQ zZqS-{d@7+9!NN2VSpt zsUjz3wt`Ak4Ns-{)%kMx$s-Ws^5e)pmBVWm}IWgMpu-aa;gb zTs+KJhtXfwmm(j3Mc&7w!IpGe4gtZn1EWLMewe|Lvp!hKukqlDZ`8(qZjiBLlPC4@ zZ(c5t&|H@zbV~p57N`$|uPDQJy`eL;jd<>q%^DL4pAPx&1DT4&`DOjlX&e;M;hljyMZzqzQ>@VZ$`F zPj3zmDpani*~H$!?hC@xX*NU0jG2ADo42%!K3#}FF#g~cXpq;nX_zI0TF&8$-JrEN zpVgM?1x#hGl*a+FDtZR)m;PX0!cZSi(V_E%}N0Bs*kGl&96qMiduV*>42p z`2pR9`IFl8+>GV8G~-fPNLaC()Z}tz@yb0lFRdB^najGvm5}@?d%-aOI7l^aP1&ek zcl-DalrxUet+ZiwDk;S4_-fdEN@KB9OJghR*V;5NP$-pSnKfg3%(48kttGvf*BG%E z1pg^8>tGjvSZ)pq((Y@SFL^aIB5>q3JmV3F1fwG%JeR^yY<>h57pK`d4w%8lgBTiw`hz-aT9CgeOepz+aqTJcuF;_7M88E|QTCMo=zC`0Pa ztPPrrePF5|EXEnjEblBZFq@!4%Sl>wtb9lIGdLO@G1kO#YV4FbR6!(mg6mdbzWEfR zlH>5@a#WbgEEIDX#IrX<;W?AfXnW~|$cJGE`JMO0{X^Pw<#p6uUFFr$3`Q4vJcLFp z1O^ic2>}5cw~$^m=nr%-ECF0 z%kPc_pqXJ^CtFGUTi#;YV&C`^`vna7GIa@4L95&gO}O>19+_tKG+vTBH&qqp6sTcs zt}g?-?_EPFW?7^MSAca12qrLKpl#Dn@Ht=j zo-as&(V~a`b03S(Z@EdWj6K64U5kTx^O#>SPzg{GNw*_#JdsuFPyjVZfb3Mb&{69K z|8?lB=K$yBmvDjU4Bx~bBIES=hXico0TMQY>Dd>WwHsqda^kJ;>II>4oF=def7BDo z*6U5vp_E@Mf~f{|{Ywjm6Xu{QPxyUj6#6bI2*o z*0Su`gOse+k(@3&-J9f+#Xqje+Ud_4`4pai86N+QbS3#>B(0syIO~gg_@clXPu#Icc9Z_(P1jXkx=@nnrbB~ z!YuH5_zw%ljENWxCXWLBaAlWjTx&^8lr3hb4S6NL&0{U=SuujW%wZ+tpfbvEk;ZysX?Po?V{z2_cCP`o-8(XG#r2QO7;(L@t(8}Ljm{4qSPG> zG}C)qoGfd^gIv=mu`n&$*Hzku1sIw(W?YG)_(=U=_3|=&r2tdz^uVm-WvNp4NS(5U3=GjKps#pz*ML(WZ!Y%0-keuSU zvVu$0L8Y{Dz0a$7J$HHam!s-)k)o-HUw4oI@=VWlSn55thEoChcSM%D7yPTpU{yEJ z2o&+YWQu*Q{ql{@_|^Z%);kC15;gz6@s4fVwr$(CtsU*ywr$(C{lvzOlN~#`d4KOY z_nxYI{+XF)Rd=tRRW+z*x-u1pBit zOxK`2+CkWHgn{2m*T&z_5w+-`C6v>WIpvLo8E{e|Jtm&qYaG5aT#(JF2}0>|RWhKO z8@AoyBJZiqMg4!%`TRb|m{!<}( zP0S;$J`q4#SJ}EzHzno}n?&D;>kw9G!lw#t7brxaO^F?SLB!O$5Daw1vUpv@vVXje z?nAa1cs)N5MzG7^@6{?7_Og0)Y2gENX7J}-E?mJ>LsB$`q)m*IG#aeBVB5(87p z&>MyXYVc6WK|z@r2wfv5ig0R_WH7PhS6wozpx3Ev80f{LFIV}a=FBxwNg(YJiV*&R zyb+uGdY10+bPUI$w}jDCN0Kt{04#xDo2uOi>4S?`a)1^qr!$;dZCzrf^K6b@d{&p? zlx+H@7S5hf8-d&d{Q>i)51~3tRv_(N5)`;p5{N3t~ENbQ%azu%u-0fMBCDu<3dST-S)k5G`MCxcBLp z`1qGVH^d@n25YzPXW8x$)2y6Wd=%t{SXR1_&OeRGHPS^w$u>rt#E*^^Q*#kRRy#D+ zkFa`N>O?r2Uj>}w8dNnYh}zU>zYJ(e7h0~q>XCpl%Czv6JP>U&e{;c@pUpSw8m1!} z=VBjC{~e1+`iI1H7H?w%Q4gx}*C|E#(iEtgTAypubwY#5)v; zxIwBPnj8FJB|-owO{hW%^=1ljM-JAAFXc{f)rWtcP!h$eEqWxGo@ZYtzYwgvtpzZ- z4*E=r=tAoX)G>6oMa=tAODY3X`oZ2q>#pdL#x|z%tYNEoCY%ztm8;s7gx)C_bdi)s zaMUX)IQTg9)?jOw9l>@9_1TdI7=C#NZhSq^um&3uAu);XEUe}&op&8AXgg52^ThRo7M|b~5iZd|-9o$1Ce{1VuN1Su(5yC2%oNz|~C zI<>>a7BU=3Q0aP`GAfnC_C<7_MG$B;e{wIJY$1Ir(m3Iq5$L33EkpE|GxLK+=%Q}H z8mc@lbZs~E6p$>hQ}X1ZQ*U@6bTib=ur;zL^4%7dHa!{brZO1Zpo6{XOkse`7X>67 za82*L@IPCVhGaYPrk%k^)C6Ol@-VkZdy7Oc{{i^-yy<*Bgu)SqjI z&m-hZ=kuc4RjZA-HDZfU>rXce*ilzd8|aV2H@z+B<{_ngAAAzszdsP!+I#M8AVI`m zCg%PO%b$UkTPHnz1tB?QRWjD_@t`$AR8~&Aku~PC7ZWZ^RRyC(;%>;dt>6L0V5IpO z+ByHc6HPb_ENf=F3Bu~Kn~>D&hpRmUsE?`T(dATe$I^8(A9NmhgJ|wEXESsdk$tyQ z574!%F}GWuraN?Vy4NWrjapOgfA5EzOtccx@0O-2mDK-yaLRI)nqZgI=x2z7NZzL3 zA^tEI>lXVPB1Muk%%CnYJ*SOmwoR)v&{xlbsHkReAa_xC3P24_w}MdQvPmS^3yU8# z&W}67&FiNen7%dMdZ`h;q;<6Ewk(+SEEg4ZUo=dzMN!6KUmUZe^0Ts0xdtjBOuhGGzZs&Ji$YjhR#wLLuz_=AONM{Zy z*${@)j}`Z8v;Pv-zIcFG&m9NT(#VX7^MiT|)D>G@w<_K{*+?0ePEcEirsRSe2W$v} zReit2tk#L5+kz|X6XCvn$A=u4L9|j`w#7IfI%lRIbw))xCL^1lB*gls zfsXl4vj@MaVyY%;P?vIj%A>F^AP+QN6e-OMjn{-C?Jxtr*BG+UJ0dAzzu5+Q_C^f| zYxQ10!JNwMbs>odV%ozc36Z6w<^F8t%A3O`1>jo*vXJtTvb=P-Rm^cIY)c>J2+|Z) z>EO}>0A>94+xNN8e4=Nx0`~N1|4LZwk=B#6H zAtRD=j76Ozy6F(i1I#OpVANH9n}eqT3_em$$LUm~wW3CFoG^Dlup=?D$Kb}Qdvw&R z(O~X#$L}CmkL`;y+mqz|gGZw>X&jf^rP4)HG7Ym$1MkE>qT0q;k;Q0!?NQ8WLC2Z? zBf}saRy}jJXH@-;jh=Fndhpolv|mPxU|6Hm${L9OGhmrCsWLuJ$_!0R9Gor+rw@(w zfMyvuYe-fPI(9Kt5Zlgp4eJs&&)- zzT#+ui9%nLen0}kR1Wur-Kk`1glZ;8(qw$f4J!LuAbQkg@i#lv|3*T&2(8IWm;sV( zFKJCxYK1iya`J09wvh6mp2qx9Us=50#k`2P&{dmQyVF>+a=np0{dN0H2aL>3z6Sli zT+dmE7X>NBU)dU+YxN4dNi_S!n@ra0!R&*gMJ@}q7(sIwreH9T%tfgY93G-7>McqAeD6(2KLusaEZ9zyWje^z>!~Hfpmy2fvM@3`YAH)&; zaaF$eh8ac73Hn$3i21XdW+W0kZiKx@ZFBuwRtYR45)~b(I^MD0aq& z*fR{8&(UrytpQ`y1Xfqultu32y_Ct zJX86kG4%MKSAayacZXZ~fPz3*DGq;@eYHOY;}MOhXoi3{8)fDTx^4on2~iR35;;!C z1}`}iTZTpdSmt<5^+qM-qyyfW(=)qjx#HQdhOw>`x>3Kx^q@3tJZ6a)*A!%$vKTay zO}UObWg9Ult^Md^s4hQESmwURiFw2*9%p^~cx8&Jc&s$?Tn{B%FPv;cW91z80vI4A zCMGgql}&!)PsYVWj~a#7-DHZC&OTKsaefN{Dj%a;6c zuj7_9s6irANOO?8Q4RybMaYHKUC+m}k%jq~@n5d~s-Rkq==H*13s7I&+Dw>$6(Fto zq;zP46Ae+iktwsbMlxWv0-es4VCWQ3$rW z1-B#Gy29(kT~_+aLcvgKbKzL+33`EM==d~gD6dTg2X!d;Of;i4lAhAi+~ZH59`ja5 z6_lrJv3cYeFp2;PN@92>Zmj;ca2>x!1~piq=zsdUX?PC>2qZw<;UX|#cmfP{^705w zqiJ#Y@Cj~yw?8<&$Lteq9-Z$Q_}IRaAAP^*`+J37#UQXTk?=>JxcJMC;}(5&t*)SF z*%IAV+EO5;Afp6$--hg#_A|r1vzWQvaypStW`s&?VTZ_glCEU0P zHh8C(HVSGkiLX5Ek35$#6#QIC{@8c6lwBbF(i6Q0=Np|6i{aC-X^GB3&u|WFoJHpJ2?LT29?cR9Ne5u z%zlD1{U-=NKb)Dp=?{q%?tgy&7fJg6p+tFk8AM#z|2I%n#D$ZP{l9aIxNs42{O?01 zMnZPZ|3+gF|4IJGOPq<2o$Y_J6lW&n_%DxCoRyICzh@-QPRRN{&iwog{|iw1<0ECq zM9BGHQz<)ULeBqfq3G;jqGIN%!=NZC&Y)`M>H1%YsmOnKQhE$xo~{xq|5=NlAQCD+ zGyjLKs{C{KGxh(@OU2U2)XLuC$3n%DnUIy`e=JliSqNEK|C{;$u@e7D^1mw~Yi4ip zLto|OWaHrazrb1lPmZc>+JwE{7*go-Gu7;()@k{Pk(ErNQGaYsxL|yVqnr#Z=Qt?} zi9_B^-8DZhBFu^!;H~$cfJlHa+}8MFybxkCs!Z~9td_k0{^b|eZ0L6-P88-9vKL9cIm%(eed@-uRa+B zJ}yl>lfIpA>r}Ef;LQM1XpQ_7P+fe>sj^S23V94|^kMr1kohsA0{QctfyZ7z${NwEQ{Q5~shSN2e7;pFE_v6d)^={ne>U&k! z`_Av^t$8P*$=(wtz|LGFW-O93n-uw+#3$rr@f8P)S=^JRa*nv=BO4jO z4-q91`0=`I8Sg_eca;B*lcJ-9=sRh&w4&|eImN8hUR1}6MT)p>0IIW`1?z-`gXrU{ zSUdxgA~q9)?QhU7^rq&%rV-0U51Qf)g>YF_JNTPqrY;D&MU1lzexo8uE`SNh(peon z-ATlw{`)GcmhKWbNn>#AcdBehtFY{}3(OuuiDO*|)8%sBgh|az6gfl<*3^%7w`3*+ zu1BoXY^mfDZ^{(r)(1=8&QyC*DB;a^)xt(HG!B1d(tgrk6d&8VuMb~T59$3-%%a5` z)yX}n(qL&ppW<}r#T4F4GRaSwE|(GfFu|CWm{&^)Lpk<6UhmX`Uy&6nvZ8p!>J;gw zN6w7mU#OhI>YsM(6bFjQD17@?8!NP2g{6)CxP76&*qrEm{ac|3kMaE_a&D$G%4b1C zBhWa}xc+b?b0!*lrnCxkbK#w^$%f`v9Wwt0u)LW}q}M@=ngg8?6Y;0Sw$l#cnZUzu zX8gcQaA_VoYc|XTHBcmm?%;~2JFt%QOtrg3Igi3=rR^(5D3!;>?{=oy3L`w~Pv` zo6$ZI>LG8sD!kewQ}x;{Da{yaFbxIJm^z|N9ZCp$-1%BuCln|tij2tyewihi{3=jM zYdK7+&}y|q@^%L1s5E7SxB=<2|8OQ-HPbgu62GS{)yIqK?^A z%EYr7>eRkV97m0BE*v$O%5gIJ`*y*3C8wmoy{fO!4EUaU&(=K~4Lvd0+94x+)of(L z>Ut1#?N*^PK`mJcyxlc(%7@Xw_{m%flEeyVW1O8^SH>L|BDZ`fKtrmjrnX0<6jftY z-5Gz6_ES^kD1gl*&5VNzz7=ccQMjz<^5+sL zqoT1;%j;gJb463p_hx6@m|smrZ`F8hNM;+QMB)tfGVDv8G^q@OU+X-BTp>+)D#!op zIz((mxPVweo^5yOp@y(n-rUUyTCC?Q2DpGViD{&^VycbV7dJwPcfEQI^RWs2h=7{7 z?;a1$DD_p^O-iwM^7DRj8`yiPZTv0%h8#C#z8cSRe&WO=&WPJ&_Ly>p$7p?qHQ|YS z@aqaZxV9MGj7HP4)R|jbuK_YTW+J`epL%}J-!xc^RVem?7JL1y5no1a+%r&*=sxiL z42FMbI^M(;#5Wdi(2@`@9npu;VmNgmWe_hb7dxlmM99kZw{t zVaCv7PH8d^s6&LkX-oYm5=PPeng&m?H1RGh66*o{Yh*crOlwZ!N?4Br6yF4?mp6~1 zN-Osh0=Q>DIwYx92QNn;<#*3aNt3#)>&#^ezXAd`c*g8dOGWT@@!ICuvh+gBg0Q>D zXj0gg7~)|d1Ia+V7h;k!uc5E+IdssI7~z?Z3K!m&{2~uT{j=l zEpAr2@zaSH4QP0i^oM)SXZK7L%bO_zlneD%Sq&TL*AvSy3Kx3$Sny9zS?9R0nbER* zbLMz5!+ck~rSldVT~W$QnF!~`E>P3Pw)NwbBq>xC8GHB=Y=uZJk``L(V+M1hIdu~{ z*J8)Jr=vkP+OV2ou-3OA7@n0pPIlaSnc29I5DWet z&294C0Rn~b>~qIO>|7^%h*oJMD=djB#`tBm9AwpNuC>ng$GCpU%b=1Tph*NwZ%Mg5 z?e^O>8A%t)hSsL4@!5=dv-xYJUO#$+sCih;oA>|_jEuv5MOk54MSvsGXux-8iDx!<=H_ zw5pEzsj?Lm^WJ$t&s^5KQIhIRa;a7Nndkk3zFvY&Dm)9Y5lXZ473$>OK@Sp|Ri2XJ z*M#rEb9nG@BN>s?AmhQc#hy&8CdQ4n@n%yS@r6D`0_W6eMRLO>I5K)K9y{iH_>qq@;~cl_=xTm@dN7nj~$l2m^-OZX6o$EMso zaj%Omgpg59p#5s+5Q$VS8R>f|pN8f`n48Xm@b>*t&OSz-zmRap^&)vHLSywS%6e;_ehD0Of2ppEzbFjSycQG#%y`4Hq5nZUSIL#M7xqVML@e zyy;m&nuM34br{q~j4U|_fgXWdgQl2^T^na|@mj;frSjdDHi&V($fPGSPZ1Xc?o~rv zdh|Ts!Az4Qtb-vF(*&?D^kf!EO=e%;hKirU-Ok-Ng{4v&cU@x8IbpGDTXA{>d37iv z*@t7-5qsgJlk?_$LVB*PQ{-+tpf6!?o`@W}5F)mg7j;O~p_eunRXzJP0r70$uQ<>pi&-7lBk5|N{e4OSd?G2zN}c+2gCG;E8L3_w7bESoRM zV7gqAAJMia0D)+iWb23aAS^VnkegQRY=y+Eot>=-rkNhIFGplF_){@&p|X+a zNQexgc9`|sIJ6up77P?)>Gr$q;_wHCGhT~uH!>sEZ!&e;KSk1H?-VXy;Lx}=wtT&Q z0-&TG58gi)_;X#AQyVXBwr{KH%VZ%hy;bS14w4{TTJF?2AMM11J@0y1hEY>}Yg?7) zhd~>ln?oO0L|3tjb$4XH9Ihjb!iXE2TvviOv}!jF*Vp^KPgB9A+mHb%HB;d%1Ns5U z7MUl#p?9F&UcNWsCV{=?Pc+t$?)Z4_{D)+<{@vOyW`hk+Rzs}Fi-8gtaE^aTQJwt7 z!D7_jD$TkCvMRnM@#%i|BUAiN@Q28zRgfUufsPQZZ@K^b{gI#>q5@GM9`i4Oh)7=8|jzMm)et`_1b_MdE_JY=J)LyV6WxtNh z2uVug=14)u*#Tp5L=yLFopPryVzmX_SWh#PXFf9gx7BBG1Eb5}nj3IelK_Lmi*A{o zPD6&*n`}KHaeVo8qX1$Vj*cfB{b!zue=o$v<9-~hX2S@e;E+ZnLRsNbnlrmLJG2-4 z4tB=-xsM%ii%C*$_B;U3p3y9-SxU6Snf*myzu}=3cMS&UI5ak81x|~mC^$#*=CE8)$A3;r`&Heb zBHKHO`*5CCPitc9+<$rhr9~1l&$Gl9aQ68C(c>x>(s&#^N zHu7_-UV-~qy-mYF?lbv5IXXvS-hVVYhi|kap_ycWI=rP+rt&4PSFu)IC$~9tofOgn z)tp-v{uyE&^7e4_CEpVY zWv2IJZmTk%J$ecB1T|BY+>Tt9(?Nj>ZRRAK^Bd;2#Ococp6+0K_Atv>s^_sb!8e|D zM8iRs^afDm4Sn}|{q@HDt9^5em)YCQ1Am+z3%JAbTDVrh!Vh!y!&?^dUm7W~;28|* zjUu2kD4})eZCwKJXQ_lNB)yhzD)74{;k5S7K2STeT>0^Z63bCY3SjW18A7J2DO2mG z!LFJSd3A6q4M>(gb+H};E_m=HG!Xj10lk)KD>tq)G()^zMy@5_ZE59c=AZw0y>c=JoBGvr6Pq)5=JqkXKBVdXg!muef?xYx>}) z(!a`&1q`>sq=vekaW_(-X3EhD)D?ywh=Rnp4yS4-fQ(VH7+IJQJVq11I``cVa(A|j zB^!DlZ&EArE!#w-+?)NwSVG*2D7kv{gIWI!P>5~c920|f=%34o;)6yWGDDRi|MS>F zZ5h5O17#E=@8ZXFtp~(E;Jtm zMb%6-*bWH<#7j_Ctg_{ge!ti4u6DO}e7dwY{B#njTwKQK%_3h0qBC!J-6V!?N=3C; ze=I246dTFYW!Oq*y=Ge3D=|H4V4H$!5&+M_k90L*He_LKP{TYFe!<;rv&sYVD$^a+ zYTSV}Wo0&xgNI~!qzjI%L$%93_ZBoJQ&61>#{*(R|L%rwTeuiO>5HG05%{9Ye{rgb;5pS`&`Y*jFBl7 zw>x4Txl2QIm$oEjH-K!O(!5hBAN@NLrs`=h{Lt@^!nGWEu4?z(F8-uN^}6RSVEwaC zJAV`{EMRYq%St&Q+mZ}hemHJr#(CTbDb!tufsY|=D zsR~Y=&)b<3uh5v7)?<}@8Xyi7M;Uut{3RuYjE)R%KKM4=25x<Du73EYKXl`H{)aoA4Ew zJ=eck!35RSHs!^)^Ig@D1qk;A;HD_H8(H59<&d@cyFTdFj)zKi;s5>a=Sw*#Ds*wx z42|6wU;I2091!?@-}=@C&sOOMJ1US^x_Em1inTEL54LxeO!V74JQ#-q?wNjp>Y4y` z>1n71*a3%tx);+$;6jOlO6`PLH?2x%h5?o|e(y8%k&UhsXr-S}CDmq*4p2VmDRna?_i zT}89LKC3QB;((P!6m-bgAie*TK)}l6peYB% zYVnmo%qOd##u*6zNnQrJtV zzla^T|2PuY{5JFRZ)M(>b<6@fV6ceYQc)*(1E{%I+71YQ;@254W_R8qjQ=LVZzk>f z&^Qgde#WP-S_Yk)I*#LklLy0jUunB&blA#?d?wGZ!-F6H`A%Lix+NJq#Mb*txZEA{ z3OK>Gu|M7KUe0uR8>3ylW9;RyX& znjv6qY8SEnC5CxefVM9I*wJe(i`cO7U;)qq_#J-`hv>=6A8FdVcqtbXc3vxL;>;P?<*X{TZI56}8hwJBh1p#Xeu2lzfeWvaKWp}0JD{^0JI5HO7 z^j(~)RB)WGx#{-|NYXO&47_Mh#Pp%Ac0ThJlu;}a_q03=Edxaoe*h2y z+wv7~`m)=G>CBa!i|08fDFi;P&&oJ=lb(Rk?pA3#UjCAem#8VU5E(rrgdU{LaN#&a zyjYkSMwKB(9t)XM20;$5(V%W%6;ya7O^E>;c{qXR*jf1${|z`!p^m_{ZGf~5UvK3S z>@(aN--*Q=J*)^9(}1IY=SSq~pS=bPZ~p7kcHrI73ORy{Fe6ch5`?japPi!$1dWZxJ;Ys=i5`8S5%;Ilc6l z#@RtH%)sJ`u%TtM)#}Tmw3jGiUB}(LDD*C&VlvFN&1c-H*kBo;9Cy2(q zc~BLJ$vi6tEOQ@7HPOTAwhF<;$zPGWzm0$II*occn9)JKWZjrpAZ=WHZC+tl7A6UH zC${e+1t2IW(Wxe_PwA1U5>*DnWz}CyG^^KNTGqg3QqB^NRMwj?Jlaf2c5xkN)j?q0 z&U+&HQvc>vi0*8}Kzz1~G4`x|>c#cRl?g%QLz1aG%-SmrPh=bJ`{D&Ta)zu$-cCM+ZnLK5e9uZU1Sx8x3UomN(;>NB7 zT)pY)l!$2`U-f%J>I%^#}QhNIfMf+GuKHZ?v;t08SeW5lyUS$a0U9^M8yYxP!nl^kzn-x01 zzU5H6lOa1PoFekhqb?pLqgfu4!|m_rB*QshNfo~*|LKGZiDz;sBv*%M9z0|JsnL(x!^KlEHesdrlo%9Z9#L)`eRK4`$U9}B392FF-}^!G~8891!811s|!R7iazH?%8l1;eEkM$XI#zRXDQ(2XyGz#y0gK_F){ugPI{ zCJ5mo=ygsTW*h+rEoEmS0*@ro#aHU7g{W<70N45)XJ5<#8g?9;=~R}=Qg^sKPl@F% z?>liojHIO&R`&@s_w(mn0sQ*cksfvbv!l5Z1USDQ7DVmn4C}sQAjfnT!mhb<(A;4vt)Ue)L#5VoWP=oU6%f1)?nvNwxT~D_$!RIjGC#snZam_gBKryxQ zx?-YvbYESQ{1xVQcf~|R1X{vN6PVyL_4{j$40E&;{?zxfH}9wW7|8@D)xIJsJE7hi z%usSoUN8Bk7ik!eLTzSO+D!G^tMQj&3a+lPZK@{1qd)z-C9Qy!+Z<>?RL>C8zEp;t zrhbf;Ze(Mf{_ubAkik};S_&=YB-#`ms?;wfElMCz(z}yOomX&?Xu^AKr;;Y;rx2m*_ z3$rFCyx^2UZ!^+xditfSzeovdaesFj*h-8!Cke#qBEA%i7~1o|0;>TdCQ-}Q_6u6}FPiO{QE{Skyf!Mye?wZZ_zL>^k(CtTzKE z{LInv)s5AGFU9%q=`9zNs&=>dSy3bKb3`_aWep#A`rIy^qCCF^1qeT849NA*M;KJb zmRYX4`~ZdWqZSt`Xt@EUY77W2f-cS)Ca>IJ9$>6hOKtzDVq<9mXdwDP5NWwx`l?#S$*m{P0m zvvO>D@}0$NPc~@2g;!|W!2z@d_O|675Zv-@eJJOtN))Y!dSqj4p&T|FI=a;Kv z|6WiJkSgb8HBG*(KZN0z=(*s5JnCz9rX(nLSMZt3%C^~7G2|~5)=)#ewC&8*W7ag| z)uv4Q9i7%=IiF+BBq8KpPPq+8O`PQFE4F~@T9akU^J(Q5NRbEmW*I!x3GEo4WbZ@k zZ3Q4U+j&S(NG%|RiysK}LrY2gLyg><7x1`Hp7>UPF_e(2SowlB)~yWKtxx)#ImXiS zsAO8Soewi1O@e0QrKcA&8w;JZrZt<5aD2XV#!IP`p>WOlI$8wdKlo$r$m$M-Mb zwXrdTyszd!gWXSeYFfH~kvi8qx!IbdEgugjJUjFEq2Jv5c!MOH6CV2USOb4xCdj_` zhZA!|UTzr5H2!o1A77O%?JCsm@ID^T@Nk}NXZ7v-_X)qYkbAgPBhCC2l6 zxKgaWI)jM@$)PM!D;;i6{PcQt{^3QV&{B^~+BtCs(`?~`VD7dKF8F-Isij(jMk#|; zNh8@);=OYosvr!Lli==}9cXd*1=oMuA|%)I+a0+Mr1r2iE$EWX#{Fb?xOA2QJWfKq zpqp1j5r2;_c@bEVHkvpKj$bS3GLRJ+^cFmsvNeR*^JG10BNY**Y}2W9;<=2(89jJs z!?iH^$!?11qF`$Xk>#>mWZ8AorDx;o`nimPiz5k9kD_jh=e*Ryi&h0@?(g`tppK%U9Ii z$Fyl0W_Siq;{t9*IN1SDb`}n5WkK>DAAR1HGWj-+>s&x`EdQ~)@GbBqwaU3%|Fk2;VMy?3) zO?mIOWy%`mMu~|SWEZgz>TE7EMWTn&VSOI1B$P9(f#)1a?ZJN-?^{zP3&+i*Kr_$Z zY0G1pVAj5e)ynVAMs#{|`sm*e!aXrNQa}i4Kk=n_RPK!Btju++HuOQ9TcSIo;6z~q z+8HY2e-D59?mw8+F^NuJVIgBfJhdmpP;B(0HBJ9oOQWr7Ka$^z;a6E+JNLUUAC6i2 zKEjY4MvNYP2Qx6FZYnZ4`F`Ss(bPg54h$ovlh{2)MpYcVL|1wMH9CmMyG2NkVVhMh zdEOLD{&7WiGvmdkl^3)$IZ($tHHLYkbqXHpr&7D(Y?QA;cg;(rRx3iSkZNbwnKD_K|BO!)s?#o%i7W9JeyqZ#id8S`qH4M_ zYx?1~lqu|Dx`2_{D9MnF6sCaK_TcCmwBO;rk?9?UOA;hsg#HS1ti3jEMr!rlc9u%( zC|Qb~aSu!B{O4OIbNggm62NRn@I5&TUetv+bs_d3y!F6fNCjg;ik^ zI7$Il9QcgI$~EPNONt6mh;p?k^Gp^NkVT?BPuKkqdN}!%%xU~DcZ!-w4v+f$YpGIC zh=d2MSuh2KoYWJPj!!~^-XV_dnDQ?(pA|H~S}kfI347pxLIpx-h$WUSEGu$V*>iF4 zs&PpzH8@sE@)@MQ42^RxA|lN>49K5)0UB&*F~&N`#YoO-<&r`h43GYScG_b^@d0CL zlnA+^^MA<7vsBlny}z&l0jG!2c=N^sT8_V$S@x|bnPLdh5}Gu!;ssC_ShT&0)mjUq zusdrEtsyr1ndd7YrgD!d-+-A4G+|{lP}VaQoEtbSg#%ZL6xCcsV9!HRLxtJ;FzwcO zD)T;m>VKN7|9TUj@EP+Bgt|@;T`3+}7ih9IR<+fmtBYwbqp9_*N0~=6xTOGI*Kg2S z0q9joGLNL81Gl*Aeh=mU8mj_XxXWQB*aQ-{;#KX$lr!j;Uj_9p)Zr9@ajz!xN|Wh) z|CL2`ldC%0jlCz(%_Stz$yN^ATqRN`6q(6a2F!yiS%~P9cKbJP$jP|-#ZE(jO^ed* zH7E7_6N@+ONVIIfDetPSm6@GOenCW@Qi}?J_iv0mj3qjO67oB4@DS8$kCiRU5W^&x z+fzrB}SMYQ^It3ES2CmrB z<4Ovl={^Tfqf09QcxhTiSVO@X#q3%$S6gK=s`cY-6ljKN8ih7KMo}8Et#V7CN*Jn6 zY9MDDd*{Y1sn|dlm+NzB0|N!;JWR%bl;InhU^-F{D;xc4XJRMhWW>j8r8`Jzgqfmr zi`1p^!t_SEQ5v@Z;E(du#G!^*IZa$N89W^_&8nzds#Y7BHLZ-L{QfQKpi}7Wcd9S5de53Zw!FA&6ZJA|gj>0~ zjvG-&kcaV%}H*}ba+wX~Uen4o*m0#x($k(kO1wg-WR$U<}iBMlZ2F@|F z+IdMFojdJK+8J~7RN%#9R4-Q1vQvg$z|~0C)Ix%vU{4R_!2lc;(Lc!0miVy|RyegFCP=_oPJie5gByQg zjdZA+mEk5@TLu0AYi7QJ^jqla+cu4B#k2w`qP?@<`0^a>9o$}XsK zp(zVWBuc~&CvIKwNH=2BX1G&#>q?gUJP2AW-GH*5ik^_g85J@(~Y4t$6BguxcN9p+&h};iM#T2Q883h&# zBar%@ZkJH(bc5sRZ8r1RzJ7)U1yA`(w2E$F2#%P3k-E!i&cFRxVg~jj^BZ6}#V?d0|`|Y0n ze4qdyQ*L6Q6B$jtB;yL?MY^lK@n8rQVXF5jlcvmP(muX-2Cgx;tdM>^EsL(P5nUX(4bepZ551>N@Qq|BP0;@)R~H=~w;Or0`t?76NZr&_4Nl8!z8c3mj)U-1yGQ ztMegkiOULs=Xuqtx9r}j&X$PmP50fHYoQ#OqLf8}hh{ zIcjP(s?9&E)5N2Ojm(EF4x{O#>Nun~__$1t_m(iNEwVTw+!nP+3w(TK z#p~SzRD+7$P|;K3+>koc3Mr11G6bD|AB~9jrvhA@C^rTN!yHS)uS9<~T0p;)A>6hu zj8t{O7-oV-`t#$ zx~Q2m!$qc2o)@8cjOMEM0i%tk`4azlb%BRl8Bif1M&;L^Y`yho_@)E#B>=T!;}>zI zJUb@aO?XWiSq7R%!(&?pn$sD+w0RB?Xp1hhjU{Mh0^3i&4r*_2kD6<%%He|e%2Z+h zE=I%Mdn7yxjXNW*(wm=4c%o(oC$tm_jq;3>yfIwQmW5=s#ivNM3+AJ2bwLz1Qov)% zU%;55E?kCeXfRdw0AQ{iJJp&WPa%Nnszgb5OP8aL7;M34HXO2k-9SHw@Eu2kLRnob zvOUt%MT)rgtGs0p5F+qy75 z-$rz3Y`!b-$g7t&uU;1NDn2koQRG!D;ksg8#U>u!)E;s^e2N{fls_-7hM|pp|F5=V zTn(L)rq{=-%Ld|V=*bDjRcf8kxQd%`wi#D(GtGxcnp27wWl;)@^Z&+GtlCv{5_lEc zB!oRBCXV$DtZ+jg*NAO+G_Xd@r7g!9Si{n?Rwb|^Dh>Js)}s%wUKRo?bn)$?58;b` z=o(nVQXLTl*3fzN&jHqn>@A-QSQ#;@L|<81qRyYui?ECGLVH z5jR%#05GS9WoF$3IW_e1RRU87>X;uE!ql)h7D%Zf+jyxIde;8AD0Lw0_BA5gP3~s!PLt_OvM+L$}BMzYnaako!G<_{}EF|KaV;>D2}nq z=fc#m2!!4dZ5w2#98r_8RhSCP`r=f~aW<1v={)5wDU{!QsZ`&XYhEJ?YtP}-(1rgW zPQ_6j^Q>B-hk}uq`btykV=$!hHWYis3+mNzFcnL>$N^IaU3wT48_uuR5n9h*BT8|5 zX*%@N9+ZO!!I?h?QW2tkd=8{SKUpkD4NJ-otaXQV^0o-61M%xkgG)m9rT-sK$Evg# zQnAge_~Tq$^yDC}BIj!a0z(ajkV;SLe?uyw)Ok)w9dT*NM8BnTlkZKbLwI>8HFRw* zamdJTaz*WjoG^srcm32x98lz`@i} z2?7UG2kuQsM^3%8LG@Tns-as`Q6WWP(`(+OiiEE(sNybM4T+(wO8Ev=ma%n>NILif zstAx&Z&D45XxpTU>guXQQvFeFf1v`8=!|85E>L|eZ`&FO^ZAFRyr4ST*(*U6n&5Fj zH7s?z!!j;mjA*bR4S(S;L8cS?f7ISJ?W>LO8n`p)@kWt9o54U1`yr@cf z|L<-*2G!8DYkGagXB!Br1K_7(OHifui%_77duS?E236jSVHco^o0*CbVYOm&0T(HNJQ{m~ZtRbi3zGwSfocbewNmXOTjjkI-$JV14*)l~{>+fy{*8j6z z?MRZvHY}f0bnGf`=}+k#4qcAcb~KaNfv<0ZfYf@k6LovC-wbQ9eze7$ zRx&HB!KIE%qQh!y#I|mIVM#^rD^@Jn;-L%or4wSgGoJP94p!77kHP)4NW_+hmK2cv zo16SA^=U{Uxk8+|t7xf-fy$=G7AU@p;hsJWzxr8Sr%SCGx|cpgwd$5CwHa#FaT61U zTy;F=cwKVUEz_tjL$4a@kU0=))SC3o(W?d(J{~JH%!FLEuJQKBRkyUl4Ix(@cWLI3 zs|O#sdRaoQ;tQ4Z8*&wA6#h@~>4x2g4G}k*lUUkDNdWFKb7CC3BG&YuA zy(~=pb;;HEKC?q|6*m~lD1N^wMMJJe;V>m7S5a!p^N_2Kr@BnY)pP!lt2U(bBv&05 zwxLj~Zz!?44brL(lVo8?DZD}CC#~w1DsehC!Ibuvq6nPLTdX1l(O}LBPcZ9mv1*X@ zw^lWjEJ-S~D)LQ~6k7Eg*M?S|_^+Q{_w}}1WbZO>)bEx)-&z%TwzpPwyc&D5w5pl& zjJ=Llb)2W}2CeG2E;*E9)h#1QSy!%Lrc15b432xNRX3d6^T_`Vv9}QA?G7bapRft>#bGY(8jv| zXV;Ndb(|8n*X`9QLuggU78|5h1M`K_s)&q=OFvZaFS~{{V%PGQ#&~) zL9F7Mp};o7__LmB6>jL`TCt=YrB*%X(xT&}R^8GvPYtz-tdz7@+MMM|ti(SEe zb%|An?5+~4NOCO?u?km9hlE%KF8P$}?>`Fo^W(oCDYE8&ufKccWM?J)_?@eVN$4Nx z_0LdkCZ-Fk~>b}EzIv1w-^BzbKMQoxpFLbDg?PdG@-LB=AOHS~n|x?Rs?8CS7#_1wny|DUirOtv{cZ^j>bRmLCfaQY9Ax3xC`sHh$_xvl z35>dQ*bQ(-L){n~z@d)?(O9t`y2>$nRh*Db8w~k5(NJ5gh}MrgHMqm0Rrrcb!f{Kk zIV=TY-Ej$LzyrdQ*c7!DxjrUaMqspxX(av&tKHug6mDQ6o>{mDmH@%25MFNSMfXNw zB?_TzZ`H( zDHHs<9+)ck7`&?ZMQByP8Ll1_5Xjx!b*E5D3tY(*0(vs;?zjeHGb=AS(%uoAH!(2!C%ZQTW1XAl`;8NamSgc~C7uDH89Ai* z&&HgqaxHGrn75PhZe67@_=?7~u64(IRuNbpDh=WkNBU((J$M&iyH>cb2fvvMqq!!( zP*r_?-K27jVjAqUD5lOGH%WwELnUx-9EgQ9UIw+~h^0;Ug*X4k`Eo5yCtc zAubGh9^6rCIhaq2DnBdysn9|ALNKp0Q5B1>oo9(;-nAex=19Z?p%bRo%Mf{Qp`qwJcjWE=5EKVS{}DRUmM@HIUvA=hdU*H>25lJbfaW$8lM=Xe zM!j;J>1gka(&*gLMT3wqZ|o6TRXesN21a~AF&vAyOqS`K`;4Rx5nau1IqSR59riqK zCU8pzkDe7yRas0BM|zNoI?#5M5(_B@*fN=*Vo(gsf?z90B*bQL`KMx|SD?!wHdRML za`-1o=Ot^|M;iI7FGeqld$%yWs+POSbvE_)3U}P1=C5yG_BQ;&>J|)m*zAYuu1PqDcB&)l=!`zSSbf*>9z*diLzR zIC7&oLn{x>U_V;&12+$fUjw+dz^G>66cXPjM+yu-V};Ch!U{h0HWihHS#k#MAqIU& zS=vxLKMAJ&iO(71YDAsvaAPQRE)>sYOeNJkrwfQ7RMP%bSt{lXex!Z zVnH3JVa6*`IhfD3c`YdF7hZBu!Hy*_Ie<70m5ly5a{Qm9{h=MU3 zg=X58$&o?+Dy;s&0uFJG_>6;FncpnAG%2IC*X*e`wYc2OeEq~!=&$FPK`eLKy`pWg zH9BD{B%(^SEJuofC-c;^>Q zez%~Dkh0uyNn;e7*nn=#KUuC_n16mUyieMD{sf#F#A+51i8Fzj-Vl=kff z_byVBbuo}1iVr>TUn?4SeI*pF7y5txbuDnpTK3pN%e~2S(D&e+nMENA!ola+hTQ$h za30`$%AQ;4aJQJ*PnK(!=UDAOTFy~t*Pj*z;c@>6!m*qn9LouUdDAKD+Z*m(3<5e3 zsjEd0(8Mx>;A70oHO8+g_N(Au$766uUs2v}>4kh?m=GPeE)ACF1552(ma)H?>U;jf znTz>eInep7^4c2A2>^X@zBN}t5s!6iFm#x{9xGOF`hrtR$P(h3f^|{1o!>t|E*>+$!hsyi(w=ETGe+fw$c2P;0<9S0T?XZt_h)sAFAZo_h)VotEtqDXzt;&QYO z9L&VNz6k>A*FEcvUQthKi6jVu`<%GWoHqFA(c?p;_!Vb}YLP8rHoz zgOKaI!fS_}S7KOs*weaB*zt7nn_V2#H&4W+F6%llHa9>S!rq|?D)`9$$i#mL!olGK zo$cqfayem%JhC7N}LlH$pm_icM#{h-Ni*Yauv|?jhdkv)G53WH#U_D{Pf2TuR`V* zoRKoq6;me|O9V>$PL(1M>u56U*TY4qo|tv4+j$#C(|` zQ*nlg=Z&<~8tr~DTWiw}(~CH5WS8#5Sl^P!e%Li`n_f@R%u+JWusA!@Yv%^nfy<}f z!17e_UEaQaC63xja`Dr)Kek3W@NBHVS!pj@!(FLdj%qdBp=vHpRT}QV)x|s-?m*R@ zlNxztDH~_F!?TQ|n}$0u$mAz#xWkdjPt-sk`CV4hZy%wvZsk?3 zc;`xXd#g@Nb7kkX-Tr8VOq*4FxiE=|Duiu!bG)Fp)9!<0+DYNLQYxUhk0(Tpm>zC( zr*`PtuLU369$O85(gnQs^jQpoP0_p(oiIZ3F#mR?DA?iyt46V4-OV09_r28E+pq1(B0ElHupM2MS9 zD#0tCPcem5tnt{9fozgvi+0rjFhGfy=rdQ#NR+|un`}PX+ir8}1XnV9(YZtEpM|#k zVlcZwvd)zzKv?;K8IP?JVi2#QbWM`#H(sa#VsV2>o*VsUD}{!#lTC4J&7sIAO*{pG z+|G%r^-yS+X(ntek!gpaSR^CbU8aBjrEpcvNu$Q-^=!aDwQ>nL$)!m9PT@8Qgn(X2 z8&_1n3@dw1VQmu4gYMsG&Pt6(*WQELHNasG&6jqEg=Ios5q_{LzG&2@)h;LyBj`?d6irAHN@ z!4s2i@W$y31BC=_25MM;?3njV6vaf@#5%ucouN(6V!vDE<}C3H+Y2bi&YV%MK32-h zOt=#_=3aJeGXB<^@>9~tqw|y2*#m%|g2SNhFb{b#rJ<2l*rfB);7GQ5ic~t{w$@`u zklyoyjj0=It#|+vVd~n~Xtkp?mcvx)niziC)CsPnG;KW5n=rhY{OUnKsNezVp|Q;f zxE>E8%G}v&?gPjllVHWAll?|x?o4ENy2z}lqd?u5i!n&SXn?$13QIzELN?#Re!#^M*^9{kQ7p>jR1IH}23p42>&S86jGSF+B3zyA5J>+9eD$Qn$v z9PyOd^;CPBU`ssR_O2<6D{~x_Wcx}xTzxv_4Q!i4)zu2AxpIl=R^q_i@(bc2RCwCq zui^1t+0~Z5YXwrL3J8Q;x9}Axxq8KT@^daM_4Xo~bo2@;7T>+>Hv6-nPAIhU{VO=% zCf<+_eQ9{R z5$}u5=d-~l-&vX??a|e0Ib-I^)HsLf6gIVdRAV>KWEs6^B*|Q9oh4$>YiZjlktJIb z=2X(QbPPH;cXWx6-qy<=lz7jT?%KiGsi>uFjcb1H$Q%&yrgs;$^vARuMr_85fFaQkq7dQl*JfEnVkzjokl)g6=^^&h_@>tU*ws0~AJ z+=IDUosS&XIk7hj9Yk*dwZ$@@=y?wt{FNu}mCV+~JN9Pln9BchVy-K+cm1A%FizTYD1+lKfNCLeyf2hzK32wtOvi@jM?0aU+Ahn=na)?6%+EO0uP>e zl0@}UKv`OCB|H8+Rx*65r%MF4_|PLDS34;MUvS)Em4~g*32E>LZg_S!=VhO0mEJ zHC5O`aktlwx&8441ZlsnKp4m84WF<(1o5vlRB95HKI78@qb1@+A`OEIcEn;6L>#|s zkE=nQ6-#Whd!JJ|OG*HAlta#7A~T4RGyM6)Yc2|Q=ti#e$#HRB$NkgNnga9*VKvG?hx*Ka zKd+VZj!;+o*nF4eh*9?D|8BI8EDxeJSEiKVf?x{B9m#Y=7!)8!tiX{g-N-MH?E!_! z4GYRO#x1AXWPmXrF=u1q4QOqWWSEZvQDxGlu>;Lr6Ik#>hyYpl4Gun9aK(4gqj~!8Zus%>%W|B<(=LAZ)0^(r0hTFcI zY}NxCIWi$GL&(3C8+m~qhum~s3Bi${u;3%9esSd_d&Oq8U=xCS$=`wgpVKD8Ae)*||-N{I!S6yIbF+Wz0XOn0rJyCYQhB&Pt zm@A>_6}6h??1u)kutpA7?K3RkKz0QmuN^}pT@gy!D~|Rrw+CWME#I<&VB000wEeO- z)#AO9O0GxkxHjzp9gaH$^J#k2a23MdwV{u@Tb37>-8S8x>#@PqEwbRCfYX+=k%l^zQm#x*C3|wm?&1}Yxecn)OIgM=9qA6s4^n*yRpm=R(Q&thCI*|Gm4?;+f|pY#(rYtPYp(h04w5Fa)Z(Y8=#E!Z<@y`tUiBJ++R9p{?r_3eER?O zdXcVuzDWp%QxOpBKVI-W#ha!l{=_$N&rAMi!_aP@1uYwG{@;H;3<9wBd>xVIc_=Cn zXI4%0$OK&S_2h}N{hQ))KF-)#R~78g%sKz2d7O&!YW`Qv^{MOBpPEd-%YTOL(p(9+ zG*<#ti6Un`;@`ckCzk!ff&Vve@49h5!@N z5y`C(Z_%-?J5jjCWg<&@&X;WCoH&|NHZ4YL8Hq-jd=If&=R^(_1vAFkp<)cSbLl(j z&;fhyXt?rB4VOG}6&z{5dX7Ek-@9eYrMYA+N;+^fc3wTy?8J3^&;*%%pA+S*XG2bp z<~M}mH{l{d7TL=AE{lFy=!R(7Uh^B{R7g2qbXwT)5=+WMp4Re&otG}%*%E%*)(I~; zUwa-HTLz$nkhkaNS^Lv_CE)m$PX zg@qnI=I&HMOYw??#+t2yclL)xXCv!|u1J~XCuW{dEWvo!=QGxxv3_Pl8HyZg63l3t z@2A2|MX(e28_OsPacWrCQ>k@o-0zV^P){A|0J=cK-}1zmq65P+p^4q(woK^$e6U|s zd0j7ykr&Jp{9IKIW@zDx-=ZEi%SX(Y2rx~|u!MONEY&Kx6=iE}$!_V9){Gd?O*Phb zlH-2R)h}7Eo(VH6lW~^B*_2*8U+8(D^qD@WJ+t{rub)2>r(B9B-b4GAbA0(ah5u`Wm?&CsRMvaUBRUeN2ade zQkP)=Sn5cU<<`)F=DCUveY1@O-1EsRG92O?a4CW?!}9y3Q6zSv-9yf@G%RiCvZ|`< zmh$Xbq8z8S)vDnMh1CJ}NZ5NfWc)IEN*!n7g*?ud0HnldTtusfu75 zY`aEd0nZM(4MAxWfQN=0fXJs435?hpE^!BQXlb8{K4k7Y$NQG7qK|j3^8v1qcqtk+ zJlx>q#4x8knq9Kq&|d$SCd@1RVu{EJR@XQ&(&!8yhJxfNH-J0kGha>;IMw1+$vHO{ zSYFX}1mlYzMYVz7ZNLQ9EAWF}Fp3u@${@EbEFbkP*E#cqG?6`TvdHvLR$JWY%B~BlLsPRLjPJm1r$GrS2(Lt31EShh zFJb_`WMIk7P4Ctq1%tAa%|ur2pU9^~xPn0Lu2rfw&(JQ*U9hS}rX7G%lMJs{oBsZz zan;O$p{C%qn7-fClq{S8Qe=GxYn!SA2U!UjU*NEGqdaGp+Eg(Qz5XiZXjFfU>^($0 z?vcHnWqMm+O5_M<%Lg=+nMw9MVd}7DlLo@daB{=R9+=tm46P0u2$wy}l!RQgd^kZP z#>`gAw#X;$7|OEs{6p@;QP~p;;c_9l5ia3a;ZAC9cRZ4dVRyT8GMMnN&tejEEe=)D#E}y<)iwd+OMbBrTF?-pw8=I0gnT>Zi`_7!!7+n zz0FAQoR@;(aIv_$QA!gVSicmvK@3~>r-2k-AOHG5zhUsyph^n?t(}!_MSc5!veLB)a4o#^?x!)4*pfTkbQnDrQ4ACo%xBhIk$&cC= z6_}nO_NxB@wjoY%4~nwpnumB7<_rF(!uhSCbb0JZA@-#|S5MCjl&)%n0pXQ|P3cJJ z;V$Ep$KYL7GeSY-HUMo>?8RigQZbP$6NI7CqAKCH?MviCmbd%==-{bztmqtU0{{nH zM(Q03&-?^VsuJ?+@VrG8O0>CDIF`fc~|ZJ6FpUa+lvfd+_VyKmTB?us763GV%>YWtye~<*hs&B23rX@{;mK4pz9V*I*PAbvAO)+NcmK3`XvP^JEekvO|2;viw^^e zrL9Qq4<*8n1-?EW zuU32qLjj6l#|gtwtcp)VON3%uSA5_BWaf7*NP!rOL!)2{gs5$WOPCbBXo>*xLL6$D zBQ8&eq{!}#)7Wf7w3xfN9oS$%${ayx$B=`PbE%bt{RowZIUC|CS=ion8Z;5_vJ^MX z3xL7`j)p38&PurgmQbi$A|NqPhL=m!yqfL#e??_dG>B!S=sQsgHG!`gVX2Swwed8` zG~Ts6odM{Dq9Nj51`5tu65bT9sYHyDVNDbYfHO`qx~!3_xG^Ci zz)fB(5{lucHXZ{xHp^rx-pb55W~!sE$B~bqfAnv#*9A+NM;{q)&l)vp9OOfzR467` z_4hcO>>;kHPjW2@x4qT_naR!P`#qx5aod1-ah|+`Vu@FzqKdtlFnrKkpV|K71EDbq27kb31-${ z&@V&u5YCs+l3#{J{`z^3{bhKToSXhKoSXjpZu`6@B6+{%z4{*eHk^OJCDD~48yZ}U zQ3|bc5nGHF=!77u7KJicH)D1}aHrH)BW_J>KlB;208T_XCsZ~d6VLZ1`Ha@bD?_-E)_ob-xs((zUp57%FxaJY)uYm43t~m5`!>O8X zy1tt-uY!Pax&&~OMvBYrv6RROt?A+GfugQ5-|wLxFzw(l=!XmeuFfj>2+7Z#xDCSZ znKWStj#LIk$zVLY4V+iQ;DrMNhrb4i$+-usopTtUzd@#97z|7SBLwn77B{G)rs_;* zJ(x$a+l4{htenklN-!D^u4?*dDY}VoMwY`tz_GX5mHxhLpMGV}(|`W<#ixJ5b>tmbCpcImUg3|=!_bASQMS}+vXXgpKjz@6@oWrF!jj($=l2dPevSXgKiNhs%kwlHR zEzsPVL;-7?PZBw#+`N(qk1uvbpWcvv)F*~JA4WDU+*3mFCHmin;JxKJG}?#ZY#PaL zb{ljGY5bT5sq4?Z%bMpH{~sJ|-1~j(V|cgpX`cqs<6(aIWKx^|xeczGNuKicPbIsP zLIXiwkmU9Qvw6P;P$UX2V8_rB6xxY=rs`NjyERM(kq^1J4u;>LG6LF}GC?LV6yp@y zd9x@pFjTUC-u!I``8N7&w4LXFo!$~?Rf!z*3Xj_EDRLaO9R|up7as;}+1C0&-%sz( zujq)TrM8}q87FNGU+AdmrA?Y(8Nl`H>#vX;%~B%eL&e5lAL?I^;pZ`wV5*sh4t9WH zP#U+DaBDn_0tUq6)Sc#_p*iC`(T4-NhEESA060s&7c~aMMks>jdwjQ$yOx7cB^>2w zIyl6l=^OK4qVpO8LJ5GI8s>_Wbf(9at~QK;&(MZNLH0t;Nq&De)HD_kspzVM*UZUh z^6yZeQ@WYRYrPQmND<9vO#OEveFAplpSM1?GxiwNNf^wiWZd+<7?mgmIHCSMupIs_ z$+R}o(52TEuHM)msgeRN&znKG^RUs+e21HDdr&;%%x{Uu;9k|zLyNt(qo@LUsrvUy z!!(wOEx|Q8IgGj=s2c9={oXXb0al47bO>ywLW!w2;}iU+OvuwW`C?DOI&(Ozd6_bp zSl#uMX{4V$dZyLLGBoAkLIVvQD_>+vdPgd3|6y5RDqJJ4aq)VnrWI z@@GE}ye7xOHFI}!rNYd+Wx-HCTW4;7kL%!5%kZzqw}W-`{kOB}|8w1-%y`zT_|oty zKHJp#FHhcv&1=a(#Ye;uBL!dVw>dvj@Hq{5+Yx*L@e~R^BB;64d-^wqo78*ygD=TF z!QO}Do-RLXPr1iRUF3?b4B=s|eqE1OA$~f8{s~vdf*Kh;EGJ#mLl|~-xd#^jW^~sA z3c6VA7zHgLV3ph&>?AOFQ40az1stlE16R-c$dIKws0jgUXkY9OVyK|W>_4c*z#-Of zl_4~PE|GT_F)Nf?rge9%-bFKKO}P2@0+y)IpUTS#;TPB{2Q}wS0uBypm75EySN@>VSlOCq*G8a9SlN`N+FAu7*zJyEHes7_UN>4t!rlFD;RV4@9!--v_szjWq){ zcoy|?z>$p;X5eNd-);)GX(rnl?X32=!hQ{CXidAd%AV4S2!1?MaYZwl8`B1XxuCJMVhilWzyE^Fi}?r)TP+^WfoMJh4;)W%~V!)BRG z#ao#v3J<*2o$Id0nuSdBlV#r~wWQXsWDjvoeUfWQwe7VY z$V_fN-|rEfmWw4a*3i+GC0LdxGqI-RH)uS&;a*3LCfBsWn$v1z)p|D%zs#(vkrli7 zxz&05^!EAp=}K^H{MMedOE#0?M+TheR7XD|g?bxus*ELw`DKU>V*Ot7%dkjaKku=> z49}8t(_e;j(|_M>pVven@3*{H-(%l~YY&VxUojGdgB@F7;vu$}DmV=RMwyKIOiRtk zoDyD*xHWP8&}WbW2Qh!3ashdGzBegntS(Jc-qhxql&HW4Y0ngIx)+;&&X0NRcc5$$ z>!1b(rC0r9I;He3xxh7wKzjvr&q>80r5jGwVAJ*8ly?>Mi_@ijoAgm!ZjYrjPH0UJ zUk?;8l6xD7LyVsFjtoxlL(B8PdF~R->Ws#@M_H#N^*Vdav79BGEi%pVEi7j z8L(*LfY|IjVb}49&46>b#HJB;Z%1wF@2%TWoB9XzDCOoP9HmKay5P+9mfL%k+Dy2< zuGi1?ObYvY{p%r*yuQ*WpjkcXGd>MWACHVxy)bC-+9qQ)eBcw|zP|G5pWf=P<<^-m?SlRX1LSCHTK1G8(t2CyTlEnvsA671QD ze5Tx3L%TJM1d$E7xDE#2ATI*i87@I2FcjnP*?F_5F|bn-f8PA<1o<|)Y_y%{f1S1x zXjQ2jvCtZZ2ys6?YOqYHmrZENSz{(<^Osv5TrP%vVR~VDbMk| zTT)|>ZG9*zJ6b>J=jqz{70s|{YgtdTjFYyeE;Q5h(k4f+2;lnlkH13l^XGqmf``IE z;YSPEv(cPy3p(iMPnlT1UzTtjv|?B+-VMy!ws3oJ6fjHMpe0d`u&}yKz5$-WQoslZq* zfp@iHgY7MfWR4eZZRd_-nNvqBo)|eCNeImL5!h$R9Xw*OD*_s_!_W|Lgi*#E{KHqZ zT3;*5)DBEkWp0g>IAU{0Smw|Qi$(!Fm?)E_aN}+>cN|NdxdMtUutzEKk5$;bo?yDDt^f z)T0eqoTOnz;CKj1HZ7ma5`vH8 z%6Hdo8OW@(0B?*qz{sN&{cY=el22HmAaD*8129W2Fj5w{qlg1{6)AR@cGTuP6=Jp1 zf`O2y2=?7|3D;A`XzA2tdM@J0YkM9#6^7%EIrj{VB*Ps{D1P)N4Z z;=Bzk8NiWP(b}jKz;)s|&;klNeYQRf7J)%qz?gS|M>}cEP8OEc3nLzbMd0vLccnF| zH865Wm9n5WN{GU)CIRXI?n9+gck#+?ErkVyM*>II#nTI08CuvEYlop!o)y6v zTi8s*H%xcPBj$67Q4U}0$lCV{TN@95cRp<9j>aP+soK&JiT&{0g6b{I7+w*=tY|h8 zNtMJ$MAfMkURUz>YH3;G>xKeFc#^({KF>7{eX|B48s=ZRMl^g~7&7)HqTmRzwWZ%& zEyvA?Yu)*(o|ciVa!t>)%%)W8gY~Rl*K5V>n;4Cb4IJEyKTba#KU2Lgb^PW!qj?II zA?&5+j-9tMQx-ZDEMA4+AWM6u;FHE%X*-qYUKOjB7_%aMed08f_dS|bJXspEDYbqk)@;J2f zc@XX@+OmYZT9MLhG5J${It|YC5WHGnlGDUI$m#y#Fx^&^&c+YRZb>bRxGmiQQ!nsP z{^M3qs9{+}O}7u-0&R%zTEc3OC?P8}3<{#?bfxg&X2SBfy7$ko2qe{_#v_&7=j8R=Ysq#s&Qj%X=5 zGlPQX!9R{+;c!$X-c1t{8)Uvi#eFPjfXW?*1HuwQLN405Cy8=_7Xu$fxHLzErI6fB@W+n{bj**K7alr1;|Q{ zCS)roQCZ?*MfDG|)M3SYTF|-T6+1Z}ED5|$;{q83p1zJ=cD;Lasa*rf1nymY+gc%R z>QsMS>@SCIGTf1E>#=-Z7O0@lYu9g!cHKX(kWurFR&@?*iy2p1IO>bb)Pt8;rd!g8@wJSDe>RN2o|`_GuHL4f7YeMj+^Mrk=#}F9eP;a z1ft=+LXa&b93n@Rx3%7Qp*s;v1BNk5#ra*q7(!dx76f-6X^)JaEJwN4yNJu$79Od4ic|yl}Tu?#EJRu0Zh` zYEtrNR$=p}j+iN7*&2t!l50xSy+EVH>jgdQ|7+={K?3v)SB{ZFdFmB*W)&q$ytHaX zFIrK%=(u2PNSvaqjcY~xyJk3s`gKoV+CGD1GreMaPZ?KqD{C*@>N(?BN^(%MlSH0p zWSWgLd(P%c#FebIyV7*#lvcH)9d31H`mE&)8Ew+CO5SoQwas$&toq{-iZQw{&jv@M zZ;8b%XTNaVzU)^Kq6umR%YNk%LlXpDd7foUiP~Kc_n{qAFcW+Ar@LnO_SS;k5kBOB z$O*}JaE=sxyjF~P{-A_diPt!#U{eWzzyo_#3iV9VdS0>C5y-B8@CY=#{N+r=%)Eat zg_b{L@zX+>Q=5I870qZ0ivrx+wIYZ}u*CbxDM<rplHv3xE|j7{?;#$Oh8 z^|SzQbXZ`d5gO{&_vD_iI6PjALkBP`F7WKxa#6#9yJ{3WOgn0db1xIy=?X=hJd~$SIU$ab5GrR=4t+0>QdmHDQjCjFFKlFJU|*~qhC+E(1Y>MrGtu5K-64;d z&n3p0zg$N`*4^0Jc-Xu1VKa9$9vL~+mX1j5hvgO|XJNwdicn?YxZR||AYz1%f~r$1 zysl*L)zY%W)(z!}@FaN;eV%I^@@5G{G|azbjcE9~a6D_g6cilsf3~X~$Ce$3<#!b~ zo?u|h|MfKRqSMjr9~hC8U9RpI9Z;uSCF?_p;_4J}GYuTW=IywYy^FW7^ca+LSd?Xq zq+AY;eZS1t69b#G;}KeEfqC)9PzYWTQR;1K-dEE%s>Pbpg)O%@vBE2;LsX$*eZ{Si z98_tG56A0y zN>@9EPZ+!?EOGjTaKTu4Sq7VW#h}5)ScsdIScio3iD%>Oro;{w!ztV}hg4;gwI8de z(O`T&Q?&x;U^EdAO1ixmOx}vnIrU*#HJD`**C`*y(*IrM53jJEx@Bff+}7mCAObA*^d>l86QipxBu76gt0 zc3j&TikL)h$xCxORXvd?<{>zUzs+7nZDVMoy?$J1uQ)hAPCXN*r-I z#y!;9+k)w*(t#F*#g_<0XvLYJ$q80;ytlzA4dIqdmcu%v(3om(Z70eW2$gtREJ0I| z8!;SuIaraC2m1+=GQ;;kFU(Fmcli31ug|?!pD)--eW4nJGTP>v~y?4Sb){Yb=o~4dxTxVUiH3IUZ+}`oaO{Kgv5+z7 z9R!r9Z$WuEu4AjY*7VLYRn+c@zS)DeivGtUtk{C!VTnm#bkYZ4Y7bY&H7>472Y-|4g*ff$lS#Men5f0Pi}?UgG76gWsXg=gQ|@~>%u*_{;@zS5c8Xu z;zPuZ`R};q8YiYnkoKD86_W)0IyDPIkAjbNkJ=^uGRxj?S_!SH(-O(kBdx91Erp@+ zg3$xB=z-eo?-N^LHCk#lESY88rk*IX5Hrh*lR$m2zmT(L#J(n(a|ZY7j*;Xhc{}Xs z#L0d=@CoZNB-K!YIz*yc%ooqX%tEo`zdy_A3k%?}ImgBzEO`Xx zl}prysKAO`m{t!JWcgUuHRb#@slM>O)MHsCrk)aSd(M^`rLrO|%M?|Vr94y=M=jT_ zgoBi^?wluF_q2Y!p;B|)-|%v)^YX&WaYaG1NgmvzfFFyk5d|B8h-Id-S~}ZHCniIs z5iBpuiS(TZF{U%q1ns!SMCE?df}Vw?HkHIc6F`NQpcOb_J{rc(PX#-=#TlQ$!)gm$ z$0E+%up%R;3MmQB)^iOtzbsBo#bSJ~DN8cF z1M4o&m=cDe=}>Y3%VjMzw5|oVWIa%;Xt5)j^x>>E5NmEZi%=65MIjLN;OOpNt|y$+ zSA25)eX^rVeErJT=NWtOL@o0zvP4(7Q1Ih$@`&|%icy&!iGK*w-}up zXGk{`uwzSy464bpYP7Q6fI@q|G5*^QiPH_|8S5{{yv#g1*Eq%@X{aCf`*CR>ls-bQ zSs#{b))y^`*Qqhp$-*1(O~tLJLbw-PtYxx{ zqz!1v$M*19T;bv~Ueh9zX|?b{nIuO#Zh5n)JQ}r!kK|dmtl+2StCTMeUW+;6kPp^e zB$b~hK=ng!Nb4B}j(goZCODgs^K@vO=tOFp(w=m^_x9wiFgb;e#j7bUJ1}l9%)Q64 zm$FtfIOe#<!<0~2| zC=Ys3${yj~R-ZIC0pQZ%4U;MzC8+mdCb&f5-CO4ra1VDW|( z`=KYfuj>gcz{1m1XKe_#5*z}tLMQrqL`$X^x!|tDFzmX8R2q>FmE|l7|K{GwCt;~1 z>#4zlc3SiA&-Rjti8jnwBg?&Sgyq^da%G!eBkN_U6b7;YcWlqVSu5b=cYI>x7CT>( zp?QS^W@<7YAdS$5&gV#}7uA$oW$zO!>k-YS#Zu>ysrdFKx2^40v>~^P$;m<`f}J@; zKwPVg&HHzFJ}=!TE%>d9E%{i0Gu3U2`mD55T?S+L7pAnL`rYpUIRZsW+AjZRzv^}F z*ll3%r*NH067~B$1_BqKtGquD&J3m1+PAnV5~MiQ!SJjkiljJ0&ORC+dvwrlvdpo2 zY)nzvUU-j-k7bqkf@jW9<=(Z^j9IS6ipj3z9k7afQ_@5^%Zy1)(;vFA?os(HJWfUP zA+O;TR`t#jTc-!Qv@IwY8m||90Kre#$~DUg>zSoi!y-0sQ(s`3A|I?cargUuLe64{ zea$7VU)LQY$BhOX<#~sbul2wutjCa4LaBEpss+2SjQt|@OcPnfv4+T@lCe-M`TOtX z^y5`x7->a=md6=*F^@!Ts1X#C3z#EzBgpd6*2Qsyy7|WYQjcZX9*P)*vRT$@l*)>< ztfHu*Eajo1II3N@5{{M(t#iJB=I+-H-Uyn#&cFyVFE1bsqoA$7aE}6hEM<)-*a$=@ znaXPEd|p~H87dL5ytEVPI}gmvZ+AfFugl=&&|2uuj)M0^E8AA0DBvebXq|zvaA{pSv#Q2o-+o7)sM>!?=q#o9P=vk~ z;(3!syhqbNEN9iVx4HaUcE{6R*?ovO**?(H`kzSs_j*B%51O6Cu`Gd9Rg4h}m?{Y5Ng0;?bkU z4ZEB|TPi+1yRrqo|AOP*WE(V<0!tOr*$ z_*#i4*$&nfzW+Sd7Rne!f7L zAKD?UhX)+@y2T~hH6!Qg5R+&{YP*{~>H6{QU*B?TY#ro8@z1dgzA(RS!-@H2$=s0w z0HVop$>PZj;p^B&h)W4nw=@fpfsxj6Z^GvC3s{mD+0LBG0eK3yr9%)gV!7V=x z7K}=Cn^cxvFU7^Cg`c}VEJ>1NbVB4hheSc@PQ+c3L`A)G5Q*8&)gJJh}W=a*ODQuZ$qze6umLab=p*haEeZTLOi@lP&qrsp-fEoE6HSmj;(L-2B zz#2A7KYI5up5tXM(8>}N%5xR7loZ)V4>R*?ogYF!6JXgo7sdj5< zl{|Z_$k68n)1S(JhMH(bSQ$aWsLQsV|Gk)E!&)+N<74rWEMA+Uh51xvoaIk{poE<+}ZYai|I!Z-WI36HKWDU-2T$y zp0wT0SDaK^4@;j?j$^68Hw;_2TOBV9!ixq!6uXr41v}Z|^abD3)Ze3xeSHMmwID_b zV2K;=lD#l_w(`Ns-dyYY0;*g%XI0opp+NI~Ai1jmYSRP30_2l4b>ObRFzk}`*HTAh zLjZ^1(|U? zaFXNN**x5`Oe;Fd$#=&g4k1_$1R=}UV`!;z!?_yqfP8V>E3j93u5p0j`fe#zD-z=C z*uaUnVx0&7;I)|K^M$8B_AFI+fMjq+QO=xJ7M+-$DM0hqNhkAq;oNg9kR^#!umQ@z z@d(_CBHMZ_=wKZ;*v#^w370Co6gH1gEST1rt-46Ko@EjlvSs~c@kd`&-(F12-=7xu zLiIAr$qcnzQ7o7u6xxL3!czKm)Zpj-BuYdFLRzNOB6mI>^en_6WVVUsu;Jh>pCeR zaTN*dEYXyNTdBK5O%@CfY$G7s>RmFaWEXhM@yNSGE3}GR+OY3WFC28{x!s`nzVF-F zCzRO4tGH!%T8{XOKG_7bqEW$2$1pj`Kxu2X`339aCqNesKqM zX6nHeLr&)L!l|vp9fP+Nzk@p_aSz-A*EVnRa7!6MU=h|Jn-x*l&=AT2nTa9`qCl#w zzAQeYly(!vUsy8B`JoORJjm9gBWnPVHYlJB^PxdUSZt9>4 z4CYWy*IW;C1iqN#d=bon4eCQ24qI(EalnVUi9$a1WiNaRQHE2tqz%NSTF}O1xaW&C zFf^?3um%#8?fY+U>&+S;f%~@v?$3|D{pj0|zWrb8+n*nK`}gJT&yTwOe^j>*b$rC_ zN8EnI?SEO^{_7iFJyJf6{o>bS@IL7U3J6*2&|enTdtUo&l!-sS8CqDTp{|MlZRr2$ z8RbI(^iE;=dVxzEd*elqYU>9!|)uLytZ_&+KV*+-4#*Gw>#aRceO`tA4FVvlj*`>GfeN8M zrlGL20v01Qk3Nq=fHNa2S9ldIgA{5+nb*{<5_=%8S+fko_JlHc^A(%xELG%X1~gHEXi@8UtiJo z7z5qM_Y|-wqs!|52PO_GGplB>>+PU{XT29xN}_lKNxOgOyluGTP#oX0wM%R@7Ms0E zXqTmxj}XaMAWG&#FnM8 zNNvHP-hlcr|0dfu4ytUWhqrG!1RKWo@n9y-6xF(9aV?Ke7F5h?YRnzzv;kqipa1^d z9zSKH<{pYxPYl*e07e-cN!hmqr+gE@ZyIsX>kKkJU4@7Rtj$EQFj=W{5-Muj8v8tF zOb0&$s!?7vm0)Id1vD8qvZP%!VR`jLPEG_1Q7+FXcKD?|{nvG^@&nvOxFaE;6<}j8 zM-n>whZBMgE|BRGs^Z1c%^`;hZr$4Q+t}=}XAD~wFuNMWeVO+CyRBvaSEYGXnpdUy ztt-vPtI+(fLi6#eGyi6t`SmtA0zrhKa)NR`C16Bg0BnuMMI>a-y%2O9IysazK~AB7 zjrP#|$cSKQRSPzp!@x^0r$*HMgmo@|egu7KT^R;Ak+^`J6CmKO&7U{ghtnoflgd=X zQUq1>0BafkJTcxO04v~Wa~XAT2_Sz2mkwWlmg;rPlt1Q#rEGbT`E3jKf<1*wT#Mn=r{sIDL_WW)s^eWbkz} zFES!vuL}+Zn7%%OmGwFP+7h%=Q>~T@+p;dH*h`EMq`ZBAtO6J^0$yKrG;?=KP(Xu# zUC&@QBnOv%Ls@0Z#j%b6A&+QxBiwQkPmfTqyT;{3v7JXKrp(w8O-(K$X%6d}ks%~- zb_fdf@q~30e{KO2sd7C#t@==6Y^4n{eMO{?VgDx(GF2<#AVteF$yu3Tin=4#anPqs zmD(ksDNn8>dpvTXsJ1~+ijybF@Hsh87nA;my~fu^z+K#29i7ZD9=;6c`FsY4bm&CY zBEDcy24^1!$;T@!!&MkJ8L{u3WCCxUIRd};uxeFK52q2U=mU0@iico9vupEIjlB+^ z;&grP%jeO1?tYu}tI0KqG7ptWAgY$_hRFsd1b~J0mHlE;rY$)M9eNwKl+T2}otQ~^ z4BjLM!TrOhuO5jxkaGiVQYK$A^R^&a^#RwFn8YYu(|NoNTh-wVPav8|Uo+MySO^iS z!fZgvXD>;Yvrz{uWm@ybT!ny>30+Rp04}%}#D17+o>2eArBKi;NghWSGXd z*OABD>!YfIrF5=}8gve4>M@VK4E>pCk5@$&$GbtqCrdRvlpBT#ADE%SHfq|PJE>j` zJFo9Z;!^BofRRw!&GHJnsm$i9MtvhL~( z*n4|I$HT##fR>B1pU#PIIwlaRjb9S5deE1Ij z8TZ}6FVd{vFsW?a{KJQ};b4qsZy(Nb#jHp@%3Ze~sDxA-w^6?u-FeJPM}4aF{FHty zTOmMlutX42f94M762LW2sxcJMp{1qIn*z9@ziCf6+}GTKU0hPNyPrh$>6}~qwJ+~x zg`=7&{!-o0uP^s9GLbb=o4W{U`l>qT@!Ry>L!bAZty%s8L-SjpmvQ&H-#wH?54-U8 zcH51rJ*@iG>dJ^)#5cKt`pwtA#=qUq(<^AnD;;_DEsB=uwS z?s0aLWs*CD{~4Fy5!*)>iM^n;OAOwHuDIgRVoM zr`@}YJ1L)j+2!1R+0D9LR()FCDe;;tUX#UZvUp7vugT*7K3ROc28-8V@fs|C_rc=h zHCMdmiq~B6m@9t0ola|mYLH5wPr<}^0XW#8Z1AQ{%jtn|xKg1ZrFAyS$8b^KLeaNz zcp&V%oKD;Vb&%8DEZ}ypT**WM#Y|&=S>NPY?iS;d-H2vA;G^S=&#c5~O_X&T#h>jMX}K)DwmY1gX28(3CLK_=0Ff*AoiX)|1o|Lf5rKbUd8- z0oZypsEgSNK{BBa*3E?mwW-kQVSwFs!PA=s8kSZv$osOPkt{BXj8#q(%Nxno5EftK zwtBErrxvBIs|h!|JfkE^nkwcUI3d83bG7eR)?wW@tG4s(98=cHR%|Eft%^%sJY}6{ z5GoYr(bemFPVZI+pLdPJ$LSQdFE3i}d4_83g4b&v0-kzy!`1z9`ethpBb+WrDCI5H z=LvcZt2M&1_ULiuwa7=W2tuU`2}&W@qA#u6**20U)nns5+mCN1e}DVWA8!kJxowED zSkW%QQbG9nwu+eN9|Yd=5FnSam5?dFnCl4E{O9&!*8l^|(SZVVH;aY73z7W*IYSZV z+5I(nLtjtcg?!rH-K!2~15QXw5Kdpl$xPcvvn~tg5jsd{0HJj~52F2P_h%3c~8@lqtwf>p3|u8mWL4 z*LktT@T+Mb1r;0tcyfQC5nnM0+;0ST*Y)%s1VIK9e!&KNZ?W+LVu;M5jJ-BTS_tO723bM4<$(sLAJ-ow(sAKEeE_R%&Wq@D$H+MVLo03=D!x0 zk5_&9SL@3!vnGuRmnE{qB^a1}7>*oXWzR2yEJ05yK#fiX9Ak)yx<6kgILNy%;Cu%$ z%?((Y_>g9yK8EwF@jji9AF$QnoFibp;clil;I4uhWoM=gh7KCpNKy)FRw(-L><^Q7W0Xx+-nVRIe>LG8sp8{J2{D`KGwa=irT%o$jl^Pon4kIFKesrMusWgtXO{{J~xWGeFTyt zs`lW9Yj_gLXR~t%4{tB`s{UdsFc}W2DQXY4Xx8DNFh=gl{Ye!DA(iAl)+I&Ws(xfn z&XXB+LY#vDB{0RXn+k6Y)f7ZC@{v*OAkW1mzSb+_-oK~d&*xkcNq3hG9Wow8;qQWz zrw>6w5S+5HyAm_X1`rASE*lWE(XYAzSWWz@8-S%g$_8-v?NK)<*jL%$>8o93gIA~d zC>s#$norq)qO|cT8}X3$@5Z?1l4R#{&*xLXUWssaX8^m!YD!=-=WHr$Eiw!&0XTZ3 zBcEH{&!#MT7cb{+!5U)mUiKC8`LVB*&KA2JY(=?*cApq^NWB5gr<-Mb*ZC;p;nsq?GvvwC$Dq1G%aS zK1rN{LvBKFW$(8q!rXoL(3lXLLoPtE>u?q{_jcIy&5nx1W?WA@W7Ul7bQyQwyg0Jf`-ivPAQ9K&jOE|HXGW0*(OJu{&FzApy!)a z#~d=N^Jz}et6a~&SINOeb21Ny(aX60c-e_q6*8c1+U+UccdO%T|G4&#YybFL?H^y) z`tg_R$Je!eT-(RBef;j*$FC2apD`5S*Ut&g%08$dVe0P$1(dKJ7dKup3(s)t{k_0% zOiq?Jc&l6&-Ux8;`_TvXru71Q4V-#_|3J-aA2+t82^#(LPwrqi(q=IuQmcMv2`Weq z*ozxYjuBcnDi+W?k4tBgE0-ytVFrToVo*8a0gJFuCbE@XP|+#@Gp9CSgAzbra~#_7 zZVv3B!#^staVT+7Pdb5EYkmEax&C4QGEhm^DPVLh&k4|OlL(`TUB<;ooH zj2(ie^R{mn)J;2HFU*OZmY{kXo`e!)3nu4<>~P6?`ZZ12OeBoXLcUrGG>U8>*rxzD z9k-`=+m{sRGuHQl75>7cld`o?aegPT8xOccKv4PH-o(sr6*-a`9ws=&-zJtXY5>!^1ujfaeUBpi98T)SOhERer$eW`{u09PG9_ z2ie>JQ;V+N{dG;=iD@#R=-7O2)*BACH~SVjel0DypUm!TV;@f^@zW_f=QJ-gvCC+s&A>AX`<-Qk>?-NtB$K;U^c;5v|rz>IGm&Q}kTc z+Wo2atQ@b^>(6WNx?OwMwRip2d)L>scKyZL^>uAs*Vc7yU4N6U>(|Ga=$qpv4Hm-d zpO2x)eA|NWv!K-M0s-yb3gXH@b&CMC884MzABuig$A07I z5NyLI^6*x$)IPmodnyjGs41(qsX|c4G=cPZri4hr`9hbWpY+|PeE)jNHWf+)dSkRhinFV@aXE9Z^$%b}1&wBnn znIpqn43Z%6xSA~*mP0uS3z!{N4uJ!fw2p@$v)=)ts?1Tb*R^}prd1>aUEEkM6yGjz z{fRS|(4R~F0m;6;)cfzDt+JN!_0avT*2Irj^+K#o3knRzzw!zMYxux&V0TPkV0x`4Biz9&~^TM1ol8=CJY%#^ngmyIE< zxoIjT7*uB{w@6A3Taw+DQKA|munf5U-prN}rm0wQ%v8>{ptv#_s6PEsdQu&F)2LN9 zwBw}K>35F=J+{K0VX9UxEbLs#oe|KPFpO!KP$!s&#&Hdb#qZI7%@w>pyn}I4dCRKK zfo96ZnhprWb?mW0-lJ(=bLM}TGhf%3xyH;jX09=FjhX*?%>4S0Vc(s7usGQ>PlDl{ z7vET@>9>MBy9+W}8rquCeJyplql4tM5B3*>>~=7=QCItH1e_Bfo7L3) z1A?B_1V!v{!+U)c`ve56vl`4+$)Z+9OYm)iSxg`-ab$h?bD6CZS#QnN&FboMc3ap` z*nrgyE!mB8C@K5tiFnaT7BSo^(;=w`C~fYj-k-zlUquBulWp+NSun?7U@PWVc`tAu zw;+SV$Twig24!TzlBdCDKGJt&h=K|y`EIWUGfJD0t^Qb2I!S|?pLX?#r22JomFK6Q z@5mS+tJ9Qw3&8W$tr_S`gyPoBZrbS7k-(>Tc#ImdES8mNJJ7<&1DMQYN%bs1B_Ojy z*u76Qo0b$z4zgx7#4Hs0iw(t5n^wpjRQ0S45D!qZ=S;E5;EhsbLmY>e^6yTQ7~#4r*ueR<6Vt12!*%dLXeGCV5N%B*0x4@O0*!!*Yc zM28l0r&Rool=#n>XtcL`#f$Yq2)br3VK(MPh6?h_?U5^YE`%Meww>5>;8fM~U+sQ_ zR;Is1gD7EI^M+z=4Y5rg2Lb0!o)9`6;&}Y7aa{9oI`nwFEI#B=ZgH&EF<@HDXE-AX zj=@Q7w|yC1e(Lc!b!NB4m4i>*uxMKFzIzh#+|WFoL00>{@9srg8JFnpz4_@QTU`&$ z?NbjO=v#P04juRi#4~d%Ik0J2=kHGsx(;PX@I{w~eX{->lG;&v#r@r1 z++SXGRjpc|lj24Eb4Vni(S+~&6ebADpQkW5(YvK6dNh}f+%OjKpA^&pqL^E=Hho}h z89Mfj`^&431|dJp*hy7KFYe{63R!Kao2_c&P!%`sFR!{PTTmE#tPYtB-ja%5o2&c2 zPhnhQyTK`LLlf$gB8wzy=H#5y7*x^eGn;f8CyY`>Z(29*FRwlt)H2$uo>f(})Y;Ce zK+TShzEy1;s^Z4|tm24Oh z=Pgn0Hgv5dOv=iXCM->2XI#>yW73kYwQ|YS(>q(T-$A;q4Il3;$??t~AOEJiN|I#D zZP?zYs0+A5BPE`v!VWKfZ+!oSK>~V1R(X|4J`EHH5NxowM}Nh>F(S!s4dT1Q32g%Y z1Mh#)ApcuU%?8-Rznk14Gy#P6tLEMn?i{~r?z_&epBmcy=f^988Qwac{d}1v*PaX3 zv=qC&W$RrVs6lfWT#-$9ue30)1bBr^f)SeGH8q|_)a>;U_J^ zK=A!I0T~zalx*4CL$fFE=oTvmEo@!?$v|TzrH*~E%VThe$HU(tub^V-o^vi*=fi=qErTr&^l9$D7fP~w}{vP-e^_TH&q#%&{r0e&Q7^>au{#?&zZpM5yba*|vpvyfoVal|% zZ!s*~S}n!sOdQkGR_FFznDn00L8g{7n_I7WfAG9?_Sa|j2XW3+OYb7wbEzVZraO}_ z+CqkW@reOCA(vvu2b&SweW#CVRSnH9pN&gqx+d{_tk`x=V9ZPUG442FX=Td_CAiDV zWiQ$4K0Bn@XGfV#^4)buia^1uhPYrfJ|icZ9fQ!|GnQR4chuS+E8qw}CpcZzSYs{6 z)Z3Xb!nxx(JG%5|I8KOKcjd)Uh^Xo5wv>hRwkY>3A=I9qG##s^MtNaXdC2w4iPb0b zDu=rrv*2P#h16b6A>EfuUob816~1X>X-)3u9ZjVJ>#D3A4B>3*SM@2!q|^>lNYfY5 zXjICPPKhl}dE1Gp3vJ47jH&Rd`a#lh$=p%KV?j+0%L7zHlfl?ap6Y|W&?Xn0Xa#Zm zjNUi<&`bvVXx5jeXXT=S8FGKFUo}^|d}zRMzEVzfL-<-46Z76kc@3J23QIYcPi1%& zz)VF1Z&Hk61e~d{o;L|rP%xF-OMobPve5f)&^d-6LR6$?A7R> z#b4leYiu!0=()}_c7j{n!hRofY8oL_5J7<5c?4i&M;bd|h3%Ml3c8mq=x+DN8`S*y z;U78;On+=5Sce<_erbFMt8FWYb^kw_F_Nb(G#nFyiM|DSRJwHr=UM8hK?3qcF;cJr z^b+4ur+wcw0=W&Qwvz)uQwz}|xIoCIu^b8l)>fcNe`X0ZZH;I6L!-{UQJW!?CE@m# z;uJ+K!*s+dWEK&+yri=W#nCiVU5PDX1&PRakH}?+do%XlX8M7(PJe&@h=9W43CnYX z40z`9IuQq0L^oR-Us}q$uau`=pYRMBX+{8#I)_~QP4#b?V=Z@36F9~jS|?MmXaSH1 z^E~RE8_d)_zrw&$r#fB#x}Sh!+0vmQ-c$1@HO8k~G=SKm_Swcrxi7UswvZKL4J*ke z?{t=P3t|^?VK|)q7dCFEX2?x@kRbVd%VV8aQNs-6m7F&s-|km1G~Yjh#Rx)Z_QLP@ zS4F@%B*hgU8h~Sc;df@hj~Ix`5T(i$L(*(UH(mfLvE@%`5T%#9u9{b+~{^V^7q^!hrg_% z!yP>F;TAf)e|X$2$>0N}9Qm7%KBW+Ay5^lMAIM3TR9#2B%I{Wq^Z{q3vwl;YlcJZd z^~0D?L2&av%_26rqqiW9hZ~@o#&I`E0j&X=TZy}2!7CL;d zs0k}QJNbpBzLZhI+DpFB_|gckAvd=h&mouI9)KT?vwb&&aEy3XHjIy8k!4O0k3F9? zb7^=l-a6sy;lFBNZA)%}U9-OGYNA3C%YSO_T~VLoSIvFb+4WO%mDPI$cqgYWAc?#?87*Qk z53793Cc<|#2=m|yFHG8=EE89{lGgf}-<-(cX~cn15FXQlfazU4SX2O(dIj=|@S>0SM1qU{?6g_Qq zZr^HMzD*n4nawdp<~W)YBb@#9nf>3Yh+$P2yHpWJ)1ApTg~E05iK|jRLs1oRn3`ZP zpH|h-?DE;TWTvYPf34VdPGHPS-@4<3rIjr!l;AEam%U`G`|OZrpB;tmH8=t9VCx{= z&l*zyZG49H(-;PyAxb+{8S&8mSOG`)Il<{F&3;W2=W!;CaPBzH-d?{x!*N2yIV197 zC`8osbX&>-dJewG!lhZrPnwR!2}^llRe8wu%L&yd^Xgx|n8==Gf|m-Yy_!P0FPXkr zqPu4WxplD2CinA>rqbym(fAz<;cRMG^(l{JJwgg;`XU+)@#jdV#1^riZ6~HKv?;qW zroyZ02T8{zb4MAE1#r!3IzTlv8H~N;sXo{WbJ~4Gs|OwUh#n&vBj;9OvrzAkmbW_N!@=mjMJ?i_1bJ({rT(0$U*hYHomotvW5<{rj zXT;31z>r(mxo1nU_tUwVU+A931(B=G77plyq3qP?p2c6#cWZ1hO6a)GGj>8-+#=Gt zD4Q@r^Z<6}5de{3hj?qPY{o=WPj35c_QxC4{Q2RZ^w~mGHO_T-;qRBmca9_rS>F2p z(TtHCZK2zk=u0#$$U{TN48pV2P=f*FgI3fp+j)uaDAK;~0$CgvBC(wW0Ge6|6+r|- z=3v^B=eHG5(w{j3O?wSwsZraG2_R%rB;4LooT8^?n2uP5v?3yxmvpwEIGSdvC9yxO zU=aE45t$5eZ-(C6Oh2&D>F@6!5nxn2A^9<9trKsN`?c|xKnjs^}zOLy3 za_u+EzvXNGxHOtj;)Le}19>pZHQ_*E_H`y87+5ryCaJlea1B+^5bLj@3L4|fExr$3r?Z%2R7$xp8qVGe8@E$4lJ6J`V3YE5dWLKusi-$ac_=Cal?lOz*t|{oq6}6C~9VPBz^_Tntf=>tgl^fb7ZC{g3@>N3tZhfqtK&?KL_`f*^@= z=m;H}7-QDa8oIuaNU)0are(-kM{T{ADDVd$@i`I#Hp2M%7-P-b=NAb$|1hUZ%8_ay zsnHFdPViWK3Y zwI5D#jMnLPiih|F%lXOxsk zW_7;bZ@BW`_0B8*;U0a@4m^dO7*ndbf6}v=axL0{D<#{^sLPan;VY?Ml1%%Kn~l3H zI4;tTx&gzDb=MUbCVRE0J1@@qeEaLIL>u()_qSF{CX5ng_0KmE?;*>)B){JN@z%=7 z47;_w#q(Ax``Q+qt6qr*k3~_uFmkO2^R;5Sld!+N@mE140G*rCS2!WG5;g+@!?xVvX@mz0*% zg?Re=T2gz@8LdivNL;(ExXH-T$aTgD$wK#w!L0?foa4g$glVjGe1~=U_*E?iBOcjy zdK#=r@pz#Il4`mMWSpdP$*g*l4!3OMA{Cx7=1DrgZNFF;bMA&gIt!1Tr1O4e&4YAq+CC4` zx#_z;NVhzE)=4_|SATHK-!(=5i)3>QvqFE7RC|!lotQKv=@3^3>-_cYU}2i8pBgZ* z-n_$vnLOOH9^Q4+Zq|&wq}_o@yGxL?!+O10=TNnutiu&Dj)HZ#Vre(2j!4|JyJMHM z^Ixq8?N(%`n|40%dgi@p=MKt9CGDOJBkiWdfQUt&DnPrG1c{VR^H$0F7m~f|lz0$K zSln^sfaSj<@Q7l!;!&TmLS=YSLLkh-W)9?{klgj+(Ro*L1zyf zPI0(+sVS0&qIf~FV%l|Vv}uu^*sh0t{$`+Gv>IytN`gj%en@=t_rMDb^D77f$h(0i zfroHoFC~!`d(0ZZNFTrw@7EZ+fKh?D!U`p*BHn#^uOH9~;ppqaSm4E74}}@l2|D%~ zxF=y5aTDWL;1OS!5_L4j)5pIuNL0>1lWjZRWMhU#n|vZVYlo7b#l67=J<6fmG>o!7 zI&G#_PY9!?J~Ip=BVc8sMRMbLGt0p~p!RIKgl{m(^xkNJ!P17j%NJ)DqkcF#>s%W2 zprlJ`nTLk^XpzJMiou7I8%~Zm@OyS(kr#HDc!po~{WXw2G5t;S6yp(GTjDvSN`?lR ztfdBCnl;HZFIw`Y;R-a4?I>#Z%XZoGP{|rj4GeKLH)t6vS!!+WU>TB^plxRqUtDq= z_;%+lG36QD!85^>;|%dUKXOIeEg7$EnEM5#4t{+)Nj;pj1?a1eLXbT-D8Iq*w)NM1;@%jFO|3O+W<>tV_+T{fsLhf zR>>8!@{Heh7 z_OuPHi#q^!vkP-UtL@P6+%aVqHYjS(d> z7-Z>N6Ggs8~c=3!7EM3gC=vz)Kk(9RNG|e*~{CfNGhud^`2vazR zAxU7$qLDSc;<;({s~;3hjy-3p!kG8mRMRaj6x>2teMaE2)`hX4XOp!x`bV=Q!RmoQ zkGlYR#}WN7E95)ih{!4Df4{b+@|I|10`_>(Pjc}R*1EMc7g%G=lx{q~Gw_gNfGd0I zwrV~=BuoB^?^;+>y7E0^aVF6+bHR!hw?^=XO&X^ZMTlT<&9;5iwCPg%bA-aUCb6j+ zSQ{6tPX3Jy+8WahaYjse!HlUxB4vd}ADOMncxNCm3P;NYbsT|>OYS6~J+S6{1I%Z% zJrOBAYs)gL&~huUFjn8OF)gV<(~cYn2VK*XIb~guk@KKe=UTd#*`mjl%l|zqeqHqEZ2W!)fW@eRVStv9QjbERzm*crIc#f;*eiBeLgO2Ylay`k zSy=)b{kLY%dPi?zf{A)(G~N6td-{9_s=NGkLTg!yG}T+?#a_6eWeZWmP8-*ctZiF> z%wEIPw?vSYfSD@5r+}GSbnG2+Y|${RXQU*0Q3irg!V}AE*Z*f(~E^2Mq!@Q zWXhS)(5s$oL8LRuwMhoILWfz_8UzP$Ph9#;2iD92r7$RL_sE2+uV+ z%Pf>hP6j%|vpe1^=3?e0gTY57t~5hS z;{x;9TGvBkYOa_P}sU zL$j34NbEAGWI?%a#=dZlyNR@2Cjk zdfw^TugTy4pn(YUn$-XJAj4A1^izQ~saKl;Q+&)+^#t*EgVg@}xaAnd#`@kogAH!Dv`fQhoj$9u|bz^i0 z1=-<^o<|}lF%QIjeap2V?tdzFAwCKM+GBGASjocNtbg zU}tvRz?0oWZ5B=*#B_?=3;8WmGipVjPW?Jxc%pw^7U!8`qZ~$x8_%SJAE%!kPKI2T z^b{-C_%Nua{iaw?DRKQCig+sb7u`$me?3kTg;1av-PwUSk7qmg^z@m_eiz)t$VcJ)%umL={0~qZW zJB~$o@vO*F(avvnl$Bu)3$Idp6{iZ^mT_@z=33*r}q;h>fisJk%K*dltW1Rbb zSw_bpTX4uuNgkK(Or8%vVL(AxN^+m|U@>b>#?2m#NVnOq70O95Pw>K1_yy$#qX#T{ z=ODTLg{SPZo)+T{-B1C$A+>YhnYFdfyP6O)qpCbyJiKmvhyGw(TARLB4Ceu zCp15~MCg3GXr4ecuM#Nbo{epL??aQS;pnzi@pQ)NpI3{@|qB zJ!XVO*r1)cH2<{cjDz3(JK~hp@L3R#hNF(qaCpP5No6!8^GDT5M4h`ki$XKnDRMj4gTc6?NtmwwsqajsWG4UAY(2TSkC$Pf6 zSfj14A9+I;*A+~(?lw-#HI1m31HV~Fo5= zh?zxxA1bJQAPAwF>2N=uQF|cmCwGRoWp{|yIK9d8?a`iF5J-bX}PDi41(&#yXB+(LEV#*0c2tzglZhRq%p5)Q>iZU+;t2F!ZB*LHqP0yr8i< z^CgA*UhJcbJ32GoLfF?UB?*<5zOZ1fpydwDn-Bg;zPHdhPX4uO$6*n~SSu-^wH#cX zQ;;aZx~1E;-M!nkcH6dX+qP}nwr$(CZEN2?=T6K-%u`iG=0jyh#kVS}R<0j0#mG*w z8uTYQBq4T3R9}$=_rD;Gs1pG7v6YzF5;!H2omcZF&&kJ|;%VJ)cL!6g4UYixV zbo*cEW@copa3n;)U$VCNOl{I~g?4@TB&kW2tliwQPNTFT??8+0MjYP?e1rAm|{zg>2%S^E$Y=b_BjXq?@=6&FBJ| zHAf-Oq20w9n;(m^ubF!z(b5AM^_V);S#VX zDRSfLrd1M$p9g-fIS9@n`Og|#L=h8BP7_=!-z|lmW}eOI6xF} zi+Mq*P9D(FEJ9<8>G0O?###F`*S93GZW|5dsSiO;eev zSZ(-qsr~z!LL^cFzqeuC812f7>kJv0Clo|Gur_X!drCaYE+xo0)+u#*mujqi+Rd<@ zJII7dhHCy6e~&ic8_!6Kro+Mbra0&;6szq!gMc@y3>o+(Zs0WsSm+CFCMKWv3nnVd zdKc4Qx1v*F1x}@s42)8lzSW%+rP>nBuGgmI=9);0m+C_n++GIttys=-#-VPZ63>s~ zZjqqI7{1Gauvi>k_CPt_T}+@q(3CvVxo!&N}NyCLLE&p{q`;FJ;4H zKit;nvoN=yX!gUK&B3&y=h7ftopH-fg}oIXE$SZlvOJ9+9ziSJX^!V^{A9FlxtC{? zq=0A@Tmb+RSAgHet?)E{OneI1{&&kRP1t8{gmzeLK+((E762$BT$}GU!)|$??@`{v zfqgrAY@Zxx>9A-{VCJvtlV8-kh35_FXpb|%y4NoJs($-D@#L@STm7m&G9i@se^oy$ z`Ttja0jB?_`W7pnOuhfB`nO$!ic6P>wh}vecejjHA$2oWp%)oEwm2NY*w49_L&4ekJoXW+NCG06{OO1`0rSJrdoLa`V* z+xtjdHu3G_L}|-HSNw(ZiO`w8(ifX*HS~eq?7-=aH+?@h6I_w+n2 z7zlpKNrwsxfm@g4o@8Y;1do>F6P{n@uXC7(M${>0b5eU~Ub9uw;mh0Vm21{R5fwfvk82}0!(z%p%Y zbgj&L0#!v22L<`^#hegOzD2qXCWs0ILga*-clqY$rmrpYwftuF!PL{^19filiTO`U zk7tO_7Zkk9_YtN?raQCp7pLq^1&^KY!_}tasx01TM6B)Z^Zm;!{>75eN0tI3(-5zV zXGTEmX3j#sGkR+!@+2aKi>&CFNb7V-2&$wWTj`IYYy|98U4fO*{^}Laz>29pD+q$s zRbSBt6+LhCEOT={<`(VRJYUz7OnFb;dXQF`I+C0>+sV4%<^-VQm6$HC4 z!FlO3{wTUSN}~KIU1Lqmy3~^Y5*YjmYdFhBk(zE@7#KZI`w82r$j6!-Sd@2A{@aSt zZAh6=1LStxtRR1@D_p?(^bn?lv|H27pF01GuBm-AbbjVL@ zwTE!TWzCd(aj-t`fNA)Xr?zf1dt4BqM7V%sJ=l9h^=Whjj^bKlK9bA(GCYE_1X)&= z#84ECIB~W~M>p~w4ud{Le4cfhs)<{=bL&p9KINSaZ`0YMV^OCS@};USQdO7&=Mc3# zbRY4SSJ=)J#6r>4la|>k_q~B^7!!2N9vL-c&J~tzAem?+*Is!YhUY+2&xlCneAO;GC2T`@B+$ z0++ngmdEB|vU#R+k%H3gb-E{^RqLmvHcj3+re4Rv(i1|xqM>wa>o%{1&iTc&Q^;Hm z+H`O)tl+f(~TvT8;TlEA%kIgDd$;^H{2vw0l4CFPw;0qT@BBS~jkiG=q>c9~Y4iom|A zUALSw9CgZlROA0q_zFU`(&OVI@wse66e3|*Xxo>8{1}6Nn2;kC`5Uko1G$0@XBGt< zB#LNzih| zDj6$FaETPQDuEabaYOEYd1qvf1HC$>LqyxrGj(+o^J}=iYZ;}r=j2G?>?ciu(iZxoJ7%aFsfh=L@z^S*;)u5*!k~M zou>hHJnsayottWEYIOH@(qD;(I%W4%7iblOSle|>HX8xogn9A8SBMrs4iMNXbR-t~ z)C4~)SH2^h^6!R~hMD&<3YXgjA`qDt=P#Pc3#6!E$2B!ab7HcEzgZKKP3x=&<8eOdXcS4twI+3KD!10Ue2fPFmF& zi#92Rv8d0nhnvrEzI8Bbm?Xz2CMdj;N5ZiLLvK(4hUdZl;0Oz#v+=$qC`2}5JAo?0 zpJ2^~sJA*9JxrFKBI$Okit*6}#lRS65M_2&B}jv~rBW$?ahyD!;09w01We{74cXDW z^CAL^Lj9c}CMWSivxO>CW^GtF@%2}A-GL$MEY&4UDyZ(3C#ER@%|NgDKS(odU-3ug zHF*gUU%zuU%tRY;exu0Xp3`-~?oVktQ`JDBKzc<6O0e2q}Bnvc#h z0mKi{UJBey05jJARYQY8foewEmox=jW%%ZNAv|r2TU(93d_!Trsh|5S1wR@PF&m^a zMb)A+MW8^Zp(%>LR$2!cVeWqI2%pXcV zLp}>Ll7Og*ro49lgnsyn=^(%jEILJNe=e{FBG9%XGy1!WACQCpV76ulkFQid)rGIr z9-}=^k(*}%nL~PL0x%l~_JNO#^LuB`+^`L|Z_RgpL|m$Eiz7wh&ze;%v>frQr_GwivYpb=k=N9*|+) z9+8Red8zSz)-?%B!4p&C_Be!}H`8#b_8TM!^EUw*Q?p0V|ns0s&u;UAAfkd4OQSb{;x z96F|>ZWgyG9PzBDe+G&^ z1cu}}s59kZQ+yjQS1b%c_`&;i-}_jmuzBoYL>JlLCpy}bPp?DRh-+%ht(8?|P!mJ9 zM)Zt1L~URtPiv~Rnjba^>20!3Q$I}`9$x_Swq8h6Ks0DYRwPCMjKwlNw>V{oNjv?(5Ug zl!|QRrROo;SBk{MEDs4XUF;ZeYRe6JI8!$GPWj5pvr#uaPid}cN&d^ zc-=Te38}tKHRcBTfZ<`Zqo$)U3z=Kxcn3|6lj?cy)hNOpi?s>HoIw_K05D}O|FZH- z?(uaw9|8b=cOOCEM(&IcW*amjZk*M(6;uVMw$E29S^}I`_X@e*AP4su{+^4docSdL zqbHbsjEK*^cGj+uLUu7R<lzbL^$2BUW6zTQae=e4O;%XdT?i1BmQC_M*Om^;2$~*? zGq}ilL>2w6F6Xt=+TMSMvu+-gu!)G)rx}zk%(|&1pxwbGpalGj1GqN;am~SNghN6h zvuy;y;1PQu2-4}qQ-1mU%tYc<6NUZA39%~H%)nGqT>?Z_@}yV*!iUIkG0~u_U8V$* zwto!6{i+fl2%mZ9^G+^By?QX3FvncLtu5;i8#bb&zXk7uk|Xx>K%ou@+jx&4BhDEi zNRD_gkRq!Aut3*3t8{GQiY>>Q>|<%UK|XUYP=kh);_Oi^JSSyqb3@Js zh@&3J044$!dZC^`{mT!6_N@T`4`6R>3Bxq;?%M(DG21~00pmvn2&e279!5yXF+e^i8EaR5-pU#yoWEHd1zs(q2cIKMbmlyO zNT(0>#gL#Lu9J9$4>}j7x`v$-aBn=#vqMG6O4%zV#;)wboHI&Ug-B$4_Qzjih+fw^ zGri8DWdJe#WzK)Ho*0In%=Rv*H%Sg3n~t!Vc^9M81Pb?3-H?f1B!HazA#q-`v5$Ke zT|?>PF+_0x%DwPrp5uIUqq`uTAXBsipHUYsDM%mn8sFWlJiS=k%}>>BlJ`E%5h zk=^zLhIQNDg(Yv11vYT{5ffzbSOb!u$P2f(5d||MK7>;?(f|+6`p64VPc+4hXlE@$ z`K^CPot*~D#%*{$cEMwZptoND4XykICfWxF-w!cS`H(;@_J9hdSZ)!kwr&omqhO=d zH+`NNiF@^FgblldZ9pOYAVL{lgg82L;~|7tJ!uBnIZkp!#&bMju8}2YvmY5(8s-d^ zuNXT$a~6M{Fey`2VBYiNo%^Msu!s%%OLsgh2CNm0Lh*rLFc>k|Pl0wtAPHy^YCXXX z`;|(Ge7g2bI@RCy9+cjC@YdZw(vaeD7%Fz>j1J2-h~cLF>qM7B`lT&-=Q8A&*oPbU z-!E`(*DQ@*I2l6@Qc_>3M>dPqcSPm6V?lL3R(6<$&o(8d@0e^O7(XV9Re#eJ<9sGm zZ1CX*clG0N|H4)cB~Ws+2>;FZsPbbnG2{a*Dae;#C*Gce_=16J><4-<``AmK>^`Y7 zE$&_)&a2I+|M2D4ox$%z#uKua&URfEXk5w>(7kS*SOnMPihdv`l~@!WFBT*Z&=sbV zn0zE@hr*EPS|!cIR9Zf6PpE*>1-R4?Oq#)_xo^`4U+3Y%X#Do#19NGLKF4x-CSLcW zK{qX-v7csH)NwF4ohR;~wY!G)MjuP(Ig9Fxe)eMnT08;1u^m5qJidBj6S9r4a7nu5E2^W*LxH^%XxW!`mduM;Z; zp3#*PM+1GFU_3=KS!j}&TQ-ByZlr=XRKV3hAXOMtr=*c%=a`;O3t0~GvTs$ifzP~b zzegoSlbI_Q*lKzMyzvNgfFrpNbE)aFFR|`~^M9~P);a<8FS}UgXP437Krtm&`pmUJh^rv8aY0>h%aU; zPLpwFhSgcRKDiNTdtaS0D+#FMenr1Od6#wbc23FNWNWq9e12w5&+<<~^W6x438GOQ zI}E@M0RonKm!WBZ0%F$PR#4{;beWTT%M@bdgV|lsQK9l*8L&NO9dUN;BuFqD+E*Q` zu&P!x&1%__8E#vr2@c(t9%NXH9-kqylG9C#PjBA4!x(7Q*ts(*Fqu?BW z3x+E|w#Zaiv^PuA!gZ=7cxmd*p$hrvxCe@s-drR*q*^60;gz!c@aQ9X<}QWCwfhq> zG7c=Q@X1&c2h?l)q+_Jj-a)q+hg3dt6HX|q*$)d z+`KjhL1{-5X!^3c`jLMW4!ZKi5zC9;N7h&r&(vnIGX3f6>UIB1QG?-4T`@fG9Xo<7 zZrdepRkCljPE}^)Brase@3?n|JYs}_E3;x8z`O^mnx3}u14Y+6)m!}AalHT?^zqv{ zW|-z_nEFf=@3cdlf5yEV&cD08^mcUT>;1duJ`p)x9eDNqhQXNT!G63|>&efvayr4X ztPWy2B1MrXB4Q8VV};xc+P7u6{39Q^sdTYzFFfKg+K(ZX?G2C6+f=m&&l!jhSPy3F z$WK4Eo;00$%e@` zPaP*{Ia0Et?@8ONDlo z8R%cc3MF`L-w~gsEEA?VURnUSdS=Df|7MW7&QaKD(dk%#sGapQ8T#H5Nwy8XK$ke= z1M4GUf=8yyepHeu1AXJg88CwYF2&PI%%8wKd8Xv$Z8svAWB4JVDowBrdb4UPj9>Z`6SE^L)nWG`hIdK8AepMl}r2Y-i$y=Q&DrOQ>>Nj%{e+v2%&<$c6 zj(#rQ<3n+fh(ec3C_CEh?g4sts&y>3j4h{AH>gw!qz_ zinK_Jq?3LY@1rp)E=dW9jTQ|BunBJp1Dq~~9^6Q`n#T&9RF|;R-my~eL!E~w3j_tm zp|J8yS!uP+e@3!XuiTwuQNb}fW@=g17~JEmCGK~CTx`$>TPb@_ymv$zOZ{zHVe)!9ChakD&Bt?_Z{RyfHjHZ`93=7Zel=$Dx*nd6;+f{4MQX+ zhnPgof+4$sFdq_fSc&-2nE0;;$CMI?0&0vH8yfra)~1YA(DG6x8I?WrW5*SGRAN{@(A4%DFp59 zz^om*=k^WKHwGtu>2c#$+#T)D<_=~ zEihCIsVdwcA=NPjWO=0mD^{Pe34p`K9tz4r$w$1#^6b__lW4ruy|OiPT(Uvw+baoX z1DtXbX~LsHSaLwuC{I6$Y=`N(7pW>+QPpsS)JsAv5eb9*cwp^IgjvFmq^o>;Zk| zUHXO_P*zhalj)A%Ld#-~|8gpn;xZ=`7r6vmO$LpN0=HXx21y{DW@d~M`Ok0JH=S4m zyLzyP<0Q;x#G$by(d;6R+ilY^FxOp!;-v=`_(khAkU~>pEO9Rx?0Oshz8kS*n$KC* zQ_CArd~de$nl5;U32H@+nMb#oY&Qj%<$)J zkP2Xqt=zgX>%pUM)u>FUeFDIxT~G}{rSHMh9Bj*nqp#Sybe2d`fFl~Ol=GXql;>Vn zwn!WQR31!<$fg|K9{NjF;VSv4>{V%SJB4x$gB$MEfU_9zV^ce9FPj*8_VA2=cS?+t z%d7Wu@J@UvduP$Xr!&6%F3UX-%9AF>NLtY9Qj>HvpSLa8@AbC~jSZ_@M$9B%Ro-^(X- z4!5^UL8GJU+i0k|9oDOq@L#X6#Sj!3GKW4<%1LImam%KYvRS(Nl)E~rIkqkG*RH737=qP;gyxF~l0tma&aPflL0 zkHIk1QQ7mk1YzT3no_%xc#3Q;LiL_#&aNE=NJw7MVle(ngk}s^Y@xNK^yd9I5 zrb@A*8i>mIknWKLzr<=j*@QawX_#w3sGN5ma}5isvEioHMDS{^oLwfq`sNq3hHk?17JSm9 z&_+*F*W&*IMn8iZWAD6$;0F{{(Kpq=ADKyMk(R1Fy8QL%io|=fK8$l+t8!I=m=7ix zg+STSXczN;D5-YdbJwEm$R(zxbfpPs50hJWO{_YD#;80?>#yeJb`GHY zV+yx8pW*s&t`dD&z_*)z29X+{)Wz=*Pt#)^o-7*4mL+49W z;&n{lgkd7xvrkAt)7#Xx<$xpplfU4%vSa|l*JueRI0dgZR1u9x!BgDbS$I9CL$6tH zImrKdPltGS3=bZCF_Hhd$%fxj+;fxkln%WnwcYPBlKkA`A?4owx#uSSi55J_jpede z|Ek4pf`d#22SQR-!LUZk=MA273Wt7Eyso35?a(J}$F zOq^dz|GMSRHS!uu*eVMdD$r`m3rTa~U~!TW4Td6u;F|wtJvwA4tbs@tH=0_ed6ayt z`m}VN1Bx&>t|*QO<5vA6o;3BjXuu9K^#DP-Gqf9sdmW)r%)XcYeSBgjW@l!2jfqJ< zB|@Tt_I>+Wf|R36Lyn+q5r($tW7xS*eFqWgrm%_p&=VFNI`YcrsN4)x$w=BM$R4{5 z2Zl3I2da2`0#^;UDw+*vOe%5cg}vrc_ytEpgKg85y;g@O({pK+6}s(i?&0D6r|(*= z3rVK}M%pJ8=}sSL*y*d(a!R0m(?8wjixhxtOxry@qTMrF6?I!$CYiG%dy9V%38S-6I=tstpQAA~$A0**odfY)gdNrm%VW zcXV|OeqZa#mJ7p(Y5C;JK44W`^)PGW3N za=a<>q0FYo+-?l2!d|JXW>t8*(D8Z>;t#3w+-z6XQEG|%)$fQdIiQg$hO>>4TD1xq z`6^2}A>Z28_CeNWyq^FV_fI?U6m%W5h3mBx>jBG#7*K}=D|BaMU<{#48m=(irG)Kk z4l|&-_#Bu~qalXuGNJ6CS6A)pl9>j)L3&!`tS1Foqv>2fii@6hbXp7&_**mneCmM&?? zyKpSaQ%tRGNCG+6Q!ejptVH8(?n{D2&mT}j8cT#t_5U_azrWADy1h+3Jh zGg+CoTUwd&qwNHRpQ;gBjVi;W60a)B0kbh?Kt(J)GN5A5OE@ZG=1sTMtZBCrM*48{MEBACv@K#rToo1GGDw!#X9`Z@@2j*E% z#{i&fwb>9EGav5~+l*L8E17wZrmM!(VUl4mR9JUd_GE_^#_!N5uHyU-{U}a*D#d;CgH( zDEngpa-G{@@xw=sVWksI-P`Nl2dl0y^zc2Rftfw_<$w8kF%OFG#DicVivdN0;4WxBU6%p9KXEYQu z=1+2frkD9LLaz|B1eUIlUa)QqH}ZHt!%u|!+x^6<6)K}8qCK*6xBIVg* zqeMXZKW<*mM01j0q~XkV!v2l=FIyM#>79$TG=Eg1onF>1g02=OUAMr32Tm(kiyKDC zuyc*xA}Ti!a5z%$P}a!Jz=ji2T7E;^;8Mv`6lr>h#ZXv5|9JmX$bwgiG9K#K0KL?P z%s7uPOpnNhNs>Y>ZW2k7ibi2@pZF~WPaH#)fzYy4Xm)WML0GN}wS06S?|>pLrW#L` zA!hU27_r|W&+N=;S45MsQJpf)>v>yjpX9rNU7Uobw3yLQnGvXcs3Pt8+(e#1pZMS+ zY3UYe0!P$h0oMvtc5+9uD#*%0p<*&wQj*;`Y4WOlLY^sRlSGvm;g~e9xrt!!P>6YK z-|V7{JZj#Jsk}%*KcYN6m_}AwV}a(gSZIQTKTIrKVwv&XDG{9zPJHe`8qVIdM7R}n z?}wKmg)UtgP~;($=%fz6yd)q2T~QvYL2@;2r!>uNPMYqz2Mg)VrD%wO@9=rOZA*QG>6Z|c=`c5Td zH)vn6QdB5WEz4TSYn9}|LaMfjQLzIAcjbb&h+6kQ4*&gcmBSsU*qdeODjAD@op8F-F+A z>rYdh88Z(ib*3Fpn8nD>&#&7Lw!PFg~RtBsp@I+S|_95mN(&(#cgWLoxocvuJ)5yOjnzcZ9K-nS4$V`Pw{0Ihw zXgvA`7fAf{(F2pzRNX=ol&;o`Z&tEe@a;?fSC6@GfA|jk+Sjey?o)%zZ;zSo9LL(z zt=y-q&wG#Q?-$FP(MyI`kEw1v$A6!OH@UXTO}6tCp364Hc}(GmT^4?sIdZigHNkaM z{D7R&!14K~i4^CC9*Eq5hV%Lvw(8T*JM700xR}34Mu7XQZNJIzPQj<8*y{6Ji5N z9v9_}W_5*O@+zNwgd0T{>h?hV8~wdm@c;5)I;%H&yM_;HDQ$D%55G5eea}TNUNkT?AF@tTU6u zG?cqmO%Y^LZYu;>QOYaEG=y*HE`vxfa#TRzjuVxUx@8XjtKd|uv7)^BE4sckmgH`n z=xM3SifX!QoGKkHM)7;9ny$*4AM(>XNm?<;oo2OSO-h>y_=(Gjm?|BW^*%wGQpG(% znlPct65lL|rQ~S)P*EbHp{vS*n%Yo9dNZK29P}1lR#~@?qogR?kW!YxVV9Jbkx8Y= zl554dy!7L*`j62{w8bKT;JJd-B}-LFx{B<&qU68@mBDI?1(`__C%$*JGR;UTHe%c$ zr7#UjwL74FpL-HHPb;TZns)X{l-By4CPk`7i^k|fo+>?<3oJ=`)#BLvJwLglUMo;# zCm~aXC$_Jtp+a^Qt+}D#->p2PE68geYb>#2$V6pv>RdIy8|HF(qOn1_KD+@i(rr$} z(1esR?UG6)a})#9rur2`%3cCJvg)tb(aqVk1!l{mLGAsi`BFQDI!<_dy0b(FoFT`L zU#|-Z?d?qgOL3xtF!!1##^5=?jV*F&2A&L43h!Rw(vYr`?NOpa3$ix6< z%D%scL2!Jw^mgxRUZ6VDPm|QGoD_;RoL4PQE&8#skl~tCCNkEVZt)KrC)P19c(7?`>ZFG*-5`WO&~S9v)Do ziOw!iX~X?0q*#-j<@j2xf`=1G)s&-u>bEh2>QbGyA~>co192|oA2#!x@xY|v4Cg46 zVIz2Y*i3Nj#mhfq0OJ_2lgtrLksT+A`@4Ex9u3kz+N8e zMxk&44Z6cr5+5%f^x?CVF{(Am*Ud=Q*O0L$hnY=tLRc<8T25q)T1$XZvTA~a{RGDf z`mz-QG#bqzJ2Eu_k%mIZ%3Ns5SY!ZmhAjsnQIXW~SN|5G3=i9T#(7{J&Hc@+Cu7O0 z&OT{_F%q(jSp?ir3tV#$(^{!|tSe#K!ZUI)lsHdI&5OR=EP3P6DF2%XmN#0}N(qk4 zHz-PjURTP;D<8%-s7{i!rMt=jJmp0kc=$r_%nV_}d_}*Rr}Sy&ftBRsu?t3$SI}Ey zE(c`A=rO@;mfOjn_}fnz_8=%Bdn~NfndX z{dOvIg5?q<7Z~2Vw2)xLKtM*!@4KYgLzwUrk?xxEV>wM-enH+R5V5m$I5avaf!^x| z5U14nf~6`rzSpEjw~IFd0;0YyeGAW-WuG8&VQerxhz=jU!2jQz}48F<0wL$|_Z4NWxXfu5r#ZhX4P}6P2$fmfV@P=L2z_yzs5S26_)(K^ zg#IpqbU6DOb(E<1o)%7}v`d*u+<$y;1b3xTyII%if}EYt1t=SPwUT^)AOL>K^|Qv~ z8PGSd%hb!rGzZwRaT6JBv{m>=v8T-vx8xvMi6vQ%a$?ckgYO$60}&QX?j5Y70Q7CfMrD*L&(Mj}#+015%6 zWu$mXN4dt zu+AHnt8Piztw&Jf@kqcxaFVVSPIj>!u&XB2HDmhAy)Unw_g7`er#zBkfmL*H$I0rE*1wsT{9ODZZ~d9A90SR5ggC zM?)ELB&@Mw?Qp1hRZ0of`)t^&q97|NBKoh~d7!Xx0?&etQr_n~Fp8@bw|vcP1~v+$ zUWCCr)8;t$ls5xS$->={~8bz%D2 zkwTrml#Eypz}%#V2ys+@w9IPsvQ3VJ>a@iqr=>^;<>NB+jo1xKQfU;k@pX^>wJ`bT zhA=zyLBW7w(Z-u`z?t2yb9=|5#8% z)^5|Uab27y2U!vlNl~Xum~V*TBw+VwAh~jOeK|pXe?PKtO|9H!E@^(m`D{{%4tnMK zC`$0SD{`t6?ivRbK5}eCV)_Vdbb$b=guy~LMAAI71+J}S65#l55AaO8SuzfJw_S#? zCL|u4g zDx;LHkoZixnZjJhQ#)>!)=w)REuZhvXHHScP$!-H$d{x$O$Q;_@5dK!O%}pIIijL4 z@5=L!Um5fbz}-d-X&_^l;(t?S(DnIvC!AuH29|K}Epkm_izS>H8<>s=ha&{VMAKcC z`gp$fe;7$Z7Pf`l%#AyrJVqZtHHBY<)d9@Jg3+0e*JN@VE|7GhX>;(j!mbG_X0sa> zTR@)!Rh3KFv>OgRdI+I9QuggjrZ_F**oP8HT@0Zb`6~{twXbY!K&AyrX}TA2a|xLa zt2&S%pJO+iSz+Ffx?gqdN0lQ51ypb4v$Pt<0%A2CJ7 zU>E#cegwN3Hf=}j-uFzK`43X3RQ(^Bn{lJQmOm)}-?x%XKhDIr_{3w%kS`;jRLpqmXj=K#YpV^37}DT)8gOos65a%0nQ29ugnjN)#jr%|979^XxKBo zl3b>8-$6TKaJZr|f8X6<8??yo6n|I{wFnn=SGaKl=R_+?&X4De0z)3_Z!DnJf3Xd< z*^PzB-DBA0B4i%c3OpA=YmU-xE(H$SRCKpO!zstjkGC=)s<|e-@bNJ2CwXEofz~$h z)QQh#abmZfIezouI-C@O*$|Rbb=8vD5bY`P9P@^mZ%SkXn3tLiL_o`Mj5e$|*#}{5PhW0f*S+TS=8M$3J?RaY5Ibk>f$Nj+eelc&O7w>}mg+s_DeMg} zKHw?UWmxjlxb|m!mB1<0vDczUxDr?()^WjvgHSj4Sr^RLNmhbea+UGdlmQ*gEr9cO+X7ef89u| z6zr|nKyWgXgvd%Zy|lZ2ZcF)BEVvF|X0sWsy!c|p?Bp5FAL49rUPJ9&EX~`y*<}-=;Zs zxU1{iY2~U;aqauh$NL*t=I$cRdHcGOd2x%FoGDH>h)Z)?_o?!q$bss=4=~K$7vV4* zl_{I=n%WY3UbF|!y`QCUp5JfIH%a$zm%2UGHLW$fooI%Ku?745!b2NJSu0UB%OysI z);f#W=OT4uhSKoxuG-gs4BD)#QKBu5=r%009{4y7Kn~RBfE&MJMrU__C~RJvWj<@6 z&Do2Drdr5C*UxkrQB}q?H&Su-Dhw>;!mMv8=IME#L1S=YqPfOQUXHj zDho}PBbHCaEAn|7NtVqdSqOC;J@QDS{w&k?eT?Z&vGg7Jw-_Mkquu;z;Bv>=D#Bv) z+>jI2Yh5VR)2FP8Q+~AD%Mc2agAJ3$_x4x!-X0IM8X-6kpZg!G-Z4s)CTJ6E+qTWy zwr$(C-M4Mqwr$(CZQHur-#fFjJ3p$15glF!;r+Ap7({^Y3S$gwwkGD`^t zEM8-^W}DH|*D==sz5yi=#L*Tieox|nkf_gJQ*vmTzF|3H7+hW_sMwa+e+{tH*O7U*H}znm(ok@ z5jTw>%niyZACNv!0($C=laSB;EjzqsCnq7QWe_gU_==F=5fyjkbKe`^Ywmvh{C{gY z!Pq_hB%ts6yG)lERb`B>{a;SRA3x^CQB@f|WttmWj{lkm=hTlN4;_Y%9ZUy9cjqaK z@%yYlIP;o}Df4&l-v)fv2cdk4n&nymN3N|+@sZO)4Eqy$O`yolfzwrl9;di$Of!8N zlS87U5M1RM3cN}z7-TqBIpqv*5Zg)y-+&nyMlJw8b#GjsHjU0k#5a9oajX#8UYbmv zefyswIeO-iM8z|A+Pm(MVC_U#lgyh(Vj)JH;1NwGj`qt`OrJ7UgmkVSt7<_hEd^C& z{E8qE46@`G{Q&ULwX>`lCu1VY3H+CXnS;Yx8$hi9H(kZaqyoH*c^(!@Ybacn{ug4J zJA^qCwUpd{jF;3pkthfjC;0fI zej?;VL-EUUi-tklR!+sV15)EWew27PtknUR z_Em4V%@!6OH)S##JmwK8z{-=pc$OXj&vepNa0hZLQ)H{O=u>b{6F?SFtqIR}W$O-Z9^v&T49r6%N{>6y@s zRU4pE^`DaMJ@W{n2*)>u`p5OSb2Sss45+~nP51w|juV<=h6%3?>+T~d)YG7-jhDG` z+Q<-zlz|yVJA%cZJUt%>G8w|$k(~Q*YE9E#348+#>yH1|? zWiW`#T@9SX^_o7JtTK!Ze$A-j|CkYAyCl~2n6vhUq(MZ+|Mq#m`zz0OMVk6 z<%kZ;|3R6xu_2dRf~8V!Be!yyJo}+eBpO2H*BNDu##uHS_=@8{&0Z@Ra^+Pc2&WCX zBK(3M&QzlD!Qxorx$nd6+yt$p;D6Z>^?iZ!G}TLQ{0-67sl?--^(cX%#5~~Rv|qPs z(&sUqfl4jsnf~7`7}o&!K4B4<)Bwgpl}uLd=b+ywK4qp3H4vilR}shKhkInpaqCJ8 zqF|jmmNNgB-yTbJML5!UP?u&ELb%8IdY!sEs&n6_KiRrx?5yTIpLQzba zb@0q1`VVZL3+SLx3BVZ##{i&@xndFI;elx&z>1Ps_u`N3OUVN$^n{f=`+d)02uLuH zFV2Vtu}fMe38}zz=)Th+a!{G7!$Dj*CMVpqQ*1pxe>t}eBGC~qj`0NPV+p%&THekg zf694DTEI6V1cEf$LdPDC9PFhM`h6|RiPS;pyQc<})d{s2IrEHR5EB3~dA0>fJg18^ z{{PNG2bk?(x+LANos>EYD9A$M`n-f?(3(zW`2UG8n1Xr9Ye%WaZxw~>1tRgyXK0#NKPG$APS(h&tj}nBt%(SRcY2kC=C&K@EVCAh-LPqr(rzJeZLYS1OvDm0!MC*tf?}rP$Wb+ z+7;@}C@JGrZM+t;2!UnxPhxzJNbL_2y1?O|kBZ*r z&dh$mdKXZ4e?Gj}IEEFfI20&Eec&=)vx+#vZL2RUC}te3be`2W$H~^_<%|QO`UY!z zycoN>x~s>yX_mNobW71Vy4$PwuhC**-7Q5r9)BhkbxaH4-s-?$S_KxfrFeTR>B7T{ zq!KdlYAkL(3_R=QV7UAQ{DJw@X3)wj7AjSX4Z673n)@(?i+-Z;Gye6I?Y8#!EQ-pJ zJMQuRMN$j{ZxtBP3Y&UUG3cIyD<%(rlrCgLl9ej%dzn~q!Gjs>5i>ourD7>AZQF`9 z_=_lJ6AS)>`8{ZSv%@jni5=1!N`i9I{JX#|KbFc;bks8pvXd6!CA#64?P~b54BA4{ zNM)3rMYbO#*R8Z88so2YsB2@>=iN`hPP z1*>;{*M8b^;y96432$L&0rSqqn4JCMYD27&D1J*t=!6R*;@ru@zO7{RFeq3x1G1!dP1vS_I2%5s?k!Le(uI}md(5JA~91@0EmJm z@FTZP@+XDLN5k=OmCn?}_>6@jHQnFuQzy`rDfh{oG?VF+cz?kkSUC-W^>~#9;f*n- zdBeCvbnx^bVdp?E{Fk(8lN)V?KHOSg9En?b+@+LMNruR-r303zXe7NKIxS`nr#@HJ zs>~(w((S12q6m6=BFRZC%r@{|p!wbRFjx7bwaL7q{dHOt87}-1m|K{F(4Zib! z6!ra9_GkuQL)i`_6LaHuU;KIeNLIM3CgN-4jXS{>I868>B{;iGH5)I~JYlpt$too2 zcBpd2)oCU6wBmx$QUid|+ZJ~Zn;h@|CxQd2Fnz$DI%73D&tn}|(})JOv0#;gG+8UB zbOnWi+H!tKyFk?rbDBENTo=4Q^N#x6SkQ#K;!ngZUDQeA*VGxb#myFfL(`LmMUJR7 zt_N^EB7LH)a)ma*O34gFewwpsKjt|twg?|htx#jBVdaGcIxL6rcb@kxcP%n3iXgpT z+Ecyq97B;g%~O9$>iY`oQMRo{+Zf%~KzzBf+|f?RFg@y6p@XXQKSNh#yV96!`OXcC z<%%q@O5eHY21Ex+P_Fs+x(Q|ns@T<_sJl(FUX0aHk&>oIB$XQzJxV2ETyApXI_8-8 zESVeJ?zW_1W119^1~zi8(-LfI;!Nb=r3~M=3}8E8Fvzj1k^^;?t z>8-RtV1M0W1U;I8%hwPv8+4*M7klg0@wR-+$ga7Wr91~~sp)tZKmkTv35zcdHWCln zY)*pI<#Hnmi)J<`awM+Thpi(l#w~RwJ#tZ)CmT=_|IE4g^U*gl`O_xg&it9sVHrgg z3+G6FSn!?R9D1!*8rdp9yX^+5hvHI-v}_MQg!gDhVAx!ZqO4mc3+IAd^I$q0( z9W_S!CPFVvwxLIdK|#~bi6V(&Q{dCPjBU|lvaX2`5>$H^C90`;npUSB4X;eO9Dc^g zS0bxy-0OU?UEGeUKm*J>{(*8_il7yHcoQ^dqY5AE)^9UsGZ4i>I#J=44FH5GXRT$a zY0k7~^U^uV^Tmaq89QzIYjQBs0x+IJ?@WWt&Q6C^!)}LDfA&q5Rq`BleFgfr_c%wL zCnG=91uUn-wA=n8O0-;eEtIAGbF)Mk%PqGGi>l_#@qvH8Qyq#W$`%VhB%>mHY|$jT zz>6q-Q)|hAa`^>f#-QdHy5CtXm7+d%Q-fH~qG0lpu8R0?XwMbCwbs`|2WJ_W^r-iy|Iwx%2uju-$%3Ua%@OkbxpHUy+_{uT_-r(;vbUt>Pp+|`+i(=}xV zdVhgZd_PNAY>8`RnITxNanw^zWhbq+hT8E~O|1k+%M7621#F;a7TcRb{+NZuTzLu= z3)kt?IL_)+OtxPq>4Up$60psg>X=-iRipfXW#9{F^{-fU_GaO2;OA zv~6uQKDz|D-BngB>-c^~-(T6&y&&^gdSTkNHw*Isv zk{MXSw<(b*e4-`Y3|EoOb!13U05U`8@naF{oy{z^in6^R^XPO*EW}+bZ;a4|P@Au? zw$_o+yQNr_M_}E;Q!3PWnbk&2*Mc~KJ6;n84wGR5bPy;;~U? zRR7Jg!ih#qT?!|LSXOM6Alh&hhxy4_i=0rs^~UqK$@7x@Zd1>W-X;UE44=TG#!n**rxu6X2EgjMN1o9`VuQX$Zi9lCPL~;)Ci$gp zl~mO%urCX?0-stA=O{uZO-0pb_NRV#8}@>f)zEmI;!rtqF{wE+a?{aCXd9QWbX`rB z62wnQ*86;NoS1NsbX`RyDa`3`%*ypzFgeswOAT@f#@6I@31-vkxQvi2R#FSWNL6Bu z;qq>|oFKifUqv5YflX%D>V%#owaDoJs`6M~4lby%g}jJp%jzJiann&)kk#34OJ!5l zxpu$q=pcQ>T`Z&4-!uv4a_XIg3W@canAkf~5_c|M*HO2Bxmrcfew?GD31XsEONFq9 z+^D=4q5Vc#2`;Q_A%TK^J1?!XH*#l!g09u%2o5HJQZ+PS2*1!#ujB5$IIBpv8>g$z z`npw{3@YlPVpngqLiMZXCmVLvY7 zhHNxtZmYkT4p0Epr5ixyS*({K#)EeYJUY~~(t5}zf$i1^5oCz8cl`AG+aG@OfL4nZ zBy*?4mz&3GB`}V`MB!bI@;z7_sgmH1cxRKNVXgx=*yh>Wcg0 zqf#=lJh~&e>&{PI>Eb91-+zikK*`U|K|TX%&lV=1Fk&T0Z)7;c4D1lCS|i>Q9W32dfb)P6E5Oy%FN6(vKTIJ@gHDVzM5!zs*k*5%=p}K%86W&OprV`Rlq;{SQ7}aO#k|wD zLg6$ll`t!%*sism;174z%H2O6#$examqUTPLjGQBv=H1H;3UBHR9YN;{4ZtVG7|CU znvy7snCQT}%EHFO{UMU^2zKErSizaIo`eHVID}7naEfk%S^AzwnaD{P4tCxXxbaVT z(poW1<9dGWksjzHZFod~P^4j!?=<~~kbtN0H!xp52LAd56a1cRi@$SFQ4Ai;ApD=m z-_>Gf{m2y75kThLpAR6RorzPB2q2fnTgNc~)X>g7;ZTz*q$~80S4AB4gb2ko^fV{0 zE`rslCBf1nI(5^J_y`T{SlgB;2%1W#p5D9E0%}IWeR3;@!r}1uq_b{!Bgc7@*FOVW zMFU>!WgW)CX2C~764aheusZQ(F)NxVmF374Ucpk{3;{}-;W?%aR%;`U!rnLZU&bjZ zXv6oIf@r|<5#!!Qs1=qof zM)iG?e)CR8>S+v$%p9O7hmck~7mQtJvP{MN@TTb;+RGy&4>sl3A3!}Z*m1oO_Du)6 zYQj>+J~kf(!71VCv0rqZSib-0Op6iwp)2l=K)_1-&S}A)Jy5Y(TU!^vhI_7w&z)bVn+}$ z6DH2zQo5MmzaIJUF1uElM2!Nd7t3)iiEqx=3J(%zR|(TOw&Z5prj;LbsObDh+n3_< zp8vIy(CohYNF5k<*{TCRJa<5|<6W(q8J>3Er0h0}X-1TKTgiC4ojdK?`UYbQ}W%n#d&q>B6;s3DeN_}#3Aa7THsDV5ecVPs2rRav}*hL06-P#$g-#q@Lv1BkUo6gZAb&(auatNVA8q>dDm z(Z`6q1w9rFC4o`nkYF{XNWD;waxWX1lt90ou|9Z{bxHjy*XdihX1M`JE8E9@V zF^AxEM_@MgZcjx*U!cPwyA$Hi0qpTU8_Gn6vBrdj#0;k|s}VwGf}R5IB8X(S);wC7 zK(OjNlRQ0I>dFH(cnD@`l8k(}vhmb;?YQ-lnSk;Gc38On$+m`>2eca%a)7ajRA=#d zKf-vq0Ho($SiXRS`=bw^l+r`X057UFT|S}FT$Jln+IZgdSkZ~S&6EY&-IulO)3Om` zmd@u3{;U>ni{+e`VOEQUhqg?rU^gv0!Ty$nm!L61drvk*ddeB>VBJGvi!&nq*fq_= zY36-J>Ty|EautYp`y&0k_p|?r%SnX&h=sU8^O-rjTE3kOO}3Y~Mu%CBPai!L)7j0A zRQ~UwQA&P}3n|8`h=I7d-mn~*WdY;}CG%-hU(%JcbXX~D{5dx0y#5fe@0^RIOD zRE$9WbK+-LLH35db*#?tVZeKll1`t?)xoCxGA1~M0;n&Y#L`<2BfEZYyN01fv%9fH zx4UVmy!6v2>QY`^e##xYKq{65u%-(j#FoN?DG>XdDZ?MI7Q&7J)w{$*GgeQLTWx87 zWKY>pw5KZ@T3%hGrBjZp?2773 zWQHGfLYOJQ*j44kkt@d-1~3|65EFaZ)ql2p8Rdhru=NY)c15$dd=CZ%#)de4p-%Zw z;qaa?70OjQa&N}|rWgrnM=nSG^f6QJ%mYc7qPKgEAt@{1q**#+go+0S1lu&!*wl39 zd8g3y`80#x?8)S%UqL-odgvryfg<&Hk;1clv^rxSADj&K?IXQsr7>Iz64B;0JM!l$ zwx$sk3ven_8Ml%drida{852~^Z4sEu4hm}mc77_ydnY9Yz_5drhZSAdOSSQeXenaQ zNY1|dcz6!}1ry8t1~q67_#(|Lu%ezYVnjF6Uico48_lnuD$xQXvKC4X;_cv=tqHA1 zP9$XmJu76!`@WDFCGRe`t;V~P%lYod__Y7z6^Svj?zs~d;Y8km4E+O%v=>gearW84 z&Ef#F;^%*el(b#rLDK8PN%}IF>=M@O6Ng9%C9Vbqkh*?OAbRxR z#rS(|Gl5e8Gl}&Lx_{ij*Vu6Y(M8HZ#rnco;l=t!*WA#=*l!BAaTo-Q#R?q$#EJik)~m1xekloj?q(u)s@c zv%4Eg1yIf*=QgDQz1H*5mh^E8>_$*xXmUvdMxV7!qhKf$sE(mz#VnXYg{m%2qx|VO z9Yl%QWP(Q|_)xX2@WWsH7J2!a$fQCDOR%lrFZ1M7-p}s}1Io8$llxLhR4B{2o;M93 zuWKzKeowu<?fZ^Z(=>&dQ^G65*6v=l&acHNEA_zz!#_uv{sQ!7^t zE<28JRcwqUzV#Gr5jZNwwQoHfNNg=PIaGG-tbG0Mi0@r-&rj$96Kk$g&#c6mmN2(k z#lbsV8HA?9&oY&Ri!SM17n12ewNf?o%z}dd+?Z5|FS?8DRW`@qQjQq~wY;FCbT&w> zRF|&Ff%dVf_@*jF>my1Hu6+QO4W~{e^P?;p2*M}PRsd>EI08bYF@@$<-`@9V+jgrzAlJgma zJ$zdJkRie{NHX5y@P3U{c67jmGmJkiG zwpQ!FnOWx3I6chhcx43ol#Cvsdn?TDTuAL$jeePhkp+^yo(d*Cl8FiKl;hbPc2)fN z9w;bD`L~?OD`}Zn74HUo42#2SJo5#G$1%+qRXf)yvchJ(1Y(V`JBi}G^;fRE#{GAtm2kV)ygJ?5o6y81guVp4 zJQ|p{SoU_6RT_thmtI=E98azsFGgh6IcFE?vd?lL39Sa>fqj_yWD0|>b_`RQtznf- zX38ag`Y!X$KSOIS`&QO11I}p2nfxrH)LB`0aBN}VL*YW@?*PrrK~UEynhQv{}EYba0e!4t$*jTe{j$>oicu!3JjO$i{JflEpTmH3BD$wj|raWj3UZ^9X z6h~4Pqr|41xP;+9?Jy#ur-PHyEhx}H}1X9kmnt2m^^J~Elk6WnHsr9 zB#_MQP2}AsxH(GxS@t&k{{OEf7f`z6LF2q>{K|sb=&6SFxQmUUOp4n(G{SEQ8p6XL z=Ij}l^rEk*JS#~a7>uFbf0Ncm*w{dWE|p8&X7XCugQyj`gc#{>p%mATn!(Pc>Jws9 z`le%aSD0R{G-@p8hz4?|_B*&ucuB%4K+O>{@N6`YX&aRzx{0Ku!X zT^iacQ{_72HPpOe=vrl7b(AG)frfaAKFGn8_H!}z9GE@;H#d_d>rUVDKFH=8X!I_r z%pv+c(r4C25eVfT5Vo_N2GAv-hQSm#Npm!FJlb@JG^}YXshW80r&%vlu*{GVqUD9Q zt{yLsI<>Yz%&Hg$a}+tT2|a#-5Ix;^!gH&=ypip)`z+O=o4l8AB{Br8uU(gcbj_`u z?@0SyfCT!n2)D|=^JuRyv7U@PUgJe5>h4kcXu$1>!L$S=3)>v%Fj%*kf;`?*HKzXj zr*qpOOmBEuw^|@x=hOl(?%0xs(o9R1WYdvor|&`5&i>u9rB{CP)5v`K#shS2^Px4) zGi&ws0nQ0%Cn+^8*?|^p(+b7!D=JmhaG%?g8h+Mc9o3QbM7V*09O);LYq0nJBAovW zmBF!^DTw*<=>(vGWfS&M5~Y5|2}z>7y+=tVQv+L#F<@pgxk~KiB}@F}RJefRV1@$A z_gOi)GTG$R(oCZVXacS~>E0$qp;TFvBW}N4o(=1Ujo*5dP_cEPhh9>P1HtjINMb=P z9ffb5S0(3!p1J=cVHM9OAdGeE2VZw@>InTen0ez!vT&@CL&R^R+%O}VO#bV`;PrI1 z7hEX7DqyQ;uBN8@+-QvMTJ*{C3mW9XlRLcrO0aQ&>jc7W>0;XWF;aE?GnZA*QR)(? zUVH;~hZrAhFI@S|OQ`uSK+johmslq7D=Oh(75;FLaD|8}^98?iW$P;JbYKywUK@iq zM1MuGooyz#JA^?on}szHyV2`!cy(wejyv~D<%e*&aNfVd2*P#rbY(YZt~$S8o(w!$j&~ix zgpXgT^7?!x@`=X&;#5d-e7X^>_tFnhFx)y%M2W$dhiD3P*0|I;rnzkVmh?Q2HBRjN zXwTQ|6?z(GOB-AZaS>~G#r?&cnp?<97Vqg z&kaHq)OYbP!M&&+0()hKfIV(Hd+_l{qs|w^o^EMGCfeX%i_l zR8H!35Q2YEQq_k>sx+*Mt*mmmj%%qkOR@CsjWA(J-I*;hz-?7tt$G(rl<{3={{4Jj z;(v<<)@OJ?n0~b*uqdmmb4Db8!>&IPm{2@+075$*@Do2SXe~-BpSZB8PKhYVl;oOW ziYe>fynF+uQM2>PlrUei7UwQ}eXv@D$T-T|Uol^%@xNb&?yG`?liq6U*{qv(oP4qg zbM&SP=S>DmhvO(0WE=%?R#0q8NJ`t*iFmOH#MOF40+4rl(A6X>y^vy-5KC6G&`j+u`5yzk1c%sX?B8Y75r5gm+ z0<$QhArrJ>o{s7@&i?rVLDY%8doj*z$q3!O7G+4!Z{EU$=`-bDxr;-cXjD!VY58lF z`LBa4g^%G)WOR5{OrF)o#QpcV|JQcr8l7K(rC?@!`1Zcsqa8S2ywmR2Vqu?1N31~5COr_c!NFI ztr3?2v1_j@=+>f3pwUofdUWB-eIlsKG2Vj9xZ#ze8DtTo-IJcc`q|1cL&`37j6pF# zXR*Tr)Wi7qtCkfPAOnOQQqTydd=M2MqVu;BajJ*1T)pr&!AcucNkb|&;zS3RxeVLU z$&lfZW9D-u3l&|_Dec5N-c-nlo-+a(w-5yk?VI_kxi7C|XNB-O?XWE0@FhMu%PGfFxF2!Eiw>)c0@$pP~Nbgd+P#Jy9 zUh-*;z8^H6%|&M~_=n+L3!NqQN6OqKle#EUwsQxmOMQbbem-dId(ppe1R$2v0%6kO zsGaVB=4wQbW8oZilI_2#yRahE_%YbUQ#(+1|E=5L>R~*Ym@ts^+h=OaVwD1SopHxg zBbzC#~W9pphZ6KU< z!l##KX<+b}n3Sv*oTTqNE7+}ws3}#5nOaIS7gua45z|s`Ol|19RjZ~x4$AJy5z3O6A^e79-cnX|fZh}uErp5rl@XE}Dc_WnbkoGQK_fxz*p z3d#B2x-NaJJZZP8Qk&*QhQ|hyqv~G4wU`KXDn9?pK=EPMKp+b^`RcOxSvOr{5lh<_ zzn}-)WL?6+9t8}|>6PBw9kM?Fz@+?RT|ij|NY~j0H4O8WmNrn8O~II2kL+P1bF@3W z7mW)7al(=oFPt?xR<-Jnrj*TYK&XX`ehyh(TMN0qP#KQY+X^gT< zVQ8L5?*Khtl3z!@0%r*Mp4Qopgq$P4OP6WEY}PG>0@46fPs<3*)?}E>D@6A(KwvEG z8(03%AsAfSz3zhc?~r0LAPXFzxsn(E7EN^(bprvx9F8o87LGBF>`MMUG|3Rt1M%bj z%E@H7%923jJ7qFjJ0(wv6fhFv?-aq+Y!saF{-3!lxa^oc{ieL76-Qo6jPRC3gwJ`5#hQ;tIe$K7OZv z15R*CJ9~CMXj7P1k4Y)YHF(-s+8;Vqlv-DHv@&bq-g7cb>b!0xj9R(9DdIhDrfYy> zbT5DWR@bIF46k{wlw_Ghx+0NJ(d#$Y!f!TYl`(|0u+`~o_r4}lTmapaN*T8YPHl@= z1zcXR;m|iAxxf*Erh_vEggH}|9l7ELzL3q$Hu5Ta74Jo&oSW#(W(auTF8Wno_Bfy- zuM~}*tP8NUaS{WWMNT;c4DsOa(7KeCh_Z)2}>N#5L@5VDz zKhh8Tt7&|txN*?iHI?nK4IAxuYp&#GI9;=PDseYE^#;XKCo@gGjv;v+DGountxF)V zNgXw^L&z^B(bUn@OMrQyi!uK)0HY3HIR+Od80_nFuec0Av+L=Ntqpv5hg!`!Zi1s* z#d(?WneRx=R8IO~_0h@=AsssIxoQJyg2>ioSCqZSxLAZJ^^73@Qj%G-Hy=c9@EP7kA-E-S=G{Nbb2J<-8S^&`#T3sozSfVs|2&J0R$ zlbMPO$kZGIAV8m-&@x5{C_Q%*hRnmjH{Q}Z*-kd1mCLc89y&O2yp8%R^c15Va5ryg zg?2N7?uV1Jxt7yV2QfUC;@FPG0@!Eo7}6Gjw1}6Uj>)(Oi4ck9I0qI)$#{yN#UfJL&Kaeomz9(Oe! zB-LgiGtGrg42jDx7WaISPC+Sdnz1gkZr3slbiFw25up41Yln~2M0|$n9(`KeP|@$+ zdNv}EZk28*jOg#tfQCJ@uFnW^$&K;l9^48l1c;7jhDETPfZe=xpd3JLUf3hCqKD5b zL>Ze@+GkruSKf08#`DCBC=1b;^$#lHn#R~);|^2dxw3b-==3bn{toR7ZQ0=5p^K0m zZ6UXnVb_bu@U+Np%LyeB3=$@o{;C;BcofTR<~|);Kr0`!Vo=MR49F^nbc!R`@Xna4 z@p2h7Eo9hm`lYH6V?btWp0dyy>zbV5L;2%y^_vfi!NviC-D=NmdRw@Q8QMMYLt zLx*bKAJop?&H%1O1ur1|<~X*;2`YgK{^Fw3A~jP75KsLivMDzf{22@B7S6bxOJWWd zLSuC@7ccbHw#DKeAY3g{#K&>$t*QbyxvSu{!*P^}2bCj`&$M`oOH@>E|8zgAel)2c z?!dv${j?EZh?hdp)RYh0*E$Fq&U(dw*`8k}B#Z{-99e=EnS4Q$OjikUa!4WD1PI??Go{VQl0&^~ zL9??Ja!}>P8u{jF>d_k7J-G$+d6vjv^)^gt`zY9e&5@lJ*+z6V%cLNBRsadDa|msg z>YgH?t*>i+be-hx+iGtyzX=dHrkxvUlL`&AOP@Ou_>qy#6y>BC<=%fJExoNt6;fCM zg~$~$XieB`B{`*A3TGJ4=HxAP6hFeO$Trh$dXmoI+Udv)@*PsfvNkv2q`lgL?^8(O zA;B~vp9Q5SJuoniS#t^e%Md~o?&T_j^Lajv_(Yr>E)1oEyYq#d$ih5mg!m-ODnl@v z+V0scC@X;6BjQCjX^qG*4WM}#S*Q}mbcQ%EdVCKKqY&d*%~sRk+o>f}g`WYwypHgS zq};w95>J>)n~9}9P&v)c{#!#ACg@Gqb}ReqH&vf@d&?Hs$p_T1(lOc16Ik@Uv3NEB`zzp+4$Sj-Cik9H!~IR*DJRfI&A$n+raR>XktUIEzDy zsPrj`XeQzI)?&)k?X4nA;)?37-^HPP2wJoh=YpM4KzXdSgw{}PMfxjsBLd+SM-))d z8oj8YMmS~)DQdPDMI25y)VXf1m_!UtTeKAJij6oU26VacMF4RuyHG*3ZyoSN0JB7Q zBLLa1U7#U+E0t?^;|D57H~codySO8OQq(^bP+ro$DWF!Qvs(+?+fypw2TdeW3))~x zDEyo-OVin8OWj&S^IyD<_#fjJ)of&B{Nr{L>Y9*_muA6VcDG^q54WP-B)?l z6AZPAD6d#`{S*dmBdGXRQA7A8=H#+G^o0YN#ep*-(0HLqBcoo| zv2#s@SCRv1-B&geDvYrUv-C3kv_$Zz0>j=xB-G8i40jNq&k6ohA9(~OIm%p%f@oOo|!qt<)12} zUGA-B4r`{S>L22(5e=6Ff4t9{GGrgm0>CoG*d7M@G?;*yZuNDGEk+wikVxgq3=i_E zAazkJ%p@viBu}(oTk6y!g-Jt5lTXT{7gl}t}+G*JeHuZo%>(jiJ27wJ(Wa<$@vn)b>^VF zoDuwE;VmMsp2j30uy_Waz;u~lAReI`T}Vv=}~_4d_34}posyXzl((h z-O-M^tI&KuA51KQ+=Z?S9|fqF519;+E#ZvJb!-(NPSL->c)ctIBlKb{Z2;Hv$n374 zk+2f0%gKas8rOhH(Uk~qA@FO}`jYo8-Vl zZCeo#p+ukbFZimKq;XsD7^G(1Xxhhz79G#zfUOy~WCY6JGJa9oD8{xxZ+n5l{gwzCiZ901xi_1tI?_m6&fTsg?Z&1DAVZzhu<+6 z^t@4dRNXB=%yNB4FCLea!i+wtJp+vYz@~N78LN#t7@WN;zZ1tsobyZg5f4{z%~_3U zC0ERr+w3W*Rwr}+QR?V>Hqg3N4cjOSb+?ya){?Uh~I@ficfeF21TFC5TL9V8h2r8ktAA5P2dzCmA+e z_8%WPz9BT61(b;dcjf3v_gj=aX{XIJt~f)hfd*6*>KjinH9}WKfe%e*ML)L$j>36x z)&o+U&EP~9_}%!T&8%K`%k0PO;A!%v&|u(bZfvT?lbqrcld~gXDT<3P2(H_ej-%D$g+E9YEFx>i_6ZG9cNSr#N9hVpi+462&%^3IIIyAZ5Vbv0VY8{)c|6n&TmvXB~-tU z6}i}Y!`AOD@0N$tYLkvs5N1ibI~s6$Hj!@;IpMicwSI+?dS1bu(kemssP@(ObBK32 zURiY*InyKK11cx%L7-VE`U+@5bP8ulEFvC}4R^u`0FezRw^V{=H2exej^;297*>`q z8c8fVs5TRv?g}Y1=mG|Guy(ZQCbW@CX!T!td_gWe4WBG=7!Js2LtqPe+SHlQ#wK3C&@R8MGRc1%(67D-CZFRte2CJ>Mx;})&IP9}*=u=uZp=NX?*IK(Z zt+hPY!8q3xrQH73ceydL1aa=l_NedJT~>wp*!{lh#h!uV+4-Ns?G!LgtB!21=HF_8 zP$Prm=#!r|?4RwI7Vf@GS=xBz&z2samj3T>m@zl(O4hm7BY@){qrE@g3$C1QBt-(P zKU_%68e1W^@aBm_B{}gF_S33qWQqHqhq3=YDOJV6M;{P9>&ku#@)aig=S&BFc&`(g zot4S-P2XK+D8Uq_c`Ppgt!>f>_xbeAI)Q2S)}dcEyxXzuY450>x)N* zk0!{I0I$thuLlMcv0+>0eE#d{{5(*lr3+k+_XrQS(B7cpy%~8K9l2h%*Q`lQN1CMeV{L13Pk!`QS9rZ@lhjaz-BOT~> zsF6xY*c5nP$7;IJMe#8@5~%hn$N+AIxb@S#N&gMLY&zaPnN7zMCFRJXWi6zTFllwQ0RztTruDCebzvA(g7P zyGOrLkcqf>ZT^^SJ63xcp1bHI8kC-1HxKsAQ|52DDOM%3cO(xP}WU zcS3axGN8}bs+*nHHr@fI`KDimp0nfs)83naQ`Now$WWQ< z;FvNy<|tD#ln@Q3$dn;7nP-`WgbZa)W*I{G@1yjT=Xu}v|GnPd^}DX$bv?UlpS9M# z?t87zJ*<89y7%tr5fZ3mZMYh-vSuYhe;S=zC! z;KAL2=Kee*%vRVUC#@mf_4gFCvdvsafQOJrBq(w}`VT+EQVgfTq3&=eG+ zHvWj?6l(*)&71jh57I@4gjxj+>qC04Oz*RXht)5-R+%ypJmXP0cAeN_2cX5ocu zUrgByjh>2MjN6plXp{UX_?4{yC|JIh8GE_3?d7w4mGpuzyniDp>Gjrm9Ttf(=FicG z&1pnz6q}J*>8cCyEWf*)Q~!J}&(45&{?nx5dwDj)F+1+HFP)2TJacbX+0Lg~ZSpPMguSvx5cfPsuL0@n27SI`B-&h$ZRKSsi~5c#LcC z&|5oCH=P`fGu1FubprP*trtr7jfh@ooA6y5>~E3|eeE2&rU6HYe;A3LkQgTl)})Oh zDWzp=VlBwg>1c2m*^b)zT=5~7NOj4lUKg$yU34S+4D+*cpA7WruIx5qCMk{7k3CUh z;V#wU8QS?5>v?XrYlVJG4hYY>ohn6JK_rS>PDpDnx{6V~loFqz`(Qeph)+#}(4^ze z<1@!+*R-Xm^%jO{XGSh_C|&*#&Qi-b3EgGNwk0>ew`94orB`Erc`(u`4K+7)6q*+c zGa}Nt;h#dz^d#0Vuwa{uy`e92jmVYTL+(9A&=yCF3MVnb*zL_kOx-uX`${@%L9f(S zj(n7I7iSO9F{%O`&%HT$;mi$*7H@RODRH3v&35y`8G#)M0)Jh)Cl#Q8g;&|eBxNPU z_IlUi?~OBdQ`aZ->GMvoZq^PZms|*-d+%M6MYwTf_^P=3g<`E$HpNkfpiSaZ21d85 zNki1{R1l|mzf?CGv!(gk-W0z~`mt}_NAy9^R}!Z)yM~a)&FSJGW+RAfA8U}rHpLW8 zo!0R-d&N1@#3<1SI>)*G_qnwZW4w1gw*4$jqYrrbHiU*o zA9P)}3&V;Aa4r)1!#pvO&Tt@MY0A zO3$hc;Wy7($z^Y4`FN;Om2#(?>F96GV^V0ksnV<>cn+b7uD15P*+3&~&w4ssZ=Gyr zMBPZPy@U7r*Mwe|q8W`5)2N}z3(mRr)ryybo>tr_fVdAd(JoEpOYF6aTwm9|UpfdU z-xY<&=%MfA4ZC#P+<)@?oD@B$E}d*D?-9%XJn6X#inDl=5Q}4p<;e$;OdxL42S?5AzFvXMGsEvJ`$3~l zY6XiuG@|3WoNzUBu{W#wj6{xF>%@J9B0XaTbB9I4u$ZbB4gM!DML$+*2k8zABx1fy z>}~sh{x%ze+Ayl@Ot$oAep0RPy4E|_(4MLBh7#?YYR{@M{qAE%b~j@AuW;>( zKSpP$qa1gluD`!?hePE1!o`awmXG*y!ipfmO@7;t&TX3`7R}@a#`Al`D)YfsxgQ#x+zxD?%cFv5PZ>6+6&r zGwUR0A)JhQS)-5N) zWeJGnD91?d3N{;%Jh^3+jl|v&_bygqBN@2(tWH1LBhxUeSukU!pzs{>Z8P`XQx#(L ziX5J14<09Nliu#=MdCzgBSn;svEsb#|7oX`Kf z)mHh+P54CA^0Qsh?;VLbJ@A!@SXK)9N0OCTiezAbtIdB`F>u@s2s-Dz1jg+V? z`Tq6I$GsKeLJYCps;s=_jwnM}?mM;DR=pnuUQb@WA@D7NLqPxZmwVIj)z-_Eo(^sl za>-#$eDA^cNa~M5sTNPZQC%5X{UYwE*JAkbp*v0fT*LB*Se-%FjeD&@G(N{=f?JPY z3Q+bKS>7Bzb$zwdr*HUDq{_y*F|iMYjg2uuRvhDBLGQnqtC24#=im6=++by;g)U)C zvRl)M4X-}Kag`S?u=YK!Oazi(5Un>JccR85XHnH5@$Q8)1J;Rrbi;dwU1mtI%g2YG zD7;<`)Yr%OaoK!g84iwauK1i$!pwCQ*LA|v;b!h|i7Ed>r(6DFQS}%ag$nMniSLvy z=_CchpbiXY@Ry@wVOO1xk9Rll*J_(++t|Mxf46c8x+Es=$kf}_G5Q7dWPjqOaZ}X4-+QTq zU813H@?@<49S<-qyNf3!NyWW)lT%E&0siPRS*0Ffw>7(z!jxmV>aSb4sXl(?OQlq& z)ZXf_;4CdWTO2a=MaLO!|Hl4h>-5aUOKH~$lP})sp9Jl`y12w=+T1d9Ojqs1_C1;{ z!LtizDZeX9pVFBbK3`knz-3DD;hLcYiEpS-Xj-Ab+VuIb*-t9^3MSj*hIO_!!=q<) zGCcN9ZTA+9H`>d7++>JAy$Ul}^E6#aQOp~y4yU_!hnUgr`Rjb$#{48@2f^9wl|Yfy z^fHl&S0Uv`pOg4{xTlw;&$^4IH7^`lN2a|NS}pgpx=Me3o(WoP5s|F&?iq9`Ks#l! zfsBlCt4O*6%Qj0C`)Rv0n$=-=0=L!Ue8s~_Lhp`khtCV)@!B1sp~c#r-Kvt0JN{cc zv}w|81vt*3l-4ykpk#95+KUuG=f{aJZZ;3_rs|`P9A8F!}uV zpi6q|$A!?Rw_@8r8oV1ndjk8OT=2BUuJC(lh^cs;{Ff|4s~*9!SC zsp=d?(AX6?tw$K6!*O<=nxd=eS_zG@;51W7POY;21B&nyNZY7KVI2YiX5r(vt_~Nq z(EEOv^ank?+OGU;>{ig{WWA>Qd2gNEs_d?e8f)>UnN<;Tm}yum=MrRO9Rch3zn?W| zS`>bFgsP`AMl7$S@C#hL{F-4>FrWgTRYA1i;TGtz{=>CTdZlSh+IKr`%dp%JX_0lHY~TbL(5TCj2_jjvbJvwSq{wcW{Te1CeJYCKcy;o8<@hY<<_$|-#Ft_RC`pu z70_ExcAo2clJd~tvtnv4h#u8rVFFFnOz2VmBBcBouHbZgq9-$hULgMHoU-I-kMc>^ zvL%a`U0R#Cy9yGqr^w??sazse&3Yr%@=XOiqYQ<;uik43Q|a-YX-YZmyeJTD+WnaV z(POcW>$@r%OL`%p$4P=-)S%K#RIrEps%ZO6&t0f$_PWOa(Jjmo(}3H%4;vDk4fyM*c^9 z5`0xnv>IMTbZEGsSG}Iya-LF50iP`0t!FZEd-j=d`sAz2t6DK@kErC@H^UNnPtWX# z)iI`9go#cRyLr1jcw&F<(br6rodXAoi?g!TZ8VKe*iR)#$E*B<2_vUeoWQU&*fYqfcI@gE+hrJZpq% z^Rh(dS8sH@Q#@AedE010(v&b_XqArt0n5j0?cTszL-~Twx8TB8Mc8kUPH`+od`+&7QI!*&9{loMEO)%YWzpcPz2x?+(fXL) zV85w7N~WK3cT^#kY=qiOh*jI7Qtm}f_W0_wtzXKHrLeKkr|{s&@BXJNzllXx?e5M; zyDV1iEe~%`wYN^Kg?_9k95E2w75B*h_64(ey`l~!yCqDX^D9@C0J*1J`2DScO~LGpLc83xlYP`A853T%)+H1vM{_+ImRDP7HU$F=nafqM_OtsSerTbmyroT^*OeE-sVd*1c@ z+}*(`n_^G%D7KS~2)Yny25)?V=V z*7%NhWqAvh_bi4Ev>wzycts^ASB~6|A6(TME0B90m^$TPv596vX^?r zWfxJ)!f7P#NhI>%b5_m>DvzN_Y>^>EIJb!q363C|q|opN+yog?L9dVtOZP5o;@ z0Z#dIu98`e(ezd05h~+zbtqx??kO_U<#3YFkfQ~(^#bk-$_}oz!DO%OcI6-3h1uE{ z)kPD@Mhm2oKgMwfh6K7oYeCeBgE~4Q;@4JvXGd~8?&u8Nsn&R^vNF^VO{L~@)u#4M zY9s?MrSw(lM-1V!=1No*HzXX6Y^zo=OQ7Nu8FNVD={3U{uhE`q&WyiUU6*j`>x{Yd zTUKxWmb<(}H3dZ0%0UKNA*WJo(2@75a|kK9y(cM7qKL=Ca-NCvVssm#Bsdpv0TMBB z7w?daj=rI}i!{#8>7$w^qE+R!k=pYy>Jt!R>L77l1UzmxpeiiwpZ~j^wlcNU`ooGZ))_K5;ob&+{^ip$4PKJNKG%6k}&Q%{5t-F0mW6z2%rnE2CkXl?T_dQ!mfh!;is^+JY4g6VdG|4n?gE8|w^z{9 zFl&k2%z~^b^W`(w!>%WNc6UFGxvF1%@td37 zRg1*Tq^m4F=l#F!%Yj-4j6-EH+KIX7TEbi*|}6@4krAy)l* z6VKDwaqWRL`j<({`dHhEW?79yy-dBCd0(~*5uW3xJ7m{QJD!s{zbKQu^NpMCVYZc? zQsmo>E%^lu+~#af{)ZliD2*Z*DS0^fLxSRQBgftFdEBXF-*~@cr;-+4A_lZ4Tc*ED zO!_}|oJHhU2bZh6A89}1>v30HaY|eG3-eP_>z4L#ewogc=^*K;&rW^*b!~6PgnZPa zH$j6PTha>{Fb_Bo4syI<%+qdK5;z1rqabGRKYMJ@_bOu$i|sqsuY6b9e7X8{U*xd3 zac(^C^^IAhFYni7oP?bvy%jP6D$ZWuZM&`{SYzaIwPgM?i~@E&uVxyvdcVQK7<4__VinmS)I{b7R-Hz_s`Jp2_kKKiX zk2*8nYm^C<dJ0{8&fcRigr-_b6dBi(SSC}Kn33*TD4)Xl87ba%<8hdmbN9|nOom{F z(Q%fpCPQA%6Wg%Vg)Fhq3=g&iaVgYdL3GvL^Ha$XM*&sg)w`WKWv->eVU^bAo`P6v zubS|Jx_8gcuTEw9)waRLL|&%cu5@?YStn+~g~vXW3qaYvecAI=<a;raiiw>XEZr`h4^qwx$i2OhPtSgVU2cdasY#sh{M$2hfq}Gq z-Gx%#^|UwWh0vBS$dhhaXnKQWW=1NY>fUb?q`hAc+#@=DPJm{MMuDVTE%Smamuw^v z$(Z6s8WH~#14*}DhobE*Es&Qf$yma79Ivb@9Ded7=R1{mnzx9GnCnlHXt+P0Nv%%}&Bj&a z*tg}#dBeq?gKy+l-VtwRPQP$AG>qhKG$}1(PQ{bN3uglYN$$R|GV`uc`E<@%KLPiI zRCy*TWLW`wLXTc?5(-GQZ~0iQc3A}zS-2@bscA8LWW`6*pMGHp1B(m8k`PhL_XiQN zGg}aPET;~d-cC&qed5bv_3jq&VETn`#^{S0&lNb!c~uCng1#MdbCY2>CZCx^dqmzS zo#-XYb8tUK)tcrst`S}9$;kk7)2RWjHnx|6&3auPC$$F@a z01RmDO0O%RCvPdmYKe%8=rrjS>j<}qnsNc{$bA6qs9UW`(@X)g1*_a6Pg=3d#A{xy z@b02v8qrHJ_xGpDc@zfni7v+)!)Pxj4|ma^^bR z>Lg>1$nA==5APDwAH8?OU#dvAd$lO;>-N=E607!eS3p#u&!5(95v_xs0)AgvItO{k z|Bz(Fv9%+G@8Jgk6wfS0|0m)izK1O@%sjmrbgoykZgEE!&bNpi-8Hh4^9CTB`*-PQ zh?~C3R0YP&QpbCzn#gySRo59<>1(iy0by$-LJ?~ubLuvF42pHcTRstV{~ zvlQ!q+wZR*0p{rTEk$<m9aijr>D8IL)f z52{q0pS(X*x9?nzLVSP_eeCDj!dJCeE9l?wpM9A4QJus`BBpyN;*Q9R3(x1?+SjQt zG(mDs-sAk-PNYDia2GQXdX9lZ9TY4sN0P{?MUzMr$T@zFTz1;8om}?X{q^1xI%g6@ z(mMThwMW=lf){L`3V$d~=QCKKM&$K1-)ns3DC8l~Awz#mLdZ2(64p~+r6t-m?!KBq z8Y)k9rtR~Mb32zvcfh42NgoLe_zh-9O?bapj3F+Mz16KaE#$}U9tpe1w!4{Hx04DU z9<6Jw3zbx-+2;AG&j7vhq|XfY82C|$fKy*28QV-;Ey#4=yd?inP2H`&o?^eo9ZSde z(Y)#*=N6cStTt&=>pi+tdSZHEIb)n`M{Xl3l5&Rnn79sQMQ7>IgzIstSMkfqL4;q< ze{YM58DDTv8C8#KwJ5yQemK*pSC(Fcf%1nZJnOt894?gj9NJH5%^cB#kF((XF zKgi!zUAmlF8RMh!G$q^g{P-BNN0UQE6^X9BlDxqE$ws5G?e$n}w04b09<4Rs35=wB z?x&{tb}S1_$0@O4QSt($GfnLBMgw$7vO=H3)18Lk{leVxKvl%KX@;cQa~bs~jA8EP zk+)VJsvhUKuP?vxIl%IMLHN0s)=~9_QHHR=Pp-v%4|FPoRLOiAXpeek#CaxY?k1m) zdke;WWD9I~JFxh`=F2WlOg(VnIQ8vET~#z93q}jat0byK3FdbtS)ExjwDa z*O{x`X7Rq+F3cqhH*2;Uy{x=Slpk_WzzkQS>|x2UC|Ed3{~&a%`O#xD_2Zt*W28^7;HUg-NiAHPQLr*QG>#Vjqs(w3moZQ{5v?YiPMCcAh<} zV}=ks-8*mubmIF(bq*Z(MvKsO%Q!+~;p5csbWMz3ydEwCkeZm7J9oi#aepzp2~?@me&t<{7{c5d9F9En^nR zP$om5z*0cc@ zw68j>=*H$+Z0_3^dNK8>K4hL=r@GAAc(e)rn$&m;9Dtsn`8p)%HzP%SgUNcdH6K2j z%qxha^(3>YY_E6ZEy(Mo9Jmb9vq~q-jBM{@c%>G9vV3Y(UN@N7GSBj>#|-O8_&cLX zL|p8ntE7>zEp6G>vKKRzd`W$)w~wqXX~o@k-!mj>yJot6Luj%FLo0tf`J>%vnS!W_ ziPsf$kb@+`gMw*9?lu20Hpg1Gb!S_tP^OR`^I&`6k46%-ty#}wsLaP9;MW!=TyTRp~K7dE?azt+9p{;(n(e5()Nq7Ljzf}xWaX&9>d+% z@k^Uk`kU9PC0W&k?~C2G_q?~ZJ*=1Y4l4Lg0mhv=*x4@c7qGE3JeW@V)S=eN|0=_@ z0#&11xm-y{Z|3Cp%!ZP=GM&ZTSq&MwmnQA1ny*wxjwbb)<+vDLI4gz5tUl>t= zoi@ww+pf)~+RrCd+CQoSZxqQ@3^$Z-kSse&l@E^%BQ1)p-_P^R6t$OFU$%)38LaSY zy((Ne9d26|y5N+4bkI4%dXQ^yVO+H2<)Ef@wDMqu>@|grvX#s?>dyS|TXE*Rz;C$H z)mW*h3U>}IT>h-?YsO@~+-A(oLN0!j_WtMD)UN!m=Scsu0At2~fUITXfZOd5&b$6=se^)ZB6J>n6FYkeunwZc9yO|BO76MhYj8G#D@g} zxBc*H>#5kIeI9*s%9L%wRGG#_WXzPpuBU#mU=$f`9*yiz@h-HGwsz(e9Goi`xPwHE ziE%HQL9Xx4yOMJn^nQ%|V#eK?qLeq#yzC(C^1L5@8d*kWSnQ$aHFJ3j2phFkt$>fW zyKf)=$TBQ@|J96;$%WgQWo?1$j^<7rj3YG#yxmt*JR1wzhUR3K(o&dSP^DwzoOylB zs9uR|rPIAEdgi)ltXDj^xz}arRi#pQ;mqE(l_!c;XnQZXqL`JSQA7%vdVTAb#!({% zY-~Yp;9gw5r%lK7rCHUwWhahV94fkOQ<9omar?SJ+tXUnrjsHPTeJgJ zjabufReX#i5vpI5hwR8dMM!*B{z7A~Z$M*%;=W+>hP%yPP^oe_=1A#RUnSQG+!BuC z%gC~RALV+JuvE)7$n_{`?|Z%}|H!3*Od}4~r}NFB(mXlYL@!u&PLX!A&8y8{oQN;I zRZot7vw;j<_bQj^G5~)%?yHpZ_{bWM?C>iA@8fhtQwCsZn`^YR0jJ6Aj78p@$AyVK z4RW5TwqfjVqmyc2aFd+7Y})^{4gD@5m(}8Aoc;6GzVS9^);T4)lJC@@!OA2*-j8TM zUiIL_042xf?MT(Y9dgQ4n&Ypi{fa~E5FfIuAJxAOKYeX{hrBKDk-*FAqp!kK>6Ba+ zrb;K1GCY&|xbzFIKfRvk?9W`ntv}CRZW9=|?gyzJE^X~Lin?xMn=j+>r0IFhTiKs*QS&+R+5`9I%h}T@ktl= z0bNw~(0WL*+x9&7TkAL6mG*+_4f8RwuN?ygL^dQ{MZ@gbeDz&IR+{p=Dq|Y$GiJW> zTW&G*;cSY`B*+K&)^K&4_H3u+?yGQJ9}B!E5hTj66{)$woqh}1P)D$cD!#gY8@j+O zc$wOlzYSHu7Vr0D(22VVBYokFJa_f{S&k71XN~o_Y4ZS~a9+Ax8=5acUrW*N1U}v7BFwSnoJ8wD-ejM1D1TXABq&sFiNtO)Q`` zyNSwyqQEv;gnktgrNAGE=?@ve=r;R=YPT-k9eQx(EObcwk$Dg2oBl zT4k7(t+DYjnsiNCa^>etT)n0Pq4o|DYU%+kwess?2opmlSITnz62?a!$JP_&5|_va zf~=kwIeA!Lm`NOcnQ@$~_XDA&1lnAvQGm%H~?QeyW=1*cEGCvaGDv zu?0Ekv}kyhd!yc-d(p_JUJ4u0hu>`pC_o&Qw(6qxCW5KdmXA5Jjf&<14EbnAvjI*${0U=-jrv_Nl9Ajc(W2WV1hXug^ z8CwSvM?GPb5EL#VB7z1XpdvyD&|hsh1R;blkdXK_AN>F9eEZ}7HlMIC^7q*gzh=V& z2Fu&p;AF9m#ts&CI9rGPrnI!JtDYbfi3SP6plA>j0)c?gB4~sCPF0LG7OZ7qjdc{% zu(ih6faR?)W{x0XI9L;hwY~~M|EMV9D>4{6MXZIHISzzG!ob=;>u>}FEahm7wE@T? zB7k9k)PcEBU?m*J%EDO6#>@%}g8XJ8?@pcDav#^n}akTi=mc}?@@oN2q zDQ#2X!PA3PJ(n<4<9<$o@R|I-XAi4*$W6Zy)dhV;~U7KgQWt1V7-xpm+cW zN+HnD{Xu^z2MEJ~f$@Xj%irn0WPm<=9ldWc1Z2i>OuB^(0zeKn%~7lHkA{rz)fqoMyp zWaF;`e+z9m8A{ekP z-cJY7jgMBmBk}ZoF97r5qkEqZ=tKXkv-E7^c|FVemur~9e+l^KE9uI{Mc~7o-m+|FA;D6 z4`9n5QFt&Lp6f>({}jV_{1MoA-SKk!`rv)>(;s-o{W*XU@H9NGUvVvhcO+gAVA?k* zz<_7Q*AEk+2OiM&C&lyOX?WhB{D9o=p^p9)>dIIfGn_fV zhXgLT7FIZ{16Wo@OBM@U&#^x)wjeYN1^)ScAp!+UTi_foV;y8{t?g`W@LwG0-@clG zfY}e_{jW1^H6u%`G45CFD_LXAuo4nKzv0RE`u7$Hj!OaG^H>6tI|Kv-gnNSoegr3u zA3siWoPmahft8Myj+K*%fq{vWgOQPmk&%O!m4ls~gO!(82qFv-;+2q)mX?r^{qrEC zr=vT0`XuwIQ_M^!fq(2wEG$gyPv7kgd7A!w1mX8gnRXbk^nvu!u`J~L@PmlsU*&lpCHWUb*1wxrO)hNcom{(W z>U=jUrKs`!)H+Jxnwd+$MNO=&o-2jLer%dFoAaU5SUl9Il1iXJi*!w_0 zNxVNNErBFKjS^SnRC>4)*Q2CxC2oGMaHWe%T;Ur368>MX2c3}~jRrgn$(_s1sRT4m z{M-0n*#No2Rl%L`Ir(#n?v~?0J=rov}R`}|zs89g& zKeROVyP~@;1!_%i@w*G)mB!cIfx1kW+UMl@bjJ!dt_n8p7x)1Bt(CH>F?K~{H^?wF zylYiszcCUTZWz?Hs_D*ee>P^1003sb#lLT{+kp9AOaS)#C!6h?dEX9_cst;&dHX=6 z!)gR1#vkC5xO5ocPe?yd{08ams^%6R(xO5$SfLrfv=4Cc2fl+k&;cm@13AElhyJ7M zPoaa>pKARGiyumg)9?Q9>F;{|45hyug~EHI6Yra=f4b(Eh7wBug*)g0Z|pB;z#BWi z`^IL=!rgq2z~Q3!HPCAJYFGDmc(0;3yaIl^1Y9Dh<=bL&l^@JM%iW2} zi9!u(6|f51!mrNXi3cNWhyOJ^*MBVW3(#M~;C`X~IULKcVgH)*zeIb$d{DA290(nh z+u;Yoe*ymmw^j(^C~jtgqq{t^XJd` zID&{78UpFmhdeqLh6^krI^e?|YV#w)EEez8R(j@ofqw%bJQg1ccKQ4zMj9LCA+IY- zi&&2x+3|kfSv9qm?N**)vzXpWXXj3IY2i*CL(chYRvio(b+-23+MTPKr%<|{M>EuH zmuK35{~MG670S5ZdbTc4qbl>Q+k|MS@@$xpI~P;2_V~${!xWZ}FHfG}Gq~j+k!t5K zsrsO;IZ7y(>ymQw37NU)!7N`n&Rf?UC!g0!h~>x$+eF?m<6O3qeHB?FLfTzS5aym0=cs#XnN!_!% z+%S!+SRuTJvw0!P#3@j?tiDMVny4Am#eQ*-re^P+#-M+WGv;D6s6^%AyR(W`3|s|E z;|cBGUz>Va(ScI}PYpK(TTaL!?KqiUkFE_}UmJ>dieYn#SuBjPeap1oXSx2^a((*j z`_!}V-&}kjaPj>%`BWMC)S$yuw8PY_Lt_T4u@%-BtkL*YV=G@{tLMa4#EGr(u}y`y ziJJlb1*C6@KI{;E5Qn>-q8gF%E&f`gxA{qh-j!Ic;z6Qe>kGs77lzHr`W?yoEeZN< z3HnVu@tr*JtvvDVL>(rCslwixPpg%My?=N_PJn>de<`cL)huqc$`DY3%`7hyDDz9#|7=hjGB*Q|Vx7D~!|6 zjXVgBUz{2S2l;UXez5`h_&@kHjm=#!4(EY-Un2O#{Erm={__57aYK&xH$v|MSZIZ`SSJpT|F{{`1j-9$3l71nY`5`3pagWyI_Go7z7g z3;Z)LK5zIN?_WuMRVQmlKsO)c3>P6M=WT@ZBNFF;auzXyiT=V$h7&}4J{q&L}2zbaK&LN|rEYBe+K}9a+D(Y%& zXAR5^a<#UyaTIk0-Y~|?hyryy8O#gXXTjYR=harZ48qykTHUn3fnY)+LQp}d@HvpF z1Mnck#n#~_2r7i+#gFM=Vk)X3EB|vupe4?0j>FlBg265>EON zVK6~}L(tL928VGKv~lDFCfrA2q5VtD#!+Y=l#sElHP}KM3>AWaf6a98%m)7)3O_T( z$kqub&gMn9uGvoPSIR zbO1971C{`4qL&?PO`MFe4&uC0#tybd7#v8}!Vza-WrcMBA%!3yKHwpYiLHwxKd*!s z7?0;Sd|4+Na#P}CtJ0}OL{V+E%24k(T zcyNw@N1y;?6Jt?RTL)_l5Th77JK!PTkLx}VTR_ABoj41e6;@(@5lLC$epIY1@QXs! z3b@M>=XDh{!J1;6tZ=*%z-0>0ZSo`1tSo*yW?v5c*I54)hx;^OmEw&6{&f`qTA=?6 z$G?;OM@IhFT>qNuKeE7o#Qbk`{cEoO$O8Wn^S{yc|CYIcO~b)f19%NgoYw`|Nc_XQ zVTix-M!-EI{)UlHRuq482@!@Npitml75sfAFK}PU58l831a8m&doC^foebZ>9SuMD z;6|J8pYOBv4{o&e`T76aVYxbBO{vI%*8w2F=dS~VL?DC_Abb!0KtW(oVC#lIKsLW< z!otAr><|qBycO{$4UK>U@3$PLAtAuV@DEuu0)^kk{z*eZA%|%qC^YQw93mpZXkat? zyDT6GgB;?6LSQKTrt?oeI1<0X{gZ}-9-adVL5Tn_G5pB~g&@#}^Z+~wfgGL#iVy}~ zi8~~VLO~AC3%oLc&tUw41qcz~ZIQz?VUa^vgn@lA@T%1xe8RwZ(8GK%zzBzIB@9O+ z5Bp6RE`o#|(nAx;!g^@q7z)?sTehJ`@gBXH~z(fw)1rE6Kuw4)k zgb46<&L6S}01E1m?ExC>Fg}0=M<3Dy*vG zhCu(^3? zA+%1Wws;~UoJuy17BE*EZwqr;E>0y{E*?H^9xfhERa$O7P8nJ*Zb2SyE^ba0T3$}A ztCL(p0$iN(w1%_-LOgu5yxe?TMq*-k7LMjucC>%~1Nz|O=KW_ck1foc%q`Slt`2UX zPiZH6cLzr|T0TxSR||6+Gq{s0=t)eBQ`W{FZsE!)YY&54{1L*b@Yqm<3nDG}m|s?! z2O`DuSb&EQ@>o(*nx98VibqC(hf9b@Oyn^{R*;8>M_O8%mlq<#D=o+ek>ci+loFKT zmwXK2eJp0gso-dC;b{nl4l?7qdTZ%K%gqf^)ZkRIaI}J3gV6|aU1j9)kAZS?YB)K; zX@8GHgHsdK2*mP&LU=h_aO!DTSaRwba?$e8UX{QN?rH&Zz{9qlt4#57PFT6q`E||r z`{Os#A+&_}btq!mhp!$iL}oJG!Fa5B`vy)hl&DmzP=`g}7BWVa>v+=Yz3nj({WbNOA%Il3gq z5TgbS6Z!RiZB=Cr4l;FK!+D+QaFQd+W&Poy#{6XD()W*a3Vl0ZYdym%_&gMlFoUNR1<8@{(IN$VExm|Sb zZX|rmx6v%?*-;e_egWA+G*dH)Xvy6fZG*Uq;(?NT_*Q05GTMdqw@BM&v)S(`ays9B z7mki-7AwhanRii9)ndHJZc=ALe=fUl-KL+oo7U!&U(EA8n&V&#{6lT|)7mc)sHYiU z89c9T=Smo~DVTKfC)mtGuJLPLYv?BKR$AAzXTCFOO2##ERAztg5V7pb!cM0(sC0d- zl7E#+SV_z2?Yp!*mC$sA-$cfb8zyL?hbX-u#|Q=#o3$sU;4*A?c7bdEX}@oo+kuhz z^3&734TJKyPnYFlwutVGfPn^6F!QZY?&k>Ht0^sx2q^x z9g5uK_vcrz>FNxY;z(Bv!nq9uc{>#&;G79h0^ONA!evpbash!F!DblHfr=Z|>?WT? zpFduT-V9A; z18+O~T2^5{8CuIYfdTs=88c=@D|P7*^|uA@4|r{n)Qb&6g19d(-APhs(WieJ>uq4Z z>|!_^pmwbeesxbWjUl>)S*1|PnKZ;C4&A~I;X97&8!IgrK8?F%DdmH=u*3Ordr0VM ziGIIi$OZPDGo;(V@S0SSyJ3;~-Sth=-bImxZ>5z+5+#^8b=Su9##Q5Mn-4cOe8Z*j zAF4lZJ{&ROdD?W(^alm4_eO+C+|aE@AIQwDuRF3x3#=D#S;k(UwQTL-3+~`<%itWV zBsff3Goha?yFW{bpq}te@{63%6AGEGfFV=cbp>4TEqx+fj`*%OxBi?+u0Bkh6s&~f z@V*O3izw?Mr=xb9pN#ND+7*lTV>EH_YJOEcswEL|^O2vvxnCK;Fb(_ksJ`zN-q4Zk zubZtuAjioc<&Xt@*CVB%BxcSLf?|S zzVQgnGZ4z!CdsUK4OdgBM2L9qQ+nodd}&k3o12#~Vydl*_=e=a|7{}oY75zxc20x zsv)jPL4~F&l2W$zS$ShK^?lU{rslPMy=7+i>~Ewe;Q>+gb7!RQy^W>iZN1t*T%c}^ z+;5hAb&khEu=esmXlU-52%1T1P>G6Mjx)*T!(S3#SOvom8yPN%CN=SvW8Qlku~N!K zY}L9!x;sx4E#LIM5&K2Nrd@K9Sb2~w`ug39+z@qK+A+2m-HuW8xmcTte3+P|;KPHU z{pQNBZ1#Kgi!BNbYEvjFlSutM+ykSJ=K52*G}sq@y{2)Mi1eSBsWH}gYqZUTl$`nR zY~Hsg<~2aip68`@xHnv0{6%9lnj>G>luI$4pv>V8yvqH%=ij1-MJ@A5?YrK_#l zBt7gJG2IeO%#7abL|Z+o6=f$XrY<5r=N(gbE)w=qT#tgj&wVZnd$39H62;J#5`c_& zAMKI5^jOZH$o;idss@JmYken|ciYioTTN(WPH{(c=cmz8$U{#=N$d}OJp5@RL>K>0 z?eAHmE=AQhC>;p*jId8No;MI3Xq@AEd}rv)AZnSzbhMd(Ga28X+?X);?C=fgauzVJ zr8s=V#n}cE_Ff!^aN_N~C>g%9_A+qO`GcX$fQ^y|#lU2*RE^#W73 z)6z$g>>E{WsW3mm04AmR9ztxi%*%B?I!f$kvu2SMhxclx)ra@A89wgzkD$zx;N-sj zF(l*dd(%+mn@ID8abEk&g5ope07({`@|kw|=jBJGUM$7$X7I$vJ~nAuZ>}|%9z0c^ z<-~a1i?Tf78o0YaN#4eZrLwD(Wp%QV)Qc`tCi}&Ws1)g402-CT? zs9}&*RcNuW(SqB!2y3kL!HV%PPf@+_DtSm`oyF9XN?YHN1ASCot40Rio(}`HO;Pih z+pllbjRQVu_gKfgZQv7y{tKnk!K+IPQN~t0GkALYE6$&r5DS=Zm}w5#rk#1_bEx0j zi7J;F6ln|gh*aR0_{iGS#>?#`)3H?Rg|#Tg-#f~(to>@|65M~tWHinz*2f%QX8WAO zf6%zUG5iwwG-5hoK{vu?W%Mx{*^|fJSZe0KI*31Xt=}1l3M?NxczmIKT(YXaiplGz zWAfcn>x)beJ3-&2g-7vQnw0P<4Nv?Sa~noIEgcO zcVz25P$*WJ*iF1vKO*pQL{;i_XGHQbMzP<_I?<0L{%*Y}DL&mQ7wETQ?>#W`G9GzW z@vJ*8cn6{HJ_UR-X2p>naARHNp2*@3^TKWvE+~udU>vIsN-&Hd%?dJ$pl5Tnjvcd{ zkM+OJ=tCu5Qe3>TR+5&YJvH$FTlB}_lft32!?#dXB#Q&akwM*i&M|6tf4eoGq~oaW z0>d12lG>{jqx;z;T*#OD}Pu?z^mJ7axL%to${gQY9ckMlgjeg-og zg=lpnZX7e1?|c{7ReF8$u24|`jUVOQiGp63tr?E_#G+Nw>Xa>Ga$Vty-miO-lee}E zUKGLZerZ=$iHdLdvDroF5TsHaP(HMUHOMhCR*U078?SaYKCwjKPB-mq^-27biWYwV zec`Eqi%Yc3{IwaziFE{JC+CZOw{{;N5k)O8UhhisC7APcVB!INl-APwwg*iwtUUkV1GEVL*E5%J28mB=a(616XKN-HkzC+0gpzRg{`SbOE9+Bt-zi~o=))-xQLw{sw@rkR9y3Ey6v-S0gqeQ%Uce= z@&1-}I;W`4Yt?{X3Q@L;C5_GIWPKZXf?Y*BH+77OCYlZs=F?;D1q3!1Q@tz+7P1Qa z_G6q!qK=Z$kNrg9q8k7F;Vwy^xXB8uHTQh;==~=9{`4Qaql>Z;lOHgQF4CHdwnAAl zEpt45tpw~+8`Er_ZDFb{^d6jM&ptAVU~=I#r+7WFe{FHjj~Z&|E3qs31Aq2(*|WFk z$dc0W1)h?`?9+GVs?Xi^=eeqzF)Q-yW1{Rz+QZbms6)gq=+|ap~$ipN3WdUmx|mkM5zFmxM%D3-Oaw$=bq@VsduUx zU2kHZXnxo?k!ef*4%Y6`U+QRu!4~HwhLvcJEWQKF zv(gl;;IA2LlHop|JFDskh@!NMnlp}$7$**A+z3d#;m92P_G19*A6VJnLQIwCR;+JIs4w=r&exZTj<`>Cp ze9lXgHwwqdr%otaHm9ogOSX%rc8uTG{F3i}^^h?&cPAuAFNows@fXbwX2>_IKxrTO z52AZ6_Is&Qy0#1xIISCL4U>;FX7A|hQT36V&pgE8&#B3Ci>B~+*0mG2N<~dWUbDOF zu(_jQ-SP0S z!R?%X+|T&OjU6{Q%oXnGYGH|o4Z*{vr>LWceZE@=k30%PIej^~PzZayyukPdo!Jz(m~dltZhw6jwaV zu%}bGQ&^QCNpg|A9p`i5{YKv^JY!Fv2FE^uBxE9P)%%j}l<}mnaa4dvNl9SW!o;BE zfzL9>`(i2LqM)b&^`RnOyL@>DmGSE`C2wk$-NdLBN7`6wLyn>a=om1h8q=zW!`7qF zeA;Mk4GKd}$hKIA=-?`HskKdSQXjv6pig}?9IfA}Q@Zymo$hC8i!$HRbM!|JzKT<^ z)Wzc1F3oBEvi|pNCcj%qZx~c3`UFpUz7Wn8IL3~bliteR3 zw>2K5)0pblNlh2_>Iq3-dj#uTEz|ZaLsFZTXiI`0qWTTWHL8WpdhDR=TgDHpB&7W? z?WR_8>N#n*6w$a%&cNivHR*Mpp%3<>+j&V_l{huILDN5pC994U{a%YolCV%otv#O6 zR~4^Td831rRt(~xw|Xq%>iom z^-VAR9Cscze0Z_@IBwV_Zq${&=*Q#3+R5t&16$duyT?^QPm>3~AO=~N_3dp_VI+vE z!&mO_W{O5^9AMx^!p6OqqZRv(CfuKMv7Fwai--2)`pOREd+2=Bzmt{yZcKJ&gT?Rt zx8><j)4wCBvEZ&PF`H*t`yygP6+)O7SnTE|!Y8 zG>NDzOFAZEMV*PA!}O}K*zV3(w6IGJKWtGsk}hQf=$*pM;?h>{IHg$3Cg!#zPK5Nw zbM8jN!24N*@u4K&1(_438>MBAm>S|*9w>4%)%a-2Br%ub;!7pBuek0x)ADOG{cKh% zS06KC%hUa4u}!t5PnUp2Z-e1J_~-^{^rI$a8INA;l{1;po8Mu|1QXid?t1ikD>YIK zC!?uks4Y2c5oh9{_oZt}1~q*Xwz-qG&Bk3Y!7Uh&Mlz?jsE9eNsYl`8KSeh(u}Xza zt_dT&kYJi*;_17U9M{ownD@h{QkKN`fwd0S7n;l+wCTc8PmQ=QuIf0PSmQrz@4s0r zeaR{HC@9^`fIGW0!ovftNr_~>Xp9hkgG7u(s7_*8o5kA9hg+QP$vEAyUDDI)WUQhe zgx+dmML&YK6|IOxBQM!PT83lN?DMs;o(v;RHL_OIkWL6wcg#Ogu z(p`3`Q{cc!(OeMo{(Oqlb*G*8T>&JgRe$SFxdO)lM(AVxr1N(_NEMk^=FHpkAiMXj zU&!Ac_v8*Cmc)GYB649bR@h1NRmX&Z-?cgVX(KX|U)I8@N#`P)BtHtf+26FATd_C3 zR$1&(Ovt6j9#7Jo)YYL7S|&g0#MT#W2=t4J&lIEI8O&OJSp9||-RWCOH%v7*r{CET z(PK^4Rqt^kht0z?N!8eQ`m7PEEqWcrgemJkUOX?IJt!{10`o%`JI! zdved=#M3f3)a61W%8KgS5cdh=gbx>gl=SRb!UAQL>S!3x&D7xiNq_mbp9xN1h)>H~WuhISlWUz3SiX^ z@J3}i7j;hqZ{sd)!~k{m&3oxJBd;>!+oc*2CBX+8WE&$6eMz4d&s^utZ1B0_stL(o z_6=}^_?Iv&UlNL1kMpl@=kX@+uH0;#loivyO%qJ^@xG2ym>xmp;*-PdDP{rUt?R}J zEe|yoy6a7y6e$+%NBQ68T8jG6fI$?eowl9qgBPYJ`T#Iyq395(;B` zwPrjK8YcqsZ*US%hi!8b-MqYFH8xA8nOx&rK0K)9oQUeIwFziP%ijR{RLbW9zjnRITx6vQOD1gn=>hSDpVPRm#5qFIcK3LgLuqj zKK*&}jgL8<3j1BXtzP@rw_lZ0b9E_6p_f9%!ZF?GROHGuOJDG2lJt3eT9m#1EB^=g zgezf1dg_CzanC}Uv^OkOFU)nNsC^Rp=vSDwcizO%m&Qg`rM;xC!>71jKpvJ4`xz4Y zuten@_NvvpzE<&8j4DgG0oT1UhykX;xN$oU)uiK7rx1Sa-9<=9ud7^+V<9nS28K7z z-9+d&mSyRIPw4{V67cJJ>GQm5d1Mx5*V61(7E;xigDpbW9Y%s2gV1yoP;SpYs30OO70uN9|soPYCiSTo5X^tLJwb#bY9m@ZdPzofaA?Qzcf=3Hzg4 zLoR9NdBJIHIkDpQFt){f+@CvKvZ;yM71r_vno2Zbq{FmbVt34>5Q%a_pF}t|*RuuR zBwKu#q{|}LxgXWaLG+Z{TzAmJgJ?2a#o?fwRw*ZJl6LAozBXzpyjuGba!%$xPxYa) zlg->>o5T};mU&b@a969DD{}U;#^+Y8JezydpE67ArC8$SbrjC}@;*~?zLN`loE^IQ zDm`WvM^3$jX)`Q69~VxA@U<*G&Y6I{#|qDeK6M@piiESw zE8B$J*jMI!ubP{=;Y?J2yIOSse3uIVW*B9z`lkB6_N6%sNe7iHVrm#vnPIhY z1qY@_!u;KJVVn|1)^F@4Mumj+txqS#%Z*OZ=5`jS%?RIrHVM>Kpb7UJqV}e0iQKs} z!Q(mccK@OFlH*BP98s1*>k5%@)`payfF11%xkfH0({lONhQY647lOG;!ie&wi<&*!BX_nhSszFdgli%Jp&f+6BY;-*mV zm050_^83v(WZO3sV(1NId6ra&f8A1vmXVLal(zi*q-%PR!n#J}Apv5DrO@*I3u)?y zDV216`TUEA;S-HM^0}+lMZr216}@y(gsXNj))P-qj|MQ;qczgoKW;!8-p98lJd`;) zH8?+oH^lp6Cxk@6k@W8(1*sZ`g+o=CVMu`(wN!(XWM8Pq?aqXwT)R&Np`R=D%ZQwh%SfQBQ zxZMWZ$<38$N#@laMblP2M(j0BdnRT+iXg(WMLJpM2yWHB#}j(>@!~MNr42<)mF3sQ z9uJ=&2RsRmAShD7rn^(LFQ*Pnap!r*{nA87fyXDZoTmN zDifXc^@eu8mvuu4Je11DJ=9M*4Nc~$LY2`lCWZYd%!?bk?u;||r$cm{h{}xC-+#Yj zfnX$2j+>^~uv=#p+$R5)5|re9(0T4-$4BNGadOv{#nL|8r|94$b7)UNPV+77ftJBB zPSKKTc=gs!LwnMoj7nCeZbZ3)J^EKWqjrDo(;PE+Zr&Wt%NeiB46o-@JB$Ki>QoKdk=iGxEw7 zaF{s^4g-(E|9&c64Q6Gb1T(d;ccbMJ`jc^^<>vbR=J!+R(m-$TWcANS@VWWG$$vcB zFYV+Aw{V2J8FC9=MbL8pcA@2g{C>yt+lH3scW1ObS9eG>XnC%l*#|FIE*eIh(ymU< zQcj+RR}uUmEde2JBTi-T$Uf{pSnzB==7zjc1#)&uIM5jt+D=n!mnp`O>qr z*ZJw^c~Hlve*eqWasTJPQlh@L`1X%qtS)(9zU)`^J=sk;UNRB?`LN$4dhc@i?T?>6 zqMX%hd*2!--Xq01BdwD9!)OY8#I~JUe2#y1mh6f99!#6~o~*td7jO1I7#s(8j81#j zem(zo{ys{~=YYWfIO^BI4>y*R^{wwvG5_Tg=kwj3i|@61O{BUhx=Se@B^__wJgY>; zh6{g`85=u9?R+Y{D2vnWG^>dI-tDBxJZ@GI?`{w$%?oY5G<2x;xtHeB@ z`8#EXiaSxW8k?Tm@!XChzAP)=+hZ}Ej?7+O+wn2p0N>-{V^&=B6vsMY%u9`w@!Y!= zD29>lj|V12C#30*(_#!bCKbJ?grh0hM-}1P#Np;Q3Xo&Y}1B$ z>0K&FXQ?v&do-}w7}n_6XaE6O3CQq9U94?dcWSlb>Vv z#9;JftUS+!M^}HOHl>iwcIJyV3E%D-OBlHTJWeL!QGIu&hhMZzL<(qh7#Z;q^Vx=L zN0da!L^L#-sxR@E=#A9&vy$*R9)<#S6QFdisBu;j_it|Owllj`N-`1T_X-!^0?jW2 z(7~@Ny%E7{SBL9Y2ehjL`qkma)d8c*U_=nJN(BTla=2NjFFhG)Uv+`lzB~{l;~Vs% zj7a__@n>7?@=^EA4{N!g@@}4riU3LgDgbH# z8UR|y%+aI1D6B0%hvWP__0rlLQ?FTiob5F$cH4JI!pV2Y7y!a4@CraU4PF6+b24Qk zVp3>W>xJ~`8-xt#8v&XCngLn>S^?SsJ_;GQU!@)-*dIi+mL5k6K)IG3M+@)}fC+#N z00O|fmOXk^&e*j^3A9wVdjVhS!X(yaWYXQf-5(J0yt7W(cngkid_x$M6D>6`At67H zs}bwaW&Clv8}5Svp+*yCu1AHw(7!UAGvS6_tVH)TxAqn`x6(O;f2y{w7mjmpFfxXQQ;Z9y) zLmu!&s81NAOSE3}2rX5UBH&9tkdFYlEs$3M+o@Y{6^9$%KbpEVC_i1!`fK7Z6$Ro*q#(EhVR0V9i>V6M$E53f~E%ah$(`W zicTHy<$9FF+6%dKw^q=@JV@vpNZj-4bf?w`lU{lh>TbXnFQ91znmM4^16ds3f`9S3 zA-pDvmU;jzy!wTHC%w>VK%`nIv33Ha=RkT1?0$jq`GfI^qopp<1bq44e>ELM>lbcp zp~tsw2t)L42=o58FwV*R;9{e_6o4UY4@Cb3XVCsn*#1#u*793$wX%PQ9(e!nVEa9L zUs7bys9f3p6CQx`{1aPRBYEoS2ORMdnDk-)EfC-wg1(3U_xKu{7zXHi?*EUF>?v2h z+Jfm|3!?M(ygIy|Y$0`et&f||_k|^xGKmT$d75;6hHR5YbQ|@1dr}4?pB}x@RBxp4 z(&h6Dmq3W1QQczy->~+38jq)QNVFR>Y}Er5K$ib4dj6Olh(Wq^o_2cR|A-$JETICy z#kLDZPfKJY(5Rjkqfwa_qxo*^Rpm(*X{Ss78>+6hH(Dwt$@(`t;y-cCo_PH~Vf2Ug zt4?}g1<2xGfCBA*hkI%$IUhFM7%c#QR_8x42e>~m=J0!o)N$SrE;YpXOVk3s@i(q6 zU0D)qmc;7=PX9CJokXt^zB^D?o_8JPE_M21L7491{!VFLSS>2^Chj=a272%onBM<7 z=iBO>8ZBmB8w#gB{_t9pec<{E%fDe9-dUo0hh7g zA39}dRH2S&RN?<4{+MxesT2v!f+wq7W%w>0V-kjPdBh3{?|m$?RuOAiwX(5nST*U%{w-69Te-ab9nJ0GQg^O?4Y|nE*D()baG51?= zgK;nfBS1U@#2g^Je-oWtzpn$2!2D9W1*kBBUQ)L~Lgm1;3z)J3q8JdBS44ND+wa`Z z?ASNw_ZZl1MF@al88Gbd0QH;(qqhe{1t7ivLU`H*)RG)SxaEaJZa*N!o?*jJXP5^z z+;Rbt`cJ|J4v4{2PEGak+DeuWY_=lMwO5DV*;4NgbymPtbi zn(4z2RR59~|6>xY7Kzs3y+FndWIZ5`{eWn_@;?c;l;0cJMGeT%MKOf&4nf=ih?4{a zCLpN(h44G1QMmf{fN`4M32KuIGJg*;_w&YvlW&5d{csV)g#p=r5xh%)2ro$nrBRWf(Y-s^$?65Jyd}$gbiR!-A(GS>6C;TM=zUzT+y$3^J zj3@L18;d{{2EsV}u>Oju`eUgcr%2=$UYP^c)G09E0%67fN&NA_2>8DfzyBfve|3P`{{(((z%R`o3!Z!lIyeQy1|V|&B*uk^gOFz0A~E1k z#8txe@M{SYRyS&I2tR10A4s~+5%2}q84GUt0-DZ){sb+RY`*^YXA4y@7M$TFAn#N@ zd1mt9?rXT^OOowfJNW&KFM0zpv%V2(568%G4JsQ-)I*JP7M(*Mo=uuKdXYZjEKk+X zpl>)!c11oKmJ0JY=3D80wi*<_vuoEK_bnWkeP(t%)=<+cmUa1!rE#{1^y9+c8n2F! zvEQ0QT*Bfj4eJ&ey+K1m3A66h`+o17eWquNz9t6L)crl}&mX5HV4Wt4aNhk25?cB4nTcj2`wLihAINyo0WJK&&K&65j$(anNUZh6(9x{#?E4xKrIdSy*Lf6i zJi%zDa1%8Q>KjU?mn%fr-rqaB9;oO8j8~@aoFc2~A@!AB!1IH0zyfmistOJ`;ha0u zSDt21U)U_|Hai{+>_hyFBlAVNtAq|yt)D&3vDw;f&Utc%9;kff^wkORw9;n$it@RE zQl7pl&lEkW(^L&8#<RR`6`-hB1^X=)J{sb<<=(4u+`8e%d~aXPRg{6 z(I(5XE!R%UvK`V6ILt2O)*XFV#H}}KUc{|Gnq9)qXm&BT z$>?%1_tVjbAGl$o<{ylGZM-TYx{NHA3lxkkRtvg}E!GPZOe{7Fx=bv#3lyFtn2rcM(jHRb~u=jAO7% z98!$q<79__rFx)bgX|^GsT{JzGc(Aq$myA6j|$EmAkV2Dvc}Ui%&!d2FNPbSMsyU5 zVYaNrW00yi1G~g2#S%Ux2js++%wAdC5V7*(t-l^Mo@yPRk_&Q{Jf~K}2~YFMMolpD z2cjn^B3<$iuuxXwamc5*NV~*E#V)=J)3kUwl%^Y=rqM=SaQ+7(Bb1hI@&{M}tM)i# zH1304;-=yxpOQOrhdifVRF^s*Zi1T7?Jj|Bv+j&Tj^a-3NY501@%8#5uTiWv z552&1Gs$lat}B5*Ma}3cmcn*f+4cMeH3IR>o^G@SbCnXoP^5avr7&DJ?Fq=ucn#g0~IV(N@VIqrTBn9JP1ih(eZKUHJ%xa`BQLNX{9MjPtUdt z#>Un(0l61%YM+P$<>BuQLXuOgejJKe;b&f%fH1^+*puFcit>lQM$%Apv};7;nVH&r z4(2M;GDjuq375lE*ytxAjPddIiFa8whu5J!Sqm1n6ugZ}4uQc5`3?i$xU2BUjdQ9c zQ9UF4)}BdIH}N<%r_+CnL?ZM{3%GldSW_nWUu;kCCQ3pJ`EfIlIuyYJLxp(K*34tUbd|hzsM`hw zRj^y^vr~{)@vaV}QqYDgnK8~Dw1vMn8~K#Na%iX&PueDbA~>TGZjVwkP^^YAv9nJ@ zV&jt?NEM;|{J2P@HAV2yP$iNouD~Ht9Xih6n}@WgupAkx#xt|cpAMF+I&?r~8(`PK zG}t4iA^Gv$4vAXOHGZW6Bph19Ry+-QWRt0xL zEgN*#z+BmPrXh9lrw)lvpugfRjj?NC(d>9Lkk9ehj-=*LOaa_-JBUSk9pT`ENH6>h*H^Z=5%su&mKO{DomlKjdf3Ag&GRXW{$cKi>jFj z%$gH<3=@q{^xb{SAHj&QC+`n*9QI6mn9^~e(*!=v#&ZT$tCh3fJM_&SmSokZTzb#W zLKqVE9ZBCpeFVb0kj)ew%R^uB%v_nj1#{K$dZH4aur&75SPeh5<)EK~@FkEqk)}W+ z1j74}ofI9b8q0WQZZ`YDWp!F!sEH@_jWBx-i#Z5?0{`B@WT_2ACPB<8F%z00pfrda zr07`J*uXP`ZyW}5)yI0HNR14dVBs89a}e1CV<*xqXxZ^k(@EaM0%(le#8f*XLQ;GE8@WT3eiajb|Ni=ei8^DMJ}B+CfJiJO(It)a<(-N@H9O( zeg-o)5c#5Fjgp&TUpTbCLaY-$IFVLDCk1e)kUJDNcQlTD=QjEAR(CZ{@Z6r+oCnu6 zX!)ULjD%ZYyBx@`kY@>FPKgcB9Rb`qVyc7wv(gw5TOr3{RC1^M&PC;1(m3ELMlsQL3IQW`A%r_DFO}v4q5#xd@+3Y-{ zg#)?LcO(^M&WQ#AzUK1{OayZ?(JK^@3He7@C@1j(5ZS}Y}sHFnX%RxA^=$3W^+R=?C>$2XMRAVCzT$A+PF)TTa((&XPm z&}STmp<>jqJ75Y-cuS=ZV?$l^2o*a8*|3o2lw+@kxbc0Y^2rdQ8HeGhG_}wU(PkTR zL{)}X1ZqmHz60jKWU*ArA6xCBN1-??ScHdkq8tm>5X85WwxL8cWoSjB=G3PDu_UIi zmc;+{u-O@*kRt2WmeUraPW~Cz#YDVZY95R4s>iK}CB#OC45Ew=*O11C%5Kmh=(C94 zpkmaMuVmWG)P3%6P($k7pJ5wJ4$GzRSYcN^VMQ7tHY#K^Wqjn2JU&z|{~-dI1&;wT z#V#N#UY?^Ii9z9Ocz40Dm_IF-zKXSU)ss@>6Jn!7rclO5YpCEu)YNa$bHpW#?Q4uP{Mvu&)jE@=8#D^*{vmlV!ym2TEje>3% zGqcgkTtjReDpF&rTmQ_m%%)<$=xPkicvyrT*%Lq@rgs`_)w*MZUlV}JPAdp z+1=ZuWyga^%^68Tsc4?|!g85|R!fItW!)xh6p=#PyvSk7_+$-Re5kSw9|D;(l8n;O z^zLgqVC6?p_(xNNMqK$vL+h;iSY)w!dp6rag)$6X_nhC2V= zD89jUtrVX@U58y!ld8J$=cB80``Rf!U4Wo6sj1U_KD#>i%{|4ZZQNn^!nnq3gJm}} z@i_>o1BzMWsybaC5V8$I%7CKDxTbF08-#3vko<86OIlEoIG_^n9$htF2deiV%pDLl zUbCylt3Z_i!n8q{fY+>LFcI)t0;&k0VmGex^77j=B07k$bu0N+-`CW(#ea-eGCgzF z;OJJe2d1G_V8F)vsqoA)TyO94l^25{&Yds*=!3?B> zg8O}#o!P4MaC2Il>Vx|47!fJUfvUTKs`tjF_;7)$H$4GWcLhXuRf-QYsCw#?hB{r> zomHf`*FBkGbucei3KyX003l=`#0U_&E?}bCLC75tBKoAkYoqWg7clt?pzA;|`Pd(3 zW+-X_F%JlTkjrWD?96lxSPfsN`Yvk4$J+CC9CrH*tLpAKfx0wkr}*puq8Jbfj-W1e z?kPSiV-C9!hBbBf9A_=nvZiLXs=?T%!C>vdU_TU3%@ke{Q=stHpzvwM(=&F}j&4qq z^?lz|tLmQFgTj>oUlj1g0KUg|fG7dJ4ZwHBpr-Db9jIindrCmLtsCbU@YMyr2Ef;_ z3J{}!&;-7Uz?Y)R(T#Hibfmh)Kg zF!z?gJsAwa3J`Jnb#(%kJFCWtRbX|DIqtgaH`Kj9pjdBW1NHt;G&56J?&{{$-QCy3 z1c)?1*p-91@0#jsdI%;UvS@Zj;3MAQTy$KDkED*{?uA}m(yh|i6oqThx}O8u&zNHlFm!OMPWB~otJ9Gvb|&ml<%n?!47|pKRwcnpwNkLI8up;^`X*?Ym%>x9ZbEC4o`A+{4A9U5jWg1(5{wVn zMlJ7u)No*+0}ZsR1`TK|whcKjP_fNge!OZ>fJP>0I9AU{FfxMEu2(t+(9pPQJOmBy zk3e@H=m5!&cW`4}$eM=;pm6Q%4?^}MQ zZq2|DF2=(Q8%e^#%Ia(U4tA~rZdSL_Q&MHi{f|uCZ|})QCDlS~d$WVo%^29iNqF>O zv5Bv-vrtMJq{OSmG#ebYSsfJX*}>{247}k-`(q_-c!$OQvlQ!w;`?}TLR22dT~b-M zq((?+PkYdyp`YKD(TGX}C>4HIg_0|2oW)C&HQQSXSy<8xguJIccue@cG3wXxjP%7uEih{p2j^18;R}0&&pAPld@aHpqQBNQp(EJ zyHkoVG+8_xM5&!^mY$Wg_nXae6cY-h6P=`|DNe_p%U5^`v~}A3s^s zy=9lhO0wn>{`@d(P?A9}9Gkn&lsEb{an=i^0@8~mvHJo8U8GshmD)*X%?La4sP95# z4-%hqg?6@wsf#ceh0k#t2=(uF_#R7Dbk&(ikbbS$PK&*Rb#~;lW&7W<=o%WLYLkpGkk1-pYNVv`9K@iq@G&@>W2$BC(Mx^izApAS;7IxG@*Z zY$UXcJWE??gcNBS)3HvpcOm~wotG-eRHJkK?p!;Ku}Z)67n$JA1wda}r#-t1h%Vt(HIQQ!(&7qXT#$)(g(MOFC zBQ7DCNYBdKVGyN+Mj09wz^vm6)vU8au-z34Mxo3LGh=2kWcTf-$-$LVz-!jE8z_K@ z`5?iNn98c&z8=y)<94NUUJS^R}Lnbd`^duk>G1(B?audN% z6y0IBOf*JSUOWX2tp>?T4-^w&n@mYYm|p$tIajiIc-19v~);E3U1MDC8iQ0*^*mwQ?R(J4(sUlRkVvlYr+uQZnHr;_>kf}%r4`l`2}I2TdW3e&+`~tsE6$gH>fl*_T(n=Y^C)B#U)`Xl5lJ$2-8?+ z1gV@(Sz&xEZOCzxWAsP~?}RVxw~f%b;AM)};kS$srd3XjdH~8wixiCEn~W}|m`?q& zIkmFd_|;mc<0c2u2@<9WP3$s_>)*h$6ind*R$hm_$kr4>D8vK?J4A(CLgaa&LRU1^j; zJ=~EIY!(X&{*;p{TZO-ZqI%A>Z=amga0xsumZPyQ_R*Hb|O9mE;SnJ@=Ic~DZ1dAGW zGX+c0of2p9gq$I@#zN!I<@e&1Ib~{dE5P*>ap40Dg%<2q(uO%Uva|&L8t-Sf=c5ND z7UOj}=W2|-_MXd6#V2wm*K}1WRZ--Hr!%lvPFe(;<`~M#5pZbe%@xd|Pe=>`MPzEe zeC&>&T272LumHS_Bi^E zL|nWaS8|m>gHkTVL^v@+lGUV1zkQClY#srFI_n}eIC@7Su!hOAxR9>NTVa9VKy7u2 zdKCRi!YRIqtEh6l8JtRS6uwIDVvT9s@0P{aScbSz1{_+svc9|qk@{uFc(loll21l#1jO1>045!m$owz0a>5jjw@c7#}}z&jId z(S(vv@$1}(Kg4>$UKG3$x8`Rnu)HF6_BsgBIkz&Agu^O6>(njimY z6jBjObRu?>+QHE|7jt6`-U=0jaVpUpCQZ@wk}GirJh!FfgGx>mY7sSb{dTch((yU_ zG8KecK#_t5?T7y7C2~5Q2xw9hAvtG5W{i+R#bZyGDOn&Wy|bx`Z|aJzBzbo^LueV3xsEGTp~G5NUJS+?}}c-LFea&x@Mb>ww3=;U>Op5GNI zq^0c{G_bd^aa}BQw=!SWdcUhEbhAEKrqk+mf3WxDdjHT^w(NPP@=)LEad)wo@;G!Y z_H<`0^q8V}`+=nO>G0xV%ggz!_Ne9V_CV!9vDFQm%_xYAq}l6kDk0-(HD%fB;?~*a z?Bs5`?5TI{eg$VumgcgNsnz4@u#xNOxbx_Eyp>pyT<5s;rm*#K^UO)d{bu-R8F=W| z+Vf=iN@r=B#PeqH>PYCibN+}}_HiHV0+U{L>gBdK?S4P{be%3EcypMc)0o>>dNQNa z^wfEk5y5v^d9y>TI6ZjoPO_GCapPH^aU?g&bu{qEUUGUejl^_!S(b5B>xJ7od(VC) zbbp?3)N;G}bPP@MG=iboJLq+dY`ynrJbH3Y_S9i~6^OUy=}7maqG$~~4*kUcbe!69 zyI;bDdTG~#^O%sE{CaO^=w|tGKI18n>)J&nL(0zy3{N0k=%N@|TboYgeKmvpJ^ zIeDr(#nb3EOmCv(4dDtO6lXbO;e&3Ujt$d@WQNU+3*Dv8Oe_6fs~er?^@d8B%RTe2 z#j8!G$6GFv$K9N#)BBFr<7=Ip(Z$rKTVu~-aGBua#MPR&;O%C{lK0qAi}QokLb}k+ zcIZi{*Uh7%*Tv?Mj@Q%1)luu+gret3ccYj4!*^Q(&$|PaGM%#~O z43y2@M#p>d7C&{i<@$`Dt{$%I*A~)(L}kOq`gTwDWqXzSWs0>qf_M8uMNc<~9w(0c zoog{KHhFBkHrww`xNdfI+zEZM9ek(oE6>+x_#Bq5n5Z5vzNVj3DnnbhG~XTMMOKN-`}obx zki<~#+Z(Yx1~ACtsC!$?uI9JJzCa}Kr2T0iB1LQXa zBMFa`HxvJh@(aNaF?5)n5I$I1_*j}_1gNtj^J8J%ln%Gf4$gQiOs2?!Yf|X>3gXMc zAj&d&3-zE^m&ds8Q`M*A;FpT3B*QWBC0R0NvG`k4w~~apNbV>bV69{qs}}D&xaW6M zFBPko$9-J!dOmsPyv#Wpk@Fi2MZUz%_COE)C^IrgBaGpnNWl_jV~*{=b+vZ6KS3Q9 z!W-UE%4fOXF?C+tM?{&Gz;Ukl?j@Uui;9+*Bu*RGo+*Uq{Khc>2uJ+dvJ%f)Q)+7g z3#-$y@use${kFcR7;>7g-P-ys|2bf%+g--F&87O^$`I z+U}*3dC4L0B1z52(b05T$JHEiOr^C50!psO?CX4ko4-x>tSU9PNlr)bX$khu7l{)a z3y6dz1-dGREbH<*7_YWP?oX)u#M`0M+u>gcOgX&I7>`+APMwYmDxh|G z`|&C<%EB&u3c;{5eu@Kw{5Jh%p=k1Byz0SH@8{DHyLHT;s!f*Q62=XgKzAhO7v{kr zYsCLFa?h{5{P%B_{24hm4t9>e4_((Z*qWdh4ffdS5$lc`Z{^0(*vJ}{`t}tcgC?f< z1pKk+SfKDX6fEpGsnUm|tNCwrbTrBwI^KEkufXdbPHuxM)|=X`^@A&?j6h*;3lEF5x@aGq6_x-e!v%9p2qW}qtsrCtSi|wyC7w#{iP%8;ooYmhDsH25IMLvyyrB{($qZb-gW|APXrX83 zavv<7AB$Ru$ymw-y35tJdWn;8;ibc6ymG&qkzy$qljzUz(@%7HsWrTEccmCK(?cWu zV3NMcGj*@VO&oi~Brqa08`FO z=Hs4g?UTDzOuB#Tg4~-S&}{nJE`sm}_(&LW1#O~TlbACdc zb7x&zGs6L6uX&H84wB=nmBm(_`@*FdfklN0Q=0boTJ9QWwn$!F`KWtGBnQxkUOWq4 z%Tj!vq~Mn%4~lm44c4IIP`)P3m&>Oqp(Gtn8IB1V*4CFQ2`j_$0v<`5dZ>1`0*6hz zpSQ}q1ebpbG|o=9+SN2Z-Q66fbi%DHKJX<>JP;^yGV8bQi!d}b83sBG+R_vf9=>Ko z8+^2@e!9LfB3W9}_Lvoz&B6M3wX8{d=^E4dt>wWU1PVubSj$&zwC-pW3XI$e(m7lk z?B6}>L+$ik2{1DMPEB*wsvJ@)g$fb|*9%MBw)#P>C8Gwok}0W{`1V+ zynxs9KKuGYsCgGkc4v6t@@d9U?bM)k$-n{z|6LuTr6fCj{C5Y|vJWrkEj|=$oIZY> zSu1dEoo}@;pq*1_vMe*>%rf2>SNO4-;D6}2t-Lc<=$WSWk^yC2#K1ruOan4t9aNsD zsTs|nu^sRk`7Q^`fQX}4lUaOyIJT4Yt;7cn3hy&(Jqo(Zg|R_;z7Ip2wNKx6tT`Sz z3*9 z`+o0B0Z|mz#Bu|xVJ7SF3}g-~_m6mpvx^yih6}(wa2VC|nlTN{G1HhaKp9!`fJqTC z4aH-d#(!Tisnx~V^NO>mX|i7^eG>D|E)H^bUlaEOu887lXjmZ?q_jYBW$HO%n&5G8 zVoK0JF+*w9f60^yffq1A`-s7i{T57{jc!=-E*48Ei<&O`EzqVv&{jxR+}{-+#Wo$k z{K;nU#|DZrkOIB1QxAlg09C=6K%R(_qePX7ycmTH+?8Ue$-Al$YOw>{-=);W<$fon z+4);Op<{gD(~YG7w^7SxdxK@NS(j094W-$c)ul1>9PM&{r{v@gz9_*3vj_}*0UHO1 zc^ukegY1?$`OPNFiuhZGu2OJ!y_^#6Fm4QqwKnV@#Uy&L8`uW7X_t`e$~07C`&F0U zIvEgYb*HEq+G9CUel1-cg0DK#>P`cLKQ1J(HiU3N9k{938r$vB`m1RqO9DxQq1nTs zzjE$U4@PFTX%C0ywk)j04B4Ms;F(W>xU4Rums5kfTT%wv*tD>QWjjOSP46>M7lXJg z4uS-RA1357Z*^E$>Ae^PFo?f3Y;3=WN*Nfcf`5)OSkSnUtvI0Q#$H{okcbuvpNZlN8`w_Wvz3U>xbN6 zoNw~Nx;$855#Z;l^Ds)B+#+_fjas{;P1hUemvwDQ{ZMFlD6o|@860+wa%mjD1X{pj z_ibo*mNwY0vwiHFbBo}slsV#glsgpYO+h>N(H}iAuDT-h$~#YA8_C2Wbc+y*(HNx} znj2pLl4Kr;SAR8f?z4t@II0TN9CA(VSVW6kvb#@O$hUEVEy=7&^`|kf^3fkWF_y{b zSj3Z?WJ+`^gMBe!TN)?#+iR(luvLnHrhX#^bcURZGrymFqD$2KW7^O z4Eu-vH!t3cZHt5!;1)bnkT?+N`M*|YS^ftzpX*hH^ew!6^~_V??%NnJ1-|{}Ff0Hf z3Q!gQFLsRtVV49Ki0%A(GzHY3(EJOC{(|#+Q1Ek-KA7@bRAS;BL=Js0tb`gmO4uj# zxEs%ZP>Z=bhD`pMWO{mLaA7S{ddMoDW0-eWUyC- z!Pbr<$J{RHGck_W;(!d3wq}W$wv^41Bu9DDc%!1q+X05SMsWEWz2mB*jwx@kJ?!HJ z?kfkjc@E`>1$vVJ%$`9d66j5%$=Pyd+lFc0s$;9aNbM+Q7|sEbta&z0MtA_aB23#K z>iG1Zb>O3#2Aw6sI9U6 zIiXWtSo+#ROyb6~ieGZj}3!@>bwF~B?tWeI>ar->cS zcvU`gcG!8vU_@6yGw`hWPb6EiYr>bhGn*PkHWuXMge!*W-cc^uOZR z<(q|+XXp1%qxkIfhHC$=C?RF7g%bPACz{bbyTHGuhzMX$|6~vGesuzaPAh*0B7Z3?#ByxSqYDHExgMeF^4y%R;_|ILnU=-urbLrEjmm3!2$ZbvGhNiy6k% zvT;xlT+0ESCUHI&L@FKWH?FA!C7)V2;HAZk&dhY2C3yf<*bHZVMYiL2$x&5&cr_CRI1(sxo`pyIzIgfu&lKD+YWvtC=) znb$YFC@A7@GUECDPb<%@&50|wybSuQzN>i!P_iQEHww>4{8J8t%6n+Ck#ah(62y@) z4@i@l`MiN9y|cBtXonOs3uN?E`J{^n_S=BeZ!w_)$1TH2d_;$m;0w+h8mSooFmy!! z&dSGp8{Jjy9w%uywIM2^#i0!m9Oq3gPv_4Fwaid z=!mrO+03&W%%!q~Y7W>BRE-N2ZT6sM%@OOXZXgKp?RD#Bn^et9qgXW7QcY6F;qPwxweL!U|1O`-fipe0DMY* z?ImBg;Z1MrBkiEjliS_ZfftuX3KPMTTl1sAh2|*tXaiH=$d zFEE(eNiKPae%Dd3$ z6OKCHSBJy3HTZC3enZ+no4;OaI%5VS@TCb0A=!P@ci6C;jm|S2P6to17G^>MWoX}23J0f!YyQ=8W5I=b6uad#Wkl4a#>9N* z2{RU47)I^%F0Q=v&EKXtFfl#<$B8*7&L3+$zlIL>2d`WWlEQ{KqRA(w2`hbkHYj>% zMpW+rYf-F4j&11p+iv`2JGKXwQU4ee`J}Xl!QWFe^m%I11>c>PFUXY81^P6Yg<5vS ztF+kYv@BU$GYxKC%(~q?&f44=B%M=zooF@CJgTuWoF5p?cfsVXQR6`sMJ44d<09ZO zS|KOH6lq=9d`sSwW{9z6kz%l3rN%icm8KOFii^cuL`iTm%RVcWA|4a=>uEd5B3GMZ z!Viva-Xh7OYjZ9woUl?q8f}|I)`=6n68O9(sCc2@Z@xIeB6q}}4-eu&n=z2NFHxw0 zg1{6suOOkC`XsmPEA?@OtqEs^tr!y!!jkAP-EXiNBH!RMJ_AzD{Qda(s{eP{m?^7_ za&6!0@GYkaJ?8lo@;5O%hVVzss3d*XN0jj5wacIh_Wn(bRj^h-Kv#ds{xO0O46XPz zA)WYj69*Ph5=#)sMEZB(YMEK{89h7eP*ef3_K(#(bs>QYkaT?R6hh42aoO&l52DN9 zp`hZk-64L9mLSffcH8?{rnZVf!q<&Ys_Hx#RZ43I;ghEW z(+7jocLxWCH%)@BOv^&}$|Pk0kNcK!M|CsZgokoF_WqCn!+wtys5qAAX$X7=P(WBP|3GR8}p3=sdPuk2ka?SX8 zG^3wtlvr-U!Z&y`cqICB*{;2G%FdE-IbudruVDCyYzYKnMkmYl^4aW#t&>IZ%w>LQ zbKJ-gXgKnAj@*X^qq2U)22(?R6cbef1njnw%SKHbV)D8u#00RnCi^45?eD)#{j-sF zU9LY%at(eq_iz6jb1(UnN$Vwy!;~`&c}>%)|J~_@r<;MHirJk?;XklVqAY|q7MPakVf+06;}*Y~}gd|S4=B0ZZh`E0$<_L_AYXF;>S ztFF#|Jr(c(shof{FRTPbT3f zD*_yIFU7NCKKef#GwC14+$cr=UmSBQ3GPQ}WZVPtWL7?hgx{%W1Nr|5sl(~Uv-q1c zX=0cN%gNzoDAb7gx}TFL`mu7G+{agg>tE~FY+ExXcR*D#o7bi}@80+BZAW+6`d+z( z=SJL_L{6S?4v>KeM~|ALc(uz0O!@<9=1oE;Z3(`EcCT4@NkN1)M@XPu=P)BFBB3L5 zyUnWk0^i9K-uSg4Tbn})8*(`Ggrmp&h}v=#Uq%&)=mn?Mt+N;vZ3!q`BFCMVYw{biZCg1C*qp{+#&bz3#us51MXb9GUbK z2+us9_qwW*{dS_SfC`wnKb2_f-@qedC?lFD$WZV=zosm}{4GB}o~}3hCT70>qN>s# zE&9$${M(E2()ZFYA%Y&Hzj@rKT0LOJJrT2utjYU#0cLRjpJvbzFoW3pk1g+SD!Et$ zy)<8!$ve!~rIIL~9?LtVGzcjO^vyI4*>5I}7_&JqlvUS92iqF&^zqD&8Y!e)=Ce6q z3$yBT3#h+NJ5ht#kxTK29B#X$_P5W|9v+J|rkgJgwC~wmO&%evq^`i@C!w5qF zNg(=4U@f&DVV^fr&6(1EvqT!m`cqe^h&;^{G&pQDqvgeNB9b>iiVu_dm&v2%4WUdC zQBo3vdbWsw==a8ni~WO9|G0w7>Hfhil>dfVlE0bd=J0^fT^0P(T`2)ZG$e!+AJ{Sc-mVZ-)06(&?&EnU5dm~im=ILTPe4#jo1Ft}V*Vx6 zq^^CWyhzXbZPkuSynkB9KUVTm?c+ZQx-{K${ zCcudH@D!WC^0MQN{VC-}ywzl-@xs8P_e&&8=GK0$?7$S9js z(Xp^Kf50dKavIV7cZf{R%g{eK`^(!*b<2j-nc+fs`}1+it(+|o*B%p_Q{8A0G-zp3 z4w~(EQ&u*it%--0_uX)-OcFDIuqR<+O4>Y)YKLsu;C;1q`O(8hI&PvmS$l^GXIXnk zl?Mr#VxnN!K=*RxLnmy*fc`a-(dKm$FKa%Fag0e4NU!60Cz828(e)p8BELV$_CM}K zINAPvCo(y`Y_-sX1$*o!*sY1xXqT`#l*&d3Def&+6P4@er#gR4+V4+V28A~lR~a4C zfEv9Ow9LGW{3KmuFdE1U6Y}CE#ew9@z`$0}&%{6-t27sTC65menm4>5rpL>)BrbtZ z)mqabrYY~7%1yhHCM+-7?`2FTYt!l2hUHgG58q;hKT`#(Mp_ zMwloHoM%-IW7%tvE0JrSRBc)eqcO&6j#TP6#zFeVfBob#VTY?NpkMwXu{gWkrzJj?(hIVR{} zjOv`#Lc?9 zJl%LnZVI-PBS4m~HuFX5s$8D{6{|UDcmWi`=9%8{rE*29E z1;m|Df%vWfrJ1@IkQFpK_UB0FIihKw4D|RWlo~h^P^$c!{x^8Pj|4E0C!gWUf78Sc z_4};hFVOx9e_B5uKKw(GEM{N@7xV{HTp-jPGEVxBlbyc>%#6jVlf^N!?QNc(gJUs4 z=>wpha2j^K2xId=&`n^D751;CF2w z0|kYJ0ry?yTd~GtNCD}h25%2}qSR8%dw}FUw?9UbBTxzN+~+eQ6)W#0A?XCl2WJ zN<00(G7j@OWce=&{_iMP!IrH)Op2()Tj%wB+#@ZfI2rL5ywYNl&&hbP3znGQY@!cF z{0$x@y1$DcaO$SiZbAxXtHz+0&-MWz)CZ0QJY&VoN8~n`cSB6E{zu^Ev`Z-1QE0b1 zYkvWZ{aUOMuaFbKsYE`7&pkO9azy#uWT0M} zk*?>gF@(H`52*Djg4Hlwf|&!zOf^0pN8U93Ln(ZSKM;i|pyUJekVX>#P6p1OPq_5c|B5sJYm}dl z40wlsb^k#v|Gz=42WZ?odjeiN`Tsyo?*Dbvup>#(1a8a=(?WEOZrLh;V1oc z*>^|&4}!q=GA7jJh_i&0WHwP>()Bzwb$&|5eqDc_^sym8oRb`%bc%#yuxEdv{>}rTn>KG z96jGYCbOr`S{LEK86{jQfST>Kv`6k^WZZ~K+Xp;x92U9*0~6W_iBmj%BT!p=#9KSk zTR3;jV{fKrdidFvAI1_B9_;uBNWOMi&{+m{p6xn2<7au{Pdmbrn6m81YfR@ZZeO9I z&Py~M8ay>rJ^b@WY_4aGf68G|t>=ab2@26;poB0lR<6PKB z^LaY%C$x`EzBg8c-+CGn!eZ{j8hM}VZFrG|aK`EK?wMmK zk$Uj_vQ(Ur-@+(G7nLj57@Em#ivDOL4~jOk6? zOIBQs-(x_&mYjlVuXD2p#G_u)(?Z(A5#$@~HP+Yid&Q=ll{B<*Ww7f(r|ez}xw0=+ zEyPE7&hD2nIud~Dyqtn5G?$i;Lemf4UQr38JC<_nm>=|eo}JAPAD*YVcvjq*>f5-S z5iA*EqKAy|->oh`%|qUfA)aX8J3D$hUL2NfHgj$cr{-4(UXUVPe>%rv$e@%OO)>{<2wDM-8~02-g70vQR&WFCp|f| z+2zMRcCsfpSBJ29cK^&W{ny1Iwu-D@WA|)#={|&qk1@uUG22k8sl0Q(lTgxy5%8(o zL$1x;4*JejE>lk-xn9(4qj=9J-zzhXh#aZxqsI`}eeF-8)8D=~k~lNJ#T9?uDO1r2 zz4^H~YC|nIDke=uuFtO-ubFedaTr~Z>4aIAj5ds;ltzr|x?2lC_!xVEt)>}A2 zBV~Qls2vq47inpFg@I3!{6uWIJW3bmm)|QDGfJ44Rut!p)inw5CGPe%2rsrAFoeQs zl7#ONxkO35+hBT!I^_qhf;Ml!wq&it z%mtaOhmCWnr+g)X06w0GPP`QHtG?@3MvH<%S0Uwr85j_I5XS3L=eo@pI=|T3%xjQ_ zJ}^j=u_)^e640Qyo>i}Zm>R=L`nW6qg3si>w2}kx}E|Fj#_~D1w(kZC+{G6Ne4Hl1V9=aaV zs63oaFxyC2|$JI_%gR`paOl(0o0GuU7cG zo%)C!lOEl^#Zc)b@-Poh>A86SI^L%os1FBEl`#{hEYCQNrTG}yx|BC1O;lLE^2CfP z7xC)uHEz;5p&WNpm{WL_gHoU-FqR`q+MR}c@|6tbbTSLW7Lh}yZ zvGs&8g2EAF&Sj&9N{<-;RoEQ+nZBYE?rtAfr;IG+PF1u`=xjd&f0zLjGSeGOR%L*mD%+S7Y72L~V1f`c!5 z(^xk(Gh>A)%{O7pe*3?$;YHrX0?*|8?x4Q}$8}WnszLD~J>F-eZQN;+JEmv+)JrkO&`t|1Ip2S_BBBJgF9ZG?puj)`7-(Ji=*?qe`1~+RIPjLGM#=MkBRIuRA%7vSg z*742iI3-cCPQ25R94(QZZa1@g+F`wd1~OC{pFeNC7(q>}cY>-fFU{N1_w@eY;t7 z1ht)S(^vgGONuS05pFM7_b--nZFCSn>%;^GeX`Q7t^B%~BN;lj)xd?dqTbuOsqBof z$hvqpos(Eon|>4RqwwJv)Ipm#Okvsb_9SHZ>ov^&uNKdryCcc6z%k2oJiACEN%Wc#kIWj+@s*v>nac3Qh!L5lJYY?5xr4 zK?Z5_tq(%^I$;8a;G;wY#){6;>8IC_z9@@^pT>#4#qs4Gh-N2FOJ5g@^)O$T*R>fl z6TZKAqtRT~W{8uDB;q;!J{SW!WLSc7Vr=`m^xF?KREB=UTDOj&>1d~$?cGlt&1`(K zHlakALZ(nR;-2FXQ=}d&A*7(CrCovo`brr-PnJ+3t@!aL%@R&z-MF$b?OEK)vi*1C zA1A0IgCJT~joxcHIC~nksAikJzpB!1sZU^PhlhSqtL>)=Qn*Bwcx1x9&C+{0GF;;K zf{P%N1MXAlga_%*zE-Ca=djG-SM(4fO8GHCwUE}w%UwTWS4vi)lA-9w-s(msh8_zE|a=8cSb5$Kd5#!BwyLuGus23+q_kAbif~s#vvcH6x!$9hR z?t>uJAZgx3Y;8zJ%=dr7US2QX$AQ_!BaG;3rm+?4;QrxRM-}oyC0tr~?n^XQ;d=`@ zW{LRph`m>Gc}{k`ikbC8wVe@lmM^+cOIb6e3XIIq;KvzIbkV43KeApU9b}ifl5WTO zm};({4b?F$PtgV-WD$jgm}-iRg?YVxW1i?f_a+2#zHi=86;3+PRS5r+@qGV1wAHSW zs0%4xJdPdNfTt8w7w!Hv)h66{^M5ZxSze|bp< zfsXh!U6JeU(=S?-+hFnS(o-H_I3)2^Gd#79=kG}pYA&b|ddEL3oFW>bw(F*K+UHnU) z7|A*439OtOoD1>3or=Uh6(ir7L)9LGf8kiH=iapiRpaf8!i;jS@^U#>z@%&@sclT$3A zQhe80#RTvMH3LWt{vpDC!8ZA;s8w00Ny1{1AMItsHNC(2Nirp=2si4#j2$p;D4$e3 z;!%`+aJPZTkb+TGroZ^WAqT^5t~&LuEGhT-b`A5)RB}EeT+KoV>b;`(N0dR}c&I^tTHq!mm$JxZ>Ak|hY z?+s>SXk2;OtsS5IO|h&yBKAeRubc$cB`z|;2zuj}&r7T2HJxroE~Ru>1-+-)Gzbc|ZT zr2D)#M`MK%@6(>>1d}Nbn|unL)Ux%=qx!e8h0=;7m8q1NlrM6X=x7g?*m(_M3bUBs za15fJ4VIb)_aj4MLe}2D3VWP+iE!l`DT^E1#7M3=o$ygB1>!>Vi&bU0o5=@qkO%cj zP1&O=>p`+bqr>ggwh3`z{1Zqdgy8dSu&7XCZBc0e8SYSZphs>HqGM$C(QVHpha0TH zdDdkjDZ+PKgSm8CdSd59y1drPI}{@ep0R-Th<1OuwpZHa`%*@=-@lI|V`Xm?K`m%j zgI-!Ey>Z43eDsULH&@4{LSzYK`yQi{g^kGZ8t+#ycn1Bu9>+1b!69?ste5hn4!Gi)?^q@EKR3$6Kfe1J3vUE|yxUn6_Ho z^|;6L@N6bmCSgm#+N-JgVdau?K@^_5*`xKb8qhR8JWMs}c;1|!JTxAWw0gP>U!Cs? zl`W5b-?`v<$ohTKKT` zc#E>M_kHI=$e>-QrP<%~@oeJgiPY=P)@NrKL%vo1Ri2O{*D9a9=D0xY&KZN@#i(L! zhSjna^eJ7w@|vI2RmTLu7kkP0Bm28~)QJQFf7h)IDCI-HJ-C{uCr6|!)j5^O#Zyd- zMbVP*i2X#NBA^^1}|Byw|nsow+EjIrI^X*)W~Yn?7Eoj$Zg_btFzdwa7Zs zdNP}@;5Xz_ny*|~V^wmIbF;;>_rJXreUF)Y^o^K|`^Zov+d}BFxsOZ@?*=s#T8;e7 zr|(jK8L3S;gvU_rQ#{QiZuFwqAu=(WxZ{WMjy{O47J?aKlzyg4xp>jMkHatL0UR>}4=) zWdPr;I{2m?}UJztrS(93q_TZ}}8Ds)~b#;vz*xUEk!DoO0=MI8jyZxO{R zgsJ{Y4d&J=l97d;>czZcP2i|g>Q)Y~f@jY{9eMAI`S|G7RTkg1A5n18U{W=O6j{;i zR7fj1&yMrj4~aKPm$O}V!Ed~6=0`Z;Z#=^?``c`Sa)}{*wvowk;Tw6R>`YvEAjaNE zIfsL3q~>48^5s5=e$*UOl2Wp@`m%a22v3UfJtuPf>>Fm@TBNh?z3#r=SFt2YqTtvJ z(4@W+H&JNSu$b1{oy0+2f~*(|}HMc8NN1F;45x}G1pyu@Uh-O&ntb;EFe z*y`!NH&Y!j`^cs}C{ynIO@!i;YItiLLH6oB_Lm2k1!Qce2z7OkgUu(F+Y$drQ!N;DuoIE>L7z;~`evx8 zP;R(0+NXr=su2g=Ay;?bK;olrSjuQh>&+Eg_r_9b5FlMBPM7=8NQI@4S&@vmk`}en zZ|bzl$TEI%W+N;cueR*{b)KJyys$bDawlGoLBfU0Kesq?9h5MufpAy-cf5`HP*hJYG$xb#GXb zl%-8k<9$a_B4qN?yFTAXZp;G4R@O})OSdrA(oh3Ly#Z0_WQZpUe+>o@>_xMRs<#W5 zAFKGW zSc=@*;vB{rEW5Z#dX1aNC6C;qx3}MwL>nPEGEH$g1k@NxP65^K_u|Z^MiqmmTen!t z)&igl83_jCUuGF>1!Zl#uo8NQ?Lo9-m?(HY7|{)0n#K2n;m1}nk1>A;@j|hmzc|S9=7q5M=^KL(xEC}9 zQ(yG@#|>jG&tBk)`pRyc8{p8@OhLVF{xXS&+?Gs#(9E*FsbYZyFZ{`1F1+rIJQ4(T zdU0>=h@OixfwU}6f5iU#-kQ)C0T^~4_-YKHU-9p>9Bi6f5Fos!wbMaIHZ?!gTcpmZczO31a>^JSdyTkmI?`od^hvU;0d$tv`q!XS(8z zH!#OgEjIaE39oA=uHnt11f?Q@-mkY*^*2|Wq3~cCUFz~cmLQzr651cgW-@ZF(%?Fv`TdG9 z5^`yrkHxV}(d&<6E`A`re-<9pt4v|sp!3%C$iQ)W@J zDEk2z#RO!LRC_Fi6S$uV65(ZW&df`#FpKfYaseW&K=1Xy@Atb(;qyrJg)G$8&76lP zBP&{)i9bHVRTNni&JH2mE+@sihD(6YBrF+yD1a=N#UR&CqBMXl zhBBs5n<|__7TbbcXd5)S>d2Xz#GXaC!fQ&8M+R7%K|f8H;LvJ=F#ES1MLcY#Z+Rr- z4=uVQd!kt$Gfr6t?FeDBwm-ST@I=2rxm#9U6Y&X*=DwKDFR~KSkgzGoH?#gE;`&V^ z@+EpQ>onCVCpJZUG!mEOsue6IuHDLEA(!P;%vhw0`w(53?QGOr;L9$ARZR99vFDyd zJ2L3slOIpYz#-iO-!epb(V`!Il2L#|{Q2uSl*zNOkc1)+07ZL&eIB8r=)88rsJRR3 z-^kVN7*NTVeoTulIF*KmVOR&vW08`*EM0onYA<1*7!1H!)QCbk%CC5Cm+^^i)img4EsW0FL&xEGVbp{xlz`5G)_Su;Ag;32Ow zh~$aSyH#ur*2Lr#OZV7I`UUbQ@TgpUKIwgrJZ&?-r-~du{X`0_2B+DoUkFDJ;_68O z!&7c*r>0fzM}r@qJYDHCZZG}G$(IB@O9Q0zJH76jA7y@4u3gb@FFhV?JDjbP4V2P=m4Dl6k+Z{%r{m)(u)GCmJ};<%!x?A7IZ67whya_{Rb5KVL$>7SD`w+wkw@$6#sQGfXuqN5PGvi!{meh5WWS;4;V*2A9c9V``+lxi^N;x?noF6_nwssR4`j}YGN>T;u6t&tQJ93Fq@rsG<4p5MMb_!fN;7#_3tR@W0 ztAJ2#4Ze=63?SXBVUZ|q(6YbFlj@bT@l7Ge5Sd5G%S8E;^Kb8S@wDPchi7l<=sOtg zUJ3}%+T{{XDOJ^BHj~#9;X8Z+8`)#h&Fb41N=`XHSg9}oOAA*Vnu7dh;zPJ_jS2TSGlreXgv0c`0c5ykL+lJv%TboBP^Hs zzFqkJYW+)SFJs39m(q}ctf0+=Wpq1hrA42F$-z}LReBp2hXMj%DkJ~>ziGovVx~VQY_GLWeO-Nm zE6fh{Y;_32S{ou-aCeeVGwr7vr#DL)`H0k<+KA|wg=^w>sY}tZ__@I?zeb?-G@p(+ z+}m0>k}sCZe`7emq4NF9#Lqqh?_%6#tH#_P#RZ4~UamEAo^+0<0uSa|`*jwMTjDAf z4|n8$-WO#c*EL1-VMU%A)b_4Ex}QSazB%RdO2wma^F?Yu7WGE9o+vKd~R(rcm<5YS~I5DS7J=#Os z+EDgMA-Tp^8^c}?6JCkjRRuml=SKo0Z@i*vob3(a@g+tuZv#z|<@Xd`Qkik6tn-=57%R=!E?-YiSnC%K%YBko zvvLJ(Q)YUX1dX!7Gkn0H);7x{(SEq`+H{PRO=Cjg#NY(%m5$>PsOV6#-@wA=I-RQb zUt+UlLh=GcqWYix*RoVN0{!oxxPX=9XDDMwgTFIIA>Dn#m;2@rxsg+O&DMq=m*@6>MrifC67(1A3E{-by)X3 zh5JkO<55r=2xzRWVCu^?B8_Jb^f&YpKj%$oHkY+nS~ZrbnYzMPILFX>jOG1M4I@w1 z48l(V5o_OvoXM&0?5YH*7|gS^e%3dpJ81u`n5;9fliqyVR%jHgW4w0hXTw-&y1|9| z4DWvcJI?8MRMZ)|_qJ(kjfVzjqX-A4-B%> zsgf!$Z&A=p%UZ8WkTwj4EFMOhFI&Dywjlqp{-VQk3oYplBlugnQljoR^Cq<#{;zi3 zu)L7cxHcr8a=c3S^{w^~3=$M_hDvW9^pN{RdaRSGa8ot+Cc@Y9fF#oT8U508glZ+( zXGd*a!8?nBv*O;l7fR2+RK+d|B~@&49_4gy>b~)G2#n6+?o^_nlvtW6OA;K5-QcJ5QBN>3DrpcWP$qGalAV80KI zZ`<8JJ@;-R_tVzoLE>~g@byCCpeiyu6i-Q|-j8=#}4Jpf5Pc7@eGdoH+r{io-|J!wzp%VZ~_V0_Dl6XY>4T!lQUotT=He|?!y#sQ^0vYck1%qnm2SJZVy=3qe~Nud0bssg2s52KC{Yw zF}BvtbtTfWt?F9_CvQrcSk;|btQjybEA%!2wAl@P=16b;Jg8*&d!&@&qezw0+78Xk zLhH6Fb-V3L`0)4hGc(-I@#~=7;s0&D^!EAg|IeM{xYx4(kL#hJX%fji62uB8LD7q`RV*z?!Wa&wadJWK?G!-`R-ubCqlSUb zK1#vx#Bq|f1eF%*6Bn6Bzu(02bP7WwE5eF+%$T#EQIb@R!19s~$}VX-DxXvo78Q~v zSC_7_Tk8&hE=8Ah|5?~`5Y~XI3VGQ~O68Z0igk-Wyg#k}9U%8j^phtiCw)4sU9Ud{ z^aT8QklNm6r2L`iy$U11w9u?yu`VCsL({m(zXjFb3e>d<3Ml*5DGE%G->uu!z7=); z_^|K4NooKPpjU03!acuo6{ou%kIzVL&JDhoh@(e&3$s=^K9);VD^>M9qFh7 zL)%57smJw0ZO6W{E1l~mOQ7QFsm(Bh$x?gE?AZJMB!G?%!rn^KSzW)Vudt+6lT_uKn&NS<#hwmw87y^AdN6qsU%l_IuwZN{RqXGmB@nrcqa? z`|38;oLq}q0|!jc)N{?*j;*`%EBsH)P44H}bYCfQ6ze40>h;GC6#8>t*yu%sl%*q{ zf32I;iW^G-ssB*SKMzQTf&v$Q67H(K`+lav9h>ux*A@2u+LnKJTT}J0_aD7I%s{}8 zT-fskfIBJR*;F=}_^YDwWfV{cIB+f4$`pJReu`yCwkTH;jc5l)L9s$q#CU)~<6;v-zDxHh3uC+S- zCZBslvqtli2fzC;hf6#O9@eQvo^+4cbKZU9j9EIb$*7IhyO7MbD!xN@w8BdxaXUQG zep=-KGiyQjJCpZ?20pcLrUm?pM+N4Z4*@Ps2N>VH6$n7Nsh7k?16~_4$Mzn|Wk`G) zdpzB`kOMvcWUP_heU71hlLv402(?Ka^)w6Ub@KJJ`Z$ob+N9OrYXbS7Ai!Ci%KBQD))Em@S#W_CsGXgvt>cxPYdNj~+B z;vv4f&7=mLyxwJeUuaMh6F$GPLa->5UB|(ep{BmvspR%s#cs)2H@?~ZJ*s8GTa?v) zfdV=n8Hq5S7XU9gSLRI9>KOlmE;0O?dGBLDtF1oi^xH2-N2%-R94+*N7VllNf<>lV z#DayZ_>VjaYb`-mY12yCANhAly=nZl+*JkCo0qHPt9}R5)JJ_KdO9HJa8t}O%#&KG zY9#8~q}xUNe3q)AS6AFxXCS8{q`5QC!4l+?cC(o@@zQ)gY9h*E&&DRjXQU^^W2TF7 zvV(VAs({=kp@O0`+8Aq2d7p#j%XlqrX_#P>8KhiBP3bY&^@)8&Jtq^iBfq>0M;p#e ztO`Fvr!A6a!Rp}G=J#||i%bKYN>=7d?Kp+&~ycN)7c4pmBw zVs)9W3dfRMyW2FTi3ddJTm{B9DRjgIY-JXpK!JeJ{w#idaWvtt=%(~eVNa|nL%Hxc zSC_DpD8&!slXSKE_@?w<-=4T}YZ?fTpJWeZHAFAg6E~-8Gw<*HA>M=*Cbr>w6bjzk zsE8@O4zY*rG9Oj3J&=ccPIl3fjX%vzeCzhB*qDOLd|Ntux}?}G*J;v#(p~b^38Yex zySO?r8*an5%wAQ`XY)5_6S~S=zrjW3xzH6GZ?J7tti$&dCLTYp&e$5FQX4C|Z&6he zF!Tk*nQ4<6ko!t%)u|Ka^IVf2bPHpHj>Dxayh)O`vF=SGH2uE|bUD)$Xn*)DlF=q& zBAu#2`R;wxMltSuRnh7z_-GkEC}`VZjvi`$^jBt#b2BVU1`d;4e~Be^H|;2P#9!OG z=g%p*nfga&jG&9_T=nWs9h*(FA4Nu?5z678nU7!9n?}xhi{z&jm$TH2KJ#o_6ygFG z*(mm+7mt)!>r4dATs3VkpObnL(x<;FN!0*kz|R6cO9a+{Z0A8i!NT89lX`QuwA?qo zv6wtrB+VA&VqrDYX_g#-JhALdM8&Y*=cExYdJ(y8a3mrU*RgHg>L|yb^Q16c(}0Y0 zu(mtKCMfLSGt1v4jI;ryNM{V6KH4DVaqE|?@$XLVJw`hH#bn#RK0XoU>k&|aUZ^7; zw~`5u!<}EPdSnUGr*p$=$HGii+us{n>!}aqPBy_wN$ zu+UU)7}cx$R+P!dswBVm+FKoh1RwnH({z8+<5kh56c$lPDzWqxrCaN6Qi7IuCFw;sBE%il7%X81v|xEad#pl zROoL*3)6Z3Me9^arciO~t`%wDDt#?#f<(U+u>4DZt>{IYqI2KJpVn}VPcX?Nkb3l{ zkc+LHZ0>0x8Gccci;R%weo^_>fZB7-0Rz;nCsS>!`u^8R{h@Tq#+=&oc3a6J@yLFK zX}EPGMmXnvs*!{NNBM-I^^tnrfNs!Oxa7s5ZJVv6HF$s^m9n!Q>CVr(pF2ZaO=;^3 zvKgH9E!^_nOl3KCc(RXmIHDPY|Z-EZ;%s`MSn|TeQFf@3niHAKoMqrGxG0v`3GLrmL3M< z*E8@HUW`E?I(rOW3*a$77 z`#5q&86miV>euP=mM)2oUawbM2Mih{pDF#`L!~xdOFMj@BuB(5%?W#Uvc4jA4JiJh z2l)wIBAHom{LB~?%df3$9CF47-1pQ;S~72_(A_pDI^yl{i+Go+8zsNB@kaD7KGln5 zMaj9;Gd!SmN6Zqtp|c=&-H6rfA%>0GR@dO*!vpGmqvkkSh?|p-nbz2!`4^g^x(6** zK0c@IAIVef3F+rbHM=>xc%5DcbyZkq(OmJz+k=RepQLnKgPSxw#r1xY)!rnPa-A>u zw8{{$ja&Ss--I4~8(8}A3QjT3pRU(DCvP6hXwztJL$YX%yN--j=feM0k*b9Cc`pl` zrWuy09`jdboD(2$Aw9<9Mij&&oJ65T9J25zH?04yhrVM9DOEEGTLepr9Y(5mpJc^9 zOEA!?K$u1^1FW|2Ha8sy*7{+xV)s`%KK!_c?bz{4RhOWvomsCR-ITOA*0Vk|XGFsc zf+Jj#uUs4>wes(wM$gaJ=Bk`|zYliwLCPoca+(v#1y?_8HS2dT{8X`Sm?+c!b>u?h z=CM(2_m2-_>EeOUSW$Ml9{g)2!-r5)n7G_DSkvn1yvROECV4@PVuGzB9 z?B4n~*u{t5i5+MY=ULg%Hq!SY`I$PMR;%R2;UBrJ9mdi;eXL6o@dwS!`Fr*F#d-vY z$13pB(>2mg$bhxgcx~X7b>B|{sXDo0T3?wz7VY8G$how%C20kS8yWke4iNXx&G`lF z?qySe({ji6fw8=vZ-Tsfb;)t}9)RDw4NnBa+?ke-nNPy0{v3S7zIW3LVsw8gWTmQq z9OjhEX1mEho{yJO|4+x-<{-X8Z%sUjSUNpxf*}^nNE3B#h$dl(rB)B*97*Vf(Gqc0 z4odQyro7<*zdzLT+dHdGK498!tEW~(wy!9=FVzjGECl?hvI^||x?irO3pP7k4Omdn z8#t;z+)#&_9Ue33q&$HOZXDB1<4rCWI=p{-R`lx?DBdUQW!HNjWnb8*75@tR5A+3T;D=3@XCM+Z|HE>*4`0~o4B?RFSknk6i5;1$-M{BDJlTYEeZYzm9E;D+I;1UPFUPgbtL8&5C^FqIA4sJyfDLu= zv_I(c??2jcUBACXm(JwooUO1XfC@=ov^cR<(0rfG3{d_~`=~E~@Ko7sQ(3(j( z_`5_0?zFnBO7%)pJYG?_w2+Itfl310gV;a&abfZzb?ksMA0gGEtt4x^Nb7J`ucNe) zH}2%MYM_IF;8#T+tANYw)%T3BE4_b-rGUU(KwltcOIs{0+ko2BIn$1YuXn6Zwp3eETXpiCG+zNy4V8dWZdb z;f!uCuP%Cr-mP|^h`XlV0yg=L8j_S%%$3)sl7P5K<-zX!cxr{x3epJtuV2sp6(~i? zzVFWczx-0=LIE&%N0H#M)bo?HY+YJ*l71;S6nl5sdCq3=)i zf^j1%C->Qpic@%O<0A4%NTigD1z&7)ppCn6`V-0AlfFKv&!%_bO=1+uKu4K2Rd{ai zIzj0`>}~9sl_raxibs6tP{o-fI3CwS$CfsIgyT!)h~fw?wOPfyOSc+yO3&{MmB#v) zs{G33LWv?t3%;q$B-v>QT{w35;DOS`)I+dIyq=B{_JNI5AL6$giXgcgnchqJ@L*SD z{a~Xqq|?=d?NITpvEN{`?6DO&OJv>AZt}nv9?||a&8_j#@Mc< z-lyB|Zf#g-EM_!@c7CPP4DqRoAHuSL*IT$|Hm_k+u=3P(ywSJ2E+V_Vm#>9540wl$ zKyXrAJ6s05df~5hQZ8SQa~o9ZMW_fj?Wx=_>0lrYl3+y%ALYP}M4dXrN@DWn{Kl1S z;-XMkg2&x?i?+@$;eu5<@rdE{m?Uly7U-UL2R8gie@(R z=AhH{;W3~~?uz+{YfjtVOzf;lPA>vS(Xt*$j%u7^0yt~6Gs}8#aJO5R%O^@CF-SsT z>va=M1K5=aV%F8Kj-DLRr>S)3`SQRhej_>pxv}`mk$mKX^h#p$Vm4gGPmlUF4qo3j z$dUKV@3{msm`}T1Q5MyUpc2EDJue*Eh@hA7SpICNc2})Oe=AHtqU~^8KA;$j;XPwumM4f3t`sBqk{Ke_X_tCY8D^PV(@| zP_gpUeU|HMam!Qc?meNBvwigt->vHxfMywYw=a!t47Oo8;UQ8(wbUfL2jdx<%@Fs5-E zuB14boY|{1R(L@faVh{q9L`Rl6iU+fDx*>!EiXNb2E5~yobYgo%Tl1FjL_D&Dl$yl zh{<@#{=BQ}tq5#H_D8h;bDdu?q(|X+WaD_*7BlafBgLyU-Uv8plj+=~9s)@4lP(#7 zYVaU230@#}EYWw5DNc=4TU8DMgeE7tJp~w3fk8{&{nk+h(9c*`VteECNY&`8g^5%S zE`Z8;yELD=0u!M0?I`aacM-ysNa6`exCg-Y*j~j0T*Z_?ND5S`T^z8F`kwf=2>hC3 z{a5!W9S{&~#_bwakX(hxjQ=hZ%blVPkb|g@C3_s2ZTEC!YXgFVkVQN|aB_{!Ua52$nB}{ zNGym16jP8|s9U`rYj}8RREg^_HB4mVJZrQTYgUdMPih$K*nfzMY)5h?mBVp!IOSf7 zuZ%4=8A?so?Ez?|AMSFJwST=BS8kAhk$U)%#1fpaF89swVvvnzG(fFy>-Zzzg*(82 z7wrOgcQyJyv3Ir}kkWah6JI{^fXP6uAvF&MQls;t!?)$8!=6EcoaKr@jW&kG-0IS; z6XP>>o!6=i3!YI08DR|@=f*&dqD7-C5=D{64wDznxWtKqixhy-$)~Cd1i*+W8d4C< z)|y9CRyv13ve{3NqB}D7fZ&uGrPln}>(?a}6t^`;n9;3BOaYROS{9wB?BNGYQ8d^1 zq3Wi1WU|tXO{`)44Q8JCO37f_wN;b{LZn|f4QF2{&B+K^zm@%Kvf z+VW~RI0aeQzZqYwBwVjmBqZ5@-K&Hs_}zRdqEh4y-+$n$tjj!eF$xm@TmOgZgG0jx zHu=2bK#F%Bh>bjbE!$*>>*KWpkbCCh-*?DJDVv{3gh)BnF6QwzU|~0sDqQ4odB$kY zM)*V?lFij51s7g&FIODJKwoH_C?IgrLtGT7F;-@SDuGm9<6M-7=wk1SyAkh;+1f)Y|-VqTv zQ0tZ02q)Y(UzLxqzNwrjKo$=~kjlzuBQr){j}ex?1!|Z%*eUA>&Gh^oLB9cNe825O z;C3*$*XJQh7w>Qe8olT7-4GkE$yIz^^;-|3;*r~bb@qknwH6 zK|8neW}IzI6jr(^r<5!8u}@U~hg5_3VjE$fGq5BA$!f1FlySM7YI6&7-9fv<1fvX~ z2On@_e-cxmCw$(+Imn?3P??BiyAi)dGm*U>O@{a7k=B2_SN2%rxPT?5Ao;U!=|hd< zmn<>g6aU>;kQhZ$2q*E{y90R%)JXIi@}f)&t$^ucHFynqoUy5wN#~S^a2 z^kpoutC)~h!UozBs*#DXQ~;i?Ks#)AUD9w2G;BXsM&VY*UznkwocKXWCh@3^<@Mvs z^XzJEC@84ZKP1wZ(bm^o3e-pD?@7-Vr7&W|f?j)ewg>3!y1blt~EAuBHy=7 zmvayt{i3!W&u{%_FMAoOn~V|#q4EN&rm~eP9%(6>BDfeY>?qPWX@iZu(9~(;mzAo2 zx>m%8pwdZJ0v#L|iF$mPHoU^|&?{DR7_;OTf&v zgvcMA=;DK|+tOSZ2( zJJnb&{$t)%F>arzg2XV9ZKCX#f00*SncF0atS3#}s|qO#Blgf^pW`KEDQludA{hh+ zjv|;Pbs04lGY=JRXAN6K*>s6(`*fB{K(*kTg+u%a8p)KJ&+&Lpl}k@WH?DzI^tDYl z63~!^5eZcX1`AW8S(#`<61_1R$%NEH;Kk$oK(XCFt-nN~on6Pu#5}6LsV?I8QqhSN zjWcQqyPLEwjC9>~xLk0w!QL7|I(^!YHg5&qi&jc zA@-Y(FIWjI{~2!D`O2j|skma#IwIlSAf(Wf$yXz{liL6HoqFy(5j4etn+2BVj7;3! z7Lm0f>&Dreoc@1$;XH6?&axXNMRyM}%vDagI4j}2S;k3N71cX29HI++<)+XQ6KIj3 zr=3){K46R#E%Cn9>rZR}jfo9({~3E3y9a{E*YJi`Lnz9EWDLpf5p!?XHWyujFPl0O z%l79Xp~DCb`YQLZPQ!~7FXWf5TL_t9W!qj*t)0^wspR)rLDe9Uy-%|Rm@uxX;4hkR z^_=ME^PknPbPF^BewIR%!gisU732~(da=&6ROKtF6TF5L1K`&l_U$FDG@fp8UfTb1 zXSyN+EtT>8_{l!@>)<43gRS<{UK<&~`~{~*%~F~8t*-_N{}{B5LEd~eH_v))i10&A zhl@S?Sh!A)Z}{>vM0&YVW(%Gls&tBzudw6ZhPb4b@&{O-KCm11-bBT36V|*TTwaNs zh{GQ~gFhYp;a9lxq*mF2aLCQmseNI2k#gF0opsI>j1E(#Zi|L;mg!=w3_m{!vXL?q@ zD(oI1_}9rS3?|e(ep+fj&&Vm+Cuum4bi3qzHi%KPn(*#@5MbOU6{fDf&a$JLTe44m zSHx11oi#0^@?_cTpEHRzX|a3XiedlB5Ki7|@dUeB>8f2hNY5rKws6-JvT=#0u{#3=a|eC^v#QJflX~Em931f!&=H()Q79l{ka^`)pD8ht*m8gvSS3x<~^o0 z;Tmq&V;8wZv&~j=-}z^jO*k(p7w4GdM**oG)XZDaFbXA~*eeJC28C@8aFT7OlGcZ@+4V z|8IWP3JVJUzmBp=C9^a?S2&SS%w>#nVa(IX?-kNEMI2lc1aAQp#1pe$e`VfzH~q0q zu0!b0pOce>peu5Z;FNHV}z?7}zTMCrE6W-NUgut%?)a%$Jknxf%v*~skkld<&8(~9U4S!!0 zX`mOIYF!b;rAFXKx;@JZ^avCnUh-e!{K5veZq*-ms28R{kUGi99rOV!d~J}R61VJX zh%2NXFUfVA`VuRBb|RrVYlZ!vI1~2#ODa90Lc>BP#Uos4^c6N0QJG4`%ZN*^Z@BXZM z)dXg+tWeo@q&;#*<heJ|A{7t8535!=lHeTUKN1L?s~ieX2U% z+SX8pEzGz*Fn~#uOf<9g@YM4>hM&-@+Cud<_13)}m$~zXp^Z0V$GE5(3MBQ*L2bTs z!`OW5v-gq+lxjS|p79C!j0P;8g*RD%5MBQOe;z@aQTfad>RGzDH2PXf zG{8|DLRyUpYhh`hP{$_$k@KP02BCdrnmplSRMsYkV5lun&ZPMEOKC+4q?>*r-SIj` z4MU>(sfwKH2BSEJd7p13rwRR=DVDw#D>yW{`E)o(kk6K>?gwq$G~NE723@ytip~wf zn z!@0NIpN9GNkHM|154BP2&ejC_*+=@%ZzVh?NY#)hb5^G#wxPadne2thqgrhyG$@-< znL(h`;-e4eCV-*N7VpN$odj_@EP}4T%Eheg`dnteO5>peOtM5g@J_9XX1U6c<)d8* zHP{>f8(a61N;pJPjYA6joU4)F4@!>7yE|YIk_c-&NA<9jCt3Qsrsfe#tW&)B{ickl zkQX2&ESsT=)W>&8ePNnE&4N=SwFKA4dWG$zKussI2uHM=l6^vK*{?y8e$8DKliO%g z?98`JRssVDxGls#wvMZk8KTgU$y$aO;tZ8bul8MB#35gYDig6NoAOC4!7^FxrzL4w0f>Ls0cR!Ga=hR)RhPomNk&k7umKz{OUBS?+Qv5YlD^Gk zEoC11{pt0)g#wgfyJCqcRfT~Y;|PS=K4FZ6imcF}&Qs;Jr0~weyg{}x`XU*_kq1LO z&CifCZ*U@1BBXu`XYJ=knReh_6)VyZJGor`j&&-@BawOi zCZWEJmD0QcP=)G=yF@3mr}U807`?TwA=b_FgAHf6b{cCoaFSJ77Z;I3x{GePLt*x> zD>2?=Sq9OP5Xi+_(?RGhpnx>*+=-;fVn2vaCje$x(-a)4Ha&|h3OsP{K*w?+2Pe?r z*HV#RxfrZB4+Q$qEJv{ZTsuYADmkQ@!4Stbs6MweL{gtsyBU8x$x6d*AY_fF1(%y#a5n@oYpdtTHWPKUnw;_S8$)ML?7bajr>HY-4>P!Mqp z4Ms!xWU{`(d9s0eh0|(q#D_Ub?VQXO&_pMW6mZ_H&s|6P${0)tO8b(9BRpkYlr2$M z#(wR81VVmdK$_2IB8el&_?eO1i5ar$z;`vKZi~Ng!WlQ|lysu-17%`!17@!oyQJ%`q?T2YB;ziu&T9U4#?Ee=yG%H)4m5AqZz zhJ!`e2({CSZ-uJ$(9D_BXKPMgaqCij#uD0KAN{FV3l+lOg!5v15;!nr#u|Q0Ga^yW z)?LI+zW$>!Ty9~GWCBGx2}b%>yi_8g{7sHd)M==;Y7rAmaVNdCliSJguYTT=Y{R4s z7qi#5TFSsR!)H}_PEjs&>lB{*H(R@6Ux-OjgKN{A6aI+?_!|TjhrDX4$jJ}|Qemx? zZBaK8BA;F*Twn_NY)vi<)97)dD0Vax|MaRU*^BF^s;H3!u3;aA*|{uN^SkEGMJ-jH z8$U011TcLoc?4~9(c*SC=$QIDccJmIP)!FE6XnB^#m@P0#qg;W+=mxS-)He%t`z}Z2_36_6 z5jv)po7(ngF>t4?&*P1$9@=3p1Ny|&UGtPv2Pqm+Y-^p9p(qRa01^n4ywaduKj@LJ z6ZOuhDqahM4Q2gY`Rd7+O0^@`42J(GxRK|dYGtvKEtJkCo_aJjvw<_Hn22E7?&q9- zK&+CSNuzXuT|ckSR({I_%WCYJYzZD}Rj=2ud@xd`Zw7^2K8$Fb3)4=c&IT4M|S(Dx5aJibi^i#nZId@PjX3BXJxF=(Yv5BkcMiPSoBb@~BnjLRkfz zw`<7fb(k)tEA0pWH>n_)sUyfw^%Knoie^qeVgRD7b(OOtsQXHcPjWPDq1hfz3n8yD z(RFk8gKc`p+J~4tc~p(ZiAAtW5NNn7R`vOQ|5$ zht{H1TyE3sv@O|=0YY+%eI}`_eSJ(GI>@R_USq4#q0?U#jt1kJm{}=(Kb$ePZFoT* zq*WYoI~&u(6_{kZdi_QW(y00$N$}$KZpuf>G>mHN={4Hq`ltDSCD zco&j%&u>kExM3jkL}W7+ko;>X>z%;*8RGTvE)V~xU)mUbA#+AYwgi5{zxvKrh5aU4 z5H7~9A;R7L;)x)9XyYpNKIESF8jlGi*ZaYcs`1J#*uVLrG zb*QBKC~rp4H=m&Zz%+99Wxn7SAxbm|0q#(0rRXyFb{Q8U?Vdytr&vkfw3TOCV44C( z1=jj_mJXCeQRkCRcS$&?gj`oPJ?^#$C-0HtFtMD;cMkn;Pc7YAl zmMfZ?S&3cbYUcw#ut`nrUUzZGp}Gf13RFH&B(&uP00MIWec;y@odBo9^daOdTfP!> zokEj~$CDQY#ce8;5gtg)Dp%SSP)h=P#BpjfK5c7@?{?PA@c3|2lVZd?41y%!DI~uyxyE6 zxO?ibS9-HZ{g)bwmU|e4D$Nj5pGA?^ZlF~hY-ri5)G9bgR}{#dG@35O3F%vZXsVFt zh;>LQE)ekcLeBmdE?}!1kWqmzjmMtpxss8%n zr}6xX1IvI+F}Ej=_%d?N45yjX5BU)t>3%%y4fNpi4qNOt$)F9+qXY|_sYGXxk{o3K zMAuGKNIO#fRtRe=e60SH-8X22tx2TOt#}gILRimGG|&s>-#q~jv5mz^acCkE$V0Wg z(k!st)+7tf2{ksAGvVfudNVr+E3yBl!jihe9tVmTVRFZ2_DSsd6J}5oJ5FINii5b1 z!;i?Y#(9ov4IX|YJcm7lna-z8h3=|7(HQGy~Pg`y8;Wp=u6}wj1l^PUx zQhCmzkSCjYL#<>HhJmS^nI8Qt+Ia4J;hJ`DHz-(XJo|60tF@*euz;>~7KdKm6m1%$ zI}tH-3ePqfR8;#EtggAX@LY*x5N87$G!Lye3wT5`v>?*R?YQ!%`4Ur0+RN1HqJ}qD z7371>V}M;14To3Lb{9{%knYwGvt1r7Y?%0CST5RS7em(6JA$39>u}_8C}i`l#D$3< zLkN(zwceABtyC9Q&2hu;w5H((sa zaS9Rj^p8EA>&@Tm|BfRHd#)Mx6be|$c>|dv8Mx@zLS3oMN%A(1KaO5*?>P+K;9$@a=o2P||`ZvOCc zz534mJ5na=U;npN43YnNSJg14wQgy~>dwmpb$%ojlD)Np0+Fsb{6n4MYA7d28b`_>|nIqVltYu;4D8D-iM&>t+M z^hM^e_UC6W6rvdNzl5KPIq3-5`xCK3`Z!cU+IPx#w0DM^G>bMxgj0*5DfYR6pFuh{ zS*k~VcJ=bT1!U~MyIsSIPo1(##$YKm0Z?L2Q7L2m@%AqHX}MMQ3C()kH&O8Vn(=B=*p%7KM_t%)0jXTaJA_m7@1eqJ&k` zY|#00PoA&ZX}6FyN?Y;o`n0f*ahUi5@&=y*HSt{U?%p+A8!Li2+d;e{-Cn5>BI`oa z__96ZOPhmN-Y1fim9|q*_@2RlXhF~xy>zLJ%LIl@zM=Ew?_bv3l$;ih>vH~T%TCM{ zMmB2F)xB^>YbFiHrwJ?SFCi9(Cmg%Ge`1;WO?*^<7jV|*&&-@$HaGEXo65KmzElji z31`74oz^KY>OM6nF7?3S^H&lAq|JE~Ze(nGQPx`5Y?9unQxsS;UI9p%sC`cVGR%8pIF*efDZ z!v{CcHQ;<;M(zh0K_Ob|`G`y<8rA~Ec@ryW6ksNNCg@L6x(1b|1+0a?hIy#x-seB?C}r+pAq$W%b4@HoeaD7BL@geRS+tOMxgS zLz`ytb@AczjG~<(uODM^D4}+`upYxMjY}a5OKY@LXDpZElvVIABc*6A>L2{{=3vawf zc`!CTOjmCT7gk-iwYw4hYyx+>&xZ=hNfPE7?V+j zkhnK3yrGit0;JzAUuv}e=XlU=q4ti$9`Xu^GT%?0%qx{&)3@hAfGZyOB{aCi6`g)a z`cc}aM$w}$9GkJ>`X>^R!{x>W%lS~GpCvs}NWMo+VIH&FtjMVcV{p~yr4}*xorho8 zRQ~{!9?w6yE6k?$v!}#@w#OTSo!qyme* zH2Fq#^p9`ch>+8!sdyC2*?YeygZNMdRq8G0MdDWWFTjiioeLv1#ln`KTxt(|?5{(j zWhh*VUTntNdlzpVdiKpKUy%=8&*%-*=#D4f4T+#2tepBFs`{I-%pP+GiR_4Q3Zkl_ zr7i*jy_ap3$?XM1s6J6(KX#3BXzZ6xW3BE?g0)(3#ybCcE8t#txjLza7Tz=FI5GK> zg(5qso`#~C7ZD7X_9VKG;^Rp^@Ikb<)gPs^SSx zP%k;L%tZ&*%hOn{4xV!9IfOH90A0q_>J7I|P=m5y8pYbxsU!*&HP zQkEoBfPXFE#U1xMmIeJd4#4h4cthL66~bHff5!d>7ImNk^Jc{Y@*33Qh~ABXVV8z6 zCfuh9ec(Q`iiy}KZ_a%xSvGfctq+W4RkkfEvBW}R!{KOMA(uANm^CvpSuN{vdLx{4r^`vY>-Mftn zyZ?u-Z;BBuXqO$^du)65*tTc(*tTukwr$(CZQHi*{5Ll__ax^eE9>FwUT@u5)z$S? zS*MtIWRvefm*NyK{LMY;lp>u^3R)Blca9t{EbT^<7uSgGe4I~;LPohFPjcfIh9sn2 zVu7;Dv}0b1mRIu})WBt+wsK@zOjFFDm}LvH)1bo(0d~%%)Z>?q|82yG=(=B9ZC{j8 z1VvNiIcW`Js(z+i)Fmqy2*;d5npk@xtABLPF{*G*r>qRy-NEeU+!3Obf$Fe0XIz9+ zD5B2o5?^6UQii9AS=0|HqZBcRcNo>?xpyrG0fj9J2?;tbkasD<38(yOYyI{LBa@>8Q27P+sGi%2sF?-=nr>*(*6~4EG=Dmf?S>LdnGU^W~x5 z^5=^kHjVQ|9t{4eeHfH_LHpfiewAfEu0@qF5(-+Kj#3q*)g!qx#7M0J;}ihmW< z++|^kaa+2}Lyfnsgc3jR>MKLLV?FY(N3JO6drx&HkI}~PN{~+q3(DGG+hPi{qR&RA zoLG@xcGP;BAxt@G+$e)knk31Fdo9E1390a5`8A%yk}nh~Njds|-QSHDA`l~j(?1Sd)1GCx#>;_3JpJp+2GEn- zs428b@tAYPcW+{eX&n;MaUgr=0dbv08`^f_}fr}ccJ)2+o}3j%HO__aS(%tQ``9#yac zmnkjHQ_XKT>7q8@&z6B7RQ|B~kuD7T#ywkP%n>zm?~vZ+Y%A{x!)t-W(w1WyuP2nR zW1?wTV%-Ac2oVC0^zz&X#>7Cz3ApD9a?bS98(3R=!OS}Q9`LWu_>6# zMZ2JUjGdFRhNaM77M`7=_8*3#no2~E{GC@x3e*J<2`Q2OiG@`a(p%#K~YeVmx;Nok~a-?K2=dQ1Vuhnz7l-J+{2g-QSLf=@Kb zmbM{;ZXqtbSSlx?VA6)uf*i_A{P)`*c^-M$ipCyFRnit9Vq6LqqvyA4lMD*ReU^Xs zS6!1sw-NQNG&1q)=Kka>A*NojuH`_fkw_c$3#j26b-!o z@E!`ry;TLn8!H8MW_8$QBuse0EowY=M{y+(+&J*?-60 zh^~*hwl?WDEy}kgCwCMKOR`bV+(Srs2s#v=w8B79^O|!t-*c8h*78~@5B*Vi`kEBR z6xod1wkraM!hp8^?@$>EDVOs$9-QK82KnKJAD5xNRBRTKhweHk{);*pWi5l;EFPR@ z&7#5(cB-kYlLx+~B=Y$c;q5Iwhdg~JFWo@C(A_#y=cZkW^1|$ELk?UZcNif?Bd>hN z2Wz6FIv9t|*9-Q%k~AHffmY*r4{zT!q=(#SR!k~Wf(ByEYYe50Op zR8YhsA+V!>J&Z7K2-;BOP2*FS>eI#H1VBm~EXr==Oja;q+9eV5W7pc~mZ_7nBy@Jlf;zPM_fW1aAJUh%>TApQX-LgVR;)Mcoc80w}*JJtqX&zB#J!{HZ+5#1;=Q@^F?BfK!}+ z+GBK@W>`I(g{C2UQMr2d>c9DCioCf9%h+SNYd(oVdAbgU0YM9*YUEHXBbRb7a{3=? z9WB5?xyR%;atM~u6=C!I6|sS}$Eq;v%xr~J;r?4v&-@2{wgAF?*4+mG>=b`Q&E#21 z*oA?w)C+SKmGW5X*;zlBN8lRDuVj^N_Q2REM+WbPjMS$p_lyfv>n{l$(I9G z@Wa)z2J?@?^E4giQ_zhaDZ#L4o3!PSEo5YG2JLUtf}2m2ammA(73fU&IfLJ%?r?$y zrV_RFQ>Pvsr4>tLeDlN@>-wC-=I99=pDDtb7S7X;IYMIedU&zCm621Y4EPr?C zRu)XTOyLGCE))FO+N`(PIiFmi#w?a&3x*JV4!gRe134dmVVcSxJE~I|J(dUad^YeL z&RWr~)8Bb%DvzF)3-%46U`9*$eaE3B0SocAJO4n{~;z!761pzkCKU zEoH072hj&n4suAp{$6pSPT5<0*?{Y z+86s42Y$QQje#t6_zqYh`uQxYmn=1tSPRVP0$aOTQjzVnsj6bTKr7(s0>jmTdnqkd zLf>1yY>VLu`2)XG_F<#WnGCla?e=RDT2qAdLkSP)iJR%y`y*rvT2QPfE&3XC4-dD% z)OZ}_j&gd}MaAFn-8ti!=*=nZ2dAok)~&4PoYD0yo?07!q)x%+T&Rq1UW|++F60Z{ zP0C@$&%DAcLY01`b%kaw(frYQNBNVen~VQj&hCvi9}=hFA|O4($UD?TF=pl zR>;WN%)m%qRDf2*>_0_X5zF7Qkdc9np%JZ=k+q4VDLw-;D>JPO{(qAQWhT2RD!8DI z_}GkP$0pSd7{F@y)`G<{Tk6H(fXG3JLjWQPh^>P13xOgF3k8-ZF)Uj-ipUCQ{jD~r zf?M`Fp9g-TJ3nP>L|uu_LSqxnk})Y>xWT)5VgFAA($-GbOV$HGE?H4|(-IvtK-6;X zj6~!RDhjfGAtV4W6h06l0E1iAUm8vV@ZI=f$y)VI$j^vuZSYvLE<&mCUb@?#Fq)M! zSO7i@HgNL9Ci_fIyXx{^-NZ9Srdv@GX|UX%i#rgzW~Msx?5-2fWA^nys3>K>1`3lb zfdaZavrLs0j3hRqGB%f`vZNSg?g6;-z+xE_EgA-pwQ2RB|T8ND_*RibSvgIziH!#50uCLiK_K zGc3T=o`+1f;&px3xB%h2zCHmTygAOG_nM5Jf5qF=3kj2L+5Df-HdeeRD%?=MuBbIr z?=8*qGyst`Aiej;8W|HG0CacVINh()Ua!S&6s+iudfnYmPftANMVljvv#jqqa6NoC zoiihjn;G}IcH<0bb>qHi=cF~&RD3NgMqv_`#LzU4{oj|6cdT=MW`{O10_;b zN_$t!l#5_aO{fYMKMH?*j8uR>5ok-UHmXKm#1j1IRbR?)Ys#(j>)$ zGu$%o>}`K;&OpAuDD3ep6ew4vMDm``TYJwussRDz0+H?lQt85H`f(xv`5*xG z^D~8lkKyAS`U2G=kMgt60&tgt)8yhf`r+2%S^^E|a-suw^m*GNL z`)TMRNd<)Hf^mV?;6o7d!-PVs@x#SZ9fH0I>XRddhsftqmjY1wDaN8Q2++(zm4cs# z1n1$*TA#km%AJBZ!nK2VflBkk=icO|&;c#z;aY-s^mW&wNBb%4V!J|C`djRhw*Juu zhwm%6<$0y^1mc0$2_V}Gxupg|!0Z>rMkF8{N zjT0S(P7Jk|`*p0}Get^|7@xyzM7a_UnbSJedt`D4%@Qz~T>$~>OWqZRAQFR&fS?rM z&WoTETNQr|wGFn7qLX%&AVzu<0;CU26{5yhM#u>38mt-w9y}P79PAiWLkcq#z9$rp zofl___gnlWsMkf`BOTM2q}^qT<8Vg54?*k+)FiL*;p1Ooc}a9gcZhoOYssq<=_ELW z`OOL+<%Y>e$k8Yk5>*sgl=z}@kN;7XUM&)wd!J*RTb=*Gj5-#AF8bvJ8;=?Z)^AL6 z7K%-2PqEv{v;=7LvCb||@#bR|dh&Vo=+LbrO+^R>dR0eOr`U#G)9*GTEshOHnH5r- z(xOsvSUN19Q^ob#84OY-)1)W)j|q;2kBLx&j)voF4J(zGAuh--z$}udj3QRgEMYrR zxbNiTkZDQp=8KTfTt;@wf^=y9ZR&@UqfA^zreVpiylI{%4>txguG~MgV+pb z8sM5{n{4ay=zoX35##N3+!cLNdgJ}z|8V>i1!W0G2F>e74S7dQpw3WRQ5Vo8C2u8z zQ`e|w5G#FHdj(os%(X8M;o~BJ$|=YV->F^8G4#hR1G&i-R){0SuYJ{tizwpjSm<3CtQc z#bRM#3sYmVTa7L&*I30W81PT=7`9e$OO-n<(O$@Yb|VRY`t`9JCm?O=}7Ld zcILi#pOoHBzG)t}+Pv!SmB&Y6f_#Ru?qJ+3*tFOb-Kud%;2y+H!qwpJehlOe;F{nT z<)U@()9%wYuc^{b>4eOsId$YmGYs`BYZPQb*{Nb`6wi>BA77hD^l=L3%xtP*?! z+0(;U%h#rB*|!Bz0--h-9XcjPT~B=fH9GdY2Gtf#7g^_~=fY33=3ex<^eOe9hq$7j zB9=zHkw2u6NYzN$aYF`4hMh*F2Oahu4nhukWNan=kz7irio-})%5Y^1iAjjB$2tm{ zlO;*INSee8#;1_v$mEq6mcW#FCY-00%W@}!m5`KJNp*D1I^{X!>msB>u{D=sQIdWGqV55zl2R;4J@d=;juQB(0K z3XqS{t|{CHP;0SJX8bO}ZWRuqlu_0RJj#3&;BfG92&0~RZ8ae^pEWO~K=XogemBK8 z-8Z5pA@0iWX2LRkkD-R~$8mTnpUUwXj$8r@2`14dY^$PY$0jc)!6%Zelxj&_Dp70@EDhm(fO+DF^t+E1R`o!;eP8m!#i_V2Z>7N5tTd7WOJ9$h?p2z`d?WICpg zvRqV1t2Z}{mWyuM>nJ^!o|jI|pU%UW0WF#<-L|Suzw<|GOlnU;wB2sdEQBpWmcLu8 zEw_YpYyEWgon6~V;shUyON{^@b=dFr+8z3emTJLuQx-xyFGSR9laoF0-K8XXoH z9vI;t=^5o7?HuD6Ya3@9Z<%13Xr5%AY?@-8YMN%5Zk}PCX`N-CZJ*z?PG?^_UB z7+w@#oLG`snp;*{UR}{#*Y;FgUn4bU6Gx3Ot5APCmgqDLZ92?K~4Xn?2V!Kf18J__z$dLcGenCckdH z;k%i~$0|KH#aCPDsF zP(uyAnHa`I1r7zF6Ef7XRs|s@ga}{vl~`3rgrJWdjdw&+HY1i(6B{O|_=KN`U$yRvDy5aH#09fQl z?FEv>8>Za(Dge)rD?$NaFoGS}=I`!K4eEx^SBIcd6B0Ly_<{4yg9k|MM)$Vt*Oyup z1vuUS;L9BuE*ZL4gM~R?Q@dQ{K8?auS2_jb> zLF@w2&QbAm)6_dW~K(n2L!jp8%V4B z@GG`A0Z_#S0pNkn3Xs|kM~qdPl>?X3HUyD?;XzcatXDCPK|&;nRMfu(OVZXu2rLFu zf^fBfr5*-=$4|&Lm*%q#9Rv;7Ere->Tc4DNF&b72_T_P_0i5H5aK*>1^@H%@LS|)3 zYr>EjnJC=I8pnh9QGGed;qpj4w~Ddwq)9qO6@YAp^s+2ch4Jq*eH%YPdAf$v+~ZzP zs*M-pgbM2^`aQlB4!$Lb>j&6@AQ^X|Nu$Lh2Q!FsC(@u|0g|Q?mg;8^+GdE^J31!(y1?STl>(j6L8~%-;Q3OfCUtBBk-vw0mHw98u z84^PPQEb*^TVEGpuXwlGKFciJivYCoz+yhCn+y(@4wk(bQOB0G3USj*>uBF^N5h#* zY=JM1F2tTWoI46K3EOel9=WkGgJ|S#vL6eP^jSj}A5Q$y$20MCY|OuG?bDi!(f9(& zF%yDy$249>^hn-rTg_NNNb62B4xvFwka?HCo(mlOx6!OfHj>X?puWv9_9DBuTsTO<5|(Txa&Ve16SAa)o3rk`m(9VRx>}UiD^$ z_j`?`5LM%n#$UMTdh-wpZ^N+d?Lmo2@w!{H{NRgeUte0q!P@pd^)l!(^Tk=zV_&$$ zam~yg^@L8X{))2ym;BmS2E?dQ|IU>Jq)&~H(UikLkXR#!2?40;Fk!fK<&Hr_$syU}TX{~7g$|z(y zy;89th|Q^#BLP$y!<<}5T9_JJ0swFdp{dEv^jGc!eloqtnp}uGcq;%swUlgparugY zqFRSu8I9$E;yf@Co1CI-u0@@aDUyx;-jub0k-S=AsfK9RQWU!w15FI~mc9YJ;xLl| z4LpvkP~aq*(w(F>Pg&>e^RmLbuiq8L63p@j{Y) z^4cKMjUdK)wK{Y_P?q@drYxXS;;m@8fqeba#V*1h;}E-*hl*%Bm*93P%~g-lpz{>; z;XMrc>A2UdiID_gcMLTK`XgH>>sBeZM;qHq*rg$xwDYd*jfaeEkRD{(D%i@}GJ$zf zL#2+ak%){mIFb`7NZk}yS=Hs;*;wKD*8c8l=W_z=e|HJc#+rCL;}Hsy}&h z5zBF{b18aDtr9cy(5SRfk1`86^sYMG_B@&s8-|JsmI^(EyjJ)GUQ#tPmy>IG^20{5 z8TnLZN(V8(vTETBDX{Gr6D$``URpNeX8>y3>g;NE3u4WDcUeD3;!iuVgM0uuCAy7% z%CiFIq|8&r-<;^e0(mqM>|r_E#NOdF-@tx>7e1kUB{PtUo=2IA-wVEcf%SVeW>ZM3 zk7d@{b^|WE#)29fS1*`2e+<4?a0>FCtKbRm54^bJLdXjrFeYlMtTvW&m|Zp?ANp12 z!*w*Ov2XjEaWz{2b9$bLZ*bgb))uV;J2s2(0Nu?&&aAc@n1ZI#AID6yPeN77tBlB;6-3icF*A5p8Cj6e&%r( z5WZnqALKE2&#oPIURLrmZM8edCMC@$!+oXS((vN&3}cm?WceX&VmE?*GAscx@e_@f zEI2f6aC;lWKD$rz&||LyzA{=?#FcJyd8hkgO(4oqt0p6-XJkMRMGf*XO#!P#9+ zuoQK%XKi9XIvVc&Yu4j`drnQ)usfTB&PJc}V2bmi4bM1irZ+OeZkPv;usS?%(ld^t zdROAsjIg4l*_45+UT?l!bDnyyf7LxU6CcjWw^aDlPS)N$)NWpmEw(II&|dq=YVzKR z2d2&{rQ_<@93`PMc^sVep0v6ra=X1x@1%a)@5}uHT*{FtBnt(aK5s#-P)+rn?UK_> zd9OJppA#fy`E+LzQF?n7v=cj>C3%71wu8Dpn^`Gu2p^tlvhuR;=R=UOY2&EWs+2*~NBz-cuwdDF9_bkDafA_5|IzX3qQ-LQ=H-}vxU0;g&0%8XnM+Gn>81bbpp zEHx9Vf?Xr(Kzm9(i5QP{KZOM|RF2f6g^4ocq|=<_Es@~TfAC=e{qX(0QS4)lQ0Suv zrZ?+3nF{pJK7ODG`v8HUK{4fk5h8-^dIgXohF&ySt$fk26Mcq9r!Fw@z#ymWmlq=t zhHNZpoCn-pUAK59!;cMVUzTa;r+GUx3NV}PbufOk9=FpMK!(zB*s82x`8x|BO(K7S zoze?)j_XeK!PJast0^IQ)MLN56tpK@^JuIckCr81HI;=rofGFnJTRchPLE&oD_I>f z#3?6(w)2K5&QK}RUH5SF)DpQ;(lfB&3n8{Aug_Ul^OO}A#M&PPe6|}b?&Hq^whq=R z1MWfR;imSYF_FD80kL$#^j!3jOe-#8N_e_N;2-1vZORvvi}w0kx^hRah|WXZjqKU403*a2F8U<8H5P z(Fp?}5`4EqUSk^B_E-2|IJt?K^oS$LXMi;tV0zodQH+JHMeHTlQy@`UCuXlp)-ydB z*K1oaNvHtteK31rMe8l~fT#g{dLd^)7Wt2z&Kj<{9Qm4Mks{!P_=aM1i>Ax|l%K6xvF6lD!uZm3@RGS%%V(iZC-$Ml=fxI(@b<-Lb58`FsgU!mu z3H%tz+^5hLwXHnXwU01x`U;%TeV}x*JoH?oZ2UU`HO7QGh$!%P-CmxR?iSDv;*wjT zkqJnY_JP%n6*K>3>fP=Uez}CuRZ9@I0{I;9f3mgKpw-kgH7e2++IdD+=1V((&s&=& z?i{pQu-7lf{Y9B0(U148)h8PxquLU*ML`qvM9@nWrr^SipUBt}(<$Q2a&keMGRPwg zs?>a1xQN&)Yx6YpC&e{VAz0z?^Nu>>VM52Yhwt3OG^<4(k)uG5Y4Fp1#`ahH0-H?5 zR+BXbw&3lu`I@2yj)I*5(XYivtq4ulD!~&Hq$UTiX6EnrF~q@pN8~;GF%fJc3$GjX zzSW&?Y+HC;P#IVsaiC8^J60o;1HGwPBHXH!malGcHY=mu6I{zQbL!+C?%K)%Fa1pj z!ObXur9x~3b}!LR7a=3ffYsTB_i%Z!H;xD}QSXt<7jSE+oVu$UO&(JaKa?y|5L9>8 z#59s{CuQ4Vg-H?dH7BIzdq_Sg*mfykZm_NXZc(Mg8rPHw$yH0;j-AI#X9_uj(A-}G z{o}c*wUR&2)4lEbLj=Nm)&#Fh^OLu!;}XPsYX|1; zmAXOj*SxMnS21~|tM9xAc5Fs5{VmApZ?L;-aMACXzq*CQ#Fg~osaveYfkosBb#no@ z@!02y#E=eo*qo}W^=+~l|Lo}5Uol?pTd}8D`hnt}aoy=F<8r@PyaMNTC?!rLO>2Wx zx6`Az4JkgJz28XnwLtLfZDWp6LB!C7%D+bxZQ>I2O#FSh+T4NUn*vBv!g_ch zEi`N}V4`quj6|;>0}=OjtxAYi035z(RggAb96uzwM^cc+nOSKI@Pm*l6QNwS(=?t< zpb&z*G9PRD0<}I`4>!KL8Q?h6Qz}6+JE`#Go|Tqr1nE&cbXFDW9cw5NgdX$(e^TFW z!!BDK0B|;-5dfRsKa|3NIHkv+2)XGbyD+an-o6*^Lddaz&p@VpsO308gx&wlV6lCx zQD;#E*AV}H6C42p1NVr#3Dm~4MAQ__)a}|F;m1P&F9uZktItBWuCns;gGwu_O#6na z*d`h_)^-Gq><`fJ-v!&$&}?$#FEl`B#h1^L5|+)`ybuaC-P$v;FOPnD-nyliij4J{ zYxHZyf!UOJh|6Z`W}alJm%6Cy>zGvp4RHZ~wb<*}W++{Han`19uIx?sOc|+fM0+&_ zp^_IAChbL=4rRk))hZhf4L(YC_AD(0{lz%qpAtc)U7|l-%p_9|e~}_XAc`)zW6U97 z$BsWUz&lHA=e0d8{Mx-uZm@*Z_FU_sXyNE0E=FS6Ta5E4m?j(t}(vcna8xW zN>9cSR>v>>jl{Wsq+2DU{*aHucB4Q<`ulLQUoJo{B({d2Cz;a{iz^-26)5n>;_Vtp z-81W|6Mseovq7EXqrJLl!&=U(hRB2WnAYE7V+3xl$E*f5x3rq^Gv{Mfi+|$&-<(qC zMN;Tr5JZ2jNcrRr452?Li&`STS@dB4^7D}n3aZtOx)37Mm@;0&!)i<$yCQ~lC9P@{$vV=lRe7#)8;H7^#Po*p=G|W| z;NASMBj51QnZW$yeIV!4U^$7|tij3S52=JGXNJyKz1hLgi)~kop5Ogr$(~0OZO)JV0YDUuC z^Wf@M2dfrdH%Y9=)$PUhYN(t?en)@!@h z>;R+Bdug@vSNo8(UB|I!Tl?qm(wHRt_nkw5-dqK6d*&BswOVrws*Vo#1Mv0yuo3*< zC9DPb%TqR_9&T^($-r(6R(K32gVk6UuMJDagMuAyb$64wji85&hmS(0Y!8b$w4LTO zs;(X78n57Ogg`6O8bEk3Z|Iz8OMP2omwxqO8vs)Ikvgss`b_9#R@X*JP?$&NB2@%@ zc#tN^l-p6jHZXx_$k!z*V!5in{AeRC_b07Mo7m4>=zxnB=e&3{9*5qCx-I=;n)jsG zz6Q2P(*mxIePrvJ@H7a%yn-`zVB5Z3wqSqzeAaotPsm{M8GSeM2;nOquDN>SkYz*1p-Mx=bgQL%0%iqbYCZT{H$+$rdbUj1ZTAoOe#i`Pj|C8q4QM-KvYI3qt^23V z{fsZ+IZ*c+K(G5bjsdE5pd{CJn9d9vayF}Nws4i&KlUN^~w=;}y1~P8v55kqp9LPf=Os-xaI*rD{h=#bCg?MdH1q z=gdy=*6?NO|EU6XG~iwBR>oH*u!EXa5W7U?qA(n3c8s6DmT-LNPMqo2MgDk7OX1DioG4GG^gONPxO4 zQyTWAy-*I8?p_Ws)vst7OBg;~e%j;^WKJ?u#8iO9mMnQ zC!JU>VTwxvWs;O@+uHWbkS7#d4x(0g7F(YAiV8h@9%hgHQn!vZ37CKs&`>C(k$7S| z{0qbAyklt0YEVTm{LWGNxOoGTdI8~VL2}8oeOu~+?Kn`ea0?XYn zEc}&d4$@X9|Es=!;Mbs3($mxAZI(zTX(4aZy8hg~Rwk(t%E&ETtOgA&qp}SY$^$Lk zV~T3%)q^ALFm2zG!1<#ETCBU)K{6c+0xGXc@edx#4p_Jp0Xfwg&CU$fOUc+zGp>j^ zW{rQ={QN~3D#sKV^bM7KkYfAQ#U_l3c#Z_q29U%me~My)MXq*CW^Jk5eO$PYeEd6~ z&@Jz9P@!afu3YJ>b*^wV9XotZP1v69r4iV2;p&g{v8iZk7N#+v1{4;RJT!QNuTM}O zWCIL|bAxYKx}HlaovgD&3O-Jz8Ij(Bv0jv*Spj?bz$Xy;JTvw;XepN77T9)y9@G3O`IKNDWkU7Tuh z49X&bafn1e!u2DXNPZ0)j%4uNxyDS=+1GGop!7+EWW-WaBYy@1)#2Po=<$0d3>=C^ zIxznqPX5AM{DbfNQ1~YKC-nD>;MmZ+z*`AB& z#EG;*U(UunQu@_`6w@PX>O%P~=kabA(z;b@M&C12V-GbC3+%g0}q0pCR zrAr&TDw`NjEH8+!tqrj=EBl>LaWFjIsQ0w~F=zhlmoTRTIDmK`0G{o0>C+=OA)eJ7 zOgsD;MRY-&rRASOsxw)NH|HaLy4LXMtybqW*C^;Qc9%v4_`oOjTt3_?#m@OQE^UsZ zd&dDOPlA7^+cZfJ8KmhH3oiO3IdqvH9Gqx3isq!-m2c(QO+qZqMOWxk=DwXG9}pfz zv%pu*UuDE}Z3IDz){MmrX!GIah=EPqzrkp%p&~Pev7^Nwa^4?7OnHo-!QLAq9ZMDvZU=G+2hkk$A*Gl^*GeVXjG}O6_cq$*&?h z;+Kxy2VGxW0i&O{Oe`2F2-ESAw8lv-@$ z!Hc_@5ONOc;OviD3)vYn*<3!k8+WVMit0_op&8QQI2;i(wXj@)N`#h zbL;Tz7j0K8y{lyC3c&@Ny+8KI39j`a)r3|NaoNDe+HT}D{9x|8UBSKXP_E6cyb*zA zl)n{_t@AWdw~k}dsmA`A!9*4EBHnQR3yR{FP?c6{MVlZ;4kAAKc&eg$_a5xamz*5u z8d8MgsIsIV>25rq2R8TA+o;vyd8y<&r_cMpO(A(MeW_=7NiPms*nS|)B5#u8`kdyG ztrJL}%=*mBA~_DfcVX)t_}(C0z2)H4)Qk*w*CyHmO*k>QqCRntnpS9lmq_;@Z#!<6 zZsEG{4Bxn)%+6IRbp~2gRoOFG-{UXl?wNnMB&svqw2ThZjGn^IQ#!ptQBI>dd)AxQ zi!}@q&qHr=jCi%L;NE)5zlm@OSKPfoTmnLtNEN%HQeDg# zB3v$I8j8`Od7N27abMAM?fYx+9>00pp?Mn!q7X5`^EC|X$NSzrKE3U$D*yQuG+S)U z;#F{#$?71`2<@P%wvp|PF0L$;$XKmyiB^73e)lMmszN^!|jR&g0~a^BU?rsmhrvM)Kuih5#s$t2tyXQW-&jq@^+wuN_N zU49QAuJrn_YAvH0=P4*0KcbX%6ZVY1!o0$vIVi8Xni`a? zmyG|#pwyXTL$9j$GA7nZ?m)_F!T4Bm&2I(!G1p{Pqx`juUdf>B zA--&T41QMOHSZyD+5YN+Q($M-3o#u(oIQ4$XF9C_Cmx#V?H|?UTjdCqCTC5wN;$8E zaAqd;)uDFt`593bL+kHuUGVYZ4VI;*vk{>a{g;L6*hnEo@935ZMIwJCOTD zl~K-|II06IwiyYGYy{SWor4l%hM0hN!YPz^7A^R+k_TX#j3kbn=nL2mm6&+$41wAy z zD@J~&@J(-(?}*3=8k8{QqvC+D)lFnuI91SMe|I|6NWd1q8panMM@M} z**L8I;qz3jKIwbJRM_gK(&8IU$VzL)ROh2l;<6Tp&_y#1{9;a|g>0Kd_a9z0;wSZ% z12rmkF)!8i>Lb$@sTe#!mGoy z!3N106oeSA`nnc0+354h_U>;FANgoW{iX-rqt;ZS=b=OQ*XoEbtm*AO)G|4o@he7f zAp6rzo;J$a&YoqapqEtAbLPbrcei(se6K32na!MYiO1N*#7&Fh?5-92r`Qf*vYN-s zr``u&mNGom8O%+eA^Qbw`I_W+z=gRog3F`IdG}2K+>4BYmO|3^Uk+?U+c{~uYMaAL zMeD`=^5V{UIo(N!_n=N5uP2m?l-;c{Bh9WL4H35JljWymj+Y|If-B&sp|s=U?j^n} z$FxNat0k>z^e(eiTBJXvKgHd+n1#)K$E{M!$$|RKiT04K362k2V$ zi)itqoje^%%x;>M7wSE<3B3>|O zAJj6Rj=PM#$C49?8d=NSiSqYNFkT+b;m^h5ORv-J@%9N3&klmOHj7#oDf}F^ngim8 zNcmwVvpv6eHG3?`VSPz1Fk1Z1mDrBVT~<1;!pwUw{FqkA1mBbg^Gje%XUXt{>rI8$ zB=^)E#LVl@gQTbSFqzv%l!#=&GvrPmE(O*7!qGw(B24_lVsn}YxCt&e$6O!#TutHl z@g=_7c=v~4FUH}SSei>r>370N^gaW4fCOHQgB636m+UOQ5~d5v>v`YrV@smB7EuNN z(p@-Ml6be5)99T~znd@|d2nQD$*%nuE8u%1)lswVSm#Ys7%bT5)m6K$Yoze`mjF6aV1|C{Z|CXJoX6@vO9w(ff8LTR` z;62Hb)w_nQ8(O_>>xd~_9r*Ss(YCB(O#NA=*O*rwEQ5M%=Qy9sL?eofA&hQXj43Q6 zoou7$gz62nm#^(w>?u3@hfloeH*H8AdBYsRB!e7-r#_OKL>{*H26jV(8Uy`RlY=jY zO@~K~G6?wbUcwL6F2%plD&wt4%baVb+6#5fci zd+Nu$VEMTFG-BmAGSYb;r|@^+ld$(E#bQ$7pSQ@T5)M!cXSSnzA5hDvplt@>COF&9 zvmLx(v(VyT4a1qpW7WuW0@wL?gN6}fGT;o2t{Ix!wBFLZNRgjU6!AC|_X!HFZD>cQ zhy7{1py}Zr&leqTF(0_U0A~mdXOp{L#K4E#h4!b$;icte8-l}L@HT?B*wV{P(s;&Y z&FeuRd`##M!(pIG+IvZEfa|=Tmm@Ss1K1=mEZhoPj}oYVXo^PY_9L!BKDfh?GqlbK zDvEga(`;BM8o|N3{9!V#B+r<@dpTUb2PG9`5>(GTqRybEYeZXBLQm;C0j8b zhgDdkv?66yZ0u}1Jn)sqi(aY)J|u9w_}4696JHa{ytaB_wR#Usxmiu%y2tgC^qwH6 znG&75S45D6Ob|FPxVFFMq$_4=Bk_kw>qfV6cS?+?X|eo4zv!28QKZhT+>tfBvpK zYPfTW0Fug9VCenY>loF1<06V+#}h&YVpJgscwy?f=5?egN?3*>kCagRrEeQ`E0$sE zxa|BrcGE z4Z|Bus04RXGqCN-6{@&;Q_Dt>s$hclCv^j}9sIp=`d^p8hzzMZ{u)9*z()%u{<{&` z`27SIV1ce+IrnI}bKdd?QbdCXjcEZm)a|t35IuPbWEYg}-oe+X8 zqQ;6<$dWpR2gVoC@0ir*j3Zf*>&ctOW_Duo{h(}i6u`351QLYu&a=@OCio@-6vZ;>288;d1+<}=ec)?v)n5k=BoS{wex zyTLXMEK)Nv(K~vR^Ac-`O%+bAdBqkgpD`YosJ=59YY*KioXFILJwSlB;AL`;HVKjT zaeXX(mApLY70DupmL#gziKr2HN+?z;pAUsty=<&ju?*a?3=w&kKSShE|DiclDw526 zfP=W1r_nEKk#tSUHO+N?lF(eF(3X=lL|#mEl?5?$B--+h)WaOP1Df8Y>5L(9Yy;fd z4M8u|!~WX~v+4iw4=80~jbL+fYK_J@B1JV!aw#Goj$&L5nV@~kPhpWmrv;_?`f_@O z=nZEJEsK9=+0#DvL$s!IK9je@Nmtf8-|a?`WN9s7gsZ!qRj17+U1 zZ|~3Z%?`^{JD;SL|Y)DfHL^*#ez9|8TNi<483fOi1@?avsV`hLyt?Y(p`ciXbdy@ySv z=7wRb6JQ~u|MhzEGir##H%|BQUQN;NC#QTY)&F7a9D78I!Yp03?W$Y0ZR?h8+qh-h zwr$(CZQHiHy3OT5ITd!WAS&i09*XBmV$yN-{X5 zDO-77l7sT8j;2#~o$yBAqtJGYNI|mNxv`Ds-aSM?o2G_upM6gc&OCKl5Kq)dOf9@; zRK_mM9z3LM{_3x?^1VC#G?J+`I6n#2PwJ8=DQB!bMHki6#!N7CQUc zTcnLS8kiR^j+J?z98bQI=Pms4``^0PfPHve7ralE_vueJ_XX`jy5ghH968Xb^pUfF zj(j&KnG=t$r5Am%JIohAPx=s`c>;dRM|Oy7hp0wF`$=O4apnoZnVkyMgaHcX`nYOD zv#N|)6&rWdJHs=x?UxH2(oidA%2HK#|L70q^5S$Rm19Z*Dx{^2l#--0HNko0(p~x# zSM=RgmB9-j=LZ;B6&6MWu+(Fbr17x_2B0o?H}KHRxlMV6SmB{pM6ok!(q4LaZxf@Y zeEi_8zD?Uq4S&yrvYrs@|9yUD_*pVR1Mg9Z$I}N0C6k(jIZe#d9vhOY0r)P9(g`>y zMxg1`(*yldFAg5BgS?^av1Cagg-!Q z#7mys*^*9C8o}dfJfvmI42~utI z0-a7a`)LNBz8x1ewT<(e3O%V^?}2+YUtg;c4}1G~D@Wr~iT^Zcc6EUPj5M8m|DP;1 z`~MG1&B(^g@;@v!3j;gL|7NL`A(a$WvAXFX0fF=xe8mBTgrARsMfeH*OG`zR`c*^- z0{IF58X&1q#FtVlM4UDo&mD%4 z0F~H)dJ)(mQ<1Gdxv>EJe-W^3A;CdI>6G6A)iQ(DhcngW;}eK2F`B66FkB2=sY#3T zW)OT65Sla%(Tw$bA5E$WZcb{GvBjj=Z~lr{L@K zvq1HdAOM1lP=tz$KjB7Cd2>OF!7%-hy5L=nBO+Na4}^sgiIPsc1oO}_K=R7?jXV8| zu+R!h00@v}YX^zgh4%vm>=eK@L8^`k-iw0I-hFvl*nI5>K)4ZrSNQ{VZ0;eWjzI>@ z-7uUw6y5^*ZY+M@8$4nRvYsTG=!%f8xXJri^HQ2nA_V9$VCmNUKexTQD|kja3|0`# zNB-QsC?SF}Q`k={#jE+?WCyNY3M>Q@x8(^dz#2h|SP9?^C5)LaH12Y9REjI8W70vG z4wKmZ*5b@g%vj+_;;wvfO!9-pnEdrPa(~RFfl*|e`3Iv8>r)A7>7ttvutvAk;-@1w zVz49X=?&jDTgk&qz?m~;1D~0>P60}WkKcsTHyc|TT>jbrTZ6%LjDIqnJr=q$_ih4c z_MO-j9a5KH95)JH zk7&?iTAJmj5xd8$CnNFO4jYC;O7!buXAKlcTi1HUpt@z~&H5 zi+k$u2Xeb(bPtj^UPgnpb|1S&_l^o|Egs9bXtzXVfi5f4wkf^}mJlLoJYM-)1l5*a z(#alj&r=xqk`)@(DeC?{mtv3SYSt+_;bqzV=dG#y8^BO;6Kb1XeUsRIB3{v`Rl$UP zL9f<$J29v&w+V=QD`_@FNls1(F%6T>f1r*{h}n)47uvJ9oIHYbZ;dqTh@O99Gq67- zvlI;oDZsm*NPyR+j{v}PxGK1*a0-(mj$7!AX=lRq7vUjft9hY`u|!$2EK7Nv&wuq`Z=c*hzc#h zX%3mrzgxFVc0z`>o;p^7GH&F3+xp}MZ0LzHEhT8ve2fTo*E4KDr?|QI?Q`LnJ7-^P z(eCQ*NJbR)eVCL7g%%;OuBcNl(L+DoClnx1D{Z4=k*8s@CJVe>%6v9b7} zq&EgO#ilrFOOc0Ff!+LVb}2>5nH5*J8*b@VjJywK@-+2z)8SA)#4Wix%ilrVUU0dD z^E-$9K=%kD>tvkaRyKZJ@WNanVJ2?e%c{?m#Ld27E&VzqGjXe(is+!Fm_?gLKh0C? z*o|0uLEW&frpCjRYwWYiGXG6iCuK*Vs} z>D`Hda;w8jeuT-4gA?VM7tA&~JZ{YLEg?)fbme(6EHV6WvDE2fMkWuN)?n+tO_C2w zo56kD4W^^~diWpofq84rFWqHKM^66s5>XTP$4Fw$+}CFx3W=p1k}yAjb=inHC=Gt5 zvam(=fcKk0awMoK^+}4iGpR9IynQ}$1fMTiirGCy(D5Dz6QrN87&^hVdqal?5LT~J zZ8^CgnpXLpW#@}3jggVCr{%j|`JhE*SnLgF*ut3|r*USw@Wywq zi6tSt)#ZVVX7a;J?kNwUZE@CS%%kgwyy%st)59JmRP7UDXE?MVQ&y;#o(Z%fYEDIG zGqJs(JFSPS3+w$cOYZE*MFa}(804ZLB(#@+`>rmcJE!pwE;8mX>F_jXSc=pKE=DX# z+e5jk_;p<5YFq>`*~MoMOJuYrUa#BHK9i~rR&5^Yq1WZG(LH~UPk|P{mcd5+b;Sv! zW=HH8t6TqQQFO_fv!DeUuAZ`5xy`veP2A3dlL9i=gvZMUIsc51X5XD+O3T#Puv{SGR5jMJr zai8Ukd22Ugr@L`5Uz_n;aZ79kiv8$3thq0xMBv$|%wv^A5fL1uA}=W#V@j6(Qdp|+ z2%DMEmE%)dGVoPfP5HJh?%MW|d<_cm?b!Z#-(DTG=PZ08G!kxi20;N4A&SGvxj zMW|?ny|fH=_ft50ES?CC5ub9$J>{HS+Rgt)Z*paqLKG?L2y-0Ut3bz1L<#JCFjmU0 zBizCtePcAY@neX|vpfmlAaJ@bvGR#z26^6^@+f(H?maiAM@k++`W}-li6o7c)S`A4 zw4^17uin6)jPc-=Uqa&&32#7hAqdNUy^#}m!$r}-W&xAJ0oCla?kf4h`xj(YvlLFF&srEJ-O+e%P~lo_huMu zO=Bb^OsZA$IxqyPm2uWs*$gpTN__Jb4_;5^Bfv;VGOW}yAH*13mezwadD|DdPBD&9 zoas_R@HwPiv#64@&0!o?LsO;Lv)dB}R2wvHMz$HrC`}LHnhVTt?EIlOEH>Isrfl5I z^jK*UT({xzU4+uUl{qa{v^g~(X~S|ci2|#|Z?T&o`xZ=vz!8`?*xtHWk{4v$mrPm( zu>><6Nt(Ta{cyB!bd#uBOv%m2CwyjOfVdsyy*3H4IdX^7f}9ZT&W5|KP=(=)&Hq?h z6&~?Kg2+dUNR`daY}l2rNBM^^cD8e%4jgzYGHQ||pj!N~kL~#bqm_y*Md{m(6TKV_ z9Hwqe^ngfqXhhWVKmj7wLV808QANw!#v!7W4Wa7#|e7dT-vviGCsg^nE%itJy*rNzg9G1 z(Q)$_caorDG6_}0kL*FAWb;Tk>R2;BB;|%$wa++ks63>Mr*);z&R@ocZP)@jr7ZB% z>Xt{NF<-{dzYhEwGW|E&v97M}TQ9!%YBE~pE2|t-`RTBt=J9xwYq+NTagHZzjGZ`z z%ye4fEeHi7zW>x9j>tNLNrBHJenpSE4JbquJh^3(+-J8U(f@ig?I# z0m@x=`VwQ&yZs8jF$B$Q7}mz$SZv~xX%f=IUy+tumPLwugftzeiZvNQBI%SnZpf}` z7n*CZ^=>Ay6XIoP0U-vI=3 z;#BrWzCrK8A;caqgVi(4JU}9SVh)?ta$|sHsd5A=2k7{}{nf&`wes%o`t?s~E(1;< zl=6)G1Un~iT6V+}Dx|$Qx1czHu>7z_Itjd%(?ZxrAJlcH{2+;+5GEQbHAqW>$u@}> z?;ktS*Eo9>^@VpS^ODiMWg4_l%g`hp@y}Q!bVYDuV&exb6)!2`61+4PB{dm|)W3@i zpZ1MJfw!sWlPc6}L}8mLqSuDk@yiJh!Vbfu$F=Zb4ct+0Z#piQ%FwJ1q=3d=?P4g( z@?XZVBt#5a6dMGOV)nxh|C~&Wd|YFpntz<;X&xNs zg(etnLxCg=w|}@SWZXHfi&0?hn@U$g$df>}X0KQjqTK_#_4(AAnf!z|qgziu3QuRROnBS8W zX|4O2_l2!Drdg#2DMA2fof>H4B-R%JmVtn}T@wV-H_^kYnb>FHR}Spbw%ssL$F~q< zf=P72%npo2*5q)aZnhz=*pXMKeAK@>Mw#}t&AhVaP4rhIcx%n)hUo=$Jd38F2VD2Y?Ajn_R2J3m@7w7K`{4L}jDB3; zj-e6652f(9w>2AJJG+iHsUB9{lMa`2YUwKizSF5b{bCkYuKG4% zIjganutg2sHgHRye+AG=ZDq3JAA+?R9^&H#j~VTn4PYgV+t=`pZD!W17Sk+iY+J82 z*{jUKpX4+{#^^P_bIYzv-dIuA$*j|*S{v@aZVX@4068)0co_kKI`tBpo3>Y)p zV^ejIVK{m7)SifakDgVh6a(wKAqGotMFZ?bkUq~l16yG6f`%HaA&&wDaOi%Nc0_+XXFcHnr+>4{ccIkOBYUCR8BfI0FKhY8p)b!o2yCK|xMaPFC zIIy^Ax^}V<4DWtLy>W>(bvPF!#2;w}k}P^y>w=aYj)=+S!%WeF>ZGH|({U=93$@Z| zot(WA?4=P!KExX$U+E|=V$&?ej-uz@uvSmdGC^(Ke5fFKtZThBRs)RCp7|VW&l@7DKHL< zJ%F%SWa~xKf_+39`!L26k%f_adtMv-6G2D1U+iM@6Hu=aq-Erc1lI=0W^Rm%Fz4y`C82@dBACHEe zd#A{><+K!NGv+zhKW-}cX`C+>gyU)MM8oKi*5J&LXB5^^-Q7Oll_+L`1@2{ecHPcA zjLjB}E!SPO9TCY0f|Pr=Zr)Tf^h9FlT9a228_|VF%LCMt!?aL8KN2(1yF>nZVPvFB zD_S?)mE}=gXiWRXNvFC_p#)3q2?Xj)ChaZKjo(uonlZIfO)0%OCe~=feLuEi>eWQ+ z)oi2tDSW5q@=&46YTcc9r=CyN<=WrW?7S8JxQQHqS0ve#Q|uQ}*5tsqS^jvhi+ z&ADPf!|{#RanxcO?p;#tK1SdAEoiWnuZf5|x0Yrr8@b(JI`JgG@#(e_y@@kK_xJsc zOL3Y_IJ=p3N1fIEd$M%F5UWShk?*oH-MipqpDjxWKl|%I%&7^RjoLbVjpt;d36-r{ zM#uXUJ_j52uMY0!LFiSx$r^`>tJl>e{OUx8DtD`v?Ie3^#f91CbgQxa4E$!d(`za= zQAp25{QxTy?fsUau}gBNc4Kav9>3(lTT8O%op#9D)mOFqSHod&ZmP*S%WiM4^#S9o z6vU$xRb`16Snhxm^*|l<6sNv%fLMo8FTakWq$}RNpQ^{dyO_&Z)7;W8ZttgiiNT79 zl_9&WO*dy2u8=kWQGloP_ZTrMyf(bGw5!-qq3yr`I~3-u^7rJM(OMiHNfhy1@mPIWKmd@flk6nOItmxhmJ0$PQuOKYMMVa4zm>|Gb zpTH|yLz3lSl19#Vri>R+=awIimoHDAQhQLUaN@M#(Zn5*hu3$W%HGBM7^nfC^xz<* zr$3Agq^96n{^d6Q6YIh`_#M>?3a-z4HT2DDNc%>_5|OzVZ56I6Cgj|RHoj{O-Xdh6 z7Sd#3r*=NaV34#^L4u@Z-vc(Vj~-);!`~3T--FM%&*`X*-wC5b6|8Ltnu> z`7F1YaOawdn*FxU@H?Rn&M^<;q7Hsr%%p10KOZss5W!0 zudtv7I6DB!0@b+p6o*~(%AZOuc*furRx3}&;E5srs`nSO_Niv3VJt!eErvNrk|LGkI84yYQ8$#`X|89Fu#B>3Q-mo3j>d}H+RK;U3S?>GOR(e zJI_60%!+kG(f_&jySbkj!!{i?^qg(r!LsRT@M?)W(;t(i<$(}Q-7~CxFGn~ zmYaOzA+dOtm0?9JKws_`vo`**SnqE`mAUi$5&NQ*HI#*8HZ-y4@y#%j-(z|ei%$V0@E?iB7J&F zAKS2L8PV^rC*KWZPg7I$)eQS9Edh5)p$3pr5L~H#K|j0bFdH1M>`Fh4 zk4-X>{}(}9MpZ!1xguV-+(}M@)Es+o9(6rH&JMs`ZR&u$F#~vrHk(#m!oa^_7KQy; zXAn^s0YOM6+ej`DncS14ruUyjZ{FJB(KJ{aqb5b zg8L>1nBWtl7KhWJ`$RE{VYck%5yfW1l9DH%xD@n+4Cg&OIhz30iug{Vu( z)Qz|UWds;BP-N~iNLSqqiMIg`A0-3#&5e=h#=EE?2>P;+@CcSbO_Ojw$r0!IFllHZ zQ8Ve$_~xV3>}5q@?;Z;sjB05+oIhs)`hLl!efdb=X09{qAKR zjuf}!8P8e~eP5C#t(iqMaY3DT%pN61+&TwX5=qGc!}^ec!yzX&%mP@q|8e8@7PzUy z&R&i?LorVx^plrbl>nzQ1kQ!l0aEJ@i2wZqZkT)PLk4kzZ?vt8`JCshFHI2ITfR>+06OQFwCUv5%SCT?*3PT@ooOlquz=y00fOKvp59R||D$pJG4k?j} zyop>UkvMUQoJo#SUc55t+W#lFa*#u&;8mWM(KJ`ZHbA%DbZO&KY_>l;0H_-6gN1x- zwtq^{1FvzGhdv|?5O}p*v zgk}S>$l&Mr5?+_|m43YvpMwi;QK|P~1rz(qMB(w_W}5qxPi2aimC6$Ch|i3d2b8}t z9PV16-!#Q8wz3|!3JAIo8l&2Yqe!;Z;+zBsi&b5qfPMj{I>=?@ zaBnhZA9|ro1&F+Si&Q^`KCE?4!_3X5BmTIT8Gh==LBVoqv7ONHTjDMC^ zn)gYrqi+7ULDMMbgJA(pMOxqrz`vSrvzM}VhstjB<#CJ-u&ti+iMn@Ok50|C5B~F{ zFR>yWmJ0WYhWC66SRbVvJ8sGzyw8Pqh|3-Vbd9Qlp+IEK!?wjYVX}j1W1h$>+gNOW z>03vnK8XxHL~zJ}Esa~lubc}*`QJlG!a9jKdyhrVRK#nfW^pBA5pLTvG=>IP27^u*P8(*5y9)CIhgCZkEwP~TJ~#C1YBYc{wrZE zzPQ03Jra8p$4nw-c#sF<%=Nxuk9}`uWfikd`JJYl*_b66oP`rm=-CZ!%a>7nq!IUb zr82sX2MCtzwVRhwGs~AXhZ;Wks@oc$`Nj~g*)_bjv0-go*^l1$>ETCoHQBOZ6svLd zHdjXZneM@q`AdO!ZrL2KN4M}zmW3hwCO^Ta9yQw8y84t1!@jBC+=Cn6Z{+DX1Vd%TzsTz z#D_&!?)udyS$_^7yGO=KPItiPpUrkkMK=q0MbwgRzSE@}jaQSbr%YT{%4_wHna(*& zYS&v?9mbmRntd0Oq~Sz6&O0lFJFgb4NSTk2zjrr?^E(~rQFl1Je_|}T z%!Ht=72rr$U;Y-&b4f|FD2&e<{M>4|=1)S2DVB6gZoQGUk#q)pRmKY-x-ot7*jsQO zu$xiOYy7d8f2(!l=AAWdkQ`a~Y486Px%Z_@Pj47H+__8BHf@i8a&BSGH1ckhL|6Qn z=h(&RxjfLeQXg-+bfR$pMt{&vRDHiOZd@3qcR8FtpXG9k9+!H2S456dBSNZ}k_Irm zZo1lGs=<=_TG*jtz$N?HGCU(Pb1vufw$`MZcvi1ljy*d5ob!Qqb{s0wBTF@rm)uz0 zRkBJ-H8?m|Ds30I3c)`JqAKp^fMVP~# z%$?M#U#X@qtzo_M%)I(AP4&4BOEncFG|CP?c%r%TF@XQm#d{+c;Cyl3vlmEOTitpg z&PF-#*Sgx5Wtd(G-C}6MtGj4FgfpGdOHnEfW)z!bh{57?6ee}kcu`H~{Lxnw>+pXL z9?Tx&xbqJ1%HiZ&lDrL6Ldmo&&*EJ%`291AB(xA`o)YZoNGoi0q;s(U#2*#66I_dBZrFT2e2Z73jq`nBbl(tty4sIbwK6ZZ2oAxacyQir?_qpJ!o>nhphDTfw4Vo_O;Z6H|oN7PdymW zhn{qK-T>pdcop|WZd4!q__I1Xd*b5&I=Zro`-ml|J`Ud(iEHYRWrgTQkbbsWk!92QLI2~HH+s+Sq_90bshrVKZ`E?{dirN;|OaLefT8agi@ggcR%BvsZu^kGAp1inBno{ zgWwzD%DJ(f*%hxw_za-0IpVKL35 zDRG=wbC|<<90c`ZN$&mz_>ti%InT7ky0|g8b8}n_jo8Q5%+OCm|7%m}Hg2xPBkq6s}Rz}!le7YTgSom4R&&X`m_z? zPl#8yZtt)2e_39*y@H|B0O(7i4f|_^c|+R@1IJ~=o;+rv-K{3Yovio`H(8r|*ye6c zJR)eNY5h`X_0PJuSy+Ur7kvuTHDoy#0`D-cjD3?(k`c-`*f1Zkzsx;N=r}HIWZuOo zW{v$77Xh*{6T2faT)G|wx?o#aa}y3jdY^UT9Jd-Zd{xlwI?%v?VsrhZ(FUVUeOn7 z1^bq8*Enef&15KCDL*US9vU91t~6=hgF`6>&2^1`nvRp4w>D!1Dd$3=IQCVF@5U_u zfS%tqg229->h`P+wHHp4yj(7=Em?fC_5;QltegQ`?G3Cx_xnnpD4M0 zcc$~1W?z3yh4y-zrLNrA#17xdvDOMJHEOl<)au(Z)TxAXW~{bt_2F-rQU&MW4mmo^2o}8pn_j`SWN>O zFHMbXiIv3;s$QHMP9ZFWt7wJ--~o6ogySpd!aq$3^D=hEyL1P&HXQ-F{|^mPN$8Js zy)wlWI6n+plWCilMsYmY8U)D7ZmbbZf{*8tSa-Xs5O)GQ;0*)d1DR2KBs116BaSEz zAv@eU3}^5FqpV;QshJ`5K14mgEebPyJDQkLw79ArE~o!RA;J(Z3{PR<47zfti)s9! zERzkRJN^#1h>4v$*iJVpaf>a?;b&3h3maL zMVs@MM76GCEERIr;k+Jn>dPuQ% zabh%*x>GQuC>mmy{DwK({72XCi_4*LXM1kGjIPl)ejgfj+H>{0{En!Q}G>?*P5d9GLIcu?xSDkBD62@qZZ|IRE= zOjn%TV&L$6U~VxPw{*xobR;@6cwJeU4z4f{0c`c%YBC#MDI7i16YQ`k7i21eDZ?;Z zD>TFabr=^2vO5I4K0{Y1*@F@G7+C6lYN$M7EI}eO80kFoM~tJmzT6n(WCL@H^z`Bf zrR?R@NysWv0Y%72B+DjOoJyuwa|8|!vZH@s{-n?Y4oG75bRg=&dPJHihX^CCsaU}#f?IK;TBX=FnuHAK@@l2n zB+AKBX#ZTSr!v-y`ZM^x09*{S?`ZBv@(qYDD+hT$J+Z~Uxj@yy6>OI z6ni+G&z0a%e|6C&ugP5Cy3kAF!dIt-iI?NHtIel83@NP2mv+n>Ta_r5ORHk{HDx5eC%xw@8!ZxI=>BRg1K1XYmK9$ zKYCn`bn%_L{)j3b(TwPrZ}c!L@jZ)PO|P%h%Kqy2K6lys0vk~v(E$6QORZ@nxOrvGho)DrIHJwCp+j~JrBJKndEt5&o)C5F!XPXA!G zHD}~CGJf<#rgHLLd}nIcEPD54J}_Pu2i(S&!;|yzaq1VN@uyxHVNIQ-9$$ul>kver zqD=w_;k9oSw{hTl#CzTLg=^g|!!XA?XU%8;D}kmA)329V6e!}5X)G57@SB%F3F>cw z=If6ggILn5!FWg0P`*;qjxK5hyY=E)hGC3Kj;H@Lm6m#y z0uY3WTEJX>g9XL#U?H>R9}8ehe-wI4GG{3Dsm$uZ!V_wgsyQr7NB$qa_6pF}u#50( zj}DD5mHL(Ck!EVmi=@LDD5DF@v0;s$J_ZcKfPlWiFl!`lIIss6{1+zvV#Rql{!|gq&cfs=r=;Xrm&<|0F3*p}bVpE8n$~eOtv!xgm6;JPkS^mX7ud+N|Sr{vI zBq_@*=b9#Vv6KpQD2JG+PrZh{Nx< zvs3gZ#wj*v%}x(HQG~XqV-d&n{ei~l0fVyc<-joH69|&bfj~HR`9o}y4|%lwS1gu^ z!&jF$@^sMHm8~1{XMy5E9(G$sO)xk*$I%nzgQR|&PsSMo?e_Z6)(#@23wkEgf9R&v z<8BnJ6X0yLMkYYu#68^Fh_yG=E=rtzHGUL)j;qCX00~NZTVZ__9(Tv z_c+f>`yA}^&;|Kh%mV&BnolMgZ_ugoC<1t$Y!9>C`X0-0aWl9*bWwc`OAvL~ebH!h z(sF;fcGjp09ph{C@WdgRgGRDsV2HDPq@Ubc9C=<}xNjC4Jtj@6707=k#y%6v6C^wj zOf@F1y$q0o2;{|Bw>%D~pZ6IiV(njjsx%puI=1@oFGrh9M5W#k~=;dVgMKyrAGi<#tGd8p^!1Ji+~WTH~hM`<+Dv(BQc(ql$6p^*<~%_ zolUcFq*a$|4f-wRrsD=^Ez~hPVU|^Y^?g@-QPbo66?UAQ%rVuX^ZuJg+pwVluzNwz zA8E#$&u$_9Y!=;6naw&+Bqt1o8@wSW*(EPF&)6OtffwA~D_0>1>PLt@Mw3p2IdB4r zN{%#Y63^QPsJd>o&t#w&1~x)+s#;2k1DKFR@H-d{yc1+c2m`u0i!*{`q;k>usQ!j6 z+z{H?T&g?UG1X_PAP?8?Rqa|d;Yd~7t^4~HFIN_}YG}|<+{gRZs>KB=0_y#8D_YS=l_~fiUOw~N@IO0_tk_ZOv7t5&qmMS$7@Cx4!+&5zNjG4~dmbyk zJf|-uk|12Lv2{XDledX)Jk;To=`q~M-yp$lJ(VZoADWs_(1SyBx8?j}nbd|$WIR0| z9(5ZJTApLN=XXi)$vEenZq|lN@t>XCAwhIUbjPZm_Yu*mNJ|6Ce``i#?-mkPPJ0B0 z8K1H{*G-HK4Bj7Iz4G0Sw$7zqE&*jjth(#>@HxH`I7szieh=0doVn)Bwu*mZA_a{YNCuV~^2!l_uw5Jfb=(D*yB zI%LLyiVcK*BAEC$q<5NEc>~y=t33X+7zD&WgA5{)Gb`)~Trq10wNgs5PO_H<&{w&b zjOtA-QXIE|<;x2}Q@#lp#+1b-=H@UDB}x3cAGB-JKj)upanqp zx_`Q)ZxNGxZpaDoFLj56s-^k(^jitt1c!#wVEYKjy58=HS^)Wa5C}CH zlDU#`tVgaNvLY6{y&x)g%mmBQ4{dz=`VZ~+Qk9r{KU5b*xojQKG4)U4AP)g3aVqh* z(D73Z%GS586L|ftKPvf~FZJWrd->p4AL>cQ)H7&axT%R!HN`WEQ&rAJz0&QmDfZo=zHzL6=iEIoz`ExxGr zw^HVNlUB{lQt0#JrB($76;vS5ow^81Ui+)yW*o0<=E*gx$5ucd4A}*?Q`;&`28}5- za7s))m?c_S@zOacvOu~1rw?@8Qkt*Bdzo)-4A+2ubZH!HWs+i7z<*s}o2}R=5L+2* zuIoH85MaNMT**Ot0NHsnfGfjVcYF3k#HdzCUloR+GnCBrpEJ^ElQw4`+ntQCHC)FH z4MZn`TK-wCcID$2JVKl+zw3GcG4*owXXKHc z3dV<0=k;rLAl$Q~!% zyckB8Ze~j;xPU%+>4cnq+wG#s#DwsI&jS^JWD@oOLjk=^C>TY*Y*#h-Fv(X1?Xosz zE`(U8diCjQNNVA%bmuKaPt#$==jTgjQ%!r#TB>!+(}o(9_AZ|8?D_4{`qkvfr|zbr zw;&bT${D?fQ{;s@L*g0!w(;@tDiMWrg#CGeSVbYwYI4~uxy9Y{j>7XJ>a|EtRfLkG zB{#v?@gi#doR3KC4rQRUw^B`#SWRp77D)oOKbevQzuy-kAipii`0~}dRd#XMOdy2> z@KZK9aW5FJBQ4VyVMgVC6khHRMDMbb!P@ud-j_<@2?~w%I{l>r$V#`w7P%wUwVI-0_{%r!Fp5T5AoBN!9zN9T_-k9rf(9 ziHPshwJb3mW!hyWF%zJGe2jYe)1lavIPkYsKivcHfb#27%wb(c$%ZwBAeYKM!<%@)te<_*^g z*NPNcMzX*YYx4J(ta zY^FQ$-C1mH%?eKkr!uvUmyVJWDXTO_{buKvO^K`3c4)EU63V4kw5jS7}W;ED9v$6?^ z{Vp6*koZ%yVCBt#`G1kP@E2(uB2p#CA!h!fCO#Zn%$Yf3#iVxnBSABOpF{lpVx1?9 z-qpIhVB(AbesjH98QYaKlR1s{XEW2@Zx3ZWVO}fapX-QMUROj_=r+MD4bhEFJsZ_m zON6)hcD$PaA4@G49R}FC=WZsSXp1d>6?3)|(;uHsa)fB*P~7Y~-_8kFVRV;G%+VVU zG+M}R#B9Jj;aUcsZn3MByzuO|KU?5l@G+ogN3}DXe!?4?F)Q+^LO7VqyuKwoKce@E z0796jZh@!g?}AeLZCR z2>FBi@JZ9;o5dx9f0I>ms^*q0J=TW9St0t*8ioIw3K@oT3EI=PjHIM{e@sq-3$vGD7%bP%DS4 zXIN3S6j^9)(lj~Bhjg|ZS$50C2?_2dmhX!UHnYkf4x^%`b=hZ^MQ6Cdi}n9T-7E7e z4YI}|^1y+!$vnoo5lUa?M-gMj5eX_3E{MF#$TO#m`|i&r44z<%jip;HNEVIwz$o9# z7DRomni>8SvOlr1{vk~q)J2k#PulP_INX-McjA5x?w*vw=D9~|$f6!xF^x%ZI!3i0 z(N14mB!{i|#`a#l2(rt^VtFp&MZ|+#f9|c3I6J0P`*>bWKp- zCvqzWis8bz2k|%LsnbhfX4wqmlzs?wi$CD1)`?==thDgFFS#!N6D38%gJw&?RWjzFhv zU07-F;;pY=mZ$g7IuCk_fG3ao&? z4W9RFx+Q#dhnEz~_I!`a(#hJI!=inV9&S&-8vo@&;MpnriPU znJVhD8Stb+wWUJkZvr>(zQq8(Mg#6*gZbKG0DOxhQuuaw<;&jXY5D6!$*?6jfkmQH z4b}N=zcifEj1N1oX9d~2vuoDR(}O%2WPp|}aAQSVJ=Hi{e_p0Oqh&tqRXwXdf4`-{ zGR1VyuDopSUS8up);z3-iA*6nmol`eeS8(U*vLkM+}Oewr}GYo6JZ)sM+c%>socMU zO#HU#jL9IofG4}*9Kk}FN#d~Mww5j0jY7-&V8N!nw=nQ{v0yMUlWVS>Vm!c9Rxz$& zVy))l*u=kyVrDKyKQI5m*f|7?0xN0w*tTu!9^1BU+qP}{++*9eZQHizzU554#cYyI z7O75Er_$;F{}?})k)B#O(RED2;4FB;mZZWOSUKxs(CQQt)<6VJ)Aul$;l(AF8e@bT zraguW$Pp^dpEVE-#ccXoAIH4b$DliWL^r|_KVcs8ZLw{=WMrFBuNAz&GHj~!w4B9b z43a3+YBPEUv}63~lgAYzNdops=#};$JrfnXDl~|suMt)ztembUl7tBNa#^yFgTvCw1S~f0HI- zfA<})&FQc)Q6`0PA{e$AaWEBG7CFh*83Pomw-1NF7KBuhV@MQKnYVPWb=6iQQ*@vU z>RO+RN6uQ9%AHS^LiL<=7kX?n%WyW=emo_;ka-kVva(y&JB`;JG1z@jJk{v54jm#O zS80FS7)4Mw{9QknspY2AQ_{fw?B%y#PXB$l&ky0Av2(MbD`p_QPTkR1rs?E2}4-$LB{%hZ*Ch;E{{gTxwzq zcE*^g8^M0Yzg0y@|4S9+NCq06G7~FhlbA**j@o0n{6-~=LYFEwf8`VEsY8L$j=)$C zNcZyvTXBx$Wow3LRIb`QTn=Z=N5^?m8|2Lh0yyV!U^$1-`{MBrv%(F%qceChluu#c z3f;ixcb6Pp#d!is{=H`$4z|RYhkbdfBBu=_9i#h%3F9ynHzJB)7)6OLD>Y?2GGl&ow8W#@|0J5R*W#C|8_eF$+!p`pp!|>e(ERrMq z4kouKVKLUBpbB%$OU?L@n5lq;Q*#&#jZG(b`AXTM-t9JnU#s_zqEG9mrhT*^6t}-|q z>&(@7&Up=ue3IP`W9Ji!oATj8P$(NyQYK7~^B>Ga6cy+u2ACBB8o`z+98RH{1k0UV z^KDOgp9%{Nq&pA<@dtq5Nbwhf9td44gtw1_O*H|6)(SJ9E=-<0S9!N#v&`}WF>7PZ zAFoKdI%&GH1j%Jnfg9OVO#b{q!}ECH-owS)$%+O$XVAWpAq$)WyFH5&j-L{M@=cE| zAxmV_0xZG)&Ek%pwUN#R`&-jrn$)f8G6q~0OTm&i3W74-KU?6Kfx)Eg^vU#0>~(0} zHr+y0`e*FKS0T;##x2?|^?tAFK|FXG%7ekz8{`*D`;F~{d6;cKgARrD2@Q36q;Z(a zg=>edMh*Nvf31|tTYRlBIiM_5=MOclWoHr$g}5@Iu70LKltngO!R)+^a>={3UGju% z2*G+mnJkeZ*n}S@Z>(g*EMJSi$EfN-)2*+uM8l-zwheJsUiW5^M<(~2c?*exRO~dWj5$57Sizg;-5MooUMzwQik%@liGghkg2k4tDpFC`4XnH;o3gRw~ z#PwzlR+m4wIr@c21`(!TmbFJRN^R_Kz*MU}?^F}M3U-XF>;*8Bgb{`siP95zJ|FY~m%&%Y} zqHs=Zo1Uc=kFC231~ z@oUdT=Wa^V-GVMVd$-`#tPR!Ubx-mlh20~}KnU#X-2?;kK=p#> zKymz-C^dYyMYq0fy397pmq<5I9SSsFMxqKLFhNOzxf&Mmzgy}6=p!h9#*}m!>ae-d z2hmH7$+EKJ0OP;Tz6K6HtwQsVeeIN^PDHGVf)px56a+TPQ9yL(;zy)P9qt#}^yb@? z=Gj{eDlB504)AQi)Ril}m!q|!$h=Ou$bXVs) zUUvSG=31JIiRTv_Z`HzXS23JUerz8fN71LMeeT{*cO#ftOKSAE(>hu#9!qj>WXvzb zdd2p0WxBPJuy;F}D+&yjFFrPV#eGsfP*k$k1L?qqJVUtmfs2e|{bXmTek-!cW}P%! zTez;qA|V^pbZLX8DEiYSQNrT181?iMQ)HrM(pdlgn5Qb)+Z#h7(grpd_Zkw5NlYdZ zY4ng@8%y6&=)9*6N+Ht+C78HmlnO~FtIwA(_SuWQO3&p-U7oOcJ3MtGvfNz^n^>*r zM5v{7C$l@~ziKr~MwYn^p1(iGjPpgPNtrHt-$@Gj3FuOIbkrSqXpCdf&}V_cTBbiYIcfmi4drVDnk>_5^jCrb zn{pYk+8b^CYac8yGDO7@RY>QWs~{JF;a6eKld$ez60SI_B|bY&lVfA8;dy_|U3kdw zv7+nV{W^RwXS9{fMXU{_rjGqO8)|0fY_H?ut0J#GN}b*sUaB@YxOGM(iIr5gqoH*| z|16rv(mt$#8iiIn;B+ajr0XiwmoVV6RoHBL(RXs!5om4t^Z3p$SI4!b)YN0_^v=)T zwc1z-fiB{MM-}Xt!2?&fcygS240n>awN+k^utP|c@J(LGUMVu@TnQIW{iRmyXKrl6_<7v#et+l7k#H(^>ggdddGsTiIpBFs)XTK5Gb$rh(f*|8735|F1># zw$z<4vWc5NGtvPv7uxAIliods92?CBfCGqoO?@VnMB7EH-{pg~ciZET*RG!4>h3~a zYgH3cNRQ`v)<4(Y=We^~i;F5AzFRgvEmIo4v~Ks6!PuK19~+FO-^X>JgLi!8vLnFi`g8I3JQAwZ3A33JhfL0L#)ktJqvOEtPL4!YZkBfU7JqoZ72 zewi;TJM-&9(+a-jV&u_2JH}pnKo1Ci|&Y>I1@B)>tDJPjx{1JIMumO@DdhOWxJf&l{=iGauv(t0(6t5gVZ zK&=6faNc7z!RK+pe~CLS^|Lx^?z(Sz zd-XooW3Sm~C9c+v;=0)3*jte8FT@0)2nC#}uEn+DAXUCxNEupEWTIX8h*U~r&^VVY zj081BmR&^FF|f_^MPTGtX*bmo{jfJyOiYX<|HxQP0%YYledCOT7rxef*W7l#dY^Kg zel{H+FxjZ<5nH3MQg98OhHM{@-WOgLx=y>uyvO^NiMb44PoHPfwE@@Bi-fd-kfyQZ zHO;%KRW=1i&lT4YN+@7Jcos^YwYcXij|~MGCq5o{ld3^78jAL-TQPtnsBuCH|2fg0 zKS-p%g|H4^Ob*PA*sVHXRRjTHv`!i8@7+@O{fRqvI+jwK(EA~6+KJVhBf0Klh&;7( z5&X9y=+?pmt~RT&cHlDxi)n{K{x?;3Lhp)Pm*?{$Yh_(HK=mT-l3F*O;>$=Pp+xaQ zsQAJ5h!GT#<%k+Y%-G$1zCe$PrXnfXedK%o?bq4SIuRm>7R-6p^_#6_K0T=PWYCHt5446%Z;5kO?iB zxm`u9LR$lY!0ZN=O*D}6_;}`3MsLNhA&1>GIedOo&>$l%VW{CN-1q2@?UG-$^V23X z+|H7m7l$3w>N=i$_HPGvCHW9Tr6Be1J`tu@2{NHLytBvhmp$#-&J0o~E|0I75TsQZ zG>j=B57z%JvbO`Gf&#S8XfVhG-p>(heWRWXQnnR%yre@4d90O>J==7)d6azf8D!>8r~K- zI6STJX&6bErrGKlM8!sAk;QFoM0s&5?-1gIevl@qa$Rvk+TM{YV*;*oxKWg3u=={y{T07WDD-I zh+eg!^MH6Z4^ZbD-m(++_DM#9@(WlIOe>>>G*0nP9Ul=-Jq4T@>!>F;W$c37(qP@p zN|Ir7N>-}$UFWJIe(nTi5`;uE{b=v~>UBW*SVD+)t&dG`L>W&O4BJ(N7 zoEkI%_V(X|7cS3w(F!BrXG2<8N=N=iAFO+QKH(`I6$7{+YHiYcu`OEM&c{wDP@Y`% zCRsTD9nMH*paPC+%mX?U-rnIw5|l`hoTD~N8JX>A43rIvcw~E>IiB)3<%Rd%Zlh;c zw<%G597^%Un<_>@@GYT{BG^l8UfRmnYutKxdlAjs{d7-D+{0ITIszW`!%X)Xo2dR4 z)GsCkNCzEsq&op~DHI3Mblj_IFIRPtVP;V;cK@G9_eVq!b+FwNF@CGHw^K4zyt*_S zO!xg1rDOOV@2@D(*P{rNFxH$dA{y^Uwn?XAv(rC67x>4o6MWJwFXH_R9$qf*I4e=Z zZZ-9_QM3La_FQkqN&xP3?PCakeP_nH%)EB`+96Q1tch#-w%JeKYo{a{GGn4l^R_~yrkXh}? zW`@IV#^0^m-0zbhv!C9(SZDUNqDPTaw)YoRn;F43wYl;{FG%6SQ2P0$vJH_|j}}&3 z$)~idk36cB?CWv9VG!}1wvXjnE*du~RG|^Y!`Nqoi#JO{Lq*kKVY1g>hAx9V>*B39 zyYz42b^YEWgj#m$IOlmR>9l&jUg@tp8MwPD$nRTvnQ@|ZeJ-z-=f5^{(U$Lkq|k(X zasX4)|E9EXQGgIn2O`0NUy3^uP$=%D1&+T2;2y;i;}r0M1WH1HRA)kQs!34V*hOJ` z$081Kfc+Nq_cK+bEHP|s-ch1FGeKCLCP|R~GXML8ZYGDxM|_Y49yzJmkI@?pSI))8u zdJ1wVFLH4y!FQaC_CSCrP1LDXZMa+pdMUM(=#)Pn#FJ}SWMWWPh($QGXPlu`4dOFY z_yVmQ7*=m^f}<<5a4X=_8b2Vi^KFDsQ%Y>@LbGF9IK+L3CGDZ~l}pyT$WO@F&UX!{ zV~h3l;a~@6B3DHAA5)V(!Z8cV$~2_`l5ql?8R|UkbcH86+DWA$DK~ke%CFb-o?_3A z78?7{Fxld&x+hE$#Xdx)YLc@!Sp`*ALw4|rSSl29ATV04x{g+sh%nM{=luTtV1m`% za<9*8x7*|K5d)rkF3X3pa(KDnXhaV!GyfJkpCF?uR*C}1g;?mzncjMR*tz=qwF=v8 zK+SM%0nA91jk&$BF`v=InWqW%T!#q2M=v6Q+kQfTTMHc!NfR7y%e|+j?VBd1pkhez z>2g4-+l$8-pXxi)WExAnN&{8`bczvX?g|x~_3({KC`1jd*}~At5CT-ZGeh0qlh5#q zcAV?xZnTq}cCU7SQXm$-&zuQkdRI5-W&0D33<;jiH~J&x)jT5VkTdUf+RXfUcUARz zjJetQGVoE~vU=HE9|OyU5fpO`A}K^E)0z*0B~tEQ21N-W`In3kRa_$9qntunVS{fR zUK1i=ERuam&=N}%q#8H|3sD$3F*OpOU&|Q2dzX#b3OFLUrNa1jXS=)cbNYS8ZKl1I z<_YgJElN#&#wgq@C@mZRHt&YM&K~xiWwj@?~3f2!&7ChhhVqlPFg~nf*zznqT z8y)4t@l)z)>->l_afck>Z0C~~Qz(Lk=b9NfIV3)*LQT>j{$CPH)x;3MzkW>X%N#=Q z5sr7jbSCqR`}hDlYayD=@)yaU-`^wYO~Se-yAp0ED9mz=_fXAV8nEAmP|I)=k--Tt z0D&Dlg}`I9`Pw|`$fWMQpc&f@<&{N)MkMv{5`X=W&hvyn$Q_g%ol0%k_`SS9BUQV) z&kRe<Vd+B-{&W(I#yIP!X8}elHs&K|#eH)#g zTR#5sw%8qVq2JD#g6=;Ai)CB{_pv3 z0Y612(=WwREhh?CaiHHfe}4EmxRU=qMsw)B3g=(f! zD;unSO_aKtMII0E&(#1v*lGZH^<oU+Zmlp)N$X@^bF>Hw2y<=Mi{{ zz!w)@88LsO5fr*p0>(MXHnM3P80#YtO>{-!(+_-(DIt1Lb#S8&`}?8E5envJf@H>` zLlt0Dc&QM(#rl@rLCMTv(IJ`_4?1ISSMY-#hGn3B>PrIvV0I|?DHYj8#2vEdf>Pio zq!4G%PI{TtXmhm=bk0nAbZ4!{Q~*i>F~!+Ga#mhdXh7aYLR5s?M`C}EsgG#W>P?|# z;6J?(xd?SviFa_Z^Y(muYGasHT6komthX~MZ4KlXvnE;OLY@1mTZu`MdR{qIMlpw0 zt5R||hvYVDY9M-Hx`knGaC@wZenN~M?f04$QcRf=U9u2h4&Qze>EfDnY0@ohmYaBE zHVX?ld#L=R5Zyuffxm-j8@3ufieDJqtccRHb4RYOc$^CJ0K?7)bbuAemZgSQO@wZZ z_Pr9mCO|yCZ^Uo}@e_GuX+B#UvIIgWfe$OigJpxNP>7wz1P(^w9 z#k#>qjVmlrq3Z->Ha5R{MbqxKxxx0;2qn{}Bt5|{m9Lp=iQDkA<{Kg}it8(7KVjgD zJd8qb;5e`ps(4|{sS@A2-C?=XDy+4o>7=dn=6!x^ZvNjK(M1{Xb&OSI>u zI&6h^ZX?0({BzM|=vi~5xwBjK!?CveJTaMG1D7`zBY^!-~jtW`6TF8ELZ6Wg-=1o+rDhwF-V39&q zw945twyewRG!AF#qlV*#oZ9~=L z@eq%~Wt4~Zzv>p#sL4^=m7{hlax*gyejX#b=mWJlRdUw~h$}$=?pdKvf%dc^Cl-^H zYUQrVF_#rByuWGk@U5IJb4>E8ic(ZH05ua`3>b(RNR(VfY+o@hfdyDHkpozs) zyOMu$`Fp)MG*yk#>fRnF*D^EDR~(yxL5dQCG;KITXJE`rCA`m~eKf(hoRwURXG^MR-+p@lfnQP-R&!L3GY9>R9 zqBRp^Dwyi1VRNkML&J6c1PjTos{xUDK5qx~ zDXMttUS9_fBG9`OzK3DJKSIR?(ce#&Y*9FfJ{&iBK;UeYZ_vKAtp|`{V2n_yZh&{fM#9#_pRzq{AKu;E8R8O4IVo$dCVs0vD zDuv3p~>F>ie9 zHoLPe(R2Iddz!sl%X|*C@Fbn;`&8Bin)is75^LJgG%JD9S;3sS&q)5Hel<`LP7tgE zxP_MODN7c!r-vSizR36`%Nd$iimVA>v7KCl_nH_A56o`B%jcsHFL!kf<8mUnNkl$A z*o;P4!1we_B3}-W5pdw+sXb6xt9FQESDnOD-izv%Qh>tqF-Je?YS|APBJ;?#!rH=0 z|5CHN^{3%|fYGkXn*L)wpRM62xBc>?)%KD;HFq-E^rxnvk&%%ZPvYgp_h9933e@yQ z_kFt>rI zuD&W^o8~b-JVECjunwj7s>}1p{B;W5@k6_Kvu0PK7#P*I2QA8@4k%C$1k)xuXeVS1 z1@^4ywr8Z*L3|Heuao=d3nS+lzOt?Jc;L)2tn4m2G9SkX-j)#h+vuw@-L}_n_R321 zvmtxrJ4S|QmR_jrym)72$`cb|Gcel$OoTylBA~=Hi4(dcmxK$V#r0@YKt=A&A=I6> z4xs4*q1i?!=f{$)4;iN{nGSWq;-ZQRP{cB`zbJ%xvPXuof38f&d-~=S?aE28yTroM zD4X-OxeVBVKHfJzsd`@cZEEF!F}so*;7k*cb5oUDuxh9$5-0ZJ^SjzGSeKQJ9cgXJ zs@7*+n3W&t88w$GFDE_Q z>5Xp>0)xD!i}*BJc}8(NTN4f#6Oqqg>VBrj!@?iQU&*uX(*^&g&A7eV;d;eHpyH%J>3ihy*hNF2E1_KU`uS zP|Szr^i@M zLCqt+bL$hV5%lAuQ=`?>FKA}0`-r?*j{rcHe^Zo8juF7d<@+EeLz~Q-h_I^V*_Uvz zAYem+C#>xCOY2T4Zqk6L?uc|%e1O@*<$(E@2>v-kyx0Hc`W=h?L~J%K*32(2SHBaSff2ozrC%r9=jodi_|4GPrs(AJIb3nk3z%#BZ`FnAR! zLe?7p%C2W@wqGC3%p~_@BqYB3t<(Uqz~^k^dEZCfzNvln<`r{&CAgW#clh1q{RRIP zU`=~|t2_&s$Wn)d`sbwp8Pug|D_7?0()$sJ6w?*MM2BEyx@JHTS?wFPHmHOa;+7AL zhuBmu_kiuNz0+ULYJUrDUEWsPPTn^CgyN7ce{$-5iRI0kk`_lwfR-x+G~5vk>ZMl9 z`CiERAp95&7Tn#%L-`S^qLsX~d^c(I#kt%sUlAO>+l+NntkGwkefps}skTuhh0VRn zF_`w3jQ-|l|e;=W#8PESfA2?P@vP+pk6<@h=rTvniMk8Y6ZP^VV>E@lDVTa{07PEGX7+ zIAW{9u#rUTqPfH8a*U{(P;2KBMibxlPLleqZJ}1+4M8v4lwxCpO(D-Bc9fRn9fQ^F zaf>gjQOQ6kJ2M=4^sop(nSH%&5#5C@WWW3R@{#%~;*I$Y#@X5q;Q+2Pm}Zmd*F>~C zLGT43f}jL~{k;bNXBj|~iOy3%^P}a+KGIa&7^rpY_>VZKT9X2RCa54!2+v)i21dWA zl@Ru2kUAG7F=7z~Jtmw9)I`#OaqPIu58dz8h*93w{Z)NG_?hR%&)965jpxgp&*fKj zx8Cm(_V3N~WBc&T#Nzkn?dSBL7q(wazi$~Ia*G3}r;o!Y!j4LH?x%gMUmMTKF1X*- zAAGkTSIF-lwjbxwqsSRqP2n0mf=^=sUfF@8*oQ6zKD?4UWR%hA!4`$^)FK*wxOHLy ztZeEsZsVr#K#C}n{DVhbi$aiQz)G=)V(1h_x1Gx54yZ=|5QVM^M|x^#d|pBFFMu3& z_3rCUDfpXW{I?NZKZNeQe;TzdV#tSTM*nUaA7C?aNzo&k5 z;DzPQ6`6BSBA1a8cY_s8CU-xuY_T!ZK928u$RYL9z^%Kyy^bQj3?5CNsaYRyIl;0r z4GVtj^sl=m6AZC_vmC`bt*+OirxSFZEw({A>Z3K*u zr#`bzxvw7o_{WdDwYKs_q69=W^!j;4VNw#y7D#RxSrT9 zmEzaf5Y<~<`EC{VDW=xHN-&~9#xh{`nJ-`Pc{p6NZVc3lrsWUU3aWb-U6l%s~ zd$izbbz?NEmL87EJDjI0a>z_RWt^a<$2F^tq|A~t%;ch6)lN<0qR(yE=oShC-2ew8 zMD+aIp(5|BbqqCi1^#({+6KrTe=r+JSB=Ns%&y6)rha#MHr#1DIwnd;NXE-zCC52) z1|7_yQDOVsal)}1H@43usveEG8f!(*qfQyu)MIpuW|W5-@+S~+P?xm(9gbA5Y4oqL z<#b3UotHRmaO!ATxoDKyNn0Ume|F<+S(tl8iEL=5D5>D?jx)+*;#4tO55L%i;&7ZU ziQEz%DoF$Tau=r`J+iU3;!>}7_t+^7)(c8qPtw+QealZykXQV@J*M*T_LIoF$#j|@ zwwf_pd5h{Us0md~<4nl-_v>}n{7lU~dAC`AGn*i5?;=jRt+@htrhAYLk#y!7E|wxLh-j(gi3yjq&0Y!?ia?s zL3hL;rCY+(u*!b6^gtm$lC@$NNVTD-14sQ3bj9}QGGDHF)oAG!Y^BkL_}jq@Am*tw zV?>L+NA+XL7028U_>2aiqipq?d2Z0*dBOCS?N|20bqhDk%85}3kqTzHYHBpAp^(kI4Ie@I>GX|HpgKNF*; zs;v1zaXj8ZrP5H*P}6AWT~ezlYWY=MrYBS?SKC%}q?bbVNKKrh@vbiS@x%|jvSAeDv0p4 zJ)alWitFFh-l@YJ#bA-U+bn9c#qUq2swD2`GZnDYo|D;zO@Oo43a4Rm5}FBdVkeQ9 z?u4{c6Q(eclTeMtTiU9Mw6Xpz%Q|QPgclDwwDi!>DBd{P#Dob3+NtXxMA$-y@Eqvr z+fi)xbykM`aE%ETvb1Ms!Qp1$R@U5IIk)dHIwxQ0&4V|}l%5v90OK1v~%a?N`+0C5{q z*leI1=E2kF7@qlTE=as(&d(eyg_wQc1`O;NAFF45}3n@Cp zFr57{uk~6hiFv+_;pL2iVP=P-wjs4gQ|Gw#;;628rt>{l*H*yM!+XwB#A|Bv6*Lue zmdxq7Ge~vid5!wrvp&}LJ1`E+QaPL!f4T&i9FAlDuRJb}{|}Fgk%NJk*U8z@#J~m? zN<8A@^>+97_cyP{W8!S2?f5J;E6(;E{k`#T1A_>I&&Nv!pMP9)bczuzxE7bz_t!_` z>Wm}JzW(k|KWk2m5ASEAeqaCueE@hz*}DH00~Ut=PYhT&*xBf%O>E7a z%^3+885vmqS4g(hpp}u$&~mv0gmq=)SBpP@ML-i70D~SHLJ{n>3DSeZS31ZH2QMI02YV`6o(uT93i!=JCX{SPAWJ734{otl5rpU0%wC@?}2jR zG~xvngmcrIt#TUTyTQKE2Qi9sSz_j ze_I&Mg<&&|k+O%apku~_DM#n@zue&(JhDe{=1fB$F{#6>nU@CZ6pbkgRRhCTbo3>{4egmWF{$$i4B*UWu$tmv%_n^j zhx)s(9FUVvi5vVe575(h3Ca(`kflI`T2Ro9EZ~!BN)5-7ymP^@>F5te{xB_yQ+Dkt z$q5y6CK6U$&JRT<*QdyEZA_p>k$kRcQV0>v5-J=AR$CJQhkJy{gOwsdJU36#Rl?7x ziG@h{t?{+H>+j_A%WP(kkm>}<{pwh<7?GXo?^Uu+R6lgy8`asF+B>`Iv;ZojrTck5 zHn(kt=h4M>(LWt&h6k+q`>;vrXZ~}euwHX964Kv#V*C-$Z0eJ9x#~mms35L$(nRIj zy0({f{$w{%&5fOo>H88K&&+o*Ibj1^j%>RV16#FPor_&JqqxCN=y4n!I;~*V$vsqx zUAW4m!BDOz1&MZqde~_TXm(YeMVcdG*6M0ae|6wo9X<=YfyMeT5eC%tm0?bJSVU*0PuIkjv0Cd~L9U+cZ9 zEx!eMCOwg@x09@_is-Gz{{2fI(JCdD-`^b@_Tz*_?>gakDEmtrNk0?z8ufTD(tQre z7Qkg%WIqt9RMz-`PT7qiYCxE?;PSx*`z%G3NKME3Cd;gMgo;hows4YqYJyLmGiXR< zm%HDirPJ%MlDsL`5iPmw+XMS;V5FS0b~xel8O}KyvB<%s;t-{}+##1dH)5yL;J5ME zOVqrt?wzvUFrp&)c=tZ z+#-9c{rxF7t(Q&b7>pShN){Nw75EjaI7Pk|M;t1>t(!^h1n>1Ue_ZKRVM|lbGkuGt;{h zZu>xIL1`P6qxEPkQpPfMd(OC@BgJKBI)*D@IIq2QT#w1S^H2B~H2y?6RnsuNx!#d> zpIY5f4>)^0n+}Pr`Ac2;a{?h!xUl0N)-weWvTZpGS)^eHh~>qql22|QpKDf^E`cl? z&rf(OG0u2KVSy6aBQ2pB9iA*QSy-A+H}d)GfV4ouQol;klb_F@2oEb^PQkcQy)SL+PSjK;Qm^`6(vGyX;;-s+=YJ_qljFm*W*9V#^+L-^G9~o!S3O}2FBCP@9wdnEeE|=Zs$r5jhlJk z^-eZ(;NIiYsUHgigZCHfot6l>&ItdSh1WP|>AQ}ca(GFbZPX+emAmmKBj_qhV7H;p ze!-oi18qT_>_?t?53N4aLWqgdupMjGsPS@v9FwLYeJ-iFc2Zsu6+f=>GuCQPhLd30 z7qK3zp!;5VaXPq0Kws2CKo(@yp!;kd2-L!-G`-!lZGN=HYLI~WPz1cJD8Yv<40&FD6WGaHRQ=>&qfU1mO3xDOn0g;Di)2JQ&f{d<mJ346#HaaimnpfIKt}dwH`1iw)~wrQBIKVWp&E0XOa?;|mmkRFH5CGx6Ni zkxJsNQUD^csy=wnd#8@ z@FX>FP1~9sht1-l@cH^ncN5j+azXdDD{yi7k7)Jo#rY=T^Zo7ZgYrPB6Gh|t`jqVX zNt4Jv(JB6r>_*A{?oNFK{XOsQ20lw!c5vM@#0>ewzE zrqrr;c4D7;NFfKxbp3Z=D^4V>oakfJ&{&x}HRO)LqKZltT@_Jb-yrfv*j~JE3PNHC zBr%x0$XCstoU#acu=t)j1p~ak0>nbRjYT;mZlHrqVMHpWpdi9w8xx}-GU|K+Q$q38 zHHer&(FLuIOI-?XqoVYe&0=Q}zdBM`Lrhd;j7j#4Dk6E3ol0qPiRgPz#@2XIiUScj z5I2>Gr;3U@4%PYX?3bM{kp%i2SHc94>2Ux1q5uY-ddOH;u2jSuy-~r3%fU`2K~#)V zsH%7#G9CKYyCb+piuEf;(rn^D?Ldew>hQp4DZMvz+mW#=% zt-M z&LDMpyw+RQ2H#=AYA)rIgHTJ&kJb40E#&||G)8hf7vXJ+f5)eAHTTlIxGp`sGr$-bjoDJz*@ z-gRti=hr%o!;TJRitp6}_>%2xYmGv})jQ?Y zxl`zbn9%Iun#B%+$+C}LKfmG;dslOV#>GPiJMC0s)8BPc>fNvLx;@_jEDG#*+w6;1 z)*+C=rdLQiqkOODx`h4C&?(cArgN!ZQt3_T2k>onf^zj<9e0DK#l9jxd6Tl^@=K#Y zLsRw=GN0GIlT5V{As#58EUX2qU~&Ac~V*YNg;q zr^Q~qmdA5s7w`MI1wgX%Ec%$q>`cb0lM7%AQ>V|Rs{G_mHqgZdT+o-yY=TFdR(Red zOwQCQ{M#gszUx)y7O$(GpQiav7b%Udnli*2sBv&SfBK_RgT=1TwCgYkOZNDC?gC+& z!Y+&t9o-6b)T!HeSBNjPYUqrTQaQ`zZn^hk{Xwq*^)9hSTzx2lEg!GlRWPWy_htPO z9n!4^hQ5Bip6*V1()2;7x&OL)<_JFlnyUK1EeOHON=F$hRl~J#+opAM4BVrTWYtaZ`xN<$B#C(pbqU-JnHsg?q*dB z*(1p0C=u@j>A3*uKo@9I2X?S8Bo6#XGc77H?kc4qnK*BmVzZpiYIChjEGeSSW-Fv>Wpsh%lsNzo@8YyV|W_Y-3Kkt$3=#E5LU@fDh)qJmu zJ!~f8u8nyB`W@8x{5~_;;n?R8*n5tcwnA!QUZOabLXx&(IJa87Wb)$?THzAW005S_ z+8jxyXzRkZGdz#X>>}AyaG&s5M8Z^xN8K<@c(p#HHTq||&%jH)q3ZBVBWJ9m6T2Q= z>}KDw6L=f4HqYwV_JK(kZeEb$jM{_QyJ|wgm@1_8&AzQpo;<^s?Ss2 zaUvmcP;b!)4${`>ghBSb@sLtzI^L?))&KwD}M)?Mm_r-V!BoME4 zb60;_e=y!uigbENV9-`<-{W3D(mWwPv*cNWoFi1)ppriauQ$!^U+S9$;%+!`C_KkX%3ua8j%OulJZQ%P1QnuEF07 z%vtGd=vc@IWMppBy2gmZ;9x0RTfg7g$r@eW< zd}$fXPT05A0yntcWLxBZ@wx*SXzzJNvy^8fX?dvwUSKg%iO*Xm4PN(G2e)td#(vUN z?R-EqsdWEC+gpIe(RF*ixO?yr+$FfXy9NvH?ry=|-QC^YB@mq87F-&4hlWe;oSAdJ zbMwr+?|0|rDVn0Y8oH|fYwfjvYwf+CIQY+6d6#j{xOf7k<=;O4@<0A1yA&WF)#?rK z3cp`xzmROe%C;MH;}5#zWZF7CI(msODd?(dGG1Mvn~=@;`0>|mb^8jIMP{_@kywYp zszTSghKhL^rLJ1@f|iBG7bPuaGul|PX~IoSnmOqC>{6jDMQV=uEbcWKRm0NIDg}`; z|MKj4KIO0@n^6mSdJp^;{}OhDZ~=`%s`%{v2cOq{Q6Fd!Yk^bRzwbsLRk_C;d1%R zTB5bQ6MkBeD$~@gD1}KDzDWY^;tXs;&(OquzVkMVzityBc8(Yzn=-2H4?k}%&MHjH zlkr9|4F!V(lAM5ESGSR{!3EX%sLrG=ghG$0Lk@}U47R?iUf0!oX`xvez&J;mDpfw5 z#z-m|8S*Krn^V7Gsfw(o^x$uLw19&!a!-caV~&WtTL0x%DmtMN^kU^DiKSA99qCfR z;xK$AGryIpXmVsxd+YLebSR3`1{Ia{wiCKiIO>tSP>T|6Rh0T{HXqDh)=edX!^t!Xmt*p?!O>y-*m56FVza@&ipA+_#DJnNFemyOg9oSu}G z!BBUiiF!=wIjcW^Qd61QYB%q3wl5HDRcU4KRCd}7%DU?YWG~(A+s5#U?n5{lDm*$T%9+DjDK>&pSo{he)TBqzwF z5s~PZnJ2h4(hYxniLIUWGVPHo5ue~3Nk0rEW8_bi4%7`H1Uu^s&~2U}4|Se`7sybC z^$SZ%=98c(iHUyRQnWLHD6^ofenbUD!1otT1ks-%odb4oqlFfj2|(u24pHc*7Ys`d zIJqqnv%w{#0rW(}Q|_M&7NtQpmmvEc;Co9`@`Lk!k`Zuh8x1_KS=XK zd>{lPzbV@FA$em(pF_2_<@geqqnsY2$o3=K%Y1eNbyxL)zrvkiyg3|D$69gS^m?jY z@P7TW6w`?Myf*cQX%l-sU|a})(4*ppU^xQt&_V8-?@vADP$LXypa?f&p6MaNAM?yp zZHFd0dD|l!we<_II=cuY7@ImI9U}P7!}n@xN6G&KAw&H$&Stk=fMo)aC+LsrP%Qfi zdB2Z@u zci6-<-3gg0>rRuGaF*@~6%8egnWXV>O{cZeQHs)0c;Zuf zB5s_LZn6@ul0`?NMXb_is=ZjHj6$Ym+KgSaHVL-TdfHL{@e#rlW zeog+985|4sA3x-DqF}+f&Z??Wk{CmqN6?5Ay#nJ{h-PsusO~j_dlg89 z>t$GtjZ5zEP~x-N^(MZ^k`Qqbg#4@(A_$pBVB8KE&2{-;fh1^Fx(c>O(N3$qKRMH! z-Rqcc*6qyOL0g@qR_b#_QX98(vJ-*VLA<=4n93Hpl2|RvXf3s&bl(5 zYSkoG{ZQ>LUw`jgiYr5yfAbQi2+zx0NojuG^aAhC%!Nl_%#xhDI49!kG4C6Hm*o}x zNXq;?Cx9yl6+(QNur8vv0Jw#mR~N_#;A6_H&d^CZ^OQc^&y7ZSvy8!E8gH*O#Ca~x zNw7~(dsZJZPqt4tKDoX-#0fCmvOznFDKtJFAZ-Xh_z_L`@-(oDja4ESGAB4)FfwAJHF!%Aoyz8P9LAwV5i#54%e*1Kr_3<6u#NMxoAZc4V z+D>P4zw5mNe{U|fw;W*OTOC11q@BkjaBsDZ0=rhb5vmA}o{&EaAiC=lsEPuo-~mUl z>kjsDSSA~g8~8##bzQ1Ed<}LLbJYp+k>mR3uE@3?ZMMStmte6EQs)nGmxiTEFFQ3d zkv(YKY;4{lX&iXA3Sf1Hb#I$U~eenO&&dB8<;a>5Cw z9paD;!U>iQmWdjdQAOjQot;gt^d+@PK5}*wJ+vVZAtWI{B9tMRBZMK02x$1}lc9t# z82K)DBRnFw3uu9<6!mT*>|%f}6EdMvX2YuHfuN6o9xZ~`YxQo2p{ExjyQYB&GboUU zn^JrrP1^mT>=ouGl*CJtsIOaoKi z>)S*MoOY`3JQfjFVd zDe|+4|8%8q3oS~NjfIFsDl2EDfUxcDI>7nUX^XzSm1U7L&DC8rmQLH@{y_EkvH@== zE)^!v)+syV_k2~M7dQrxBPEN(KVBZD|GYdx=1z_Z#ty=^)^@fw#x_objPxSLhPFn= z3i=M#jyyc{!nRh<);5lW9GndF(u8!3e0=m`=2lL|4)nsplFG_r`fmT@czOwAb5k=X z!uP96FKMlBYAmboXi4bg;B5RKIZ#4&CN}yn&IV5IcEqmz1n$ zw?+@p<01wcurt_=^e8^AktHDB# z;Jxcon|?PgD9DIb<$jx^evfNIdDddDQB~|EPF=zV*T%a>Cyea(@BrdH=FKUwyuRz5~7jdwgu)Y&SOEzgO=sHh#Yr z;QjhaFl+?nJns46L)eViaZcC_*Wm;8f`8!^EI>oIdH;4^eg6imd0Tl&FL|rE>sfj8 zx$74G(g7dKgPz2M$RXXKGZ(BKYw%pt{}~EC;FFsLieL(YzW>EM1P&en;%98-G(5Bc z_>oj2r!>1(efM9Wn++079MT9_S>wo1QZmuxxLT}|af5wwLBO;eJ>}3 z_&BeLY~pmWJOuhWN%fpNHzlB+Bpte2JwUo8x|-*=nYEzzkqmEdhn||cZ*RxvZ@Ri$ z-`@Il79~abUpq8!S6<;4wKn}+S}q38XG#3;W{!b9mX@ne8>@HCJ@@aAn6o6obVW^X z&!?W=3$r8vtJ0R1Z-~}R9Au5n%~&lL&1rc2?@yuLHGd!pAYNH9vQt&p*Dn@_6m1HC zjTivgNGY)7OQ7le9HKALobaOopXa%tmGGbP+Q85n4sy>oHzJNa`lslre00fA@A1#vk ze`ApxzirUg;ftNVp)ny7D-+xMu}c5b+!+6-bulvkpN)&Qi4w=*g42i#5ne*Sza_Ix7tY&7zH zJ0FWaACEa54hQrFY_<8Uw+1Y>r5vu${PFx*|MvO+hg%f0(swfcSD*O5ZW1%+U${$* z>}>yepCrOWVy7&>2%`LW`zm-YB#@UIN`hEZD1h>>8E8qo{gLKXdTS{m}=&dZ^n1={*-dOT5vnDczX-Do!>s68p(+` zx^LUaJl;Ee`EfO?)AcckHLx%};l}~TmqfgYER<=^w$%8K;<11RSKuU&Qo}8u@LBwgwi6@6F`@ryc#X z)&2#Iu&^=xpUsAm^ItSVtFUVEMG*zqS7^Fn3yANU;tSg?3Pr|5N0r2&iwZ)UeS&`i z&sSGOZ%kfbDYKmkp{CQYV9e(0kV6U9KTrE=IguU`px@IueLY5Wy7BeQ$K4yadwaU0 z=GxQql^0m+(#_q;vy>_?c0HiTyIO6!T`Xw25h)T{(Og@785WkERHe3+b^A}0dJjeQ@BN!OMt)r1nf&d?_1|_H%S*%|{iin#~53eZ6yPhs;M3M{RFfK0JWuSAd zXIwUH5S+|(DIlm#+D2%%OGf85ix><|QBf@FAPJ|e7n`6wgNGI@ng$^`3MJI}ffvCp z-CSprCO%h!1zqj*6^_Z z{sqm)HYAsX%FQJsDrh@_VUIuBUnflH>mcD7G1YQpE|ienA{PNu6opxBx&GA!o&X&) zkn;TjM3hPZ+YdD?R3s(1kwqfRY(}q}^=p(|hvUZhea2RQvTjZ1AuZi!CvW-k<$>js z^T88urVeh8&Z;*cF3+!roR1yA^_NWim|$+jCCbFl#xqRrQpt8yWMWd2jQc2LA6yi@ zM28cD0*Ine^p7fH(Wsz}9gN4~jNS74g-R2tQ-*_-LfbM^M>v$JzPa6dDT~m% zi4R%jr+3Xo7R>DH0k0gMPLK72DDsG4G0?#7J<;GUdOu0R5w+QT3+$8$<_c34g9Ix& zI4uR*J2$D>W`tHS%MmJ2f(0JgLDXaj3P9+#@ML1cGC6m7dN6VF_i%7wv&#aDaL(8V zE&=xB&le${XwBiQj+tfsw?WA1#8 zuB304w3cq&J~CP20iQ5{Ked_9BwJQ>ziB=n-M)@GubF$&W9f9cq3MCRg^S?-Q6U`v zghE)@IRCaQ!i!zC_@Ia$ydkf7Z+^Oyd3TaVs;6+FB+@5VNByO!`O5`l=Ov_w;2`+S zLL=!!QK*vHpjo-3$LUJH!P3jI=3CDKkA{yIr`GXf1<~Qg$vslG_T%f*(4tyP^ag?) zAKy#UY9?YvPl)_0o(&xZH$Z*o^m=6WQLzKtnttfiVP^6N_q8JTM;p2O^qm&)%8loV z6UPQ@TRQR6<-YyHjt+|RbD8^$!}TGn)=S6xz8Lv?dHzZt_qw~&z0(4~;&Yl3G4o~= z;e^Li!VYSC3z==gL%e%ykr`h-0!5Ueq1)-hI zk7Y?g-{LwWp+QI8p$Uurf={`x$^lmR5|gKXB|ZIxX*@hbb6T;2^D2D5_X{Fqtw=rI zV#IsNOaK;b1bpl-g(-7#iD0#z82{900J?vn(_lTkYQkJNdZq65FY3DJR1Vf9BOHF7*#P8 z$(aqLO8sCG?7j$sPI72-$ov%0?)ctUrec$nnV!1~7pqJexq7l{z35z&$dT5~>vg>% zB2=j(a6-6g0&w@KVHdMt+=g0+}Ik z=$haGUP}6}{lgd;ZlxR@6_(DRv@BS*EpSed#PR7#bIE2==wh3`gvx3ZI{|6sEaeF* zM)QQP{0Z{{&VB^F6?mm_jpi?r*?h}hF9&9pJI9Up?skB|r>dyib$(DNkg^StuYb}9 z>wl?`{=cUW7B-f@?~meEEtDC5`=gVScN;dMa2%fG8%Hm6BU7Sj0mQ_R%3fW1NG z(NZp2GN4)G(pi8{qC!%!FZMVl&_`8Wcv?*1ADK9Ap`TZS(yux|3QkcgkzefY)Z%yV zzI1lIcCuyR$Ikf_RM3OvvK6bX2~m)ls+I$h&*ciFj)*qTnT1TRr{ z!h=j+cUT^=7z@hlFB?5n5l>1|(Oi5lHNuFlkio!Fp{9$ZMYRropC;gorVyF>I8!{H zB%WeY(bA|aXWD)-H*>e7<40kyLWQ1aF zq&S)IHO5r4dipW`m^y{3G`1oNunRMzl;AnWllc`Q$h$I7Ngjc57*%dUpFJ*OtTDIR zl6mDE1Id=Er}GQP$^a3c61Kl<6>)CDbOvKyoNq~y`F&9r%2nTXA;0_@XxMBz{Gkc9 z?M7qQjZDu_dbfPOZknf7TdPkb5jqM@UQ)bq<;tI#?ggDgteSy>oW!)SAbF*={q?si z8YFp<{G-(V3+(&9CpBiyzY>N2H&+xVZTaqsf_zHf`hy730&qif4J6iFRpePF$5^;# z4nsxI7zATcp;4Vt>!-751P6mM?v%miMb&P*0A7G*$?mS^I&a|QQ`1L4iSfg=%fqeB zgFDlU8>^S+-TBGo2L0YW#ap*Uk2l9DRuv;o5qU+1QHz0wkfR-TkUrpKo1-1OqAoNR z4%U{2*(No9xY>@$m>IPcc25BVlb8pEUX1(eM-o8dV||v^$fxb~#F<2`@O$WIpf@xs zNtu|4g5T9UKYrf3dbr(kL&qUVEu;bNw5Q_h0~^C086e7sD5kJ zm?$;`p<(%%Akk*94WrX#2O*Em;8ztbprs$=%$D8B$;ZjXbJS72meF#3n7`&(=l1Hv zM`eSG*5?eSq>{g{w8(|5PZN4DQDCC%pqD*#0>_pp-1Vf2rInxg;LzDyA)+NnuO6p{ zU|tHQ-v>i%20zG;770eLc~8L8*|E%e&)3u0l&Od0(dqkc<<5a?%e9^{_tef`fAwnt+~aX?vOt}{;q ztSXbzxX!HBjXsMSdo=+HJr@k%R0wdaFMm(1;Wh^vxl z3oJ=k24#a+t>#fBu#MA&m_~OFJYaAZ=xP8k$%C@-J6%uNf-xS^=HA>+>(GCg)%}jRWoRcvh-WZZY zl8{w>!oZ;MUy@_T$om{Y!w#v5^6UFGF(-t>MhD>aO!DUPXs4-fbe3W)rka1~?A6ir zzNiB@2TXV6&*Scc>_1R3H7?mx*?$}#JCY}smY>3q<{^I~=TTD1pyU?kmr-z4C zxUh?AWI&!|>PaLfKzE9-v%pTnyBJ9Kirizg1ggb+w9#G$Nv{7XoItTwMIr zz+O=vnbhb?M%F6RR%m)$fi&ErI#p80PT_ciFim-WmHix0qeIYgx@DztE}!mkSMScq zyChAnCuXoT%vy6UCV>nx497PTaWL45mqg{U|HH-|g#$HpUsh4Tw)oU=yzMrG)Gx^) zK694gq#P&@s&qbeHp~Exq0*9VYgJvtZojiSvgy^V% zA{D}aWQdbkf`fzDh|%!Nm)yw*!_UYZ5*EdF9FLyqT4E&*i!Szi8SL=5ERD7Nse1y;9Kwa(%ih&>e z>%1J#bG*;LI#$kgy>T*Qva`JoyjHbvd(JbxPvn~0`CHV61}5De4xU#-#E-{3ZO`b? zGf_#@^GavOP3sp}V3e@G;;3q_HxAdcAwyGi=*_bKGmH zpPmvaO=6$0l(-)jGk;*txKgR`RTu5Y&v=ehh!i&3E)(|wBfR@?R)~57RpyG&wl2Hl zns-{cbN^!aN0;>XiS~b_^!^vVX8gNEzr3X0%EZZ3*TPuO%E#Vjw#N4zyX-&afk^agCScyG4)&NW5v&G!89{QnVJ`|m(BJVjaQkBaEP zA|PZ?H5{>Z5n<_45ZSqR7rQOmk0or@uu{oT8vgn+0ti%8d#YCZt^S3eio>=b{Z_}b zHbACl32pgcP?4?UMvr%P4}aa$dE@JKhj-e-RKk<_#cS=WONy@T^^WtKzKaXXN{oyo zrMPUEqdsSeS!O{YWqBl*XmmgMxX2KisEh>g%Y_3vGHW7eJW~NA64X0cOOW8k>XPed zQ8XqL8DYTfEYcF8iHO8)2pIs57)9dND;9*cCI&~9b9S_6X5-&?^hgD?M!ZLf!HNPP z51yGnL*K`PJDC=jnw&^Zvn9RionLKxm8{00@HprZF`C3)k_uB2W~w7LqmbT3!O#U5 zR?Oo?lkaS~IWhb?v~lLn0G?I}+PXGq>wmcg_osS87M(6-P*b&UGJ{;8a{Rj8Xg5!Y z7O$ZM6A;79JquodsRka%foCUwPE7BKZ{IDvgtZtP@RmzlC(rbzrLCd)bvb&By&!1$ z?ALk>vM%4Z7m8kx`5xiMKPu!O!K^Iotbf}f-H*6<|ZeOmEx;6?JF?s+6a9Q#j?@BwK)70I$E}oMQ-feLReK*1F zB=lNDUxMv9eopr{4)+H8lKObf-7tS;A*l_h1AQaXv$Z-SxG=JU5gDM^a=oia29 zlflH=3^=bagXSY&I95_`15DV|(<|tTf zAqED~DA_lX6KlW}r?sbkpcBry6SWsKg4aXEFybZN0I~v?n?NA4HNn$7P^SWkyo49OhTDQ zEU%?;0=T=*4#xmh`w3W6`21ZjMz^QUd|Gqtt54@&yL4YV@bO>251g-it7)H3^4laU zQZkVxVUt207o#K=)P-RYX$k4EqTQWH+6@Usf{l7m9@&#zG;JsaUD^VO3{plGUl z=Q`tkBdu%WmCDQaJZ@jt3{;}U_F7YABP72fJW+t^EC;acCxUGIqsr)og+YKC2xP9M zGEv3Gp>ZN?(ZDm(Y{h{)p=1~t2|{v5J4{||g|59dGH2B8obW}6CZJC+*+1W2Dn7TW z98A|ZUjrKJyl!(Z54N)2Tklje5%fQ*F!nwrp= zq0l4qrKVEC<|`+D#|(SxrFiolBAkx5XrwzRkXN=O60AL_FpZzs-_3CBUR4Dw$Ls3A zEq?RfTOYl8*qbO|ckS-!_H68M;c;U+wVk|Um*ca^j%fyjOwo6rWkz{k2dwwl((i|G zmkgXO*GfNZ1e{(g-rrm=RK8vOSU(ymiQ3T3)`{%!5#t3b0YWFZR`jgbOhIMs^zAHr z0{|hn=5NzRw$@j7uNU*Dnu;`b{>H;_eefaE;l8vD_09FxwF@X&2y!CQK3{2I;HVK< znQZG^w(DoD+h=$vBhjS#G}FqXV8T<(dq)jcM@0uajr@=jARZ7p4T7L*7*S&U%;I!- zuab9-``zcTC8Zgjcvz&Yn$ho7Nn^(;DMu`9R^l^S~y z-BBt@V^MZR(YA}WFw!W{j2czT$Oj8Im4*CdS0d+pgHn^J;2|bv!U{lVFxD&#B=S{` zLdamV0{wUU^jLnCc$c!wznxagMjC(xlKLml1PWpB*Ns3m(EMdXOrKZL=nK*F?gA zVvh~QNP<2BT&zEgXaja_;-cmJ<%3xjb%20Wo~?fx4-}9U7x?VS_-plaK_!Vr3X?P< zlWY_mU^|o=>Z{Gr3-+0|73*hW%jlIJ2VRVic&iiCV$?IXG=qo;%pHX+h#zzrl0;Nn zc{zdS^M*?|vPVGFq(@InQ`g$z+$mF9NRTPXO>llJJfVIXM&Q1B%s~@vII$TE>^cq; zE?lP3%}jq`Z_C^|o5TYJ+Z%E=STmWc;SmQoYg#_BIu6=GLsHFH4xoWPVvWTI=(wNH zJ+JH0a$|p_^4@*DOBEyeqeA`>?#jacS1M%w8&r#(ko(PD2~e`C+ntYFN;=vr+CwDC zkHpZl4!|T82(j&B!}xrqbwNI$`4f`vFmP$Ii|mSnap5ekvyq$HCve@*xt{4=%eHj# z>)sfwdpgvxd$icKH$0pU?98t19T!AJ4BUwGH~ILT|)&VeWIZzu^{nB3;JLjp5!OMHUeJX zFTk>7U+$95bAyhNIP4fv6x@Hol#~Q!D{8Hxb}2EFFU5#^w#uY&QCT+)K7(h@W*)`d~Buc;fp=`<28??~JfF(y)vd?#c`M6 zkAB9nEQQ#(RUODV_lxV0ws`nvdqCU=5*wsO?$20_?H>WT%$$FrFqM)0U%V1a9Jjo( z;ydfF^ywA*kZ*>LNQ7OG3FM0LgoYyCos`L(Rt%*Zj9Y6Dn1)t0W9wCJe?hvpC6swoa-JO zcxntAQNqpS(rJ@3QH%^fsODj}iA0Yorj8_%mY4n*rxm01v;QFYnN)bRp+B*JGDIX# zt#bJ|MjV>r$Ms<@74_HUIRHGgM5=@w>W90huBixl?yePQ#!vfS$YiM(9J>$%y*Pyu0=?M!!n>JqD605S%cQE+H@N0V1xH6m zoX^&=fo+5gM%y@yENq)iED zH_<6jZH=kEVus@pVbH3^qMC`IjtX67zWyA2kdoR}@GxpTCX*Ld{9Y`U{k5ss*fwkSX5 z@_dYm^k&Ey z%$$FzFydc4l!zjyJRT$ZYmNC#QQn9j1f_&zpuqBNht(8Aht(Z+H6+_dBtHiMGqh@A zDL9QpVigfkw80B^%32-$uKO2l9csRDnMpa`9BI0itU`@BRGLHfvtd z?)y1GD*sMLOf!RmU#|HF!Q!Y+jkc5KSBm?pT+~7lbygE&Gz+jF5O%Oy1W(uv0X3(W zlb81cw3M6_u`;P}S`f%=olszrys8Ev;;0hQf>%7cx*OO??~d#!SGPJbz`JIZ`U-_q zgDE@GEl95r`Wd!yK|$CYfiXfsAG;3~;hF22>+@YWC5)4#YI$+(H5?0`A zjGc3y=+7KThA)P%Yrjs8?`<~{*?^X&M^<}-B|#L-Q`zh95w`ET*dI$I*#16`{Y&_t z{ck%dJcTuj_a2>hzmcoSi6}B01Pxbk(HnRwwqDNxmZ$FRZy!e z6Y!MH$s)4U)0#bqc)@AR8ylPhePh)fDowWSjnA8RL%Z|98e6uWu5VtK-p7k(&p&>= z&MW%dmAyUh4sJWSy0dj=Bh*aYy~^FI%>wt_S~-@#%HFF22KaZ@22)NS50>|~7G4~E z+_}`FTwk-m8Bz)EtC4cN_}y+C=K}Um9v=5XN`7X3y8XP)o7vgjp!k)}VIo2#ISeMb z6GPC^sk3NQf$VEpTSLF*A==?YJ3WcKVs+{)54K`)y``~ueJ&NLfD&Qw212@++XM}b zE#*Gh1#4@9lG(f%q*fv&Mu3ED9fU8*f@-G%4`Eo)CkEP3lfIAE7>k#o#<41uzKUbY z@c>n478TXR0|`~^Efx&)76vS-t4Q&(NZuhv9$&N80oZ(y;5}GmE-?yrJU>R;vN*QM z@9D;a>4VzV)DD&X45q2N(vabJqS$T9JmOy^E(zN{AS@8&QDc-Mov_fv;-zvh(bh9f zUW$NV>+1;PAIIYz`zIE(zM}Qcr6jVVSrpGne25DxHb9h=(UkfiWn6f+w)R5b3sPka z1o@+1`up_z|2O@wuWh$Ab28B}H_@~DW@|B5{q15b=KOt|j6R?EjsDLk52&lK#}+_5AM%fQ8hX>BRG?xU1=;+nLnc|2I8wH%R7fB|h$SM{m%Qw` zv|WYQU8dv&-xTd4F7i7x5)PUW%;!MbdMvE3kfME}&noaX>C*FE&Qq@K>*gt#DFk#p zI-PG9V{uOWa_t*fYp0zZ?i=4c-^VN6FV1fQRBnMxy1IB%(IwN&wmcDn`E~9DbcKcc z%QO|WOu(A7g*5Fl$>BN_PfU9bieg#v5?VnrHzdD;dRVp51g-O!tHR}sZ>iZ{UhH_J z&T>JWSTS-js7SOrW~LqK-(C~1f6{!Ve14xob20#U`MCUeb742rjm`RK{Dr+kbHL9! z4cCwx6j`1sz|VKuM-fXI1GVty#s2N;?4ws~WY+bbJ6MmJET(K7v_4~q*4(0mEOrQf zAX5Um;cE2IPC({rvBGXwd$oZ-0Sh`EHfn5ZF1kM9%{mA;RIsLWnUu*s-nOAQDo z*Ynp(atX|JWf)}<=rr_!>M5mz(%twgj?aOgUU7*9cAi#7kFFA;=99#7ym>X+t+0xx z&iPth0l#x)sm5YLe^kpq!em+4{|(<9yCL^M5oIVRcFoabasxQK#%tP=i-n6?9mx)c zt5Hit#rgoJ^8iLpE+zp=^|SaAG!nBZuPRTATl&hF`T2C*yC-d}YLeu>F>*27%=-Cp z=st$t$IIjL`ucA7VdC`hRkr80qlcZ>atb=@ac^t8y#@OYv!Gz_0SJ6BW8}%ybv_?D zPcB$rb9QAskeOOdNXS}l>GDy79@D?~p36W)Dh94)BxLF8w%E|GG(@z_&H_g+k9$n3 z_)DrrQq)oiva7fY7?V;pwh+*99U|5VG1fzi<1p&Au7AOpHnG>&ZjyAB=b_WqJeT2U zRi;DH3}#{$5~CG?rRVoABGHrFF4<9}F{_hXuuiL#Q`0yi24NI!Wp9((nvGXofZ>Lt z)scQZBe@CZMsPr28iDU8kst}@0*m<0LN--N3pWjv;`EJ zVMeK;glw?}rTU@$%Cvf)MjVZ*pSilnfG=&6R3kaZxvk&Kd5KpH~ADDnbqxaczdIrElTYl<$H_zfM| zt5ug@b3zP~1vrIBwI)G7ObW6Au3u?};Hr%DUZ+?%4rPZDBBpC|nxKGZxr?W+Gqjvi zM{%?0TKe?NcxY4)#GnJU;vAhcp{du?%MXk-qEOzfDCJ;3rMRu5z|Iof)X|8AP%K&* z^-{g?47WKZ>gCd#>s6D7%)RiqckZE=7STrg)X<88kVa7QQNXwiEfwh;CUKj?Ip<)e zx=oSbyon{1CGmv5_cJoX{p|Kcr_C!6TJB?W;-tk;-ANIZ@TqP2vP`XZ@9OFDd|Syg zAr;US6je0%yR_B=LG1}^VO*I2S{f>D6ZwHELZ8m*WW$LNVghjF8y8p~M#eN)ptSb5AM^?yM z$Bt{v=XL(phg$Ep`y0UYFw zm-%h43s2>#5a8kE-Tdp&#N|Sb{@au}@ZQSR<;uZhDdU8{?WIxfwpW_%|9T@9U))k(l_#L#OT3V!-eRDwv6n8ZL{3lHI1HYRb0bwatkmH| z@hi62ZrEW&ix4-0Jnsf>2IOkE5yPl)7NR#EB_Ax_UnU$zREU`@&Jy*|@{nu{Lmt&2 zP{hHb&LB;H60XLrp)9pU;p>B~l)os*M8OUO&pa`_G3w{@f62np&YPi6cdssAt(LSWIOkXw*AK-9nHG*+Zv*hl7k8&dseo_3R-u@ZR%kWo9x&JkCB8?l9#``_^NRhtHbBydO zJzp%P1X^)N`4c1av5ARpbqAk`umnr2wk`~{n__IHFjAW+sbBAIMctvW^VH(TeMNfx ztgd+#ZI6z1mzDMz+3k)y@V@=^o8lW+wGZCQvku={%iFIepYhAGw@A%!Wr)b$Ec;@n*Z zu1gu8zIF2en_HM+7>JI8@Qkd$8w}l6PkAzO#-D-l*4y6=JjLw-OzOG0csVoVDyDPQ zPUVrvcPx0a5jp~pPiX}Tlw*16H>r4Nh~Tsuh8_>~oxR!Q3)FK;Ls&9^sl6HHVoG=kS0wjk-o~yE)jh#x}kolAq;) zCpzeDO+#bJr-H)44PeAIOn|U*t1zUu&12Ih$CC zT|DEfUPsdXWJ60!*ZuI`(FlbVt@@)}{t-mW!uIz=Cze>v_kpwTO;~Pr+eusY@pxtg zCTe+%POK7)HG@PQ#R2`rylEeF+=3Y_W8HQ|s2;9`;pt(=GeqD71M^AOLd)IcKwt8P zO*l8vsz>Ly`_*IdjSZfMOBcZ6w4O7Q20n5M!JS${*X_;n`Es8JTX$xQTEyk;;n>-6 zM1`m)C;v%z<6_B<&H8H=e@|+3azdZSQ==xHO9bW=e-83zKExF94*m^ZPJW!~l7ed; z7dy6P&2PW>J$tx4ozJ^x;|F`u#san`eOrEMQdX5#Ss9cXuf^W23>Wdw6ip+QH&RGOp=0>KZ1 zaP!iff)3;GJQytC@v!NJE`j2DR{kZgrEt(R0xw73OY;#1Q(_@&Z1rGs&yhbh0tX)^F z%i~3Cou;ef0x)e|7x7I;Z0-;}_uWy7cG zlygi)_$NXRKMCR{AjM^m{@rcu08;Nktga&_}LMuRPpis;T(V)SSG9=yc5P|rsl1VwFugbyet(PX49Hv^udkkgPQNK|#Dv#D6N za6xlMQp29KQXf%k9P!ZAr1E7<#;cd!bdsCn*`(z65lAq5sBon!PzCTE0`H#o7Ve%J zvZ{29*?gKl@*h*2yWsPF>%1cD1?g504f~^9{t-I+@AT`?W5;a1FrozO#%3DE{7Bhx zZ2Bfgi2+Fz2tm_MB^#kpE(FE{hKBqTkw!$w?*?~)R)Cs_xGR{|k<`*C>MiZfj7ma4+wD!u$HDe{0ps_uTG$SH}LyS|(&_61f?$lNAxrx2O1aw0moC zWpW8TojBaQYW3m8d7ryIBSB5=N5}Q$T@Enn(d~G{{H^`8vyP$f|-%(m9lVA5c5GPwH>TWRkxwBnnO*SbYV-eYA4e# z97Ri=k1#9-5>U>>lAR(3h)6{23So zCm`k6R^*9o+NO&)DB6`9f=sixK&KwyDtNFLeWrs7TxhT23F1hxm-{v-9SWx*svD(9 zl&|%jlc^l%T>U_OU6SE5`WQ{CHJ*x9D#|5^F z*L%-jyt`Xku9w=f;@6U~6tzVIWo1*>mQsI>B&kRw6>Ng^$z@ouKby@8Z$Ke@| z{S-bLLAk~TCY}?~kAXQgfCK%sv;rreHFubph5iMj0$o}y7R*GDC7i;DVps}JWB^7X z-$2x!F|b`X*%-7X%}NTj&%{|q1S~J~@iT)oQSZmABA!)=L(xq(;1nkL<@O|JhOds> zKn+>mh1K)OY}3NoLV?Djnk_s3y~fTd_s9FQ*AIFifHKkiKg#9r!`i=+8eskVF%(|x zL=2iB%HW&e>EY2)%wgK6{ST^0p3@>9Y}0G&p`tzu<-hrdnIhvau8I0p`nvA)F%J9J z3)ZN{-Q-j3ujUFM`#MQ6HK`O>?;J)8o8=LGokravm|&wqR^;qy_-aa~AQ zH@Pxk{??S8Y14yXyIUb1^dh-U_%W! zapQD*XvE1?{r}MR)-iQ(U6^licX#PQ3&q{t-D%O{?rz1MgBLGOaVf>2xVyW%d+|AZ zGxy%SGfnRMb<%_oLe5_&D{Jrld)D(T0sd#U1KpS`mC@0`!fP>C_jw&%C!AB_r9ndY z3bG%x2vv66HMG+}_vP^Aj6Py*>I~ZEGQ&kDAWtF>AfG&z+$pAVBliO)lVaSS8k(Vb zEWBd{7ja5b=KySYjlg)~>{XYwI5ulXQTh<1ppR-*lD#C&@>Qe5oKehBO5nY57_&Vu zckPgtlEtZ&c0tZaf;eX%T>&&cejIB55+e}3EVcnzlY>?$R4Wf%ts4>%Z+(3Bi>a@o z5pAEQl5yVy^Ct{qpj1jb+bGDN}dv z?dh~x1`Yn0y2ahYn^T>K!yn$l#eBE_H<& z#q9WT*&)V39=AWjrBo!RR81K%>~y}L`L&NH2tgy2D|sZ# zC-ag{7>`AoT-Q!7cCPf5~k9X59a z!|NR6;DXq1^?Z)MLS+BQq@^fli@}8X7}Q^$m34f5Vlt~Ibq9nG$@CBsRuq=b1DAr@ zfU(;S?W&SC$A?w(AHYNYmXr4x5?*5kJ8wLmel*flx8h+Vc+n>1!~4|*bQj|8SwK8^)i6}5C_fRIodJmRnmuy$zr%&;Y_1Beve@}tm z*LpRqVFZ)Vbn?d48M0LQN`2GM2-dS=qF z>_A)|JdEBa9+5ItQ~<&if^n`gpfCoNFa}Xve1fci5p&woDJ~fnivIHwrt=nAr{JJc z6_$PxLkxbO13c+h)C71$QM?KcQKQgYbFuGHeHxD*5SxTK31}AIiq)_%2SxKVxj!N%m;u#%IbqtF>sHPSB8Gf2+VtQw7jN)jnJD)>=SS=qV5j)=k`TOFXXibWy9910oC!Rm(96eQ+VK0^lHjDpaDBq$hOFY^W+ zX)xa=|Kz7id3bXmvBnz`vN!2d_hMlkm$g)f?_^qPLq2()Z9cV}eu&^vu%%yaczPXg zgD@2<_}$UX`FDuyA0RdWs3(D5v5%jDIG!!Kiwvier$NGE-Py&o@`PWdMX2eEkR%`9 z$ba-)hqZ%+sG3!h`Ms|R?z84B167a@a!s*M+sTaK5QGA5x1{(3;{!y*YYVnGGQ! zKdD*SP97rCv2d2vjUFMu8XfBs@{p3$HngKM-hgU1p+42ZUHlf^n0iQ8rbtfOgPN!${V+y8}>z1 z^`^8y3Zz(vH?=xYwS=@3=v0c`K?EDBVG!RZE}AtRD_0_YeSGBkZ(Z2=t$*7c;Ud^j?rLkg+1GH)o2-LD;eoVA91(@mck z^2kNtWetds(MO8&4oeO^GYh}Azkw)Z>-ny{e?D%-{lNYHvQ&UDPt1oGAB!qr!|4ms zL~d6E1(dC39==RL6&NfSdiE!zti0je+AdOJK6Rn@I%aZR0LWv$6V)7zEi%u>q@X0f2HK|0HU#FG$ttuZf@i;leRd-=vedtbKwmtSXj@fIV9 zjJe}?%ymn5U+^+5>^`qtiWx8_qQaQWY6NYB2^ChIO_vK(k>yNYEcL2We@Hcjb{r;h zFC}%f2)Yz2_+gIi*q`n%8?T4m+J~gTO8Mf8X9s`^^ZODGE z!Q>oPk-A7AB#}mh zMxmS8ruL!>uZv-S6BCu35zKOdiOP^dMWRvl|V~5M(nXF@(Y<2)Cv9iEG2Q zHBifEcM<(RjxGLcqvk8x9 zI-8PcKPv*>-sLQU2`8k04&LAvx8(noH1e8VcJj(or9xND+SYro>`Gm)w8iV@z>0m( zCc3GKWVtQ=xaCD>MHjoSEqHc!I_t6`B+KOFX))?q8*}7R(<+!(QW;K~rYH{b z+}#Mx@WKq9hXS7NAc(KZlv0`O6#5PD@`w-Kq+-kEM?_4`kBmsyf%TQV=jY>JPD}zi zUh^gI^h2R#gbBdlxE5$Ha&tSE?Yp-Uh*W}M?uLxDl(r^a7N&-aXww?Opmy zX$RL$aw188{@4ViMU&!`ut-wu-cSu2^2g+C%-_UeY{CR%sXq^XH8zi4PwvL2guW|k z7p3XoVH9Fr!(emh;H3!r+#ab1iwYKNOmP-k(1Uu3t0D;(FB`^dhL$n>@HrGJ9IHpa zpk@C&&|D@hwg#%SU=aO-!-n}-UusBKdfBZffvx(cM_cwR&SYX%(!W3En7W^hj_DTs{##r()$b~jpyGhc^$AZ3r>H<+1WvzD;B zNqG;vi&8)&*y0rWIAFhout6adLAxzv|1Jf~FHpK?(xNhzyITg?UDYHp$59S{gY=gw zDhqF(yhK!6_kRgV9JoN)~L!dCg8IHn1)X<#1P@i)U^BotxGBzTl z2%qN{b6GJ)*)O|rguF6zRPsVU5sSWkQ)3boC8saw!151m<$uPa;Szcq^3IN$m9w*0 zmKDqXdlDI*kUR?*7X*t0P^&UeA2Nzupj)`2D@?mQ&VOarP9XvY?-kQT z=2LZ;0Fg$Xy@m0W%gvT59WkK+g|J~84$NWMrz6Vosdrv278)hp9`kgIPOUZ%Y6la% z@VKEwQbM%pcykHNMG%Re;}rtUt8Y}o*lsoS`&7Du-)j)Usz7tILXH-=D-7N?Gjp!P zAPu4VYb(`LqjxnIC))XEgHenl_y?L=lilM&$RkN(BhJK$%y*B<@S%KQcEPW7*3R*1MU#9- zTM+YIuu05N2tV5?LHXNXuwz6y0an`H;} z0z4jU0{g4!#~-uWDtev{_J*x|9bLwn2(3R7UzvU7_TgDReOft|4>|M|2X(wM{u}Bq zi9<9eO5!C0!L&-)eZnH5R14Vt=w(BqA=a2+4Rwv1SIoj;ie|c`4Cz)p4OV2@Xavj? zzL-i3(9e^Y414hIG+IDjGh*t#KH-4bh<6D2Xsk1=U6^wHEL-i8(sXdfY9TAM;uYMY zMQUV{J2+_h(jU6A4N6Wduq;M={Y9WLmqy(EJl}T`N$QDN+ zn!=98TbaH9XZJHBkA+oA0dRHAY(4G~8|(hFE56czYiZ^PTiQX!@k6o$kerT25>In zB>rL~{%Sn&>NV#l@iho&Htl8#^gH!-`pfO)Yr6mCX#DN?m+SGQ*MJ~U!2e_tNDla) z>Hhz51AwxCfS`2$z3#xR7T=9J&-rT4nTGiFh0))B1%CzX{t0OVJytA1;qAe`1ur5; z1*(-*^b>}pnRmR73#k3QY#g_gWUAR$Z5xn3o(!hj>mM zd&H&}7UoyH8fwoUtNo`>6-or^O7t{JvI<{bMOgw~{wrdVMuC%vin?8@UKX>{kN^!S z&XfYo;VD^VBNZ}0GQ2jx02RE04$4FjJdkefkp&hGQXd|cDDY?KcBSuykFJJsg)8e` zTZ?99alqJhxf)6Mo}4G0kw~f*eFp=(mJ1V zK?_~OgcEr8TTYU2*qR8*HHMg~VtYTto9{Spa70^;=ZGFTEi}=4v@GV|@E$MGYjZrA zcH$`9uxCBv=)ds`5ZCx!k#PML9Lvh_2S!q0i|v0-aDGvTIv$>f?dv<2+Yw|SCM-ZY z6%~j9#n>+TQ$R2y+@Bq{w~JB`SOheS%%8(S=hxneyb7PTSUGJ;@j2c0aJQsirCz!w zu-{xR8MduB_40Lmy#^vTPIkgfc9!LzJh?SFvoB@FxRh-6PH)X`??+@&Jq;>6MZ`P_ z6h5@cXJ-1&l~5{RpSm(owzOs4`hu1P@cXC|38a%)gCa&Rb59JX%6eKXnmGv2-5uHM zec6wE`0Bk`sM{kk<%R z40+-$gOj13KUg&R`6cBd19+m{8@FBxVA&=Du|7 z21EJ_Wwilx^FcvU|G=Uo)`1QHwK_Gin6!(6HBBlflC}h9{Sm`Nvo2`K`ZM;v==J*q zVZqez&HNFDE5gG<=%{7+4arMyM`=>Y&9=G8hv^V#uN^2oFO2_fHqdvxp?G zs{`QGDL^lJ1OLPAh1Vx-*t>_9-xl0oLA!rqa0kT7$$SvP41Os{dk@Mf6aFd3cud~y zmvYI*GV3+9C~9j{PH7RSPNDkEC>z05`J?j(`0hm2)Yf>rQNxk-Ar{a(MU`;64*z<= z<@k7JI{O3vDxKa*lCMp2+xzDyULWuK$BVhAhuqr-gI`X*?)ENpWiic@OA-7s23nQ4 zbhr{K6iV1E@RTc>K?y2fikK0Yc!W{8OfE`~(knk<7Derx+nqVPC?|?lEbiZW?$*p3 z+Bf}rKE5(C6m$d!A1p!GqJ|d8(o@?eL&s8G1h017a#1t>LV|c9^h{GRaAEdQebZ1C zu~>l@argdYlR+9wqndZ&4y@w6sJ((;RK$dddgS@87=;F75m=M%?$GsX=MS-1#CpBpQ9 z2n!Q4Yry_woR|ufFIcMi`k`NQUEYAX?(Vcdw9D~K2sax6pE=h3v(H35K`W1S>o;qg zM^ImSv=jTSPlW5QFj-cPem@Zm0nDiZWK&%;o{}^Ox^9%U6Fvmd_DL63$85#e{0b|^7NM7-QC{p-Pvfjox8mo zi|6&N*Dp&CMY-FG!R76%wbi5R;iDp2*OH674XaAd#XGK*#^>)UayP~O&)fSorzGq< zxpGM+wzC={W!ct7TPikcXkTj*2!^8QyymMKcL$dQv8hdwG}0Bs1c^!-!Laa^%W>_< zoZrr~C@y^iYY=qyb|QnYlrJD<(ZnrIL)x0#a*lL>XOYut2~rzM2rZ*yrGQlrpCirn zfF{aitxR>uA=U7IyZtsre;hBCTGoPj`moeVol6a8($66%wyRH{u0=9TRzzM`T9;a^ zh;avqYPrQOQ8qQ@dSO+LCqo2P$8{z`$SMLZPH~-}?_eF`O%qy`Xr}aFh!C7ii=$W| z=07~vn?MA$=0$y?YEf%kQbvYcA5ZW17ELC0cc2;04OOMgj%Bm?oDt z9f4Ki%g`y7oS^z+4N7ClOiAy^0&$nSTQ*r% z`S@Yt=XdUBdKQ{M`#tz?d5*o8`9J=+m3!Fz0Ae7%EMD~k&pJ51zPGl%K6>dRUbg{< zCni-2=n)sXEf~F9VF`1zJTkaynt`QAQPrGECWa#DXz@ZQRjBU_0NH&XQey@gsCsk9 zeUkFsGf9R`VR)kemDxE`429~sqV7CWXbeNn!D{vTJq?POGK~n z!tMDA75t|RZ6^#=o+bT<(@t)V+`6NyY?mI6KJ{9J zOL{)_O-MSGV+QVtF`8r$H#pnh_)lxqx%x_dzD_W?V z#lBP5+@m=ASv?^bR=-Y`)S?dN5A79_1X|r46yi>btc4H%h`+9cBm|+$Tu7#|1hyDU zH%SN;u+?g8AG5i@Ku>x>e&JGLo1(FdNyDkS=`=4=qMl40ZSx5>C($8w*Z)W|Rc42) zpHvzR2l3SE13f@&DU4kvA8&$>n}bgOW#&VS3%Wks7$S}TPN-S5N%L1^NqB$+1TI(! zyhFrfN`Os{Ry468W@Ph@uHkp;Z9pt*XI{ZFi;2A-_YlIwdzDXN++yi~l>Bd`aHrZc zRlgXOirv9ucZk|UH&hV{{6eXoLS9ju?eK%_Z|m*vz+J}wJ{SmuQ7l2DTtP4SZ6bZ* zN@Glh*kr(~vfdVFPmh8O2~*-Ksvj|;B9wTBcG>TA!r;rr#xepWJx`1rZI!0DuBW-Y zW~}(#mKy5ZT{oZ4?Fyf~XP%$No*S%uGrtQQ_^$S9=nWiJ1n6ZA+LElMHlMlp&*fk#aWJXHozrm^&IwBC09{*cRpCo-ksSArhIRHSSKf>Ul)9HrdF7D_;MkD=;AZI;IAFXF9EO_W~uLG#@?t{C0p4(C`q{f zaHw0}LJ?q7SP@vBJ6&36bbNR{%!*dUo_<>}e}&Dmvj3aZ&41pY2tiH&(92Hj1*PN4 zNkc!OQK(v)A*((;BZeK8jAaap}`(+c*8bC+wA zrsk#9)>U6m*Iz=;*SEXV>kme4sYkY}CrO_UUY;8HmvmMcdeSO#kG(2&5J7?Ib%WzJ z&J7>ro`;}^zpoD6r`?^MY);mQl5gQlL%eSX7SWEYEs9Jhl}4RuCqR1_G(tBg0`@31 zC#B)2+=H7LbEJ(&&%U}>Dw?m;&xDJkA*zZ8L+-O^YE72ZCs)OnvQE(0(fq*Q8Yz|* zAuT|o0A-;?qtks0X-mMp& zfjA!^enNI2C|bpWir4eqIWR{RHyLwCFseB!FXqH3u(WaxDoG?=ndq~zrJR%@N;9g` z2+2+_P`<|hOBkX$r#_9b{64tS*bouJKH14xd|nW&a*}pEc1K^|q#WyQFixMtge89N zQl+feH#eYR!hZcHGMEBC^Y*AvB-p4bex&8sQQOaw4^F?WwZFr78UM{V_dhRAugTLO z2jx@79RimJETDl}G&gzANkdIzc4#Cz{>iRpu&2^z{e&{-{W+<>+ecWpn>8tL^e@dT zC&8H>I@br&X+GYS4Xw4$KAW8jy@#>3y4b_3i#zV)ymD#1r!UV=ddb&^)gB&ubf-JJ z0>QOXSRzf8yt5swF;=t<(niYLMTSg}WN7e$;BXi@PM+r}R1%^ocH%4MYkmQ;*~~GQ zll}c$mF3z&T59T><9oBCpjX}ep^M=eHdlFE6*!YStwU2|GcKaX3QyO{v3pxD-sJ(j}Heqb$W3kSXGybahy<=7k;!JyecGD1rj-lIARe)wX9e)%SLA1O&JNXLru zGZS4X7P7{%1t;g1EMmEyRcTCM@4Ka;w?=OD#Zquov2(z7vRM0z5Djs%E(IDovjNHe zHvwNG9;&bVt22_0h<~`8P-WS+mPc`{TD5sTysnQ>XB8*>ZN>ceeD>d{0_^|hIQwUJ z`hO=WNF8o0Z#oa>bUkOG0~NVQYeU}(D@_X0$oai{@q338sA`6h(~~2%(HAu~L7hE- zqMt75h&#-G*?M^r@nn$5S6U6j-=H^lKlxBNo0au=U+>9w^1{XQCCl)7SI?tOA#+$E zOE2TPoGKt~e{lO~x)_f>ZTH$OTBCKkR|?5Bqa+6O-!od zYt3EKPWfcGO5Nxw$0~}vFdK&Il!_me4%Go>>iDp6?mFw-M^mBLa~ zV$lQIZUGV1&a;I5vKvx#wzFdST663mUu8OWQ977Nfgn#OeCUMBh!T~i_h|9J520r4 z1~iH>Oo$u=DxInEsfeOC3)*kG2Ur={Q}c6-5zgM2)ggg{Ns@7=i1~4o>MX_4C9+Da zT=?MvKLR7;RqZRzh2!3Z^U`uksdN*b;BOcg&gBUrY+;ao>+)+>?4Z###MaNmsB0Lb ziNn*T{H3Hs%L6AG);y^Om}ZS~AA0+y7%GB`=_eBEotx?I>rt3D^w9m=V*Bq&`2Q&e z{EJ@1%KZO10cxu1?aV=9fQ_-9xtERkXtBph|9?V(Ud4de)6uxsLI3~Q06-)D{}BUH zZl+(OfqsEhfmie&e>MWV0&VymPk#Nt)Tyq}?W`6fAFfslW*2@A~oBsd1^!$Gty_NmXGzscc3D-8v7ZE{NVncE_ z*rw&{>17t48XM;FfpFY0wx;TO=}-^UnAnfJ@Sh2h`VB5TzzuJ6slzqYDs&SMu4`Rw zbt}rsOIHYSjyKgF+?Jp37oK^oPpN!;BcGR$d{@;_>V|I*=lk31X*Siv1IP>V`T=5L zU`he@LrTh(UjY-J;q*dTC2sg6Mm$&Fu40^1BAQ7MB$?YgI5Ez@Q;{?glWf||n7lhO zbZ0Lu*2ioT8z9YZswqB{E=(7pni!zOxAZ(E>(Uc3 zpKOb>yO^8$QIC0;#T~Yf>$--R`Wo{KcFq3P9`3;Bmrq$!E>ZflR(m$X&Tk-_zv4>< zq=w-~RQbnaQ~|>@Mfb#rbhmQ@*9i0n?4|>Av>53PoOMnWZjvJIyzbpq^9AKoswzVL zL*X(gfG}!b;uAxYy@ZpZ01>QeR#hLpqg!7pwH(?eR(zJ~xx9QEt`F=kyB8CaU%kfT zX5wJKuaLh2XIa_)O}5`ZyE38Tpam%L_NiUUmCvT-UTb-JSsc-*1PwA)Fg=CQB(gnU zU=1a-Bvdwyktn6!6)U}KD5AZk4jNg^{^XnENEt^(a1>wZgz0-9?XKZj1qqa71|MH{ z{wze^h4!rewUfnjQ0nbN|9LZCO5IbNfPC^KXyn#szU9LU@9NM`Oc2j zeALXc+r#0e%Z-7(_x;=rNySQeL1*{0zCGVZ=S^qV8^0f`3MY%tjReE|ZM;JmU#p-A z>~Y{s-NH;E=B?p-vDc5}R(5m3aw#K=Lz@=pH10A!sUjO!i(n8snY$dPKHB zX4iUZ_$)ac*-z5Ck_@<1M*L5Wg6{w=%p#_+_Ci!eY54#7eWPLQ9u+7Sn?H?oaE3J%p1dQ#6vh(KxHTfk}5(ma_Y`& zJ4P6N5c_?Z{S~N3v%3YLrhA>vh(9lf@Vr-v;YHZ zD~K>m2?wG04mIv@Nt(A*-3}%OPHc^iS*z*tGdy#)r)?gS3zpWDtv;HwF=_YD)val> zb=#9Jm5VN}i<<&%AsmMmOkDI2%eqNM-!50UjI)Xq088@dh1h7e8K1C}DGbojDT0|| z!#00W=WsG(l34^9WCzPJC?roi@p89AmgEg}+i^bJANN{J_d6E$Xa&>68@u2VrLoEZ z`Dpm{Y1fHbVsa&6(-84QhV2iOvo@Tn5!XmUx((HJbRKCVz!bl{!8#Cn7nn5H!4%xj znb_@hv+ZR;;3N75jvY*E5?W=3?mToI16yC3G+~WVGGk7(gV)K78rfJ8_Q?=-VeK@^ zgSxPboPsvp!z{G0V8!LKG;KGlXZynQ+EFP{Y*m;8K1ax!5tKVm{<4Kstxxo(9xb!8 z2iRc*g*)S?_1wEIYJr?>MH%w;!NqZ=^`!0b@#O9hXY|!5(o8K5_4_LMJ2dtWmX8Bs zmTfSYP=oXshZl%n%3SBK7n*} z7DC>%AQL_kmvZrk2zy^9{`$kPKy)Q7zT&w@sTibUc=axQ1M*95g#K zmwy|z>_OOFRY$ubL%6PP+YMiW?hpbV5AQD~+5k(=9Nb}{FDq%KI!_*$f>_gk(C>WO zJYAYQsMT?5^^Qk?j|lNzDo&Gaz=#QzL!>V*drl%?aL}Yi$iNS_xTIZMts4jji@yE2 z)iw6k@7kQ++E}a2)!vom$ja29p0Z1{9ahZ`(g)1w99`n%z{1YUEfRk1e3TXo8bSX% zSOe+#4AzOLqO)|RUL!QwW7u|;E5&DQbW@@@=02{{=&JXTQKivSRkCweEBS0~wJ8Ns z)wAY$=^0DN4=_#iY-Cqu`p7)%4*VmU-3~PHgtVj)pND`hwA;m1&UDyOzk+$42n(t_ zY9?+5V;*EsuJ@k?M2$TzULUN#cElxrUnGAAz_S0F+cVcclI!Szi?8h{I1A-l*zx(V zdWlny4{PBvAbmIN2P*+G4JK%zU%gNuWUYA+yn^Ca8uL(Tit>~wAivhkudJ6_*``Z} zntF`MMW!aTU%!5|)TN(fv^4RMoQ_Z(-x=BQ+xYq{Jw30FKTh6sJDnOb|6;So$qI?c zH65If*tT-I-k!d$3ur5Z(V%)Li61|mznngQzMkdqmDkgY+p(+v!mBm1uuaAUK(cqg zy6ScFbPmM}eaC0>;UIO4N})PC0g>ZHkql|UU;nqh&c8WyH25#wZoSH#C2m^iMl z;Z*IQNHqr-|D5 z!y1j+Bcv0h_dmk;wh7cI3Qz?pE2oi+l=JzO`qG%xGX7VR5)!?7&wLV!s-i0Z*T`6q4ep{R!F zyRXxvcG106U?3QNdncKRu0t(H|L&diJIe*=ZW`h)aY`+Y)D;!9V(&Arxn*;i<7J?3BWdh&kquH{Tcj2LQQwbwc* zHOaEuh`jB=V;2^m`%tAFD1`urT~%r370P8etvVDgB^3D5C$kVwQL{cyKf8?GEy2Un!{H+~ggTm7Sy2N9hb!6J@K8f#I|HRkWDis8 z%x%5!c91*ix}v0iMX{USfYKre*pb?Az5`?i$TvUO+q16_L>P8{wop>+Y{3qk+AGQU zA+G1^FWFTkOy6#q@ zvvYoHciW2{5AO;rOGFz{D@4Gqy#tb;v^~fYVc3}kUOJ?7Yj@fdznhiP@Bx862|!7Iz0B8P_+`FkR@bsZqe~^b6MLc~Ub6O$p z>q~4~AW=7&dwsQRa`XIr&}qW1Er*=E+PHo9eDC=(Dpr?@c;XW|Gr4Z)W#@elJ-Rr5 zb}@OfnYqQP(V?YFbAYNon+hYO*8g*?~;EY%{j?zch# zc@$JZ&@ni}%|>Puc=)U|6gMh3%NNiz;dhx;WMe;;eJVMRlC10T30>h9R z01e&by1jK##?ILm}#=k;sSvddALf3zCet_a@Z$f=8`9?hvOi7J~ zF8*!9u8t>WdC_y*KM0Lo|5Jo$U-~C%I{ENDawx#Tm?EX3z6tVj;iQ?1Nvp)y(#!M7 zorf}%Q+6LYyKCEq`_)q7_v*JbyG`wr*4MuZy&Atg>!SGRJ=N=GqTG0DZPcty0^NdH z6(v8W0zWwoO4)lqk{|4&PBdP(Fx zsn`QlJYCCUP#)2}xD*2#XH@`v;eNgYrqD*&Ku+6iNo!KCM8JOko+;l0KT%MUc06Wm zQ9+*t{kofryqf;Q?3$K|_IZ1aAalH!5+x!t3Z*<=$x_Y!j(s85l1*!w0)N}QThk5s z&o|;+VqL%QMgKi${Z~}~-(-;glOF*T|8qxr5?s((WN9_Az3~Wm^LY&oE-XZtATE?W zXY6zh$1l(NYj%#X7Z^YY&YY&1Ce^86x~*?@$ceH+b5(#=g;M(^R~`o;2O zx0@}KdlfF|n)F0M;<36a09rs&QP8<91-dV#DR>0VW+AiJjGr=u%9Ue{$!pPmOAA@xEYWk;YVq z3eXK3use0yG@5E=p3^+SkCYb+sa4U5Qi?FE8YkBb#kZg$fEf>)YwZd#Gp_rT7Hu(v zq!pp0U$g!} zKclhd6CkGlI<|iUiu<2=UG{r3oqP?N@t;Wt{pV&X;cOIm{<`Tm`F0w#5E%5ws}=C@^l3eTWOF( z^l8fKF>tQ~_;uXauJM8YV1AB!n7Z?-$X)WwI2u-e7mOtP=RL|2LG1TIM%c&SY>?IJ zO5`&j#uMvZq3f?A6_(S-S%GY#vdP_uYLF} zg9FyAVA;hsX0R~fn|4t{kVlG;VT&8Q8E}|l*V#^z3QC-WS=j9y@194D z8-Q|LFH7Q&PCIvErV&vjQ`;B)N=NKDvS{4QDL{a1abV4)c=g4BF^FZrQ&FMolGvCmVjQ$RM)Cs2wWL$ra~8l^@(}KzWu&P{t9np{e!eMOvOdeB0JP4eu^O%jcf08ncI&u zMJW8JQf^G`MmY18@c6%AUxfSLhgKGO1+aWQDewAa<*b|{oAJ#tEtzNYhZjFx7TbJ$ z2Ot0Za_bXoE6?(=Nv_S0+0mXSuZfIkUoWreQSmkgGk`= zhljLHUk)nYa`TM$46CKs625ID6*v;Em)@y*SyUwv`xjo-_slJN&A9nA>qc@;5 zyEgARAB&)lr2+lQ1YV9M9xbg>R=22H6%i_n*Y$N&1RY2d3uZ;>R{Q401h$nqQeu{3 zhfasGB6K;_*mN_{0X-A_se<&vAIYeT$aPf1lcC9r+_JwWtWhLfk!w=EMF&7$DDzTd zgQnIL<*``-?GJFR0< z!b+C}t13E3iwR^$!&OD=RVlC06bD8&cEN8!ohyq&F~p@0#D#XQ)z>Y(ODZ%PW+pJVA<#SJ%D8UA=s5zZ5)^rIu9r4<&@vK_3vf?19AQ;tq zuW)&=T7Cc0()ggfJW?#MIXv9{bULzA@N&DmH(R$Kvt8JKP71BG?J}hqh+9j|2@C(3 z7F+tW5-u!Q`z#On8?fqL-o@G)Ms#lIQCL~2{;fhB)601^eXzbTFI5XmdtP1-SD*1{ zs9Xih00m=*2`rG%7*0%FqZx`99;F2K)!*glLrgm;>gNl>qBJ&UdNB-qcX~;_QEw{txAhBjyP*} z6MP+V>@8-^sn6U3*0FeFMIDHh_KmQV48*cEY25Q$3Ma^C{gm7f=jmGiZ|fYGK%O%jo%e8g2{J6XZ)mR?Dl;>qQ3Si`ZNv)^A{>w7iL%L zZkNhqhhl1S?15%61%o}5YQRZD=ta{_w=xC#ln8jbc7ouWKv{Ul7>uCJprH7CWEIG7 z$SeUyD@=$G*whS~k<7uS+_FqORjNOlVc0~0(%3Rd#iZ&6IUQe!wOc>UMc);6CDqZ4 zmBEk&1qWg*p{s<%AipX}#z*&Zzi&-{2i^Y3T))!)%+RjLgdFu4-&%_ckaRI^Jj@j^ z(W&97(W;3K6Ef(awXD|npC{ynCEo%%`fFeXonMPur4}?ETzgg&XT?y)aCo#tl}X%k zXfQk+$HW|uM%+9Ux9EP?sCfP;@9RzQ)SABJHUDtGzjrxr|76G>$q(tR9*FC$)P>8& zc|I^6Zz{Z&5G2*djD}Gor$J>@9Z4UyW-*=W1izewZHtn8x>DjO=$XF77hG3iautl^;MP4sra=7CVQ#Kut7JX;A*Mxx0r4dr5&GXC6jy3-we`JZvNkT#(0?&rG~(JLRsd zkr~*)I3ObQ>CaC2F4tdQ-@6H=eZeZgX^G(1I@5usAKk54E~f3>> zr|S!-SRRpoZ#-gR`aArU?Z2N)qf_h$&COyyw!-pGK6#LM&SX|W#RVl0aOB~dGD$_k zZiv*|q4fMPgdyi7J?WE=q!JcNr-=a`C@$+%lpJIr_Nq-(Z1~K($1SW}KG%?tARo-@ zU!UuKBAQod3KG$#qD3u_Z#aw8>h2%D0(YX z5tsJ|-*qEjygci4(uEpQh-`LqJ=|S5_*&E28ryty7)EUidw;FZdR;wS{(5-8d8h(n za-~QVvnJrhei&dI&7dozE~S1u4HaWFJ<}4bq3(>uK*x}N)-<@opEF@k(l2gB`>rKk zN7no#ikfwhb(H)fnlbU6I%xA8n4AGkJZ}xTEkcZdK^Z5rUtU~yY%yJdKCLt_Sg>D0 z2W}S`@f)yF3K>@S;pTNQfQ%f7+>2+t^dYihzDoiV-@$ZI#XZdMTnZFjMHhclt;NY+ z5Gq|Z1?)}O3d_-qmmhzUncg!PU53LnaAs# zjUvST?*&Juzr$?*pdkU2WGtr?F`ui*pTU}x(4?V+?3nxcM$_^vOt?|r=KfF{{N6nQ zQ~TCsU088%AmjZK92S{RgD~e(yyNI?9+Z=^WdZY=lUprU@A)V0V;{Pv z(}*^W_`?c?=Z{goKJMqon=88!o84B8c%PigEE#gu)I{lX8>{UHe@ z1DC^uN6b=!q=ehadL@Ho@AOZH*Pka;RsA<(LYZSyP1v(;jo^Oh9Z4MEVrQ2Rs|M7Gigy$Q?g9JPvr;zMJpgU%$|bsw|tL=7Xz;G>hX` zyt+_68wsz;cU6olGNh#W&`sTs%Byv37Dg;!(b1{aWY5*q!dKtma@g}~n0+=ENBRBf z^;e+lzq}^>LwE!Lt!)-Sp<}1X*f$TImYdfevx+pr$1%EJmC;G%$t)H!a|$I>{h&m# zT+Ik2-%wb*xXR{_A1u$$o35ltxnoWENJe{KjO^h{O66@!k<7+tls=CQHigL8AXpY%iRk0U0kz>JZTrz;yyovBG8)Be4(U-Tadt3piA zNWY_ra`az??xJS=qCz1N9+%q0q~SN)HB=a=voz8-lDm3eJ4-9vVd|dnP^kPZx?Um>mQky^JPXWz#uhGL#aOv1c@w{Hc#h$IdLh-CMdbYG#T`_ z4UZvtFx6ZS;hxrjb+RPHU+jcE+)m-F=zdrTpL^(O#P|5W<)q9)Fokmn&`K9jMk*1| zVxoCWut_e@4k;(9?_RWhJCeNcU%ITid{)}jy*c3&jYTFv(Q<-tBE9^@;m&w_`v+$3Y74&BW_ z$iz4+JPC}Zob7!npFpIBa}YU)j5`v3=cus#uzJ&Rlz`l|=kGF6;@#cu@nFoEddz|2 z%Mm253}^i6O6qUV^mFxXc`5o(w1biqo4bA|G|d`oMtgn0xGb3YitOHo6wVf3NnxzHpO-h6%}M)0<;85wSt>y*&TZEfw> zO}7uX*OTY%*6fmjXKPEVR%H2bdc@6&o|9%J#a?;a=Xo{0qh1p>&$OtQak1B~&Sx&4 z`c@*FQ{9<+9v^_EduPUVc2U5|;*2w!)~ag6#h|;pjHyPqmQ0zGS7TXr>Hm5xx$*m#5Ifv`m6|gsDRG(?Avs8)s816Qb5uEcL2O-#n~)s+-Hjx|0An-)E`9P0F&$fj(VtUzv!Sp-s4Y<#%8+DufB=IR_~a$?i~gn*gm zHHLJpAbFt?r)eq~B55jf228sXp2KWXMXbcf_{3k3G#paoQkc`qWp>R}CzKvW!gBTX z%pEkudRj2Mu3DFr=(K9wiz(?)gm~2^sA$_b#o-FO-|G~~Q!R`!q=#@h(|+hDUKPZy zyC&8p@7Rm#=4#la_aJJK1v*`qCnlg>nq{}${p!oYs$}D?XBwq7!lPqCKeG=l=wl;8 zL$lxacpGs?-8_i>zR>~FUi7@7VI#{D;LkU+wQEfd<%EuXMVZvH~WmZR&Nby|ZjT%Dp~uJUx5aK0BE{SGGQJ-+%9XaD1`pw*ECA z=i^lp471RJFS zlgm!9yJU4+dsH+mmb+$%BrYM1n_Fgr5ZGq7G&17Ys)cmPuR&l8!C_DV=Rti-KV&Qb8G?FGMj2tq5PPIj#ts5x4;g zFr0sG=2YV}qvLaNJ{o1I_I8m4#Smrq{k!JR0NKAs{r{tHq*Zvk$O5T9L{`>nu}9PC zK`kmQ8XB~Xmio9JS8Y)B6Eu54=(pOScX2+Un8US(es>_N>f%l%A3NQpZtnFIzZN@P z)Jf`cESIm0G*_P?N9^7{E-y#891#(nnoR80b*Hi(jlDSYx~e0vt4%ojnK=5e{(2@j zQA=3sF7mN0^06su-?`ti=+KQuTGVwOyD(WjRx{m4UQBqr-)mnITW^l2x0bp*(C2m4 zieAL-e=#2=NusQ7kpi->+?1~k(k<+HoE#;!GWrt@ED+EnM#RMVhDg!=q< z8h4!p0}A;UnnVmXjkWwILiLP{$zypp1g7I>JCZ;YAe?`Kw1kECeF=Z{;_xt6W2!2Oi-*|UFimS* z8=WPOB|*nbXvt!$)A?qdJp%SH^7|tDGYt3NoJk5JvdX~uquR3oj~b`u7#*`~FR^d4`ze({=+mZ8Ke&Y^OvMoVOr`QdR( zp`)=Bh7wIJ`-2gkbI(F7n&G@`J8`51s|>1FH;d2*$684nHlZ0Is0znGQbNQ|nHUNw zZfYB%#9MlA?}JRe3cy_p^M0*OuZY z4mA;`JX!^wD(UHRV&Lu!T4ZM|@sRJfUb3iWx<@vz4Z@lgLde$1#p>zljibA>!*!RN zX~j33K0i`iZl(SpV{+F%J`kBJ9)}`YY&R&zKG5)8B7AO$0Kvw{Y)haVN0h&zB%*?fte7P98 z$O$P!bNsrVx6BZ6f=sNX@~=6_)?e&D%k%X(kyx?q9gnpgk1Z9~y*9~4O96)37kN1! zxH;Wy(nG30xai6fRZT8LY&?`{Or;Os+qL7IChr|NH*^x(E(6k?BW+#2Ts+=ho=q)f zFKaYEoIh>lX=d`RNVm^B&LRPlVnR<0t~qSmcD5I9i~wF88Z7P3*9{q~K9*@)fnr0W zT33Az^y<_aMH)67eU`g)G?}!BVpWwDnFJg0u%gv3O(=crZ+h&)y6l z&95K+FQxToxb45Vy%GR@MwJ8*f?g@P2I%*}VCN)#KNAZxkG~^YX8v+z;YP;p&rby( zPb@Vw{nY}xg~ z`3UfO0xUljwUPLEDNquMSdIl z%*ga-7%a=*+I9So_J~Cuczb2U;nAf*d%QCBI8GhUHjQV)2JzzubBb$VQGr0y{OGSY z+t(nYFbJvszEJ`ORE5BIPy9IR1aLGmWBv_k+3Ht8h|H%dsC>Y%T=Uo2cDbo;A6I)9 z?}jI)R=(_2UFqbNkv5OcR$fh~;UlT_gEFoqtqE_sUF7Do7jKV8yGN6Dj>j*|Ypdh@ z%UanV+o^ias#~(FdHcx-i8XX5ld%)BR~*|@p6~81=YJ^i*%wJWo^ax%;;Vd8;jF4G zt3=RYm4z%0Ddn`|mUc=nqtJ0EH?|hG<2s(8+|VnPwVNZB3QjfOh?PH45pQ8Dt1G+w z%3S1O`kBCc#MoXTHP7DyuuE_Cz~(l>wtlhjM&uT^10_1#mt+D~EG zK4A%53URDYG+QzvW6+nXnX9$P5AxJB8IBG$>Lj*VHim&23bm*)OOw?t{Z-$yjy7?eG-Omg3$H{E%#AvL zq60~Js{A>T$hcw8kzUE8^k9$hvt(+<57R@4z4wu{8Y(%j$4F z--TsO)Nrgz9hWsR<$cUN6|nWPHZ-if*qvWq3Qb0C9$SmpsXn;eo8JO#tl7@@dmT+J z-o~`QJbxVNLE`a+hTzH~-ksqJ ztgtwnpxAoRpJM7Ok>17iGsHInGmZeI6Dlx^nt3=$+Swa%wew6Y43kS+XFhWVWjd$I z6&lw{E0`#fVrKXPQ~(J;t2l9_%T68sY=t1fasHf($$7f%9X3f=k5x)9&nzon3|1PaMU>L1}5k$aTqb&^=F#vm{69NZM|@$nKqcevI*JJe-n{~8}|9;??a zUwUp{S}~~8%vz-zYL1X-|75wihn(*6TDAJJ((%&iePimiT4mb4SXZZ^k`e3x%1)tx)v%&RmxVFp^xUX1r{qHdXYchq;a!xcV;9G zHA=rRXejI_fBvAn6BrF1G-`v3RRNRZv*8rgOW_P@?r3hVKGV_ z?;#m7PUm~@SDgg-Tcq8$5$LEj5-S9ww+SI-7mzF*gTUZtE=%I?A%MBlDPDR>+lBs; z^*Lsc4Y3W1?zupMG?Qm!*x-oD|ZkdluOH5Xy2pn z!J)N<3Dju4&vtvSP$(>r5PR*)UsU4f8g^SUtl=q7@jke)^qtFo6*8d6(E!-1}_) z-CXf-baQzsqP)K!e4L!5x{iy|z16tq22MM5`ZOmaBQr{DOK(-VfDnlKQ1Fy-tDCGka@Q@Lm)+2|Oy ztB*gDcl3O0S<)wp_$cx>rXkL!r*0%)ZS%9#=?TY_`e=IW#OL6RxUokW-o3LEf%VSH zSL|X-Ui_LIJ~=vJcH+#S_R;gMj^XuV4+zGbi1P0X<)1^>zeD#~|M|Wnf&9`NK6>J> zYiFM28Ae*QG%@7g48z7iqC$fZ<8T&Uw{@+RAJ-=!e*!@!kd)5AK_TLoDNrmgjww4_ z@@iX5TJGQV?(kxN@JNc-%7os#I+${4*Ina%;q`FlCCVh~ZgG9RyqxWwcW`Lrerm+2 z#x@kjSd19C8QGrtn(t$3`;zreo4&YPPS;u%X)z+;X7A!~ix-NmxVqMfaqX3HS(Co_ zYXntWIdcB|g;{-ucRG&`({&=3SX6$~c2Au%HOD-tDimFS3TjyXs{Qqx2PO}I9FS~S z>Rz0eZ;iY@l42{xGdGun;VUdysT%bmvJr3l7bl!3TWM0D;K+=k(R^%0NlB!c;|$&s zCsH!lAseUpyTSPQ4253G77-=7? zo%CXJ4%Jm7i$k>n6H8=ie*JKD>Q5dANQf^S2f;mY$E<_66o{)UsnGyr=t;j{ee53K3lCGOVwXy%G^dO;(v}b|NfQu zGnn>Y=w`{{{>sM)di~Jtj|dZ%hgMSJ%A!96IIu6m{Vqt@P#EzZB~dkzAui`0w8Toi z#$Y&{1ZH?JzS^_8aO;d<(R^BC`A5eWpJ!3id+MqePaSPqHNL%aBHI`5ryJwP z&5nC-wud%u8@`MdI}F7p9ng=s0aT>sw5 z%=X{!C-E>^d2Ko1oc`IbW~KG2lPEKDX+;&^kfZ}As>9DLm!n^5U6` zx95+}`-Vl@Tc1q1^!k8Dz=`MC-pJANeSrqS(+kt1$NlcqPKAcFFEFhsVg8_e{-8xe z`s|~Lp7uLy-FGLyGEV5_hV3wGa`jr59N`SP1ezsU%T^$eM_ufNEd#IoJk%-DVhEjFl5Mb~A@G z@=^oUg2X|N=j>$~>(B=UNi68{r?9HgTMul+$E2w^ho#pPIHki(gAxFM2l+C!MG#Z4(?p61J;7g1SB>aYJOU~!1V-Y_?aTpsi*bsUbij!Z zu>NHeS2Bs;!w+{TBr~Kllq#h(3xs#=mh`{|}ci z+Sm!;)fHp#Rq+)}jbca`D@ff=X|{_UV0b#7uI5RXVo;iv)60_dO^rD4+d@xY5LdHJ zBE(p#B$Hce>)GlW&mLuvv!$Wejq#Dj(W8E6%VSeZ=jSJ0?WeStN54m?4YsidKkp}H`br7%~-5P>-I+dOXRIUd9u-t z!s}+e=5w>YtdOuLYCG)Ym{0Crxg>_rKsu@kMu_#_{(OA?)4CObU2E0Q!~kdGv7oD95Dc zIAIWjGpRz>7b@880BJ3X>9uLwR#ip{kyMT86A|1l6zpt7||Fz zK5HybEzi3(e|CIE$aZct`^vZaYYOSn4RCjLcW`(zJKyeYKL>8N$}|3R2e9Z;58e=i zJ&b&Hdb>S8F>?J<@$BJl=i(lEa=HA{9dUa3ygDE+8x@y?+NdJaM^i3XhF@+mctoZ; z-N9&(II2)Kd@&fP3R@lpV?UNA9HN6~)kxwJ}do}5=iCqN}geL`F_swzTx5(Y1_ITVE7?Ejt{ zTN5va$98p`TdX{r_mpSp*!tJfGgj;mPTT;&901P-cw%3-dvg4@Lukc zBL*dnPYvs2sJmp!HF|o5W73c1)O{E+daAW7rcbM*{q|K@sI_$SU6lw_Yj4gPeRGIS zJ0(;QO$ba>*5Zl-&`z0VjJQTdJClC-;8fN)Uf3eR)+C*{RiDA zh#j$+`3)16nAkoPEzUR@!WE?|0}PL9)F{>06$D8?jAGm$ z1v&OoyfA+G<+ZwuhxgM>nay*3Tes347qQP}gYG0R!K&PCmyhSomK9)cuX8Qoc@UTq z!uok~Xxf79^BU3y^6XZ~SnsAu%ZtOq*-`uJ+G@4Q2mGkT#r(&sfctAbC!QydM`!yl zmzEB$0CT{Uqi^N?;KkFWnfHr{8*2;Kvd;=y;U{L|1jetdiM=xL8f6I81gr8V%IPC) zA>|7S#-N`+Rdcu<`GA5r8F zg}Hx0Nb{KJ=w*halN@}o>#xO(;%^=r6}{3U$6&YDHkB&GG_JCQL5(NvJP39>{;Z&@ zDV}6hWR|67RV>%z3 zG7fjz)Jg_4gZrXwmD)r|SQR-!$scyEu;0QT5H9b6eqUaH2G%nEoh6a~Jd%Kh*MA*J zxlagz!iCd>pE*EAPJdo))FxPcKl#oglP3uo9+)OBV^%6$ejAJ;p21ev z`#90TJ{92I*x4Ge;(mF2%Tje#z4&Ug;PY{zn{V}%yW_DI0MK*;c%3cYY%G@FKlRsQ zaXxBws1+F*P|R?o6^T0g796nxbZH;BC*{bSBdrt&+ z@8zFdUh3PoYDWXs4S0wymc~QcckZT6w$GYJY%ZL~_Dr7am_N(JEH3U<-Z|IZyW0Z} z?oO{}Oq{*>cr>SikB(*^4@OQ+8ax3Vw&a3`l&hO8Gvq>B^Rp@;q(d1Ht@_hz%%+ETtT@CB)Mfz9L zi%|Z9Il_|^Sowbb<&m@m5JLn4->9M>p^n!q2!ZpV$vOf)A|Bqlc#p=jmn-qX@1 zdp6H__<$ECLpnS76NasJEsKA9Rwi?M3O1USmrSgt>cwiT%PI;~0z}{U%Wru-1!Gb| zsikBIF|@ps*8(>2S(ZLZ`#APF3hI!heHeirY_6AbK)L?`RP4F7?cD>QW zB!1PI8_lYd0_sw9Bg+?u2H zeHS8+^bm2sqo88WIV)GE-~Dv%-K3?{iL18iQOrWaH?OWg4{oFgk=T=Ca{z-hMNCF5 zI7kZRhQdvz9Ktv&5xr^h#rEp{gxP%4J zLJ1b;Fe*F;zlH$fe-%v??fP~u`cCTR%~|L3f-$1uig&vc*FdB2t*Z#Y@*3}Pg&e>; z3m9$3(~TsHkY5>^P;Jb5S6cl7dLa*Dyw5u0U7*ES@hi z%|zCDNFXC&Bt-_P*oD@LRTy6wl#^>Wjk6?APGb(MOiHX$Hme;f>xAp%3u2{LTChrj zW}I7FqmKJt7+ip<+88)bycfkte%&GsI#TvE&}<9hrY6h`l@-Mx*Z3BZr&z~Ef}`*~ zq6GhvUsSA-SiNNb(+CE#BosN=dEcn3S_=~i3H&!n8fv3f;~@0j^4HgCerw89E$+pN zM5~@uAsi6EQx+zG`M!td^81$p^cZ<=tmS+m?(BU zf!HX-OO1#~;s7~qF4pJw#Gm}NSA}?P+E)_FK52K91Vd-$oPVo_8u|##W~ukqjT*wi z_6lW0LW)ivn>VS?l8G@46MT;C4c3hdom!X05@ke zUT|+|ZuY63F=uTWo;cAno$ILmat^>%afdOCbqKJCuVUmn@Fah?WR{@@XXUixpU;_z~qBPlx87{`lKk2397Uc*`Fx#c7YA<~IReZznPAt$)R z+mwb+)1cI$QYBLs0#(lWKt+Nbh00nr9w-bQmzRe{9y(05DxbewxSI>V^_kIBxFsDn zT@~(|=+!&npinS2iOcWjP^keeFyaayiHtsdv~Iz%K2cqEYs)g?gx$&zgK~wVjaWp` zW%s0uQxM1vC%4i*7YAcTp`ohKdss@CcvDOQsBRm7Uuu7b@iP6LQv1)73K-oBbbmw$ z_OU=N*@L^86V3UaEYx2&GX8_mBUzYOcRG+wMiDJZn4gLWRb>_&4Gm4Kisxo-x5l;a zc(nepYS{bP>O5(JJsm&DrCL>YO}ftWf=2gg@^$i(ulQcb$A0a}MeWgN%{v8PQymk7 z1icw4gO&gcNP?gbOqItP@IdhI!$`qVaGDZ_0X4ja06~iUGDHk=2&R{mAyBg>`n+`@ zJPVuYI;Z#mqPIjktTqj&ryOeVD`UP~wQ_v2>x}hq63_9YNlP7TA=YP23RLV-{!p{F%GMOM~gx3@XQIoj6!J&b)K938}9R=W}1iC}S@AoD2 zXHYKdziBN0D>c)NlBCwf`F1vp{pd&4T*i8Etb7<)NPKdW-QGC;y1#E(5{yLx%w&d{ z0!SRi`xlTY&;xUpEVrvwO}-YsQDt7*vxR$`d3;edWJ6A`R>029>Yf^)YL!PTE9$Fh zj4ILX;#WDgi2U@W*_$W3dXA;>8KPfWvZ~n7DX%ZCPkXb>dOUm@Q!(B;(#f;KCF%DU z)q__=vm^5dcMlW$%a0>~gBJ^DS1;Zd_fJ2^k=D9ewuI9I7w5hZFspoG{ib}~>rL=E{Y=ouzgSZq zR<_3pDCkD`&sN1LC?FM~aXK(TN;(AAMsh>SR)oTU*A;@otmA7?qB1D(<2j`rkqwtS zh5wLo5G&Nz>Lq_l|0b*JjQ8%>;R#)F92cm9N^$W|?H2y-CB=dM3#pC5geqC^;#M;c zNp2-}a}5hO`>`+Wrtw)4^#vja)>K?+XrxLsLCclSZ(cvwbGJ#qudqJ@e*Z-~_5YK( z@}xUZf>;zq1r(I9WR{Vi%vl#=^DxhaVW$lr>ZBj zHmz}Y13EIXku^^qU6#w6Rl5m;b{~YU&ZMq9W}eE@iMG1c`uWzbj><3h_GX&LfT3?0 zFejqJH|q%%WoAif#Lt5xq*ry(J0TbSL{IN5K!S)AdIGP*@ElFK|nwxvv;#}aBLj+ zffJiemFed)-I{g=_FzJf4wx)4QWI1NJ<^`7B`Q{s_~5=~gBB|}h%>dcI9cVsamzZR z`!jq}W$wqgg3$LgKdii-70Tz`?C7gj?pxMglvjDxHCx>bcivp=qDe$ie_uX-2JHT; z&=ILO)mv~ibnlk8i(K<8^9nQWRXj=!F?>Bk45X|gpFlE575AV^z22OLiI`t{;b@I^J$PcP}>QClj{^Asb7@!`*y* z8nRiHCR{g9_ZDnAnUP2L0z5?3CLTUT?PCY0?zOKjwLYz`_N^Qhdm%R?y@N)3$9D&V zMh#hp*OyO6``7z3Q-J=fE!4vu$Ft#?^Tpu}cQ)_G!)W3}=Hfthl7c#M5&a!iYLvnR zOt^k2(x!|O+PaF2Lyr~qj#!q@^DYmc62slgM}yxROzF`Sbuf^8_A^21GU?6Tof++` z*^TW@NZPj~G*W8l1qLC7m?+d_Z3xtAU2*S__cbk*!r5u{Ho&IQr1cgAjRePTz@LXj ziVQN7#&s67nkb-EyJ0vo@6?h*yT+1XlflGqDWyTlypIUSO2&MBx7l42Rce5$B*SF4 zt|Tx8HwXvQ@C|c}?8dr2k<51*jQCs69;_!6IPyr5tmn@j_`!6ca9@zUIF|~NtPebC z>I*WsPTOTW_|ZD{Pif2p_^U_G>Mj8@(FNKfVMSryc4sedI*X^F+tJ@v8tb3Iz)Vd4 zIE{@~VN4bnHvatGTS!Gkr7*rs(O(?{ZJ8M`nTd-Jtz;meAf6FomK3xCB8drS5TaZw zWYvBIrZB=&lr*&U$N{jQBrtM&dPS)Tx0Zldj9VR#g5QLIH`4^e7%iJd9Ni3%Hzqf(TJzy|<53*EpXs^&!tNscNnw zs8(fP&a#Ta^vZpSBRw1#=Cyc;X!%_G{NUxjU5-lN!R)3{XII6jgM$M%up>g|n=%zq zKmgQ_*VPW`k=N*%EXV2{8=$`TM96CqU=HhKsb~Z-;jrIC>|0133X-25fE|uD+~`WM zVjsEcJ@E8aO)9^#=+5SE+q3tt8*z2DZ<5}cga7p7$GszaCWgpOWri&L`_yZ`IL0nq zaJMkKY0x6fh((Z5*t*x|J|eDu>mKzX!CgC3%P-~ght9kO%eJwTD|7=92cXTKnY94g9h8EhZ z;yZnQy4-xZI^BHQzqq`+IGoQuC~1G^bS2^9@pEFW((uxSP1Fk*FMcYkb~VyXbcVX} zB*f08xthVP-K`@#T;8t!?}Xzs()}$fgRuH(=wy7WHP=A zt_FTIjA(;slWIdN-g;h%S_NarOkQK%no#@gHcX&t{ZPF4bR4tPrHIM&%tkE zASOdeNRCiH3BuFo4q#k`V}xU2c?N$FY;rDa4UjuGKE&Wf17OLS@q=ifevADSiLba6 z+DFTVf>Fw9S)-VyE&-pco`#o(BTBo%;K^J(MeDOV%Rujtd~OsFFI>lBSSrajxbf2a z1{hETZy$eOWq*bRGyR>G{XcJgwEu@smGZ*#ex8Q=X@U9K(s^(4IVE+a()_zHgVk(J zyIGV>qA+c(e-~v5@@9QZmonT@=DJ;KHP@F@&OH-F?iPTZ<<-kddb+Nuh{sKB=fm8K zMq{_>$<*Z;Ab-quWsE357dxN6+>HkpQwIV)gaRFj@M`^%HuwWvbZ0EOV`LwOX@nou z7urbSK)snfBnphViblP_3^7Wt@db5=X<8Ctl$uT(msa&_ z&n#j$`20A zm7vnA0F&-D#EPMk-5V=+jf|-CvS8=GID3- zy)YLQlVNpUP=D%hw!rO+$`jFrtRo;k*6Kt*r!zbnl^5$|nUTM)^3|=QzSCpR+0>M4 zx%0-Y!^yrufw!&6e(T}wv+gH`sO|4-=%3TxzcWwxH%_ne+Hc;#&mM*DXDg}CtJ~hk zHfUP`UKhlnDwK+t65{v;e1h3tD5$~_4U7_nw!EdG5E2tI&ybTc`Zy-0Q>kA}ZlPmX z8XolNo6evX0Y$U)O(2o_W@ex7m4TUK>paW=w=Jmy@Q z9hXYB4eK?qD$T-FhKSNp5(7GhpB|V`bG>nYsD9EJ#9q8MwGPF7%y>x#bzB`-DojgqTpUIyI90&WWK-pwQrj`h~q_cx@jfNo9iZC5dw?Id-&+<`AyS)kid{nv*$b z$du=LSwafTlJhtV;@wl-zy*pp?OChIum&)#EIF)|rn|yVr(J&#hCm}G1;(3VKx#+! zY$qqjSH!(XaFbUbr7f;K4Hl8Ag_DSOf>;v&3A9{e#hJc)cNRk#@iy{!8+QD)5RvfaZUp=S4m}62XwXJz@;@x%L}FnNsW);vNy(MckwLWL!1$q}36f#V;FB2H`4Xan%rJul04Dc;7_i~LBSg!~ zgCRg?I7(@^V2*Jv;I{=u@P{rJ@2;=+aZodS}QSSY+gBd(eAqkJX?_yI}b z>}vrS!(JGtsDF;5TD)?N`lMH>y;#jW|GZr+NIAr6j(x3k6gy4kv~msVSk zdhu%Fij(;9ZSfC>yRK}_fPaB7g2St$!1s9NqcmpQcnbai@0b7vU zs{tpgrf}h>hj|m9!Jz>E!4>Fy=f4}b3bRjh8k+=Xc^Ow~$6prD*#+E=iQ?yFNeuq< z;j^k_iG#?Vuyg#3^|VG|>KG*A&a7H!a2ajkItItM#Y}m^hZv?Ms9u^>&P)UzCp8Un zkE$GGiG+9|x)e29NmbHoNRD2~5lMCC9xLaO9uY)r+N$JaZf1Cz*ve~$Ss1L=lS&g8 zw&pj7k$tP%lHb?dA3}?)|Ki5}SIxcTKf&&UDl6nb+{Bk0HSTSQ2{yYql3r4_=dj5L zW(x{aDF#76ulIzJvVX)VFz(QcI!?XVOSR=S%{;v|*}4cgnTV=PZ>{v!RrBFh=37(Y zTUA~wYSw+|<~42BMebVL&R#IBH)vb4$7+DYB!H6ZwO{^nehktfBB)n}2#VT)lj|}N zSjV3%%5ae=#Guze-oee~rIHK*_C3CV;0Oe738zPrwbefqN_@s_ddMu{#Hs2dJ(7DW z+bB+9ylNR*0`+v5uz9?A%d!O?tW#d2VE%iVHjfwg#?eO}1}cHJly{YjM~fl~9o*a* z(H|0K9GOO-y-dS!N$vR=QzI?POHpwQ4RyG$lRKhF;jMG2kuyoBtQZ9i=?!$`#sf@cO2~N+&y^l9G9)=B!#?Jk%}XhJiWI$nwpG6K2JGw6VfM-C2Sds?TUuHAa|HT)RRT%1XM!GsN~LK2{WdC#OWn#NQAF{Xhl?(E z`<30+sxGhrZg>{8`+e#B8LaqkuIlnL;pmJQ&mE8_vhnG^$P#2tDMq9e?i&wS9Wh``kE2IsqA9&Wt>l`F-Yeq6W>>qdi~O zC9hW^EOm8O?>xDAJ-h&mo9CYPt}_#_ANSIC`X?NkB(@buR*vOd8hCj~1m}ISvrwDL zi<9PvCzpXO1KZ6t*|HW=Ua6r2Zbc*(&e$B}%CXuJddB-)q9*Y8l zG$71hG9JQDyzyN!iJJJPCrm1a2a}9h>UhTW+5Pz;bx|X~@yYFGthlf>27U`vg+Af% zM(oB`>$$Tc>+~f$lojQb+;{H2g z5K^+hx(~m6O};$RXaN-V9d zYBi>FiP993VA__Hm^fm%6C7~RTHqh$kQydp;K@iDYmOMPSg@uW1m(y<58ioy=cB&t zx5;w3vT6Pbs1xniKoHqz?=uIjM6JpZ$M$3~DTD7ukTU8(Y^ak(M^zw%t*u9c*XQuX zCGYtEX#1+5IMi-gAcI42cNpB=HMqMbxVyU(2(H212`<4cKyY`LKydfqci8vbs`H*vuuy2_0Q^bFhBfcv$tR?5@l5#&q)JgOBg??edT7$LnkF7Hd6SU)&eIwdP;H zj&AoEt}pW?I-|4SXGS9t?xau!rN%WU6~41$#$GJ&oZe*W%~eTP=fDlzOgtV#oROcC zN8C?U!GqeQzhEIWtY$$qOx6{)sIJ{(N0*0sv-X2&TFw+!2Tu!4P)!a_n6eNO7vHiZ zPD323xGf+M%0gPEs`=CzkCf_!JVN73sg%e&F-H2TJn-6~_Pyet5)n;eN|JE~Xq95&vpy`e6S^i)q5qiKv4V2kbl4_)wTlVbL~sv>07rz`2}t+Ck~bZE2Y< z25*lUtre|InM_Rbc=5`Lulb1pyI*DcdhzJ^;PLI|Y2#=sQE%0FPZejxWpCR8HdSvl zT2%)3d32D*QC2OMlBtQ~5J?G>M-ed$qyU_{QLqVg8Uz%HJ9Sa%JlUcyTb6=YSMpaw}o21Xf$Xa9La}v_hrEWvx%^s5tL? zC>SoEXSaKA=G5`xD=4N9htn6Y?Atik)`J&$7X$~O@lwN`E;{W=)HP+KH?hT-^I>Hi zUjPN;qODznV`F%AQPrcE+5NkXWJ=i{?hzz*!g;EEa6}_s5pl38BHH-{;hb!??Lp3IK{sM zqW^pW0%QO0yn4~C9~_%c9bY{<88JmWmTOPQ(^RxL>;66?a>n@!UX+n$YDIDmy_a`i z?u%y4osj2oD#qI$d#+Qbtkj-QpEjdc$_TIO9!OScN9;Q*(;K0&hzRxCyqvGk@2($v ztM1H~WnUO#IEVL3Q_Isd4xt!dP8sbmw`L97xU<)Mvu!%twHVEo87Vm<2D8VvmaFPU zFO2KF+ifZ4&GLt*%{0u&3Z5RFueP2NhNp`=cP09vzW|4XOhp4sOqfW`C*AI|R6xyk z#ZXBaAsXRH+N$z1X&RU`l+aN9GZSYWJHk^__6SMRpD_c~5;qHXbm6OVRr%aV5(8sZq+y1Kf#&4TBRLA`F{aX4u@Yu~3Fv zaDb3`ktM)m*ffZ7`|{%}az=YzX+!9GrIhK<(~&9?Zmd!|%=hMJz2wpy7@OVC7lx{IaHjM(aWxDG37s|29 z&Xd(hU%}!@q`yiB7Sh!m*-E>zE!Rv4(^7^?yW$*z9fKLAIg1e-yym%yv)ulEf9Lq; zyyD*>u$ljk)b^hu2wSX-6*?%-O|TTJ3yHYvU0^5*1}iV?>W3KLBi{7h5&1yYK1lXj zqgvZQWDy}g7;cZVoxunwoH7lY@Vv5?mII9!eJ`X&!qv`OeJi8OgL*4Jy@pPPB_gxq zTd{}wmgcpGI}>Lo{wLS^m%A;)S$qX3pBaKVt!iw1_whAeN;iN^D2%K=PDYFy(gt~? zxUr-e+-Gqxz?;6u>#nI<4>wIh>_XunBP*Oj*gTT`5Nt&3%`m+INlEe44WDVc8&?VG zLh(Y6G~>+gd#WZDS#FV1YFR+u@e4jkMKEscU>@4ygxL${iBp{X#m(XS-o=Bq%EO@A z42)p?k#McfdH69;iRduJ(g7BDj(7OUZl+ddV@=cr^pA9@TPrUBNtOs3#1o=JmG!n1$ffBA23<`a%mv@i9Mwids ziJe!8X~e#0wMBvxY-tySP4ADk(x@}KHlpRK)-9dT`)-s#aq zu&Q%$bGLfFne2U7jc~ignw}irGE46F0x7ch#gLN9jk$>!JMka+y_$KrANjK#pv}EmS6+0-@{y^2Rz*6Nrbpnv)ixKJk znNCt2En_O);uIB~w%nRM){cy|N^{ReNEa@NmWsXCU%zCK#_^QRE>`0+jt6}mYHbE3 zH$7^=SX`U(h|z(G`UJxV12G|WlKj@d?W+=fp6FsJdPC@dp(&)WZ#16TM2T|`XB)HP zawjbhYC&3GrlnQDFl%^A#W4ZBJ4#nlC9oD_td!r*zYA^F9)^ElrJ*-5g;d#(iX@MR zOOfT=xVg!Eol~32x4*9l;P~g{`u|#KD9Eq0v+yuCur~Z)9^hcLSo0ASt90^Oau9nq z2Ksqb8eZ#;XFy>|NuV61+j)?!0_3Sky_rh`DGeY)#o1WgYn#HW(f}$;_^T;lDiLJH z02L#H{)N|Wv{m1UlaKtSC{5gyd zYS{uMnLL+0gQGbAfJdoNj9r-@@fbNQYP3L0OaECaXAP%*53HdtaaIy-IVj?FW1tH@ zOnpn#lgjEecGqF&X<)QH+d4nK*5-ZjxI6OIO>k-X^mx7QW?b0oY5&C1{-O2WZML%+ z`P z1Ldcq=d^T2f)cj!SpbSd+-dTS$-~o&SC<8qpLBXmXRaQCkOMjU zcrj5#zH5OG+jFxFG2DxOFeZ&i%`oawViA8Pt}&OGxK$68mLo2$zgk&3vf;e;RFgY6 z_ipfN(PY4)Bod62wjvnj)77Evq>rZD(!SS;|Lin}yIESg!^^9;)4J)`NY`43vunTu%Djnl$wiOyVSKtSRFQ(mPJ%1?8n?XTI<@&QabTY``0p8Yzs`ATI<>U zp3XLpgCg8`*T-&rGf!WBF}0);(wkjp$6TMD3orB8r1z8+*;4k~Tv|^~cvYv&4c|qi z-<542neYm9WX)+Le_1~NF@E_33ga5Co7{ewoS&x5UbFTS@VMIkdA`P9 z*2KO+Z%in|NE^{5a^<=A^V@K4SC0Dxc4qtW?6*Ti_^wj(YegfI+1PGOS~8i4V9aKW zE~{{{H^O0o2T)FkS_)8<`8|7T&MeNfpT9E{g^epy%2d%ZLQ4barK#`5P2pJNTu=~H z-_pp!4bt|?^g5EWY{pgxOo=Q$#bc|^%;x2he0tMcB6+Q9VCgb3an37M&W8S(vg2oS zfJiO67SWt%IL6B^kB8hdss5BotmObA<^by;oER-1ml7AyG>nfNJP=zKbs8nAcvXp1 zGX6(_hE&}2M+&0I@agw@epgdeo&Rl^kcj24d>`7<7k!IrBQ9?;Y&09ty{5RC!(!eMEdB%bnOg{^JimuWxKkW z%}kz5i<%Mbg*^^B7K(o3;PzP<3hBTUj3006M9kH@KXbS0(jV4ohkjhZ+4{;AMaYt3 zQ2=UVhh@$sB3X#fvyJo9rZ3Z21s;?DBlC&jbW6E_7-9zB(0i3A5qJs=&2y=wHb0u~ z_QVV$Ssa9-R~IJ?dbWFTW=4gOG0jwcYOi&~j1eN(Omea8)zKHy(5c9D0GmLN4Ehe| zEhN>(dE6VtRs8bc-$q{SrSlPVVs+TH?C=4z*Zs=W!J~QkgAHf4S%Sj}exJ5cX{lTN z>RTwEo<2PH^qsYLEdvpE-W^R%C7xoO;};`F0$lp#kV&T4AsA|>0O*WKbN>(G(>{TM zQ-&LoGQmDGac5$QG-w$a=Lx5ETZab*r)OP*Kc-*T0X0;KvfpBe^Un~%oPS4a|DSK< z;n!zN-wPk}(U`M_hM?Q-;wRX}QIv9j6a!>)Lp>XsW`7Q4>daZKL~pIZuJkR*Ksx~+;A!g zSkr^DCw&(SPxt#DE=?c4Hgnp!`Zk(*F=vjXLr3hNTwJbQ942fRw~MiI^SXHcUoMc*4GA<$JBe4fC(OCFgU$oGjMC+L-(YGBCU%2b8~%c%(SKxG7sw`b9sdpZ6FAcy@fBJMsl`XuB0`^d@3NvMBpPi( zBX+V{l2y`43MiGkz^y|)fUJY?uDR{&1~a{+4C6c7_{lOcq#f)$)xkj?UCB(E{uK#>?U*OeG;cO_(ADz71%EUsuTpS$3q9N_g-vl zx6i-F-Jju-S^jy39Ddwi@hBlLz?V0$0pD{W)k-or2A8ssyxP*)mSQm`fYRyWN@*OW zq@^V!o87@xc=+6ou#yJ&7W}mmm%n_TY&YCJ9q%{q1}CTU85?__*Ihf8PhR+r{1|Tq z`~Cc`+}1r?PuumfL-aT=V~8+UYtI<49J{fDBlaS&zpDgDZlw65?45yYg7fpBAP{Cc zFmUSEBaPvH_$VL8GW2v%c{0Cb05rHk@QjhSn2b_a_&6sVh*&_e->^)rQD&m%a=}+u zl-P*dXWYk@F<4;{IC^P1XK4)moo61xZ0Otk^x(BO3LmeT%#Xp|?TZ^*mJa+(BhpT&BcG0d+Y(1KyQ&fh==ldx%!e<4<6mMbUaNy20 zwtn>PwSD-U-(}8`Zo`p&eeWxf{#jU*J83-)PVmm`82;`64AGeQ}4YDj@hRjV3vuWeMT=D*}yfv;-)iAqt zu${kUrtq2$o7gLH@q7II8G`ul&8`1-+$9-?56VJE6Gj&E8;3BvS9)qN^Yrwjh~_K@ zBOzZDl?1>H@j`?lZM;$Bh+6=FqZ;%9;>0+|x@tH^JVr>rD-{jhDEx4c!Q_|R z0O{(S+zI^cV3c4L3`g`~2SY$<-Vfo+72&V=0h2@0=fqk}wY8T1fOmluIF${hEcAl7 z0Fm%YHuocph~w$^^Q19cW5*ZInwG=7aU!s3p+x*FS4RP&=tl)Zk$QJ*6f& zJm1+^Vec;Ft4|0h;J4aB4zfr5Ds?|3dKW7-gjPnJ$d>>FC5DBDL)CoVB>MU0SZ0Tr zt+$Po`l1HVn~)C_MvlR;%-9IfU?zR<7=zm7=~Q?Dg7@B z?H;#4Po*z}o+zyzou)fa3y4;A%XxjqJ<4+CXf+rKBG&C1qR0ws!QoB5SRX;~**Uh+#o++f>Y{&8KcBCYr?oBa!G*f8Y zyDtz5soe+e9h!7Gh81DmqhCFI*s|qJr!^AJhaG(EH4#3^z9kt4z9obbi{%o6j0div z1+Bu90#eYqx8tGTX0HnId;^= zZ2p9K{#?ShUXFB#J07{IB1tW-4>(V+Q9Y2vBAl~-t6LM-?0woYvUeKvV_U+;qzx$;(sx= zfUhjE5@==5;wgF%IOt$q^|4z&!GQ?Go0Rk>CXGZ2#9>8+v3*2h5dB~QlZV3^gn}Zv zTGG}39r(`K>>6OHgn!`eQkpbIuaz`=m#UAIr!!!4yH+1TM5K53cyy6WncN}J`FuHB zp;u+6(EsFjI)5WUn^3VmXn%J*m)ZVfD4X+X@a)97t%dW5C|V=Nb?&*ti)mF$aDfm% z66#_3DZeqOXVS-d;$q9h&h2uiF>390bgj5pLy%8<#mB*D>&GH-$&X_X3{Wd3pC%~a zB;$x{wmz@cAA=k*O@fvuNrJK!il#@VhZcWY5f&HWa~kyYf=sa`t;`@?P*PD$2xKoj zB`FOQDFg;mAUYgwTJVyhT?!}ZhWN*=$`GVr72$V1lXNuUYtk3W2IEXf2jHn`iR#2? ztnR#*5a)b@nI-I1{-zL1aE|z~GnX?)s$GtQ-fzIGnl)Br(k^EvlTZK{AN8N#*Fapt zOznJ!7=cfsJ_yKJ;{!|~AWgC|VrEfWkVu(M1k*~!_8S#UTXzQ~%lT7j zl9&s?2W8WFXcJ2)p|gtPr`8piOeN6pleiC;vb`}(mBlQT=iqU}5=oVO*k;#0;kMAbH|)rM|A!brTvCarExnMyMv0 z0(29_3YYz6C_q@-670*YWnxQ9Sy`Fx%lTYF#i&GjMbtH)ov$8yXJs0Z+32mw<#q3B za@TnJ!AZmOjf1z7!`J7t%6ZzaBluEwi&{6j&~~wzB%|SphWA9c?8rlbD&Q5;VQdLs z6h&xKnWf%+Tp4{@Jb1D<(~z=Ap<`vC4j@#H-=uOTmGbu^vyBrm+!;J~RGiNQ9v4Va z+7yp3X~hrFi6Mt+hN8bCh5}TVX6Z2K5{w~L<08kNo3{zBW}nF2QjAk>NvWxm0Lpu~ z=c+0Cl+wbuEvLhyru-+tqSX5#ayXY-_n@fM`;SuFNR0G_jB^ttF`M=9$9C#0I8Wam ztp2dC<pGjRTM#`te(6Msk9;{MN7OYtvl;>nFPjCb|J)9U3cD}RF6`A?}{VngG} zjehZjoF>7ZApNr(a07>V_Aqy36v#KYIH+~BYvq{Xn;2?Uy6r9A`=z-a0}SrVNGIMF z5BXj7cDz=4Zk2u>HJ(jghx6`F=4}G&%fBAZ&j+m+5Ia9pP!BAzO? z_Vd^4Mti#wdOuBizwA?QwpZL)UygU(>^~eQxFNOf_f7s98|Q57?8L?IAoTX_xZht) zBx(&x&X2$WV%Dk#tIoW&q&*n9LbR(er?)f26jo+TZ8YpX>l;jAM?%>GGc-1UO*B|L z-*7&ZCCmMatlVs9Qjw|=r;C^I(H~vPD(f?xC8c)Id-dF}5JbsBisf?~7mRTTwBIQn{i#wj8W$70C^f=n&Pvuq-hA^M7o~JJPb^J=(IW9X-|$0>n~fo3MQXvqJ^@-w@Yt%@tIDm>Pk?(oEkg8R^y=^j zYR;-8ANn~rGc}Xayu3va)M1in!;|oYr4jH|*nA@sB5##zjr9lPSmU$nLNEhcjKj!> zKcms7Ko%vB-^|&rJc7dOcgk*me`dt_&q?|JwL(!<+5Dj@PLQH+WoqcsdfI1#t6^ez7*VL!i1_;m}(m*x|kP-pXBR~buw=~b z{4Y2@I;B-m_7n089(WIpy!0)}_;KFNjNJ1bDr1;Mvd>ONk(>zTgk_5nt}0l#ib$Md7wg z@kG^S`iLHD*zRz4bJ{@-U{G7LrF40H(KcTpYB>qzx|(O71dp{-cQ@JJqgz!zM2uq6 z6F*uP25X#%tb=SpVHh;x5QSu<4ME$}$KL1XAgdc+C?%NE;;3zNyc~77Kdg4$)Ooiz zTUY!78sNJy5@Nr{(4XOnIsXpV@;?T6Y^xmzL!Vv*P`z7uA3APNvSJj8Cy7bW;oyQ| zg~JLL_+*};MB^l3;vhngHuz|$l+Tb!Q~12|j86*aO(i;?cGBBjE*rv??dIWp=)cd) zwB8(?uM*jvW?QfGE^56r^Kz}?JwN|Ca}>CD<7-|Zddjq+GsW|}A*3(L?{&VkZdn|m z+^subd{%e5vi5kqxH!9W6tH+pG5Vv4+p~qw-SOPRTVPq+8#l`r+t*L<*NyGj_2_-_ zyqoW_z=DSZ8F;Bj8ddTDGQ8bK85bLOlbl+2W5J|E|M%4^;Y%=}p?-_owgdhKDsWqk zj_HV;Hu9{dk5ag%`vSR)CoXY^T(`!;-Rmq7n3bTcWEU3#fPn=dV$;?nZJ?t=D34w? z=*v6BNT-p^8Le$_o#;?N=mh9%=4vfM2IdIIA`dSO;RZwk0}I1>#bo3iKK2Ns*05Q@ zXORr~ZvlJXUN|sH@r$PBh&6tBhbBBd2HfJO(8*t|AyWBuXBc%f=&@pq`=U;+6{-yn3Max zthLmv#Y`O6IwNC@MB`8j!|qzN%|Vs#5m-oB{J;Ut_6fFGwQKeHhw|i431*CXd|7;L zw|iF5TcfsZ?YJ+t{eqNRRimBR$Hy^uqt~0ajlI`r&lj5ox~fp9@L!zRhTV4}oj3rP zh~VJZBI5dCC}8L)BjpZrLu7Kw1XfaHB|fl){aAI!y?352#LSi`P|a7CnFB)N`x+#< z7}r&qg&Z}rpRR(>5)`fhdurd~F~+id4LjThhO$|7FB z&Qo1^U54l^Jy}-<%GL!2v!0XwGs~8Ff|#mZyBgaayQKd7ZEtTMmJ`#S^aKOW)z)7( zh7MOpx4Zpj&^pDZL>VW7kC!H1eqYy$S41W`yTbH6Y)H6fH7Btrwaw{7i}P}t3+ho& zb}_a2sMPTY*}kUrvn9J@1gL3)D0)lC`ge2+0D5ErA&maK zE${$XczVUEl;k!=MQUA~P*7&L7_F2~Stx3n7ukfk^8$;Vdu9Wbv_wvniW@!xGL;l~ zITHl`Oo~XZjkgqkwQ?&6jS zqVqx50B!_E%a$493qZg~i9$xnnD8#mqdv*iAy9`}AO;)SWimw8mrq~jJQ)H)2|Xf# zPiNM-_Y9+7Ba@=g)JGnuV^rQxUMIvp>~3)UnqSb-ck_ELnd{GR$;^MpDfpkKd%zf8 zJ9K8`{!R)#|3DfwVi79hsU1fvFVmx%`G+>d|60GlY3_G&Z>x>@zcb4`Vo#i+`SWA-Pq-I7qH?MnbZIy*`oRj*^d+I=Z{y$ zjfXG@;5-1@x44BY{pR$N0!4=OI<^!3P*HOx2$Xu!x-OZxr=cdi%i>X8#x!_V?D8s~ zS@Pr5EQ7%V&aa)P{2r?XGf{_H%!Zd+Y=(-cOB<{s6y1l{;?7uW&Z=b)q>_6+nteFo zCwB;>DIMT7H7oRhNg9MQ%kQUAG+sD| zSd#WP>F(-!ZtT@kON!LBG=edqD|8t1{RaD(4_2!qKZxwX+YS7 z!U^>`^j+ko{eDG2Ye|dCLB{DC-n`vV5r69!ECOD!*Gt>O!}M58qfT+viJy<_;c~>X*=a-836z|>PRkUB`drd{GlDvY z`UZO?5u|Mrj1q~ShJ#$xYi-d0g_LMBwx1Y7Y)B!MgSqMm zPI0U>i*>aTWReHu)c(A0q6~%Om(IYxQwRDHREyL$>|iiaN>NMVm9VyftHUen3YYP7-S(h81sE>`H2y(r129KB~p2c%L8dhK-_S74$wgTt|w1aKZHG6>{S9 zw=%zn+@Il(|3zyw8|YvSB-cM@6Y_bCNFgKD(uHOjy1Et}&c^KTj~crL0T(magvCco zjZx#=lYVY?1(^wpKp43MDt?%`EUPLV9Jri}n4AW6jnxe&u&sFGJ^K$@^MG3)in+vh-!%<0#JT>B@6LlgCPi6$XXz) zD&){rVCJMY?mu41@X9Ev0h(n7%-d+-JKhL4CF856lq1l{NB+1hu5Zwot}v`+r`J{6 zRy7zl%u|SH2emq^H>0naqnl zc!^*t8&4wVD%QdnG`KP(sKYp0k~;c`JH9N8D<>Iko(jR;^|bB%RI{AP7ziKjgK&L5 zxm-8x`;@Em6;{||%Cg?gcW&Ge9bLRMQ-PVgA<5VUWN~-lJuVLuHlv0eE58W7-f?qN zQEy@2rz@=BMWyPsX4+B6^{QfIzYJB<}iq z$ov`Vm-FwW^Ze)Q@3pNBO&A+=;X(Fw)nnJmZ0RW_(-x8eNFj=bL?{{xYmr7_6Go}< z4DET|-Q_>2nFA@`hbKPcKgDsqSNDB+Y~<7@YrRz`J;r#Hyfm{Uw%5v};rwKFZ1%@m zV~|-gv_jR}MotmBI;?n7!UjNmT4q9q!75azC0tETIW(WK4?=91Ln%M z%`a*%+2u5G`0#cER{CwBVmeMl`AROxd$HncXLLz~vmscLXfsoM!Lrlkxne9x;DZ~} z(PB(1w9**Eh)8K3S3FwZ;MT=Ii&yryiALnTR|@eWi&Gqcp%)enD;iR-5mkn-(+9}7 z=NIN7sEDKM1If(;n2IUPMq7<4u|3<10_R!3rRi@QHIc*?@<`WEM2BOfi9oWB2Lv=* zIq<3aDg2CQS&_NOi<3!`mZo*03&q$NiI?{8Vv16?*~%(X8`dbd0CQ5!zkl*H3dV6H zRaT;3TJrw&+AZODee3so)cqOK_}>{#U(**t{D6KC4nG2$kaHw6h>vaOE=|TyOxsu4 z@|1OONjG8@CyPFYkK>`D+W+wX9tU3{GR!q#G)x#}w&o$Oc#3$k-qGx`+qW?df6Tak z-MN0%IrlU!_VRRgIxmr|*CLp`6?1#O{Hgce8K+JEnWuQ~F80onCf-5tK$XcgjfE#zYhhL4Z%SS?%cRFzirKPQ7RFrzIVa9^CG})*R1Kb-Q3e6Qn3)2_2P<-rmEPl~ z&~n=RL!w0@&G$8q-2MV`O|rQVHA>a<08o%s30Op!*aa|43wvgnx*m#_H*8z8Jr|V) z8`Hvme4l|*FnXb=5K(IrzvvhW^mS0Y%98V#smxzd8 zK#QSuwFEbC|Cd5KngNls5AFd&UJ5u@Ve&o4kE#do`dkuH};HVdkhk^xNIXv1Y$p z@P^h8k?N|c*0xwrPe|jKpMs_p(*16->E3dTzik}rY;Q_y|1d65wx1rcb-aDswK$)= zo6zNWVaZNVS(HCJdA5GO=P022gsnH~HZSNlN7*`0d0M&4t5NsEQ=lUwiZNQ3k#aeL zQKvXN`}zLY+3i|>C-nHb;27d`Dh(?}2B^*9)76|hhx|>(J|2Sf=K&dz?O|_*fYwXg zy|*1DD#V=FbYa+8AtKxuGq9)c3oc1xifuZd6;?OUG4|p&Jef6lf4|H8xHpcvTx z8^j9pt8C2O%nj{~boxXhKikAHEW_~O}6@OfzX_qQ%we}*^yH!NPEz&NR zAKc~cg``WXnMEy=_&W=y5VW^@qwfDx#j70_}6an#lvjZ{-A;4dCw?u zPM{LExLn)=nW!*{auM+W41hS4rl8vzI%We5oXKqsF$0gqgS4c0D+bFfq4p~a3A0Ok z5!3)IpG&$gms#LR_{u}>>PC3Qujkc4XKh62@e8_P==7kYFURe}-*&s3QaY*LKwl{3 z=&w|`>7KBUwxxb1+aeLV_$EnhE}bh$-HZP2=+~_OC=9$ZlUVnnol1q4%X??W-prXF_eNF3S)1us?>*PEj_-f$W7?H zfAsV_-F*BisUtmA7hFpv0!*Ml=ivnJ$5c~iB#@5X4{RP(WtuE6$ic9 z8z$ec3M4S$VGcmm7v+jG8EW3oK~I2WXD{_xM4AgL`4fikqR}tWN78k&WV0Od!Gw`m83J5 zTKbNa4LCKV`(mN{;Y|-yxt7^;ZhjrB z_fF1szRrFgQ+lIs$NIe@&es+n`a9S8Q~Va5ydLeD7X`Dguo47rA{Ol(cr@idVNHXg z13=E*MN5wNS%l?9L4K4$b>|6p=O;0fWAmKL*}B%gz8<>|KgOPqXU>|?yv(G_q>J10 zhxV5a`jqCy_+V@Tdz< z#r-8x6m<{*444a6&Lm_AxrD;VCS#=ZardK=yS}FSQmhKj+jo^>nDHiG&W8;=**{mO zNXVxcG>PF-Y?NBnhc{TM1B*l8J2CJR#$h@*0WmuuhcKV z-EGM4G52Sfj z5~86f5< zFqVGoIWfvnHwI<9>;q|8ixaCakV-JCLrtA19MbN6VY+MY=f?+z%yRfH6BT=>nv4BJKIYmTB z_e(&JK9);Tm&W7?_ZYiPjig_icCeP&Q}pD6zjL#Vgo zrdPGv;`e`xVYRO%41N!xKSKxqy_l2#7+Zhc=z+%8O9q}%@!``^R;7j;`iQ(R0A&(} z#xkWX@;RvdL$j1R_&cUlVI7Ar4y-x(rbi=l%V|1iY`hYKkan5X2AEGCQx%1gEsvl>l^V=n;)V>bB%R`Rvz^hKEvXmD`B zVZB;Tz zO#_sd`qdl&MFy>9Of($?5`35pJks9GIjFJ#<}kz{$Bw2j!mBKkFldOV45j)m$VFKy z!+uEqoWGbo9DP+D?3{0Deh;-j!yx~Q&;WE$i=GOo=u^r!5E33hCsatM|1O}RVb_B9 z=gy>rXOMqHX*`7-tP}thrVAAr6_pm~N~ekLfFnb8xWFplGzR|3jq_x+&DHDjzGA#e z`Qg^*VfVwsgWQAF(zE-`sh7{Wi~G6BugiC*%f)xzuF_q1&^l5~G~{Iih4J4*htQkb zSRo9N4Gh%9Fsd;j&&J3UnL<_x!1O{O4}01h4^4^_nA{;`hep7#!P>!Dz`)-V!+azZ zxkDU#u;JWOJKV0-Q`_Do^lT)^m^?{>6QHdc+s%K{WxUkoBs#v$j*dwy!kOQaSEe4J zlKfZ@$!#Ie{6(CF7d;Uk6HT()-1VbSteR-3b)WqV&=_Jel@qOmJam^P~N7D|Q0^``f^C$k{-l}+$@Cm*+NmHHfujpkJ7>{g{% zyozlWXWjnS)S2sDSz;vcqd< z#p`yCKT9(Xy;fytWcBS~g4?p7AV0t0%KiC|^X-0#PC+|8pGAw}pl$14ZWrDTwSt0c z4=!JMi3D4D)^xlHbhF#OZDkMU@cS&vOU&0PJsi7u-MD((m~wm7^AXfflNd&v>kF)P z@-1--dS5&}Jw*h4!h+UmO)r#{t9)09H+uLU5Y!WBLXv!_&c=P2rWWGWYuSrSdmrBK zpI2|%HIb8&{9T8zTxiMyy*x%_YKp~<3r09U^NliIj%LM|d2`^{0F=a~cn!@(^E--| z7@uZRs_;rUT+%X4^-?uE5>9>6X~N1$=*|g!NgFLh1%NRoV3ny2NkP&QrTkzQUp>IA zMrMlV{!$v=OwERbA}73BrC`c|XGVxS&INKGR^1RbHm*FrATTmd7fg(wQZd}gz$J}K zxCP2yNc{mNHn;vGx6A#@5uL5(#hN7#kUxSAnNL70tGFgPK?IdjD#iq|IhB$VsNk4| zPIFv3GV!s{ieTwS+D?4QnD)iS*v_k83u@}-@%NMJ&#=hZ)~)$Kf(Lq_V{K#{doNHIA;2|alf;wXFc1?Patu9{kFqfGlOuP%YqW=R3ZV} z`wF*B{8CHQ1dXE*TXX@17vr49(h!ainBXKW@|hwk83%Ea5CwJX5}j3xAzBZg01{poeOlJ%+PIoai%u_7?P-cHHF@#q z&a?hw(TzC%3@DY3X&K(ZlPhz4S1iuMVWA`x6%HU)0Hb0F)pq+HuMfh}znk<;vPXQK zOcXOl*XgcS*`nvwhHh2+!^y^+>FJXG?a?dI%QqI8#_9=A!x8z3{h#9rC79$ted|bL%Qu~$IBu@@FjT5D8SeB>z6%y_Seh( z?CC)l))qd(CqB=%4E{TxTDRHAmn`|Jw8>hWD$McayXS-H>Df)Ohix@bs!pqW2=jWU zZBcUF;D!6+*52geL)Sc~TPG31_}bf((}HlGVxLl4+96d5%DnB#37SNg(!2y=Ry(l? zQf$H>Q^d&VjNoNx{>;ys7^|4uh*z zK*JT57QP*Vm6F>U8J?7390IwwJ%iXo^R8V@&C=Eqa}pIk+N6TMS01a^==_z$TmWu-GHF#X`%;7ulYRgdAepss}Y0GNBmZ62!w z#Pcrz8SYaPd)0yoKtY?o9hhXaO4Y5AJvTVJMRXcpIG`~APruL<<6;Fq-a=gnW&-%M z7J`}Cadd^aI5l%#xo1leN%hMud{MX9%j;q8bx{2>mU=eyU zxYs!^M|a7+Zhm=e`Q|&EPVSzk-=C^I?HWBjd)S#d8l;gZh9b@%yMgF%6r@7nUm5-7dKPr3*7g&#)rp2k zp_wq@Sjd>cat*%4iZe0ct%W)u#F;Eaws<5lBt__|i65)a*hs9({86}4EPPaCtc>Of z-Yyy*7vR7QVeet@>eh;;SEY|?Fiy`UlpTLcUR=#AZX{f3etJDUn@W_d`8|IAISKrC zw1>Y}MDZV!iZ1>y0wLrjb09twl*F`O1xiQF+K2sFq>JdQkBlBE&s0hJ3>kAEjEp3b z*OcD0=nk_-9VjYixUg6@8#KYzqhlv%r^c)?UwJ;v(_A1r-WA@iJe#e%#`9c#_cVG; zbZYy!)_iH@w)Jys)wQ8MgJ1WE6Kh(+EMdp^_Icm<()oVlRM)Ad(?!6;ox^4+$ieH( zn0eWDcRWc?j}9rj@$&rQY#*dccrOa9TRnT*`kt&j+}!L;mR)b%;z?A^S3!sAR}5v) zAY#fxQ__;rAd;^#e5lu?(KS~p*g%|n3(^-b3sHgM#fbr;EmBI|Dr&;zMNG~#3wtqa z#?65%(%qh(COO@qDI-_(i#n>Q0T!JALHbZb_WkjKLmGK~bu$bHZ9SR<`(`GPZ&LfU`?9!35|WhSU3 zU%@{%DdPsrg%W&v9AFuQYBSrv{gxPDKn5v)#k}vJFHHd#93W8D{sOW&KwjLK{vK%m zoL&E4s|_W^wbrIC7Dl$9$_HP2``HS={r>R7!N}L1hOuZ+O*P2K@G3RTCS8MamgYce zLmH^W8Wg*9GnalnlX^Lmd@_*$5+zH|L*^Th3U_*#Vmg(MCX58;VH=|pc$Y) zr8BHFIaY;*NwO)u0f6s1WUur2yDkOr&_i{Zntur%B4ZYLZ?#hG^kXP0hHI^=vLoGT z+-u|Cna70eRE}1=$40(oXYtcCOdc59X8Svd4QH4=buP681}q zqOiJ#l#@c8$^*lc<6~iHa#_fSLYPRvnUKk}M3QSmEjhrKa|ENnR>=;3yTjraBc+Y=@k}MrE|Qz{g$ug@;6L z2CDkL@X1Y&saV>iaY6c0n7!oiNAF@UC}uq)80f@eLft9oackZBSY z4|2)sDj=&_xVzg6q?@EI7>CCpU(#{Hq7hs{Z=Ijtn2uqmo27qwhQMS=@gw32Y_3nL zAo*E`khORoGL zM1O|<{d?`P|E*i)uULRr`WJNUGKkyIde6_AnkC`J0gV)H#{6mHO-{|-|AddP!{OoZvf%p4Y1Z0`s_bxHH3?@$ zqKl~^MG(pNs5p}8HhMZ>b;2O{yK5FlYX_S|AzxOw@-`{Vb%CuOoQm-6JS4OIi z3C(Fr_Id*h@<*veFK=7u{z|fAF+EgJjl~5p5_Zrd<14{NoK6`wqZ%TqVd>UZG{-SzeK9EwsN>lIEyIMPCh%ii2%#kL5eJ9eM@#eD1-I z;pbv+BpwVD!`!>z9{i;*4-;gV){)Xa;9(JHuXk0dOR2q^9G>;izYQvqsi0jYHNfzr zppZBOSU6g}&cP`t<(uB~Cc&%_p3(^fvQw|#zES?%!zGCHq5 zJuW}p{dlTaA`HJ;TIi^*TU**3|8~j1mi=_}YrH zgQ8}0)%i&&S`7p9agyr8!|7V5k+E9S)4?dv`I1F*i{=oJ95sk@7v%g&B9$I#c4LD{ z{M}w9FE>MSxa$JbD>GufJuFcYmfFH5&*MydifQk+*rjOE6OaCon#vulK@FH5b4}XSg|4&YaBb(Y)-`AbXrlFT z@0PRL*wi}5fA%v%_>tC(-?BA--O;fm;9KW@?qkW-ejmQG1vKvHI6(5r<|8m^O`guo z&L$Wu<^}Cv{j`cUxoP9fh*@9QJT$VuGjhK2a=vm{=(w2{)XtDoeKflLsh4s*UfhZ) zfi#B1*#TSuLxGc%<-9slQ0_L1GL5Nlr6)=YI2#Bz$}ZS0)Dx@fcGLlAts~1h`6#k9 zK=ML(%^*CjMfw1lZ#W|LAh_HDNa>F%LaV((PT0^0vQD8GV%C#luMyn(EXk$Ab;60_ zrO%~9H6_@z+fW)d$-PCmA*G);VAA%PBm3l}ioc*{pmcR&X%y$GFn^ou7BhaRRP^85 zwnpGGDvWK2Bmb(Pj1wXWQTrB-GA1Z31dfK5y32fDQ6YyktU%1|ppYx^5*xBFJY^jB zqp`a+xA!KwYnlpAX=&ecl#wZWgoAWcJox=wnxzz8D%^u<@6q3 zUfR!rI@#`)^mH6@91H+#O3wIqxR*lvfXY%Jxq$>}zOT z{m4CXWWm=RGgb7_`SCu~#41cwPd9P)+zH2cYmRK3s$5iDn#`X~N?253kE3_pD0q1A5(`(ZiQ-hyPy>byQBtq2CwL-@$$VESBaU z&5A7sXgec>-1p2a6wa%Y}TaAW|h1((xrMOhFhRhdhSN zQ1HqE8Db?U0)T%NxCxl%IuNcMB9zpfO0rNJZhs*eKN!CKsUh`^2qL=<&wztY}XK0ZM)I&1kD~ zP*51L*GlfD-=;bm6SV&((c8TsxW0RF6C9{m;~>+d?q|>>B>gW@G}@06o!n{2QoKXNupvjvFWOTfd4+&Nif%<_vO~bTvYP3ZmC=>(L z$g%Mi!OUR}?Q_MKpQ{aviksstSz7wVDx|o`Ga^)ehQHjXr*&M!{JzTm4m`}l{Xges zfqi&iu7#g+mz(SY-=Hc(C7SkqsAO__%tgBl~jg6c|qU z^EP>NX`$X7m@eQM$FGf$lmQKRe}(}x)kmAjs6Zx#$;*mD&x7F!Z^pFMfI&g{f7fRL2WJX%gwtqi9_{rA{FAU*y%}l;zsj0K27(g76(DT4o8l*%iN~+$D8lR zmTE62oR5%_IlnKYzk~jA{0WG_{m*s<_9_939iD8_`Fd9}$6Wy!~uDC9cOY;5mh^3| zHa*w(#sPHLwX$EX1$KnHv-b340^08B z0hn^aqjZLU=4?LRX8O)GMC@&QAxffrpIxD47;_IV#-SHePfMQDyzD?oF9ea<_R!1ucV%u$Wv;A zSe$EOIJf<(zFggspU+y34>#2hOBq!pw*1A8jX5qMC4&NgibhmZWJ*;->)gLsNHc-F zvlL~dR{68;+6_2e{n7Kn;rGS%cc9{bu?mtY4!xKvJt{Vx!4hL`!cd323nejWu{`YS zU5cRWH^h^{5RnnJGk8x*>LS0)|%e>7O zCCRrcxq~5D&~%uPa@aQBSNCm)pzE{GP`fXkfOZG>YIcEEdJ}LKEy53=fP5jt4D+7F z9}`)Gm}n-3hSVuyRS~9w=`xk>%WRwL2&CBRtzE;9V^h6PukyoZUUlI>gzL?a1}wgf zPc!2E+D|sLMai5ozIp}9lKmuPDC^GweEq?~CESb0yWzTmZn(=%0X`ceUw`4y1z2oB zU@t-^&Dx@Q^zbA& zz1*vviIV_pONkktH(RpMO{i=tGG`TPA{^q1 z^qh02f>vysJHTPDOByZrr@grq-o}@9wdW)GTW1ayrvDuS{v)#CPyFZq*{;YGz;1%V zSdY_a!XfMnskS~RBQ`FkCX^~De4!)+km$Z&E5)oT*Sqr9hb$R4hX_+3Wl8yAvLF?$ zM9BANZIy1YT-H26tLdkCtqGZd4XnVi(y`|0ZE3UB_BQbRb9FNtnSFXffN=W!=w!>` z<<|CW#JrFey|hr0iLfL{NPri`VUiztYxX|Y7wptKboFbg?x&II*A8IH2}99%G?Gri zTD5%g^h!P>FX()3WA|$QUSjRq=J7`>=-%+&15`^QeeRQM7+bByPh~Wsk)JZaiXb|Q zL)!K_c`L*(@lX|!E&$J~i!>{Zm;hes0HW5zS#hF$$Jx&yQ(TzToT=?=+vGZ#bIBw! zvZ#Eo<0@7JMhKS}KorhGibgh5)=F7{RuemjLLD}7GNdH8t0`U-O2E7mS3t;AI8QW5 zLYQxSg4LW%8RBjTtpC~PO-A&j*{Qb+n>C|_buruizMzK;!jtwI8+62)Jt3$<5@lRygl-CD zgn^PZTdx(@AaX%t->v{u`t-3iZ)r#}nYj##J?8vSt@uz*k_r-5cD+ zv+#}tRV?MXaNOp);$8c-YT>)IhI`@1V#(Pc$!H;nneq(L(vT?J4CztKzd#z>3}MmL z4$bzt$C|#v5e7_^ym~D}4g*&&Qdzn*OuZ*csaRo%E&*V>w3U}Hj<-jZ$RW+_byz{J zg`rYOx}BWNTcjT*83tJiWRDIzSQ<`q*F$GAM~D)s?h6_u<)*wf4VZwHM*B!Np-~?} z%=KDC4rnhE^uqyDlcGC4StHhV_umS^4!;hFfxtN}r)^Yy!*slwX zK3`3<6IFjojx8-$jKc~dmxHMXY3tk)ol@ge@E@)CF6&}fT{G0Sj_w>U97L{GroV{R zb|@rae_u&|2N?b{(b_*oPrMlUmtNlAp(z(cc0ASw@^y`0NX?9l%!65l6h={omwIDh zAsl_VhglO$fSYSWF6B7`proc~pxB>vedFksl6rm3H@-A{BIcT-V>x3vDjvyacQPDY z3>qJ&l#utyY-^#`Wu#zCUb{=bU7B@r@)r1<)YG@HeqiaVh*&-R*zxnJ7H>HtTD9){ z_-B_1ht4?S9ADt>XF#n4FSj;3qLwgGbNCC0v&RMP@k|Nd?bHBN0^;avA3a+Z-UHVA+Yg5yM!D zt|-Ag6Ih_5Qc_ixr;3a>PePR>C6p|JLMd6*c#A?FvgQHx8Xs0wsNal&W>U4g|GVW$ z#0B%UIEDdlI0jcp7aAy|Nc}BH&qT^mu7b!cVL+=0GCI;A3P+poglvPjNI<_i53!Lf z^k*d+2p1bZr~X%Nw=jOJOl zu$R)Qi0K!M8Wly#;TC0Y)dfV$NM5UvHK~5)vdc5Wu8y%9Cg^AdwN(S)PhDMGGLM%y zTeE4`K4)@v2(on??CeT8x?f!1AB{Hx%Lzfl)wju`9t~7e60I2*5CGS0JlOYIaB@HL z5Gl8@=pazkg~H8X115P9h1=@n>P?VQKbB~XJ28zjau8B(p#LI}aN__58xPS{>r zqZ`bUh3==a?IXQiSh~A(ODGJ@^3=PdL4Fq@+E14}d{9pl?v*8PTDLD8yL&HRhulV0 zECTm9P=`Unq-6&YtE(VO94D$CH7)*5SQf5qF@@qS3L@CsW*B%eW(O?PAqp-_PjoLAA64j>Tt z(Ols_tfkWm;!OlH9+qpud9?|JiNcKO2|Aum#wY_>s+- z_=8N#efxnx3#&Kb3Tx=o7b;;gDy$UM$zF{Rqg~7^F(o-D4+xZT~jah(aXAAxRO z-1zh;rxJo=h(4K|zD1)Jiw^>}s)SkfnB%Q|`n=J57+WsPCjvmLU5VodF;2qgbbN+l zd0#p4H8~`K4&d|UcGvav&g>IIfzo+x%m-5cm&`-=LNR)cLbpl{Ytc-@U>>ndzp@EV zbfhqKu}Zxqb@GOwd2V>e=6rQ1NOzjSyO>0Acz97N3@-aPkI@<)Iq4pnfG`*;V)K|0 z^9Lb-I4aH;^_)T@Rp#Kv*8+3+q(MSnpLYRn8#qA{G}~_v&aqNG1}BDvdW48r5xCnm zI-QBUg{5N`)XhuX$PV&4lAnh%k$3v52Stp!uC1F#=a(~EclSSuCD_-D=F*En^ zp+Xe(Li88f^I!hx^&+BHP$L$M7ALDh9+(y>AlJeD@S%7nFK;k|j69!P)*&Z}+&gRW zC`ezbi}PK3;xHHLf_P9O3Vn!4X_Kq#>5CvxO#(#r`%3#eU^2^}80P$Q*!mC5Ck+2o z_y9o$iOx7#R&oGa(0vj`xRpv=zhV_{(pZ3kTY@@?AU!R;q0)=pg+gq<-Wi(L2UeBD z9gk-VF17mjT6%jvf-x7Z>$U73SEsd)bymLF4IM?t(dQkuKWFDR5AN4@lTUVyK@RF& zV}|dfkYTcbjdGHZfV!kFuLJyGko}OchEQ4jjO|E>-3aw1&5$D@@bWRszOM$m4(FwR zNJ7q2{b15bl!8X|CZ5OyFaO;9on)jGOT2OBSY6*j8`Up1Z;L5WS#I+{vb@Q2dsc5& zFGba#`rLbJ!9YM8ZpJ&rzC1&HQI)|vD%H~JO?Gs?Q1W*b*>$KSY$hM@FzE9eN+S7j9R(eo;$ek>=QaAcA--D9C|plt8*P%e}31hQdw7pmoPnK z#Kkv-A?GIGyTn$U)c{-WzC*Mc9+H?}#^fq0eAg- z5#{0XW9)paQ*>LlJT=dHU_-Nuw<*ZxY5S`9V6|^G@?oPPvLXHDWrx@;>Gk^p`a3xB zpD7;x(W=P6zJl)+(Sm$aam8S*J$AG1xmi=29R-U}J~t^S+7*YBu)+G&leX9&6WWoI zFi7V%FcVK2tNHt*;-YTNITqVBWnhopx1Dl3YsBM9?OHTCTHoI#%5|8oM%nm!fYw*a zJ$DHS7%2gL`>Pf#57(Es9(PW+#%|063<5|#_@|6=UkT|4^1(ybxLZ?J`;QT%x#^yc zx}M7ljZs~jcA$=I`e?<%Nc!yd`sUHXX?$07KIQHyq4#ssHOQ>P9m_4Rp~L}L-u$Ve zdy1rhgvx|f$IzU>;l%L_2k+^$u#xOK396CZf^PeUxthv`N;Do=3!?C>goQ@t!!oHTD&Sv8tgWnVZ!4G9)1yV=Xpo%y=3_WbL=bq)_FHh&;G!P@GZA1dg+JXeTIu=dIR)#RU7;s9|fG=Va` ziNX{o?2ulWphvWyOS;BfVY#Q;)%b3K9*r7J)?6)yUQg6Mi8W1x%xvZ)+Ph}wJ^0e$pWmZt_G8%BV zbdp`YRX+Y$&e(IB&4Xzg9PePH1GLL9(y5gJ%pZcIr!{LwRzuMZ!iHH&jT6;@bs8A8 zBizHtFt`+k^xOkIU|=mXGAu(=wk^)>gAsfxhN5(3K;*Vx=P(7vVI5n zd;%vuuziz^`hEHQ9dMYF^$#em|AO|w#3>jY?t5k-BSVJmx^Xcv6+9Vh2QKla7YHfu zQqM^W8C*u%LLy%eD);$+6UxCOJ-?sJO=P= zoIhRFthRo#*_|9pKi=w!C#2-9n>-xaue&YjxZz0AZBOxDNk_b&);~SnUA?H_!0m{!Of88$~PHt@evSSu#X~B{SW_-@Pdh%L)ynWiQ zMr!R46j)nxNl?%KTxLlNgdU(wT#e&YH>s5~mdGDh9yG2|z&>kUF(^c}1~7f)@hYiNGbE>O-h-8`UV%36clK>wz~3?rTikh^)eOJhC4mN*?qCWs=WM|(yBtl zB^(#=@#a)`&8CdD$gxC-alHg(=nrz*Q9Eew&uRKR4wjpK&J2|p#!laU9kz-PmJ(i1 z(94Pw9hNMPz2#n%vI1GO{R09~(m6t49O9i6W* z1{jzL&nCswLx_vlbaZ~QP%7>RdAqQ>Zn__zg zr%IR*y+Sdnhry^Yt`#}!fSf0LPxWf97b3q}1g5x{a!cPhZlE8FP3Zh-ZBF_<6E)GR zA8uJG_3=eZp;A0zI^e}mSfgm@{TH+xLu+XoYdX>^5nI)Hu=ati?CJ`7#l|fSQ%*AP zwcSytXiwN7Dm1>ZIs)!MXTX6XQ@2OSmK!m?MhawQx5rQQ0QGd3?i0DMDIL`JK$7ub zu<1&lmyUeD$Q~5Z8X?}vspk$B zMM(xlMsvwW6%|=Z=h&Z!Qjb)~TEvxgn`XRo9zqE4l`4{#d2v49dF<*fDB|=8S6f@% z8xog0fJ+Npp7w{+phNv~=VR%^YVdTB?O*MD>?1JbCyurO8)e&LBOHQ6AQ*9o`yUvd5~`J%Y9{ zJK7_BeQp+8i)R_w9e;CcJSN{*&03D#ht z9ZpN;5W&kbEQuh%wQ7C$^DBW21qLkYr4KWxRYI7G%BZ`9d5&0oB>g5z;t0tm8c(w! z;-dO3&2w~LEk_KkQ|OaHjbwqmsznY5v6RMO(igv+E^2}b=~VOZm78KjVtoHt1Ialx zg?ORxFY2jI>_884i-0&A;F|h#J zn!0tm;ykQKsjS2u_7#!*?WkV3HZplt#cm@QM0c?z1o2dG4=*%DGFa*G z@-NegV4J01@e-%WBB!D#kK~m6$?28f zKa#(L8Z-aNnDE~#vFyu{-eWvC^U1=1L>Njcq~%*%>ODIMZf5FQXZT}jB`%d}E_G;a z4)pT(vEJT9Tug&3(=-{Iov{qZ-3(UYr6pWO-3n{#Dr<(~ueY8H56xCSdMBlBWmncY>Ch{+{ZQ&|vYr{O5vx6%7y zJ%3@lk0-odCcH>iQ*)jjuT64|0)kcZhHoOqU0z|x^?YjG`uwgF!^M_2^Y+%gamkq$ zo?9Zp9_K{4fRAb*|J;ZLd+su)jK}l7xvwA+$SlE}y+Ldu9Zw98;a^p@0U52R$NU~0 zNjf6!Ei)7*6V@b=1$^O<^1|VZiehrf`ZP(5*`2e!`Sx2%c4RO+34{PN?>*BaTe>~8 zE?NxsUH~mEqQ`Xn{^X>>An9y)-{!^54;cJhh$M*+1BHVwV!Vb82zopg|Ad0qQg;b7 z5YhdTTHN$E(lGk!LUe-)gXS#Zw%vkF^SFoLFq(U{LzSy-kBf_syRibT*AM4C&)}1( zH4BN$-xto`!F~VCBK^Ns;n5eRL*FyQ`6gw5Cpf#+b>8XP$kRh$P87K}UIHl`GC7p! z1p5476yWQ(P9D$AKn!%CaO`Qp!aYQV9@ z`@Z3!v%aPuzL#f4r?=79>BIR~;{)cd58DrBhr7b}-mVV6t|s?wJipE7@$;G`2S>Nw zUd(%a%aCvFt4|+q>2tZ>&~W9uk2Lt|?Q?VCohTz#L^E)hgi|kQS%1Y$!WHyM^O|{L^iwxN{KD#K!#m)u7>ptH4yc~2R~!TxVYC1$N7HWh+=8E zXYzD=Sjc1Vdo&9zc@Wstw*;WDklS*}uoTnk& zI$pob2CUuZzYTO?Vfi~4G1DKA$;|)Vrdl1qv%$kh2%j$jov;k(L~4qVT(ieVBV$L> zbN6E&Bs#`)m=JzYaza!j-Na(C$S4cscPBRft^2F(Cj#GZhI)#l31^}a2_MxtuQ_$E zpR2BX)$RptuRB(kpXh9=!_yBpuWec$+dSCm7r!54X-?*Oydy5u`>v<~z@+G*si7QL zh1ww^@Qg5*RAuSva??eu`;@zHRe%2tf7d<9SO$$xjRAV`Lg>99!C__o0(G= zfhs{K9&0w6T8BklD=XRa&XJu&{#hyF4YDuxlnQjbEK?cG9S$ z;ieJNA%&)}GBD{fs4x+4IsE+LqC|w3o3N_p%ku{1(n|BhnuwwSM2C{tn#xXHwdKwkU-zIdF@5WaKY((~C{50*C7EYZRFlc_twv z`S^v3=I@zs()jlV08F3#(yN5Y-_^R!1F-z5kX@=(qooR!w6#xXJ%5%oIeOYZne5J8 zHsj9kF!=>vhc%Y>UA?*9UpYA6yIt6&Ouh#!G)68=mWQ98jjygdUGG;q5Q{vha<5T*lOhu+COFGzh;aQ#76%GsP@X!F2hQx-hMWKcQH5P1{gC@ho)3U`7 zmU-ZEg`H8YVqR^SUt&<)yQlBU(VeEqH_1JN;uM9#+4}M43>6XZ8O}#%c`?HTg;^;Q z9!Xtfnn^s=4i{fZ4`B+7{nLJm$^BH(v{=`F+B`BoNL*AQu)> zs5KThpZk(1ovdCL1kR6uL}h1|3RmjxG7u&)d+;q0FVqPigq1>}Tta8D=UZMKb)3uF zaZd8Lmd6C@oA$Iat5?PslwrjUeRj$cqv6os9aWx?c;nM?b?m~@erybho5vRQ@TJzwM9 zNzs$H#&g=Np#0%!e1~q3Z#%+CJEE@_&u0dc>2sfkt=7tkC>*}GjFXlZN5D_|d3gAr z(8!J^gxnm<>6po2EL@sD#@dX!`LeYEn+32gs4XGP^8$DsG8~eozct|@f0xp*cgR9v zryl>ll391#X4Xjkff9v=iy8p&`J!c|tZu&g4geI^Kx2kvRT%=5s-c)9lc@^ei)M0O z9f&B**yTh;lBe7RtCz9VSUO3)DFHRjH2PsfYgs6_8Eus^@L_<{G1J3^lbk`Bp0Qw2Db zo^K|+;670#OADj_#uM;f#k9N=sgpG+C;~R+Sr;%!=KY$5y-}BgL#J|$QOEWQR7^Oa zrIs1|a|bA4iSz`^^or<2Qug1iPwq{yDGTz9_t>*whHSmV~le17Ha`PsnG z)#$=lHE43vz}1~yM@IJ%xUBX0m|jq(?C{aTML}CWY5LrO=hpk{J;;eo(4AQj&&Q+g z@~7QBsC8GKlMdOkFnO8!1RW{PL=|$B1s$MN_jz)e$u^La4-3Pi#w16f*ZhPYO?*3! z3tnNF9T!JBORsu<<^$O_w6qL~L|u-V!U%2B2Y=g%wivcS9@3CEM+RLXQ{8C=1fVnt zZ?&X2&q+B1M1xyjVC-RSm1cvDQ5UZ{WPcJ~st_P2wZfdL>g`V~$pg3I;PO)SQ9o{c zb8Lm+>Ky)n9E1XFg3CeST@*2V%VKqinJpOAb+{Ickb>y+Jn@)LsR#td3CdOJSa{=e z7&CO@UThfunfQE;aqyCQqXgEtvKeI5@^puTF7dG# zF-fm1+(-zm5MOGoUgYfe`gWGT0|@^MJ^}B=0_TNKkUuYR6;_6$pIa>m*&n-SXKh`u z;^-%Na8u)-g$#rT(5X>O8ZHOcd&_ z%2%<}CP1rCZ1xDISXTT;J5fQ3FfKrdCO1`h8&$Z2I%2pDW6&#+oQ8lhoi9mDyeR$z zFov%vyF#$30Yd(g5pWK+8HL0jy${IWp~<$HGgVj2!71^3H+~+w2SVtht+aW3vIZL+ zSZEXaga`z5YV34)=@5*YoCWPqdh&7hIpSZ0-A+DC>qkmcKpL0}CW@UCw#-KTfz*Ekt-Jws5<_~_2?3#8n53?0P9_hI5?gbhT4)hG zzbEx^;r8|raAR6*1)Z&~yzG0@@{-EGZ&iN>+U5A86xM$ZQ!&~v}D8-hEvDu zxMOJ=cQkfnQ$`X-1w=&=4EMvbGGu%t)KR9gE~QE&o6+%5tZC3YCuMHFT!HCv-~x?! zX4P4`p3Ej63^#Zj|C*&uPD-+*ZCq+`UAvf{8Cz=AUE}9l@w_;!;e2LE0Vm5u=xBR+ z+!#OjrnHf&gpT z_ksdW;PW$i*X(R7LGHEFEUOMTJ0N*V9go>QLSTSH4@eZ6(qTr`rGkzg9X9t9dnQ%J z0a+eX0=LHOEBNsYu$huASsAH1^4CU^`4FYTT$WssPYfD13U<*bX;5NV%Kpsg8j={O z1n{?z`A{sc)eyyRim2@h^Jkb($<~Qd2ej19;Z&|DYOYQPWWfvnl| zqcjzJ+R&xE4Yv>Cv>EJZePK<7l4~@!PvDt~40QV2;87OVzk>!d|A~_3pBox#rp$&8vwA+j1sE2_$|)XI_-)t-#y-`kYSy|D6cH zkYOmrc&SVP1GVKgL7)|1gJoj!ICt&M`p)%aTy|W?TH97T%R)P?oyECQ(Q6;$>ZCSv zx6!vvozLs>Y zV8*_p>=^}BFM$L5Om)8v0G{^kK;yfn5}wf#wrYrLbkAN5UKcjU_rtSqoyR_z8XFr= zwAHq8U`3Q*9BWoc75*zx{BzwGzT9TyMdZ)#OnYe640Ttc#^?7p&xC<~P zkZwbO2%!%tJHpKJDa|!oev%M_-8y*g8R(_ORozqjdbv~P`s%5DuU?lz^}4|p#)HUc ziCt?aNvI|#Do6qoi~yfF&27aZC=F`xG>RrA7@d2NqZ2A)6sUBjXw*x;Fv)+4Q(*=i zN$;Td<^{($?L5)M|5XjoPwO1iXdb| z%0%?x1K8zGhIOdq(0x&O4`z^=+DNIhqNf?r))nn_s4**F>T9!iMsa|kZ=c&5&tBqY zDv(ameqVC`JB0*JU*j>?)Bj0= zo=v`*0p~=6vl_sH2C$zJm{|bp8^C5tX{QrOzsBRigaSA!8f>NnnoI!uFM-Jg@Hb#$ z0yGY$C*n`RmP#X0hXdhYW?{Rbhq>;1>J5?>!(=bpIDeF?vHBppXD^d)cfU2m*a=Vxbw z51g)}(=vCrDvQ6;IU?wYQo*1@Z^36xzVncWNkzsoeT7akk}{tSAENhGCe)4Nq$vLU zcA#!$AF>Xj}eh4GlPUQWd#DnaG}?v5bF=zZ)?r{`EWD$GzGwx8s3m1x5WuuNY=XJv z;@e<~9y1E7zZJi%U3z--sZKe^$uSU1rYB#%Zj43;-{}QkzkRvV959wN{C(m49jur0 z50X)s|NC;~|BE$LQ>Cawj^D2usFYBXl~+@7ML_WYln}CK$^U2@t$=o|>g{~O?QDANGG(!*uBr1`z2)141-;H`!4SXvLAurT z&&7ev*6gbjT>}0zZ?~swD^G`qi-C=GuX>+$UEPR->S_WV0(hVHm6Qm=X9B@y9Pn$G zqj*4TI{tWJ|7?8U?#hSDz0sN7=lpQA&bIn3X8u$1 z?)++BW=5d^CJb9#W2RrO(oo_8DA1z)c@p~G3-cZ1VB@_lurxN_drlFKAu&XJ9SScD zVm2JyrrS4rz*u-+A5PCOgy&P;3HB0pF+L!o{!yzUHMZmyH#}2|F0A^c7*46HB+t5p z@ZuS~Ap3Qk{MLDqh4t^i#ms+VXZ_EKlT1Mh%xGafW^WF)z7-bz95O716vldKtunh3 zFBg9zl{d!paS9-V8rv(=DaqHG(F=GhuhTox9(JZUe6Qnux$4O8?Y4Uk)UveXwDlDj zYz?nFxt6*<%(-vgYaf5|F=uF>^KEAr6aexGT12nP=x{o-Q-#qPX~6e0o1L&DVYE@j zbBc!|YZ8<7io%yeypE1e32seA9Tl&%78k)0BP zPr$Q75WiIMz0U@B^3xj&^1g5^Xu#$)L{yV?w=68ce9Y9Bf{nX(7w33vg6K_^G6CHPN_ch@O5wU=&-oH z`n3mC(l8nrI5nC6N>j)73U%P@nA560+s_ZT_hU)zT z$40h)%WQ&zh?2K=ay>#=&Wl_@W$zgsh1et>O@Nz-i#NqzpAt!GHgS^olHc`2Tf%qenpl!09h!?n^k&%bbqM z37YsLU&R5|Jv2iZCDBIH9x%^IxS>8UP=uvaMCYsvlM$l^#OzhM)p-Qwl@|G|U8~TK zT>r3|YMaYwcDwu)NXwdBH7uSV9NE6bn049Cr+d?4e|U4*v-Y;9BU{Zkk|6tNnRgin z&w7P_x!v)>;(Yhyak}xNspqiiDL5~hGXAl6Yx4W{)HF>K*~Mr+ zAum$YH_VO>Jg+6Zj3Wkb^$vbpWOd$87=AQZ_zpiE&%~if--PMqD0Lf8VU)2TJ8VRr zQQ^T^qUksI;gBM4d{#Xl&Xlg0Y)B#oyc!vrF=dj-mw`;>>Er)SbG7^?{~#}iljvH* z2~ksd5MZMEt{hVKV-J2Us@BTq7CaHQh!mB!kEr=O$jeU0RLWKs-E9WM!J3ZM=Hw>^ zB(x2C-^epHu-kIGEH}QO8zrI;N$p9&NJ$Ns%nUyxX!H?)8>tSPC>u$Rzt}aGg<^*K)yK5ui;eE6m-@rNTpMza0nMsX%VGJYt{PJJ--Z{wkjutzc0JLgC75j75OD=2D~CarndZI zq+$plDV&P537|OjY3GdJ7v)7Tf0JsGM$yNpJOwET2UmsmdGC85t(s~-t1-c;_DP28 z82_%KJfYRG&Dv74uj}D(-ON*~)pMJrZ-<31{bKg$Y~#VfVb|K}Y_$A9HscuQxIAU> zTjN+$4ZMO8ZKb#ow(zvisSq5WFo;`Io%AcCtm>xzt-L2E~ zQc+#$LeEd1COj?!TBD+DeM(PfhrRftw%^dG zw5U=(6aoh>>`ax8G9$i!6}aPC&`E9_XyrUzZaIIUM&|@2e{Xoh`gg$KKST3a|BpIb zGL#G)jRmGg1AUW1kP{)vT6v~byt9X_z{o<*CKRgIxnkWx4+9qC(H&_(%(bYPB++Z* z$dsJuP-#*B^V*a0Sq3&)$GaKVvXt{bqQ=h6-k5c#twd4;jMRYGg!bm`i+ZT}hPQhxQSKR;10f53N5 zF!Kn3k}xP9*yZMW23m=apUmINe43mCj8`Wi=`QpCXmV^@(eibvL{>7?SIH?f9#m#p z##Pi%?5neiFhc{qt7TCB5Y{`TSC}_oT069DOzTgf2pQg`H#iu*I!F`Wu(^Gm!42h? z0c|OAKJ&2zzM6gx$KNPwlMP9^FciKJgI(C_0y$OGG?%U517**TSzpJLG;^_p3i3lE z|1?`jTGICbz168iGq;1HP#%stWIvMa9U5)(jglB5Rp>WaWo$Df_Gv0;U5wm|W7cG{Lk1NTc!c4T zQj9XtV~ileZlLxgnH5TB^mzwVtKvi`1En3C2ID#O%8c?LKgEP-abmvARuBD0zrVa= z{X6I})4xIUz{{Wl@X0Mj^i*BvEnJ?ZV3tS9lXcAyew7o|wq_L#{L#YvoX4fDHr z9A=ck&eUnMn_m~(1=@6cvI*2pEjT7rw8cg=pcM@v-|vzn03D*4gEA|ZT?TRUumU*4 zd|HhPK#pQyN-fMAB9kJrs2#~7Hb3Y*NUoF=s{#=w+5~aNv97{F^x^Wd6uEELs-uUQ zirPe7Ujcey2Q7^{r}8?o%X}%OdCuA$8dY;|gUi1iqrdjKH@5exx7lIc=v84oBDN0= zE6anlo@6UBUtx?!5*8(U9gi!PH+h474YGEBFu&95a0Rxw{=8}^|4#&Kt>nOO-)(Gv2mbxD zCGejODn=fR;bHX)s#a~=)P{SUj%8`*sagS3K{`x@*1Sc>z>NU)l*bAXuhZCa3(eU^sea9 z!C3$1V0C^TNxW6Qe<1xiigPS_&Zph;!P?Tk9(Z9`>|5LDbmwz>9XwkHF!dFv$|9`N z#jA=A1`LnmChKwxWM@6&w>NR&WZ+k1o>YS!d81wK^BW&edBZ!dvfHtjuW}G}uA3Q9*ras-yArwRU}l1WLheF?4EllCeQ~v_o)ZBnVOL zLv3WD@}QLN57a52@;b-xZa+CAhMd656QvBfEtM~DuZ$uL-@v;p!l$Tyrp;ZbaC3Uz zcuB;P9XzV}eck;X?3n3Kz=!`@0u}k?alto3sio6}Qh_4EMg<`hVnW@#*{z_8+|;5(#NqEziSA;;Se)2mLm2A5)%Th0jHX|&Ub!v@M^;{+a(G%Eb})!spH_L= zv=)b-jB8x01NkC!e4?KOA_z~5ufsJw1q5-9Ptn~Yi0|m!LbFIdRc)rGC?*E_y}}a4 z2FAc>Out)9cpV^AS)>f(ulB&g-2?=hn=~|OzkxI)O2>lrXZ<2q9>4c#fZzh3n8=?Q z{yOY*0G+f_T*d`IF*F8iGD?VWF!ub1vBq~&I}ZODdzjWHu#h2pOdc6 z-nUa-MNvHPK&={#Ip(K!M#=NDgFo&gGo{-;4#aTzVutD6H8ol-4|XktionU&;l|aN zw>N4sUruu)h^WF}9Nv_0zL+;iWssri3mbG24a=BZHdiQTjnv$f@K|7v(=PJM>r1i| z>v3~%r>Q80_M@7Ao$N>T$I3GzrI^hU2`}|KCVA8N1Ar(fF_fUFvbQou25bvsj*~~~ zuXVgFZy;ZTcdMLRZ+!vA>AJCsBm8<|{yFsf{~g=#w@t+i^n?OWsesq`Es}E>=;YPo zij#wr{p7{u{ryF_0d3|NAIm2K1_)_-ZE!j~o=5MdGJ%;!`9T^)Az@*C{RHP)S3Xnh zk_VSVkJ4R8)qB{?wuKZH*$$J>e=9%fqopRy<*lJnF*ZD+M@Wg;H%dkLO0Yb$)Upe*;8NZzpA9Y!I$8Vz{|EQ z!3kOq_gLo5*Dr*Ci9s|#T$6l!wF6X>smWKxA{i{> z7<$WXV68MIcspSaKC*a&zAzMuW;3%~Ju1SFJPjB+J= zh4={>y*d3j^SL^rK&Velz%7ONfvpTqmG&>$(DCl!*sn+KpF`{agKc1D{C_v{F|+;e zOFb{U!q&pgSQl8`VCG?CHC5ue*AoD2bUq!90Cqf|O+;Q#$Ni_40T`$>mkexd`1$>3 zlv2{oT=FH*$1s_AKAr${EnEVv3^RZI@E755JrjQkY;~B3zMPCb8;?2}i#Q$%-|G(C zY4u$N))kf5O%~ZrmjdeJt-PGPV&jVY2Yy)>&B**`aAW%42{Zfq>c*A(j|xxj0yIkD zOB57{P$I}?`us`8=~%D_D^Z%!E_E_HCTO=UGS)lncT@a{wW!<%KYz z-yvlIr#!BW_OHuR4X^dbl{GgHT5ffwUaVdns$R6E>xsGeItP`9i@oNa>}Bw-!svoK z2Cxaj$00&S*7_7Uj7Wr7ik-RQa{S=}e&LH$$x*7To2HD|@b$}~4WVq_>2lceUD5*G z5du~FhG_uM*q&?|QU9wQ>yE_v%6^HQZ$59n!NH*pS%%Oe_ZJ(p^lIquQcHi2PU7qo z=h$^CczxRf4S$a73VT7wMK_gSQ;zeq2vPwPRot}@4A~hTBQT-i$hO} zuFm^jmbZ)-4_NVqB&?~vW0E>uP~i>Y$xA495t5(kn7VK2G}9T&ZG0$u_+!wP9%?d6 zH*eBcBmnF)4uE*3rIVtO;oWr{c7YRiD1ev4%6NnsbZi{qQADV2i~oFG2(W z?`h@0%VYAjzPj=D;^Ad(%GCMwHZ|XO^J4Egy=QYZ_c%WHc=lt9?29LMn!CIE*UP=T zo}Nh?m)GlKol2|Y&$OQFjrRsMUS}t3)A8W3x&>w33f{A7CVRzVUAvTW>4T)cq=|&0 zl4P;kzHD-ZF>!iN*kNbH^c&2xi29JYi*T;ty!}xE6W!C|)im2_NO0=Rm2kqr1j(}- zra4=VA>VB*2V(RmOrsN1oQ0H!hCIb5)UXz`J zAf(|FT{cq-6Y2B-Nx~h%_yTQj3pn{Qs^BdiFRY}1%uS-CpwyHyodc3URiw|Gsl366 zWvH;1r&Q*n{dN_$rAg1o8?EC_8@x#{Bax~mQvk;D{ZBC!qujx-eX`7d1~8`o=dLT_ zpI4?l;!~;^^Al(kc~%ezYPJN@#m%9|o%`5(j!xS*%Zwml27K(Swo}4ph$PAiq9J^V zT2UDlNsH;}$(NX{b8I@5pW8LGUL6Uqr*9ulTVI$;yfSAIo(o$dBLP2vwJDqZYvY5u zo-CH9%DsWy{-$&iVR5bBItimpprpD`km-Hso%!`pK?F>g1boV%C?p4jLXHx8813X)JvJrVE2S@G&UBR0bL*d_Hrd~34t^x(#xT>2*(L(kqC0^{PzQzyvPjJY|n z^Bq^lcFYv~?9PYkDSe{P6_fzC{(gA@dXP*QusP)P-WdW*q=nY~TJo(pH|Qcxft00~ zjwkqrOV-I2ndEqN>Xp>{-SW!vA7eLLLsQ*9UrKH?#QlH0rv41j%l11VfgFE3F@aS2 z&lB@mk%$Ny0?pCD_#wBsxp23p(2doHoYGZUNL;hW2ho6FV>VY50ZA6(;{>?{k*nMl zGCvZAfy1~8WXP7XYTLo>!9`Q3P0DS=xD0+8ue+}K!9=tolj!20fs38~x%<5hh2_e} z$IZ#QbJHK|{dFHd4Rehr@bYLswR%1eKg{BfL%N-wytuO5Jv*^`@+_=|=>i-~zP53? zbZ{=V-vTU*tZTVFnV#-1Zf-FeoLJG@d7I9U_q(0#?>2T?jfZlWCbEi{Ov^7sI6o|F z`akdOPW(vWOC9bb4@7K1MfZsCfJu*U|Dx;1Mx+KZWwD69ATM$vrMc(bpy6Q4cg?hC z!B3Mp2=AX*#yo)yrQuu(vI)Bz4lAUZ1EHNu&Lpo|LM4W9hbsV)o-=wbDr$f(79hGP z)OKIruQZ{?V@|?$6-E z^uKX*{&&CCU*Zj5jr|k!32v4E7}}VaGN9dQUgmwj46(+1(p)|{a&`_}zIX&r0!(1W z5E?XPvPq;$>0*O5P%TWI+`6{-zHQ+>kDF(U&Fc61$C?vQx|Tp;z&h_*{Oie3$48Tn z`X9%)PWE-&Y4P{O(gtC?#9c8$22~p@VCQ|$=axgHxDTmOMeIltK1m_SRtipU&cW^E zrC1MBfkiPhLjJXMZ{G(qil=;wjbz!0w)e9k4zr3FG=ki3C6|W%?4L#t6HCcp{+QNF z__i+$*$J=6U;q_4i@b{(7W>X`o!I;;s&FEbj8!5BZIM4C{|7UdSwu%#16!$ejr);$ zIGXuLquIgitT}GiwM}=BFT(X^;E%0C7dK8BJ!p(+_nP*Kweji}RewYj!(cp+`4uLZvpbGhAO0n0`@F>DA6WOm^MRST{yT)v|&@C>w7W?9RaO zE;dFcLX0+U(J4CH0MkXYts_!YZZ+j1iRWf3E*Cem!#^KqD=FfUzn+#qgYNztHsLSk z9Q8$+(uWX~a`MWs`CxVpsNk8MO&%nKY-{!z)+I)o@A3uu_{pvbf{Mu5eUZ~Ow+6EU z`BaEquS=m$&knwOd`j2h7L|6o**=}Y1(ei!SP3Pn#85W@( z?zI9Ap`CX@r>%iSd+n*Q_Fk{*eCHu@e%`aat%*n5#jZ&~ic?EX8JjZvN<}$=vKOXM zpun8W7lp-%tSxuyUFAz=uygXD3zd0KsT7!KV_JW8wnQu>c&McdjcsI)e0~4C2;i?xYrX<`XVP&SBvuS6lC? z^t8-WiZSbiU}z4cuJsHF#BBTosEb^Z_cMe&xxp3U6Xf2p>kH{fzMcK1S)oqheO81n zy4^Y}g0x62RLTbfE8AitiuXQsOOk4kJ46@u)@E|g5lR6&J~~i?WH06i&EH5pI10+5}Um_~Nk$OW`VI0?(SKY>&Oom!JIy|Zx>N*;lo*fUC<^ykTo1Y!0U()J6R=l)dy>hEo z(zTtgyULdCf7f@K1D;Rkwkgi%)n;29tX+^f6fPu2$a>0!M*=c3454pI8+cY$*+koWyM8QXb?LO^V3?V2GnfL?SZs*knf9dOk z+(;UJHpk@j0o7u*0%&?N69Jv+Vi-7AH8~j|2RtOzX$ie%%4t_2&3H0>qpMFl1|4T* zeY&Bo6VA*UD`PdpEUdEKNQ6z8nqw6oy;7tusJl3ol`HU14!e?zFR=$UeZ?l?P3|4I z&1lW7!E`#PRp+OvoKCBeZv?puB-V!@~P6tW>4%2Tc(cBX5*#DNgGzK&P{Kg zb{03+^y^;_d+rXqV#lY`W$EhMr5eWI>*-`NfMuI>YdqW^mw3Et+MHV+O<3Ex(>2qi zUNU&wo*G{tpVyx5y2j^kJ#kOmy&P|shq>}n@OiM;W#q^$uyZ|v2fs8>a*8MF8aZ%s z#8bnUOOd#OhWjdoh}ANpK;dE&*x4mX5a?MjA=jPdhhc+Mk)nXv23&#fpp8?~!~_u6 z$i|daW0qSwI*nU375&{VoMeah;5bz#Q?>LE&l%#=q;SPbF zXqFOFW`r1Ua*!!4Fa;!;@_Z=#frr0#!l$pDwGE!acWNkK3O?gX#ad zhzwV*3z+AP_$=Lc4vNMm%2dP0t^m$6DJc>PDFhVR% zuClzya7~Cy!wj(2>T)Vi_+{kfb4mZ`nWy6O z3&)G2N4>T?j>@xVazC{+30P&9VnH1E*i2~@BeaBC{I^)jQWGbc)|v_v+#J6_tUfNe zt6_Z)VEG*lf>Jj+=@xxXCnp>ErMi7uKi|xU`OAp2!8qrGUg~Xi)SGTtfvoCYjm`~r zJ8^;SIAFlQbsr>VM!>pHHu^eWYTlbVDLgF$o8tS6?>*+sdtSKkq_Gc%uC2{UUd0GN z-u0~(B5yM5Zs;ZVjyJf!ZS8@)hwDg@hqkZYlP(*_ zBC0Ba7BBRhk{U=seX`Rilx0A_K$$O_%4SF3FL%3e|hvd)mu@3PO7%gGC*EeRIKLk4Bo3y3Y(a=Du;@RXi>y~g0D2KJ zD#o1p$sQw(-BQUQQ)}AJ&&T#`9@lQ?sn)eC+%r~Q?zZOU%UeEbhtCDPO&+Wpm$qwG z=>9~VPHmrD78c=J_J|wn-R{#}JS8yIjROUyp>8g}REEcZXpgH#&Vnd|2K5$?21&>$ zC|P*WU^H+lNWlnNm|2bzZ*XZ}_(M#zQr8wiBIuNmd{lIA&;-j~ix_8g9xi+wl$e>f zz|@hEB3ph!#+nAc4+NbHzoEhh44RmiNXSCU58V0XkitqE6y^SWZ|#cg!~t2BgT=xl zrs^R|lX2TTpm=H+YJ?mfpyhm0y4qhKLe+_d80k3MIJM_u)uRRN`=k6YvnqECIx%}%-<3|3aoh z3^bhq{~Lj(vo{zOU>LL#UFKfh>6aO)##S-Q#L`R5SA-0tDijPP%3y3hTA?sqj>1hD z;(CpV9QN&na_&u+=GG>!y_c(%_GT|0Rwve}>-Z@;p*y=jGF#TxTOMBAp1%*DEgRc< zupBxlmS~il$}^Xw=%O;`PpM&Hc|$8t`sGC5Bk6-6DM2W%BX#qEQEtM}SGpdB_{K+&FRDRM zcaX_s4NsN7;Q+7xj37<%PHtjz!>@0F5f9-pu&tz`g{)uHns#h%UNv@dLI{5@=iKtf zwMjDpohPjc!$xNR7V`35|Ncfxf=0Q7Yk&H9$H9TP39JFsN^bGJJg2EnTDmM53LVY+ zywvN5XMI{k_QYiAzJUq_%FHmx!dL^kl}{J!i+Q4B>)pd|$q{`L5BW9u@Xy%L7E2n-Qi&qbai1}2Xzxq)DC8EA1E2j<@hkWTT0CcFrct~R~~!XXcw z0Aq5+Q1u78t}UYm#2FHQHCOr&$FpwlWQ#43vh zM7rfR06M&|@FFu`Gc08R4G6rv?CxGBH+tVqPhal4hHIV)=Q0PUr_XopUt;eaOnBXx zKCaxexjh3O4vv;Xrw40vzIP3po?Tw%;%DMzga!2LG_cN7B_C+2MzeEx3V;*oTV4@$<(r;2YpxhVSsxXoH;Ik`kDR<$|lFR3$P}SEFON>JLq$J@{H3ji;V-CZf?}X2Ma>gro&6UwQ;Qi zBu;`C#65teQ6r6DtK?kD$^pvb3d9UbaeIOk6r`}9rO%grJE_0kOMe6aX5#prG5hZ? zyu&g;RmziOyAKYe4Y40}JHd#Z6CUHEBqiYkTI&`$sROQBN-0L(~ zXP6}4Nl6KwZP1{9Pj5;KD=qoPm|XRaU6grSiGlR{6E@X=Z=OJ^4ibmZM%3P2@Kfpl zw)^;jVO&OnKL*~^=7Ga)eZ%{9OGmDB1Rz&nA1guo>rweL$nEc$U;oAxpho^D=AqO) zyj&ql!qCYCC`?*hl&-lnhz$j!!kh8M6DVg0!T?=!rE$L4=8q#PBFV-h2ByTT$-V1y zrFXuDgY8*n%k)?uZ(&(5R;?KgzsbK${6uwVL3LQVORKH+`Eyxaz0jj)=J9Gx<*k*w z3(M1s({6uDKfX>_!DGYj<9uW$LVJ6wz0=j+?bT-Gz9|C^4Lsmw#3}J|@Zz$jBa`$s zf^7JHx+a$_bn))0$2ap7APXO`z6L9c&`$>Wy`>E~sd|Azn!K8VFo9AdNCTqOfD^Io z01Xpn|D6N+eryC?CsL)6fzmi4wNHHYH_x|lAI!cfs6GypUTK?jYe5w`ZD6KSh9_iyhB3dT3aLE!h;JAl5RsO<{l> z#oQXeeGa85k^M1b&3QpMl|s)zfHJ!-kL>a;l`B_rw@@kn9s{+KvvR7rMwk+_s#R4bVLq)u_v z`-{Y5kP7t!C}U;qWXs`@r4aaK!(%E~yIg-|SQm(8-@j7vgF$wF?L%PsBk(U1$L~Z# z{Czu7%l+4O8g&P4(EK7K!1wZHMCzmQTp3`VfPQcb1JMwds}&aF!x;Y%OPX8-l(6Jz zx1Q+os`BitvSE4MxQzMY-5%-!k&q$z2 z>~~`(Xm8&T41A@wuNdznwAK8B!t4VUq1DGD7ITV)j;M1%F`1&>sCu(&N12ml=yn?B zxrBGp;aD)T8oeDSD7cx+p9W^Qdt!MkzO?j&&EFL+6j z66ryaC7Q(9>}_*N!GHh$l^bivh0?Mibj#Co{=RzB)k_0Ise|4MK1U)D~q_)77x z9Nn<$mePZ{a@BF5>H-jn1ByLC&@9(2r8F^H)WJvZCTzpNWfZd^fQvy33&s`_Q4`&G zJ;x`nw9e}|1~9*T7&T;RbGLndN`^^S1Ztqms53S9)3iAoR;u@x62?Uu&POl9E3HrQ zBk*y<@sE$KWVNkibUwQ;w%OjfTCie`kohhevM(>++ta7vb929Nb7r*PJqF*HycXo% z_ZN2D;=ex8-<^Bjd9->k<2@~TT^z4&sL)55hw>Eq^ez?m>3z;tAyUH{7jb@IMyxF> zgN6r{PAfx8$RkVMtkbVE>I#lBSRYUc9g6wlMq*Bb4O*))2ogd-D7F)B8vVjpnf+FU zkc?+k5LUQ(tGu~DI6P;{Ha-M{Xc#R{B&M(-XA*S?#?Zg3Z;&-W0Hs93jN%L9Ch;I_lqhDs3EFChgq{_ef}A^)HlH<=cM`D;D$|N%~48* zGU?7AJa9v0m`2-LVI2qValwzG~XJ|tsyo@Z&s08%`Ql;>pU9yNU73kW?YpJVR*PwVj@c zKlgh3_4SXP^V0C|ZI9NOE6ZN3u6GXi!!_5ZbSD`nk>d!YyVH;+_@pNI4YcrhFB~ht zTH?Li-BmA9ER4*0*}_j`r);uo(w^;Dug*L#EUWkR4Yq~0F&c$b);aUV6NAa7$_`&? z3@@4;8P%|0;@@GlnYEe2S(lZSo7*%H8J#Hw?I}@T#h?tHZ74AksUaE$B$gw|SL@od zZO!107jaO^PnJvoj~tljG^jOdH1j;?+|6E`4*?;G^rK9|U;MW=AU|Lyxw23a+Yw34 zz%Hg}$|G^WSrET9G29qHL?a}OY@+IfeNhPN)L;&nkJ4qoxyWIGMTyDVyr5blN+see z5LbW(Ho<`ESC54n`oV^6$;%N(s&@z6@gelLT7M?q4oZiWOwq6VLUxd(n@t4D59t#Z z}iZp}GAvY2YUSHpO^V5ju!t{ge*H6Shga7^u zzX7%U=+9WKS3#b`u3Q3CN;RKCg&C(Ek5S7SX?=@?JO**c4-g;UF$6FBoNoxXT@hp) zl|!Owdd0qrTwHe>XD2bbR)BdXzjIpC7BV zUd7Lh_?X$|!j-w`Kz{P7{$~PY7Q+PT;aHP?4@)W81(?umA-^`WB}Ab~knhJV#l;_NygOT7 z^NxHkTsOX_v7QLnmY0mj{$1{4%^8}^ZifPZ>6yW<*z5^pCh-w#Tfj~ zwf)~Ar#1^zdDPxlN>u|^axgz>W0SSE)5p=VvDRB-Wb|)I0@T!grd#G!AsXg-dLPh{ zKk>t`g{(su7=7@$i-y9sIHhjg8g1E4d*rHNqqY)}Cj_Bgecl%ig!*o_<`vtE;Jg z)qi@N-C4h7L-@GzaOrfoeiGiZTJ8&!Se%r3xrvxOUGG06_9uEtRd_A6H)>#KnA1SW zh!*A`#Up8Fv*lECvm@1(#Q~-LVNmFTv^c#~gMkupDnjA>{7Tf=GGy#2`rC3Ecq~H0 z7QS_6ZQ*@I1en?u+EkWwKH!65SnO13R%vPq)R?d-sxma6n)0%c6z2O;tMbi zK(rg2Gc=?I3lG1%NEv0JS_YX7)^ygy&`uNa7konpXD~r)lRV>y^g&bVk9p7CR0J<= zl&U^l#<&NqCIiX>hHT*VCb(zDZh!@;0Q?G;6drlFM&PSJK~vXTQf`jf{`Q1D=4Q*c zBBK{SuPA7vZN^_u-akjj{|9IA8;H~Yt-N`0>3eZ$M|ebbY)p1db>r^t-mm|#e~!Zb zk3Wq0H>{-0?El*jk)Bp+XW?P0Z*8D$4zREsEduQJ`0n=woeYJak42n~M*=gIe)=JR z)CG{Am`%BvPrIG_`E@;)borC9NV=H#>4&(S0JSZ9eM_&U59qKtIH6sbgD$m9wRtyIW*(O6BjVAL59{2^pWa#`cy^+9^ z;Yho*r2E!~4r6nzh%g6CgHZH{tyjCc7rj&us}%xJO<|qsEK3p@Bze`yX=xkB&a5C`-;rURC7Qg4ozYHK(@)7Gt1Q`59NqwBs=4-~Kc_0;?! z2=U)=(xX5Y4Dq#4q8ey{q?jV6vj&*l9Fm+G0CW_Hb^WIVkq}g*$z3T!61TD^VzV+@ zC8DRYP^6=m^o(Y>=@NWTXjG4K^^DnP=&e7G)N66X3rz{9M%zP7Pd;ggk? zdW(5#gXS8QPme#S8_zGXUh`^}B%+47fRo>bhQSVLcM3CdXGQYYoJ1; zp=D2{JxZt`Y6o}gn;Avr@Fh@b6MvO`pSPbTd~ZhK6J1a-mlyp3Gx(5F)~u;g0<4!D zmICq~KgxnwC4?GH9-_L39m5uWWD?xQGf;xkX=1GO!y89NeXN*#Ej9RB87{>zE4BRm z=$gT`nI~~ zpPckE`uB!k&)pxv5t;u*o(WLa_tTB@ocRK=)$5BL%%2ln1Stmaa2y{wfj|0s!9pMU zwOJ1d6vWD@CKv;y$(3oPwNuYMTwGdK8E{>Cs|^@lyCjp8lugX!(MWTT+HsnCdR|*e zV__p3J?&b(emJhYIBCA!?SIUip050!OEk39f@W9Rmr~rfWpRIGeRwZr?YrYaf; zZ1obJJaA;|z>O@NUNmK<9o@*F@{AQC4ggw9SOdqE-ig-Tm4LbRLhC6PAejJtL^q<6QMYZLyxSa^=7OIF9`$j4{PnpR*!p19jy#yI@%=! zu22L#I_jT_(7FKe`(KU@+aCfJf9FBR!T7(g0RMdtBKizCZ*lhu|Uxm4Pfyk=Z^PrQRfqfrdRFxP1jsY zul4Dv`>V}qe_?;@abclb?)q|WZ2F7C>HXqM=w&XO7lP_Iymlsj`3r}$MPDbG! zSe3kYj-3?R(HI;ta`v3rp~e`jQ^Ywz$U=f4L;b;c9E4rLiu{ZQk}ajTH=|)Y;lxgW z&zECMZP&|(>pQCt>n_i`WiK8YudZ6JMK9Xus)_frx2u^tLzVclJNOX-2Uhy!bI2f?s z$QSn`OI~nIoSNN?V+G;AW^Ijz`o1INND9?%-Qz#sqC?czhZUP~zQRQ_h{|%VQ56}UM^~jCrGux{#Dx#&>FVy*b#}301~z6cT%?9mdnyv zceuFQ-wqyZPT&2wUz(qLVILRClOig1D| zDKWv|ZFf0(eSHe#Y;$0}xW~v=mJ#}L7*Z?oMH(T7kPi_^B@3MJRmuKB{~x*Ler;{6;obY?$apCsns1}65a4I?!}a?I_!DFmF>@91U0Q7@)9aL2MawR zs=JJ%lv+R{SrhGhz5uF*UFb?D=F-G7hVC1Kgw0>e-`V~QzR37H55~W9v&2!Ova$S# zeXq**klfDn3?k4%!Z^MY0AnX7<4=+w$*)?vu)&6k)u32d?P9;hLed3*l7$T(Uh02c zTumP7KXqZ1Tt1Z5DdScD9e!MRCa&&RM zvMu{jUOr{9FoH^fi{Uj2owh(MO#W+5ymMr87fSW((+$^NB# z{ZljhGqageIWk9+^VjXUWsCRHeJ!K!;TAnuz|nj8kdK0gD7*Aw{gR=6yrR4FDY$og zNWMY9ra+vKBghh#=I=XVXbwcprBH&}-k1|xSSV30><`TCs@l>nSBiPu-T>CixY*e( z6m^&kjR>@?3KdMRGNDKz>N)sQxnN&CPVd{6zI8shy{SwXK6$C=kHQTQi|c-SrmASf zjSc_sp7^lt;pqriUSsbJQ;Eu3h0}`0#Dj_8)&{@LM}O5UzF8jy#gCzwTqBi4w1RG2 zgw`#^{sl^mK8hnZhf#5muUnJ0&Gr6fG{Cw-$00M54Zm(4k6Tmyo~-lDOs@p=ub0)I zK^uS1KJh=66;KfgbjS-!e~Qm4PFdkHX*Y>+GdUSq3ChBR(E<>Pm%?BuRO7TLtUN$E zOcJn4@Y7VRK>8zQXIb&E(ZiaI^*^?n)SvPkX|_zZt+h3;X@6>Q|FN~x=O2y^k*JYc z?zmSAkaDwYu&@2p&V`%azUboB$m1cO+3sLcd5CW<+7SWp^1`MwaSM%%{bAYq^mKRH z;QP^Je7uAA@%DM+X?VJK(2!yI^9cXB9yan=Fr}; zonqg}ZX^@HbO$X$9x(k#Wxw+kwBuJa$4^Q8jTA6SrE%(NH$nwhEPIF-{H}$fz^4HewzaoH!c}xUfF{XwAT-1iyh) zxeczwAZ{UeE@*<=l07q3b)XTRHFu224AK|L&0VliU%5@)OB^w}gC=IakVBTgX7bancEmV;gUh8h+x~4nZ32+HTNwcJK#3M?||F?ZCwFh0e?nXroTW+t6`#me$y2rfRJ}S^=zd}szz7J+r z#;*4aRz|*79;6O$?R-7I6?O5D_xQ+j&{65=ar~pksOCX)wvU`a3~Kv5mmV6Gep0#p z_Kd4hrA&~L_~K4w5%)2de0TUjk}3skpl?mh`Nmm~F%lcM@Hm6%yX=LTJ6p5*zK3?E)%3TJ|W%G^*OS(0rcMoGvYj=zWb~l?>Z5U%(+R+|LlD z3RbBj;2&pY;`OB{z)#)U)_~&2)6;mPw=$LW(`MoQh3QUI&alWR9LQ)vk*g(~>KQ>XUv1Vm)j*fXyx>2jxBZ27;$(I-0BF%@Q}s9gP0*($LgY=#So7#@BLB zSA`M3MYqV4kBw-$Z=&(Un}0n${~QPYo$2{KAL`$h6>-#mlEdSMq!<~uEC`bKPd=TN zShXBZvb@VdL}IJ(qcjD4Wrpa`5Jl31DW;$^G)`CUiA?p3C$8 z+tEksj-yp>XP4Vu{R;yIo($Oznud0|1~0Fa=Or)qi}T6M@tRl!gvj04+}^qQ+gM-K z)Igv!H190CzcO&B2Jcfws ztG|bhvxI#B2lLLy&&$bHIVu5-b#$US&)XLxNpNzCa>-7gV+N#*2%g3V>|J}@cLk9i z{de2)$W&e82d3H-86nJ}Az)=95YVg?n93U*KR!_MQe+%}xdsEX$X(#H1|o%t@?C_> z`-G#w`a9282n)$^6Nr+0>c64yh-=s2(iF>h8^}S2bg~3eF_)Un@u}oSM^F!9XMEE1 zey!}(UMpDdoV6=e^hun^iZ*1SYwZ(nB|Q?^F8cmaG=licNCGOvTpgy0gXuuCp-Zwu z6%iFn`2aQ+93v(}ihatkez*|E3sBAkYp`Mc>v{X<@cRET?@&}wXKm(gW?*ZmW8q|B zK33$g*X^^{7jQfrb~YA%J|1!NlXpn?t0+3|dNvtYDSbPa4&)uKfDQ^^wZnA6*+jzW zSp4O9{MAGputxe~BIbNN8fc=pnFTgL0NWsbR!yHz#GH&q9S(%=boy_#crJZ)oGZ1N zE_RqJame;IGS|2DaF0pNsF|8s`}GI&XAoqD-$9W7#~KF~BEmjrKfP_-@hef0Qx+yb z%vSe`0Q8bB`x(YYF{43Y$JJ8=Q!2wfH!JbjZTNhHE4EQ<9Ue%j?$5YAVw;4ws`$*~ z(%J+(7PtmOI(Br&TOf}(;tR0CR697l9Ikj z*b<;26X`h^fFLOILB$8XjSuD#-k=~tM1aOx<@RBQ?iZ~Y9-bYXWVS90)($$KlIo&Yy@(x-q3N*Be{!5RFbHonSyERZyrpE6r_6(vjr~>NJXzxJ?bmdg|Z^3(| zPz+#D!<5@7u9W0SgHYXgYGWtf>`4Kd4h?4Fr?K4w_Dkdo-&oX^dd#>|TEB z%0>#38Vu9oRK#k--&w66-`LSS0$STtzuI+LYs#)uk(Ho2tqw(=xv6I1ezXH0(nkuz zzE&NtKU|i*zJD-pbxfH}!9g%bz<&lpc@~A@zn0&y{TUSaUtFBTk+MHit$RD-z?Ox& z6tZWiK|PMHr|US-U}y(Mka20hS6$INQ(=W6b| z7h9*B&B^_iz`|1#lYZIu_v7fd7BaQ*o~Bz1QuH$5!(DRwO2-Zktj3f{wP(!?jB1oL ziEBz4+gOQMy)9bHX8dYVOt1|* zXXUadeLe(bd`En*3~oZ>(>*YeVt-r=40V@~fRTx&C#pg6NB%HsKx6*_q>uyQU6=$Y zoZS<=M`+Z!*N&8MWl5NijD2bXRPcxjYFt=0Ke&TxQKUGq6uYtca|oTcU*Wgq7c~+= znY06hw~i&XcH7Qk^X!XAIh8*;>DLbJUTfEQ=}-ul%qR~7r8L5}|gjz=y3SFhc3 zG11*oD8Y;mvvSBg^PaJEx6_d$}l`A5gQ$IDoq%!`jYPVHK-wCK~m zY~iG<$B?&Dx7BrhrPl&op+RV@GU7=K*fVe58Bwb&mS|K5Eb0zvFhVrkMX`t{G!1S# zUvIj5a(l{S6fb3MrCnVdIWoDJu?VBZlhrFGPcqd3*Dr))8lvMCCA*eCI~O zS?&X*8Xd?njY^Ut~GT_;u%Wf9`=c#d|u+T$&EBdhas`CYw zQ`*i9KS;b=7w|awJUZ6X(r`St^r*L6)~N1Oq1oYdoe8w=)-H(q{(5r$3yP;w8u?6zuN8TpRwPxPMcJ8$%&egV;=EujQ z>(Rxo(fgNmd`Pb`9qo)GP4~qNyw5TSY=xrZo*rwdo=dLh?0f}qchSYUI{5o@0uyo+ zK@jG?T?e|#6J>T^XMH5P^eB~R)bklIgWx{}hJ!NB!Ta_v2_7q3aJ4BDNoXq!9#(IO zho|ZFwLNz@-sA=LPU!+E>M%wu8i)LM{q!iBM%QsN5T#@v`&+P@bMSJ30@re zVwQ_4gb8Cw?&HI^9+6h^H5-CLB(B1qKSz+?G!Y%`LcvZ0HJ%{V7-gMqud;<5#_oVq526N#(jj&{KDk* zLDZiPp^`}~F0xJwrh6B65o(@SjT^BvE8wF(^@i@JuFh93g>wt>k=8eU2ug+mse1o5 z&>-|EA0hxRuUjm+Tt19~$$Pql51RtW{4gXBiJbk|c|&yzPo(_DiYdr|sbuK;Vk;%( z+uY#^%ha7c%ynR}RQGb5M4O_MmTH23$Xg_4=h0SI0@%ZgGhOQzYN084ExIxET)nom zw*)!wz6tQHvBsV^LR=HT8be;5O!s>O)>1mRwPq@ZT+U+8OndL+g+0DX9dMxFL zC8|GJWeBlm7YK ziu1LK>GkgHb~08cu%$3C^3{Eji^uiqQrDHy?d*7T?tJ)hT}N8Rn5*Bqfs~CppR{mu zpf(#fQpv(4U%0%nf!kCYtAu9OFZ@h{{X>eTGnFrTcyxqw?C@O3+yHH$DrYNt4$YM@ zzg9MsYX&E{ySoM_KnU*c8r!=NQja)b)+ns7bjGj({WC;#w`t|NZQ@?kB&K;%( zkPIvpC9BYTe+?mAR$1DimO)S>ZR2P}iPXfj0Hi%6v&zbSbPELkdES@gS6(Qk!S^1r z0lmzsptypqqj}o@G3v!7Gku=f$ z&6w^0iyXuk0ejmM0iCJM< z_&$(kS)(pdenY#&PW3%VDEFg&g}d^8)JN^2%B%$h2B6u(WH$q_V0Fghby-$5VOeJL zlJR(WW_0tI_i)qL!KgN&Tg|4;Oaq5-~9`2)#gi zg&Y$Ji20_*B)g|mb`_oEqF*hGlS?lnq_2MbH_K2M0qnfEJ*m-tLB}Nb6%L?8qw)-2 zG_F3)aC#kd!b;9ulJ3GCmG&!2&R|DK)xKUbBUCh*-4=|z#AOqvZwnaud( z-f*LhGvN4ifz@BXo3f+9-7F}HBzHZZ=x*01mPVtzCJi0J^$z#_u1OV!OOwGD!*a2dGWw$?vpCl%97?B1&ude_o%byHI-X4?&{cc zva0?4ca0W=wbc<7N{@CK00YlwO?m{Ci08GTgxLg&$# z?3cTrfUBzxz!v4$nFV%gRlg!?OXhcG4w_mjIOU`{jIiNEF?2vQjbK4RXV@S~k%SP^ zGA>M5QF#Ujn>d>N^pTJiH3*HC-)mMK_Te z>gX78rH1Un%FK#X)BIO{y5r$$>+i?x&)~$&|J)AtSNtweXjW)8Ss?X|=v%)(83ca^ z$lB=K>HQW*>XqFtHOO>hqkAta zvzwKpo%`a_tPiDq5r-QlH+w}*W3MCm?N|E;KOP_Je>P$vA}ZV|*i6rj>;_j>VWdpJ z1SR2vB@l}U5wSjHXfQ$d7A`XLjF&>e$AdugMV!cq@V1Q@*IeG2DjieG(^|88*tN7L z!-w=(GoXvi^5^R!krC@DsOz39fiFTFF=@-k73ng<`BI$wkzi;PZRWt5_fW&~Q;kP8 zh^|Fc1b8o-aYc0M3a5fm;9-OqaURqzf_}4%{Cc*tm9SNfXVTjJ{%7pv%jvZzP9Hup z{xtr0KwMcOois&4g7&0S(xbYw*A1<-qLUQ){8?EBl@L890_37>`Fzm~bzk9BO-+AQ z7g4QY81%DPGd2Ojr6HyFEh^IWg(j>G+m|oWGg?l3nG;YScm(i8;J$)L37T9JPD3f^ z=2t1dKA9D$yvili6)bcd8eKZ?ZAE;n(0+Mi)%hgUem_9}9QgfPM8n?-mHW><6+2{( z24qEbXb_?u_ydcGKd&e|9Zt@yOwB0Iq=x+r-a!>Bc5dBZKQE`_u$NBBaO%mm{PJYJw>34Aenh6oG9z6qP_U%nE;%Zfpva0QXIc`L zoc2kQA2u6RhOra(QUx;6jb5L4j;<~!E7@5)RBiwxv$IU|bHcC%0V}ME6HZSnO3|K( zP*(sta&Qowib#*a2aPUyjyu5&mx^*_mXVAN0_o$!vT;nm>Tosqr~|y5zyTbrGH6b? zo8|r_MygMr*Q+!f=&aBx3T(-VkfAI=-H=Nl%ta-UT_FVYVCKXVuON}%(Xmjv!E--B z*5~)XismO(a7plomd>TpDub7!)SYztFQgA^64*~*tr{JeKS5r>a1S4##Tn$9h~>`L zk{TvveC2eYDeeweHp`ua*-Dd^RGtzl*Or+I4Aty_lQrGlt&Oh<|w4?6?*yMs;#BmRqL_{-n$*7N|(T)LhD7EA+4hBux8 znACJV1?+hEZ=PX1@pd8+$TI-V4M0`__yI7J^cM6qnFLI50+J7>!!bt#k-Hti8%Fj}Q-yX>PI|_=R<6#Gz{-cNo|)f&F@FXtX8Pwb#bk1vz_uQ=mrOL)C;w1L z=uua8o(=zfgd-rqeneMm+ zEpB!ayDBCxPn6UB<94SK41HKpF%`@=%WI$PdqTB3iWN^MXyE%EB#V@C-%wCs|4N<+t zN_uJvc^qij`b-D`+(UVcj%)>H-1OhgP{we&QuoXgxUHIie6n=p?8}9?a~x*FTpI^S zp8bVoHa(IjJOib&mODaEs-YpJD~R z_v)IuPQ{ru;mHTtPq=^yg<7^(J-ySn)V)^{iQgBfaQ+$4_g~$aZ$vTDi|nSs3Op`a z7{#$AZ%Lttd)CV5&+1G>S;F8!MP%TgQzD=RyhD<8k$X=>|cr`Mg7JWJP(d$+}QbLd^w zyfUnl@|?-&qwceC5baF(a{trm$@zKC^J*_7sy#b9%X8(W;q_@7_n~Gjo870y$NtXv zS@&#WW3_jpx%{kxa5*AAh2Duunr<>hvH#mpzsa=u0X!!?Ej0|0%57*tPQj}BV`iW+)?49W(n%$D2k>Nvi8b(BY3$m}ISybsiZbRRplwG%)*~}SFV`C>4MO~}Q zhH2D}^GB|#-f4$>1c+m!Rmg?~S1D_~~JyI?jFw2*90m%!L^AHQ_J*RZ9qq(=i`s&|OL+LF9$fJ8ve7&BUG^QeUNN+@SA^sO{!*p^ZvTx>Imezx8-A%s$ zBsN#Z2^>?G)xP2BSvi+xtp>~7+VJrLHgG!s&vtTMaS89CxJ~m09&l}$-BMcsyMUWP zwruV%TI%jiPr5r#0V?g$rP-Wf6NnbH*bQu)bB6>;jS z=4xyLz^9+lm)w3BO(VU0eJoPFp7buzG(909WaMDT#FTF8GfSJ%y{oORgO|q%qM^xA zk*osY_I72om5*V%Lqh(_oh~X}C5KACU|IWc)cThB{^WujZ!$1@g@H64KZHa!Um0Pxz^bDIzR+gtP z6FvmVA04_zUo2?SnwuWcy;UjEz17g})~F_%6W46~m&!B=P1ow;hDu!fNUU_}OxAH? z#8kqZc9|KgGGh?Roq64}t;cxOC&ryXYi1@!4@J`Mp#~oK^Eg2yUm39lq+lF&T(x>= zq^ID+Ba555#j6?7dTonVHEpyQ%mIa$#Y-f;TlB$~ds?BZeGIM6>V+FY|B z&}rRdY}5=FWvOx?T(ia${d9M)%;71I*Xl@VLy(1U>A9x)>C^Jv{mVsmO!{8m*l4@u z>nHCQz|`y+qO1=-_kC5ncXjivmCN(>$}X@}@pJ|ym+EV_F>$ih2d`i!3fz&;{!^W~ zDKM2>FV`ZjUBx?S6=*j5k#ZKu%nQ^6k=H>7_SoO&)fd#+Sj>ZwYRRbtMR{IgkfYXy1C;h`;B2#M6l%t%vh`!*cMht@!4J4uS8yjcgbbMl( zDhiC0q^%;Lt|e%4xn-h(S^K1MJzJD0VJ_GRTm3GdJ$!0qO!?1Ab2vE1dc;O5NZY;SL1 zF5vX>B|k2SLp4 z)qNl0+0f<+$GGBFv4A>Js1=# zG^>B9NI9BuMLvq_&3gD$ZGK|vhHPuoNpY;;pwO77qnW%4etG(Ccz!>9? z>c8(A=pYius>H4{o@z)Qx{~=OXH01uF`9o^mo{;y>(j7g%$nqnX1XpTny(oV7^U*# zh2Kyhk)UJWK#yNe6H0N!5t&iWr%`LovrSzy=0LdR=FZ(`OVOlUrx|eG@%$Esxr8O@ z`uhR;GvM#PxvFAYt#)Q7~dj=`~uNl1o(5H z*%)G(t=_$-4^ya&;fqBA2?Evlfq5`kT@f?(9K8qFomvX&-?^T3WKLWDLKp+J6?N1# z5w&r?xY)aB>&2K7Dazc;!myz?hUmP$oyf@>$Z+;B)i86A`{>^Ccy$#K0rZGsjQM!F z+dJJ}j$Mwd?O2qo?LDfRjD5hAZTDGjc745D3TYkcdAT$N@aIPr(c(*@W*$pmXTFz# z7||rxfR92;+?EMwDET;#jSP3=mM_dYZbtC>kqr?tUs3CQl|qfeXvSi_{dZRjKkx}X z8zB=S2^!>L@dk==VssHhzi3+ZaT2Q8MY4$N@Lp`SDBmKn;LM&;a$j9yqEh%;5fM;! zS`*5t5@Hg7d5>A8a*KQhtMq1wE)^&sY18(MQDQaRGQw1g`7Co^B7^)LN`wgcH!)y- z6BW%i8~VvqX-h9jY)wC{{PZszs(NV4ME?vhKRuP9Wle(0BD$y?GzccguW>1f=PAl* zY+pmU`ddI{*M6v>l$P~MhqzHkl+-P$xc1leqb;FvtNLRn>DeWpEoZ+11wx5tE7ZRq zvp)k8|BF3EC%*!WOhI}jeHMJk8&{B9@;}i$Jn=j}Ue$`XV32kYH#jx7l+eJjmyiZ8 zzC%IM@!U696DmP65yrwux*vJs3FddM2WVTKoLYa@S-HE}vVV3!KGbV_VS0F`f2}ir zxzAej!7oorzWHYQetsWmLM=k5SN+<5wF*@qoT3uElMEv>2bx+$5-Uhfw5(oCzKJjH zIJ7mt8fWCFDQhm_%AAoZT)R-mF{I1;wRTRbrIL6YM3)Q%ra~DKg-L4Pkz9Y>$R2JZ zU+i6kFA_xNb#`1Q9aSc(ik3P`Ls}bEQ^G(q;@Ms@ra|1eGQ+9^%gv3YN>YIlxdH4q zoJF(8ll!{|bFTet@RSq7tCZP3UgK*sK<~;k5nPbiiUQj3hSCE$E$%M z6WLdwY!d-rvgG#z^k?8-wtp_%z>|}VKx0AzW)@uiGF}Mik{KgY7AHSA5S;|-dxfrv z_Inas)wC`k!d=fdXPN<8;7&$phOfRk9%`6q{1qyY;jt2471J}VM>Pkad#o#2*3$LW zc1cmyma)>1TNgnc`w1x^KEo@uPYO!f9c@aFTNIm4I2(4UIt`3xx1C<8}fMhF;>71Sk z5p!?<{rXBA&o!_Y!E3t*r+zTCk`l%NP$#vRbpwl@paY&J}mBPhf6eM^TldPH5 zV4xf*l9hY~pE4GM%;y#;7Cs0pbSu9s7?rH6fwQh4n{8k$>_Oy6m|NV^p8HAYW?;ynghXVGm0xh(sgeN!zTRwjn`EStf{N(FEduTmwLmlcTW*Phm zXk=0G5`sxNVLbO7g+|+r-YCQy-BpU_(wVlImQ*)Xi6{Gtn%CNz*X^DW6YJL>OT0(7 zfRg7rOP^_g%R&26{^QxgSo-DCjN!~zN*SvCy!YVz&;mnoTU514z5|S4`FfzVhQc;v zjFEirI;s2xOyfFynI6WZwy{K%*|HfvFeGJ<_U$kdVm#}`DI3Kh+8e282;{?=!eLuc zfPX8;%e{QGFQm;AfSlM;Z;#TUAznl?{S^Oxx{Vi3ok7N3J`OO(JBt}{UzQ3J5YG8I zDJCI*sK@?V@7ve1sL)8X=AQ&JHQ%X+1emz47Sx?uUnY+Zp2{>sgG@^i?sXY6+0ER| z=~Z@YYZlO+rxkQt4G?X4GKgSS3>6TorKUo=OqtScepFPGRp*HD`a2v#&5XHz!KY+8 zB+D@yXYT@Nga_vdpUYfbAfNQEtv2Z;w;OLWJrFq+RXHLet`W2_+h`XOXF3DgqCb@; zhz`_1jS=!b0TmmcjU*?2KRo{&@BKUU!oN7AfVHG=@Kmr*Qu=W)#|4sjN-D5Y`tWq% z_@i#ybZcrSKa@>TZ;Nq46U<9$~2L*vM1j(*?Vy*VKs4 zkq)H`!(PnT8t=~x!skqUZ7+{%4v)sWdwn}+&zt9kb_P+*nh+lzUG08U(>AFyycz;u) zJnvG9s0@WQf%Yd<;S<&q0h^ufqW71EGqoL>QT=J*oIU;|pZZU61R#CM+=SvxA>78f zsb%nGl}emNzCk`hCUdVl{SZow?Kvh%63N4KLK;T(C4tm@oW0qSV|#+m|q;|3h{UtokFoq41co*IR_5!Skvm@RxSjn&M*?sd(YWtiWO**{0m|8H91Z#b)1IsbP+!neE%J4-KfLwjRga~DgK!S7Co zU4g(Fh?9Ycv(YGEY|?)fIskwfNK?s|lgWQ&CcQBWH#6y%KwrW{()n28*+}BWSmG^^ zN|;UpHbI2Zde%q3_{HOFZQ>*v<)f~(sRrcGy)#S4IhNEJUJN$TF`tJ z;!?Wwba!|_vRn3+Os|?Hx(DpS~ea_4=%|sFY3$MSwB=0$Zo&kim;{rB5@5_b=Xdw zKr$bKNblf{puK< zpun^gjm7>+LuYnbkFb_9pw!~1R4*>hn(F|ofXPZ6VJG96oAUs`40*`|v@xir6B_YW z<+S{CmvXS=%DmgUyDOT~pVpv7_RY!VqlFQJu=utNWp7^D^ht!zEo+3-+>z8{fr03W+tSTDavzS;!Tj4^&p1zx@4L_q0l_&$9FU| zr-?}Onid%0--};fAKHJkX13}p_ABTNGi2&yZ<}0sl4eIzE||7>8@DiN42h`MCuwnf z>=>bKMsI5=Mj&jKWw=hBd$}ro*lv8fxb4l(e_UI`fBM|iz|rRLaI<0mV#(>#l)=mS ze7nCk(6M=UT7lT$-PZp6XzO!f_c{`gx+M}JF*KXgK%)jHBNEVYuIu+9Ggu_7w|L)> z8JMGFPGW;*6Gg;7Ij(uk7Na2q)nV_WJd%;2!Kp}Mh8*ig*McDqtdMnd_1~^6%u^Na zY1svF`y`|Y4jv-TEPJcRJRU0sDhz=f?v7Ms$R_N90Tn@I0bi~kN5ZNn$UHAeAhZBN zx`?38VrW;9=%wzUGg|_yb~RtDqd9;2MJ+3sA{}%cIVp46 znnJAM4NP_K3C--M+(Yy!ec14!3@dReHd{&wx97#b0**x|7N>tdXMYANX8z~3V`TDg zrWvHyB=2_&S=?bj0pTqX!MECl)Q>D@ts~B;AJbP1=a3Sh#U-Y2D(lhH+)J;w2NL@9 zk!RiLxr5!hTGra3;ugFcuO51CXRpeSoEDceKQ@?*oS0pi%siF6t{D1MEV+EVKO-dc z$r_W5Fb%bZ(as2jr2im>)zV84o0rV|qY4=*nj*^olHH3P8hG4{U?xGK3IY@TEAP0Lgg5(~lTpQeY* z*O{-IC$UwH2NTMR=YnYodWFhQFD|Wk0aR|+e9pg~v@c3Iw>f3)+7Nv9xV(GAe@!=} z5Pv^Ie+K_$`#Y+r|J+q%v7aOUx;hj0PVMtgJ2!}%-zr>nSZY|N2;Kc5{r#}8N+|WK zE47IrDPF)71Hfd3&xJOI!4rfhgpkI@50S&ayA?NNH5@Lk-dx7V!aCX2v(SIX3_o!6 zP>Bp5NG(69$=Bz`wuz$1zc^lYt8d;aS6fl4n47skz1mRc@Lp{3fYZ@gp2sV1Yx&~k z{=7!0T9}Ral7*L%Rrcx$OviZ*?H<`!8QEyH5k>TA^E&tB#kS7)qOntcNQ<8- zQzxTw3+bOQFfQthqfr_Vr!BarWJ^J1T6c~i5R@Dxg;1d;hLM-h;cs)T&Gr?87!!~N z1xAfYQT=lnd%%dOgqv~_Y1=9&?pVb=1XOY+`hYVqxl?dS z9luS6(urz~L@i~(ge-I6OTH3*iE0tnLj36&-nA=0|10NWOR6Xc!nAYexD%_&BI()I#5+!9duqfMYT0_v7_v(BXfR zL?SOKyTpg|lKzbNSw*>cx`+s&2$OGN!R?sKnLtMDn9N!bhd`bL!q3;%LI6?hq_|M~ zr+l4&y-7e_wX=zF`y5xXRr^|T%hJnv{iPRj@~ia_@6hEzlV=N+5BeDIq7PyGUiQM7 z(?unBV`_|M6{Q1_Zc9#O6v;)_^%+*H8VM12wJ!!%6hZ>C));g+LACIK>LI=RXEf(Ul@Mxm!JtsA&AF0QC6>O zK_EKXF=T5vIN+0l38R;Gq@{4qU5JbhNct<5NILPZIE)CeurgoJ#|AgBB#m z%f1D)q)40e*sixy&21muSvN+K7M8Fgf-8xasB{g~tU7YZro-z|@gS;{+B~nu?fwE6 zD7wV6P+Z;@U|^3xL>^?Dz4sA z&cC0ZKLh*zJ=E?$PAOoL4X{}g$S#DV&!pTI>FnPab*e|p%$x4SIk@W@pSd3heXbky|m!UHyF5>iz)Oa`5!w!F$p;dFA%-?_#hy%WhwIcD~$yJm|{z&^9^#X-~t2Zj58W z!cv}8m(*cvFmI8i`J=qkX1w#0H8JCMIh;yws)jS=w3>N_C7!5cuH2Bonr+KCNoA;r zYe1p~DejaRh6-zvrYK|mPtYOzuKQ($+Htv*;v##*h#d$+4OU1DY`^`VX!y2pHoj_M zIH7e_9)$W)AB7DYzYigFtDBKk3zO4GnOy2#Jmyjs2^_GwU8tdtk? zXLdLPuNIcDgH;`I3(}*ejtgvnl?h)@suQMHkx{N@8$pThtg^MIIXhi`OS>T^TBP{> zjQts8_+Ok+c(JlJ!1mT2UaD*mJ1Qt7Wm@8HKSAN8O27!KPEmw4}ms7_tR{SlKXMAftOm}HykX)1fm zAT9ar>@*5V1qC93VD?YdM2q%qI58rt5rF!v7Ojf86fhDjWQSzMi2Z5~&0*C{vZ^wu zXY-nScAhgo2q6Rw0gdfkj>r#(5!^@6w2|r`l0JT%JEI^KrSuJgI|&-aaO1*8++ute z#HB*jQ7;FB>I?UQ4uU3M(YhGEPJ8Kg%KH>Xi3-H2PM~1sh}7wt2A0FAz7&!DCSq(M z|8OVZxi#b!0_$BAs?={YmsuG94D!qNcaVesJfrY{Atb=Wq`r1#am~r@z;)8bk1Qsm z+zF2a5nqhWK4IY`9Kr4IW#KPkKb;Bu~v&iv_sU4%2%a<~lo5H!C zL(6*4p7vJGJ8w6~8)rMO`|G(76Ca+oJHXBM?a;u<-d4LRgHJ`Y_lNAKpRSHxFL%4o zo5uG~$GM5AOc zGeVP^NVwePJ<_0h0t~e;H0*6E`Bf}r(zI|`=i`4(5V6LqVu-7oYg=@NFX|DsAx9-N zch8aJ!gWtWTq0#y8kevc3f?&ul6(V;lBlLKdu$p9??^(SJ{?HkF3^R*b{^35OJ$Lx zJ}1ehEsFEd&~sHvn5e`aM!L?ELH5Rjcow>?#7bOPg1Dj!% z{&@6!dZM2dniZYRIV4cC7S%del8uDRPQp9f`F-^(=FsTRo{|<0JZpVIY@rTlg;5w8 za6{rRGwI0*RnSA23y)h>G|6Sbqs|`uFS1+1eMdu1%$Z0BRC%RMFSZ_!-+$22Y@k`7 zWOk=ePR&%G+oOENi4NrdMiNQJFW%e$H-3LYA8;B#eu|RB*Ti`Zln}8+e)Yb<3m%KF z$`+<53hBEPXKB^pZgsFfJAKoWQ+$)E{rzL^p98;ti(mNXZ+dvL|5bCVUSMWmA2s&9 z5irVm)zS!DR0%m~m>-sZB2JUQD3I`Xg^;c;)y`Eos& z(RNFyqjH8AQDJl2hR^%rS^LxVaUT#8&~7p|tCMteg?V(f(_XXZBa{82apJ?P{w3r1 z)f2GYces9@o78M+c=Xk!WM(uk3@4lgm(z^iYt zF%kKdNCgl7QDT(VYtEJxJn~~_X{RR47d~Q*ENW!9F~iiR1S}2PjnMc!`DqSpISueW zq-+ZbgxX&6uVK+PBJdy@*<#Lv;6@D9!gUwaxIPq4z4^EsKjL zQAG|;&PRu^FJ(g3oq)IVEfn6;V8Ni$aKpTT%`pomwOfMg_qceSnCk( z2!8LR+Tch=J$iv&|V1?+VP z9S;I|h3K=4~JXzcP{DVv)w*NCm)j;>}dT ze+Cf#*Ss*&bHNk1j2LtnhCJDN@S^LUh0E4AX;jJ=u_|etFB@5D zd91dkfi%-#Uywx+l*EWwU?v*9it&I&CN~#hp82-CPK`W zhMjDNDV>Ikvx=>=>}Z<`jI*_wz5umfaut+@bbgHPl&i2TFd!^MI4U_%ztpQqR&${> zh$+8$LSm4SGm|8sOlW=xFDsM5h~P?3(OzclPeryTA`YY5HB3)8cFLsfAap_`T01TxLsGG3g`bPV(E-35%q}X_yN; zJLco6L#FB>^PIGF1Fn-TR);0m(dB#&55}!+Fc%>HA7PR#p+WWUiB%vf) z{uByj9ZEu_66CEnVUV@x2r#jebp$Cnitj$>N3cT4*S<>RJv(yaK+BA*)_YeGERX4| zdbB;BR{)>-n%vS5zuntRe+K1c`#UJ_f1Z|Pa?RF2l=qo#e33~zw6n|g%wU)2WW@up z%69)w$S-!EL=c%c7b`B9FlQag)Kv_FI<%VbT-`{Q6*YKpPkUKXc?;VtB zRE%w|w^U=sNc)Gp$8H;5ytkX0&ee>@u)6ZDu?v^Rf&iD)qFBA+;01D-A!d=l_@wG* zNA=_&dqO1BI}#3K)PjKRpI(_1P-5u(ty-=3w>wA8Gn0amu?1Cz@i{g63W*Q`#$Y;? za32lxpdrX20#gD%#khjL3s+}%%zsC9D986$WceU}{iI$w!SM+X+T^j->Uo=_RgtIl zX8rW!ZFH=erAdE3I)4V={TK8BUQDyK5*lePda+yA*!wqU~D3K`$X^MHkZ*#Eu&qOeTJzMlZ@FX ztD2qU!%GNMthn7g-|WQXOO9ezykzp~Wb)iQR6jjm*g8EN&xMF0Vj=|AsCgtqn+Ns^ zPz|s6Lw;z%ZwZ4e@fX-35wLQs#41OKHtHw+;ZdpxGN5=p|49NNudri_2jF(dq^XXc zL7+ziBGPz|uc@l(YS;+l6gQEf zYWzYx6(P>Z^0fM=C_109KFDW6UlJ^A@p>|g{5-`tWAu?B0VpN-Pz0l`N(QsFK||pq z$G-gGR`zZ5!8q7bE?9bpC`*1;IDPE*-YmJtV%3_`O-58(YBsDD=N4XVDkkGjEb9Bz zXOIaiuI_v!ex~YR)e|*1&XTQ1QAN5cNllVjKE=A$x6a>|1=&cEFd*uM{}R+OuFcQ> ze!Tt+{QEBsCtxclFj5lfxt#SYD-kiBJQ+O-)$0B7O2nA+<~-&Q&*SEurEm_KNo+h- z3KbDDwUq`Jj{=@UoN>GH>XO^l;+bAy-Q(`gQs!LwBTYF_h0)G%$g6r&QR1z}y^8XY7U?ILgXM)Hj1`avL*s|35L^fcm54PByOe;9H4u^8 zjjD;nO6xvat;aNU<(UQ#CE6tzQ9Ct3nFQz$4Af1Jr>dHlrINa>g)N@X-o|dhK~cgD zPeZVUCgNLMubSBExyEx$xUo-)dN9N3T`-l;@N8Z6Ua$QY&<(E_Sqn@j` z-6S(1&Hwx1`7^lgzrf^x)TYgp95BUPMG}>km>>GkX1`xSo*o%HKQjww6L{&j?bNpP@KfI!|0gZd77p>PA1`YR76C-BKnPhVb z9av)rw#?X4qRm?y+RWIIy>q<-qpP+i0K*PdhVY2w%S)$L_e3A}-nKUNFD+i@_bUfu zF^E1D1g~1zRUisSQY zJNI_`OGf2~l`WJjs|Tes_R295a~F$E`z_2f;8cJ%{N0JcSisCKGZ!+9!@iJ-u!ZoR zVd+4Cu@G%)pJ?GHu%E{nhcMt_Md}HF+IK${wJ%_BWa>=y2zYT|AgMcHd0e*Rs)HJm zpd?qJ5y|g3I%#2oJFK?AL(F;0>~sn0P0dtZc}r`B{D|D>tJBope@)2L*EUJ~jzd4f zNVsvv!5y&4f5{_u1=;y494!GUlzEA{G1*dP;melxG!+m7g!I=V0z3wm47!83Zu-B= zD|H3)-wC0yK<~2lAWu~>SDBTyFs1rZ_8Q!`Fsic0%tcO+aaW4iBrmC>fnX7_QK_>|YR+U0ek%cD74X7ki|>3Pxl=JVrqt8O*DDp!7;AoMf!p4hIoVR3c|mk(i?^UQjpyL>(cA%=A6LVPUuW z$i|*#J+TAg101}ORXrqbVl~W;*;C19njt!ILsq=e!G%W`%=8**EoB<^SkmuCTCM8s z(SctJLK4yx(1%6bn$43%Z+=B0aa+f%Wm&c0R0)v?2$+7-j83RlqgTzJ7*|TKModn2 zs*7a|wT4K+tBb<>EwWV_xtJ3z`6h8#g0zlFTwA#W|PjTrIM{y15hO9VQk{wWP&*n0Roma107Bz zkVKW$69Dz{vd(?wOJ+Aw)hFLjkhu`lJ+ zBE3LI1iByuI(-rXzm)17_Mpco>hLeQOQ?1#KZyH|$PJS-vSf#4E`9O=vQlcT^9jG7 zus;L&{+mQ@IZ5DhLVL;mvHa7%pa2%4%DO-|!C~x(BEj%7g|Uujarj%Nzg*oWN3dTW z8owR&=@+ih?t}~bpI=U&2>_4d7hS+2fHUs-*48UC;%DO-o2D0+x!0y+pL(A*^mK-S zwX+05)tfo%i(Aj{p&eUZ{zMqn^5hj*ZYm-aNREL-`X-d%65GNg{CXzIfzkLhHLWZp zq)bGdq93FTK4;82sVfVs8*VwWhUbZy64CgX#e;3dlWviuM3YuhvaqU8IfA9&A%bO5 zGgAb<^CR(?5fjFmX?cIh?cublVnopA{mo8UCh07hFsU>lxo=Q}lsJoRBt0TRj4$=8 zl3Gg+Kxx}(srIC-s-NN~ho)m`Owz;`DNTGEFa(~2!Pvrkj;b@6Y?-;Eo2P9~D5zWI zp=fCBU_ma4MYr@QR9Gz7?9nA)llIvMotPRWtGLE4va!)LOwkZFCay~M{s;D!^Km!? zWy@yr$~(wA9fX7xJ*;5O@D5NDR8Y`)0s?R&9KsnRk{_oha=6{ao{{N#382_h;l?<46gboz3>d;eIV?+<9u6Cd0ou0(Jy_}9t zBAydIJ^~$4&o7tfPkjkjXT>kx9uIrl7Zr#hCP$AZM*&k?0og}gbBO-vrj_=KnkVmZ z{Z$OkOiPr`lyuT_l{(@p2KR?|b?+Kn<}J?2A;9n(u&>Dj6)w)!l^oIV;K^?>?zrTT z#UM)|!Q=($h&4`C1A^LA1O-VYtC(>wa%M6+xiXn%olDqgugOJ{%0r_-$7Y{83q(uZ zA=&4tC)6yDSbKEKcsX$3!C~da$KHvE(B>!k{X%v8GMpr4SemN`K_TQ8VAwbR9a^H6 z0wQuCRNkzT+St%b#t>Cxe7})Tsf8(c0!hr3)PrI=$i>{z3=Efld);rPG)fmIWVF(L za5Oy(N3(vGLn8&H9p*Jo%+awN*@OH?iG;gNV`ImW#+SGr7^w zgTGx(%>Nt`|G(&hzk?F6{f|+qC~va2^fcA8H`Fn=GcoPTcHV3E+v^HC>J2*^i9Q>P zxfo9X06hh>DL2zeSCfgiv+2N}w{)Xvpba7Ud@>ox6#z+tHyZ-5u^H$@nEHA-`t>c> zXe#k$CK>2rxS4+Yd^w(Q1}swO4c}=ESgv!P|6xB{Vh8L}Xi7A+G0@P}Hn%nR^!5Il zlJ;YCZ1MMB&z}K(|J7M34Ya#ry%el|UQo=75^DEuO==ui zC{iaTgm0iNV!GszAf;1wOR9WI22Ii;`U*7-9X$%H=_xd`>T>10fX0)QpmyLx%(MjLY&DMxP+)B^Hh(YP?qnfO~xsib*gQ}UKqbU&+ z=l}R?6APmQKJ?L<&|Xv*wXM%Gmm=ophRG;7-G-$o6AZr-k^G#2J?w?@_qSEHoe0u; zAf@AJGAl*9Aq?$_O7~xJ2kjIU>jZG^%|z)uWz=<5u$&9)+E zVptWl^hBScPs&y@t3hkH3Zr1djr-KD(@N9Ci}tj{36evCwd(Vu$$(Ad?M{Rj<+7}! z1L{KSq`;-A`S`!t_v4Zd*b=G14It&^DhfjgohK6Uq+V}_Wth8!b53eB*)o^~PW#AS z=?Z-vppl{|NLbDlTN=+(ecjU6h~7uW z^Mgjg`^t71yP1<*&=;?u0Pj9|oTgYR7ba%c?^*D`Dj;AkU|p=h^=Z3y^|K+EefSkL z{eu2!w@ep1yyXIq0IgZcgoP>wEk z=&h@x_f;X;8ba$1G&`MST9^yKeRd*6k%}GpUO#Vo+eA`<>UB!Qcj^zmpm}gW(n|At=gqH)oX&lOe<+df_El_U`bue zSdo1%{C6jYjvO|n{K#bBH^SOZs~5=G@EXu8w8XFVM~`tNrRL~cXw>*ck}$;59dC|GltK7 zhTj~1T+BV!T)#Q5>jLSVj9-W1v3?)>nW8eVjfwjmVpNNw?O^%f%CLWd`6PNn?NGk~O!)yW#C8*!Kx-f>IX0 zXp%%GQ?~>g>sNu$7hHXTvDuG>4cnOoecKb%JoCUJB;2h^4%YfFa;!l&haTsfpIa|{ zH~Jq8c%NnXsy7N-zwb_ehv@#vDDb~^C&*YCqX8K!Nr%}dQ!HL^WMqmgX&D6!Zsi_} z3_xdapwjJ+As6k68TO4<`NMaI7loq35lzCo;P5)ZksDOX*dVp;*VaB(+{vKzAq*P< z-hSd_idg6{d-4rx!$)a-FfQHo^nGyZYF5WK=xeC13|009plLe~)z*5Ar>C$Y-=3Pc z3$#4A0>Fn$leJ)o$e?TSo^Scy(4mu+rIXksx9bt)+bI%IFA~uHcz*~kEp;YUvaMoL zCWd|4(6d|anMBk`!Y^HlwS%~w59}M-UuD-fb#-ANz(H{n(Z^}GC6bIBJm@zUW9Q{i z+Wlf~uk}Jr@3rt}TueqeEor!9CMVh-_^%P|q+e?plr`k4@l5&VWe!eCY|<}&!^%rI zjOUl8X0lJl8T`rMr6q{-d1PE-&4J=rC|yc%NV@P215DD?h+0*7VHFY2b$tvFT;Vxbqg!xpxA@|)eJ!puLIu3S zW=X5nZ)J7;b`1)a_N|Drh#Q4kkIlzx;lq~bnC|mY;so2zl)ZuFVcK8goWHK+^#nnf z?<>jK|I5qo?=WBXKRI&xrvjhpodSgUqK7}(20NZott%&u*Bjl3b;93yC?;dt}rz$Jb z`uWW~^4kaLG>Hy%@y>7WoX*Wnf-9volv)Mz#twAk+t_7C{Npg0!oj%`QG>8l@)Z_# z?3u6O!)UGWn0(Z{Hp7)9<%B3F$odLRHbe32Gy4$oiu%Nh)>9Ejli`xAHRDR7E9f?d zsw5_V#C^i!E%7@Y;`c(;kiP4&iBZ9iDalR{WyzsnEkYBoCQ6O%b8BeLRZ#)*9-Bqw z^Nj8lo#lUd5mU0w<EI$(Wuv(1<6suYc*Wr4{VyL?3q>PV zh*kqtg*v1MtIt^C#d7|aJPShTr!iv>45a&vr)ULCjAhbv0NeiYW*%O_z`{W&e9G8t zv7f;+#XTrj_`Q;jRmdPII-cvA1kC8Hy9{0S@xI26pqAjcSD)_8!PP^T&f|rCC#jz>MjK6_X>Kr3&xTxsHW{8V`uRGBV?K-Nr4D@7B#+gcxD^rmeJ;w#{Va z#Q*Ns`pNpy(faE3?XU6mU*MUw+Tn)+JZC47lcn5_Ti5H`yS?Q{ca@yY={MFzA6AvT zDz4m&V_<|1Tdk`(43(g-`QGL5yF@w6GU4zflg2Ki_C$KJIcKxkP~o^~ES9CN znd^9pg@zsseqwLw$HF>UMm;h3?m{l1bZ$VjT~egXp>Zz2b(Vshkb_C(UB-Hg1@p`x z840zbEpBgr`j1mPp(`esSPj1H-Loi15mR%CBA(pxfd!RkFTW4>vmU>H+5H{D`){0T zA?hc{r1V()_`-Y121tN_j46d;b_`g_ie{5iA1*$TFtDRwMvm8}jAm~g$Wu_q-N;JL z&0WkL00-=}!8F!2-rNt~f__e&P|{^wxZO0g-)TPH={^!OJz;x`PTj3sEkJ^DMG{$l z(zY!u2pZ&Mwnqae`J~mwHE`iRk`W=iM2;#%m*(Lluf@vLd`UC(4LukGF*pPt9lAYR zYV@s>9lJiZnz@7vS9dTu!mn(2It)t0dNL%;>e;?`GvYvm>g8T#C>9#Ek5k)z8hW;c zWqcwhhRV`^?idE-_LhcCBLpbhIeE5Dm{?0UA^?u)1uPwFz^_Bb(OO7`33=ML5ut{3il3YKe_ zn=6Jso^B6}`g?i$y5(B4Yl$#i!ykdPL0KQZrn0qoZW^|8j0(H?m7OC(VTP6X!lHc{ zvM?XNoT%vR&%4}YmhtiSF-7sKHQ5q8hy}&7Ug=+K72`DwJgW(~>=c}SUpIe;+j4XN z*Pn1ys)F{|kh;+V%JG2OQgkJ~ytYNgS`z0+7U!zUqSE5SwTqC8%qhHV&(YLjrg?HG z!h)%))1*G3e@3ts27TRfJ8oIIA=yy_>*A^|J|ETz;qT-C^0$iP&$Ju44GEoU&}0aU zyYs}ocnPbjRhlAYV(0rx2X6vf7O_llQ&JM$0H>D+JJ!~bDuIE1f$mq=*XIvMGs~k} zk1HpnkB04c0gcVZovk7P7YCPDi5nTLBv6bK=5IYzSMBoRWE*^KBuiU(lbAdzSljyw zKTLg}vvabn7qVLm;nkK#o;1%kv$l{tjzh@?l(pmLg||SY<8%tX9|^W~`I&RAl%vaZ zS*`EBzO=vGhnu{V_^P21E9Ma4L}G`jZe;SNf*m6#9gzWED|SS#Gq#F5S(<{40wr5R zJQPtL-ZJUK-AQi}k#k5>L{W6uSFF-?Xhf#H;o3}jp+uXDBf-Xue@k-@;cpTevn;WsQ)4e<_AR+575XHox0a`Qz#RWV3J|VzLc_~A{e5hPY0zt z)d^Z3XSsKl-E8!Un#~sZWa(D z6B)a8{!MWbxlM~wTqOFPw~1Hm85Om6-9yI%sdZ^z*-0w3n5q$u_31&QVDZ|7Rv0Ht zc3kX5!4B;}>|^D!a$5^1%Xdkc-9KPrVVF|?C6m4;dKE=uQE%BuJ3}n=5jqD_aN`Su zx)&ed)K+IY_JvNZ zcEJM!UCI!RxSva-UV-EB(~qhy;WoboJk6g!8`2bJdq3{myH|VNDPJe^YI~&ZzXCA6 z94cG2s8Z5l)a3ZiXqPLWcEp&X-3p>0h*AC=lH$N5y3-I>2c?$fMG zl3-sF?dxT`^y#OMn|x~Muof?&b&JIil3}B&km^wg65*>Nbuf>s(^S0?6o=Ey>ZIX^ zzYb@UL&f%nuB!ETVj!ZUdVcTmakIlaFo9x^qOXeU+=T9CgN1Fk`#WvgySM*zEo_l;bSVRqj4#@jZ8W zERUa4DZte_@N9PRh;Xh(g>cR!@Gz+0x=Z=4z$j?){-F*4FuuPrHuhfr%iSu1}+I z&M|@?OV%~qAm}eHD2oTrCel{KtaQqY_|iT~GcGQZd3UmjG{}>q)v0$p%6GyYr)8 z0ZpKXbATRd!K+5;p0n!%JOe_#n0?Gto(uI$EQ!k(s<3_X8#l8nP@J?0DKgjdhwwELGJwFJ(H8#vIlE$WNQ9&%_p-D#{%3s=?VRVJhw0 z9MbAE==7_*Z(qLq<#w{EcXe$V=qBrodeQ~4y}aFD9tS6mjpyIrJ>IVmO!m!B-ZWjU zu&O-y^ZoJ^m_3;qC`cf!8EMCjU5)5RTi)!yHU=a6&F!VFD=Fc_zfse$r<<nANt`oe4m(_u`OGG@`aXJN#*#VA1evRNBO zp>EcwT40p2c_J)aV^IB6z~J>j7(vdV#d4HnMY=u=CB<&OFEeP~M_fGCrZ6!cikI;n z%h4yWl^9itXT=-^{WAYh`suV@RuXzPH#PH@zDzP3i$*40T=I1bj(Tn(zQtidb8(%# z^+_j+5M;sB?YCgxaD_8wKA41G2Bu_A7V26s#L!$SRLN_hYr=W;HgE_Yp- z9&9fO@;U|OL|TFD9;|@Hf7L%-^-MYl?F-p97@$!DW|5N_ zV2cXT;}oON@h8#5vk+g;>niHvD-y5jRFxoz1*2+HQ1jH862ws^n!A(~Ia^{&<6v5p zewVeRSw2OrW4+u#ji$vJ7*OwKB!wA*8gvhH>ayM`J-KD&$mm89%wksAN$Gj>0@IXs z+z-HCy?=YZ`HQXrYKbb7>7X@xRMWo2R2slNLFBi2P7(|Cck zF1Tx;F`krGy59cSXS$dxyQ@ZqS8e_1%&Hcs4#nN30{kv#P)6g9Jj|@Kc*7u2=-ILp z`w!ywpNtWA8@$DnY!}V+uG?HNO(!bfHA5?`>Db3$*j?u^gF?)|2GoLrP#oo&smPo`Dd&pkm<$>a<9U%xM)zXNXn%;@8vy(#H` z0^mi{WmCAYq%`&wm)pP(c@|d7D^*<0E;D(A24(4Rx6q5tQw>oF;Xn)|psr{zBRvop z<hT7&KRWr?rg3)8*Cn7A;D5GyurMGhD*6!Mc?%w%FQ^2W6wHdUBz8HY z)`}7Z`aNy>x_g}6cSe>=wS5Xyvu1o#ZO-goovzJ-V}FP8{)=Q5D#&IW+YJ4_)EE|R zT}l?6)D)9aIw>nKXl10HN^ssYYqvSEib|d%huX;)Pn=bv#`lat&EinpX7^)x%|3(j z)!9%AJeag*+2F=aY;+Xh?mmCtY7{|^Bn0`&1QQgciy{I%b*lE?QRM{jl*cZ+TwB#}7-Mz1i-Xp$F(a`ft zpgU}~1j);u}B5d<%>rjzlWxVNyA)nvtSBbs3_8Oq_^x%yxrM)uN zGe-(Mc(Nd`T~0_y+>V4l5!CDDdQ}nIx?G^Fv_u(sE&gi%r8h@TT>sSIkF1^_RXK!=Tun`M^RhgvPa`p57xA@7S}pp;U4?p&~VI z{d^WY+Sq!c>$?u|HLb(69`UZI$&*Ezrc|etFTCBKuar=d$bd;XFUH}ayWvl_>02lS zNQ8W4o8uD%0QoY0H}~_i+XBuatrfH5UdO1sPSwjT56|P%5Q2Q&@5|@!&|JsTgMKkX^0n*336|}o*;&3<gTBkdfPnea@}(uvluzzsP&*OzNynvki3;5l(P*8^k5scf{z5*CXnZhoDZ6L zd3){59*r%Eu4H7eo&*RO1q#tG3mdeHa@HmsG|?v3EIV`s@I88Z-tOEUuFO5G96X$` zvIZK9Jh|50J>Kk%_8o8ggKy=^k+(G)8mp(ehZ<9j%Hh66*_1PuS9;X`l8*g3IE!R5 zq*~t0{zfX#qJg`!KJe+jj)*K$B{W`-x{i~hQN3bn`OBe-3Z*#Ctx zKZaMoM5kBZ0|X;d55yn`xbnYxRmY8x%$wX`_j$v{OwQ51&%TdmQ0iTJ!=`avx;9Q7 zfu1s|4bZ0V{u}&aqWlxmO6E5dT(o*7^)M!VN?%GinNX~E+VQ$oeT&s3Hg$9}MPBWV zMVksO{<>oANxj6Zm*{uT0+r^5snXvU)Zf9p|BAuMJ*%6-pQyWDB#_fZN%25OZ;5@h zKX6)jo9il+`R&IBUm?s0%)pCbA~B*Q^z}?i8Espix8*a;T3z6o%5zNT6E4Ug{-e|F z>VjRXOMB)7ZD!o_sZud$(O>B)@W8}h;J)4$!0}q7dCLFu!s+KZ?f7N=kIPi} zew1eB%9^>nJk(ff>eM@FKx-^**-Sdu=0a*2iLe5wsEp=Gu|ut1#9TX+kJ0bZU!h-LHlnpKrjuUG!1}0CLkKtpVMI7`3d-~Ran+R`@Ze~In0IPm1 zdj}p=T!izo{ej7#c9wPk6jin!ETJr}3^Eg;qoFjxB0Aid^l@$EV_ZRv1f*ZNpx(}} zfrJ)T5=mHq9B>#+38az1qjN{WZm3=;QKNkR>K#;(3?!Zi5xlNWXgKB6(@Gjldx4;{ zQ>UJlw(Gj)hC}1yrS|E?R6$HH)z!4k^175?>mSdHchGWX+eCVw0LWGY@0s zt-Q-~{6`kCm)bm1$yR&U{^>MLAd7sf3#J8m(7YFiLZj27KlYE(rmdY~LA-(c%cLOx zmU|aTXA-;#jVZJ5Rv<&i++b?Ln8$!AeG?D68>3pJ1~{P+)-9>BHsTZ8W)A zM-2m>uvBTN&Kt86T;I=bE_SCCGRpN{t*)&NNN@Az>WU`I%OsB!nU;1fT!i@M>9rve zgX6d;?Q16_7hlTO(G#)ui+WZYvC0yhRoML^9lx}Uym!!MmUJE`T9E({z3+YqwVDCq z72+1RWGQPajM|8)v1BcLDSV%SqW*ySe-;bSIr9 zDbKV)`gPi8**?VpDi456Rb5eCdl>0-9qO_??&qk9udrOsOCC))-{30iOxKjU6;&s2 zPG^B=k-h8viqj|tWJM{Ib=?PP8pPv_bq<^L7J}L*=`^*(HB?Ax2mamOc#3Z7i*>@j zpPo*iU4|x-rhYH!<@!5#_g|cM{f`{I#mY%dXBD2ufaRl zb5eI8+aRLHj-MTm=?^VG9|Bvzp8UcDI^#TkDx_C0XU#-hV&9g_uahGL2(3&9`qhuB*bn7DI0byUTd5~?yc<=S+z1?Ss z^MOJPQOfG3d_f1Td4ye=Xx%p}_N&FEUU-C6d&g4GPUdUUA#0IsTN8uVgFj7J z%-PSv(i|H?j#j=dvl;A)5N@fukxfP(xtT2+&UKLs~Tk%2U9MP zhy=hnAFmCn1p(@x9u8eR&+f;Y@SbXnpE`W6?hf2M1Md$mCim|L$5jSIMTnSALf4`y zJn;#z+{-pkYo?kAb-CC!)oCaNV4OXjQ@uZl;pEC|16KWTeXZeBJKtfDt4frH%6@n^ zIoMquj-eI{Niu-iu9l4_*fmsmRZT#EA$6oGMd_P5{hFX~E^J=lyRcL-5J#9>HMx6) z*jI|owU!eui{?AIAkt`$KC`N*c(RpOsvL5RDJYzm1FS1vW}hFInx%$HwoA#i0DVA} zW4~-Wn?f^Mgur&7>LZwA&knzwRrQ*hG7h0#r~RdVhW=B+<8X>qH)hBUnu{2CKqBi1d$Lx1Ovz8X=mRvAS;7Q$X;MJ z{cWR)_PbO{_(|Rd|{cCE#Ze%iITC!@htFp za{EVCQgd}VtjTHFL3k~3qh^;W#|#!qx^Y3Ah~W;-oqYF`EDA<%Z-T^Q;Xt3A?k2?P zh1gqghVNa=qo=XS=%bY?DF+$WDJ>wdetx?$@~rxP*kj67JgdH@}1=MeI}N;#cTAtBMu^lzF_+LUhv0~ zUt`zK^+_P{NtHoULjGcN(B1a4aNq;x|E+ib9fr&G2R}OYfA(&w#Q({+FHp$J@=%H0 z9+m?}d@`>YZ&X6uA4(;Df@??p z$@}ri2N37J$cXweg@Vv>o@(5?-rqV~DaPMW^$(~jH@AKQb#k2wM&!7>c(2F|tX{LtTPIBjrgh~ple zoD*9pYjJY7uRfQZ=U- zNwOg(JYEc1GWA>BXcZDn)kz%X>wB+-*Rsl7XwhPCI9}#QAa#_yk;5E(S?(b~@QxqT zPK4~h6j?`$^)-K@g-Ti|wTL~p{X1`L4NiDV+SeW4-+V2qH^+uPtcyEQsuEEeuA|3m z({DgkX?=aWwa4CVDFs~&4E{iwqS@2F0eaIpr`d*N6`$SYyKFNlR$dXHcH}N1qg~+! z2R;%01<^TEXiWXyz>4edpk1~<2%%yB=f09l?SJ}G)+4+(5{^0-GYgOo=(!y@){aQt zI5^Cxl9EoqP9T9`YrZs{w*EEkcoFx*X*(7=YDSiO*I2GND|~fNq~FnlU$yf^(T(5C z{mtT{L(akF@SQ<(r7fel~!;4p0^yMk|^l+K%5@$U2H4R*KwUU^nDTeI`8S z515zGo3>HrISB3El7Z?v3&WoBW;!pg$`-5U=p{XZgLmEMOxbdkS2_xmLN_~&8p=~ksHSi-#t_w zSvBN>U%>gCF_aiYnR7zOJ0u+@5S2F318Yh276fX4y!=oPZiXk@7Co3{?N?hC zbQUIM%Q3S<$`@WjbJD5Oni2bHPV69UqxV3Zc-%CsS8&=Cg`%ln|~DRa@c1y=S%9**xW{;Z1hTMNPLW1yb^%(2Y(aYQyz0u*HiF5S}Z0>GBeB{_=gv zmpzSL4?Pu)>Wa?xHUx!?uk zuDRi%v!C_yTZB>c+6E*R+ft}0((EcXcBh-w7Oy1ENLN1&+B0yI zUGyg%inloCSQn^l5;ggKSbktq^jIBNtC8N!!ozufEGfDRH7yizH+st0c*B|RN#r_StH00vMK6XWO2L<^fV>_Ixup!DnjWcxmKC{{X zik&8MNtLJ?*eG8AMW^jVJ2)^rJY$YALPqRQz)$3^BodSnKu4numaknf$gpg=omN7L z$JcFppZn2_V+;-L!W3z8HN|rN=H6UHknj~+>z(baQe+P}G*DC*s)>s3C(iK~<4l?o z21WJCh>KtE`;jar4Gcr1VZ%`1Y!oae+84gGK)sE+ot&WU$&N^07{8g$ z-RKIPXl8N-a;H~t21P%YUpt&cJ+3zXI0D}m%>@BgYQ_dk#;Kv7dk>)nqy>++5N*=_1iX;?Sn@UuKh@hr>QMg^8;CU;= z($*p=dJx4!F#>kS+5G!_`Rovl7>m{jZ%g42_eEvXYb~r_T+C$=c|N-~p%hE+9Xb-q zhV=X^Ha#1|iC%w|qud#h=^OOm#2(P)JLDI@na7#3;dCfZL$oY1Co`j8Jl$Fx`WB!j zWiY-}V)puV(&%n4jajm8O_D(pCyDjcyZ8^P+3AzI+QjEYnGRYnlZC04#SjY}qWfT+ zU{by-%*7L_%ovw>tHlqJjQ9(`k+Um1cvmH(A0MCY%mT%=vCmoJEJ^Jg(S0GqlW;w(O3S)4$R9!U>I5k#UP8P4hA=wR`p9r4plM9 zn-`5%ChMR!BLm2YLa?N~%5tpF9E{OP^j>2TqqYMTc$vwd$@RnMd2pCElq&W%{W}h= zUwSI@XAvtZiv&fpn`56UDn<|c-4sB%g`ZJlXux zBsnbC9tibD{>PDHXGAeroN)Sh7;ilPvsdGSU*6rEmfuX!M1cR!SbHAA67G4WNNzZTX2$whb2MXX9OY25BK8frX8n^7B25 z)RRrYd7D)0`AH)HK;NG~%c!%+TBglf#o9UH=FInOJtW}nd@RvBF);tcB*_1KXW^o2 zMI|UG!0YO9^>%7)CNk8d0FBjnz49Si4~RSORRU1B9n7{)@|xKn8u$|9VA_0`nSccEmNMxo`eFY~CxwZ$*S-oGJG zGUy2{!ABFbUDlt}Ys82x)Z37>bJHiZK<~g1&gY6x{=B<7U8N;AzxSjeREVCb!zg7B zOMP|PCxs#TDu(Ba{I3{jax-h)NLnchE_|*Ro7$7F_^B_mdurarrZHh@B!74pSPqvn zdscLwYz}*Auf$_K^45OXujh=AEgo3K)9t@b<)+CLQvp4HO41dQ6zuXsX?!>WM?t{b z!b)##dcBdl!F2v>EM5StoxUq#aoGuA(Y|ar>ZceDLobOs_E`Bq_yK971p_tX&UMUv z8JurM=S6ZPvK(07ab>Dz?hV>^nq8`GyaP-c72MxE-h!J>!2`Ru!gDI)qBY{(^5kw-ox?*Yi5RZe?1O2+?Ipfb%C0gp?h6yc!7sA$ZtpRPG5|aIjAT* zs>qtk_zx(F5G?t-qzg zgq55LE+6hFNSnFY8!TgcLUguWwwEMi0PKdj{in$BOErVStPGOb5Iv zgQuTFMFa>EW5n|bS32o8v+1Qy!sOLDeqw)NN^z%m_&R}5?vChSW%j0S97cA6n%#sB z%K#tC=kd@Ky!P;rXMFB)P;uPQ*D(Qc`o7M49+6COWcPpHlKy)_`$z2mPgF~MeE)C^ zQhjbo=#M6NOFaY7>&HYVZ)fj5jbGQyS&NIm7L$bmCefj*1t&yA5KNMZ<4Hhk%F2G$ zB!^`#+P)x<*KPx?_$Rh_UYG)G8}Lo!qz9LmJvg#PtuHKu6h0zP3+Yj9Sg_}*NEcVi zou50M-OS$}Ts$Pw9xfFRpA>wW1OGD1AX#BqAzJx$cD=e2DcCiZ@aXS%3%-k8F(D}^ zSnm3Dd3t}iyMEbS*%QCjvOOC$v|m_WhiPrF*`Z;;Sgd70SqxE7#%qb-#iz$>bgRL9 zQH%UI+M(5r;9Nd~nfXe#>QuTRLLdYD@+E@hNS1fS+zW?l%n;o#bE-l%#)Ny~sEDWd zK>5$p(CMM_DE&;~#NT_+Iv1V1OjGhR=P2lm4Zcm{Dk<{AipHCB*QpPag@nLk(1&Un z+6@lnQmS!b$BX?y?LU>IN2R?=%J8Em7-HZ>kjk0?=uZ-a;-p(yXk?REvC)AdptiZO zBY&L-Nk@6%M$~cP;8aFw>H7@wgr*0ps_LbjXuk)v4HK@qG`o+_!W!_`d2le(F{AXP z4_$Gmvw$AbRt=HO^yKH-9-pnK(uXIEeqUJsJ=p$#M*l?xmCkk$^zUwI>JaE`KVIgu z3DE<54ci}x`A=kV{MmRC~aAmJbR8n$D<+&yOc7u#VF)Cqt;|G^89xceP8Uw5EP0tHt znk4iRWN){mBz$Bn*I9J7d zYRMD}-i4lUjnP@yt5&Mh=vN3Ki=^>s{4Kfd!XkoqKb)v#xYU3i;-^rYtX^ z2$u8uBRebU!#hg}t{6*k?#^0T%BApawUG$K)L)fi1W1TcA~$qcBOi>LR!*)3^1SS* zV<&=@<#S_ap7;?7CH8aEfSc!`99vdpSzVzqhMFuT(Zg}p(Jwsd^Ld&`h1%NyM`w8p zW(Pxat!L%l9ShAKfVcl~d9!Gd9r%6W{2eyS`6q1l|LEC}(0Qmwg9sRB=aU!(C)SI= znl=y!=9x3NeW6eX&H?FJb>8IPUGDlc<*Imn zEUq@UZljalYQ|4=&e*b1$DMLzXAX%QIx;W-4Q?Jy=H<-AvhK|6xCeR)wE4=d5Njv? z?7)K`?Yr+bq5q%5{IJbV-Ke;^wkM1?0sRRc2USHg94h(GYMmAV3$Mm1CdU9~! zW5g4+>+?69yX{SXKktq%0ZbHeS8=w3CbQVNiz%S_}3y97tC z(Wmqk>h1WrxqSd|5G99U52t)sg_niWU-rYE7#xO)F2Z2wV3(mYcK<9JxDi)7{Mb?C zLWnY4&7_H`Wl?nRGire3UnuZX1ZE~hwjJ+CBw z04YG{JDpsmY^CidCO(V_Tug-&-QYwc43!8kU($+Z@pCi}fU>h}Qk5E$?DxXtw3mE9 zkC?uvK9@cuxezKjiY!5G0DJ9KAZ6vx9eGb~%9&&HIvH3{u8sOx=pEX#=ACzZg6{Vf z^>@hazeH@$Tga5hSc)hc*x2Oe-6Hg#x7&fEBYxF`ekJUq3ZK!t!)RhZ6nxGi^x&(a@nfxD+1=K%tF=QFd?TW7S*4anXZI@Vt^0&Up0quQ3qmVk z8KXd#VE?hV=P!~&kMS5nm0{*c5njQuv!+lK&stgJTJ&}E_92}9R`)x%=N(<^%xyW(UwF0Fp2Hn0s-s# ziI@I*nzC#TT_Ts-aX;Lm3Dmaa)WA#Xtv}v#-BUYtebY_pcfGpT-){ohKbF0eUH*OL z{2e&^N7g|9>_tSX!!Zy^@&hxxlE9!O^QAI&y ztzH|_YWPcZbjORR2ph_$$U?-;hGl-^!ilW`8?*ibqy>2>*Aas)Y8I7hH=p zN_`xIS%K>hX;uv%b~Q_-;;|U)=4Ih44T+7+J<$oq->c$_qxGuBXS!&Dit+FB28CiH)r9|T*Q8>X@VVat$I`w62(?#L}@64hcD*>_X6;TwS zJJK9oh6L&Q^2C<#snyy-yI(Ci)5mc4geZ@H0MB8&y!M8IAmw!}J}&b6^7=aj_utG& z5cdDvm=YgjYLLv;KI2luX2hRb9Sj}}yJgCn=+=J&T(Pr!Cp#dq%Px`F=O z#{<1b%7w}kR1RY~^{^Zpg;bl-L^l$BjDoozv0<7omf7nNjW>z;jHATy;HYwgj7*)^ zVLF<^kzv9Szqa7h#+H7m82QTL#~-=u#_OTZW)pIp1!97Rf3Il91Gu<3r_cj>#+dQs z<#q{UoutYNX+YETnaV_7-OU4<80PWr^6Xp#w7Q5SP%*d!86wb%CNF)2NaiT1d_xzsSuGXMKM3{fqQ|n6) zRh(md#FdLGxb4BXK_@K_U*melR9qdKvztT9X~XY_rOY8TS&U(K&9^heoU<>~Cb{rq zlA5MR{h28Xf`SVMiA|)7j!irppsU)_>R317#V&Ve$D=OD=SM%R?6=<*4$t4=wEvWJ?}Je1$zu&2Z!>9{K*+7ZD&;tbuD*J7X+K|>Wux~>Xqm3kX*Ju!6yIvPI6coV)k+` z-Swr}gOW)xHx4li-NP0k-~_M~Xqj!BnGu%+{esyQ{ta4mgd|Ttsp+0XmXe0>M-^q| zx$28DhFG=QwueW@TF|`FNVDF|=zh(O4sTFR&cOa2c=zN@c30K<;6>cSJelUGL3%-)L^kR*T+KBynv!Myn06mr^S5F?XS-UK7?D5YV1z!u zq|w2qf=eBEx={ILqhbC;ODQvh*;0 z;N`XKco^^_M%2k)^w`MSSz@Q}K!>#e;tu4sE4%yqe)M+$E!UqE%s=NOrld9}$c?R3 z5OQ>0K(?iDW!`a3AlPSb=Md8r9-RyW-LyIh9TO&YXj%#(zXoYLc-g`N}Z#GrQ3$AGK-@tNU*f&wFx;~LSUzyP5~ zFRx!;qO~K^{YE>~CI=5C-Rj-lrYfp&@_-JK);^6CuTSBMHu@y$84Tu0se!m8Z83g* zFL0U1b@6499NY1Ya~Jhn*j2eKDv>y-q+`$@A8g7^{*&v`6Nf8%WbR@vv zOz@4ir@`8$d$;mhjDE_&NC@=}6m@+WufwJPSn#o%@if<5ZK6<@L+Ew1w?_q^`^u&H z|X-x*0-dq@=2#T|I^mHiDukCUtS? zV(|{?KxZA_qU47w1%eVAdxCl|tb{*QQE_w;b_PgCzgoJD(|sGo@5pQU*uhh7_oYbUYm4lG(3X);r=u)MvncmF0X{8Bz%>RXl@xuxodi5His?Zb;A zK+1DPl8NBAZQb<6=xXNt1^jMw--ejN5SB%Hi4>#8f|Kx+Q8R6>BU0(S6rBgKd?<4! z7NtA*_^QI>2Bo22>f%pjSJiy&yZ30hH{OP|U_l7%xUc8Ssl$M3`H%%qnMah!B5Fc} zb{nUgF>^aGYQZ60podS5QbdpXOXzgd!k>#sACsCtr=V7+vXvoI_dA1_V`CwQ2!UcL zX%-V~s#Ird=knsxsE87*QB0(9cz;i~InPNSU2h!ja%gC4+yh_C%$_d(1TUsT1_SL|oaHi?hf}AKhg>?li z2xwd$xkzykSn%T-yuNVAw!YH~Oi}?SprY*zK+MU`C;#E5;)C&8<(@`zP01C*wI7E$=Gf z^kJModkg3j=MoU*7p%2R6^HL`eyW^waSn0vV+@_@=v)4V`aaFgRA&OhgqbY{^hQqIj~D%nGt^ zAq-MjFA;+qV!Q`wqvsHWKlNGx>ba*&#zROBjMK3RsO>Fhc7GC*AfRB%eHH5@RQ)La z{T+}F!&lEw%`b)wOAl}h|MSgKjBj71CA*G8h$`Q?MX5CCgH=uLTwgnbRp}R1mth6= zh_&ar8{TQ`_3!KIzlYlY&)EM%$tM?E2=;fgG_&(_b{H-5eU1p|4d3mLJRXTV9ZxtN zPlTieLu`N`RzA;OKeKsPvpJBXf5^o@!~^I*Hb5z-;~6It8RyR?K^b6(^$#RY_?h;n zKcD+gr$PP)k~Dlak$OCmwAUZK^(ABh^c)c||H*T%(k(y8#6;J~$iT|n*xBCF+t(*P zCAGApYxwt1=CEfv`3% z(QOE8+mbo~XRM!7JT;b$t%zjJc65Bb%}TRX49!kv*ITtIl8_9}6#H%|fq@hkM;0|y z2JHU-XnX6RD%^JO7ikb-(FjPFbT>#XI;Fe2q+!t|-O?S>4T6#qi|!5q>6Y#~i|2jk z?DOpHp7HmbafWdm|61c*TpnJNoZ)286TDS)vfT`2u@EHPhXJMMoI9` zgMgo>I`7#92;cBm_Vx@QUAYc!&Y#{-Zs(uO*|HcPC7R!!7Yk+yGS!KMfhAxXBiIJ% zR#?umJklEPDTGAwB0x@hdat-Db+onb=)OZG9ZGTiesum0rv0-+B-g(^)6}&xWlp;ySq*$P9>AZSKpkk#Bj|pb&!Rz*fkM_PhS1_sv@QnrZm>#zaIfA#g z$s194(4W>fPRF?s=4@_v;~TsTH&XjlSDTXPc7z3NqZxeg1h@2^@eel0dkJtP+s|)$ zdY+8<@ag*Uz&!m-(mu>4+&eSAKHus;LQ{D1@o~L)n7#lH?q@UEJ+`#np4@NE_V?C} zFi#evdRXU*X5tfOO3M>-UeE0^gl}NIB^Rk@#a~qtpK1-PuQ7&^I#UY^!RO8A{YgL$ zz2~ok11gdj#O(C5YOaYX$A5KYw?@(BIh=sqA1XGS2I&pJ?nVPq(~iGJ=RhmHR3X2& zzx=L6(Hu(SN#_af6iZM#*)S-mqC%uL2;c>b^#{>vVgsP7NBVq_DG}H%s2KuO$4fd+ z`%Mj*F{9{VqNqNtz4%%rkxtIQU``wjM*$p}AcxlrJ#Xmzeo!G*dcVnbhLi7=3B(dIiCfWoc$r`x z!K8Y2ReK{~Z#SBzUo<>?Z8zSo?fj^t&b2)~YM-C~(5SuCqQ7Mtw><(ZS`Onv%8~=7 z21&kkl9!zeitK;ML$2yd#A--Jg@a*uKM5G(agm1icjS$VSD*G&+DTEy3u7Bn3RLUv z$RImLHomm9Kljn_Wci8(3d9wK!~1#94~fqx%G5B@(d?P5qCRqU8$xvGlM15xcGU&C zGhv-4LiuD;H`~sieK>XD$N`h%_9Vr#W#^`WwlB=gV8k!TpEW$vq#8CGJ6FwG{o0De z|D~P5C1@J#<#VyVx4s+#t*Mu}E{`6K+LO=~D6eO+fIS^n1DD(jIDxCfLv{`X2cqE- ze_w~ivmB+DSyxFmEjmqh`K+Zf8GI9^*h8R6o5A*DvR{!-=@R69XzCfProGt%(y`W! zRBLx5#-_U>TJ}ADPSYMPsDlDv;JDm9QWhcch@=w{K&G0@?%YjpYnS-P?|~Pj>q=Z#pWx zd^zU_Q7>!zeSFx`HxqWF_B}XL<<7b+1xKk~&-|R;jBXdeT^TK7Vmer4^Eip@x=-WI zShl{H*S!EW-khJF_ohSGn!xSFV}JH&%#-)h!{hPsQ7=MOmN<^iE~g@aO6db=w^2q< z-K^Dl(cq&{>ui}pquzxYkbyuZb5bC$w#)!jm{j8W!(;NbW`1~qa;v&1v$YJ8zwu^6 z78iZOY}i|e`NdkdPalTSIbq6nOzN>x$yyA$r-X+GEKCT_4X_J%lQO&HEcUSRWM2z! zdW>Nd($OX4E{jya;&JxF2ub9pkGp-^6W*MH+$+ZdE>W#4Ou`WIDD#k2$XBCHCQMoL z)*ZWHG!z5TE6|1Bv_w{R?{C?2Wh38Gd!yb^Y`I#lqoor zej9#-tT~`_l#F8%l*_UAM^d4!=HdntS?_bmvdS3|cMf@@oM1=T8YUlF`{%4sOol&6 zy$*i$QQITsm)zEwM=GwY4vyjD`rTJcnq(~K?EJ38MuU%Ov3 z@O_WXf>`3%s!G^UcR470K3-5K(~x2`x(6;xVGYOc50gW~$ADBh^|KiJ*q5I)j;Wo} zrXKZu^K>F?VF8$+me;+xd($Ud^KGNn#>v||e-SC2$vWobB0@i3{hg;m_!op2Vu5>z zYt2$wn*H}<^LMc9pSdCb+X3lc?VKNB^V3rcc(+Rh2zB zR;9`BS!${SbrUb@Y4)0$wole%eSBZO8(FNfpPHt-T*cD#F!m|qKRMV8^SYw<;cve? zd&t*MR`Au&e7w0|J8G=kXBw?~C*bk$RaKVf;7zw$^s=3r-Tp<-D(G`e27X1TTBWwr z!A3CnH z@*KPDB98xPp9(OEy<2O{$(p#A%xsvQwmJ~3CjX+C9qYsxB650f0p<)cu*adHx z(PUO%!GpsxcG#_?1jj%h6MTs%MtveB_u5%>WDrp$GArO|C;T@3ZMa#am&plE`Clfz z!U|di$9A81y5^T}p_V2QiN*pjmhH*X{`OuU|uglFoAMHk{+kECHJO9iq@Y z5fb)o1{J7?F2!!%E9!8)Q5VCW*6D8Qvx2Q_o7v#gZI1?G`{b2NU;PUoUAw2&U%pTL zEf#KDlTFfKS7g=K%DLlpxTgahY@{U4NKH`D<1DE!&`EgN%m6(aOE7SK;xda}Oe_-4hD|DrBxfEWKcf^68p4|Px2x5j;i9mdRj5yj6>7t;|h@Yk>C z-mZnylF+mH)e!K_Wa+6D2Hw=rT)m`lww!oE|J*gQV^!(M$g+3qH7noTeliO!ZU>jk z(e=(T`1~6#ItgD~A`5bPeCihS#{iVK){0CiEZW2Fub=2`a9TtS1_;{d=^k)bK0xua z5N8O$*1>$sesRc9IXMqHci(>$Kh(|in)kkT*zT}wlG|_mRbKua*M5&Def9gP`8#O# zKiNhx0x?)DC?9=sCw?7{`26UoaHR@+vu)hQnmSaQ0SiWorOAOtV!#>`f)QYV8_UQM z8Y2;t5q>fJfXYvznY7;GbAS8mCUg3LBAwnm0X$BjJue)+Q37X8koL`atsQ!?m?9gNG+F^Vx5fM}8Grzw!wy;$Q4$>|7lz#-~x)bS9l4FFo8qhVeNZX1z~I>jsugwpR2#aZvO*T*jBgn|x14S9j-(xZI z0AK@T-E5cYJYL6Svan!i^n_!QF1~n+iY~GWg(1PH^A#S*YLAzwwZu`ir|SI;+Y>GO zV0p#6-s#AZc5!iZF@2EpvAm(Z(AW3ezAd@&UD=9$nmxqA-xz2YXIkPC!LJ~Sg+xpG zA+l6t0~5AR`Lc6)iaZR{%`V%fM_MGh&*PF}sPI%D-ak+_2UjE!Lqdt_a^#Ej={U{z z5ol>6p^^|%k7RgVAB`b%Cwo$rOLtAxjWt*&Mnt;Pkn>Qeyayo2WEODaz zPP*!-^sR&QdLop$8zo(PM#j?8l}p1uEVc$~o|p>iUWu+Ig$xrG-634{bh#pW*;j12 z*k%TK{0r$0PV9u8Sc_N9tugxwv$OiRN9Or@MTk%-QR(CT{r4B{K>{zJOcZ5^1E&cIU+(k&WMCsQO&HKqC_*sB@r-t^j@=Gt3B{OBc zp-{M@UaB9c=tWRZcu;M*^V%L+4(xrlXcG&{{GK3j{c}9~C)oebq@(|KKq7>!q$wek zk59ORy0!B^EWgB>m>t{<^_o!iLxldQN{WPQ$|T(!>_Vav!g6(ae6&HaJ2XOh!uJ4v z$s`WrcAtmqlLrav`CX8z36}bqqi&LBDlzu_Nufse#{BIy&FExlFFf+QZ0zx;CZ?t}U1?&kvSS4Sw1xKy!v>Rge^;Nex*b zkazoBiGj)9K{Ya8{Sy(J?3f5YJ0rExrXC<^e-r5v+s|Nd$U*QH5hfj-Kw%ME0cg;= zQEx3KSQy2OiNx?(R$1d!)9KuK-fKjpvgjjOZ`vC*(dqC3%^fWsc0LDN?YcL!78G;) zi+juSOV3B6_4xto-!G$ojI+1cRkpyuc9FIJE6Cke=|1uyvn+~2m2#}Wu$e)mB zh?x|~52V%LXe4&8Hw+SNw9?=)Q|>TV~^l`%`jC z#pw9r@Bfqj4*2^|BES{p>@isY4~h4$3J8VZkb<~BSfCeZjwbgm+i;~X#g0+I1vk7E zmd;1Cm|UiT?*1Y%QcsvPuaRUMwF0?nAGW!U5()U89`CNt%)|g2AKf&cbY~mYFS3E& zY^`^yKOTpE`6P3x2OgE3+!I3m_MxL*{|renk)OIumVy?MZ;ZxtME?0?i_c?}ZgUV1g$q692hLXGlR% z_H|gr%lVgaVaUZos+aOHF-e1LXMhT@|cMGC^N zbT`jK$#);j0}Nhs0xdf0Z5+<5>tp9yHKho2dQZ6?O&TAp%okgK@RXnG6%aNsq3M-3 zT`g94YF8jUw_Xjs`QOgCe}@3F{n0z}zkQ)VTCXCWZ$|pdQDhs+vi1%I{v!7pb2v^4&c=9A7Xjx3CcFH@UbtJ9?odoq2c8 zZhyET`{Cn$zrJ^TcYC~lyEeML?c1(%-?DI&032^H+JXF{mwfrgTetYLD@wqLw?3s@ zUi=8s_=$ckl%w1Rw&);0Bx$7a%tufZ7(nkf*eLGq8L&`gW#yTBov1L(?_UuuPl+|I zI378|0D>mYL@qEOE2iJjOtTs__FN= z6b_)KMycx>IWjiWA#<}|X|MCJw>Caoqj2_Jw3~Kqf9KYYvlO$tr>)~X{NzRaz)!5# z`p(Ofa9xk3Pc-Rs@GJ>d3uu#lQ{}Y*E{P$uICWD01zaFe>Paha3(C3{Q^8O{8EhV$!Yu-ogkvU3QZcI#=ts&vq)ntBOj zGbIm_nZVi+BG@h_Ig}r?1bj>XA_bRC#9xtKSh9wv`#y2As4m8okd)VSQb!?xEYxGH zzpe|^&h9jsA!lyu$w|XX>iTo+#nqyyA`i$%aW)a-rPL1s2Kg0TJw1-$ACK!KRfCSM z_ePuo3sq$PHL>FX#p>0-1FGmymG`8o@fTnUSYnZdqi9sp-QENr?hghW=V){Hu>EOS zp}RbyoxIdDwS%c~i{7U@tDJ}YS)cNAlc5{hWr@7-mYo|bZFSoJ)ovY8B9rm^x%oTX zkM$1@CM?|lwuJ~41)h!d_xc--mp6U@#*Vv7&#RHPhw4{ySmBr=BH`~9$p$1z@GUH2 zEp{d-q!BRkq+_nhhqBo9qE<$RJnvk$n?t6Rlm$vrlg~7lR0hGTdAv|*ptkaDIb8-E zm#Cv*$;PAkqnOLtB6qjVqske5rs0J7Kr>gN-WWH{c0T27rn`MKbKkbswx`Fv$6oMC zdgGHFlaG&w$1+b>>vry}vVEbvf?gDXfICm+@JpuJ|f z?ywZ`z#0CxIMTSNSu!u<%17j1rI8R}%Y5!*>mK6<420)xy_uj`)RIo=4X4*sX;Gij z)I&V@81BaO@iPTYOE3nlJcwaLm}z z@UbCnz=yfo;0*>d#R2|$jS3Vl&}l&%IU1p#v-a?Mpiv_Jjm)=&<3vpAWuS&|R-x+~>-iwYOnZWmRvzP^`soc)I}_gBg1cbhx+)52AKwe;P~Zv38wfNr{v ze#@9bL5xPuYKY_s#;{xP!cvF?13(daS=yc8#0**tURVGDCIvKsa!>;nsS;Hc7FL4T z8GAHRAUeEw0L|c9MBOHMo(xu73c0*fujA!hRq1T{=!%YRn*wiGCQb7^sI|28y_OWM z(1vUZ?(0j0!J9n#CcF+|%}PO^;!;K^Ndf|o8fc2yt0+b(_cy;^>DtMu{8RQ`T?{toc_v%}E;=Y}L1_FMs~q6B!< zBy+I;wOp>`T9?(E!4q@GU)2oDj*i_eEj%V72B?XR6(ng`&;V8=hknise%H-|tn77m zyOnT+};WL-p2prbhCCfTZGHB4posTT6LPU z)j@P+_3MKxK>LTwQHD_n!L+$UYra%yu=w?4Z%Gs>={yx?peeS6{qj2%E9|DE!t3Mk80pBu zmdjC097T7N+?IyV{o_hahyJhjinklt^|iV83?@sXobv21pk(X5U|HvWc9`q0068CZ zJS%%8MEdZ4KTUrL^Zmh{_P<3i5-Q2rPYMAZ((j?TNjs4Ig%As*B|nrNWRB|cQH32+ z$jeT^1b-y?)Zjl>N{g6F^fUUa!wYOwvxemHp~=P6tL00Plk1L=uI89uD{W3~qf0B3 zzec6pJZ2tv_k3FJuUc=tYGEHVYSJ4yri7EVwEU#Zf$dgfDw760jj7EZlx# zutEM~R^2?DIRTtV7??DHxa?!rgf9ql&w5t5!%yNO8NZ8+aCZ!TIBuzg|5n?pLf)HI z08do8VjDfaH_sBG!OI@9 zcrYnh$UXX!?hy3f`Fb>KF`5k*FcuA4)VSBAG;UTi&m$J{Q;~P0;6S}c84h@bl2@wN z;!nChgo$3j2$UTEyvM*8qP|PYyWcUQ?;T_t>P`>eJ#Hnd$1UgTmMt*NZV3-8{6wiB zIO56)W@vFc+`1rmzL(XU$(a0pc>WIPWBrqpH1~g7Mc<;IzsTqT*C{m;)eF|Q#g&3R zS-aLYNE=W{2o&jl^<>5{7!=#h`hH?x>1;bOFyoI&RR~JXRdjnjtM8^5(=|8 z5^ydY6%d||r}=%(^j)bZ%}4il?_DM% zQ7hf{%+TDj&n6EaXP53)AWret_Q$hz$Ohxo;nQ&Au2lJTm@aS6H>3tj=M*@=5G0}xVS9Mm;%ku>e z3#2mnu8tRl+QH} z37ksjZdK!gqgFeOTa=NFw6Wla&Cnv3{tWiW)_SFWKSBQ-?EMKU{tw1tw$CXn}^Cgu}81vS9{JKovY`Qq(qME@z-25$*Ymz+$ zIn$WpbvQ$loDm_QYB``ZG?_HBu@`TPFC0MboKWM^Lrj5^1WuKKLWpC?1`MM_&ml@Q za8+Re6Z#*0M8-ChDJAvWZQ+BV>|)KQ;fHy8g-3{Mk+HzwMoW zDKH+iG1%r)=XDMHO&Xe;%5II_%nLmW*F}zhVvKnPAY4#|lDGpp^hw;Yz=6`0JR3>A zM#Hdag-y59Wmn6?K(}pjZMd!jYFr~2UL4O za656==+)zF$QCWme11MZpJtM2;Qs#0i(Acy8{YdH$Lp($)62W*hZuFY6}=W7jd&j! zL(vkBZNBD}uioqNAv?KOydDQ{P?vuR2)OW))~mr4<*VNlNV#bJLg8{@ZvuX!9FISU zJZQnkvp1m#r3f_Tr}_jDi_NMX1puLa}pK}ab84gbksY$NTCJeSB+;Tj=cGJq%0YCfJ zgTYOF#In1eI~Bj)1|`IA8%O+=d@w?K%Q#ImU5yC7f}%CfXw3%_LYYB5ZpIObh>yW> z2b!eO%bq$4dSW5w44Cg*G3m$^GBt85ITbz18x?uHw_e%g6k1V&-{LVNs;L~Gez_Jke`gddMYAB{ZY zdq^$7Gn9we17_0BCsQC)50WBuHktZtBp88&2PHwWgdqQZIg@%d4Y3?R>H?mBAjBUM zA#^nbaTh?6hCUq*MQ`_pK=OkYYh7o`9p@lMf>Osckgk@hrk=L3fsU1lzLTwmr=M>^ zdS>D~ac^`Z^bC#K`khSC^zt|q(I$ht6dsuaL z`FK3KWN^Wzw{&royc@%GF|U+*i3v6c4-!zuqwPd`tKIOiKU9ODrHcg%gQYYnmSX=+ zNOZN5(U1r{2klISxyM`tr8QB!pArsrI3v0;i{w?Ws%B&@JJGqo-mGDds!yE`XL7Ps zfQTc#UiVv%poH4K5qa|!M#jtd&?troBf)I66C$XeR*_%extFcu&>*(iGkRkgRZUKH zIYG&Jc##c0S!SaT5#(H%ZB=DDQe+pz?_`rB_Ki~3ir>6pHW#|eKi)BTzm7iB=4QWuE~(_ov(%n>^%YW%waOYOUtD5&@d7{buW(7xEt z)CfhnT%DNb{30?E0B)EtVFeO3t9r~W_i<^vBS_SnMjiR?P>C3GaeM{0)YuL^yxCV1 z2NgiIGkj~1czASC-wzf`W=Fg==EVYKquC*wCaI?8)Frv}kMF-k5!paf?uM)F2*(7dE4&X6xsVI$Wj(vR z+wyKFX7Z`JGOD^dy_sBYG+lUT{q?J1$$Rmk?ZAiem+ywJU0w50zJ7MyqQdIBX6h>e zXyicL)1;6Rr=%d`5U5lXbidt*SkoyddiJ58^t|x!6~&DpDgbLupaH}FOEoBAK5^fY z$S}eP>VX#a$*%l-kXE#4oa!cbv~gZ-6EYW>SrjiKDKC)6@(uTATAu2`j1u<0Pc(*Q3Jo!c}P+?nSZ=e|F zED;c4n33?wL69IKt}5~9bfYzrnyRbD;YVIikx?RkpX;6HtiUiOnT_9m*Yo@x>dN*< z=J5mm_|`Fa-{15w%dyjArtM%v8GvD6e{>p0#6P=df>Fvc))QDWVS zFf8Yz8ff8#w`F@rJH18w)8)L`vk{)#Wk6N+eJc-^?26J&5Jy!Roc~}`Tk-zIpu}l! z`r#4UL)BH+sN2$&wio*Td}gzGT^&gEkSo0i#`6NOEZ95Rc(d4R?%V$3{tk4uJ$bQD z{i-zg?DI+Q43V~*&4cClgph;7T>k*7-2P%T`8R;tMDoxVq%IBTg*lNQ0rR|gUmz#N z)^M$8J@HTdB{nKE{94!m>HL?_7ySb}VSw;iaG4E|o$NJwt86jGvG8DrNHr@rFyH?J zni7jhJf!$oX|qR%Cq5^xFq{OzD5cWOs6jDOR?U<;ULAJgbq0mKe`Rr%AJ%4lm1Ysp zz8ldiNXlq|badl|n8equ4$<7}1Vi*t#fZ2_9%M4sP@&yo>VyJGw6FvWO+hW3{zI$~ zIO!MVa5I`L?-_5!YYlg+#1sn#1I+{yanW5Zil|##&K^p>g0DTjD`>5Wlp-b{pbVnN~ z%+@U)ygb}7L_*DpB`Kh2_)HN1ysS1s@P~tVnUYHPXDXb^)MmT8||*o%;mvxY$}+o7!Z%Uw+vUFC&1 z1#}Q>d;>G0=5C5yWar3BcS^Ga04BTgj~0|@K>f*!BRK=XXj`1|v;mCJo(DVCEg*XD zE_3$z_U2SMY=>94rjL_%EB{mcWz)ogIcc5aE2#B-Rv&J?j7;xeSG+s%nu3~H`ewT{ z?)hvg+9p9eiM{g{4({yzteq4+kd>7TG?B%U6R{%sQSHXpRylxI1PcgSQJJ2$QcY&l zJ_NoR_}(hbyVF`xX2V>Is0KQ^+7FC5QoxZo3QsB8tq!fJ-15jmxY+UYhy6vK;7%qI z(vZeoP3zf!Ge31bV9>>^4h+SFJt>2pm-DfUunIcT!btBRsl2X zSkbC9#!X~&m*{)5X7qT^ZgXh+Zf<_z5t8vkIJVV4=Ti{>KqP`-{pt zu8QT#^BJL>p0y^We(BOh_!;>4En$b1ft~Zhd~44%Z*{?q}_6B$lp^z$<^A(lk#n;Vv0L ztwLOFMreUZT;hjMN?W$Dp0&1)6UFkHD5i#iTHKwT6UqX%mXzNH`8tG}$#?=x;FBmn zjIX!UIag>8w(6X>a2na&B(5gI@2fF^RNcZ|(zID5d?@GYD2HBH1!+M_tpDX1hyYvs zev1AMf&C9ux{#)Th-U(u`3Q|hh6tJyD5hTn2imZz>P{~u#H99b%f_dMl?Uy><2bQU zz^Vy5jDmjTAAp$KqUFX_RNK`rN}EoS^s+Kqxp_Pv56ng+Qdd6QYf2%(C}U{9lQ!%{oLR#C{>6pe z8IN@!gJj@7A)m0YvTEJ*=H~5W)1sZQCeNVTqHP-03;dmfPnoJm&SRrV5x{qYIp6wv z?;d=3UlUV{V6IQ`s?tsDUN@y-ufHhG?eo&hzXPfo3fdbF0-&l<>dx~jK}&WdT3<>{ zd7iEfzv$4PdBuLtv{X_tORj$1*RTU^`;nZxw@@*NdZT)j`3>eCL_HKIf5;cM*RwCInyKhLKkrBgiFuCW z6d{sn`Tgkp9rVijN202KTR`lwa`scqfR9g@7#Ra*v&~o>2UHEc>s1u{bMj4_%4;HY zLEUi#d$|Y{$kfpp+{ZAAOo?BQV|b;4&#g9wBD1et0`@t=lh+(oyz0p1*nQ80lQq6&q=Qf^ zv2~y?5F-k_<1A9+mcoxZW&gpMIkucIQnt^N>xj=~Z<>9AO!*;ZI9yo{2gIk17kA;j z!$1Ke9HDno090w|<+Vz!z#kIp!&E~q3 zL@ju%<`mE<4)Aw`FTSeZ6=UTGAkbew}X6I?D1XK zk2W?U@7O3NZ(@Uq&LA$6uBJX94yn<-mUlUGTrTuavtG^-^| zmqG8J9A7~DnRa;awhMfd2{{CHbanq;m&@~aKrG9jNMin7$v_b|EJL7#1%4W@46@Vx z3V_X>0dk3yr9zrY2r^B~FxI_HSR3%I19acw$o7zg*Dp@!CX+Rcows2T#dvNL{o>o^ zn#-$*I^-g-=bD`%X6yuK@2;6Px!*QjUu+)5tgo!BZTIeMdY(G%rAghE>^O|wR-Xwv zV|>J5n}x9xo8OkM~4L*J$@cEOUe`XyI-K`doewe_?9Ynu$y5GiWfy1c%ZE_ttm zr$ReP;EKQaDA_e-D;|bn2*C^v3uQ6G;E9ZJ3tP`l&fwf1DzPC45S0Z*vG~7$f<2z& z2vfH@^o=*gB>rm0hwOTFxooSCtF1mxTh*?m>+$gH?AGOy`TqMA^w06@A94JDzJe$e z|BvHMCcr)JU}Q)>a;s*tYHzQ1B)_?+*G7bW<7a=M8lq>n^xPG`(iaywQu#MWY*SuYNEPCA#Lw#Wg6Gv;$6(aP5PrZVms>D+12sc zzH`NbI+Gq}S*dO#wW@XFHZlBtZAi%I=D^GPHCFI0@+EUHofzM78R5TM6;4;2l{J7Mz@ZNO6FTD(6#k(L4lBALH$$UIF2`517++bffB4Jn2IibFTl(c z7)A(wi-EL*>KI=hVn-%FAd)E?Uj}XHe~M4!k4?{#5M)F?=B-lDGWJRfwkZ%pIF7DK z2=@aYZz}!ngj93pGRWoQ>C~y4S^|LJ9Bj};^f|dGK2ypk*Wjhfea6btk4J0+C^EyY z-*+YP{Bt_}KM+3WA6O(g*#1j_Uslrae-OSg1mRm+^kh5lb_7By0CsxAb_XIMEdUUN ze>@U@IgxTX@vmCnsT7Ft{%i^&s?R*1Nxh!HxRbn6TI5uJzL>0Tj{h|?Fvq}b~4n^RM$7qG1J$EP<&@Q z3lCrK_~g`z@yXv(e0Ju)Lt|O~x#)}{PEJ7?66@k?>FfV;y%R1?lG#p@f;QuZo4BQ&}8tXxAD|H_X!(CyAi<4o0) z^M3R6EhLD2_GX1Rp*j8h^6uxA+@;ed)7EY|K2P11qo;O?C`8HHNTpF@)PiZMWN5nH z&CT)RHK}3kz{vO$KL30yYgjCqy~8@DKQr;)VHt)I+reFalSOGuADXx(ul2xL z9Wb67#aX_TR$tfaTho1-`CI4K#@I7xC1ky#gspOco3A>*ibPpGLqc2{_iEXLkt>4{ z{2MJ`5^#^U*8|Zf?KC5qn(a7kt6xUrxf(ItGrx-eaBufsJy>KgZGHFr_I9t!avy8N zFe4FGyK~5kbDkKb9C4ufQE4fozUa_PDGA6ayI(reyIz3i9=^I$8;2tbw%kB!q}{v7 zqI-dV3_y;Z3XR6YZnnpJoTGW&``v=x0uv-h;Y*xD>EqwcS|7^7`KY{+K(%W7u4Q@N z<6>>T_QyTD7ak`F4b=L4&uF=nx%l0tW@r9O^z{#Z@mT(w(jyjP>4F>7H3JW7_a`gbUcfFi8D==*&plW?3L4oA`Rv`})E3=EC9nvW;hAYdkRI zh8EW+y<&BZtm$@G#I5T3Z1H-1av)MFn zm}LB_Zy>F7Z@Os5_^FOE89zD|^#z^$`P}7I7RF~wAWv>*#qa0m@4(kTda?iagCb$< zV#K5nR?n0C6AWB77JA7~I59)?nURdep#mwJiJAJEMNYeBGi+G~l@8Q>7M? zZp>9?X7drZtvjE7lGbHNoyI^^87?E2_3WeV!aKP;<3%6e1s~n}$Bd_Ytf$*}Z@#c) zLaMTP$@2M?MN#8`b($Qv4ZPPCPBh)oNa#ToxF1lulREbNFsI5e$)I3Ks>^BNS4!vu zu!Ri~g+&agNuZ+fq-N8U(&z{CGNKE?6r;apr%%Ck>+I1gI|8`i4U^`F<44^%v^VF| z*a`ShW&~(?n?`!X0zMC?s%a=tL-#p0%bZe#o(fA$nUv>5(!}edC}dB4!7cjCCbE`VxNcLYRdC|R5ug!u z^MSW4CYo4v+Jt}P7| zM;;+|cg#Zn-jx?(%2Q{AOUbYFa5L14Kpz@B)qx7p3t z$IJa1Lw}a>x~9RqI3|jZ{nw7+af4f|wbP-)`6q)T!+H=iYk);mxSFdv?oNuZqA27e7@M3`DeswBYmELW|2njhL;*_^pWg z@CKq$h2wEBkxHRzNiCH*)WkLtM2iDv6AW(y)2GP$l9<2emv&ml&B=%Cc#DjW`fTaO zVcDy=detiRIcj@EJaYaMjfl!rgJzU;` zKfmXLON?i_x#O~b77v|6b+-O~g8mYC{pSZE!Z_XMheSw=-8-S25 zqGlYo((cl?9<9%=nr@tC?$drO6+ZbmAK4|WaIIc67ZYtl-`TtBk=OI#i1w}5F&C9kdlK2{j`tOrSBQ@J*hNc> z5dLJ*g-_=$oOrTW4*UJ6!mDyxd%}TX05HAjycthWtS5gZpH1)j+4oQ@qw&-bc zh5Hw`_v;~*XL#&2dlx%~aiivwUkPq4EZ99YH>fXmEtqLfTe1sPl`c??Hj6UYE1@uQ z;tlf4CA~0d6ht;fP5Rco#x#Un%Eq9ejV}Sv!6hBwGnIHPSPN*cF}%qc1-u6^$pPkG zAC375ZnwOCL*38(N?+=wR)^ zE_5CarrCFyPWRj+rfN9*a&3%uYBziHXErX%IL&0fapsG)KWtBH`?%Z|4|^r9FXkUS zc^|z&4|g)xC%Iu?2h}udMpCPX2XsBO$+H4I+fx*_yGE<*pS<4rdYW$)?jKIfeYTdj z_1^nYQ=>dA5fvrCTQ`M8kVY64O~n8Ysj?e43dq-p$W5wI zi%85Dx2I$(ygL^|$<8Fq3>6m(umDb}{+y?DFkl(A*)Wk6I!~MB2@FLJh$G*ZiSHG6ZC!Ng! z4I;O{$C`G5+Gx*CifJPFna)^US;&!C_fPp1yZZemCIK-@Qc$j@<6*#^V|W3>0L68t) zje&nR2B(c59NiYBcp4=?PH*C`PC>!#EQPl2Gpm}BE%1SB^fwR3vvb!trflp%TTXr4 zo5H@?8`edv{ZY4rRf2~HkNd0r&GoC}?MXueU_)Q+JZttQm|mYj&9TvUdM{?&%j58< zU607O{pLg%&M_;mv8e5vyW4iU(|$AuctMsS)5@vVs(4yuuw*9A{rhxDO`I~h1f8hp zc>j3l@~%NpBiT=Ed20BbD4w_s=V{@Vz5JwQG8!uC$)DKf82P0E*yLEl2y21pFg9~J z?h8_^8n53FztS^QCV4H@-IMVq6G@lWC~(a}LU&AEyCOzBvJk&|en40qW-a_eY6D$e z(<7E{8NGHWK{mF(-xH%lC5sjt<$Xazo<+h5Bfjle9@G$c;HER(Un%w$9Z#e6c=RueS$ zq7F+~)jBA>vR`??HGo3t=s^7a@cbq6`X8yOA|@39y-#w&EuLo$A*yI!Ks2-r!}lO2 zse~M_H+@qZ>y~n0od~Df8t-{-1J)epv1$ZB8 z!WSWm&TMbbRy*G|%l5?f_Gn-4u&Q?wD_mw*5Qd|CaCN6ZMBx7&cZJ%T9#4&?)L^bA@K0qY>=wKdW#Qg4tNt!~f zNvl`$5`B>e8Ta|COzhEyMMx1FQ`vl&@Ypw6Em13M2(GJyn3k=+M2fd9a5C*L*%3(O z0*E@bCNzWe(xP$CfLT;cCMP}%k{6ECK zWl){}vaL&i;BLX)f&?eHYw*AXChqR;?k>SKxNDH$1ZSeb-Q9u*zccHeQ)jPzbE>lb zyHd9*U-M;F({KNt9zBNM)#s{$&KMI|q-o`DLbz5Q=vckn5{}7t7eeCP>;c6*U*#a$ zKKqn46G%sfMl7DAhnjKWUJ(l%I=4Q--g4HD@pNFWwA(+-j{X8d^Pms^m+9v}$F6^Z z?*C}<{NKCJxD6Nx^^+hnE^+!c76MXuZsrYn$NIxhjGBb8>^Hjnp+uJB(M0GZiTx=) z#$w0zaeW`e_w-qN&*sy_r=_EXv*d8O>DBs~Ysn^J(?Bhyy3C-dyvib?uA|IVca`0@ zGtD=4*(P2g_Vb+Ax?wiGo0$j1fI0zbS{28JoX?}$=6v)hV&FHII6Ov zjAId=0YvgBnBRn$KLX^9=F1jJfstWo2YtiR=XzqpD6H>i3>lE#m36uKZqq*O4Xg?>>naWeNxYyiT=X`GX_7YRM|?O6IeG0M_6~asoUQq5a6Z!R z(*14kVfoKV^#7RH=Vq1Jnt7P%+Z$?I_}E&3iro*oz{EcIU?BW(IP&b5=sxajEa7Sr zY=iU5Gv{&&9L}3^IhFczF8gXK{l`Souf*Q*!^t9Ld9jO(v{ z5&M4y_|Bw&iT%M~*nWTTdW-jLh24CW<8qC2bApMv7EnV?M_a>K3utPfV{dNg;_4I@ zpHR}?IsW_K>HkOO`tKtmR=kV?*oZy&CBIVyh;Jx{Nu4KQC}eb6IoJM_nVlxP8_xed zK%c4y1fxZZIbW)o(n88oTeN_`cu=f#ACJkT29ZEDr8e@n~Dm57$kDBqiU7EQtJ1>T`rBs^d(GaKQwlLnF>wsKJ+&11*u* ztZzgWXpGUuINrEpX4w7IvR*EKv_R2pXseogJ* zic$T!k@awU`V9WYo2AIm|Gs|y4s-n@d*gp^(d>$#7;uYztXffW;R_Ej_CK$GlBEte zHymnBoEIYjP`9KF(0;o5*F>0fbF6!96 zw)TaHoA}1sm8&sSjgi|$`}RQi@&5SPC)T%vv9nXh$Lk9wRRP&{1RCY3l;>2tK!<&% zfW(WJJ=6HDU?*R@*M7U5H*KKixQ{qe(N!bT^QQA5qX9f!)@Z~&837BgdfS*Vb;Xrp z+Xs1x;=CsK?e*y>g-C3mFVv^iigX2aq__ZSu!r^=J{|1i(tAZe3aZ!bF-p<)=e3UtlRKmnMiljRb(Y(lSfNz6@eqf6I2>W6-S~zmk8^hyes~_?NG+Af%zDCG!%J3B|+>^{~o$1 zf{jh}^{$q;byfS;Av~2jg$P9R~*dC z+}hTad2_4f2bbizYvZRg(I-#fi|#Sn`5~lR+k%{1t8wkX1I!436W4MCWyxNli!_%{a@KS$uXF(Zkq* z8MFDb_QT|p3G==3ojZ#L+?$qUmTOjg6xBDN@tk)9GeS`}u&*b?&Lf_jc(~K$V)rgD z`EF;shOAs_)r+2k$yj@Nlrm_etc26h`ls2id&>=s`sNup9f*QCcS1`V8QgJpA=9Yej3`%Za z;nm;V%#XvJgjXOISY29NwY2I!-5ofbOlx0SY_6|&e~|!dJCMU=a(`b&e+RSvgYLti zC}Xq4jK!B~sF`p=EmZO2g~K{NkCrNfQaoKJmv&L^%?cLdM4%Di%8Ur|br|f})=0*N zr?-d2+=@xVY6a(Li*<&LXK>3|y6Z~g(PB^4!dYvNzU$8`p|y^KPQk4llC7oN2VIiU zyLrwG>w1fpa+9W0m!+rC=MWNG8AYc2r$_y}r|WAFD7OTq5t{9_azIvKhJwl0c~AO; zxk$`~A^3^%1(1O|JGn<%`ydT4fFV0pK8ln#5s9ShJ>PXxt@YXd^t8(66f}+b(r?1yD_-IV4t1I&NW%GBa>K~~R z|GoQQ#mOjODq#%>=8^R7e>2MqV-Wgewwdp^dh5dDtq*05yZ;3TZc@CoPy$w*#eVNw zC9kZLK7Zo!a^~$_R%dRd;Jmu7w;LFFgA%Rosu_iuDtJMx&KL{_u@3383^EFCMlk5(?{2bV@F zTp@vJg1QCsR?`E0c3M&L#ZwHCWlmga3^=Cd6};66m;p>5Usc;SrT07q+O!%PJgq#t z13QRO04hMpj5S=OHdf1N$-a&^8Fx6twE_2NY$b8#6cB1N9rEFZyXModi5D&xi&CIJCG(L_PyF{qv{zA!L7hbPn%$xOuo zNyQHrKi&5_JLfwELj~7Db#<%|uOwH>}tBk^p#PCv<85VUt`c6(Ouu+yC+*{^G%FW$CiI~Lj1O6RQ zGK|uM<_!}Lhz24xjT2A20agpMu`?7D=016EwgDG&}C~M>}s_^6BH6oMv)6o|X!D*WQ^~Zgp>ky3Tem>frb? zMF{Tooh5GW>7P?{J}-7We}8nw?jSij)b`~(ZaHMD0tDJ43AkMru~=N?^&Z20{x-bX z4#UJ^28Z!U4!V-glL|^`J~aTHSPl;t`=iU?Xl`jZymHZtxH`69>M6z zmD5-Cteogeopzm`>kU0ycfObAmP~n+4|oW#P)3TT$21a$%zXK>N!o3p5$Qtf4~87# zB_R04B(^T9cm~Z(7c#t3t(yYw+gDZ(H!n`ij4Zm5Hf31!b_=pN`nL!do^6pYC-)T8 zKz&{{OW`8^+}L5mj2Ao}4Ng<#_fn@p}Xo}>#u z0(Ct*>~oqYFG`d`d2jHzkmhx0{$}Azptae!&Lt$uKB;Uo!Esk@Zby%PD5oo zNW*QC{w{OPlUA8RDajNVK3az(}a`YA_nd9kIc{P3KB~nOgEK zZg_#iI>wRj20|~>Ee?zCq9gmx-LHN^v6@x-4vU{}wnWXYt9-ik;huX?eczlc9y!rKwQEa0B zqkVxP8qtZaO2BQz5r092U=gGc@7u)h>#VxE~LvOoSldT&l@8^ zo|hbJUq}03^3`o8HkybQ#tv~_=qR6weUzbFOqYVXLXee3*b4BTjlr7heG#F+oU5!n z$`;AyqC~o=q$stO9OvZBsbH8PuH3wY-RYinulsWOV{Ev+)fa5TC0r__{M$NW{m+5w zAF=p95@-Iq5&;Z)FolsGYph)M3gw^|q?=YyT@_f_o>J4`;?Op~yitcIv){!;qlxh% zJ1s;_-l?KfyW6?@T2B1)#HID&N!wWs@K99MT4ZzhP*kZ=rTsLoItoI5dG-@rJD5|u zd?0vwly$Qz_g>+5vR>hQC@NiS^xtaj@Ust4+Z=wxnMcjLBcM_|i9Plsd=$pj@+E5(H1lV_!S` zeqS~JIbQxBWB9`SDr*y0Q+-=~O*0=mhtX1RaER{iw~)R5(8IxqQ?P1&JpRXc!sTSr z6*xq9{L|IHF#Oe2+P^S->e)o{*#tNsH|3Xh9xSd0hwFm9?SCccrhvur;Qs}N_c!1i zU9kPlbn@9~+?`EJt_?ZA4IYM1_G&7Rp-quK(%f zjIlWz;PDSppi!vAWmlJ800W;)$!y)Da<_wYop`Gys~cNaR1-YF0a!N=O}4uvCdR&@ za@zop_LRh-?Tf9Q$w~caLwKWr_Yh|;b%FXU44@&mWh6-ErO94PXGlbQvfb~TrZ|_vkK1Ixf6v(tLNV2s3n2rZF(%h z<#SJ>-3+5H@N37_uS2JDKbhZa4q5*WQ)T%lFH&w>LKdW8-_&;AIS*vr{J^}bv@Fr@ zW}GhA&FZ9n8|30GUxW{@?xHuWAOg_BXgXrg2KM%9t3WG0uJz>+-p-oGwq<2)<&j0p zGk{4IWABo)^1NsD`L(mh@z9^n=$)OVIl4-M#Gs{3Mb6Mo#j~Rj59c{{RMY`arlq;s z&NfC}52!pgG`{{-22od(Q^H60nuXGdg_(opgPm>F>cqLoo&w|j>F=T!=`tGGLs>50oz+6H=i9y-HnP&o4=)T? zwnY$=J0l8%q$4K>hb9RjU!g@=&{#>zSfMePkWXKsQ`^Eht9m)T#5dAnScjxceskd8 zK*%Sjq5zt1%W~tgY{q#=t%dV${SmkDP&b3-}t7-U^dtnhN1rkOwxYAX~O?X(Q5OC6^-&%xFzV2&icHYR_?>~q3YP-eRBOc?v4YSXJ&62z& zUh8aqxNci|)^6}kzu8rOxDv2g+P-3A`_Zsr3zI(u&G&f zsJ;xHm2%_*2BG_SuDdZ!1%ql7c3pCCSU3O)ZY-hxxE%tUY=E7xV1Owf^MVeyo!jU7 zxx#}57rGfJIC2>q>9N94UWb<0<^JfQH5WN*!j_{;8crTwSlPP87dvC6fzy|lU2Hz* z>8X*Wqpf`-Qd6Kh%`0vO?f4-O7bKlls1zpIqg1b@I>Q@;-J_10A(FgcR4bT~ZNySS znS5vTu|oBz=+29i@p2v%K(JHGusSwuK08htyi$qJSx`XhAEjg>Q_@Ec;jtbR3{SzS zNvIWSW;GG3m{$^mbm&Z;Dgx^ck@uce(hUj4w!Ho){ATlu5D{dYONM|p%-6u} zyD8JGr8lXZN$5;kI&p@j`xR3T>q4U6|k9w_tzD&kExMZ;r>JTzy|2?Y(@e z)Bx8i97opLmF2D(qZUn-=`D-aWh*vI#~CRe?N7VozMb`gx(c>Ax~mk zVaK)c=n!$>Q%8Tt3QQxSPS89MMgsAh2*;IIC-|rZ=KdLeLG9?S@YCjLY_{cnaMf-Sfo)veeBo+g>Wkn-C z@zCk-0~Y8*b=v&MSxI>Yf}*fIP_RW545<9!=mJ?;q5Pos!n8`ZlT^Cs5up4r9Ugd> z?pFO4;Pd5n@;9!2(#9B6>qvzFd8&1MOV$)XqCrB~lC7;X8HZbaeO{cAB2&f;1q?b= zpoDyfOp>VG`m(iHWIlJD4o}a;lb~+~xY%M2K{1n5_GdM;_x2(Xz;wnj93~n}4#NB) z%XW+anS@if%v*!;$KHzVyZU-@Z=E@&;q%oo7yN-hVGY9LH}2@J9@|2FeE8vCd-^$W ziQwYj`;bDGhoMyl`d>5BeZ&ZKC?Cf1u(=dYfLRVQRUk0z!kUk^PW{mMP2aQY^{9@S zAhIEp-KdWu>pN2&Vr!cf-S&3(J(H`S`fbj)ZR*u6a}SnkHrlQCzf3jTtYq_kUqXL{ zr?PSXkS)FzfsbK~AXP$yU~k%1&^Lhw+rq0_-zLe= zcFYu`X$q!~TPF`GasY5p$xMr1#GyF=tGrHQj_OKfrq8BR@bu{3@ZhzAP?)p14G~0ANeNW{cM#OTtzGNZ(firm==Ll_d+hA3NC?ev6uFzc}=`4Oto-+m6(xXg{uQK%bzeLzr( z=TmJfUj$3Xm6!=+AVD@*;w^ntJ7qMy@!WbN5d zQS4|tpPR9sZk8cbp;l>Vx{%GYfWH8oLKm#RO_}?pAT-8l+xdN&{2g5S4@MixxPPT@ z54=dfz*PrHMZvHP!y6(kxeJ=6Fiw0-Zmyqt6$T-{^@v@E~r3F1x6z5 zb(xY5Qfy@|e4_ecS*k1@$uqoyd|ick`poD+ zOjesM3Tk+7(MA|O*lCO#4D`>2q+;vX&xskckYXsA3pJCq;zjnY5bs6I2l`Tp9y+V%Nk5zXpJzI@nVwJ#38{rfjBAThk2`*E98GOtGir? z<`>#$XR=4}^87e?a%7>2tuWqKESDB)(ONp3N0(E6q71ZgJ=wddS?U};UTeC{nd>VP$M8HVqV69*P1uomY-s9*S0*9hKnUskJ%?kwRdOx}pyY+-{ zA89id3^>;rH9g^PLSzR6Owe`7fM9UXO1AeQhVPwZ4V;2+VzFkwiMneKk2GO^KKZGr zJ_V_$;P5koQ^4v`VyF#9PZCP9)1w#V7qtKgL77$LwN9Tq@U4W`Zrks#M%n%jA^iuW z{a17ocs27B{fI~xq(})VvO$mWS{TyP)YQcG3~`Ai(|bN85_3S6k^yVrP4Ikya99A0 z(xhU6ANj7fYWd9ANXC0xVvg;**_+wjM9JIJm(;$aqnZ1&y=y?jv$xk%`OjPa_WQ#Z zt?%Py=aqDOQ+$?^m6TEBXHeHMP&C51Wy!LMLv>`vICZPxsPmpmR5Y{jTSxOg5+{>kZAj226ptA&bXn?`x2&9N`Lc=Ma%3g?2=el$ z>AvgC%*iU2X3!dk{HlCneAd4;X&1*NuV7#oE*nD)5gO-{PXk99&iv-Z<>+K%pHvQm zW!lDhtjvN3&ybg98(S}g_!w^f*(aX*Gjna>MveN&8&KrVMz>D3bG=RRny0Q8?o~Zs z40%IxY3XcG5ei@lx5P=bxI`aFW7YLe>V;+#k8h34z^ zv6UC_H_QJ?&iS`3hwVRSrhh=%|H)`Wr~rytVg{3TN}pEwI(p4BH7j7kj?wLer9Zil zCoRI2>Q$`FYf?B1_DsCzhf4xfZMEdSQd-o|Lv_{AvUiJhW@XCWJgR^NpM%iP1RatW zw?_}v4?6=P*{{4a)_&}05rR6nQJ)$tLyJx`S}ZdsCQ=~Ugz+heK(B;;*EQbI4gtszJc<{+f!RFWv?l#DX&nb zJ{RdclkQ<7H)xKUME15W=t7~0vvlh4_x^!-8T!>UXtaX?0!S=dd@{n_6=thDTo965 zdaPu1_Q5FZqRP9NQVHAiKjcA^FG27g8N(Pcv~>n9f1O+rQ7LRX=l zq=5u;s)WCG$NS=FRiOgfFw?1F8q^rhwbmV#N6|~k4th^xpm?C3JX^K7V&c{odCfSf zJ!EyYL&8t1SDkK?(~-AnpEEKu)AI20MdW4Kh>%<2&XJWXa8<893~bzA-aUfb&7)Eq z%uQ*L**J_AdvAC6f}P)Y`@nQP`~(#B zV=V5+*r%(Bo(hso0eF}`(Q!b~||Ap35{1i11XqXvj+8To6 z8I4@sT_WQWO1gW-e*aVbB{KS-i!UhSWx)AESi!!Ooshi*b4t%aB59(|Nf|av~PdOV3`+%Ool+xe3Sk9IH^so*s7Aua3KL< zW1!rPNt%2foz;yVXd-Gv-c!*V9-)i@GctzmLZD-sxY6wUZ8mIPV$-zGjU5+4mBQ#i zZdPkV0FW|cAmD27dFh0(IvG?}F$}t}9#jDWrz0?&FI<#l@1Mr*?l3|CJ5C<=#_jU$ zhB2nDheGE>BB?l244@Jl5uDkhna5*MCtLt|k;PEDDqP}F?t-jRZ|X{0(_>r9y$>KN zqP<~&16 zO9Pu1wQa(BA(m_w=MpZbZ&F1uq3DjcL2Xuxu|G@kDr#gn7PRK8R1f%Plt-4 z?sL}ndd3nF6fZJ!iabn~F1gEZip$@825g>vXa)4?=^R9}{oj};ByL3+*wgu^w!eEt zWR*^{PWgsEE)gU1L!?;5wEuV!?MH;NeNrV0M%7fDVJX#0(&r>{&7aJ!P|yYREK@Z3 zS`|?)8g?z;nn|rAUiX#tS%KVVSaBNTJ6P~L24xiY{I()e!nPZ8mnSYkq@V0Q;Btr6 z`aV6LKmEEm%IaI$>_-Xm@A2^N|cz-ux-_p6%oC;mC#cSo(- z-kwS?8qQpAnly7I@v#tY|t2u9ebZKFEKwH zOq~QV=y~GY3gAAYEH%e_9G^8UPfVPL$8n4SAT6rUdQO$@*0YC;CeR+;C@2UXH@22# zV&Cc6r6R+ICZ4;o4^ohHWA-Ji8aSFl?*=8>+p0q>9#_DahGDPbLU0APc>o9l7D$I{ zVid6vZXnka^@_+YBV_5DEA;?+2sikA(1XIGLc6{+61@?*2;xTBoqTSy%Q-hjK)HlB z3a+*p8CSxdFRM@O?VaGcPeM(u`S*S1uTW7ou0KLr|JG+16o(Qrn6VyfkXXuD<^yk# zVHn7|#*C|!FG;v}GtXo}No8tfKAN32PfwSc&U@6hJ{`vH$w{`wo05QC=WJ|u)#T#5 zs>Mbdpzj^ZmF0m?>HS1c@d?z~7(hF7xbXlTFu4m<)+;P2%loRr-^n`qv+y)j-k$X> zE3g*&R(+tjX&h)9>B+F=$UbRzw#~EPO-z!%EP+n*71#(_u JJlz`K4Oe~V{b>@; z>NO1~y-OPky@exO);#-df}42Ymef`W3WY0#bYM~U^V$dONVIay@|_Hma_Y%pBB*qU zViaLhdh)~M;Mh67Pq+n4Nb)0XB?bwVG3aXQSb-@$L{I_lJ&;#Yjg)~fBT99-U0vNI zSxCvSiF5}M1v=k(KZXVIjViw}`K%bPM=JofedtzD8%=nf;#CPFbP}gN{C z0*z{B?jk8usHqc&S_1i%60uW$v$13;&$b@VL|WU`$M>v+RO2JabF6Cn(*5xlGT&}d z`h9Wy72e6t`VS6f{#SQN(Rx5cdxIi{jX=__gdN@%`&6^l+b?H;DTO&;{Z_@{BP~Z^ za6|;+W>~Y`2BcKdNVAz_`N~Y2`=v>~ot^Dd_tSNBYtxz5r|*ya)>Q(WzN_yAQ8UhX z)z-eR>3Vd&+m?LVKN>prYPjVi5MOn-?db}Zhq8sV4HSy1VROteG8=?;EA92;+w9k!kGHy!2M<%FoFm@;?(Q2QoAXvI3>Q2xbIjWYuSd z+HPtp@V>XHs(ku&cjX{A_4_jVD?F5q>yHfK|Eku~7H&Rye zxbLWse(fhSBsY56Gok%Kr^Js>_nGNy*l(qkmLv1-g@4_j=~Te#Rx%Mb<&UuDm5Y-*|1tzd z9BRAri5KJ41B!-jiI8cXc_J6sCD`3_9#Nr?k4a-9q~1j-Jt5~9EA)o{B*Y_FPl<)^ zmA7jjc$L|fN?0Rzfn1byjS?b$ok7gGFfO!r!{WmpnEN3zSTGoOzTsa+@9TG8e`}S? z&h}SeCp*hOXfldmzZiYACz>bvV;~(CbR_~EzslZT(^}OK^%8qV3zJbYa;&#p0&XcKyQ&w4$tw(dgFGGf$d)Yt3vNAAMR`}ZnR=2jMn-B{3j2wI!tUO6o`(P)GAvM#0Qu6M zW$gP%ErK$dni2-IQ{Dz5MV@%T5jMI(DkCzbWS&6`Lpw)GHwpuZaj$bDw9$a7nFCJq z=O6Q{cHJg}x{z=%mT{!aagZ^sdaxHcWBwhN>trX(zJSv=f$Z*1myYgDG1UVZb2e61 zzrIkF8geVYZ7uA71%I+}{mCPn>%aKs{<5kvugd_Fa@6EH;3L}npx@2w3~|WE%(6@h zUk5+J_l(6BJ|!L=9ci;`f}L;4Quye{fj+|#msEmD#Uy*jDp)`O4t6R2hxDdX6nT}b z>+QT&6O5+DvWqse!Ai1|q%X$O5sx2nbV=hXsQa#Unwkz(->7M@$hV*7C}0OZ=qTQB z91`tZ?}|I^y2YHq=W$0NCGt~WI*{icxiRzcd*KFr zP4+*^BKdDiezh!o}EaeS7szC1^n^ng5yZ*@TZ1!P51#x>7*Bz2RcVxUQM!<@VO$+_Ukg`}CRQY)IvrixJ7XF<8sD4sdaGX^iWr8&Z>h{LtY;R98zcvC< z2PA(xb3T+YbTt(kYuscAnck1nv$ASaySBtQe6w(MJ*x^8k-y>g?2>(twQInpd^y~p zz~sKlRRWVC-6K`UkdZ07I$8uo&_zK8z{OtcMA#JLtC&X-b^9h8_4J@4sXX~u7N^N!BIn%r(J53X58V^e&$U6EBjrMzbsq!^uhI9e$t_aZ;&}r{s85O48$PXBw*9fEI#*{| zMehmd=WN!RpMUNB1G?o(eqTlZIraP#IL`GapQ!)VWfY~Wz;L`B;Ey8BM?I!s6`4Rg zgh6Oo=j$2vuH~durVv7Hdn@Dl`R-|Q`ea8dYb<4|klS^pl-sxZP-be{TT?gGXMOx3 zhN5%!q={)IwwhTBnQy7g;>f0Lyy@9+KRmy@Jo!iOMAL2KVW`di(NU$ltQwj^`9{OR z@hJL9HQ*$2MDiGPb0+**HAsX2#?--LV%M_;O9eP0umPL z>5LGQ;b`-~$1a1oal=#wTZ72uh#C6O@yI}FT&1)TXr&T$C)@IGp*68laq?bO@5(6& zl9R>PE4I}(S%)$68qq@@RCzf#cpWU1n<@$<4MzS;a{lRhA{p%b z@=J9-opLb=c792{odX-Sr-5x>z(K2EyY^pbd;%QCng%v%|0On`{^j{{IgxZVnRq+( zD{&R<-VQc=KOBnO>kC`^>NQ(sKUeL%SY?yurKPQ=s|zOLKw~`(OG9m26MZKuV;6VV zsFbvtiSLWQ|Ec~8)?{b>6Ws9c{g@$sQ~^^M7wnddxh2>o9D|Px!&MqD#&hX2>f(}8 z*ww;FqP_T0gTqhU3XwnV6QWwXJ8P!OFz?KeQ9_#guKV6yyUyc9WYSJb`S_b0InSAe zGtfilTzzZg!ua#C=jHgx>8;o# z5$8Y3e3$1UG}?8h6%@wC#yeMJiyxvxz_)<|W8s9yI6KceO5>6})xhKu(dwm)D4K8u zab^scUVUu400Q&ncOc5Lgznyfz$qrREq%swd1bCyUj*gtW+f(#P-6glkdi1<$JD9M zy_>^4LzBgVNh9!h+ufR1`lAGObm>7V2LmKR0w#(OJCP99K>_!x%q@}WRGbOFU2#R5 zS7U@4!H|NAZ9LJX`|#@8Ye&fy*dHsOY1z9LBRZThG66jnrXspuc+F~hqlYrIVq2X!>rmR*%2uli>jRl61WG-)%B<^LNrc=l8Yhk&8Hm^{ecM;OvrCt5` zQzr?npygcAQjA~?d1x*&SE0`%Ancp?-s$;yLP+Te$I~*?25yLEi`5{obQc%s#9=q5 zdqABXk2^`nv*E|OzkUPM+oqOwp&F##1&pqK*WQ?k1Jv$#Z;J|U#3StfWH@(zzJL7n zDB$?g6!QD}_$y44{U1PaLU8yBSX%y^@(g275eCq=GRn6mSlQxuioKsvnXZOS5$o^61v|dZs_ohws)5{5JQ48 zL@JIn)z(YTs9cX6o82(LWn!UZnPDxqj4Z&PdEZ%AF0|5QSSV_LMric}HAXN?mPXkr zTSPp8~HBMQN<@FLtjdP1?TNh6!%Nj+wxkW9j+dnaC zKOMW|J2L_P7;UaO&`Sw`!|0Qta=Q^}%qE9H?S^D0+jNF5f?q7$e&Sw+<_DKwelPxJl?$x7l)z3SOGWj z(r4R&17{Pc&`5hUoFujSHNe*c?*_rGlex{v;F`rywZ0*zsht=YV5XG3aZh63>xJ@T zYZq#FWj-S(y6i7fI{Xu*eIX{*k=Wf~B}X5MvJJLuj9ljPh?#9eOriROyG3gEN%6wu z^HM8+*1(S_)2Z&1feh5I$n(|xO$ORyb^*dgM#7hV8}vXG)|#;51e z_<|majzH!z2jzNqou8L;-(DYG~X@`};ciD`1oTpB&J|^ZpWF4RliHK+y3B3B7_A_Q#4G7~Kd{X5(urE_%)a8ua$yMH8{x%{Xs69bz>t8`3k?3W zfFw%_Eo%lF$`BPM6h==$%L2(mcBY;bWKJ)$D(f11*t4NG2qB-l{I19ouxTKHAyRC% zx%c!ubnalvR|MDQN}WyA#-GVFHa_MG`d;2E56vyNY{X7@R&>+gHW zFR5$S*j3B@0StKiyBUe?S?qEEdrP+RqrUUW0rGJ^aUD^{WTWK!l>9RM-+NI@2j9Y!*3MBcBF2S;BI z#q~0n|A#1y=+nr&@D=p>+gD+)XiP*Ap$-+d$=VGM^JbiS(LD~;Yc zzOvzCRcdEV7FCv(#QcRxo($$gL*@Y^!EZmfmnO=sk5S6oIJzwaZxtlFhmo68IxsyI zTG@PRLfKyB!Ybdzcrv<{=V-ixG1|#O2~nj`Wi*MJzqBGcfgy)Z5oLKim4Gge(Q#Xs z&)!t^hg$did1y)#2()$|3cuX4DDmM|$qYp~dLd7DA)m~bv!ct~qe^H@1N zUqyhIuU(7dTtwv!9!hecq6Q5WBMVIl+HG+MNT)w_Mb8#T7AilEpvrZigfY4rL<*#( zieLEY?M?&3M?(w^<20}`YDmgaoS-oYRYWCKrmW%(C!-bz=ev&Q=ay%O`v>LcqyCrqMcb5(%TNpM9O4~!azg0(^-ROFK^6oGjYym> z^^@1}5ZxNoh-Tpx5Ecr!GH_U9_Y7h+xsDo-b!lTd0JU=CPD0u__$U~fDGOY%7QIeP zXwLca)TA8`b-=8`=Q^_6i_`bk<^24{h38|9+W_>mEI1UhCXr6AJ!bJ__THi%sOzF*gd+E&x5CYWBhlPh2a77tK3<%R za%MY^Vc2Jqms{X;+nvplY8A1TxlkvT;+1hC%w}qP?&2j&J+4$9MGVArFB;)zi!yhG zZK+TR!|2!cb1!bfwo~pSV**V@!e*N&?c|3*=8zfO0MiMiq#msB0(_W>5(G4}wEm5H zm)(BTcG()|npUFIPJ35^+f%-d&dXojh7?ln_dJy2ukcVdu0K+iv;5b|hC$IX_Seyz zj3PALsfXOM7H0bHX2smumuq@-uT3_Qu+Fg}5&X)wqpb5NunxvvKeS5!SdrtQ#iI)7 z&39aGq1yzQBU;+HzPh*u?X8?nJ**74lFWlJ&&HhrBu6e>oms6L(_V%Zh85Oup^c?Y z9ub`V*DrC%3D0$JF%k3nOQ0DO zt!f=O6d7<5>ZEM3IjBY{+)4A^m-p-l>qblAM^fL1WrdW^}?Ab*oPgAOC&}7F5TBl6Yy23(^H3~`Y!-bBON?zZ>VMy4{tD{+M{g&k|D`9tx`TBJgY*wW`wRuY&~*8AkM|)eE7LpX z|Do+IgW}+}t=&LycL>3QyL)h##@$_lyA#~qodChzU4lCV_u%dp{5J1*>ekM_xmDTw zJNegD{ORhN%(dpT#vG#w$-75L(?6cv$xaMHARzloc6Nx6xS??y_Hln&Lyh$+tG2Ma zj1IT^g~#psW)|kk=t{xp%I@fK?~Y8}o#WN1`{}8QcT3-z<9%*sIS(zuy>&8w?^nv9 zqXb3Sc@QBiQX~;V;!0#>b^(GOOLnlJtz_I>Td?%6d}wXilulDWyB`*2E?PY_e8Uv8 zxp79wL|5%T519tTIM$SE&(sW}5%os~-engT*Bl%zjgIaQQY%{)B?snh%S5h41iH%M zP}9+Ii7#K+HLFgmILq zyYPJEp$@dDhCVK@4DUCR3%_`J*5y5QbQh@j`a-6@EFMS zS#b7dwD6oW%!q;F;kdqiSu65(j0-UqsLMY+dIX+QQ-#v7zb~Nw9ESeyvGQL#O!UI* z>?HhBD2|K;zPN}~ClqERxd9le>Jb*f^W$O0pTIx;s;Szb~04gDE-t#m-$b?6Lz3p4FV*nfRU6)y%`Y6oqxAF^SaEN?dV4xsvz=MG*z~0U04XZ` z)gR@(#iRr@%0j9oV5pT)0!%+Sc^);oV3Fh-y4_hzJv48>DogqD6X0fmv%)%@+8r_K z@i34XHR|$fhV;JNf@psa7k9nD`q5pzHU(YjW7B%Mj-Uo#H(VN&));&~l6t@FtO9^< zyIAM;dcJ>B0UkgqWVMXHFOUBm0RMkk`5(;DEdR1DSz26cXW?aT;9#I->S%7=m+iIL z=DFSF5AH=s-90i|+~N-+?aai9m0b z)3F4gd-^TVo@FK(2*-gbrdLymSFZp)@p|Sp)bwg1{%9x)7?--;6|h+2I9F=7Sn1f4 zVd`L_si~r)rDmX^Y6!&TM%q@!dXAqAo!nic5|hftCx4I2S^f&?{KIa{{;$V1@jnA5 zTEGhd?^|q2XL4bGK3Tcm_6pbeRro4r;eka0-q}b(ndt3GRRW^I>|B0k0&L>i^ngh; z=aUTgon>o?!LyUGwuctP(^@CiOWBw9;zPviamS~p(r3aSZ6aJ7XyR5RhABBJS*|HRi1R|= z`$3H7a;M3VnSF0z=Tb|wC%YmZE-qG2{HlNzZE9ogGRSqan#ubfy*K6-CHH+)Xw+QR zYz3`?Y77E5mr2*~9=*m0S(~;6qbWuN4~9(CMVAdb)(&L%lNYuJeO#YC{QyiAstY)( zl)2Uq^J?>OQ3fZ9+I&VhvGaBU}*$=SlF8c@dS*4}Ab640lA$QMN>#S<6R`01g-+=M~&VT>! z_E%8oe{_=Ml`&4W3)~t61w#`$$Ey%W;W@*00UK>Bhvw+TrNZ-5O zT1&Fg_~u!~HtnL2{;gO#`(R^MH*{{Mc#FW@(_R*|v`q9JF)St-6YHKp`yBhYvc6J< z@`o_wGH1JM*(zTv=_$eQ7aN2hXi~Xia~~oF(^bg}L#lIdRr;e8{M08)qLTW0A}D>r zMbh85f=tFnaC2ppHe-jR`>T3X+w>~rn&18?!h^9%FV$WNC(kdFgg`m75ZY87nAGho zcC{nwg{Uy?nn~5s3QK%mN>fFP5G}@LfbxQ(Q^p9oM#d=V?Z;O@*Aim|enOi0=_j>wy_c{ik2A=f8Crejvhv3wXif1-B9t zgPO+Uy8Gq7#?Re7Jp_F&&=Jt+DO{(MNP0dPy zK&%_Aw!pM5N^5a+wS99^dO_^!6 zZ-+Osuer5oFgNuJBeky|(yomed4#C9eBH)TMpA6yyqK|X+#d)Vlg&A>wKY97Xjj>! z%Q90&nIr6&ad0AGEItjXVhe)TJOLWgoV9oE!i-B&j0=$fBUC>MZ7jFCuWQ4*s@&o=k?;DLZ=a#Id~VAD%TSMv|zvD_d*qMs=Sz6YDgef#eD z4LILucyZp&<7aVP zj&^i-XKvRSl(uV@v<}cwcVHYvtXwqHES4WsTQA%>JlnZF-Cwxzw8bxIC@8rqvtDmb zMrwVWR_YH8ta{%PFYH7&-!^i{-GM1=ZxB^}wA766PGRQ6$cfvw>i5FZGJ5di8p2k7 z$-*08oV9%7c)_UluB5r)fZDdGA!pavmmrjARnk0T5{%@!vUYX zAhW?4Hwc8__F3fHUb)VbNLK1d98YRk;06N8XT7O6F5R15GOC1}0q)=-KTc!E%~h`| zWqDI2tF>k?_fMC74clJM3r&nJ;@F8uv zoSmU4P!Ik68pf)ys)0U-gc8~u<|tcETcU5uEaDWJiSDOsT8g%PpCLE^CfDLq>C9QYUYup`Gbmk@7fqA# z@jv39o+>G6X13u%?6zqzhg}P6U<44#Rz%B{-dbRhZC)%)ksUY+ETTlX2Z50Ydq+F37tj-#) z`w85Ko2$nz_Eeb(DlTfrM{ry^SyHgaPQ8?L^mx8-1d@r}AQ_pKm4^O)TlU$9X3NNe zXS@9cpwzGVi=|bej!*tU8U$9e(;kB0S_c0nX4#Csl3hG0<>V8Tu_LA4HK$BHm^zu3 zFBQMZM5}t+2*8Let^iJV)9?ngUw;OIt{OQkCTx8$)WQf9$LK>YMi{!d0eSUK$b9L7 zzz4L@0_V}1ug+8|^fXZjRGQOH;A^At$}O%&hMizUnab9o-Be0f$xx9fCvsIGIvL1= z%LD2oXGA=bc%`Z~LIS}CzS3_*jcC@B;lp|`-DOc&#=zxNgBf+_;+XWx z5vLrlD^Gm+pd%*a0G1vc*`H=doS43ts$Y)fMWB{I;;!&0efEZ+plOU55C}=L?1DmP z>5a=ClAWAra`UiT@w&MF*j$tJ`@;AuMDxFDEirPhR>J|_Io^U@nD|${1e6c~lvvI? zt6a{;v7@X-EGwl6!tY}jCHy9_1#*JH_jBodWzQygN=;y#O-#npKQi4enP_ALmEAnG zAfBw4Wze~eZWlK#Gh>pCW^L}MJe}^IojSLvrmdxIc-UW^cT;oT{*-$VE||I=D-o*D zUMNAYj~o=oUQjilMs@9<#pWIwG4y8`AJErV3N8!b2h-8wSX0d1-0v#rZKb5b60lKf zYG|p+Jt~LGvq(4e<8Rk2?=zxE?46%9y?Q<~WlhO^V%HeiZQHY@!l~8Tsu}FEk!>x% zX;1e$>Hplha0Tolh!0KCw!{)}*0SNi9{C{GZ^j~=^LtAjR7vPZ|cxH7`Vqwgpn;zy+xeQ`|TZh zgihNsg4TnBWL7O;_$EXh_E2EE^=7h$-UGjJchme0)I6c=dH|n($Yc#1f6(XN3h-yu8rfo!);exgZ)3-H?$p zHcC(`ZB3UKd7Q%H;C$Db3fZ!{GP~cTGW?@qjM`6w3*8;nz2(}tj@gk@y3)e* z!tLZr-^j*pAIVK{T~VD$-+{=5LxbTxGBt^p;RjMdN$l@La+l(DaaR0wAcevdd>-miUX#S(ZDM|h*@kvr>-fK5dj1PU!KYc+KxKoNwSz%x=slQt+-%_8xg=w zr??uO9Jqhb_JXY0wC^yrOVqk0=*u4SKr zPE0dbC9Oo4`F~e7FP1+LeEA%ljo6N+_YqYO3&CXU&gyc9g_@Sz)8Tf|Z|Rnn?7L{ipN?@yZK8KRLA&vKXps0Qh$(9xtH1b`9(?6P+_Km5<0?AINugG7xJ5= z?6;s77M_p@+zcFK{!*WRAaybkab83C)>G}dW$0ITXvp+!^A8GXXHQYEwrE{b4p5?T z!w5n-sLmOwLBAs`Eia8MIX5-=dA@aZxJ$QkNCrr1k;eH!F-N>@lrC4A_xC0ApCixzH6qT&@yl!kG%`X8_o?Oc z>2;b)K<{@#(LlS7G|;HtIJ}X+T9lzf|BTeLZWFlG(Sbi&(U7QeWTR^x{*>p!i+2#| z?C9urci#Nay5xCZ{nGr>{-SK}RLcJG_}tDiuzX*pb-VTBdHLpUU|l*3`eE_z@ap@q zmil(>Path9m8wl(b$}aWsrI64s|MZ6i05*G+$Ae7dn~^ZZ4-S?%?t^CvY^4CJtYKA zt|n}Lv+qbW)Bs^R6{?W*Rw)3fAiGlU225tSW_++q3eI5hsBDYoM`OlnvB#f^UPv{0 zs-(o26>Qa)!mL3kpJbyxBf=gkux{AQ=?gF{>Zh`Ze8~0d9Z>5w)vdR45{}+Uhm&eo z%teVK(P_$Ve4mXndIx5dHyw>84O*m1@O1$}GP{dloqkGWT8!4Pcv}kU234ywSge4) zQ8gGZ7^hCG7P(9u9|mVHFfCB63zsl>RnL1WNe(gBH`{2BYaxBilP%lEWyU6u7r)~* zE1}=J%IEjx@t-r^|7#*%Q`zibP5gZ)cOQrxR|z1JQW$ zD-j2x^ocm2r3#RUZ*}@D);P_U*#e1pL!z;TzNUtXwyKJ@rkbISs;PmdrGd7ciN2GY zb67-Fen;oX?|-eo0yO_SA}%chjI;@S`O4e%m7%Y4A|O~d#7d0g(shicEc*#1wM3e* zjV2&oKw#Rul3KHIt_S=*Cbq&_$)NaH$_Ur#2)C%;N7vf+d)?#pwuD~U#17gdZyp+J z+C*MJ(i%iZ2dlT&m=({(t?T237dsb)d3i$rCyjAd)S5KI!CXl@pqmL&f)M!xYY>5t zW&j6NRT$wX3DP{NXeh`$BoPB=jQl4ndwCq(sJ>i1y>FG~4L9m#D&@wdMoGjr!E*Uz zar*3xzL0H&Y<AioCJ3YFpNg@xLzUD_{GR%5&)qM|{4 zOUXk*wmF}M3=4Mryu7WQRRZL{w>np7hMir|1*U6Bd8m+A^8^+3Fs{7X$cYutiaFG+l2lv;9s=EA~NnT3lhqPIIYXu zhuI>ZoUTvG5`f(phW-uijP&orGU!6yN`mdic~xi+Qe@YJBU;NY#5t>ilTx~jRuatf$xiGV}s2%v_ zi$!H9O=^HRZq3Km)l>5NfCP;o0fi!TJZ`m7@!J{B{Q`Dh)UC1&b#KA6?SW0$SF$|J}xR$OJQ1f9$ z$ZYyk-0XGk;0umuY5h*z`+{LglSW%|HRxXmN?bnUe~?w7F-D4O18@9pp=^P-o){!$ zD1hFuaHeJN0t->YO^N*jRh~6yl)?gljXZQtY#p}F!C0JEGbT?Ew%x@C@~)$oiVX>tK9B2pNkKoy&!7!8L&ZUU`jfF4-BNysR*_*Mq5#6hcz?yKcP9u}<=OB1 z%U=PR%zu>r&Gzek4@j#1=`Z;&*<2J*v_j0R`ZXx$W4j!?`632xux-*qZM}Qqg6%NE zc@$n~U|kuom^rX)p_;pS^kMdayBR6BN>|73i1^DZO*Nhm7yfCy)@dDwJ9Dm=29q8o zFP=1B-UrXEd8ePA?9Sa-!nFFM)VZR#GCKGCeO2(MDHwf}WKlo`2>3u{359eG>H2bP zjKghwDb7u$3$}16rzZ7mvHPdQ(|ZcP&GOElV)k~1*jrG|yX*TbAKI~oZ4Q`0@SI)) z)_TkxTGPjY=EM<0-9P3buy2aXMMaG=cS~w|8_VN$z3EjuT9RdQ+Q7wyGYVA@w40lF zi%OE7Fn4;n@DjI!Zt=$x!^~Arz(>&^++DSBWeVapvMUWngk_a?vh$sarc;-Y=+T%1V(wC;&I|hOj79k~C4ZS?83F|)I%{HVcF0Ba`hT8!w8Ak&eV>CM~GjuREM`PHnr z_+T+pv#&kZl8M<-MFrQaJP+^S@+)=oh15_(GqvpfsJaF3C!n2M!VDWG}aqE0I~omxxb50DjfR z(97_}0*kn99U2=ni+czyJ1VJCqEWn_`~+Q8z`AC4sK0kZJF>83Jw%!b-`eymAw{|o zRH8KTD6v?=DJVCMkp>h(ES5^#x(Xc63h|akWv80>xz<9zKsxfNJ4^}_+13r&nH&~7 z0Fnv$ZBNmDIVl;bG^F^V-k#_f9By*sMNpIuu&*GlR(brkIN1IQzx?kOk^hgbD(gyY zfY(W_9kx0%u#=< zC)4TiY~0rKV&7s}OM`W}N2bZln((!|+>?ibXO@Z%;){pt&0+2nd3S@+!_P6e7%?&eB|maX0JL?k|Fk0` z4^EV50of%HUHsRDGorQQT8&5;?A&0=qI2B}XWQrcrF}b4W8ACD&7}Tr{tiuAIy#IM z;~iwv)nms3I?^)g6hWXpOJ7l2)9+K=S`OAOz@!mbauKs9)dlD|tD6fAUxy11#X2rD*! zQehq&qdsP|Yp-ATOy@>0-QcnYTw7=)lBRo#zcSBv!hC9EioJClI3#;}Mswu&Gl_8Z zF%gI@5aDbnDnI>Pw71_zix+;R<80-DCA>>d&X8gbg018V_4&CIqpv$n|E?*oC?63T z!}A;T7u+JIyGljzZYim)w_hLEkikEaQI<{xjR3&X>NAUKx~2Im%_t5qShA#JqjG9w zxhx=uNk`oVX*v$-4^o@oTJWT3cxULZrWPQ9Pd_fc8BoIr9Nj`gdj5FNSDL3~W|1P2O;US(Q6qeeI~7~#OudRn*N za{(g0`${^h`Ok@CC+$3%@q-L_W2sU_-nEP@?<#wfksep))2*L*Ylow|yS=x7#g3Y?ZTm1%;jOuY{CsYY5~@o%+8O`GG47|mDe z$OsS`lHeOr3xdi{n6E_@bLKLXX?5n?3!9JyuGgimqH~GLN&jrWb)!lAK4h5fuOLq* zjz0o=K zE(xtKYW@Aq`7CCc@RI2TWF#)&pJLav@>_U zUnv?*G4yAvrMv(L24V}9P8 zIXQV@!(4ZpeaEKmqTsXmw~t_#7z%yg(TpVXeTmEOVq4npVlD~a#UH)yMy!3rL?J5gtqn^F8T0hhRmmt5Y2F#3e3~Z}*wTk#h!qJqV}6Ht zNv$!4dAT1RDj^#+D3Tuse!E(>_NiVa0%)<`M-% zfKwi0_(4v%kBeq#^9FFlH;dA{g4AChNF@K+GvqCO7tWQCg@4#kGN0~-37G8Jo4Ce> zG8}o+27yBA^;{&NXUycK-_xcEi-NZ%0Aa1Id2PO};X!w|19+1OB$YD#eF^>N)boE2 zivMy-p~?OuOngkclFft1CNB6EX*ir{IOsvEQ+!QemU|be?RG@w429HV2dt^Ym*?kq zB~N;{a>s|=?d9Gl0Q}MU$m{mI=f{if{r#o6U3|I<>xxsYC06OBOgAUvC)>U8BuOsT zpmyGf)@{p~8KtFZt-4PK2jTXmTn;V9dy(CamG{l6?cf=t&RNGF?$_Ad`Zm zkUaz-Es2FxFj)qW&|pwC@C^>`IbKk94G)fX1B9a^$b-NR#4Ml(vYE~cvBHPq17LN& zz`?3S&zuR@glxfcDx>)#mjEB*Y9K*vB}njEd~~SM`q+pm2pyqAwzLh-kBnGbgI(V3 zHzR!;P`X?YvtTm_9U|*N5iFaJ|G7~aLk^~6L%>i77x0FzNpHDOxSD{@r%xx6W`|R4 zz3$9H_UIedMt?hY@D31pR$^{O6eW{~C%H1mibS=bHcxv#gn zZ*}_abpH<&KN*fZ8;d&|f6ec_9E-d9M<#wYk#sQy%kUxpMotGP_hS4NY|&Rb@>T zMQt5*6J0ekeGLme4Qq2l7ias>sOW<3?(yIMT7QLU{-LYNFJl39^vbISqW4Ae#jkzO zkLPE0PMz|XFHkHe%M~dr()A?y;)hmjG^s0;p*zD+!bs6vav zn(V#AO)Xa&JueT+s4(fW;7{nhq%k|jG1g4NtT%g;;&`)Kc-MM)R|6}ooz^{QF_>>kh16I z-SfIl=`6&EVRXA7wT%7WS0Y_~t5nC}f)sp=`|!i=hZGtObgn2MU_JMx*B2ft7gqLX z1oTdBn7dQS?p0Jrsr=pO|Mm+MhoVU6M^L&hqq5i~d z|Cq6Pcl&PKT39L3VW^>+awy+Q#_{2@|FAnLsJ~*ZowtLVw+*c$wBGD+vx(~&FS5Jo zpt;`q;6&B&DFpud?A)syapenlLNDY>P%%e5ye;tY7%or_0TV4uY5l-zaxJdIJtJLR z)cy9S$+uAh8%A>mg|+St1`b?gP&4XMpq00ue%MZp&%$;CaXV*QOea6qxiR5nywwNP z3tbWh>j!4FCTuZnOJx#D>Ivp0IlO9C#lyWDtg7J{q-Hn+jELeCB}+-x!X8A z(qXc<+OYkcKR!a0`{eH2pSnE&Qy+BeD(Zh<8h?dsGBfsU{N%9&}@J^q$3*@LyI&)u-xJGhm} zwC1tPrrL!mSu&nkO3j_w;r?~IL;P;n^X^jm&Op

      )w6t@rmS)<$BG!rXsE;tVYk# z-qYTwsk-iq>Sg4iIFz~{E(Wb5c7iqtlYf@mBi%B zd_yT!r}pMoc=tM+=q`4KG_~H~2o4_(jr`z*hh-!h5!Rr22;$%t)nNcJ6Q%egvT}q< z#3kIh@S(cPPDkCd-RAzr&4HbxrCB;}ht!VlbJudW=qniK)MLx| z$@Q6il_-^pIHj(k!rmi>h)VWwWrz#?)`aakf3`B&mq2n5f zQV>Ly@AgBoGv0=ZpTpXaHL=BdnCi;u6RiY`hlK?$5QgvzVi88x`i15$$`hx-^CM$} zRj7zQM-ywn>9ne|Afn}kXi3SZ@4uyZ0$|3t5ULUwF%X%uB7gI2C>s!k_ZLKC3ROF= zF$7#|!<$gj6ykgvi0pKyg&=9_2< zj~4in)8LEg`@&ZVoHy$8@OZOv}7zNC03{|sQ%$N;A`yrie5={{=HGR zdd6;g_nnU>S+`2z8i!>;&TJ{==|JRjahP z+JXMk%)Qrv(JMtG<$id(x@AhP>mZwx_aWA;Wz6r*Gv*p4(udO|v-v|*BiCr|p`XWw zcl!>kT+4J}%zFj=#Ui-}y3@xF*P+Y6IYAL2bW~Vz{@qH(lJ$2eJYfUb@Rg26YLOv7 z6AUS0h-(!Rj5BECoE?ZV=>8<H1>nz!&AfN$LJ=J zK`LEh-y^~Kn(^zu(x-dzqe9^p*)-BP zm3)iQu(+l-cU3Cjv|@qcyj2-+GMeQ2_|%IXpsm;)p1!AP@|sC0SV}+PL7NQ;Izbh zIMC*>yY_7Pw;ybuez1NKH;b<&EV9gl5zwIgN&SM~`x}es6F;u^&emmX?Y5Uwcr3sigAQJ1-lWmn+?~U{)1-sBfM@nOYm@Vf%`Q8h zm@=~Ch}o%|{2W+*n$}o)B;RZW2vR)mooPnv((%to%=gG}DFc&jq1*cxd6bkRjFMp~4{bT+I_?R?;n^xT2n98F z7Cg)ETEowKFWCzrTe|g*L@OZdDE9(gCN4jPAd8P_-OXO!aV=* z>FwWo%aYYJFpw!-KnY16-<01X&5+Hx|J=nRgbj0Pp*EOI8|kGJHtI=>Gz<+lqR(WE z#M=91OGZa#T-?q@0%5#ga1re~so%Qc)Ou}Y*`xKr=4JnR{n9{9@vh34Q_0aUn7~ zW(9z`Wnu{4)sO=1(E`PT2%p$WL8)Qw!;_4^L z9bQVOa|_brncO;_j}Atz+n-3TS6bU!imfrPv(%mrpE|NCtgScJ4$mHze)P`_K6<21 z-IQFjEH26}hU7D(rm&4`r^BQ~-x3RHtETwcF=u@`>k$}>AH`xM?O)#e$Ti)CCFAEM zA#BT@>47Da-9IQ8PS}$z=SLC3m)`a+k1ou$V6PEQq0piMpwZl(@PRuWH)ljnM0YP$33>J{#+S*?_=hn5Z{&px z$ZL(9^unuf75o$sh$W**hxOMRmY+1(=62jm!t5wCyv|Jb*S&$kzn$fhzju;m|Ie}K zpHOkOKiP6)`xnOyV4ML^ocIlB&xAWI@wKeSV96gzKmfu0_*%GA94C9%-n-)yWkhLJ zoBezT?;NG;Xq-bvc}+v!vPHGSWur&C4)qD9^Kj7ifmuRpo~!nevvkUwW0mz~eu+`t zrxMFP{NE-gW~A*d&r{vIWrW0p`LP4NRpHt)i;_)0il;*{#Jjr%zOfcQrYZq>2UWBQ~-XeP~wA(39T>nKDow}Wym!qNxUHbVeoS?3IT zr3k1(PC{|_>EYb#eYPH?Z0Yy?@Tal&u3TVpeKhW=riBDx8{P3{5oEftqy{1x+ng zV;xm9J#}+Epq-13y_o?}xgH*$P%=C^`}-g4??ALab0z-uaFCULbtQiCd=4q^`3j4P z&ZeAKC@VL(9Cdr*E>1dVh%JrXpPwp@Jt12vE~)bUbEDHXfO>w$g|r*khEJC-9p~R4 zeRRA3xgNLj(1N*{ci&KMRZ-l5Ig?c}Q?a>}bvK&tSQFC0){UCp7bO!%r|-f(2$T7U0^XF&x&Hv(8sfmk`&5=0&$0FgA~dUBAb-3g1^6ki>` zEakox2;n{M{4dC4M9#CYYq7yaNgZWU5*vn!Z42SI6KS{3>)(9ob4W!W5mrZ?4v23BYkX@ z!8#P#(d8j^zuq~x?$w=)rltkt>xOozg{Vb^fv1M`>sN`zP#e;zge~aVR-4AaPgG)$ z2-!4Z4>*#3*cedsQR{B$F6@;?-IMg!7i}gPJFwaa?I9tQ*Im?5+j2ojE($AQu8!Q= zn-2Ic|K@EB5gZsRyP44>2@YFHP`S70QP?IF z7>n;!<5l-qSZ;`d#AjeA6qBxIa53n_>0{^+R^d!~$LD3RNl8jUf%&yIA>Rh%isiHt zFDQU<%r|9izwId;e+QWT7b7iBjLfUALN9OTT6ZptZ*a69wHs1AmVSOoaY?hYF&o!{ zY5GdzLe~m|+vL-So^&a=t`f2+7<0hpA)f+TMad_x(+KC;1(&Ncev{3Ur<$gE6|&ho!*F_lnm>2F6TA4LE-s!hSw~z1I=9!0bY}wS|v;$h%KVV|PgP;1j zUSnmO7Hbc3j3igP-ee{-lFE}Bn^5wToF3Rz964^b81rPzy5td)nu|0FZw4qaWR4cZ z&FG^GqLISEJB4j-<`-+@MvCm}bd;%-5EU0K;U|t-C~vvOEa_Jj9#TL(2)ApO&kf7C zA|c<5d_!P?Qlh&h4KhIeVIu41dTROc)6VGRmb4neO;ESobu%aXJk9-T40Javb!Qle zVlW}&ssswIljLH0pDyZ;Rqi5DY&bhZ&~I21f(^PD%*U{)%AFrz5Cpneajy6TETY*% z#H@ofca|e%eb3K8K>d%-wfNZm^Cv1MVORI}s_lDEEwiL~GKOL6u z($|)o?KfA;mR^B~Y=xxn@2lr8;j%x%t-mys*V`u0Q{f30>1$50A|W1(IH_KBM8hGC zSzlFLO8voGl#Q6E-DHVLxf3T0V0|Z0g46CU3$Ss>LBs3-hrQZ3vIlx zruHK29Pe3|&c)&5u#HE#8K|1xtJ4DV8uQ~&{C-{@-tq#c5J*7T&F|~tFM+Z@dawEA zrUHDg0fI6hhEMnIiI7zBh57pRhX8CH^R35NlTMzvl_iSw7OQv!YzK-$2|-okWEqtT zQI4<8M^xOv^<}2ru?HUOY=_;Olbg{DjXCSqEV0YO*1MvKwJL9=CGTJ?!^)FGO$&Ra&vqmAG%;$OU zD%)FCGcZ%YzZM^i7{_tf5jAYE++ zKVYgc6ctQO?QfnJE^!<XL~U4Y@bVPAJ9A`MA5@LG^96i|1sm1b?faB(SaQ+SFZ7c^5VppByy1 z7M}91Wv#Y%xL=-Jt)BpY{5iU{MJKFgSM%Mfcl}OoM`MEhV{t!nkv>#I?`B?)sw(+^ zDGEF=(NUNR_xy-R^PY=a1|r!#RNZ+q!YuYnU*mIbRFo5v**W`Z&*oE1c@ zii&9Tg1{^h7L*9s;#{LzUdGn69)YQ!qjiY44OYjT-qJjStveIRmGBCwXsIm-rKQMGSrP)AotMf7I}gUxEawY^0FAdG^?t?gtG>s{EbdSE+aGA&^=MVRXuTsB4-!h5c zSH$0;V)TD7JTm+ncmJQk$MqR8iFVm6Kt4se4lI>q%CM z&kYTrZ))H0UeAHsMFnA~OU_a8W=MB3qKF8UgZe2fdU6<-5%i~Q>x9aW&_R+on1{%@ z5b&wJBYyn}w4}Hg@~VCmIH5OR{JabaLgp!a2nNN6Iz>}XO+5u}`V@?YaDLbcsSVA3 zl+E`|6f$eGv%TGC9RMj$dSr6AaiUrJSajh9xNx&~e7vxCX}#Qx|)X?C@6)uG-IclAB{8D!nkkRWQ;CJTV`%-teHIY>)BDd z#o>Uz9WMQDQNLi7nA7VpW3AoBxrp_l6#En*S7lJX5{vr$sKlpGxVF|T7zNaTBFDFo z-nRcDMhsDakqA2+8?~e=c(_jO9a1@j%B({?w1^?;6px{63E|-Ky1u%b;zT9mnh_4P z73o8W_Q{AdBV`q36}hbn);!bYM-n;?(l9WfZ4{q}SebeAwh&@ay5u)=`YKdBhgvFR zG}=Jruw_I5DOGMdM(U0SEH%9_ij3mdev7cGVHhqqlyQ8h=_E=?P{X4CBZ zu-3W`JPx^@F0xVyW%y9alIYjAgWch_LS3GNnLf=eU8Aq@m~m*A&)>(pOo zeY7U@YlHBlz+N)_I)XPcD?e@;D40z_iOg^ zU+ZWWyyN6!c+gwYj7LWmL5)*R&PPUu2t>{p4?#(V21{4YDD=V&=}k!)86zYUQAirH z5S`{0xBhC(!Ba*_)FCb&Iy_K_f`$^Hbt1r51xi+teK;@n2b#Q;|QoWTJ7anZm zS|&OriU})xR<8rnjRNauPWsae?pG?0rq&ZCl4z!Y)Im_y>zpIWh*<^cQf4Sya#5>? z)w%=j=q#M3w}*8Ct`1881 z%2$()YP+nC73(q2D0X}uI;Rr+^zB(?z1B55n{#CXz7Izuqu;;3f_2GVS>4}P&VNrU z|DbZ%xc}&?^Urn?zXD<>@L{>;c+BjF@;Wva21AZVEzMF`%>8uw(Q}-`2+X;N2Zi%k@1t z-R)oP$aO5*vQG!PwxvD={6o)sD((i*#&mLBuv0TMZxR9VXYGfi2R@YFkZ6 zp^m^t z*Gi!&^|cnc{9IuV2h$PRPu&?-QIj>BBqBue3E1%B4r-6)EEuIWe)YrDq*EBcKwlvhTHqddgHuVHi?8M~Kk>JljexBcW;;F;KXj~#w0c-SlP+mY)XrXOcIQ_a7o*n4kA|nbNSMuVn-Y8X*aF?X`#P;Gct~VWhv)Ke z;|JBc4=}{1Pg2C0CND}^O0~o|lmkZc-k`B&N<*FklGpt!S+$&lq!J8TMF37SjRp_c z(ivpd7irk5CM)m~mY#Tn_CDTnVDO7u3|DbIp1VD*zT;)%>*S3oG`tK3 zIPIqz;+s{FjaVQR&50W1Z|n3hTe9OboCha9_D()mn3FK=?FAIROKC_v$_VQtDU71V zstFFZm>tbsr*ULg=OKteEXCTR`oLCZ!Oj#;_N}`t)V<>EaNw57wo%(VT-i>J(f9Uq zcu8U(#F8$Oo-$x|9rQVv{|bcsHw^_}Nj@44q{@na28)N&hY&%3r_~$0;M&&J zp3F?ih9(bRFwzg!tHy4)P)Q%{h0O19O-@<>ZR}cFOrx*X=~a_d)c*Ap*y`wUd2o%y zaO?l|DOd01q4DLs`sLHt2o9iyE4t&mW+>*%1tkZbcVB}_w=}2 z?szom!ti3?Y+9tZxpH~4R}IabxZIvU77z-dBgU6pd(9P7_o2ss?9^vS6BULbw;WD)-J1Q7TA_N%(4%ZXlt>6}!f&_g8 z29_V7^eaApajn+C0WFX^eunVYjBC4`UpFSXFqu1;D7P1ajRy1AdMWOJCmTJ(`jZaT zW#8A};Em-kbZJPhZ(&Elva7#8hW-koWaIjSWRd?#nUo`6=eegsFvd27_sT^LE8K4A zIt5~pf<}51+VFkNr;8f#R$!ueQk}uG-9mmEqU?b4GmrAz_s7pOz_jwVit>kp z%gw%gAw7xwIC*!$sF}-Fd!~Y9dXo!Mdqmr(eoqa5riEsdC*?ST z9vooH1aHkv^9Eo>N7o;4xf0^ZvTrp5;TYDjE{HeLSLq)2&OX{x9H$9Bc|<|7B$y)y zE$E0?XSg&;Es_`q2mdH}we>`mwJ_I(H2d=?HJVV?Fx^-%>-pqSQ=iMi3klN8zh#k`O7UQsy!?d9T0umcyvw!!xd_Hx!U?dH$CkI zta>QhZ9f^&5Tgl#QRtvW_Z@1jgr8Lkr{J~Wemz{?zRvgv3RT*_uZh1x9@&}y=za7b zqY0>!yl$yH#Xdu-SDWPbLx-fNV{x8H5y zy|3DnOww zT{-aMDTANilzOY7M7zlHM$G`mH)xe=IBrPWq1t-w z?Fo;osvIn65y)oHTOoFY8pDi)+ZYnzA`Z71Q6FNGhjvgz$Rh&Jv&V~Wxg9TT*v7_C zFOqoy8`*D|U9YTn)J1ohu#|;07M#u2eRF?axT~1+42r6%-jwbCeZl+{Fv-UCM_}?F zQwhG3mckY@vH_KuChX`3J@oX>)fbKyUlSo8dR5zS)sr^U}mSk!g`}ty3{@zArvVY9SvBPE8!_8Te+}rkwIasZu*3kxh8B z30?vMRrT?64^5KfPAsvVROWXT+HZ=i3L#XC6jWG0Bkg`!B{Qi(eEC!n} zYxk!7J;Pr62lrOXMX6l5#H!gu=C9-|2uJABvQV2r8!?;Z;c9Fp_h|6RMiN(12v$ej zGH*M#7#{BKpFvwF)>l=(O@HQK{wwH_o#nqb*}+$qeSQ9V4t<7ooR&w?l?sg_l}bzE z+hsA|*`e&@0vz{YC#%0x!D?o2vL#o7rFEIH<$8xDh+Ms<%MHKAKXJ?bzUJ3mGS*>y zZdH}w{WA^w3nm~}{PLlomCw#@(BD5rsAi+a{%OV3)3>Xep9fz(E3jdhQ6nxV*pi(n zh`Kf411D2&5SAKgjV%ldk9A-z+hj5K-WxPi%axn}TCQy0$Fq}lVJ!h`W*Cx0odPvx z#ER9lJLyg}xMWYLFnune&j1PU_FyLmPND9$j><#W0(*&?TPl@3bWeUk-b@9`Z(7q$ zGk5zNhXWq`;H@};Y_#?o14mCTC4{Biq0X4td+rY_I_+vT^wISn(Obot1T97(`(!HP z1Z1UlP(BXxI70MG|H>|J=N8 zuTVU#vF~21yZ>I7&zPkGoR^%R2lj|9z|YIc+~166spzEbZdB7o7xS~5iYV-EG?yb* z@-}bRbb5*GIMrP;kQhrKY>i%P>xi)gnkP!0;PoG1`WtZ6_IE;sdVp^vrHxTmKwNu zvDNl4qZ-kuzK|0E(6n%LX_lc68gw*AWFp1;3dD!aHS1sS5DK=O8Iwbm&D1Vh%vn3k z5_vv^2Z#l4%_^8!l@4q<*?Gx-Wc&7(f;MU9BN#~|*#HAK1dmva`|>ilEiWGQEX2bI zD9D<^mNA2Z_f$415tfXI!_h+t7?Rzme~UoGdxR~?Xc{kY2y%tEB<*=qC|wox`_lL; z_>rCEk9Mp7F`AGo|0n+hw0Z(1;5ijN6rxM&g&gmA(C%dx%!Wg?bhL3|h5@9O8b|C; zM>YhAAV0n(g)Z1)o+npQoe}R`IPLrtLuAJf+Er_OHaI@yJFQk|_s?zbE&WqgMn683jHKN-+z!MUnB0-{_^@99$IHW@QgX7_Xs2iu<)_#@vLf zX)y>jr&WxdKQo)eNcJt6;693%nyD1nC|R`Y>VM9i{0R85m>n^dA=y6nFwjv( zH#kK`iJMx|QJuBBVwwoD%?HYDD%Y)6<`IYD8FM6^RiNu9k|3Hv^U>pX-eT06m_SDo zv#5((jT-UdAVi6npw+%9=`o(?J17)Jyud?^;-MQj%H+ujq5mef7-U1&k7feRB@GE1 z#6Um9;U_}DnnK(>g>BQR(UBAG1dVE5IcC(Mp|KLD$T^{JM_AIXc)Hf+{xI=6(7TNNEN$K1d45GIAIHv)iC!i!G06%77}c_-v?-9gT#+a3 zNWZTqrP0KQM~6)M4F268r!r#8lmurO@s_mLh6L4iuyVkPoI<+Z9pW@48fGWjT9j9rG=wmTx_->Kcopctyj0fwwwxE2|0u)D zN^0#bJuP3g*A}*>Ry{eMn;rh^AZpzgya}S#gHa&0^w~t>< zK9zDloqY8lYJK$zuG3EdAV=_o%NY=_&isFc*K=tg(0%hg_4?Hy1*FwZ0sX=5VD#=_ z)X#Rmxf+M18rR-TQ+s30S8A=QtE*|Er)>c;NCEvAYTFv>x>%Wbdb>xx%CE z`6~#Lo#l@nl>gC!=|L@cRtf#d{TZpZi816ul=y6{63TMN!(Gz299tP1D~kdzZPRf< zqk?2*ljxy@?w&pu2sE7+$#`sKCwrd=+-~(gg}bYtR51eeJ6o?j^_%?_Rz6Z4PbS~2 zh&+6GeyaQBm-y5&+?BJFyK2+z+r&jHTj&aR*y?z&F={!xhfgC$iN(rH2$YL}h(UAC zLuMpF8~rRFnbd>+CN#K$Iz-g+suKvb1X2ehb4`4RlRydn40dSMkxpcP!#O<)|4j-0 z{(;F|BEEU_=lr?P`On9WoEVa&98N__G+4Y~em;Kw@(P(YZ=X)h&)J>c&y~`h4G8uE zU$Nwyy4mRN-y&2NRbv7!K;+Og=cZtQ9v7 zxA`h+k};Cq`282|uRzK_9PR$uhLvw(=uTz}@50VQzwvpJjcK!emVeQ%T9p?$A(4JdvOPe+Pf}ys3_! zcn-$h*Ya^B-7R^Qz!I=8);0CRqemZ#r2V9$j&zHq9e3_BzwBNlrTD08%&AJKWhXb- zmLPtOyy@-mj4E7$>I9g%Tb&$n-kk=o@xc>fA+6-u6BZ=)e%oO_t1T{^BT;mzP1MaG z#b`{g)UE=p`L*fcpFJ5Zg>U5dTd;Vwc`kd(j+L<`zU$BTVsm1tWj^!RbbU+L%(jBt zRKOj;_npV|$o0zWy2T5?VTYNqflK$00RJOdV=?v`vp}+0n;yap0ZN&DnAoM!n2$x= z=xFOG{(Af6@FY7PS3R_^w*v>7*qhNN(3vdDf};>0hVrDRSzBJ4-sOeV`BV;>JqFk7 zZG)9}sW%;^l^K-;s`{KJMr`SqPaz0V9Z%QK&#%dc=CqZ!zb}oyLL%9j|LBDNpM517 z%@7`RTSO39jP_8XkJymz?{m9&>dMYgcdsIFr{%*8$9%^J?4)iYY)gBmNGOgBVTdx= z5sfS=mBTNcgD*Hn2=sqkKk4f|_D&-jdRnvfZ#sVQIq-y}U-uamp9wCe@UpdbJJLTo+5ii{YFn($A0>%OoQOAFw(6&=pTtMV!O=D4$dT&Cqb;WU z3m_>G3zQk4zxYb|j98xh zoy5!9{a{8GW7>kK4eo&iH?grCd>@H>zCfOJpHx6jHZ1sXwD)v`X< z9^W&asq<>n0APiUDQsU*ec4@KVOX;;cT#`qU*GBQTZAq zt7M=s=0Y4 zBsjwqX8Ly|6oR`Y zvn-hw=L_lNP@lB(z-*2?taOHn^qAQ46z>uDq1;;h6TbrAU3(*W3!`fByV6jQ|bd9Bv_t_W=ek) zIDeM!1xAOhn1aib!PKTBPFr0G|;3Ci%6`G|oKg@~*^sQ8&ANU4lwK z=HfAoIcg#KiX9y?AEb*11Qic>4_7LispM8|)Wyte>^kJ{t;C6AkxR|crw!b!@Wh$1 zB{1lv&FLC?i#!_BIpR^x-if@&Wr6FW)~$+xRHsWy_DsnFvs%&>0iu`GZ>e$`xw2ZK z6rH88yc3t(5Zh2KQFQ3=V3(29**u}6ig?ZqN>#{O-+ z+|V^DX;#fx3g$Ns8sa@fRF#6Nr;~UF-x$-^t*Z zMre;j=y&zOGI+f=24$oWDc9}A*`Ou~)6ocvAT2%kEsVI2txb0C_!1V&6r z9SS@e82E>N15E#qTS7ci zc6&K6Q^ZkX*A*MxLfy~LHvXq`c$0E!F5)=?Ke~Uc3HU#F-EPku?`D+@mE13;iaXP0 zl~;n4k@(B?7V(nbB8{?%)z(yx>q?_LM!Od*xJ~4rokp1oCUVAD?GNf}6e}w*P9gW8 zhB~=+rBBFg8kQkZD4VoUAboRy@zTebLBSULyir@kIQ-YuzskjHc{TU5s7Nk8wW9%y|qN74fP`fN*(x68(>88H-M>zyw=Pw0;`-k9D6r^SuLEqNr zBJ7N+)nf$4c?N@%da>(NEz%#|Cke{7z0t$BL9C;vX2I)0B>b7g&_Oh9kU;;vsX5%b zz@RWMnK<}}(!zXBK1zG}qB=h&fLQcKSONHOco+`48E24IRsFt1{tBS{Hvs8_g27XJ5|(SbYn3n%KRHa2aj5KVX+*wd2xDXdaZMTD0UvVp^F?e!6`>X5q*Yx znmk(-Ri;!wZ=@KcM+gK}Zf5kHmE{3BYcv}nrue0!dJaC8=k$2mSFYpTF|8d}C;i+m zU&$vyh{}b-==~HL97M>&?Q+|dfr2|`-mwPe;f8X4E&82nkI$hgkxGj@*|NR|06KCu zZyRzHhF_eAu04U!N%hNYCjqmJg#rpZW?f%gZHCD-J3Neqw0)8!}3jA2}tbMTfkN@{9sT|pF>xT8eN0@&Cw*O3! z{g2i{uP6irA-bJJ3Du#5scXG46bH8(NaeuIJ{xfo;T1;M#vxRgINs#mdi71Q44>nS1 zfD~|}oQE)LBVeq62C2xSOnEvFoG6D*n7N>Fqmff@(-jZlP_hAG$92k+ooCdQnP=Fv zc9*6r)-Ia17sltr6!xI<4i{)Phc5@~=6HcFVhXYWN2S>VjBO1ZY;CCB@Z!{YWETST z!RmQavvspq!5>c`hDYgx^lNs7&#d@}$UtiEhI`tB*^_O&`fIBe9CWiU~7TLgHJ9Fw`zwbjGh_8*bVg zl9@A50*`YNjP8mCplAFtCwo#V7i^Z%Zodepr(&n-oR})>-`B-|k7@tcXuGDW$==e#+`!I6-`vvByd%qVqa$Fg!+-l5 z$XVq7*oq`vPJoQRQ_o&)MN&W-Ymo37^g9S`gKR}Wg6zw=S55W_Amwl@9u$uGYyMS! zeLV}x!At|OZ;-7B2yNfYg7ns$OsCpteIt+_TTK^4w{G+6v;Gx2`EP8e$d%+}b(E2x!k;7TLV{d= zehZ2~7MVs5_H;KpS@328RIr2;0m38iPzzf=JVp>}p)cq~tiY0XU&CEVfpCyjOAYT^YG5rCL}WHrfCY^h-N7 z9iB2~`I(grDi)PnwT#EuK)F0Onijkh`uBdfUGL)vXu50~S409F1nuP5_#>jJ};YiaKIpK#CqXJ{Hyws)DbvnuJSLs^0 zz!PfGcl5!FdVBTlw?Skac&-Ev7v=pSOaT|5*hgfFAk5wfkn8uB{`s^U){26b*g?$&52UJ$d_ofC(rcss5~0L^fgzIp zaDC#vDTZKHOeqgAk*Jhr&eu~_ZiL$$ZNhW>@&%oDl?K}Ve6@2o$9p1BUOQdqv;VE3 z-OaHJGDt^TF0?y$+Hhc~3nX}M2jo;I4`mHL)c{u-HV&@5mw#w|yoa`?%jt>cMm1Fq z&taza#*nxG1-HP=C2F_@eOt%328v4)-dB)&@&y`OjA~OlXBXYp zhHAut8T3w$8w+Wg@%1M2nElw5SMsSo`PjPz-eN0gYd8Z=QWvX%H4B20)jiBnOteUW zAX>h&G;mILg)#5l#$mhwJmgAjLUZQFn}&|trj@53c3-0kG;X5TgAzRo4Vd|4B*sJ~ zG}o&791Bd|lfP*`v{=^vPSz-V!2j{h^@53ENUcJxWp7fi(lcDMU^*eCEJl!}scIs( zx~!k8r|0zyDP!l$k{pvw7V^%HD{V21pG<6kKHv57r!@B7x~S|qUxD#i8DKx-Jl$Uvc6WC@-LX79 zEBNO$j?&?Uou4-x63(VQge$t=5(&8|VkvNUe$S_R56U0DT2}e4n55OZ=$Jk%TsLV_ zyinF1Q9Q=$5n(ZqVSI#zI19L|tCB{k z!jAh-jvE^>(Ja*P&6R@EI&wh`d51a4ZSRvII48lzA0!_7d;K#bGbTPLqJc%)R5Si7!J3%$` zMC_?PPCY8wSZ9|sZPy$V{VMiQGD@aD8fLMBTjnz_-+?Y zo0Iy_5n;FA^UbzO_V!ea?GlE# zURps#*gdJ(lB|PAll;;`=z51OuX5A7v34_yX zhxQTfk(Tt!;&?5BXaW11^!*_*rpuRvt)29`8pt5F+mTgsX~J7JO+KR;l!?v|Rcu7vhNj!LPfMpfczLjSE(mfO z`Qbb@jNvZv!HQyb7cs+FQqW{AC>!DEB-@2yTyoBm*l4)+_!uLh% zxzw?t)su@nvVzu9Nm?(K20JSP70T7vmBQv+dL%>_2StTaMlL<_{DATVRrkYBxuR{} z>=VV?iijN}VFiXUd`q+0N7XkdX0+ZA{o?6%@^!%}Y^HLKz+ys4Es(y_esK|P*buHL99MvxT&&>7cjfm?r!J0vYa-h59-na zoIYrnZHB%%f`FnRvWGSl36}y74!u_dT0nAJxo9-iCk;=5Z6p%~0GB zGt_dve#ow|>FI1W`xxrh^zxq3@P*p zldtaHgCC(_9P>px^Eu_~56KTb249TK8x(9xzKE7e_6L3y)@?n5<|p2?{gPRltL-73`?vmthd^_CglAPW>XEMd`R zPx1m9+jf`y@?#e)ld@(WY;xfx%n3V}5OhClSHaI_%Qx5ZwCY62*r2hnTGeU(pqHpf zVp}qpLPm#ZQzcFRHUz^}Q=dFCDpeF{;y^Jz8XFK9hg^eQrDc3U>p3n#9_`s2uOQB5 z7fJ$`(czi7u11cdd{w0zjfLr}c$UTY4vsyMVNnggVIZ{)v8HBN8v=^1SHToPc0|d^ zj3H<+6g3Hshqmj@EgB>o7J@0`7Tg6~D&q)RqzWXAejJ;V(9O(Y_zDa5&p?<7ZfJ&H z>Zzc}nup6*4Q`BI)o)FiIoSRRs{F(Bqks051o>B2?=b)T?p`5cNhxB;)VD!VkuJV& z@s5t>Zswn~=AVpQBlF%9YeCCKz~~EjoEsUzVPvemSV}%Ke&u?qYg;zH#vV z+jk$a>tjz}z8d?9gEh4$>-$ql&&wwu$kcmR=i<`I!PjwF8c)Z%!p>O_h&M?-{A~*d zgBThX1+07^A!KSpL>fCp*cg`$Jf93=5fTGdf1?Z?`K{qM_G~#yq9JuubTt}jatL)! zQ;o)k%|fQCN``Uug88_gPYRps2FoKl#j0*m6?fZ5S4G2_xi_~BW;0r$lzN}S7K#yNVacov;*PmPgvs~A)o_a=3bNql0E>4-T&+YR`bGSb)al z0`L&){$gYx++6aP!{&7G_Q3Y*BN{`mY{zd~3)_EBH2;Wn|B>+YAFYKvK`0*7S_XuW zzGJY5TX64lrkN~660ESry_hUL8avp1yA%8J?DKf3 z_u|LdEjX42k$9W?E1c*MnC!FG;qC2x8O9-SI;4!^r40|qvhXY3yOOwT*f8)ci z=@YPmAqE4)O9gXvo^;^*`daN6^%}$(qo}#8@Cr4{`4N#D%KSD>DKXUb-3_UN0%f{H z>iG;0(vb|aL>bBo>2`ouHXT}Q5$OyG7hG1KC`aqNVNk%y<%b4k<rYk6{`!Bn?S$kVijx6(~S5GSppgFQ`eY%hNcoEPs~t`Lr%-- z`*3mnI<@5aSEu~GJpOxl`@aU>#RZji79M6Ft&Q}}?My9tb3A{xgMhc+PG88*K-lh3 z^ub8X2_Oy>a|yB>dDU|N$2%M3tbI0-07|YrpGv&|3Aty|LBRWT9Hixr10`VI%%_38 zM?i%8RmDA>@(R3P9Y{W%PbQs=CGPb{Z-0yY(d@fe>$F_$SP-DEqp7K?0)pNjbu^51 z)J^r(O!YJ^^tG(@wVg~qx;WVeMaSeeeI5G!XZBZk<-h63^q}xqkY~W7=yMPmR0}zA zNJIog9W%7sJrAE-%yujh-b&UY^*VNfjieJXOq3`$Y20V03z)!9gmChc6(uEY=raYx6Bw%v#$dMFPiI$2SGAC~JM(Ml2&W?psVw$~VAqP5ZAgp$Y z0k|e09=hqon%hmHe-V*dy#R%IXk4?lf-2ZtN|&CMIt%d)ejpk+r1`{9-Hk4H_04n( zuE6Cdy^D>dnT1pW&+8u=ggF>1Y^{2o&eoT!gRkoofCO3B-z!EdiloD&&V7dgF0# zENQb6r!u8el=nZ#vsU#*)?=u6xS0vR_t?W8W&$WTtJSmzkcJt;rc0_Yy2<|Jy*r++ zF4UULdBAVgjdY8CJ|K~7@R9;UTS5;gfy+2zCsGgk=G%z36w|lVi!Zn1+z6);aAbIs zUYX;On+}WhZR4kF5f&SHxFuEiQu&gIV~erC1SV_7w@){|ogUQYZ7AejNqU70VCh!Z znG~OV!P2Bw_AoJ>-X^p0dnZe1EVc$mj(t123jG4(!O%|fH2Dp3QD^GNgmRqlG6&op zMj^!Ns!z-e&TWp?3MZq#PppL*Vw(`qVMgL+4Tm%FV4=!xg%42{S4-5{)gp)po`U`B z6dtm$YXAK1=IT|`o#;@r{rdv>E6DQSBt_vTbgO`J=!RZo_~7v9;AA(Ieg#89nJ4_{ zy1nV_giCzm{@EQRft(zTo%?MOGLQLe9F8`wP0_UD#Oasi)03_zpYAn|=3T{)g4UfD z0(NSJN-v$IFFsZNlZPvl*MMJZYJRr@tq*nQ74?T>eYsdAd_WUhPa19CFSDGJIUV(- zCFy!bs|~eeDk><3s3fP^SV4oS9A6hX;lwKOvsCgmxy@j=h$%M@gRDgJxUqxt zth?0$kYBxh#qboz;ArN4n1^43jNqoC}A*w7Ow%vD_8bp=Ia_d zudmZMK_^?XeX+DtxHZxleoOQSdNG--ly&-j_52mG`G;|r|7b7x34EY|4?Xl{hSSBu zjWszuncGiSXj6Ja^3yaU2C67AEi%Qs4J;+QNu;f?!KBISyNTB4hr_$;cE2Y`|E`|N z+l%1CuGR82roQ;I`D`M>HU5}|I8K+!@cUWScs;$_>(H2xNEC~)Hd)2Yz}cs%SYO%j zuuh25}ns!j4C_hYwdVZPlGKOI@)a?j0&&XphsKB5lQuA-=LqQ9B3g&qKGj6qClcn6bdt02a}Ibt7G zBVnUX&VZ~IGaN?6QB_J;r-_b4P_hIzXe&d`bepxxGGG9vW%e}joa^P`L~ET$e+0e2 zN`?@tf7(DBF^B(ClMJ^BSNNiMz^h{`T5zL4glRHLT(@6j@EE_(E{kW>+}lN8;AnBC z9z&CvbYpIWbdS{1p#@H-GA7D4s5(HiaUDxUBnVO!d`fFt7>W($tugZqd~$ZgUVEYn zO=zI>xxUZyul?824|=fX;`fE}S2*Us-3oqPNlSYMtApdRgQ(DJB!$*%BEP-t1Ubg8 zWE4}f;W*k9e}MZSn>eAVls3==r$@~w7D;6+#dr&JKk3~4yp{j;X7BvqV!6*7X*Is; ziOt%7a)l`E`0Ll>g`HEt&q>9*n)tihR{z#=p^w?O?iaCCbo=)zyX5KAS<%=k=o3cF zm?TjoEtzGdwJ&t6f?8?EvxOPaykEdp;rS7@Ov^B#O~X`Lh2{bCX$aJ#}G3*_aDL7J<2 zH-a?Z96593mFw|he!XGj>4!x)oL+@HJWzI?8Li$4pzR4R2E6Gw$POw|PA2n_D z>T(5v{5Egz{}hf0yv*+J3+Jzp&VNIz$Q4^(b(v2kJ!-V4qZQUPgzpiNEGZIGwm9Gy znG;CW5-5cec!e`lXfC1d+G09-0@nF2Kvzls=Lf*_H|$+okV@6dzF=p7RHfkLvL22Ux(jkxy* z44q~v##6HPHJG1-iR3shz@G`YNq8K#+6_QU$IiV@p7%z9Hyo$NeYdy zx}m(5l7Iv7rSGw>1AMPf8PypcvXONo36JK6#fZyrFa&n=M9ja^naCV6Yp2t^$z~_q zU_f$|o|2(W4blSN(x)uPyqZ|P9JaJCSs?fkx!E(?~2mHa$R5dqS$7Rz(#q znJaO$0Na&IYG-@N*uB?|nKYuo&YH6IJ8Z1*-uU6=*ek8#_No27mV@oDkj#H0^aj1x z+s`N=KgK>nRpSdwpsrW3+KzRm6i$H8J58~f2XOGYtqW)X+o6Dw$<}{{*Du0hnP2fC2P5XVI+Pn4?we#u? zjlFboj;6eaPv>E-8?c|tiZ@(GmO|48u3gF}O4?{MoqH$5of&>Q?Z}Uq#(qN5FDCpt zaxx;6Bq6&j>5K;;WQNi$^d|xqhBEAB1rL;EkhYTU?5P(P=Pj%rDcFPAo7Ay_op$O6 zjdZRAEe#u~vNDsAGeB0LjvUVQ>Uqr=T_gz5YsZ9f*AWsibIoBB3c3I_pQ~!f* zi8);3zT6uV{x<={WrE?sJcan+<5vON@)8QeG>rWLq(mX?3N!P;$z;u)Hk~$aqm~9VgedsRQbi0AzACQFytWmq)Y{)4IqZLhV*Z;oSJ2}c$fgmb^L~c2XNTbo%4T4JGqQt>NYSo+@e9#7w zYIb^r2I7`#gmk}SKZaTefgeqB5(H5PwwD~p8dA=OoKiwLiU(TYve~aar_-x`!nowo@DR;=}EeOCru{bkO{9QYpz-M z=f2jp`ch{g9I4?v>r^F3>%b^b;deMG3*=Jq8~k-Ks7eFR7`i#)_&grlR4|+|9*) zmiFrrXj3wW5vhYKsl<;|Eg~EDzp#lIESdW7fo`CT&j)0<&gbT^`LlC`kOn$LspO(k z7kvfL0M(FH^(n7C>SAVg>v3swzpcXsIOcj&=J!UvkBmhhey=M3J=**e^8IJ3Lig{^ zAMEIsSfHv1@|bOj+}z<0IiB!ULz&A&^9j6TQ@8{H)6aXtIw?CmJdCwJ zYdZA0o$zYE?^xSg(|WE@dbt!_)!KOmyj-+Dfg+e)PTGwR2P(|%jvo4=3VN_9Sgc$K z$yTW{DvXr3S~MP(>gRtE^w_ZYLS1llUqz0S8Y^F5=At{R5*J`hS(IF}vOUAu?BQ<# zHIeHTx^WQrsNXPU0b<+f0eSYJg(JSGRJBwkO|v!4Rh`RFtY*P=-gVhc*-u0$vQP+t zPCNh9L4Ykgg;#+9?lQ#K~v%3tV{ej zC<9n_h;Lo`Sm9|h#L+Qd+tfbuDiGxa5Np?(=3q#E`SzaZ5RcS|OwIGsJ*-$(x@s;4 zN7-(hZQtGiGA6AtCT%|hw8Xe~tQfNeByvJBKle6yAMZyM8D=_m8FqRr2(J7mu*(7D zW{?(b)pZ9_V7HQ;>x)2x`wEQl)H|zm{C$P|_aOKGgx`OV&&c#|*Jvq;xt7K*M%os7 z8m6{JrrjA18_k}pEpPC9uRnNyFzj$30%*|&V(%+pa>)b$m|_CVGC3cQIvbCE3pfEL znoI#VNG80&@3FYkkqBVG2{3yb2)u7+65l-EKL9t=ao016z%*`P{PyWc^ua*nUT@e& ztIu4O!*Y#XORT<`rm}*(nzDk1x{{8Xl7WVzp_=@^_&0Eyq=v1Lj-#`EP();I@4)o$ zKeoRDF#m~n3TXKLm(#)P1vWd?vRSs$(`TPApgzT^W7SQMerq)EKtCK+91uE>Tx_gb zgogr6t?nQP#tXe-?@H25wYqF~df#X^@e_B&!~N{0hl8@g6IXw1*2d0d=IQyz(*n>$ zlvr@zkvY^SV*5h)?7+zN!Y+2-H$r;5vUo{`G8#9Z3Z^F|IS9@)u?ZL5yB;tUC!w4! z5GHEMr`Z=C_Zdw>;~ZPQ&6e>*sH5YysGO1nJ=a+Z34>!p^5@a1#}h492uXG771hsY31~59&+q<7iBMXO}<{WaTAzXfPUCB@BHfHmGe%j1?g$l8qf@v#+l5=l%Rpv>$PyIy6l8~5C4&3=R zrz-mL`_lO(bAD0&zRWxi>8r92s#1eEL8SWVAD|dH6bB_d4* z#hNcw74Zjy3Jaa7R$!o$oce+_$6KZ;UcfK1>-2@;2bmEiiN6n@j+B>e^ABI!bdWE= zBs9VgUkHAYwP^Ql_ZmNDVf;!Nf&WTiZ4lteiSw4Le4|tu^851mE9~+gd8lGU%ur-d z0=%+#y4xsu37~>Q8xc`sWPvBX7dS-6DQ&)E<_wuCZ$h6A* zOii(M+~wi&iN-Dhs4KV7y|``R*!}nnK?sxEam~>0bD7m z`lYfti=$9gMYID2j*3u#4#GD5%a; zLvVtqzUdesvlrb5>(GWnpM|D)^FX8p-ZAv}Y>T?kjFU{4E!5aBVBJ6%WK1lfm6j{G9<~?FcQ#^-O%Av3N=!M7DJOckumy?qegED}(flYj7w2ajhtsxOqLf^_ zw8ZT(e>|3|_tT}XWO^w17RPFJl|*o?hYy%(K_X?FX>ZRi6`j^jfDPa_bUTKriIO6q1BMtj}07(A3Ev$=LB;t27VbSX{s`81KESpTTPgNmO7=htd8? z)P_AU8Gbi)BaSjU06ES^xC&n@?i>aBUJV;bXBp`S7}Aa@>vLHFdY}sIcsNYtOqv1V zL-StSyAWDauB2K^FW}G42vOzl{dJ6gg;+BFgS8<K+)08o+K^(~7>FsVaGEuS>G`i`X z5jcF0Zc+_u9r(!8y?A(dcmE*TCW~r-WMRh6mh>5ZIFzrtf`FtQf==I(ag42f-N~yQ znxEiW8}fy(_=ndSlmPD64z3{kb_d1E50S?< ztxg8*?e;`_xayov*WKUWa*~W4M2&v0D1U`zGO+$pw$^_r3bs@WaF-cZg%0k^ftKls=h;N-j~Mu4lb>5QCZkT~>-guQH#j4VM1|?#Y>#84raQsG z7sD<_n*(Dr8V0Jk;Kp>!BYQ3!++3#{feYS6N_SAV{i7ca9 zoiby`JHFogotgyF9+n}ro}+Rdndx_&<4-vSVR)JnUE;h9!fK+b-H>uWFhD~0qt1BV zZd!{ds=R(%A54D*TQbuA_l|EyX|cEU*TC#GSUXungwUK|q9({t_UqHrt8q)I?q;kR zg(1U$(pY~yV`Qj(-t$8dUPOU_Ds}=Ceg_GUs0Wne-PxU^L@V_s>jP)Q=ggj$xt5nl z-dBr;*XrxX>Ha8$`@MmiBawUe#^;x@BWlcL)tHtB!W#0Ae2_Wa#R9>i1maz+f)aFy zKJ<|9KZ3)N?0O~RW03ohCr8T_W_iJ-VqeXUkB~;O2$Es-4Ii7M(o^@O-?xT`B7%|V zIjTay+b(B}HOpe0MG2j(p1urSOzCpFai>2-LYIE0P3Qi!tvI;zY?2BPKKiak^J%3r zZiB1<`wK-Vu*J;E-!EulRLtBAu#A6)^mUS}h0)c|+ow-TQE@Bumst{)Z$F?Y5qTSh zWD5dt(Zh?A7cE;`hAxF3Ca5_AyPplt)%R1wzL8DEf>Xqiui)a|%Vd4=31-0wi}%Xd zL(bcj&g8QjReU)bi$5G+?s325-m!^Wy}7?db$J9^q%wPoAlP56xw5kdj@j{v;y{1b2uM^OAhgMYL-u0aD^JPdoXDZP89W`*V^vIHV zx%8FXWXPH&x+TV5pA*oY+jBslMn;+v@#}}o4+eJVA=pnu2Rqh=iJECkRb~Tn{ELRh zs}2@^dl$>mFTKu2^0i~Z<=LPWaveWo($IVEPcsBg@;bdA-&I@l45Dv94$$6o=KjI{qeraR;%V7(7e z8077P7wTK!A6-^nae6Om=)`9Wsn6nh+^vtqX-=)egNNSbM!k!8j%eT>AF7*!*%`_Ts|y+I&c=5WCXQ z+#r{fBB~u4=?`v#2bVLNk5BwXwl_?q6E#X^SwI|hkYK0c69=R?^gC^V?`9o$v>Y4` z)BDEVr&tjR2l}@2G~CP%eLC9|B-+s`WFw79G?66fgZt-Msy8ENM>LraFYe4?!zA)V znUVz(E1g-N@}3)y`nT#W7H!@O0XT`nXbF0g2(iRL4oN~<1`uPx|A-C5_slWY*IwG%p83}V`&0aGBoNw1KzPH$02=#?AFmLg0V0?3x?Q8ZSV6z0?ei;a)ODmV@)RWb!NE~0;M4gI9; z{eJXGAwGv{(QXQ@;KAN>oRoSo2hoD95X*i`oQJ(meax}OihduXDc|Gz7M{iGM%xjh zCCH<9C7DUO`3IWzg(0TRr-Sp|N>`X9QjrOGMWY;+1D-q6K+57&Cd$k_^i${XQDRgN z5*(b^DF8nSHHeBkX?*Yip3C?UBi<+`eQlQC~hVi0)Re$J4hvRf_bg zq~D9le-CN@pV@YHW}%faFv-N+K+Dw5#JDrvX1&pU1-MvZ%pWnul`QW@oYsiyztdX122y-CABP zjjV33Z=xz(FiwLC#?GC%o|-T{%Q8G2UvYA_Zu4DQIaZc$*pP5q_o8r-3FYu$NyLLa z5uvezq5Sz7LuB4P!SiK}_VWSV6VUmCn`hzeZuq>?AbpdCX}3nCVH5d8pi_f7q5X}V zFSV*3MF1p4O=Yx%@oDCaTlAnNJNg=vrOcbPjuj0pjSQ*;fcxIS&3dP^TN(y=^0H9Ne%^;5YxxAJ&(z6;u=|QvZz>O-J$Qc)bYLw+kEb- zyK6;;^jUFxC#6k5{)))in_B|;F)sx9UYKo12Jx=1F-zePYedBC*7kMh!Pse~4caQh zg3Ied3+U1f`6A-<`%3vMtnv?e6YalWFH%J>z0K?eYQZt9RCAXiY}r+dn3J~bK&dJe z&xDBve*y+eeWG@G*I0shwZg7~ukzD*_x5RRv;()ntG$$FjmE2Eyn`oYZ{lTV>HLZO z*%g`RY}LK-0CuC*ATQf|f^{QLulA#xJM#A=gLGrHP?0a;o09Z|NgDz9CtkcE!W$G`fpgyj&a1bb|y58wQu)47l0m;V^3$oK}&dy=U(S4LkpRTO>7d`Q$@ z@9$k@Nw>6p5{>}~^Q|A)NHsw9dt2DiYWv5o=CSt{A#0Roi;icQReg`w{Vxe97PDzA zhqQ(O8NQq@bn|*vcOp2xJMXdG5M^YvzwF6-S1LldbFcEWLest@$f^20IbixbEb^bI z2=InX9ylQq^#Uar?Og!r8^RKRt)JhKzc=PKp^@NCCxGS@akN<-99&r~5G&W&0?`(% ziJZX%p$_o(%pLxvV@nrq_SPk2-v|-5c^vwSB!uX`VtS}UDMh@^JZ>h3o{O&J8^pQC?R<7TdPXo&3hkkzyAmk@0r640&{vcg3 za&co>!pOl@go?FN7H7;S1Hi)7)zeDr&^R`-w?Jb#_5-(j^@AD#&RLq&+BTHd^rPxT`r z>>+Vvr@zKH(!a@6Z}bcP06XxD^YLL#S9|@MLS*ghuBzx*GoPNGp6ucBaCfBheA(FM zF2>XG&=IxgwN}xAJI>SId0Fsq`BP^^N}(q*ZH~+A@szQ0S{henZ8(O14%T6|%JE$` z5k%K^XO)qxwZ6le{FAanK?)OuBWvZu=c8iHT0AUoNe(u_Isr1kf^BQ+@z}PdU1QsP zsy1^4bAjTQ8l^tUVll>%JVf10t27Nm6<~DS=jPWIsOb3 z7mmzsOv%QJR2Je|w|kfOLj?$rk8YJ7k+CCj!* z)EZp-#GzT2KhscmGG{;Ji~#lwjMPJAFZW;E&o1_Co%9ZV{zzYX1>SJnjeNuUZKW{( z6&A_xXMvXgp(EJRBLC8nRL*KN(?$ooX=4GKi`YAa_6OY_h@NrWST|cNBFJBrwXld0 zLRHEzuO40x*H|>T2fuJ=tP0j}@6Q_U_PAc$9eHqq5+Qtg=9$MPVfjZMBH^AH9iN&% ztI*t$nc%jVU^OCllG^B}FpRO&UHhIeI?mdk%sLzQ=Pwv}B{|bXK~S?>>$2&<(Wh6I zzF1!0PI(oL^ynU%pK;0;zzn0<+pxO~){vtqMzLwzD1+MA!#O_=iIXW6G+>6!nJ~|G z2|@E>s zB#WjICE3QR)61$;Bjf{)XqT} zqb$ktv5YLce5|dl@8+kx30x?RqoI~$Bm%-J&zcLGLW$3&{twctY%}$0WWzY!WbO9| zVb%G8HLCn~DKk`llzGF+-bg(t$uTxf*DHAFO%U(aw8Bg^hX}40C z$|t9bOU9cq8QTP1M_Rn&G09X2h#M{&mt_eXY9ueU1Db(8%@=xOB9X6!0|D z%aaFD?g^R$!G?j%|#Yn(dn}P(r~)m-o%*6h5eESue8@wA=NXnweh$y+fX(#@q9+}92NH9z}>>i z=1%W|eIUa-OtC>L!4}P5bMRVSX@4XZx0wFg+~3W4Y+3o8NQ@C{Hj15DC` zzsWqok1*cPDiGRlygN#qxHX(cmJB*hV+x+kPGEJe>S$^L`5a$au+(ByaG85+<>XYq zg1WA@D6?IQo`94ql)CV4EZ97UBFlh-G@#pOorZoHEcPYhR~9u2VJUumFlb32x0)6q zA83g9Y#q!*awch!zlxF>xxg0o&;FI5T&L-S(Xk}iA+%6rw$Y{~kcbpn=*2KN1j+Lc z3!*uV3@!!F3!50T53XuYNA(%B}10?$m%&MDfs<_7mPiZ{V zJKTP(D66zOdgyGt?I>ex`zHPSBKhxm6Eh?TvK?r`@qDc^h}{>8Z*^o%nr*l7US3|emwL?}Lk$zt6&3drm)AS@ zzi!pqJ#ui*c7lYVg6hi-%S|8n*O)ddkB*Ahlr)q^+5_6BikWg`R2$0`@zRWan5w6! z3QrLf>MER0o;B3g9A-<(4xF3is_P4*^kt<-)_($cq8v3g`7tY|t+@h&Kxnq9{f(-L zQ&2Q8yBB)MlS0Jd^TS`5nwTs-uxyAEhxUT0##2|(suy~~Y1z13Jn5h|7%@E6If>{? z=7tK9#EIGppp$)F9ck^L-RM6VsjzXRb5`yhO6W9b8`^@8Z3^&>Q3e=HrOPl( zbj!i$ovR@x>KQiJ2&qfj>r}oo*BrD{f6#)-#H?3yHo&I>8wC*Fc3NV`uqI++;1xpc zOr^3dj%qKV+6uLSks;mjM3v*FVU%HH;qOCU@5@WOEu0CsA&RP&OhG^1j7`5KAE3!p zQ~kb1{(HFk|IDOQ67zszBSt#rdRitfmS%%F_Ula^t4&_J-2n$f;rj!jz~|{$)a8#j zV30O2U>g{%4crZvK~YpNKlE1Re)8LKH9>!<=V zw#|)o9bKFv0r90%Gi$&9*!~V_{Ac?6<}>K)$iu}?bp8m=OBb|0lqxy*dYU|D=*ZFn zJwot}fCL6Aw6_mZmzsBC6>Q2+8$(N&EO6txqx09v(=3u^%z|Y^E-Aqfqb=KaH_x9S0~%O`lJ?G z;+%eBaww|FILI6*a)30lh$Sp8w3SAE4FE7}J5&T^z}kah_h8e&&FxyH{M8>W4D2&` z(WGXo7H<3DW7T;La+PX1bLyC@2WP6Jwya40ay%?ib|BlcaG&^9^Si;$e5(H9LiPmy zx;X0~SFX2`N5fRY=hJKQ~pgz(d@|1P@Gd0%d(cDX`H*ywyx>lzSWR zkyl#jH*1IlI{U%K6&=`5;UAv&YD{dzTAU{KI11{Y^&fwpl$E`im8@95dHlXe{tj|v z{gY)W%xwRTqp@Q&ESK?60vdjbbG~$W-#2M%bAEUa(04?-u9AvRDKG1lSFeG)59nbpn_1471!JOE`GgG@4rI#1y%VUO&S38we=8PS2D}F6z|JI;u|A(o% zS_>iD-uvF*JOL;QHq^A@?5$7`LfvCh?%`~M+gpt}_~#gACw{N2AOsI5yu9qvot(ML z+W7->0rhp;dIXr6eVhhsi2}1qgf6c1Wq@DU^g{8r1+Ph+b9~t{wtR)tnCT@ugj!qC zIEsBv+AusldqgW6a0&NOKTEvN*6Ero>xOeD1AJ6rP zKP0H2QHHfJ)kS~pRl$FMvy$Fuux-dhUz!xM4gXBQ2C!k{=WIeowA~Zl-MnTl2`1dc z40!>i-^8XYcy@Hg7IDM~b3#g;W(po#ZyCD2p;`!qoracU91I*eC@p%A=1r60B3POX z3Q77wMD2;5I!P9gAg^)sFIR!^Y}M~4a+&`QVf+W`fi2Bt^)@;OczSP~^;HxEIY91f z)Vs6CRj#F=CJy8ad=|X-B1G@`A_;=Y$jD^nWZxkZup6$FuDWqtu8v~twE)}zOOH-B zmgyav7r!p=&fK5u*k2YSd449M2X%BXd37}KbTFeA&~&)FE;)@L%TpO_VVe$r7l4A% zbE3;V#+H<*veqHl1q-K7WtASzbBI09VJ5ai#z$N+MyqDyRxMK;mV*eAJKh~}^!#P% zK?{qKk|(_>&<%A`y3KMlr_r!|&=dQ7UxRM6bTV`yv&Msz0S&@WtOl04K@Bd@h(%rO z|CL!jtcbTI6pSM9WpKev<2fV-dYt$JasowXp8rJm(lLa_W38HyBC2w3yTzhQ{|E3e z$aU;G2W)yS>}G`2TYeq^GXJK~Dn1!j$PW?Jp~RMzP+tCy4FYw6V6FT@8e<~H!&kw` z8zn-5P&Px&r&>FCa#oMSyLeY(!A-ghKdT`#KxI@MK2w^o`>HrI=9 z^OcH5A_BixkG}&NS=eag9CYnntPN;HEOd+vXyo7iRF={;HPExCQ8LlDH^!s;AL=1B zWI6K{@tNscKTeB9gWDQsz1sy507jkFdNV$&s0w&Y<}t#~!F zqwVRN70>0P-oxe53+^HLv&V1;?$(jhrNigP;-iv!j@b6>_t_E>#qUjueP((lf0biC zI_mpjKoSZMy9Lk?gU&KtSsSP7LHrsE%5TPRz)gLqH!JZh6n2aYl?=_CX=Kfida#UL z+QgM@9DTvIhdSNZrk1o+6!@~K&sZWbGzRgqPT& zpC*(073gqe)BC?T99jMjRs09q0UWy(!v<awc_6RZQ;Jt{#;y)&$R+VjXvj zJS(?eXAf&NeOnvTQHS?N&wG#ib0-%KM=lwRr*v%J^G zQ-ttkKj;QV3B(MdB>CA=LDWPt7l+gA8J$T<4QGMn?+X>rFmH|2s91gqk?$w(M+^oP zDA~p}!fiHzssDUyxwx{rtUfwH$|g4-C~C_ZmluHBAKSxB_CB;Gi&}$N0b_$;07-iR z6v0>W#fz-dlx~M2UMyZV-CQ;*65%GQnLNiE*k2A!##uhLw|}7lfL;ww%+>QdS>K{uw@rI7?XHlu z-?y(@dWT20a<*bL<;V}z2wT|tqQ3ra%VQLcBeOYbPvRT7a{2^ z2zLZ_k{p|rq~p)6Uj8kFww zynZn(@S1wqGo#;4qzzH&1=Hi_G4OY{cs1rjSU)m%X+dkcuT$+mO4KAx=q7$BUTOVh z&Tgrg*hkCKUzsJgZT+c5CxL0K7$?4%nkh9csv)!f$iYq(?fAoRoRH||tPX-RNmnOg za7gk1CA4l=baItHJUl}>8E<09yTVTWKzc-$0P8Vi9*SQ>D$kZ|nG*e7PN7M=Ruje3 z%P3}a5msR5+N)wJDWjJ54UHYHDQzQWTYaoAAbDx&u^QykGx#6dj!rJB5kI%#n) zuq`inp=iI8B@j{gZTrFUcL?JjZKVEB2?5UMyuqUgm;gJVl;`}W+@ z&DOrEF;p9q( z8T{>u=jmekk6)7|SR!z$@L7_QG)TXO%*~uLmmRG4rmO%fIhyYiyv=A`U=xio@{DVG zjZ;N(Gfj758~G3U*7Y?7RNH-_im&uyM#pRiR1LfAkh)!aURzMIU>Ib+v?gmlYrK7# zt#7%CQW6dl4l+vYO9*F{D@*Nl*Z1;^BT*+if{%Nx&vlkwqpu#BFE8{Dj}CX2axacI zS3NDWtIiEqoJQkamipO9DK-9L@F&-!ZJQg1!zAWHr+Fdq3yd3$*@*cMe{Y{gvek32 zdJtw*bI`7aZdiGC*+ZJk3xcV}=cDr#59cf5%+vOc=|z5=Cfpa4FfyC*rhGwN|JQjl zb7K@pQe@pCRs~RNArk-LI5Gzr5Z{4iTBdv^+$BUj@Y_}>Ub>{L3MG9Ji8Tk#<0z*O zQ4qvtgz2egMhIw8miFaZEnbh~Z^xIIUm~f$uaf^BEdB|6X8Ds_&i|nw|NHpD*sIOC zwOZoZVAN{A1ONl+=%RxlA_9H&j&8JB_vd>FwI!I{C@NmPx!Zb~^SFO@dA|Jq^4!ej z>2`azv3ros?a^_6Z{m!bWGVG)P{kiRUPCqfSndMA2W#QLBqHc_94V->v zxsSK5w5y$l*M?FyjwMb+*1n-7EnTn#37v*X78>@W^l)V`RNv2TC}9yOl|;I|59MHq zrIN&PREe^S>}!enWhC{JsXMmPnS;c2T26jX;C|)jz|lwuJG|w0XdUz>Fm>da@EKzW zBxr~1G%zw8C{-p`{*l9LOapjZYgcRAN={+B3wB@SuHE7Th!LxA`pc(kjp2 zSH*wNP5)2a{0Ak#^l$hpGp)ed)WujAxXjVm&djVc-F~g%4L9#}`5z92?G1z;0deza zI55})h?{}Y9dFwkfmDl5vXDJf`bs2HfexuA_z zl}*%DO*NE_^wmwZ)XdEcoZVcalTxc^W`9qdS^f@8{0HYgZ0Y|sFU$E#w6xC$n}dk* zit6v&DD8>!#Y6+U#)Hs&4yw)5gJac6zev z`dW(RsRi#<5tw-vc($}*_PQr_yQOz|G`+o~@OXc65TryC*-~yo-$OqeK~O3=Q40w* z2OF_Y>j@&a~qouF+rc)3{DOMN#bn{EMhEtGOM2Z2a~!*25eTJ z>00|5flx#zcq2l(4-fR=L=UCn~iGrK}R(^)4BJ?^Ia82q@+=cXdBjH zF~M=**LV^D$EnQQ5{YyWg>9@F#8A;BBmyI_oD0Q%JN!WQY6>AF`@(=ONJY;0(EWFi z>s5TR6sXGm;{%?Mqk4kxAdUO1Bc(6}>#%1irh~5Se~72#JMKQRtYV+s&W`(~o4K{j zy{*s+AQt(*e7*h-SY-K=EDz@Y=H#E}gH`hT2f9Ehs$f13X+mE_Zl6T+qEfse5{e00S7jG%auUj-G)E{BUl6|JiOvi2SehkLZU4>bo8LT4lel!=$jgAf+fP@Wo^~EZ6F@uBIAO5wFq{00|jK^aGHGtq&7In~XL84U^c00E7S{b*gl{_bcj* zs1i!&i3l~X@W{j!zo2j1iNm(#m1^2?EZW|Rq(H}QXj}vp7l>6feSR7$D^{?GWZDED8n|-4R07+a?>C(#nP3Ywq6xiERJ-t;$-_2|V;L(-%3;>m>`X3FECCi86mgkAt08q&>&{gt<48+;~rL*OLfLDAEdp2K<}h zEvbUW{=6L_d=8E*26{t-vv}O-7dwP}c|^gmNrm`Re4)uApTh1}H@a3|PVJSyphHBh zkaNuHjF5(<*FUmYQHYn8_94fM%#U0*^Bnf*B<_TR$f6nBFi8$EPvECbf%19xebxE6 zvhPD7wDP&FS6-$#G)BPtBPv8_+b6G1At>(GL=a}GfJ#uQBE<~Gsk*6|rm8%rhBdC{ zdtd6+rDkh`qaRwphRvSz|1vzm`gg!0^B?i%|IiNLXua(c@W59a{^b&!56s%x4~Z8v zdb?r}B2Ofyd#g}1nLC0*3c4?I=e?1mrFB@#N}HEg`|GpJs;B0vR{8DR<-m#UtHZNb z%c|8xN5?@gl!e>k75{Yj^L(BDJ{hsQag^S~Hx8X0;dSxP5Zp+-9OJ>8094^I$VpGr zPY+iD&4!$u@Imig?tXm63EZ&BJCP6KfYYNjw{ho4HnX1~bz^IyFQFen#+#sri1!=U zw9*8o_mI}a^eN~=42}4A@Fr?Qx2KDLP^1I|`BxmJ=(D|Cs5dhn$K1+5MW2RTPmUpu)OVz+ZF)^_Gx)}bKNOh@gZV^n3* zN>gS`^$CPVMuACDVeV#xF72${7NteqyOsl<@%)o3KmArSSpN<{{0H3z)&GV>E-!$L zJ~8>cP*2;DK;#uWl$SwR(vKX85;g^rFKk8+%GYmGH} zJnY}!tS|ID`dM8nJ&(C~*-gDZuWDspcg!^?O&?|EE@=UHc>0z0Mn) z$~j>%XW5p!2bn_hAmQ~Wl@ulNQHD2=J?E5=M4gdIc8SuGhH+e6bQFjDo_2LgTYi*#-Rvi(1TF3LjLZ8 zCP&$RB@YK=RUSr6*BLwvk;&o3)wX&+0V(E{R7h4EiFAgpzYCPk7+uG7J|axsUQ{O@ z9#x+>CselYJQzbxSBUBxD%-mBq-=osLTFfyRtUeCv5>+EJ6|>19RKx|sunlvlE<%@ zdQJ0{B^=JTCDG+bBDKFSlD|U|S^fkB{Aag;EhYAbh}y8G#YrVM@6=wHKMy#@?jkOO zL>RuG{(%h=@M{?9`wPneGEJu<2OfwKfjx3309vEhX8gUzWcF*9oq$Z3YhR z;J`;VuIOn9`V2$YUDnn_Q`kV7$bxMvv%B!K%}AJ;LNod698-TdC#!0P5;^kD-SzWI z;WigC#WIjC%a%s2ul2BV@}0H%}`N_ok4^3qt3ZrW^+YBZ=WUaK)xYKxh=A<-ErwDR%1_0ae* zCCVSXUnFVDii-9zfJiEgU06<4WuD3k^PR)X5ndG_VablNQJ*ib zCR|jCCSyeChcQsvk&x|N6nT++lsR`rx78P5{|We7iTeAB_&d;$?N9p8|AB^NS|rtg zms1?v#50npRDwu3SwgFqi#1LaG>EV_bvFJ4_egl47i6#!p^V$_jg85^68#vP|E8A4 z#bIRV7-?@_({{ZxZTPs=|4hXAiZ*tnaoKE~QIR@uu$1YSxORUA{H~O^D!X@d+|yxT zC$J@lwg~O0D~}5@I^<%g1jLFlDw0GY$9rEC4&|n1>51}z(C&Eq7WFhzeAgdpqcYz)lCnw67b!v!5x0<2jr3(z(b##L0 zmMYAO@R*9@Z0XIy{L%i+T|o+Y!6dpy*&sVqw08vja5gh^AArA1Cg+a18||R z1+yUml|4RW{%)A}jN&?Ici-VA>6t-e-!X^~p+~l9 zC!;yqmpHgx-N*5mS5(xgmAwVQ2K*3l|9zqS9ftT14k|>^Bc{t=fkCiex)OvB51f>E zzE|aW4(f?RYTmH`*9*mnx8p69*4X0pdc|WQpzt+2oE1^Dy*&Loa(R4~)#|A0D0uWL z*jg%Te`bBT_q@Fx8Vfc3QR6v(_Q93^A#yT@=l2;}NyiV0w z(g!I*gZaEYH!pVuwW<4oRo&}r+`Ni1bK^jdZ;EV&t5XevC>Y&+FRFgX} zrcA-d)xHGs+_E#t@NHvJZ)EX^e1ZXT`NjQH>02xoUM@AZD9auyp)}2@G;0MvLbL+( zf}h)}zGFSMMRa)9H?C|(V_m5xHxAWsW6pz^@GkbK6J6vonn{VzFj#3_Vru@OIUG@g zca(?*VDvR|eMQ8BemhWi{szXNXx?gUWFmF?{gAZXqQy8o9xuSrDsJP7-^azV{uN}% zNc%^blknz7(e3%_pN1&My=X{vj@@SmMac z0ENiD-@LUa9MbNNEpy1?WoJvKV2lt6UN0Ytpl|&e*8rCW7Bz!m=bV~I za!@+tvBaf8okL+90s&3pvt@dJjVGNvb>3s2;KC-dPiJ`0IQ9cSwAkzKW5=I@X; z9I*ddvP@NQoKqh7@%j9H6ZBa}xokLONEY<2`I1AW8*H|1bfKE!pjiM^y#r*L-Me)% zSqAm=bu(#b zVP^SvZyk~Jh-5D<>=RS3rO9HR3G&|Ts6Ew%*G}_t>@&LkHOmQ@qDl;X5Euc9p=1?vW$+QzLa7db6qqXp;qA zW_nCENA_gYE7M-fIm>PBne}%CxmvshlaSwKA;Kc(J`%j{Bu=3mgOrtpqvf#dbAWMh zs0$#qBAR%Q);12dp&<$Xf6TpQOr2}ju8q4xu~OVy++B(ncXxMpcXxMbfdWNa++iZc zix+oyXCiyD-uK6|p08ihwRW29WQL>(P53eHF|X^m&vA@5k-O+|H{7oDM@PmBOY6`< zDkPob8#x#m=o`cW`e=L}8LhZDXc#}&#-M`wD*_n{5C?J+&{x#U0Ph@-`ElD>TGhTP z$;5wyw3In;r_&hmpc8?V!tGl1&M-6?T>$W(KxKIu;HV zRxKG$%k^F_W{r+uP=p!u0b%8%p%<(Sl53nzyuf9UUjwv35yXhk#^OQ9`22+_r<{O( zj;0(B$AF^BFG7vU^qUu~oPGtu$}j5^Up&$v5`8cjy90<`Z4X~=@ms3*n5%M3aaB@R z(olP`M(gQnn1ZmfrW#1AVF7~6phUBgmZiS7wYiatyK^K+uF(VdwK$sd&k#ed-?{bw z_lfPL=l*5!zan1;p!RNV>Wo|ikLPm+ZLfnOcr;L((OsrX;f2nK1BpGa*Co*mQH;I` zvpl*hUFoV^jUVvLHq_f%ue7=z7`t>lJN?Y_I~?P`?1%*th3%{qcXULLw#WMU;`{hL z?_TU}>)+Y4RydjmZ&zo3`980+nCO6jIr%YrkuzE$%L#=5ypgr-L6L+7TUG>esyn%- z$0Yr6Ya1Zc0L2t7LZe-hw6rspTVE$iO-X(FT_o7WczC}2YU9e;uJ9|SREtdAelv7u zrJ`{>O^Z>L2wdZFdO!`DHCO(Po{~N}PZXLZ4C3LBUNKdQg5>HZ$1K*XC&T)mb}g>U z^>r!@-at#1tk|Be#O6wrS-CzLGAM(9SahDy(t^3y$SVYGxnnq_i6mgmSd6e3xe`CkiyFLD%PZ9li}thF{XKaM!lJfG3jkmUHL0W)~fn+ zR<4uP?ityjy=DP`@8R8)`+mE`MqOFj-Rr2@lkpDyMe)7-p#J<$R+LN)79BoEy67ib zpU`LM%i6=D?9Q#=cnSVy-WH>pEX;Bx3J1qbH|yK>l18ZcfEfnh_wYRt|3r#*?0RKSQR@Bt@R!zz zm$C}umvzDQXTTxXZyEIder$^$k|I+88T1^MJLe-l;6Q3n2Y3X6e{tG(#o*cd$dl>b z?|;cS9LIczrDWS**h~BTasDiqL7hPlsewW79C&}PtpHgIYC~S znD0C=tgL+|MIiagfCokqg%q4D(Q4%i*ic0!9#)*JNEmo+sm(4^$CHU$4*xpz!=_15 z-}nWqKvvv$=1`kiM(W7D<*$UZw(q1dBsp2YP;n6m(`wxAakIco50@aAHrxAl?Hxh2 ztiLW=xPL5th(GCQDDOdCbmE!)I78xfdOg1zy;xRSd-Jy^1DmalEYLXGwz=f!uj}N` zFvZ^+hxpr(?Opsx>?Ejv;}sMj$gz}g}3cyI6XY|IR&AKmcADs$s$oR86U z^Uxiihl$a{5@u9n1v&8TGTb%esVXv(v7I2NLtw(C>tSX)->K=?b<1%z&l|UjC8zV7 z7+fv*;e)3`;!)qWW-Wv`rp2O;9GM*F4CxH*D{fEeuFb(^s#Xte1v+xg8YpUST}4o}m$0?oU3EwA@3Za!zK2{sOE?Na%<-Fji_BKm9I%**c2r%oBFslEb2I%~ znB>C~sT(NBh0j*D<2Lq^NNo90Iq>Vk_%j^w-!u@Av=tMi)ChS()`45^)`X&I-v1us zbmFm`G02)hj;Zu1la@a;@Eb|FD@A0~u@1cLyU6GjnUEpIosH}hHk%z(x9e+HqLan> z$IFL7`)_zp+DIK^VR;8@z?P1*rRlW&*@o@@o%{3k>Di0A>3x$uOIq7(ZJkfj$MnaY zfey-}dP*210BR<#G+UFsPfoSO1T-+OB1OBD;li9{wlq~-9e)tLX_Kd4glm*IY_309 zY1m+*+=yOHrMc)u`>sq_6`Q4i+?$f=IVEFuWDMb@J|rxa>q631j6@J7g|1XTW(|?M zo7qVVp36l6$b5~_MIi~rfoI{EHS%-I@yVJ==iSd9lbh?2gAr4{01g8qe4^<4r}s)6 z1bFN6Oz0+}e8k+@a$~Xr^&5~=DbclfV|Yo0jJx|Y_&NfYVP=sC5yHlyVbot^ijrSr zC)&W125=F7HZGd$Ma8x697st>$JrEck{6?ccBj2jNU;o#0ZfYD%qGE>xLQ4L$9OfI zyu8Rfc9;Bh1cK|&&_&MQ;?3-TyYt1#fP@-IAyqSdXSd?1;vY)|7K}6!`B;Qd<@0yh zbzG*8xz*s}A&u4YC1O?ZAkEK2_=AygF|Uc$&)`m8c@^{#t-b&8*nJEpU)t=JX&EimqHfvNs)CkES-3VXIY4{CX^ zD&GAJlXE$1`b?;<-Am|Nu zIg471WY79}k4wJG*Q_oIL_{Vh7%5e;n6B@PrEENOP(cPnUDRvF#;tyA^sYE0h|s!S z)^4Mw=5>vxEZ4g4SUh-*o35&1FjExvxOtsT#&+-PFT zkY46-I_4Vl%DzaN{w9u^SGnwAUn9|TQnxk+u=inq;KXaEd-9!sxNCZ7-f!2d*OOb( z%^~XexiC^}n;5i2AuV-Hkota+I$37-IbS**vd#+LZb7@&gldbtS4!kFdKvyA9f0IO z>Rp=n#eDBa_!2nwt-GO|p2r)Xg^W&ZoxwFEOiEjG<>py0yJkgY#l}mYmO8e1+_;{R zMd zvDsjpv48^nW5iTI#sO`(zgZdbRH6oFfpKd=8h*z9F#M<>4DY=`cRvh8QFLUlO2l@7 z#fK?a#zkH~Z#%AbzmIRnY?m6nF0Nl@Bhs8Cy?$LOe}po!aQ==uf&K4YB;kLj;>FyW zH#lY2Ylr+Kn~iu-_#mS)j;zJB{V60K-mSvD(;%(^Be4|~m7o~%y?cA3C^?7jfJ%Xmm+NOZyZ zO;TZM;RIiQz*{tG3+_X%YNy$3z}`Sy*!FGVXOvd{uN4?OWOn7Y`kYfR2Dz8bb95ms zyDn&R(@=u|0+495ZG(zsu+RUrot9(Rdj zn>itfJteRURnXU@(#do&r8g^Is|U)G*~+5Jp`eF>eB;%@O9sI13t z$4$yeYUMci%81a=1GzuWxPS64xcp!`q==9v+!_3sQT9XkQyaW!xyT8!=hDf(%3xHg zGV_QlaAoAP@r^3t9^B@8PfChXVU-BAo^WqvUUPKk9sBA_9OMJz^887JYMj&4`?D88 zYwztBtY4SLAAyRjjK5`G`P)r~AVEg%1wcO+Ja+|S5=O(Ht%`@AQRBF^@VAth={w9H zqFB{tfnjI}jwc-k1W7?~$mO=imy+PEP)_bStEshJwX)f*TMXokZyV=XKko_?F=j3rSrouAEb1IrlqbCUu_Fl$dGQIKs-8EUN2D*&Mk8g@)s+RFLNq@!ZXN0Q)CP^)@A9_!|z)G5s$9FoZ1XG>qx z;(EBdxW!ZD5d7fCWYWlZ2L%}xkguO-e2OOFfTo{5xXlFG98pMyMHv;=^{6rilNeCi zTLv#$Z*`?JC^IU`DOsLL?2r4laTry<4%+AX(WK^UA`_~=hpX1d32GPvFk*oWUUNJa0nU!4| z5s~NZ86OdrR0?t!HZ^RnEdKh>`{xMnzyCa@-??wI|A$7Ztfa=y!pltG)=1mT#?-1V z*>S1PW2wPswKZr5@UmSI^f~+<4f0LDXr@8i6<_k!$>$SE=VM7A?hSH#fD|AnASX5G z=UD3TaKgd&sPpl}%c=CM>CBs%>>Cgv2kls#N&!W&FG1aKIQC#LZlf!5xg}@`q`Lm* z(VcE+tD~ZhwvCCtGsr_79rLld^_Lrq z*ctzy;amg?GFD0;4|R-A3oSrLhy8Uz;8G+uvy*_?vX`VxhiBWOfYxAeEVvndrz!2EB#Ui4{V&ylJgsGEh&iK(7p!V8n<_ zO1+jWt&+*DLq|`Qq}n)!6&(0>toX!iGA`wH8Dnn-*CET*r^_^boWEgbJWu#p)jE^DKTg> zvLTW~*qKuzQ9H`YX1!D4s{%hEm?|j{6mEa+X;RNGrRK85*);&FAz#_Q6bYPQ2>#2-qd|NeP%{(b%`pda@B-O z?JSfuDMu&N604b!jCtWNpYSn8H}_e`E*rB5Mubg~x7nMwY*BNiUZZR`3+E8xg4c#A z7kw+!VAS5t8V!oZf%3ODmi&n?tU{D-M8d#BenZZV)V+Y(4sfD`=bZB%~r>#F#F267Q7jD1J}bq^dMStR>3sYszH?hjq(?WgQJ-^)!U&#GJtgL_W~ ziD0;i&JbJ2`R^euuz21%ccE*{PGe1GqisE1GrE->o@bs{d;0*KgOf2}$IjzV|_MI|+xQnVY0!c?D-V)MU7~W4=Oo@_Wb8`7-N4 zK(e-Ex-gPD7P}0D?f2v)%7*&Svh57l*Oc7~oWwK5;$cLn*Cm6>q#5a$ALitj15rdR z%RIT4#s<%@6X)A1_qo+f1J6nDUQzUZUpEC%F(<^V!DhzO#0Nn8VCVBYgEQw&3Y!^( zN-S*VRC+bk0eyKhqN!SR8q&ww>DnpXAY&R2#OgMToWX?}W%~TJmqcRL4^Q>^dGKSF z>uq$@aziH!UN3ZpX{8Pjkdk0zs*uD4#DhoLMlE4{E;X{n_;QQYr2*@VtLZ@S*%~1p z5)(>UAMTdZ8xsHrt`l<&gOPVZ!ZeyyZGe6lsABIW!L$|-RIhP5tI3r#iqtG=dl^U}peE(cFLRn{9G_Vp<^hp9pr z;v|;$cH2-cmqXKOZ|Mh9nJQ+Vuxt9_lgeopjT*g52N`g*C9@atePSxF zPi8bVuxXM8aTHBLIs`|c>BD%OnF>-*e1T)L9%C7V>yn;WG2fGccZH9iP@d8XR&suW zzP&5OIA2!IfvJeLxj7sBmdguw!uEq5JKlVsJVq+~Y}DJqk+z{hScob5VW`7by0vNQf6w)M}irC6XaHt1UV81)1x$F-SH9EgUd-0ReNbbmZQ ze>j|u^qNCVd2yJQX`LpDFgQMFxfPxe6RvyH8<`?qsAtZ^vv%R4=1Z60DMo+zfJY;FUfvDWi#! zLY9dC2A3D-?I!(q5!N-4WQ^wYBzTqia@u`QPuKgc+uIo008^<83qsYC*jN<4DG^8< zijuTOT&hnN%0mEV@pQ_d(87@+yK&+O8jFlEVRG^man7J1&ZrP*TA1)xjGkY-zhL#@ zInYF4h(**LkL*8I9-!XOJ{GPB>}I+?THLnk!q$gzZ&%ucCKY6iNl6iy&a)WfpnXvz z3Qba(9o2Ig(M2BPI1@WFP05sO#L8jz~)O9T*CtnJOiXbCe1q46PC1v}4BFb$X` zw(><9xz~n_EStmrL{&Fwc~?iXM=K{sk55;RzQE_5>vN+!V2q!gcd8;UA@F$ZtRTtE zm;3rL;j?b7E}SVjBtIMz^~?u=IFmoYSJPDY5%Cc^53Gh(wF=CVp0wl6<{rU(0U8I@ zhf=KfMeFAD6Up#>eUcAf-b6u(taPK z8S|qzX{+vDW;gJ9%fWwL3V(!RvHXkKjh9{UFOi;zCon!3hQM$*E;_i(B82(ln~5qf zGdD9=SB|7$f+d~a2v0@%K@u(~UJpdVq^N+J<`D78r7B&OHqV>u)9GFPug{Lpdr?Ea z?2CRx$9^acE1?39r@+ILtA}IeWgQt?`b<3mb{xI2mTAL65WotA#sW~&z^GuK2MnGA zpefK1){VdvN61U##IV)pASFlZV22@#g=s9M3d(-$$n8*3y}5JPQ`C|ZI9#q$rHj`T z32N*`g9s|B`G6f4*Nh9LSs-5^Em_c=3oi=5r*S|sg~2dq&MlVLHyJ&yWk89}>()o8 z)<=MfWrjcV)}+HZI&0?P+s|zNT&}Tlvv5Rd-We&~YK`4;WUDZS7RGrR1U1u%MELB` z0PfzV>3UFKsi+vaZir5s;b9EJg2a`F$X;DE7Gm-l3@r^vL~PBplOiK^J|K>^M>2`) z#F#CY*FUZTtvJqs4G1N6F(Oc@tRW?2g774mxhaI!M-=LIa$@SDwy=iLUnjrhc zR|1JC!c2<0<~5Jl#Y+*1PV+gT2*#8XTo%icf8a?FwV`Lp;n22!G9fW`GWJXsVLxC* zwW6-FjvoETdX<@)*=(x2ABxr`MKd#yE_3AVppPpVx=+83O?k2Q=IepVqdR6R!MaSgc|oLLUy5w{thZoi1=q7Af-5`mhOK2w^bd z_Wbnj<Hxrx+d*`ltsYB|4bA1Qi8WmaBJ8&72k44V?i z=S0>J?7n=Z@J~283lzKd$E7@wlJKww%wjk&*l%RmBJz#a?8$|*r&vXdt`B2bU?7rT zo%?TNPJ|G<>L8bAhy-rO=#4bjR>;`Duu z8XlY%MwA&B1Iq}^BP^mg920&u53ZUK?|oC{_q*0mfLT~kTpjGrS2y>Y(cR_8mrIK5 zo@B+ZtL2|_#NVhEmfv#|{_V6uAlGCITAKP$?*=FLQ6fQ`@Pi_(q(bc@cpu~sn9oWS zqU-cNKb2vAkPp~}uruNwqL{+8bohBcTs5`(f;L)s-2xxaR)!`I(vEhhZX7vojC+W8 zn-1iteqKH9&E(M>a30(V;*xC$a#CbFdy^A47IAcyCNCl&B)(=c7u)3Qlu&k~oJA~9 z^KC&w2IclcBC-fFCJpNibDU%1$&!On%Xv(BQ*Sx%@U1iK<8C*v(1+2F&}Cvg>x_h{ zmyOFEC(+rzsFoy#jYKYn>eH5u2wlfRVkHlD|5T;JhJ7n+i(XQ1TF0oR9<8bQN*LMG zu32e%z}Q%5E@(c+Ist3s*yS57SIV1@9DteKedF?!auvk=DI_5^J4;4roeb?98)VBE z?j3nbo)KRiZ}^iju{rrqeL`tB1F?nq^j{X=Z$xvyX5*ngVyB?=#$0HHF4eWotdcc- zixbbn2o)J~6|U*dwOfD_C=`T0EddDI`RgS-S$bLJFUx}IpA*vmYrLG7Q)X}F4Z_RD zI_97lc58;+(l^hA2H*Aepxyq6{lQ3(;{z1KepzSu7hZmeVNZZ|943KK^UH!mP&OOn z_4q4;4T@nO4#n<&k3JtyzMRUqnaR1C$+`q(u%}b5rqiyb(oRPb_6K4?pSAXor53-R z^`0QRM~<(ShO&mLl9r~LzJ{8*G!CPL44pizGKDz80+_4m|58 z`gc+^;quMY&P!hqu!~os(|We}+b{S~kdKZ=fgDvguDZy+*_OZ)FQEJM)6)#U^-o|@ z|H56K-rkAK)2WlETU&d3t2%ud?;vMg@|1{7QA;cf$EyT$VPT<+#om-9>ZYrfbXxA( z;Zs{AWvuOYE^4ph2RJn}r0MI;%6m-U$W)j=O&hdnwNMilW> zg7Rdc?z_w&{74gp(enAb`Ma}zBjO}(VK$k%`HCpgle_tENnn0RK#5bAmPhY%3yoQE z@$zCZl)>>m+$cj{MzB{x=)C%Z=_H`tCW1>ix}=!Q-GmQNLb}C-Fy(E$A|Go?KG7y15&=0G@C3oE=P-ujH*f+}+>r^lt!po{yOLO<3$FR8)ZLu_`V;v+OvO zm4UN`Htw?s%LDbtKg;8hRLs=BxECph6;2W#N@}hmsngMa)nf8Z8TnMM7g>yP*zNHJ z>xLS3Rt`S(qWXIvP`X7ab^>HFaN_Sd>IE%cy)+lY*oal z{*nDxzzm7*^(*{K_FcZqsGy8cbCUy|yO#t^;)@pV(&^?fr_5_9)-sJU4zWf!Juw=g5EMoIJ&skoy1&f zLOQt>9+3yLTYe7Ks6sRemn{R&3NEmisjj~Wi)~$v3+8i@t4$pbQGLL`_mUq!#e zVKWj;XFgRSs;%CYjgK#Tu65jOk9{p4_Yz^fz7R8<2$Vf#=o^Pzh1^eYq2woT)kL^I zZhaGxk`G5g!o|_E$3i5E_L2rOklP9*JE5h8nJDq!QiLg~^xV@93BPX_N?c!x0yZ$5 zx1k=-^ki`muK1k3EILfbkxKk^#rzqr_zC@i zOuy;*Lv@J-8xxZh$PB_o#0!!Jq%#znQoyRYl-uScK0iL(KfZrHJG%#7Y~(S>`RV2Q z`s}YP1JgJToHh`JoC%5z(#2Vk&G`*H4K{^K(3N_3dru{{Vf(7L)yK$k#K$8?r3}f! z*F+K`__B8<8Rf_TWa4Jrx&zLXyTKC?jGN>Ux*w^36kbnXjSxhArr|HPcjAWDNNlq` z#y+CUv|_b!5Ra!TZB8eYMr_t<(#mM7>{5OkwGAkTNmZdJ!&Y&j<;nOmmok@4KYmDl z>5Pi~BAc$=nvU4+ebPLs9y(nb+;+JENkBAmAV2QG)Kz;j_2+cCmKZ41(|RCZ!2}`N zYoe{rj7%L?4c23Ox|*n1xq3l-xS4(f&T}rH>$^g_Ef^q}b z0^^+qAA@rjJy)qIm1MPMdPi-^nYbIcM#i}vD8+rY1IpPVGJ<8k`F!>3y7(h3k(Kdx zSmNJ12|@hGOCB5WEbxrrMvtZaHFC9^)|p|u9r(CCDJ`ai@g6Ef%CNVQ`c&!6I1kn-jv^FAldC9=AY~TMvHk1?1WC6}6=}#Ag>3 z-;Qiuza^dQlby+8)ymqYI<#g-SC=uVVWe&en$Lx=nJ6$FaV8ttvS>g9trS9r#=--m zrcB`lG>c`mx77=kYC}+CND7!0X%{1V3(fQ!8d+cI8JbvJOOqu=P%OESyl}IyHCUMEQmz&?$x*ey zj5|>%W*|zaR2YG^jztHv+z3aRE|`5wG!%;*mlX=rhffBj!6h26c&`VC20kef6%J2A z;C_go+@rJ}9h+1F7GF2*qVlTG!qMB_478l%Lqn|Xa-$n?_2tV)WKW9e*Cq33nB(tV z2$}zOAo<@=<;hIWV4P9E>)nb)e?-nDQk{@+5A#s?JQ zdx{2Y8+{_u`=~DY} zLsubGl*IZDg?%t708l-~=)?>d+fQJWz@iPEg3aKO7B$-p-$(21Y5Ktmg)f7Ivc^M7jVbRG zPQYEuY0qm?l*-$_Nr{oRdWL+NNt?tiRX9>tITIZcjnFvHFD|uUokWtp(2ahxp^Omf zm*Bk$vT@yk-drhLl>Yk771JM~jQ{FR^S`Ux1v=jAL}*YKA|_BbzA8Rw-&b{$lXKfH zNaC~%&QA)stO(2x6oFD(*Ia}q5%#hS)&hLmpYmA5=PT+*&Exf~_VYVA25=+$381Lu zg$|+iRMoBR9F(6-4pr@qHQe6-9{SUsE<6Md`iy2JbtuhnWGZO@n@nY56kGYyg!4M& z9D#i?O107G5q-s2qGX>jsOn0Kg*%f|I5sR>_;@^47^r#>NasARi= z_UF`aa|gF7GA5QuyKGJLk_inZ%jgHKks#u=SZr39%t4yvsaGhh!lHo(xKNC@gy9X#gHChgJ%-IoOjY=Ep~- z_^R&};Ol|uc*|a)&%W(X_r8x8=XWOuSC2<)k4H~84YwOs9v&EDELym)Gb3l;@LV0~ z4AHXByw|$Y83Bg6A)AeKYT`DnAI&LCGjg#`4#*==!HjTEOjq1bHBj+8W0rfktsh0O zDK{MeJ=fbXhBeS3C>iR~w8j_k2@5+=8@UFe_^^Fk-Jx7u%Q!PYmR~^mh+ioNK+u&n zIwUrAUJ$-9>6ObMc)zHSb1iiZemHg#Ws29h+4GrHM1?^~BsM_GalzE5CNZ0%c?H8n zaWazBlea zD2VgnxSOU-JZ&r3$t?*HxNyUQ-3k762|P#TBpI&!ce!5~865oPvh%GkGe1a_OO+uH1yWu--+Htcj9S8Lgf;ar! zt-#fdOrRcU(ZvZc&kjhm@U*Z$mbN!-(tfY))4_XpZvk8zd2-f_OOh7*ltp>AnTgR7 zl|`K-uN*N5kanl$^l zt~;$#nY^Ij!Jbu_JCYXV(VdS=!OZy`$w^j9&=4h%quL4!p?FouMvQq58$I6q$;{?m zx;`mnwdJ|7WumbNb^ z9nlTBgo}+%7ppI856f$%+SH1{tle}y=%?i8VPwEPuw&pf9JQbpZJ=}w|Ao< z=XJkpw$3qj)YqS(gcF4})c%>Fse!Q&7J#vCjgK^9EGt}2+haFz0(mP>Pu@Ovo{zwz zr>&u*D!GF=)U~?+KF_kgToREn+?>AS?O|?Vo@h_r%Z~y^yz+sZJ&`DehZaWjaL(zR z)161buY|14?Zxfo1{n)Da9tV&Vjf5QWueIQiy+o3;B@JAO&J^+f$LQhCqmO2Gf|&) zUzNBrl!qAWPxHdId8B-iuqpMZS>Iil`Tp^?tYms<-Prb%hxHYLF?5vb#K0UbS-pk| z)d#Yy0_=G~7kMOds)GtUAkQSvr+b<53LL#mxc>zPU{- zaW##yk63CrOWKx`@XcJ(m+&PU&uH{(s8Q7hZHoRla{I>CWWm(?)AtgjQi#+Uc4#vX z7k3X=t|UMg+hfeO>ga#t;?UQpz5z7H_t51E(Loxm(l9yc@H{_fDe>T{kksx zIbi+&(&^ur6$Hs?`JJ2{O$=<15sHf{ooxIp4DF4y&8pT}5yg_t&rw>G@ zqxJ@(j=o194ab7S(KT<*AXHe`JM5q6fhrEcVk48W?>eQp*1dy&8vQr~{IOXqtm0AzC0(t!k|QVhgd*)wHoNb_3;~6O+nD#(wFMWoP~)(DB~@CXn|Z zK3ind^i>;8I`=c%t)sbbD@ie^B@Qr1^MT+ju+W0}^p? zWwD0TyCfv)H+|;usx_v?NGXy!?UfXzSYM4epY}Ij7At<2z?CS*gkeI7fJ==~b#M;2 zIO^)k+!$Xkm7dATv5iH|`q8hVDN7|c1B_D}x^i4gWrlqFk_=f-sR*Qwnq9j1k-IKFxJ(tJL_LU`n=%98{H?wDu zs%n6WFsqP)kUc^vELwbic2qZJB5`>HUJqFp616fqb_6Nxy_$8jfygsrLHJZB6K;?F zrGB{cCodI`$z=T9vfPLsisSzbUQ^<*8#rGIJ z1GVCnxU>_ZYrQ;%jt<_3N6)(p$EQ07;PtYfC!$SYu_14jDJ4JDta5UD=nnx@{K6wwe2>70K3J_FocrORN7&O3WpWqSNHC9&V!4YXOCusn)h5RXNoxo( z9^E{zC?~?v_F}FhIbRJLlfz~yP>oMy@M=eqbFD_Gmz4eho6kfJP|o52l#5_!8eciO zR0GgWOkty_2)>)gapppB86nu}hN%m46<^&cF7pw_9i)bt)E-2eIC_CGN2@BVioh1q z$clfL?y7t{#oPG|=K0Oi!BPkl_PgNC^`JyEEA`&zEL&$sN5}f^18fnxWPe?JI_t5i zEoa|X`e5}@rN0iiGXD|k$jbCP^Ot|8OIY$PwxH#QkME!S6Deh=;~A0jS)D~{cG^u% z%|HkBIJUm!Uo`W_b;tvu!A8=k0@#-Z-^)_v+6`nK)ZFhVtaD)fVr=<52JNGQ5eazSQdb% z#E6jFT6=>L=t+hdX*%J3N^qW3^R`#Y|8q5@oI-DMWZI5d){&;iu7xtd{b~J90qCCC zZLL`!r`o?T;u$iDMZ#zSRv-k9FD)rsDi^T2oKG-9*mX^roZ{< z6Db&3YlJUTex|U%egSZ-=j8LaK0Y#O(e=dhzzP%#k9Hz>wHkt#>ZmZ6k_`jS3d?%l z2g7!hkQz4+C;DDg2ocE+;L}v{xYI%_=~7J*M+M(xD&tIT?D%o$gxEQX``e$Guc^mFQTeEC zjbYsdwK{mbe!^+Hn2E(+I-AFZ9XogKWTTUWLmiKxwG9`&W8xiN^F5!PpE9qETA)AX z{^>FW7(7&Yf1wE!lNUAFRK7!Qg$SMOr~F8@dEu|L_~?9< z_U2{ic;k=&q2-+FSl9QFuS%l@tUM;xA=WX_Vzf%11W@)g>99ejB)q1sMS5RETCUer zS=f121929}3!ujgM5FEP{aTg4H zLoU}tKe`7$G0T_C!9CKCQ0)ephpr7nt3Zke7&p`Jy--I_#ZskTSHvHoj;u_-6Jq;& zEBT*2s%Vcf4-ni~w2IK5B7{E#1p4r>@YH#=U*upeWOoQ-MM%^~SQJWlOJWrW7Uq8x z!t#gWUS78Rs&`?!-KLgOw9!K)9v6ptgKBF!_H(7-Xa)6XEbAIr{CIu7k~Wyv(p5DE zh-;~C&?@FB4o8*orF%;*yYIZR{Y4j|PW*P6dzS!XOWjH5u7X@iiVrpv z$c8(-EVre#H^DsZ&|2FAP5K1#J^o3J$;@(H`NAX4Xg12IJ9VbY(_rb<%wE+;+(_qW z(}5OLBJ>PuSy#=&9}pQKI}g8BHe}i8AUCaWOyI^7p9+=XW0wOQ9l3L(`&$lqeC#Woh(=tn8Z-S|QqsgeyUyHJr!|?#%!8)i8G))#t;pE!?*wnZsxIqIGYdzJ z1{mwVzj|)d(apONU;qM5fL`;>0Cd#S_1uT!psq_ zOSL`txR!#t2h}JWnTW|+^3AF-4RsmRyXYr|Z?Rmsy6~ih$j0ktlhoQ&auR%MUvrD` zK=V*(Ia0d^;sw3I7@jC<9Uj{wMj)lK5%UdAi{0{MAeQL7Ul=%a)pxV(PsmF<@u zFD>hsneD8EhJ)9YGAV>3cD-_B!fErs>J1_#-8cv_G;J;`S@sf;Tbp?72`dVEYk#H+rqQ zZZdW6cFNm%G{5<%xw$O8+iwkkhEYEE#YFgyW+J?{yVie-p_s7Bbheq_UyX(2=W(J= z&|^J}j)h(-iTwoXt49wcVHAFIvmY&!gDWAOBx3{bkslnO&?_p%M9{KUwvw25tT{=^%5VEv z9Elb}$;N{CqfCpXpb!rEexwSlXX(IM=Rm-aCIp*oPEl^qcN%N1r5FRA7B--j>ui*c zSBD4-EG{2b91|aRy5ii3v1*mLw1NYB32hR&KJZ6`r)=64?AX-K4a#LjIW>S!%Ysctl#bu z(?`A*vOf}Trv8+CxfsB();@Z}DNcQC-S%_-r%zMUY`R(~8FP&Fa(5 z?KbW51O8J7{v|Br`I2 zA>c^Ce=c0g-N@oa@oqD{OUt|2&bi4btO|ATICq$lEw-tf9ift0k}b1fu_(&~Ly8>M zzfwgZ9e`T)IPJ@Go+R;1s(yX#pN^G616L&j?y0nsj}f@3u#y&3Ph&P&{P}=%`%nRH zLWq{C4uQmkH6{72ns&A~_q{#VRN2vorP85&=NjrEbmP%<3X4yN-M-o?OBGfJHFWf& z9TlqOj~;KKwlPOwo5{_tsa@c@ipJm;@oAFZXxJV3NErtkpD|U7enqdwK%goOPnaW^ zK~l|{$HHy>^sUv{es@u8r?!+6A1jD-MrCldK98Tv?`HjWWMlSq94Of5jiwKlzV#vG zm)}4v|C~_%4!QmporE<(CT@xmDfIdEk!vQq1^*$d%um@LRTIN-Uw`PXhW8>L8HDs2 z2(5Rc3U(&29IkYmgkWjJKHgtpZtX3E<)NnO5jB9=Ny%86K;Edpa-81EHEPw=hYG$S zQ3W**Is}J-ITEu#w#2txAE`f;e$~%RtEClD+P@!XWy76N)PdiWX8%@9ZAFc!yL?K! zBdWT^pq^U8YqTy_hT#l7homcG!*adk0XI95E7kxwp6bCL=AGkn<#sWx;jX9TN@~sF zX7S?X$|v`TWOR!!eQkeazo+kLT1(s9hHEjNvHNUQy5(T?N=Gfu3NZqzRFSbf2s)Eb zoUd62?+fn_OGCI+Yctx!rWLheA@R6D1B7a*MX{DETyc&Y&fz8JhTeXTJ>cU}n%gXJ zc46tTD2A*UT#frF@0AAzn1MXXd7~3Q=Kf&j?rz(D#?da^^02B{VgP|4v`#~)7Z!My zKCZ-lLUxcKnyOkR&cn6$BWSRXnW{AD*ER9asq6nWwXP^@08wj8BS#}G3u|Mms(9yx zTCXJ#wQdXC?TgqOjNS*StwGd!2t=(xQjP>ri2D3TDoAq;3QnJmCxIaLIVe*-0>aUW zr(>xHL-9L(;V-}TBlThur0mGNnNGWzO8M&p`d^6$-=jg9>dmgOrDngyM(@=|@9HQ+ zT@__jC2dW0eQiw>kgr2W)l^5rTnD7NRyEd9GtvI*p9b327KSda4xyk}_0aGy#c=E_ ze}qB)n@$W8!@a~JL;Z*aAn@bSgq*tZ(t0tfH1~A9U3WB6q9w=9kHWKYBDaxuaCYtZ;K1!G@Bnl< zzv%Pzp|Q0qvzryqtHKrsWrvchZX8uigiTochJTDjXMhR0Ss}JkS`e#K@7bd-8Va54-uXuVyQSjhOufv>z0d!l?JZ;KY};+^ z;;zMuySw|OxVyVsad&qq?oNwK(IOKH6!&7qy|`QPJ$csplGXKfCw-rtCWO#GO(5qS z_jMijILFYLbIm<6KE2+$@W%~ADAaa=LK|Zf6yVA2$=-sPXocM!M1%g9Z#R{)%U$;k8GoJ(ZOK3zr%0|M?f>C94u8CWymB+9OP@Tpc!=Si^($<$9mZ$7t_y zq9sqC=Sd;Z^Lycg%bp&UBBQd;)vLiP8ecRnlr7 zE=naVvaz`8aT36w+<~Z&N|26ZtZT};qkW-4YX({Z5aA#vKKG7;|7wn3N87^{%j0AF z)4kKf#op;AyPvPws$kl9zN(rQW-*PncGFA~J(Hx0JHcLSCRbZ^84uaSAx@R3j;rf@ z6qW}>C6ssqM^H0JtkFvuErB1}f^4~F%vCTIXzSi-4T{AE?dB@9zCr5iB9yprnYLm* zDAhnP3aITj4RueCLDZ&K_lgD#&aZWqn|^t78!zSm=>To*0%Y_hVD!3oQgZ{_=T zNw6VWJTWdw(TyqzvkLc)%f0Ta`hh_^3i|xZ-c2`a>vPTQEBZ11g0Y=r{X{)KA4gXY z&TrJ5?%&;B&~~zGn5ayD<7yR973)q z9S=k4+1?pW>cDoP{bIg=OR5Zywh#H0a-AmIy@^=lB5W$Vc0D$mDnNXu7G7*RpywTpptJwZ%8RbdUC5;T zesKN@v1I$B2-{zE1z&zR3X=unp(*3o`#wRQw)=RbjyEvJR<4aN~b(qHmEl zvn`fkkSr$7tez|~3%!8${W}2v=*qZ8{Ix~fcr6OA8*2tTH%gDhA#GexnZ6 zfQvSww;5)VeXf2lUzHw-{oyTm0hd)k1zgi)sA%GspNEFP~Zi)yD`+Y7lV1SxJL>2+JKv~Ewj(0@kC|X zG|hqyrzChGdiz@WWagXRfFGBGduK0q#A3V4ylK{ZzCV2W*m|G4`b=%tDd*?+Slj+^ zdA4k|IPEEj2q?5d#0u^kV9{nZGdkZ2N`#H{GJ;{l&ya)E(3FkIGVIbsiXRY5qDR>D z`R=Oc$bHSONSF;2&>m@7hh?D29oOU$6m zQtf#?{{eliRQ9{t_Ed(!16z>+683PBf=d|kKvc`Ug3#+^nSrW_>qMD?%kM(dQpBf( z<}0`>o^qOg2C~nqngx)PvU#NHWs4F8rwYGK03enad|Q~CuBF?0Tj|T2#Ge6c1w4; z^m63aI_699ayH$L8TkUV2Bx4{#lnu`aTxRaa&3@>d5%I4da)9iW%;tRZn3H6ouv~Q1k8&)q zw9@|U+pu?M+sd28s8Axbd3nFK8h?G#ym4pYd&co}*T662>;CiFaCfn8pgteKGc1Bd zjuVmzcwc6+lT1+0MnYnLm~>i9f0%>*=2Ie0k;tdP@eyuQEfB|^F^Zw^>}U6`_wi&U zJYm3!Hrj3bc(UJ!H&keNlA`h!6Hc1MVgD5*`?X+t5bZEILJZ8tyyn*g=1p<}Rs=^> zq=R$H)V8o_Ehs5MbV$2Q)60eac7 zr*r4+{qXGfd}8;!V}5gQ^L!!gCuFa1g+?3_)#IhMQP)RdHH)OL5~S%G;W&EQDu($% zlrz1GPK4P8)j||}CYv@tkt5NV7_-+bc&MoSi&&sKoi2WUD|xXs4|)ItnR?H3Mw0$G za6$f>I~~$7nofl|m3yYdC=~@XnM6{*rwgg8bwz%&lN0JHT32*g@LFx~vlHWARXYQLlrxkub9`6pI$D`x5)AQN--PZBN@!ebgWyD|{n3fBEFF|t`d-Jpw zQZsD$KE$Ejhp6q`URC+-4RFq|cl2+>rf3A=XUk^ctj`Z1&jKjkGF0HQD>rC2gv~xo z-S)ney2K!r{oZWL{#TGCJIkLuetrer`HOqlf_b@$&5i5=7`@aW~z^Hh1jy%KWK~_bb6eh&li;( zkP$v*BXy349n|#HjQ7m+FA~j+-aK4ecmf$26;=&=_(19F6Vqn7{p{s5y~LB$hOar& zY59!Cx90gsEH*NLbtruzl`&~?0yLjKm4~CEljfyM)iMvB7xe^_GPTPP3q3XX*J+Qo ziOn_WX>=Zxd(LsXCVj|2&u~)QRSg9gZ>*VHi`3TP@Ic3;GxLGNKE(-0G7pWg4;4Y- zFIu;z&%INbQHyjL9YgkzfCE!V3CV$=T+*!fVUqW z;&b}wZH?N&0{x?OU`1A*nbc3gVjp`D=zPQtrh}mD1OZ#D+kyRAp1# zgssk@BFd_V$b}ZDbq!5MOh#2%8Oa97y^@9Q`-+1`o)@#k+zUfzL1tc2loXAY^aF@4 z!QhpHPt1+R+bHSQur_6z)knf}6Nke1PD3iTLl5S4P?mz9k2B8-2q8W0?XNad`FHZ) zgFNXE#=wruy#AIc6BuV!7n(#o6v`&2dF3+_g2vFDU@&_#$+8&Gx;k7G0mlKuMIt`= zQK0Jt4j@Jtx)!2PYcMltNSx*)CtOAU+2qTO2#dH}{P!dBpR?QlXZBrO^vTi2&&tHX zRL|Pp+`1{rdGV9i(r3SQ5c}?p+#3L)>KIV7BPb~3^q&loi*L!NAnN_n^7v2D;}|Hz z8pOVnK-Bx6JQ0v%I|Ag`2C?sdB1JMmM(r2J_P1ov4`<^Ep#N&OKX$V#Y^lZXpSWs| zd_O%6MHO{5J#7smJuNdGbrT(RbA2sPo`{*2x~Yz;Dd?Xd6mF<%ZEon~Y!?(6ncv#> z`^0MYzd|wDng8VJ{lCR|)EX4(6lyRop%_Hhbr|#p6PpCJZkSc?rn%KZa{TgA_NJ8D z6rHNzHJ8XlKq-lq>2{wSF&`SlQ5hP1`M%q-bEmWRKogfcr-2>N>-yn<^x)ZV?^*ZK zJ@0O@`nmCQXPLhN%87k>+wl3$&h)y2%xQjP;bZRTqWQ{fy%#H? z23k+LEEH)viW#PIM82`%q$M&ls`zU&XyF0yKAM8)W!xj~5{n<92U#Dz1oA}Ms>|nH zzHv@{{ubCl@$P3(RY3Hckwjb$S64SL?bHtyE#L+*AFB6{i?d?HE5yNYWJRb0%YLwo zkM?i-&E@z$UvKtw1+pl^!%AlqioBshMlX~TFw7zMJygyoGAT8~x^F*qG*^U~Sic5P3r$?-?R zh}AL$6MhXw99TmrT{BX&*Y_nTB9;m|dA^8`c(~ZUY978kc)U760Zqj$(MgpxsDRn7HyH4TwVE4`A1{d$z5KWL^frI6>H@t*Foy_Z0Si$06>u;V>|Za zeNt+2<;dPlFfHHv93I}h8VhrlB!50DMclK0OV|t+?Ci>t7G;Q#uPx?S|cWbWYJ=QVbejYl1@LV zB^$Ava$*iZ zupi_^cz1dCde65$dfUAJTH1H2%+3Q*7(hG!s=*w$`NixaL{aAa`|0>A0F#~hPoCQU zOH!2h6y5+(pIjdzBN$!KqAW?N3t<8Al6E_v>Mag?1n*}l71!7p17x7kVacgcq2$Uj zFbbmIf7o2iC&>9>Zqdz}_3@prv&qr$yGNj&ZetDC(XiOP&+JnML+(arzwsIS z!@c4|2ceLDPL6E+Frel&y~mlfdASJa!)7flE6=UsD4S7xF?51?F)+nYUo=7!>1n?& z;C*qvf;)Y(?I}>fN7658x0F3*am5~2E`?9Drm8l-%H$=+{F*Z6mBddWc-GUpSFa6( z3wmQ@9eW9v$I480SyR|YA+6rqk}^B{u;cbC@$B|%_F3apDA{#^%4k_00HDQ$Q;2HA zFQ8i5!J3PYyVqZE_~9X^tu=G%<5`$=;d-?dLhI4`(gPjMDx#*NPm?Qd_}ov z(7V@1o47I4MEQ~_y_uY+bRQS@#L<8a_$Uul479GI-L5WRipuD*-19@bP( zgGv?^Iie52Dp4QPXIjza=FpC*!sFx7N{^96(_^b?kI{yVE(#+qP-qv=`-(-CW{Sh{ z^VxJFJ&c^P62~WzqY5mwd645cfJ~C+@YaBlP9o!#hwN{n@=d8-K`wF?=by_=gDLEF~v3NB3R+ppQ7WJ@@h0UUpEB2=?j|ncMpfp&03uVm~Z2G;OU`E zd2Hl4Pxf1(;c?f5Q>2*5$iXo}zVvzld4%|cj(MkSvsuXNDu)x^gysj0y^u9GkAham_&sm8E4O8QXIJPgZQh7Lo12f1JwI)8+vpTUBbo?fe%IrnVx#5YiB?{ zBFaUH8oSQV-Um;!voBYJPm0nBzn_}F0yO`Xw18gFgH94O(kRzM?_8DhTGbHFQ1u_3rIhnpTXsnfT9!yvP@Gi71ZT{>4_=P(+EY8H zMe@=gKD_Gd*+9$s5RSW}E~`#XM@3eomXtL3_8PvKdL%q0lYazR&AN%UrKd5*XALln zrqfFbyG0)n8=-Da#&%lC<0KAI6u!$LeQHZMB7UZV8XOL{+=wXOr!NPDBkXIG?xG*G zcQfJ@T0F{IxxKyqaT49;FPQuI=yKAZr9(tmGAzNhA~0}Mb35M)#{9fyx+}Mjf_3H} zur?hs-vEonJQ5NYab`pq4N3UPS`b_aGe>1)FeL#p08icd0dEq161Fm`oAKStQ5Gku zeB!r5!tqz=<-ZFL5VFAl1sMtDt^y#t0>TkVW9 zVU00@h=Z(@Kh#dJ0(8e=ySFiKmfgp1bJCiuY%ZQ=mtk(~R(Z7c?{0R4u&E9VBo2rw zt~}jueLc?JIe0ESJbcUc#qoXoS?%3|qiQy$WQvl8|6@pOohK_R6J14Fs+(^&{F7C8 zhF(Yn`jv*LNQ{$`37|i=dtS8Goh2`YaEMRM3TD`jB}>7r-+OAW)@r}JyaLReIQi`z z6?v)RP+(kGX?+;nNP1ls&TIJ*I!Y}$ZW#F1%1FIswMDN9V7frwp*&>~aPRZGyksRq zNMXhRLo*g72hV_ryK0pi>yFM&+F?@g!}r1%f_QN#D9Cw@qyEAwVA$D)a86%%eYNN8 zTbuj)^VEmu=DAfvL*N9F97(g2(MN9LXr!cJk5)OstrP(8Lc69@bh-9^zN)%ug_qu-wAbWh0!{2W$OV&DB7Au2u;RqLubK$IUwfkgiw~N&|jB zGJl0<{-Lh?+79{O&JKSax22rief zuq6_pItdSZtEowjsfsBD+*n((K-X&>#P&}Pt(30}8$}lN#9~e!3}!i&6=H!E%oTDK zs-QOPCfaTpeqA$VC&k;%!OPC9%uGy8RX=oAoq9~e6s?@P&7U%owrnN0loW*;gu~(s zpB$R(p2#dpj$CU*bXSTTGr6>W_rZOl(HbW$Yg4dedHLDxdUxl3bCoFX0l4(o;^B2~ zcYoFJ{NQtUY3p_6(SlUayC!O5dLX+LTn0*1ONk;0@x2uQ+G%-PavRQ(8y2p1wuOTgD9Iq6u!5zEq3{2{B16hVey;Z*f^X%1#%{ zapL(?bKBhfz6%$hnm14@*(}h_DRp;aY{=U7qo&1x1LqfoTwyYd<3wFs5o_`x9Fqa@ zw``IwH?7tL*B!2HI&FLe*=`k>NDrszMck@1aHYxh1jH8Bn>{NxMFv7yW>L(!YXqYD za|Yo>>*6!!q?XP~qKOnz0|(-hh*)0tFgyNZ68*u&T^!K~0m*gxBxr}yV@RHG+Y{7~ z$Y5;Q2+;J05^u035#v3VxhSG$spb=yRrimLK_^ zymCtMRLi4er>zn`HUuLE6hPELmxgx3ZQ{eGF?0wRZhF<5w2d($W4(2qZ0VeA-nl#j zAAjfj{T}fL4=()?drKh8U6nhX z6^w5!%NoYW!kP%AcRowf3@~_ibjz@mF|yoQ|>=7mU8}&Y?|`221gqoD-$PkL)(8G-j0i(JeNQFuC@j4 z^!}4e(jRp=7;`Wj3koGU1!ZJUCY?=!zW?L!9(w`ZAh>-pmV7W2e>9qSJes^W5Op{b zdj_K1Q|bSmOY&06n0oyU6t|rO$|czyi2d<3dbK5R>9fyjt5;i+iG_xunzAm4a%;Vm zGMZ?qndoVQ8X3(&lv_*H7?e(;r){CFZLY6vWo7K_;Sv!SUpP4QfB6&s6=wPGsMLSm zA^mR=2Iatms7Uk^qlxk%`C<3&s&g|!^w{`X;ciI>DHCIx13vRaqGH7B^Fww;BbZz* zsG@b&MgZnx_ijdaBb~0?7$eiz z0sZxAhw^3o!laAWmD|KC;a7TJd!r4&jFiRIkPsxo`|IZqH^ZfVVDuqCeR4d*7)Q77 z#yEYCWMokQw3U4oZI6Tg1qTq>{U)$4fnEk82V7pv7Z;GN5|fYrSr>=3+x69qL&=$& zBB+!R)b?Ux1!)(M|LDc1<8u(<o z)opolWyykW8<;JDP?SP$49&nxN7lvu9eJsNg-UJhREOF|N8!PcYKG+-5~Gz@%(UZ{jfhgDeKYY(VV}1cTAzDx?r&n zP&z&Fndaxw5^PX7&s#HUQ~PaQiAZ6iT14qfb32K*igi#wT(wN>0%_E6TCjRqVW7*W zzo7CU%RRq{vLQzW?(c`>ub|6+bHoGX&RMG{VT7)ZSvXMof+>kobC(xv6c^>zKP%Yz zEi~+L$OS`IeGqq4hL7b&LxV@oarq`im9Xfl64Ddud%Uo!Z<9AvUZs)4mD8Lx&c$Tj zHriQXeg$j+TH1AR`U_Q7qa6EPY0TX>3*GxOqWBs3f}qZk+K11n4s47@X!r$6_y$Hp zo*EPg6onyCJXv*4eJq1^#nKZ}l#(etx+zvw>&7g2aSjmY`3B}wduOJ+Jee`qDB(ar zmF^+_3sl$Bz_!>Nl?nMCJlPelD_K_!&HK= zhSZb%BnibO(v`9dYaB{j#phUpkOuV}{+b(~G2HoB;AXrTB~RhHTHd`gPkzq+cqRLt zAP{kaZWrsvF_ zIhk7ca!q?%q7&_0PPMFfEZ_%$uIe$dnK2RCqI@N@;&ED+?^S}8f)l#T#XjN>+N!bo zF!N_ov7O)wyFs`5z>3=Uws&Q5oN^whqsaG*hZ7KJ&oocm*va}`5Lc}VwD{lNoq@9T zp7iXK&nDoj9~%hPD9E4-72i3Z zjCwBvesVYhv1Opy;G^gx5vjk9Wz7_snxfj6pm`odLy9!#iIwtwb@3%);K%Dq^53h< zU!j)&re%p0h$8_hw|U?POzj^eG?=SI8V()DxY=SN?R@i?NFm+UXsZI%^a1 zT6nnEd?V1Te^TDbsEwjgvU>kGGM&6kPvNF;y#EoiISN5#3r8`8Ng|;XUj=;z-Q7$@ zO8ON!tF#zB3#!5hi8{lujq6Bym{-TA#pS(sHw&u^vF)Ff2HSn$wF?c=r`}+?AsK%U zp{PZLV@zG%jqHPBhG)6!(Uv1$s9P}OOkZdtlF;DbQ`sJ(!L60k1fAP8yYt`^5mc*G)O`V8(pH>@%OHP5G4*mpA z48=sKO9x5jDf+d_yaRM<)6&bmG;|d;rvVZ@=v^V z9KYxaImp@#8jvvmMY}pafn~NSA~gX40Rz5efuu@QNT?#w7-B+<5iwY3rvbp^ffp(h z3pqStyCm|466&SPEhaiK7ou)1{*$a;rRa=99*omvcikFn{f0TGBl{Q8Sb_3w2?WW5mpLolAE`yXZXZJ@x-GGL~gL3D)4YB&&83k zz@soDusjV06*r4NObw|q&vIL17M3PQUzoHhvG~yVZmBDLQWdXvfd<(~m654i(Y+u9 zqE<>jh0%=7)kS}`*08d;DrYO`s-Af?e3a)CY~gs9d*sjS>+tyFDc(K2lZoh=*Z=b3 zVf|(lSW{!~&(r4UTDO89x*2RFj z=KgwE_70EBF}euA=gG%|L*%mjM2xa9UF@Y5s^mhU!eWFC{i@+3eTrftAOaH^Z~*C= z4mPx$WX;0BgG&Zh3*XU$a$?b+cUS!;RoRJAP9-Ta^9vuH6uJd-^g8bOt9a=rC)O-^ zD(@b*8umd&%(#+gcDuMPfB(xFH`u-MZ%|GQk%fs4qq6kI!h5bYRD7S{gZ7Y818- z!RH^}ba>tU?CrU?NUG{tZd|_d&O(_z0a2+B>Qec?ACmDHw*;<8%$6L zpFPuH$9&HGZ7W+nUp-Mh--R2A=TEf51`hzNrY24Vaj94>YD4Wg88x&>6b2eyl`S~u z6T(i{w!_JKuJicg+1_?q(oFNt)OPgk-ON+(-ov_-d(&QS^?aa+aby&b0#H04*+I@z zNWKHfk_pipkk&9D9VD%bn$4yS8y1+BnP$Fd2xx|Cc<&K!8tr3|aKgx}y%sW$EZ#=J zkX(%HVPa-U!ij2w%K%wYq^6>CQt~M%MTHKbC;^yIr1nX@>w_F@BVr2T7rsGWvLtKi z@+gUtAJgPj309u$#41apt4hk&0xP99fxlQlP4ix)-y8vXvALLHB-w-;yYC+^`wt1CcH zX9qvtJc8a$Z^x!46}h4$DN7fxEiWS~l6p~=NB5_Q zB>`_1<8~a#v|vfe{l(7A+)p|dQml4{G0aM;UnyXMNh2a8;-g@gpcm#i#Z=n8u7Dr1 zoi4tmWX;*@@w-1g79Dj6?I|eSt=iw^G2IizKl|l8-SYE3az0+sbaWnd_;@tx9v|D< zw_)N7bo(WK1*ePq4`0mP)k2&8pi$QxUeT3z>2% zYNC-OIz4%Wg#0=LO-IGEyh97BhG@rQ@W?*6eo!r{QRRqI7Ry^#(K{$a^zJ)TT63HZ z=F0WQ4A*h$5=o9~=E(_B+eTrBpCc57yNx+>2s-)n1$e&bdO*K+`uP6syX{UE^KOl7 zD4k#=5i^Jg6()4jh%hQ)W%dAYC8)cvkl_-f@4@oTX3$xLExTMIHaXidRM2Rbxp&+% z-=uLhF;@DvclwqfaB|JQymx(5C*}A1arw`Q<{vO`cIH325dESqAm7i+!R65g z&y9^pV0nsYW_e1WUVu%YzD)}a;~F)nON^O#7Z4I4B790pNg5FZ6a3RL0!ATVlr5XjJKb5uc`eY>yc=h#Gi+?m%K&8 z@GX%%#;kn=a~!jmeN#+as;|k1aTpwOCJ0xFT0ZcHveR$``D<2S@}aK0yE|+KYs_GX zd7GJ3Oul@yR#6xQpD(Nsj&f!L9{d@O8DzqG4%m^2cyS4m;>KtDV*nb)XJZ%~S+ zcK%RBOL%B#ORo}jc-PCDx6;`mWGT8Z=~(gD0By9KC1fzdi7>3u=cZl9GA-WpH@lT~ zIY$h{M0f%=aV7>I~EcZ@$uK4{5%i0))zZHRiG7mzs|)6ueRH>yERZARP)r; zoW70G49>;|?7Y)r#IG%D5{tGIu#u7h z_2O75v<%?V!Q&P*Fx4CoVu2Kg4$uEI$jFXYQ~Z8r{&R%;|4hLP^U58pJgiNf%?z#W z%&nSJotEppLFwG9?ZN-#oPg4~Baen-k4NH8$3PT3@nkIVY%=9+BK0NbWbCCu5`@4{ z#*+4j;y~XIhTiY?MI4XDpHHP-&1T=sW?xT(a!yjOLEVur=_rYNgRy%9aogSD%Pj%R ztuGY(W1z0KlB$-Pk+!CZwuZ4b$m^|PuBUCK^Wyb3(NZ-51$66an(Jtq8|qkqD7d?G zL~MK!@XOfm|Ac=9Y_cBo;-G_>4Xy|CsUsEXiPpPAoq9Yg9t#Wa-c$|&jGEIE(!d{9%E&9mcQ)b*})6I``< z_O&|cXzCnaG$=V5clWm!Z(c2L%la|i`1~2)r<0d2Th7hBi2|}QJ!EM#My{|eomGOB zBr1@mTzvZrOTGm(bT-BbTwlJkG zdTmJIp(IZxw;*O*n&S|4eK2{G2k3?+%=pr}OF(Dl^rKOY42krXsX9i7rjI5*ux6;v z0fP{p>vJH_cd*X%FEA`XH}kKZfHHHt?oF2vaj6?)sDTsHf}nlz$clAUz;5Zl-Z1362Q z7)GC1mz)s%y%gY8Sq6X&6SMkzF2b5EOgC$~Wv5FB|Lk7)Pq;_XG1+ASWBop9~DguLW=l!`2{w%mZiACxd4D)w!0M<30DA7zh#8bTMW_ z$VlQ=bbzWTMqMOnSOB9a3o#g)I{=$B=uKD6sY^a}Irk2RtDr#V?e&wxox|<+-u~g> zeoydrMeyBZH6M;u&n7*hl<+q#Jc$rdD|BUawoC_c3^HMp)DRlEI&Na}5f6BN2mqQk zb1YxdC?b+&dxotpJSW$Nhj`I2dv=0@xD~mmaR64!zSAx|4u1@CBLO~Qi_W(B-t^kl zuB*N2k!tkmL_tn~`t_GLqc(zBhv8L9GON8m(Fwn-rp}WS%kdg7CVp@;t zAbu*GEo><7-hLp3oFAGMK6H7ph=O2(R9>j?SFcxMHZDu>!Ib7+ta}$Vnkc#4?ACWp0 z`64b431FzCPf`U#${o)h)@f(EIQ4mF-|2B@>h8WXzgZQY_oKZ-@9`0+ZNM;>W^rI} zA+)-XRr9z=baZ9$eEaFKgSRHUC(YX%AAUVwgr4kwj`yJu^($c5}0|Ei7e${ zZ_JV?HSDJfqW~5o3QkTg7z0-D4nE7icN#YbN?ICb2AUx>xNpf?TI(%v;)r98L+&3S z1GbGn4j;ZNxuCNP{1!ZJ%IA-`a9gt8AOyJ@55_vxs)>fcMCT z=$=X)pMR`k63ouQCz2~k+T1f@qn9(WvRaapSHKPiG_p`0BRiU%hHQ0BqNBI6yVmRG zGOYmR@bv1o<>T(_fyLz!8^awXUCko#eJFLE^EkNtQSa*tNM9iPXpXM_DgI|5;9QooO})dbk`gCl}ad;%h}0H~sPj&4PE z)1gJgQEMjapwfu0(1A)w|Im!lZTb%9G2qR?@KrSM`tkAXBDhN7V5ZG`vwrdFNo;8m zt;n2p*h5@PWGbZiwMZiFFr?him{;R0QMMvD1zIAKL)>aXG`Vzx3=RyiupcSJIL)R; zAHIrvIgv$^=4?&LW#$^wqa`S{I8SKpO0$iOuBo0jxw~EV4rNWQf7|;ohXA1m)+KVF zik$)OU7=0J$B+rmOBR&!$U@8)9;^`!jwR0^AZ}7rz)~kRuZj8Ai|%bUnz&LQDn>tv zBUo}uY;7ezV>=;z$S$qwLG(&#pYYzN@^`1!9gDLEGCKChxlc|G*Y`;XohUWWj}8~B z{W=o%H3YzpyPcVBqWJL#pVs?>+gtniF@hB>f%b2!-x<}%Mn9Fy>KIeI3dN_15L6I^ z`~;&4PDL78Fs>1+L{`64cX3juTOq00C_=oUhR@Eh6#*?zt3`ory~1b;&w1G`*&wc( z|EI}V7o1F>(<3Xr{7^$j4I50#}6cdd?Y%8qIJNLlbm!N;naR7nY zDR66zpIABtwgt2sI!VDU83D`oh9qa>@Hjhzr~W}%Bd=4_VA}1+y*Y2bQBxmoJY-|E7-mwnz4|>DR=+t0l?>&kkk)46 zgmna7P3d|(s}Wx(&&K-4v&*er2Y-Ki_lIjs_X)OwxDQ5byn;^?tK|gWjMrowXu%oN zA5NA&7|dBvS=8@&S0+)2g8e|HNJM3kNFc_i-kQU=inu8ty~=0Fa@@xMjDRI-FPTs; z7SqF@9XynNj#wznn*1yYK=6AXih33do7B@~iNiS;mGa>>t0d{V=lVRjziL(p^kixl zmKyl|#QYWF`Dah2UzLU&^yUq;;P~*)uCAVKSwLX<k9;a6PWb0wyhn*B^Wd>+2T?RA-4K8a+qO3x{VT#rBX5$9ttoiCs==&aThR2 z`o2%ZCcmzH*6G;s)CJ}_-=N7eH+`oEqv}F<=d#5R%Yv$k9BX$yilCU zDxRa^4|~3xP6}6So5zKBnKPUZ+2W~3B~uQkI1C^~*T;YtYdeP0fcF&aJ%PYP*qiF# z$DnZiCG7bxPcljvkKRvE(V99|nDpR5qKP6688^o}-fV3o8Hbc@2Vq}r71^^)^&kPH zLB*5ZW3=C4;Wj+X@%7J+eRozaSRyN^Y!v2*>;=7QTeGo7SBHOGt2hH~t5>;%Ec`oW zIy=?5R^9!5KM@hRR}1>@rfk>8x_+<}F;CVVDb%5_r(`qvsEI1}gPMgJ3QBfSIipU8 zt9O?z)YQ(p8b_nD%cIUNFVSJL;#_^6No9=2-4{FV_)xg?GnzBAQGgx^1omvxTgo#b znmfD1MDwK9)i)=zN$YD@XX_sJI8h>`^p*!A=(+6Wbk{6#b(Z90 z)BAl>gDb|4e#`{%;`jFQ`ULXVIta(XUd(yp2RZMyCU~7}p@e)y*dUT#vCbdgq+t*D z?kyHiMDl;t%9kL8BWZeNmmVu7stRsR8V8Hj0v=q~nAb|3*hK~r_R%f13%2oR!*I5- zFvjP64CEzcLp5UfAR*FAC-#_eE~}&CnaTabUg@*bvBwneXAmYo?Ubhfy}tYDg>QO!Q9M(_S2~z88GlEbszj zT`HH7np6f(4BsN%NFH?+r@%IGr}PUh4XTAEIEOF?v)HG#Q1C*?Npg}soLGshO(j7o zhq^#YlWeL6Nt)qe(v-~(44_K1@^wlMkASL`EyQQ%~_fsWJCh7wwUac)|X2I zmb)pXn=Q(r-=?e1fCuI&ou1}TcgNRrRErW7bVB;N_m9VYs|w9e1WQjB>vuIbe2xOz zInN!frx&B!_DoE^D?&H6?x1}vFW1_fDmo>;d3LY#{fyT}IdYIx-q_x7HJY;Cx;xy( zeSmscd#m>z<&tITvH4lT&Jmqzs&MqLdgiA}URtxED{7#BKP!I;dj99yg0DC(qpF1S zcyLFy)SzMcdP}}Y77~JF1-N>$!#(=_Cq7jbE*mX--Ui0!K`~e;#%0VZ40!z=xU}IL zUl4m4b8nsWZLPgLJUf2~zGbS3{;?|N?7i}k!P%*hc@W$w1jDsDyhxPQ*>O8_dwOoX zaNv^5B)}qVXDo75HJ>x|o*9w51Y1OlyQok%-HDpUf(=>Y^->|YF!;($4xo^c5Gzn! zjT}NTtSZgSa0z*_CK-K#5RulzSelmFiJN;Ai59Mqaz0iq@qnOSi_4aK_XMdzsqt!k z`ExmR88tWBG#9U<_S}8anOAxbBt6SWM6ED}Ux*|t4IHGLDjP(T&^(`@-~z$XVN4T> z5J5Z9$qMf2k%pSy+@l|nr3#dg=39&`(h@~m9NLEY1G(`IKf#C6WU$xf>4EUyRKz`` za2edJq>^!8Nki%D;Ak6HV!`s`z(kU)aXQGqYo7pz1|uUHHDvoNf%J-^)PYY1cAmpN zL~bP}Dy27#?uKbq5U*yea&+07o9q6igkm-n{3A&INATqrZTbHox&8d$ zn8l5YyX%$9&7sfbr93B{*RYXNj1uC8*d+#%K4hqF5p*OqJPR>wP)P&~ni8siD6qT8 z<0^k2SUz5ZEPv9565lkAf=xukG)~Bvw|bPPe{vkN4a=jfZTo|qaf3QbTnk?%7Y0y-p*uwUHwoDpCjfJ18JlaO&7vzNp2-o6|3NhB@mi14=!XTkXif zHpeNa&o(ti6Wxx+3GPP0XoML_A5@k?)gueQEw+h0i}I^HurVrTJG#)sDokxsDqMx8 zSKhd{91&Jlw+m_d@@V^df4X_R?5lD2_8+r*7Fd0Lyq=ApYZF>sYUf_L#dC1F+SqPX z7yAON0l27=_EuSt;OJM{wO83z&afAIM6$oqq# z=);ltgMrxHzVPFb_=|5Zg!~1PPp4haf|5~EufC<6j=kR>h~6KJ+xil^{Mmb@&2OpR zJ;qT(T}e|%)A%1eZmg|ltfy(A59+Vfw9r-q)mehlP|QGh9Ap(Y(X+8Iasd(YxcK7k zzRBNf^j`s<|Avs0gESgM9v)Bb6uuWp0GpstIFm)BnR@Ll%q`4?I;VZC(TgZDo6w@l z`iL-!!<8)yJa$L3)_O&d4OaWiwA{>BJ3LQjX5?*-W)9Tv=l3t70hT{jv;5~qADe&J z%`VCR;A%tZthkl)eV%&OM|pbqT)L`ZuYI6y`#FlHZJnG=S}IA~)My12j-DJN-MLei zg$MWa)tfenwZiumln}9>OC=7I1MON&vPYbZN|A&dAPU|Hd&J^JwoDA<(xXm+5FWzOM<0F)NgHBobIH9!vL)=@&)Y(Sc+CXu4E5#j(Yk^YS-6`(w z?(XjHg#yLhi$n3^wkS~Cbro4~7W?dz?027@r0@RHe903+2tNp!Yd-gV%`wM7ssfz= zj&0QK?aNtA%rVt+B!{R>gV71gJLcQo^}Nf?!^fj8!e_V~i8o+DxJ^Ve;qk*7{+g(? z+eAA`$+(Z5NFe^>1F;UNoTfOJ^bbQda(eV=2MBU+(yb5J(=nf9T9T>5DXe;qo74Sb z^@+ND@9lO*4=*oY&?nE3bjt4w<}aboKatdM{v#<0jIv%Xn4$jJuU1{nFtX`!x37)MkrhHTv;Pp=NNS;iwY>E4F;<0I9>})2tVR1eAOaq1?F8jE;6GD z^5<1)G)z_ArJG+VD3sQa+~Yl%5jYH^|KydwA{RQKeT~ANqm-qb^-AoEq~yEt@04S+ zMUt$fuo17)QY52z#mEt*2kQo;c-zycL{e-DH%V8CH(eBM(9oC385gs7)VR{lGy$<{ z8rjR5Q&l*DHmegpzlUhV800OFHBrrQI1dfI?Dzb5Zy z*SAHUEtGWav$fUK39x0T~;lNm$1x)zRmW_ccy!OY7aso83jS7WMZ<^LO|s%fIJXl!mJrmGFJTu#oYcA76 z?LIVB)7|R0bKkUs1a33*EYcajtr(uaL_YuMEclN$Lm4*(R*<6)KXcve?3gCoxS6wO zPf#yE`dKp01slvOi~8Ue4hMxdN0@t~)7=)leLJ_14R=907+i2x;2-*c{vMf~BrNkR zeY-2(8+7yZ>&~F_J^qybt-XH6Vtn#C2JAI7St8!F)(_qRXSy36R|;u-3i9hE8|hM& zBai{16VwkRV)A%OH0Ux5q3SAR>O$w}@to;g=*f^)`5%DWEpimSkv_5U?Rk98W4PL1(?T8Oor6M#UTJe=m z4|Iy!aWrP`H`d}2BDg|v2KCN2sS8tOz@}+sj;XA8zJh=!uj}(m2hjTI z%BK27!Bl(Eh-o1yv*^bHUv2uv3#-A3kVURY9Wkqfrlb}rRhiXkD=`zw2~trZsw=W< z&!5p5MPiCenmrFM>nVWd+TU*=^ZX_7`6mjSf1+}t1U`E#C2+<)@pC{Drc#O2t5Bp6 zFbEcw^4asGxwWz#c2o4gANw(qfQ`OPOA03GuZoI75QQ-6a;z_|+iL0o;mGo0;ZnvVavO>tBe1?EbiVS-`2MoG5h1*l=CO+0X+(pwqA6-peF7cX zpXr1!^Zh%Ulgz~_6Ko4g6DNcPnaqVdsCAexm~;hf%to};_-TK z6%H%ld~M8>O!{xbg8Kr59hG&}DjVi6qIvhp=C%5z(BZ!$XsILQvDcHV(iH=qJ2ZP3kXBRyJ?>#&&!fe*|!P@4d9*)$V>` zR$@^Pgv#mkTF&yaKW(n~(u?W^!+^+XH}|-OZ8M zx}Q{&nCNXC@f6Wjb>?zYgke5bM3`Z0uf+C-t~cslYj{xTpzi7M>=94q4~8={xA=Dw zzuiDhw-3A0_(+m(epWUbJ#3``2OH`VK%Su&0ha>JiXe%N870b5&?~-#HeC=t0vm=j zoFoy2^@d_JB59m(Q&Mn9ckQS^4-aM?zIGMi;mzDx2u}gy929RHJA?L^uNn81OG?(8 zvX)#cl@GJjMa^GWikw#X=JEADA}rBrQ`bw0W-k{e+Cotn+h{XRObQk zY^qGNC3t(3X_ad>HE(??DKCZL;b~i-SEtQ;twc@FEO$4sm@IK(V}Int_2l{P$+z46 z8T8Z5&xKpiN0V5PG0wh+7~u21_2KsT?8fEB(%+R=OP3$y+T7#O;?4Owm-y%G8*au~ z;k_{PwhE+mO~?zYh{W2JTEsp{J?mYn@m{&Sw*xbzhye#2u^ZCvP&lzR)dm)> zU4Bn!6t(_*P0=(^F^DT#TWPn}hQUowKE)T#9vsc2>i$t=Hy#GIb$|yA1@mww-VWI zN(AAOtR@d9q_f*cg8<|oa+&oMqt#lNucdIO2njTm1J*|}bQvkuW^|-f@fayMFv!7i z(9XKhRKZ20QSinyA?-H{9ozLiP0J)V(Mno&C~!6AaHc(y)+p3pTi4@&_6kGAb=#m) zdLtuv{c#lj1gXfFf}Q77!m-IolXtmxsNd3=^ZXwj?oUksmQ6ZUjIiMk4MxWOT8F5x zO2n|boUGPyBj=xIttR959%@QU6_v)gLxppe)L7Yw{Iu1P&`j0jm$6{^1~6X1esZYb znq9+rqNSxr_~Hguhn$nxK}i3C@00jSj;$21DI06oTr^8`+b-eVp>X=vwnq1XzfC}^ ztKDn++r*K~3pC%eke>g2-TWO8`bXJ^od3wfq>TUHcc$mnnB3EY!&9#{FZU@IjhjYC zv-)Xtu`rC7X!HhjQA}vpL{cUZXx(21LD7K$Ou_N7q!{GCXugoH4TgG8x=#w|6Lp&Zg$~WcqRRZL9lL9K|91oQ4`lHlb z^~128+|Xu@(npI^8J?Pp|BXo;?w)%|!TQEDybma&>U))PG+!e+P&D4~)c#{pXO219_Bn z_|Ytb_`*vCnzUl2(@w4wIMVWFKdI>;aZzH!6mZNUDJi3j!r)m3$c#nVVc{59mOgtB zOCSfJ`%M_t`&bgHE!PJ@*$@epxvIs5pA82;)gH$lda)goOrRcCAd3A!k8PW^^cQ%l z=UkCCJ12*hXAi{V#Bqm|g>n`}Fq5N0%JaduS8#)M?c&SLc`L8b^Txd+d*4rEpOhzAN8AAFb#Gh*3QwTCHX0Rx%@U1&NXK`zIn5Q!|oCa5Sn!oM$C5j(tJ zVxHH$CZG6Nez#%t;L7ejSmQCq{rYlV)pnh{iW;44?TiNGG2KrmO)5MPT#fw|97QI-E=&cbUeGuiKI_7X; zeG&087x%iNyU4O;TTVHbacZEBaJ7e}n&qJ8Y#qi}P+FFH*1WoF*nHT#{@4COUiowC z9G8MW-tHb75y zpd-M^_VTx<1~YS89$ORJm`yEeME!4gtX4J|Xj+IHacV@;VmY_HUkSeBD_52j8BRL( zCKt+bzJ@1sCPG$5=GY|SiTu9M_M%fWh^-s{eardxH2D7l>b!q2%H{emQrE1EPd27* z7Dg}j;&!IiEh*q=O^=mE&mSEDV97Z+33G2a@?<;~tRg>~OaKea&nFYXKI2#4({8}_ zD=&I;u-OXuNjMmZKK>SaG!h5?1`Oc;!=fegdOrIWY`-#}b~BrLJNsfUzCRLmFdVx# z7z1ec1*dCncLvnQnHs38X{hRJftmV?-W+T%ZlVW{)&$GURbPx(wADbvRVt*2}Fzn>SZhU*bb+o%Sw4DD)+i4+62!;daqRzpQ4Q^8w?QWarQ zT0BG3#lK{E*i_Nc=4*gV!;`^;l_R;2S?_KR~tkSP+(@h64#j+WRyvihE9FG z?SKsN8Je3dmM$<{79YZpOd0$uJWt_BNnkBIF8s>u^0cJt7D#uSf^X;Uet)K* zkhL3{NgXcjqK@S{pMos7Bo1X>Ti~)J;m(!IF>9t1i@H0p1NK&2uBUfb!yi8>dV?b4 z5#anvikKCLlZ*eyZ0bX3%gNTS6YH`JtZ19IROLzW1Wa6Np1>k%MkDE8z28S5Ax0;+ zdzRS!FOTOqXyU!i6|rHkop)(Z{7b(EvxiKNMZMaqAW}7D%|=#OEv5l#plzL?F)spa z*4Om99L;wY^uaHDB?+19-+%i44ki7gV&!zj~XnkmO=5UJpNKmiFSFrQ$#9-&>sfwA| z&fm+=xQ^TV;rtNbztC3^wAEhLN(jEuARp|zp-rDvbO*ZqFAjQ8)5Qr15i$o#iUDcGM83^BmTo8JB( zdgX+;n+t-pbyS5I-FL_DGTOK!OYd5xQZn$xED)>8Klt5MVWg^bs3oE95pryNnd|fysR$Xk!ONbKIwJub{PXAC- z#=OCdnk^QrQ;KY4*ODDQ*de4GN4FDFk$D$a5f;T=3mHANE}7Gz6G0Sb@AZ zbmz0G9OuQGUek-^K}4bNBEpY+!U?3u;JqYn za-+EAt9r7#n)h(11-d=#u5@~Woa%YKU2b|;QeQ9r$7bRyOPTxMHXYu-!%hF_W%bXd zgPky8jm?Tb^xXVCH-6&SB&l)f)1CbC`XbCF#;x*6jcg)t04SQ z@8?@`f5#up1qP6Y%w&+1#FE+wR#Xk4HqOgCPRsk%*j4koy|2SbsoZOoqqU=z(%gbj zIp?GqO?`e6!>|)(=`#g(Nggp6LPxsA!=nz=Cg0ZAy9 z@0}nPMJ~YHifg>p()Hrr^1;&H)vea+;&gQWV5NuoW6OrSy?^K9v+b2vw=es~6W{71 zXYOOBz_Y;muRBK{&v)JTwQipEju(DDPi~K{&okNP^QXp~1a0kVRC2vz$X`N~8|e3% zicqt^uaIdKFJaOQHKo>JyrR(EGs#Foc92F#dgapNxh0;=Si~Ub40in7s;htV`?~o% z?3DFS1~mU{J1@7>myOoIC&>6aBt2mV44opl-U4dyM3{t~ufCcubF?}epZoI+bjrHL zSA3;TXnwEhVp4jZZrrHSN)OOs*WyQaOVCQ}k;bC8#=`se+oxw*zz1F6cFBX^lCSUO zUeVKC+oLP%qnn-nYNaZUW}Mpa1$`jsZHy$<0vX-ubkvOPpbXyWwW3LCHFC#C;_(fGfm*e7m`6TvrwZT5Qe-i1^J|EA{iC|ZkPo=!y4j*Cq!60dh6{Y zK?H}zHzmBd6m(Rvm5|rJtd2Gt2_{zV9l9U34D5|vcN%pc8avwEkDTmxlzSGR!KE{M zC(Hl)()mjm^-oIYpDpL*oP(u=ALgIUIVw9j{xjz)_d#bWN2;``MD+rb9-mpWgq}(J zbI}Qt3xy`ex@c#h(ibGhnII%3yp_;Z4Q;S(subd(!{1%1ydt~8)Yc9Z@B|) z1u$iihD_=*b(%jZx%&usBkn%TC<_&831axds0U%q(VO9<#3qYWXU-}PDq1Qd+VIkG zx;m4MQmH1X<(MRCqnM6YXwHQJIa~2Fl8#=C{gs*Nvi;P`v5toyx!kKs|7{nvT5a)!0E7xH4&T`y4h;-#97~YUH##p}n z*P6qx7XrW9)gbWu()mjy^?x8F0CycMuw32$2^Mcl0liQuO7T!wqxrV$;YKfMwB&## zH^wr#nI4w1v5^(Yc-@68hz~D_LFhdAq7wtK*tV&_#;s)a?8UKUIBXAQX{doD*pErtRp1U@yrmSUAn7Sa{_l@Udel9Cq+2 z@q-b^4CuvUu)OoR3`f1{Dx%uykLq~{(}%aMSo8IDa)!|{Q-h?Vf@kJ;OdVOEVK1LC zJ-YNHZHA~BMbQx|z{|@EC-d80je>-zuw@O)H~07JPMLcI&2OWf>NJnhV9yCfWEWsm zaF>dvK_y#oxj9W+5TV|oZ}zKoyg#m4!Cv(pSe&n9Rad{Vc!krQJ*fU ztR!U(Z4qk(H66`qUFb>!#~op9XtBmd?Fv_=!f18$5GL(c(0zMk)3qcP|)At^>lss zHCVMS*CXJnpg!)hb~AJ%3cyLlYAS5o4h`)K;h(m^mA+Gy!>no-K_gRbmPuFi4=^}msn^$)I=+kdg=_bag!h)G3SBqtA* z`D{t9fk~%KpCXl!p$r`!SwLZuB#ZEg2PJ<$8A6DWv6KKs9VQ8pgCdzN>{29efZP?5 zER@}Yd;oI97g@%Vh?G1C(-iQfXrof89{}*{;r3{L*qtBSzFQNGC4Gh5*|qNJ@1(rQ zSDR3-tc2jvs3uNuJXt+jz!I5AD9WaxgaQ|Qs$QnnJXAb$Y2(?h>C(J1-K=p&JhgG+ z(h=~X{9_skv7Ms%*S;p{6&sEG_kJ0&LpiZ#*7AhAo)|RP2~o1}3qO;D_{+lCfpR!f z!nQxcGzQhK+0<;TMBeRE?j6VJ2mEYk>a3tmaMg7;p91R3N`%i|%xw1d7WjD`-S0h} z)FkuG(rA$AbT~Pi?z~tDsIbd+|GsSgJxl!)2>(Y>ga2$d*#BwyV*f5X_gY>-!Tknk z;%?S%zBo>v(KRBDr9s9k8R5a!w?!2MPab60^|QY~l*$im0)virM20c&Yyd|r)-_zY zrRED*<@K5N$>2IGo9M7~zO2o%ZCiJ3bLD*VA-iy}WL@4!)HvsDsn$0((d5vS0qEiK z0>s+s@k>=9de2g+a2QEDvRRHzo=r!j77A>M+)#M2bVZ-G;E!}sNs7t1lL;jww930q z25K7tXPJhUS;8n#PUlhBNw`s|ggL1iU$hrGzcZGNCsGGgoQEQZ|xZubq$cU;`BkLK?m z_ZRL)cQ@i!pWmQcLoZ&*{^{Voq`>O)h~j zGV!2>4WgBUQA7|8@#EKincO_MHvoQLH2)qQ|9=MX#RZi%W?%qsZ=!2yV`9~i;<{P~ z2Jl`RZ2^0O5l7#^3U%<;n6no}J2=5J5&VEL{Pj%Q_4ib;hs)VS(#d$j>16!DX!OZw z+`(|%;b_dsL_9e3^I|&vW-jw~F7+kib2bI6Xg?cI*c*!2ACBJXj{qy$H#!3VEk4N} zA2pP;G}R2i^!>&8{68e@x*BFW>ZV{hyEfSQMH&1*w4|`Vh&XBMKOCQI!x|>&MU_$@3t!|@1xP}@YVQJdp|AL?A`Xehq}9)?z=jh zZj$E?g6F5ThpVbzcjc{jEZ!|SUS+eMPjj@Q<|q}zWW3<>v{S-sQp*U89Bde2 z#uAofypHXz%_k2YUVJ&0)SbhL%NrxeR9yHLWi>ET*HTjpFb*A=i|@8xIUCaB$Y}Yg z%ma-Vno@UtWmNA!JtdUC=<4h0>FQZWoC1?Zh$2x|W)BzqT~bkLLaoUA+5(ZWj6)}i zyhpR<=%7$haPwSP<_Ci<3>la5iT4~we zM#FNm{3VY1?~jK>|0!&L&az-%R{?#l_^75EpLrnR3Y67Waw!~-2xP$|I~*EB=V+H9 z4J2(<#QRODP{5rWC z@1FQjR~U~OdIV`(FQ#)uYM%=G(^5M5sH`@F8C6TDWmQ{FrBoW1$c%-y^az!^CI!u_ zwxUeA!URQ2z`o0I_gd&IW2kI{_JwracSDc2h1iO;03PnS(vpNPTt0q7~6FQQXq;(KfpVD(^!mxHqsmZ1z*y#fi31=#|Q+vSt-735B zx5km&EPn~0{`)OQO2t*H7ZIVj{|BLSDbWpbMB$5a+9YCTJ}OV-?E6G`nAf{O^bG@S?@_$80p z3dXn%k`o)-sDD1G0JU`hI+ZR3CI=V9C_8RCOTlK$ew0Ew7(^I|N&t_7?7n#>vy-r@% z*F=qEf4h6d&GMHJ>K_c?SvmfZEBt@veg0W&!R)#MBqFBN+!&8dRBBe5@ z3`HeGIOsDS-epHL$4lrMFRH{1o7<~w4)HDk0?|7KGTF(#HGMA5btimGU4c!@Oo|sX z)J#5_--EugFbiMF>J*z89Bq~JqqsyVFyHsRgy!x z;_J&090XT{QJgWf9?e1v1E+r5(&JpDvaImRiQweu;WGd3^3|@U=1frL#^b|*+p3Q3 z#>5Ix!|z1*>B8*<*z46H`0&*JbnCQpGI&%+I6;(ua{56>{YsUNwc3K5H#pz)Zj03G^gla%b4 znWd4X(~XguwuHyRlqG&2FnU8j&ZHJFyq=odbo9*eT=VwZQ=WcsCk7nx@2@T0yOd-{ z-|U`+Pt>$zlMH8{F*9|afZk6%`J93t_w_X%&TwaiJL*A{GF#LLdzVS3X&e>e+)4Pf zm~f@&A!JDi&Xi;6$Q!XVsQ$mwg$ z{!&n|>IBL=%(&BqsfJs}Z2X1ZB~|H|g%c*)pF_oFEUWpQe!P6VS#Nr>G~`!Xs&h$f ze0ld}N%VbPK;PE0TVAY4Wu0aJANBK>5bB?Z!~WTIkYi;Ou!V>Q1hcg8-1h2bXV3hB zwH@oT{c*2Ee-_aa>Nl_w!y~{^L1U4?p;*Mg#nL!niGD?b0|vmwBfZAz3C>leFV|O7 z-Ya&0n8J;BON(>ImDgh=vSaqst8pXgm@rlTPK~xo39pzyDMVxI4eYZ_C_+yZO~_#Q z;z4eKh+WBHL`(U#1Iqe%75g0q7=l$2G?{N_ihJBhdpBc*O|;I&^ovJm(`E{BdIsqpMe=rAEZ0KyY6>e|)!(#o{6#mUj( z+3EV+=z9MwzU*0kv1}t>hj?Ljd(%W!;g&=;J}lY(CcnnIN3bexV}roI)4kdIXfeFQ z+}m1kgOS7rrQ1f;-WvGW+-1l{QT2*%xSh})=RoFr@{)9tvkhqzk=9rF!kj_h#A`^c z$UUAUj!mfyBT<4hY-gg)qxtVIo1a#TO%T7kRdKWY9e(;hxK&Xq@!8KRVn0PbLg9v? z!q>4hW){4bMgEny=jx3$ES1w-NW~$CQSw16;M^inn+#tQ0~1SES|)hp8$({HmG};o1cB;1*f(bTj0i$EqhFmfGx%C z_0kU87RO=HvLhVuIM`5#I6}M-N{E;lNIvKph-((IOZZrA7)Ik#q#QFvpK;whO@5aCIQ^r5LK25;Ga#(^AuZkRwDhXDe<$1BoMb92 z7_nyC%%|Q1aHM`-lyWi{;Xs=`ucn?jQC?k%HfG9BL_sw`{&@-c1Yaqty7b34iJ|54 zX@7LjdQuqqmpG%7&S7 z73dkFVy)8~CeuC5Usi0I7+R_~et~Cw9n5mS9oTTQ{3Uq$CvU5NcAFOp_Hq@s4f7cv zf5-rWHEis;cnfCN1+`+}h+TP6-y-@X(?{Mly)J)KQqepubK?c&i`0Z+N-jf@v&$6t zjR9AB)cGx{;qCfPFE=LLe%Rm4{mHL=&+*2v{@%m-&hFCMYa4iA;>iZir#NgW&TR;0(AST} zec&?>FJ@-0@%8hwd~#v&<>BvK^>^zcvUSO2$~iGjxC6v!Hat1+Vlz{@g2~-(81I|s zy(||<^CYT1pe%MIz8JC*9X>qcVdp)#YDh1ewtwGh{ti23{r6)&j{ijN$)~P`NP|sV zc%NF2q#XeuA9ey7c7ihFp#;ey+;TZ`RqJw5bQp~EpjIpwm2D{@o@n4{2QbHZDmuw_ zeF@O*30#>!8csIx^=f?T(0+cW^XyUg^qvpI^$22pxZ-`jd;j1ymrE40LhjYN5p702 zMCJ;qGZ(U^$_WocT|^1NQ;8lP@+y?FmtmWevbr}V6kY?5OPMP51Lo31u}$kbK2_>K z>^MBdx35~2n4xgA;>oJCoRLZu)g7t>5@Mj9m4o^PC3iRD!1 za4<~>D=IXZa9sr;6f(V5QnM5!1Ehwp5<9gB-ik@Q_rV{o)%+sz%G%XDZV=UjY?afl#_GZx#O(Cr1Mfc5>;{+zptHtk5K=JyZ^}|D91nIMX{$h zWWW}_aOcley6el{dy{J$&S&e(nT}>|u5KrzBoWGmmZ}j6)4_=MTOuWuIAZW&BNaAD zAp^?H7+pVMK^W_S{ef3^eVYz;0NcFoM{+_r<5!$WyTYc$C1cx$luaG0*;4e~tlJ#d5Ky*MsLcJkTEmR3?LL};e zJH!d77$FEQFv8euFUd2c{KhMk$6WdFq@f4HgB>IL!OrjF?OjeO#?nY(R$8kvoa zaa1(iFnf}9;&I=jx2WD|-Q9W5^&6m0ZqS7o@cdwIS9l@vJLO0g`S&?mBf{}KLdPU! z=3N$}&!eE)V>@zPzOcSUqR7j6bc%rTVcIn8dW6|WFm~6tY6|{+&HQ^{{NJ%V+aIV; z{>u=!t9v{pwIVbm%iTFVCN#Rbq-da{d4J=#Je!;K-=oR@{^zms{=xa2>%Sxglz*9nhv z)XNuHe&X3Ucs3A!G!_FM9vlwG?TWkrx zu9mrhwuO<7ow<>lhf7pUT&N-qj)^ruL`BOLGW#~4yk zBPIZ)?$oL0iLsfvxqEU!Jt60}Fa1GEiO@LEH}pYx3T=$WH^MX6>l?*~)*dl%Kw_d} z5&$^$`%?OT!nCLD@VV>gng7h6nY+h~TfZUO{$OM3{>tg;;w1TiROXxqjgY@GAh$P~ z#*DnC05M2D@hh)O9DE83SHRB?_)G8hGI9b50+3}(D1*iW;4O5hnk9tLp*rT@%0Ndb z!gqm?}R|=(@ZEfD&-T#`i0nuLvJrBp@Snm2N7qyve|JX<;64G@&)<4ubh^fz{h+T z!FP;tZhoDu&%SNkg6$xOy#+w-`ZE~vLd(m6e%l~e{}QVEgQmgy-(D)R9~I_4;DcxM!T%FK|U-(fcD`SlDVDmjPqdUbN=5&HMULR-EG#w*DH0-Xs#dfH8 zh`!508g)-9N!kiS2w%}Q%(@k;#u@tqF9C>{OPx zSTwGdg5S#w*O{HQtf^+HS=KHcOpoaapP8zKoswAnrM#*F*+nEXya?Hp8NCgo4M*%1 zg)~ZNpw5RPvC_@0QYk_^J!F%tbUba7s4^&;(sC0+({jxfWJ0Z#f-*7`&9$=~J_TI) z=Cem1b{-JRuMU485O8VYemB*7*aJ>|(pR5CRi7MYNM7GOm^`_f0#fIP^#D-(I!{S@ zbof18k1Xb%yIrq58eKTFADcb5kD`xANG9#8bbUKj8L=G=>m@1EhRLFZBVeL&Two5Q z1tEzXH>8sS@MHw-LWkqH*F8f7wiW<~-e1_T)kY!F1R0wpE5!vwq$XMq&5M-rwS==&*v z{{f2dH6&|Mlm;^fWb~K5LqWo@=V$|qfKNY!ER%aG!Dz?E2#;kUFi9v(K zB1e@}`}AlIdFj)&6f*k7d5<=oYq_@OoAZKPS(UE*!{qHfTY+yWsTqk~8z^3Ei}XMz z6^u01`Kvo4*ETC^Dg3OI-K)D=TK>e_!mo362~Pf5a9E@s{JE-U%z)JX?<#z>dkHsw zqP5`%>H_5juORyh4n=CY1AD_oT^IL_--PzOj_!9aWd2&yk%@YV&(4MAT-d;7zAu9y zU7J3vdisW6irGB8CeGZvtY!+2=GvlRMeo7Q9l5Js0Fz_6>Lb@fCQn1GupBymzs!-{4z4>+)14e(y46KX#Hw z8!7Rc*yc`XY}ht}KO_sj32F-qiWf3Rq&LAtboPVc2y2QqWsn3koiuVkp%5xRa@OZM zctRvU=U!k9L=B|%Egq!R%vWP*0-!afox}JBoyM$bzwB$)m6RuQBr`Vo5K}pZxgs-gjBy_A^YRI)5SK)~D{jxi%bHxR zeNr|ZIg)F}+<}XKm{qztu>kE!alW>H@@?^S^a5Uj7J3?TmreGjJdT{|K@TTGH5%?_ z+Yfj(+iXl2ES z>XwoeRTz}MXp(_r704Z8R@Jvup%LH;$wJBN$YEOu&J7fi;2PCqNk@y1Uuq_Qyy^Gk zh4t^?I<|k`cfhi>Xe_WmKltfY{Gns7F)lL(hEROw>HT4*EL#J6vdQ=mW0;ze4)s`l zB@;0$bZ>ky95eAiFk_5;NYH7um)Z@$I+carhlk&XqgAlgZ*+YkyxO{BLt}l)7HI5z zW&5A5%Jc}9MVDumIOOhFl% z8&6J}fzBgAPI(+Fz_%5F?R*c-4m40x?lnOaFD=>%Mo5Fo&nO90DKtuA+HFWy>(ZP5 z*(>z5cW!UFb)>;2YpAZmNjG(GPtH>{DxK8mFvwBq=L4vlKK@e5r}MM=jSX*2p2nb^ zx0h3=WJ+cyIvkSd0P%PM_9~|VgyZ`Ox78NgRi-0ebq&)QjtBlJLwM~>3D}Bn72O(v zNLe6@rq9(IT~nn5vc=DOFeig`z^@+)ZVr`#1QVl>eEA3|;L&KY==j)Wsg2KBNKu}k zrGsLyf|=OkKaG41e^S9$$co$6VqNakJk_(G1a!7{@^o0Z6%Y3>Hv}`6p>^BC9hJ4@ zuXVXPoK3!15Pa{QCk*n^Vewe zfjzdjJ$5?J=U-a*>axeYxaV{M@v9#EuoRvY%MN$J%2{=$1a@7%>MHG&u znoO4896uJx&gfjlY-*}$j1%gK)>~_qYSn+wXW)kQ*Q?3v*5dUSY^NVi&p*@kY4=x? zHB#TpM3s0%r(ZL<&4`b%`XF0OrG_x}oW4$#en^PLaBz!W%9Wp(@ zunqk+AE1ll{r>It$10(UDh^h5vPkSmo+zrOAWA4IB5`<&Q02`Q=m-%Tsz}}ibo6O> zA3Pxvm3){9gSwXU7sJ1L^QPYhfOE6{9e~I7?*SfmLYFPgzkl#acaE4sWtCz~$q9tQqO3(%{U8)9DiVw?x$m(UlV|2;?ssN|#Y3xW|n!z&T+PS&?2Z!^L+8lL`+bB}T<#4m3b>;i8m`<2#;H+elNJ z#6;b^uW)%&I?!m(FYBWGvtikVH9wDNF(|rd2`d#AL^SjhbNLMWA|)l0csw4I7*4Ae+yUN+nieKmM^ zcX?B3=Gx}tKvY8igrE2sn|7VDtByv~0k~S?%>ASR2#=1qDk*O4xSi^I<17>D^fFS%XnZXWuynZYS=;`|S z@nP<6;n%$UcC*0hdj3JPnH}oh^OF?-WaEamYq#ROSao1$eXsBR7~3_8tL-j*d_! z1)iC_n(IeL9YkVZT_4$%&s5*E_*bD3<2kI)0f)ugK=56?YQ2T%_f_-nVcwqrV7C8( zUSCn*rT2h5A7S2E;n97dBTx81_KhT9bvbhxtU1m(ao%b$?&Gb8YU8QL{wf&>9mF+V zA}J;kB}ru8MR>A4-tEbLc2nP5nY+He=e_!oFF!76*KnOJA$^$>}73++}NEBo4&Wvp3#5+Xp{8-&>m#kmB#*%ubM=F{R&FWRS#Njta5Th3(J|9Y{5O2JD=W zMq+Hu!Gtq~45FeQLc`r~ygENTY-b!MZ^lPW+rtCtbt_qgj`Cqp)ty6TC({c(T-ZGB zTsm_f1<`zK(M0FYCzj%?fnmW{LtJSC4U+;3=EHXtdr#g&<_fJY9<6zj#q`Qq&!*?bCXa@jqvOG|d($@HubX6vDebBk*fTGy z!1vn%V*B^F^-l`uk5VH!{tJSYj70uFx2O=0bH zpBZFi@IGa$qi+>Cl3B?%A`VI%aRuS4jQF4TZGPS4Gk5OJJweHD9(u6WM_t_mClv@V z>z~cVk^Jg-GoM84rgPkm|3TAH2?iq^9S-X&{10rWV@&7_xX-c3bZ?DDg`<3>ky}n9 zD}n_i3q{tb_5l!I@1>jSkr@`!3mT5KLJAc*q*U3lV?SVL>T8P?VMMlXdX{91r;4XR zEn-h_jQOZTe=F)!o^Z}7_#KB!(u324vvdG!6BV4CiuVc_b&mnU% zy~nAKE&emiG>dw@K8MJy%$}}`p5Hc9jGN@2ADExvjfG`N^Mc$a)P{M0kLy}Z9^ z)7bQDt%unD*>m;b!O!{Kv2LE-BfnM;kKTi0`xEHr(|mzD=l+wI>)w`y>va*Re#6g= z@AMacs?vyXKa>bXq_L23C|0DAHYe2JcNqeBXhco~-lUO1_$45}jw!a3_d}K2-pf^M zd#2gzf6?|I z_&?wF!1w(RhwFd?4>-7)>$-pUyypDb6>jU$7Fr1G*8HqmoCpqWrpEP>l)R&*%QZB$b^e=oq;cOfnn-hC77IQil zeK-_-G#q&{8U_A87c(hWGbt}ktuL85FL3^1I^l36a(^)5U?^g<-Dji4d%MlAB+^7* zTSr^XP+!|jQ{C{NzE)E(jn~(<($lg8`}M(~9$eW9_Vk1E>5a54Eest#nY+0=M}U)a z`ucv)=UM*}X65>W6}^A+c?xAc**9P>t=ALu=0t|!14U#Y38h~ypHr8)8>aeB>#}^b zwH$jfi!XE`s=Ut6Dy%~t5j1F@FV_meMyXyr`x{P^_9SgO2CkfLi;JAT*&oyrW|1XsAt1Sw*&yJW8QREDB35K!I$zS8jp`%i1poRm zme%y-{m{DaGG-T%fE+};wbSGXlYl?Z-7Co- zay7T$n1~4{nH3LeaHhW~%MBy*#=t=mE22S@UK!~1)MWKOA7Eb%d35pWPtLY;_`J2 zaUt^`1f!wJ--yr-=U;K`M*euVUQaAlPHt0ATKqnFdOTE}GI>Lk+1cUM$i;QSg!)jC zLaY%An%rbk^d%O&Uq05o(U?MA!G%2)3Pbg~R`)$=ViH^v@^J&2R&X3_+_yS7ed}Ob z(&gRIf9!0tuVZ_z^4hWNxHGT1t4o?HDlh&nHlQA?UYK6;g)+1_opLKAy+}}=vNl}; zqCfJDekZQAU0h#Qu#hiVJ#DRWakXw(B3q4g$IRpE zfFtspIT!CECuQ;gCIGowDuuumXpVl@XEPlD<%OrarN*2U1M1UQ(9Of{ZPUe(lkYX< zvqzqRQ2(Wym)bd5+y{v2gQO4c*ZHHRCk$m|2WYI4lkiYRR8lrjQr~by$5S&;(FsLf zzf!jj5yj_KqJS{B(5bOr5W(*c50)`@8pTt!?6Y1zMjFd6ADze-T8>Cqf2(E^FCYRF zw3u7o+q(ceRB#$}==`oSB8!cMTVnClmT^Mb1^xN?b&1>8^-hP&YVa5^ zho&U@`!V3}5Z6DO8~=|)J#c~q;qt94xBTo)xc1hkWm~}`x2a@ zQ6yaI4z~1aejk%^U`r?pI=wRh&0lte;Tr7u;>OxWo-rG|*`xQ1<$6NmtiC*}ad%9r z*7emR!dcHSzRvG*UiN!*^1C~k4N6#F?#U!eB^Y6Cr8i}UB3=HmlH^6*?Xu}>8ViJ5zPaswP7LgCUVDcgC16}RJ*?QI! z*s5fS()jcD2j=hKRn|YjtN(l4`5$wn-xE~Z$)p;tD7|f9?S(g)^Xn;IbIfioq3_i)h9#z2%6%m+5uJx8HcPsz@Yc&F|UT(cl!{QTgm! zd7N>-Xa?FTd=k97r3CrB0o}DfUupvdcNcNh;+=!yYOY1~#Rohn%~Wc~C6WSZ-dMzt zS})^Ur<-OO_ttWo@B0Tpz*r#JI~(>uedLXc!IV6(dB;PJ*C6pc%!b+#Tm8UMayV|{2_edLT)5);A-fjZF zN4LidbUlCX>6nO#dAX~CsFmb@e}w)HX#KO7!GCEER`lu%A`5%wdYduE!?y2xK7IoJ zcjg&&B7Ku*^MRd<74GQWaZxrBG?S5%%0X)zg1C~Qv|>KTo9V7^@V=;99Akc_w z*AkS#7lqEIZcAgpAM7xbz}0jkchtV({pQjg43+7y6%FA=_?j`4(Oik<3;ss92YEu3 zDm&(M&6qPi$80DEwN;sAo+YhRo+hKzS1X)LU6nDHf%J3^3Pz-N9JGZlmLH;MCuI6Q zX=;naivn6H7MSoFsT<4RD1Ws5(F@M(^|Y`(@^1U^)`e+fqlLej<%v*9x+%uIJ0AT<3by#z z0NlJ+fbb6t4#Vc7|8xY~#y7eA{>=OxtjhW)gYv&+=cOv+g9uv4^V=@}BtpI$^ysP?d zE{BqE73pqoi5O-zer&pE`7$EG(OWHE zjR%E#k(-w$@)9uO?wM|3)t2I-;JL0W=-$-2(r%z7ji$yP&IQ$zz}o)}qrl@@q}M*q zz`zd=>0#V{%?A7Od#N(@8W%(I$Om>qy8WA~o^g#NeWuCeDA8{>Lp(P0;T@Lz@pP}% zVw#cEL~*oCDj-<4BxV>X;YVK+W_@5~;4^{jX-Cl3kElF#4cx;NjWgdd2P;`yfXiB6XWG}_5{vF5#3QT`~5NcJDl~8_CeVH zB|U_3IA2DzmouC8!f*?N(;LM zKzT5hO?T?$$<;GSV`^WiSF4ultW_y#GPiE+XrmvN-9p9u_v^~^U61sA>Et3cHT^jb z1s*}yeuYd-_1bsjNZi*562f<15i9CiJ6jne3EK}zc(iOkePP%?MHH3!33i_Jy~wz!7?N?~DtkL-;+7=50JHfBnZT>Ykyj-! zu(;c)I$@=~%*0~8Xt(AGJ9o}1NC_Ssf&y9##2cvOw~qT8W*1jO;8tsw{dqv!6mZky zYHRz&n6NXb^z{2<^q&*gKSA_=mRkDns@OO^YfNzHEfAz(zS_!{v7W`l#z)+?T$m=m z5-bekRZylzAT+CrpbytUdoJTiS}y}?rj@c^wfs3MCaNq_nEEwRs7KkIn~;0o2PN}x z6;vQO*0;U~2H985@uzbrO*7P4GdE_M@lsfCzjL3pN1b*{W((}=(vb+GaW`9azj+Tr;%|*9fHRx`xACdwuj7?IK(_$ zJt?|NNCk^L{YTopYc!RCqsRsBGRM+X4kJ40xvs%nn8IjPY}q6!vsA}#G7OUWq-8jo z8I^^DaWc%W<)4XFaw!kE*~#Cgk-TftnHUkVaGiN@t#`QD+hb@;Al}T#a=x^=Tx_cn zB5w4HC3+;hx!8UIjIqG|<#pnqRg0j>+n%ba>cy^h&5V;O?=_vbLZ4z$zxsK0y54{E zxj#8tOyN?vt&X|ZK=G1A)sRKTrmQCvA(JLEjNd^(MS{PSB}}3GnySI9NRwY951E1< z8=~ny1u3T8Fc|WZ)?lyJ%>Fyf!}gy8=KpI_UszD>Xyg6S#L?W~lc}L)ag6N>nAErU zd~Xll?+g7O8$FoUpN)S8$JT>CV2k{VlYR~&P#yVPN zTIxpNd>t^9*VQxwlX_h(b8uIzj>ZeP*UCH`atSk&2ElphAoFl#@ln;+B{QeK{ z@4!~pKiRVR-!tTk!2_%Rna|S`A@MVYG9_HF90DChVu#Pe#%kMet<#XtuJQgPGzv3o z4+~bUNu+9cAS5X%U7V%A$>h9DLDptQ8g=ZgryH^0(%I?S^4*fFTTy~KrsE|Ogq zHGw;OF5$<3qe}~amBdH*ZDl1cc=R{Q9a}Enp`kLPkLQo-7!a|hW}I(4aZq8KO9S7p zq4JoD(oqHZfWu$3#y1ySkgK40F+HyH*OQT0S?Plnj`vtC^VV6{Z4W}mnxhUAdzw;P21T-{G!*Hbnkg$d$%q$d$3y9yH>i+a6qRJABRx3|wc{ zt%%{_T9qhXJ7Wz=dgn$NaY6-=1d_oaK)~m}W)~ATXH)*xGZ3d-Fy2f2lMZVj??}~w zd!i$02RJYo+dCk!?O5P`@#$yo7aN7UG4Tdr>^#x}*h2(X4$KO?B9{VKD9912y6~P_ ziFa9uKWSaV8B>1*_E0heVYU>bRcdLnB(bZ^Xj4_Wlq8-drb}CW98_YZQic~76`m}L zmn6>#2{^d4%)|zDDCCS`KzNLBQml>gpe=*j90}`CCYIUv;`S-_xNqDy0GBH0XkNy` zy+sk^0QNhj)Ow6ilSp!fzNu^}2|}y$r4U0~n}bfy2lvLGx0dC4&)^3i`tvnSZ!3nU zE?u8CeZLOvBX)Ww+$063GAF@j=bLK-pJKfn+Z+XG1;2DXKjcc2aW%@f5n zwXvp$9n`P{zw#mjoxX;KryR_d>0v~V1&aWv0K~jcBeJ3?!E%={lPeUjW?yIVZDEK- zOm>?hvE>In8qK_M>p*0FMRd|^`&$+NJD`>APnL@Rm-r}+*@7J&kAjngeaPofJTTCa z!rKTC=EVkV$p&w{+yp)^qISbeC-$@EE(r&sh0#z`1UGlTgDqs}NY=hQDgRoov3fSr zS2Yncbh}8*P^`M)&1lCs+6B0{t$*aXbDM8@!ui^@_>}oD23R!MAU5SY#hq&ESw`b_ zze0+pIpH@^%f^R~5x0Ox>&7?v#^WfAGV%%??(ky-Aw--Sl`Px_Vtx^}Oq8^yks%xd zCNq5y4uWU_(MA0m6T+*9j`j?T5!-Rtw!39-PR7@js*p(-A`}SD=8gTp;E0$VxI5K^%Ff$WDAcpo4=4+lB=;-U73!_VVL+s^pjV4RQkE4#r3HoX% zc~&Wx8wN{`w*|Z$5TYfY*|DI@Fc&)1YFtTL$5_wW^=IxT-GZk5&I--_p3V>(5<5&rSyrGYmrRKy3pPoCQ;DwF#xEc~wBZ$^^zlLSUqLqy$84 zj187aUxB}PXvvqsNGkPWiNOFGM^m%e*Y7IQL#ZVSTP?*uiuFp<*q2(q2Q=5Kyt=WnJa%Jc=dsqt>AUh&58&OaZ(9vlS+V5wj!1xq@V`p4n#QNzmC=g%1?}Uzs9E^A+x_dDjC)(<=gh@T zH(=LbmmqAHT~M3#!>Ns@%LV7zE$7OoQ;z^j>v|4DDYg;#&}nVsm=%pWW%7~POLl*o z(7mYoI;7_vcqOYJ^fQLh5?AO+G-Pj#gGl^><@w`9Uwx!I$SO53)!MEb=i!pm<)s<3 zNo>1}9V)0ZzJVFh#=;Ygb1lVYqfq{}4ca!eAW==$f`rz8m9PKHypt>EG^U&`VuvQ$ zb9J3;EM@FRNyXT*X*5ldrV8X3GIan2*{|Hh1G(MMyMbl1Z56UCs>7ZqWu`TFy@fE&1rh$a2xHw=Fw6G*_=4H(wky zegtuAJ+poaVIux2==<|zWcKA&B9Wm~^m`flJ4E)6s{Z~hLs;Jw!Pi;B!SgoYC_7af z0KmuA1wKg2CyXOc5mw4dS4s@DpNi&I>cdoeMB}sh4@uETm20Gg8D3S;xzo~rE z#`cD?tu_!$*}q%xyYVea11E>xSO}d?A-mbREe55?+Bl&!P?%GZ!L7b7Rx^IXS#D^q z^w9wpkz`b2i)1n!!!~B+3!h5hR0O0XD+3|4dXiFqt&xU#oO0bLB|x{%+%|olm6PtX z2O?X4DlIfTM+AEmS(-#Sek4O;S~V+j1Z;%khY^esg6s^->7O3!L9KyHL8`TyBIO=* z>zWs#!Oc|ZogeSW=!K^dbJs&<%#gq(^H)b&r0g&g_1BuUu5DOR1HSKuUT&yp76%~ z{6L0}N88O02hFQQw<`(055FdQM-0!e49#YAh7Hy{+SWYbFt|)5Pzj5cERn>K_;O`Qi|-9^Hj2yL1dRdbO9Ya{5)77<6>YBn>-1c+ zRhBH5V{VmZMOj0m;?Xm<|xBR>LOuBh37(7W(w~zp47f9;yTlHpW z{BA8UAp{ku;!#0?;DE1qy1w4fa#D6r8C$PuNGQ?c+r}2+bd$eooC-1P##>Zhx zP?>?IUU|yJi$yhETA~Gfh^G*ZREBVHzIWuTI<`u~EeYDrCBC5;{^DG#X|s@HK8t`Z z7DS6CdQ~p7^u8(Y6zKB00FiSvs-}sQ|Ja-n? z1T+7kUxC>lZ0DOJ$n0z?VkwI;|&)vGEQ;R99t8FN8t)BW^{ zLivFbT=34%-Td-w#nJorO!Wbecn-k*byU=l*J@wis=;veDcSs1gxLQMn*F0jmw$^8lG50V2z5RfShX&jn!A}>__6^2JGQ-o#>6x-PG~U; zxdDwUdrAWJ*Q_ofQTgcaKU8kyt9l#ONNFQJ!Jv4j!~%PUZX3GJk2bCz9%h4J z*}PCKHmc-pT@tQQ);gpnE9fL?x;uf!M;O&7CPM`%dEs|>c=P?3SWq=m%Ym9at8*0N_rUlqJD{)mO50k~mXks}m zgH>6ilJ;c^NlhcoB(mAI6LDHhUC0R{i@s2z zPl?ANd<;qpT~pC9Q#CUE3JI8Ny}G=9JUH!b!abc%#^CR zB{QFHXZAXJalk5Kv}pWJh1mZNj%EALJIJu&|EIamFL%rCn-3?cvIqt`Hna#<3An;e z@|K@H%U9txHSKINF4aM48VTcVybXm87eZi^4btgpKF<6=+3Y3{Zh#wbtZymN{Ur{`h8IyODn#z8G z99HyO7P3DJ+=4b#cG#;)HWUUP=Y>-LOmgV;tQod&DTM|J`R?OGa<%d|{-}W<*_nx2|^eCD3FC zh==N{6bSp*^;YHl6Z+SZP(p^^DI$}{e%xL+?exhghlmf~1&aAknz)ARvDQf~{5W)P z&@Qqnv^n=}*JOC@$}->cDoPHO*z z@c$VY`d4T6|7WHGJ^`2b1vNzMEG7gsjP&77Ow4ah~obk!sLloY7}`g@7EDjXNQZ2FefmHjVInn zNNCR?&vCFLiG>ak#d7Rs6)c&=qnA}{YZnL3vr|M435!BK$C*Aeu{Mc!4ojYR)sj4c z4$HRHZy&-WHyc+|yiTWwU>7Aja+XKs8yz#Mo_M@&9o1M|(;NFPq2 z%4;+N^~=2Nc%|9aUXE>b7!>|J`j61lcn;D2Mzj(6aP}dtIJ|C82+Xh8-ZrQFR)_v` zD*gY*`+sl=sKA7-NCH_yBE%@it7rY+_cIt!sYxf7E4u>NCN!5w}IsKBLe>;~7cHx8B zJ~$}nayk*5yaUGj2ZJG-?S5-bUOzhgtK+RSRaCXq^uZQbS1JViMa{^y-9e zhES)CG>;9phk?nys-ajS{^gaWM_yzXusiMDu@Lq3kIE-C5NNrBcd-I^4m5Li;JkOf z5H$B*v24ydl@bpD_tsa5NDLh$LFGx}!l6Mz1HA!O0*j^ZcY6`zr<^95cS$6Mehm}te)z zOl-R%mGpjpn*I*5W&RV-5&OU791DD!z&Vhiw@a@5In5&RjT=B2U1=s<#4h5K)-Gscbu?G!F`4ehv&UZYuKtq?d*o zK^ppEEdG&j(f`5!9sL9tDT-jL~33s9SBc4%I zD_99HJ}mBy6tXD+0l^VOwd_KTR}@a#wg-t#B3-pKDnc4&CMG1<-6r|Z@{<)m1J?E)5X77lB6$r>J8Saj58D01jQ2b zGy-3S$~esy(cg;s-(j==)z$WY+_3@oxNGo%d{!{Cwv`8>Vq%vYKDXB9`SR44X4=xm zR941Gs^wMcx~YuN4#%QcVj9NnADVwM?mJ8h?DKnX**4mK`m|=N*XE$TbF?{R?)$c? z6a2DL@$7pD(mDbH4)&=*-mKowp2b^08{6)J2W5(08c_#^z<_DC00evgEl5}~*sV-E zK4?}3cSDlb)CX{om~Xg#fd@ zPwL{~KcJwJ9;~BQbh|m;Hsp@ZxM5J1N=&#c*nfrYPqGr&(+=fpd~4aTDOFygO&oZ3P4Dt> zv3PhNi+cMxTQ_Ersh&}9P1hO(wyMQYD(U`Sef|!w{UglJ_U~rxxT%-DvLPU-I(;yv zjjxUE(%jsl&N_MGY_PNgUi7_uWog;39g=sVOak?R)aS+&*M?F9#hg9r2m?XTvh)(N zbWgBv5o1w-4?YH+VYe>8>uGb(3)i|^-}2itD=#*yN}NXXJI6G!CDQ_&26RmnPCe=< zdlbx!7Ak-GvWl94(qo8ZXn2JS$o(FiEx02%T$X5V@wL&Gf>0CFPvq8Stb@ZQW32X6 zCVLWbSxytwoGbx+0o^@#QBd)F9vH(8&qmUemdO;c7i7wnG=_4b!_qXQ$txvgs1enQ z{5K@(3~EXj9@4d%hp%FI7Dn=OBhHlLo|s~>W3i$rqM*-96232=tyTBkivw=jIQ)Ft z?Junymh|4ZE2>j~|GG3YThi&c(B_#x z2>}U)XuhC}Is$pP-(TQe8hLm`$xMXgOV+-nVl&lm73l9^S=RsjT1yc(_A&wuKKDMM zufyrlc9yM|in|5wWwKQ}IVIFvomE8W%cZZe!xs(A6r;9EslzzXhcnLUHBVMCN2S^Q zO1<;hgX&!I1UWiA+yz$M@Y(F``8EDGGb`5elY z^IQzG%DfKxmE6ZG2BQN&b4Sjgbfz<)GUIIMw8LCek+ibqxb!T_V|^V^ zs-Lw$m?x@U9hXfhFPEUY?lm`K&(1!Nf5%AnDRI&<)=As@y9)<64&KwN3@Ouh!3+wHt}%c13`rF zu(tZDDp!Vd${C4dgwYX1oFDTwmBm1asZ>l%Ou%Chpn>bnou$*Z;lqmM<>*Gi;AHuR z?ctBtGU1XHYA5$|@PV{w*RMQ~9x@GKG>uar)uE*$3%f}#VVYkFmPn!XTN!G(Cv~^% z@|f8*o+LA>XX5+04$>!O$}Bt44>LW~kG2@ha!2lZ57)&}OYE&6BwG(x6=-2xs7{N; zNr^C&{+KI9U`1}s32~%jpW?8?+elUDPBxJ?o={OZ19?`9x>y##tEtOTV*2{Wc z0{VKisPfzSdCl|quHW&TWD{Na#x727tUvhGKLHP(mW_bG?I44Pj#*IBNX?~1yCbW% zApS;L`{@&2y%2DN;eMi;X+^y2di(r)33ZhCvwH0+Kan$)m+U5NQ&iTT`|&R%{WUg5qeD z;|H@C*ILk8l{AunDRfkfZMW|e64h*jCSXy40*&!HDF|}uO9B?YU{eN+?!_QYp7?tZ_l2VBX`=_X>z9ZF}~hJ z!X}tdGA2u3`7~A^Im~EypIi-h^V>TtnbLWJ@)&~ZJH}G!qw$}amwt_vTsTRo<`|3p zcp~v^^eW`}t>~DBXf$Gzl_j?z9L~&K?KY+1*RF6{dZ?%WxudrD*o$_AEm1&mK2H)U%`o_i{ zdYzgZn;V=hZtql|f9&q#x;3R7RpVTbLd}j^BExm!$DtV!1LES)E~RqgFeqpjD4+@8 zx013A#6b5Ts}l6}m!Q!`e2=tO zTL-5y7s<-uj4>$7lh@%LEy7vkQswCu?v?GiKs`sOsk6w#ltHFoz4jR!oeNhzKW6Wh zR%ctItcyPg4V?>|ZI4sss9=~<%()>#-4G(M8Gl}~L2kmGbX(PU$QpYBY{IU0g{=$0 ziXUQuzJJ&^297K%Jd|&?ta*7p)q<=`1WtZX+gZQ@FMu6kL&tY1^DWiYK;o`6`s8i% zqkp7^MX~)NouN!on$qn#COp;`X8Lh_7ud-0xNZ z%ow4NH>XNFG@ZdS*8a$UqlIpCU(FA(l5)%h8Z8FbE^qzL4If%zx+xa&+{-g-kk@bZyQeVs0+4@)P86gkRJv6)=y_gM=sm&(n-+eo(~j$fm9R6`qi1Oz9Y&Y z|Fv+$66bS9lmb@8Xx_4Qub7^)8?|{^4^18h3*HUV*Xn|6QEIDp@J^;LlQ-uEoq-&+ zxFEjmo|USt(CJJ9Ebi2a3!@KH^;@-Dl$HIpA0OP*6>@~y{#mLwgiwn4{h9jDdF&rS z{6Be}X8S+V)}# za1bNGtM{HgmxD#Q>xS%>_!^Qs!@%R^66@|czY#Cunx_&^i>F?ES4+<%4K^Zt{G*yj4FjRMFbRvGy#hW zmL@qCg%QmjGlKoNawhJ)e-aH6i~?4*lz^zHv`MH$w$2viP&`R35vo+FWg$;DITnt- z+Nj0EVbrPTt>nFh*U_^rsGQBoZN_~V2M8z{nnjH*k-bf89BUei;*z}V@X2E2H;u{Y zTRsk2u2{dy7x38db!tg^T6cdq)~R~%xo`uxZj^&o)6QJXJ-x2SCT`cOtFN1mokrTG z?NhP1RUjDYupE_CDNN6puP^RtBCs84?cpFZMS6_3_-Y7}9 z+<^N}zWrqU85rJ=#h*>Y{ga~ed4B+$kP`_G+xZDjxu5z6-p{9kTW`UU_+Wm2@h#zC z7@VRLvOgHS(dM@Sw&yo{r}|r{%7fv(p^lb`1{mI}8H33^nAU?c?R7O?BJN+XJ(%CC zzU1EPYnU5qTN&#)SsJ^!IY-6Dm5z-49^P~O9o)+HU)b}(rIc9U3^b8*DDOxiH0A)Y zohSlTB{YoUw$^elbGwnVwK~A^gt>*2!y+~lnyX1SG-RM+=PxpMa8Bb+T3$vmx6jdB zSwZ9B^kBl!n~dWzYg`kJwehG7@$-lG=R2Ry1)gtIUK9gadmtJP6KfaTiTi^I!@ zTg&4l;IP|wu^ntG6(TE22^L0lOaR2zb`90^>+oq)7(&!=j@PCn5(Q{jV%e%4mgs%{ z!R!f*qP+yr&M=)h{YGxpZ7IddSf};c4J4&^u5(j@onD(C*{e9V*itwvi|RP(YS=Tf z35l+P{KeriM|sT4xKE-bcPOO2H+jZ>Mo=U1%^re(;fm#5)#zI0_cr*(2b3D4y)1on zIK-yQ#)8!k98{PCBfHMp)f~ada|)j?qAnFd`mL*_O&KwT0550m@k?cbp-BX>Zg}R! zBn~8!d`IXgw(mOwo<*lowM zgeYuc+ZX80PjFKwlI%R|D!9bO#Mz{NmN`_hsW0@a!JTv3&Ev;HU(qV~*L;aFr)5>L z{=Jm{6&lON^Cu_j-%?H(HzxZ=nK0xQ1R$tLvEQGRAQoe_*ht>9spK2&nUU`G=h(9Z``Ql5v@xzW=-?FUv{uEl3vzk4r0{NUvL;APXVmfD$C%_DXV zK8^Da9c$%Gl>3*r4?y4334X#!LBc7}+B?@}+Kp3eV#WOgz`(9|t&4VRb!S(loK3aD zpk4h9B)p8MLQ&tazvAnbbte065zpN2Jx)ixu#zqoN$&7=q8!ijmna=rt(Jq|>iFM* zt^bAgP{hgF%?hLW`;mS}?T&b(g4!-dE2XMxFANF)^Q_%9f!o0*lUF(>gWbv)A^$t2 zC?bTd{r7$tXi+!{(?M~97*|OE+orFLJ7}iix*-OUz9I^;e3Pj{sJa3;RZ*>2&NSOa zJevbXxu|EWDuQpL^-rg^7Gg3|rn<9MHwtbkpcRJU(Fh=e@ZhxSr2OFwgSuZ!yuv?1 z&>X{JUcG;nJpB<>+nyo)urz;}pl_Tv89&?~Uc6w#9~sE$B`t_&YQ=Qa|8DamC&3EO z3PGp)Jp*&xVdDuLwa1YhVNLcXTnDs$>j!Troe(e;?gGf?OVn#fehCz8Q zO@1G^!FWpy^hhSy@ULU`ui-q<#4@c@mql=@(X1zs2N|Xpn%&jBZNyi`P5N;%vAylh zdPpt35QF9ERtI1s*o?*8??<$b75c7hQ_YzaFj9sxqlEeD^%YQ#u8`=LU&GPBN(}+x zk!MU{-p$oVRZ19$4B_aAbl=QdFXd+ZrlzLXZL{ai!{DVEshv|_SGyeqJ^q&QNuLcJ zP;Ikdmsf9=kn8o_IiN)2&o)ud(&sm8Ik_JEL)~QW)`i7N?Yul?5HOS7BNHx4mo+3-|`#a309yItxl+)56ILi|DYxLjCRBPD=xOxh?zK4Gn5D| z)+qK137J%|6y%J*YA_|5JUT2H4_+>Uhm9|a7fP$NR*0s3r#<|&7@M!!qZ`Tbl`)o6<(<bum#;HRW3qCtFXm3h?XJ>5SEGUG!;(DOcG+zv044H<+WR*^*`-Nf}4H1Wp zAzTtaKG2GYj^LZ8>s_w_cs&?s)dK%p1^PR<^}kY}v6sq?!Dm6m4FPvFv7cmt{Te?b z+?C0A*Y#qvZ<{kF%+2fA0E3m>6;y-ayaXanm`wWoWpL9$(ev_)s{BFO5sRm#&;z z^mXhJ48Iu0`+K43WOuyzC-Z|}5&HiM$nVZsm|edmHn6n~wOJ=w@t-LaDJ@jC$JZRh zs*$d3UG0#~*R<*D$^Eo%^#z`nZF03lZ?Pk_Ni8jfo?fk%SH~{Bb#i7-o0oyK7HJ`$ zNv3redD0)cj_Q_{kM122l~eAyeVU4Mjhq{>IJv>gkrk2#RY0TGj;manMQ%uIMs76b z`mmt2e5@T0d34(T@JO`T{n5$eX%#Rgw7KEw4NUbrJ4nKmRLJ4~Cy5##Ly7*k3dH$$ zpe*wrU1a~IKv;2#;0MH413-`_=ZLw58}%g^oZ|u7V#vrMv2_ybOKWw))wLtDwO8IN z5KL;I4o;jN0%8ICIToFMsWKbl4-SX3 z7Y8!ILuFBK{V(94qF)8_OaaiHQ!OwpO?H(|9FcsCp}mbi9I+z7Kn7z$lM{GVZn4IN zslQ&*ni>%~X2HX+Wy7aWfl(iH01!bYHqTJ!fsMJ8IWXE1=^9u$O2#S?QqxLoJMqTb zP=j<|#4y4Jv8$zsWN`50w!X=+`{YZTy5~TD;r|H<6PS#R3PUT)dp29g2@yLG<)krm ztzZJ$@43hs{0KA!wPK^ras@@*ubY7ceI9^AULV2^7f$a6KeY)qFEz_Hg&ywZg6{WD z<|mp~HVan-zTSLES*&WXy~uZ}zk2w&JimQuTd-pH^;%Km!N8j8aYaYU)x2wtD!I6q zjQ(EJn!w&pt{cNGDxaCI=DU({Si0wlbLN(jym2FuToP!?`iU>MCVVK@!6-Zz>S7;LxJ*76Dq^Zoec`Ee7rN2Q8VP@ZeF1pz7j|L6@JnucO^3kjVb_X;Q=C<uZAX*4i+ zdSL%`P5R3T|M3@|uW#4wnY%F;7bozm@oj_|1vJ?Yox0>y2Shx(cX!&tnqg7W}*JkyUO)>$CM7LI38*H(&@z=_4~Kp z)*+_4DRzp*%1b6YQqd>mW|Rx1BI2E&Q1p>2%5y$Z21LAjy-<@7!i@mCtWGFF5eyfl zyaT9;bQH|!NXv${fsr{uvplRFN5LR$e8sk1v((8gr1(8` z;JBdAL4YvHLvJ@hSr1zrOQP2X*X_~_pRZ&RHiDbi#(B5&mB2({5dpJS(3$5wc7X$>dJBce%@k?k1xwgS(FW0*h3p%!nvhg6HegAHUoZHVi()ujAgf`tBy>@Z6!NVz*lsq>JY5@N17);o_!(s> z*U;(vuS6+(ifR6Qs8mL7-4=fV6j9pRw15+1kwuwe^}12<4kh6tGP;!L-r&&4;vijP z1QASBjBf1M(108n{S;fh3+a&$J>P}PGT;dA&>re1Bkqrho#`-tTCj>tu&M9GqK4;KB`^!H2 zE6vHLx#l%~#bQ{IKD%q>N|WAW`+&)o#>+c@Sg-bNy#BlBI+kt}30b&Gu)7Lez;5KZ~xIkK!6ATxatQ`L+a3gFoqMnLy#olk& zAC4^Oh0aHZX&b05#Dg3hgOLWn#%LK7Y&c~U zQ+7vZMN&seA?X4lEQrVs*47Yx=v#&SntfW3n$k_VaVPXi+}7&s<|9-!v#Y1}YEU8= z80UbTGQ~Tf3(OGC8LJ8;k*PXng!1~${8B+oX-46~3oo8R$@kt7%^7P7WLU6PU|B#x z`f?kMXs8vBgY)z~%&RV%xYbCXgdd3t$EGZ4XE^iH@j~+TUBVb0lFdupn)2I}Z01gO zTRd#$RI?Lu7@sS0fhaU&EHhW8$$D4zA={(ca#XHz^h0&YL?z4@7u$-D{&NQXzvlTR#r4*vZsrCKrh2x9I_A-S_7i39pa`6A zok9CUVW5;9ki{N^=s{HfFPn$YE3~ld>vI&O=S??HwJarYN~=#@y!f0&Gj`cjddN3bzL28gTkYV8e2ww|Kj}- z`pW*l@WMJ*>)fO2AS(Aof$`CNbFH^;)t5;WhjyOy+ha4QS=HDay`}Cd{pCBW-e&c^AHYSs&;p=^D>CEc-pSSsBN-xijm9}v8H>Wh1W9nl zudbRA41T5_4!*2ipPCEAbUrK)j&$99SlPX)bziW7mtnBeSP~^p(xhA_jZ`uTX;%K8%q}$4>P-a zy|)VYRvkLp^e2;}RX~1ys3$@;#Lta^$Wd(U1;V+Qr7B3cQ)r0HY|-cd+;*`NC?%t{ z0jE!_lr-^B@Hg|qUL|GjX@?4NGDUp6?4po(lP5BGUI-fL^0_Xh=GvYS&Kvn(N0pOt z3RMz7Svx+`zJ2_buy2vMf8btGG9k=SJCL?bOV=2HQ)kOUr2MRgIHIkfLeM#K{-k~s zrqk(&)B(SuH7_d?YnnsRP8E=721;#YK$tm3ngB8Bft~J34&&%Y~UlI=sG(y#3ynEc>=4wk(sYG_Mmlw z2HE!8+gQ#&!(7?^$-u_{*gq;wz9#IwoI^daL80c8deB&e%&FPyS()!mKBjJ*P<2FG za#F6cQ{l@+Hs8-gP(x$!d(+Us&FL+*_)dJA%<$}~Nu8^bTwl-Vh$XSBhHY-wQCH6? z@2NPtv%IzTx-ED*kJRzA{&m0h^WO89q2P!<|IU5~(nt7I!hFoj&)Ilc8`KaYVCLwZ zY(v3qXGETr1ok1wTGmm*C5kYW*C=p+pg|ZE@+`~gO(KS z)o6(DWU%==tH-M+wlj#qaTT|5)Hiz48_$NDUhb?U$gur-ECFs52S(% z^_g>rVYO2##uB=fS^4?!fwlOOlR({!E&(S_!|YE_hpk(aiCm^UjN{TgCpcMp`?*A& zzD_S#{6GB~9qU%wG;FaH?FR|C-0ikn-S^L4bw{vB3G(;4^GBd88~1;%JA^T#GLXUm z@fSv`InknuV^vpIb@Q{*a626tq$wljK5${2h<;c&yDv~p!0-l%hPeN&B1Ln-EbDGuO*k}=IvnXA}>l-MkmXk>mhith1ejXF3C z&QY`zR;gl7`z6}ePEw5)<6WXX9(L-}EB;UhHi7VQFR0V!;9UkOo`nV}iFbN4IziGe zlA0#jV6mbSZynN~gH4H~;2G2;VD(TdP;X~DvgYQWzZ?60AI89s(Bk~_H% z_@0O!`E_m+_NMLkeUV&$hOhpM0dm4P{a3Xa6v`&`gM;?PgvwJ!lPU6@#R1J|e(`6s z1hWL`nC)1#02;&ZjEzpbBx+O7X1rG}63j)C8wj?)OnaNI(C#@hfQ zD6H2@q7gf)VJLuYN(@eoFuwsVK9>xZlhvRiVQChEX903494v^USL;HvBEHCxF&77* z#-$qIdyXoyawpvBabDrS-Fsu^)C6E*p8gGHZxe zq?Erni4)(k63Hq*Usyv5Kq{YbICyXL3_5O*>s<0dqsdE3+?c*w4B4m<_GigB22i|- z{%+&6RLP~v2bCsYLXu1Z`&6IU5=nlHeV@BrHC)GvY4z4f0(r<{sU?U)m8EKpR#0m{ zYBs4{_5xu~12K0}A*@`1h_d447)up$t!*=~&YOvw)s?Bgo*OSoQ<)$(CMLAM;CWmx z-u0@V>TGuDNyYD{ZKET{R-2aHen-pap~($zuCvYV>(>GD^J%4|-|7w5AEB`S(D(Y^ z=7T}rv&g?L4d0#nF|5~SY^06;^1C*7r=qfSOEwzZ7FM#V#cgNA#(&f3LANb@QA`?U zL{PV46)Y{rL<|UCz%Qioo%NYzJ>-4DL! zna)6ki1lzDpa*Uv5=&rMWokkhn<}f6Ek;VbRV!*3!^^@yL;!GN*~?g1Ij}r)i7#rX zYsBW_8C!j5ATL8GG3Wyn$QjA8Q`Nb|Ys}Ty;7A z#$$1c+SI?_x5IL6VJxdb)bNcdvGZ1c}z7-yKuA{tQ?B7k+!f_}7gN?$8U5 z;)A{iLlAM{`(FXM2ZO=s6BCat9h%p5RI3}5>+ciT;|kx%<7>|*2I<`_6-0zd8TvLe zgYx1#&##~NG_NB_guJdCTI${RH;2QSp0lu0NFuh@w};DHQ+52JUwjar{lfkHV!FGw zwx+x+pTsqMtDE<_u4T#r9w-pXWTq5GUA*QTc=a5F5zcZFrR7$iRx}aA>22b+ zQyIgH=HjHo)~kjr;*jPQ3aZOb#J^IZGz2+Wwt3g+y%*1)$zj0RX~ERc#cH_wE`Vqp zN1-wfJL=RnGFQ2E93%O}fBJ#Ow7_P)$_(&P?%*mfx!6H-n2scIxr6YUBg|+4@C3g;ffZY@soKl) zl`BdFul6yAXI!tka1xV5UGX0yC!8IpOy`eHHGAGYx|}cH&qwY&p2Bi{-Z)OmShhR= zE74R|N9p|cy7NcCD;xJe0k3R-(;d+5k8D3E;oLt{ZGM#Ux;1f>vaHHd1Th~`Jt(PE z1DL1m^L46-59g>{kWK`P#6lRLE+||%T@F`G^r3$@89j)Vzz4%*eo;8V%fbK6wzLdpl6L z9(Q_RTr=~Ld+UD6_ebM(?o(}7$8x*#xfR}0Mx>Xs=8IsBzuN6x|K$F2j^gvV>fP}0 zX3E{*pb@t;_rQM8N4(5_st=hKpXEr6(xOjs>jRcZHRecVOGgpOcHnT)kkN2`d$qvj zVDLCbSa=e8rL&R7R!unZ?)n38#nH#0E~X;ilFO%RXk=daF>zOvI|>>#mVe872%v*! z!9ATzQ$QKp*)d`ENE|{O#HN@YnE_U$bY)|>?u$KoQE%2=@pxfnRZlM+%P`>uAO!%6 zRqErgMC#R`x@N3AS#zetrR?Xp1=E;51usbeHB>9yE9j=@8070I86$wn1A#xQ+>GB& z!sX)g#*-$BX92%WhG9d8XXw0yHC{Gzz^zN<^2}n4H!fcMdLe0%!Ejsb4dak*)F;N8 zcN9F49B(o8WEWtNfc4~*(}Pu93zlpV9BAoDa`)F2eCAz0JllkGt8TH=>)2X*9q8d6 z1J?asVE%Ki`u`8Y|4m>(^|T-e4<>Q;CznWUQj#D5K!$c;{$j zzsaKF#D3z?;{&qnJ2^z~P!S2Re(YhYK^Uq4(n#S*l@mjncxf5{974iZI7JIuc2`P> z0R;-ERAZwVdji@9p(F(Yx3vIBTIP$-PDmdEDV0QJSt@mtFQot@L24nw*{I*gdl`R{ zpI>LniUf+rh<;>!J9*E49w{{=9N{ZmAMu@injXUnUpfIudHm|(EQ&W;H7PbxyNaUz z{0`YSmEigDc4P3zK+fdl_}awe*~#tH#8YhRx{lw^q}nTw=7*cdr1j@Lh{ zR(U)-+SKGnLhoKuGAMb3@qyR*pg``mj@mC6t?a=$#Q@x)SWjDw3w)-Mr zgPib3BjJAm`A86ZKb-_Y_}AxbGX7*N_H6PK$W#vsxd%ab(64|BZU4%}j|U~3_^8gCP9nOybSlClJa1%Tyn_(-QzP)qiXAsfx7HdatRjtgEFCO2jb&bYy190M&2J#}+qEh|GE2NMHVH|Oy9g!0b=v%i1${s=r}|2LsH zO7fAIAYZ-hCjU>8U(gY-uI{>Gvyr4hGVLAZ6}*WjRB1j6tlQ}(2~o$C)ju6IP&-nv z6oa_Xp@3?*TMX)>oyOB{N9+8@6?Hl4Fq*MS(Uccr5{AfK~>EoyHmczB$oBBF`| z1fh;)M|*@4o6`wgulAiRHWIiG73jsAheoy`u)JTA1Bjrtk|_$pS=E9+s5$#LY!Y_y zmG3|XX*qF}FdPv@d3-b=_#jNP`CYR)fRgOcmG7R;-U9NaS$mRSzbf+9B=e@DYu4a~ zTs}`&0OES)qoqL~zl16ts|*rZCpm%}PT&YM0!pU3A^O}q6V)oXDY%(;76JMWV8R!q zoAyxo=F_2$-aJC7-Es*E#|}x14fpI>r0ag2I0BnQLTzrWozJ#S*T+9+S8voBeT)1a zuevHy&c6J$Ra^p7M*ID0`Xh{$jq4x0uPkhTD;g(^90hF^aR*2=XW@X=un6z6V_LUZZNNT7qRzlV3ahP`pLW%-)U9z>Qe zg}j@7X>m01pQyGgp`gvW1i^_D9=}5Zi^ho7;MFLGvVnB1?PI|J7Jej!ftB^5dy^_! z$IKDrYIca^s^v8i)nuvFfgPDQ&pz36ebhhNF?8ldqBCTETFiXpsqxL34DFLUb~&oCe=iig zI=%P40%!@Hv~>90+xO8GpLzTYe`hQFUYScA-Nh7rZ_``wY=SaTj#oNSj)0nF$|NC`eFe^ns|d@H ztkr=QKqq3zuP(-|!`->R<2h}+wDZ(O{n#{&+Dua20lQe!aP*_I-BbUGzsgU}u7`M2 z2d1Zoy_zXwOVEXBiJD|toEkwChI|ra`E0s^XaL`lCJjKcFJ6dfCyeSgYm)oZf7R8iXniKjA`8ReXNNaz14Sns_|XA@p`+tL-*J@ zy_yXxgd~H<`tUC-aDc>Qpb>JT8}Tlc#dDhLGEmuyD6KF|LOUhGYQ~d2`Na>)x-HxE zVibwjQ3rJ)GMLh8;>z*TYei8jvOX2-{^xg()E{ePu+!EBDIGcUS?3Ls%Q@M)rg!~o zYK<+oH)mPid>#Iv!8&nzW$xcDDDFQ(SJ`;}k#+2EIs*#ddbMqUQtqotr9txOxy5&9 zO_etKT~rkNEhD+*V)0Bedvi3_hjzf1RtzyQ3=X3t5e(XuBtVke+B@kvkvpPq4xqB6 zscoOrvnH;JjjFNT;iE;jTN~@MT+J`K&KZaGkCSzm&o33HX{+&!7cC{72v6Aa3=AA%J|57S zQ4qfBy|{1`OVUAao%8R}>9XhQCaT#yVcH~K@^d+Im!$7`s~78SKh!nU+VgzZetSN? zm(v#teA#Gi5j<>>=-M;6aAUO)bbF}d^3(4k%od6@yt!Yzm_NG62c2lKv-l%<6-m~{ zf#z>`t0XsYwiJ(ALS>iv?xU%d3rc1eC)C}h>guKH=cah^;4N$6hZGUmAt<#f6RwM2 z4P)WXZN9(TDRTc2Udqn)PZr7lyzrBcoL2%o*}om!`l{yubjZV^^COMYmfn2wlIE2! zv1FZ(C9`Zilp9vy4V=SsDGFxIRtNM6743 z@v^?eMRFvx{vfI8KW$$P+avVgU(=tH^PtZ(oYQhWex%!Y4x7wa@DWan%sPBEz>ysn zoiO-qoTD_;LPOtdt6Vj6ob?RY0X=t+6ig$)2m&xvi-T0)z$!RluIbwC!^cPEM9^&f z>B|ZkT}}XQned93p}R`bs6p?67JafO7Y1Bu8+tyMB`;odBDY|64A)}2Ru;5ic(Vne zcolkps(8A!qB8Rr)aBiW?fiwVDC^QR%%rl6@)@m3-D&0Nl*#sqdOQeLCw@W(Z1J!6 z?F+&}3=#KEZ4=kOXrv_^F(iRC>!#bfBx*P_m$+g#3-%^i!Qqv-itjWq>mVLNvv+ml zR9WyA>Ffw%5RePz1JV%nolHJpA0elqVWSO}swc95%hw zyF%P`7gpIcEpfhEN_5+QHLDuIE0h0TasCKYW#j%wJDb0CLjofH zui~WEqnD@DUu!kI}$81O?^gUo11 z`@*Q23pLOsjU#tFs1wK)>HuHh90KX65m(FtpjQY@rFi4z-^`5`CZ#te!1D=20wZP^ zlnUb*@i@}4kx&uZ({Zr$)%Q&X40gjPV|k&Ar*}m*XM)%jwImu zx7fs_j>KI2@vSrYx_JM1Pz9(V~1MLy3!fsg#sNW(!UL`KdI09bWp zX+~?qZqC21XT<1RHGiu%e}s~v!NiTw*dbU!w6vmi>dzPzmQf6yn352Q>ruVxPaS{g)*~2&5jj6wfi1qSdi;4-Ze3=PP5{D>*e2F>tBy>ns(B972nu*i@LeGnb7&y_w#c!m%#~ zmaZMCfU8L&jTF*~jV_|`#B6W3rcRq#!B@SBOb4Qg*G4elQ#!;P#rtz*pw`Qs9%h-* zuTEc&c#BvJI0}wk&suO3{^;0fK1-myQ8kazY%;U zyl@SH*EL)Xo?Ig9KWo_=(VCRNepdnL3UTjp!zMyq^{8bpui}BN3Fai~V zGOZTo?c7{&E=#^a;z8a%3V-NbVCdcV>+LhA2Vdh~9@g8#dm6q?H%(@OlOsp6DgY63 zqz~v~Wrzcidn^io{sdBC2QZCC;$a?eP}G((0}n3z#|H?{X7h=Z1@*JqbEHmOLWfWy z`;ki+qVu-k88k!UJ7@BJ1mOZO42pWGB*_Jf%7}P|k9`(2GGx;bQlhGy`GuqnRvu(u zDIl&Qupnrx((#h5)MnzG@U7!5<7K1(06^gn>e2Na?touIClzix8;hIk`dd^lHi{c3 z(;Ex>XQow3h!?asCW8{THVy2Bp^wHo(iiTCBV%kR()@UOIc2%pyE@aKZeRbkIc&MK^LWaB?y=_E)7{UzmbFuc7e@i^W0K(* z5t#82zrdzsym0n>7^NtfT#1mQ<*`YOl*NUHu))5J?sh&YsjPhVHj@*I@Y2M&d+ zlJ-y4{5Vfg6*ogs-qFwX8ZZ!0eS-p$Q3!|>5@@n^5mG<0{zVs9ih5Z0trg#LP8L`5P#rPs>GOQfsk1DLj8j}T>1B=rC%w_GzGKH{>*Uvp<7v80eqS?=C6ldK z6}+%q0S~1TjmOoDwfL+^BW)Pk;n0s%1S7aTQb***yQ$r8-#k72iJE&j`mYs>HaD*Z z_mx{z`B$#)mY*hfNw=dgBR&L18-Qo!#R*G5DN7T@h<&bfv5jqq&{3!d6Jx#*xG*Pz zi3zQS6;nZore!rTrT6ITL#@07s&Lz9Kq;-#E%4!z(8CRGxO35ILz~;-NAKARcGKjXR<^`SXfL_UmkAy zW@+p2o|v$^2bxL>T;@ai#>b5wLxJ= z;>y|EsV(W|IM(qgPd!8D{n<3v(AM(Dth<7#qm9FdpOU67YIts(_6lSE-QD-f$PmRo z#18pb$k6b!O9wPA{ONfE`NUSa3Bh3NzK;*PiLW0dt)N!---^wD&WZom*uAiz_BG4K z(9Yb@Mn}`w-_g1=+iktkd#58{e-K35qmM^G-gl4*9@GyTb~qCKUzYdtsaTNx{bW4u zU?lc*BH?@zWQdPB8IStj_hGmH!+&MjycW?;eY%+iJxSLyiI>v}pzQj?u}IMW1B$%a z?g`lH@cGi<5$$TKrmO{upw|R>-_?yYRg5%Lj5Jk^Kq>Sf&aR4$dOIr%j2^Sf5I@U)5VEU}I3oE*(mw9>};SgsV%McREK1mXZ$et4aaY@z4b=kBo^ zj!DBAip1}UH``vC&r?!B4@rvuRI-pl@)pXAukF(_;nNew3%}yq&7^(v8VSkJ>8=lB zo00055P<~~YAf+<$FZ*1pAj_mcs^D-PI+kZ7)cZM8Ip5O!3;sG5mq>Se`8;ynSO%h zS)-keq#qj#>p02A^G2EK1Or8^QoUrvyh5hK(^)WsGFoFX5Q-s8nd7Ul4M)^i=Scc# z<>Hs~Q^q}evqVQm46*_wzuQWaUTHLk-j7iW3iz{@ZB73aHF;@w494LcI2o;s4H=`q$@>|jgKXSiQ0BW!SaN! zg)oS)L;yHeNCLkv#~^=l9IOk5bJ<|_i8YD_8e5Tf**VUfj{HztJdu--ED(M%=;0$m zjfG`$AJ5l}{i*q8qy34t!*jOh?&;>R26cVjBKSw$GvVBafi;IwWZTf&D;bx)3UNwSu$({8?gptz01fs{AAUv>t;k{ z&qMS8A0jNPNaq@*>EMdQ!w`ld!{J4yv&Q?+J#$j_FMbK!yVUA(`)S+yr9M3S9o>Lz z48Fg1@}=T!4M zpL|};>EO@oxmP|WV%&O>dYFuU{9)FU6*cAdoYmvWy^iOq7wy+|){+3UUcbs!C28g& z;nnVUWBu7Cc%q@*!fa8!kyZVLxTn*u^T0Q&N1*(+n^Bj;N<9>DW4ZySW7_Q)I8X#Z zQ5#Q55+_C4AK% zgPvjfFktAYOR_~X$|g^Tx^w|DZlkChLC72RjQ?o*$Z27|%Hr)^6; zZZt~G8`g9P989B>Tpv=}bEHK_e#9Y@7)BxoPc(kNV62A=aBs z9vz`~$vxhnz>1Hgmpr^XN^U73q8A4>h>Jb*y{MR#V(rZu+~61Hfs zTnN&6NM2&I;<&nai#aZ2`e5Sh%)v+X*~Y|O%O$JkHODVs!bE_bE4m?70%ctzP$2@X z@1Rs?9~zdtSSFhdc zGzY}PD&drRek(XUe*~_wasQKPioXjEAPU68guy_2MNO8E+!?F)*`o^E119n!qeUJG z1}ZE{He5iYTP1z~LRSQU4*e5npiWc<)IcKEdj4MS{YtY?u8N1J$L8I|N6F|!fq6cf z9RE@spURs}oF4Z29KEy_tX=2NDsMwl!J@!FN=qq6ksAibi_FO2i-zljlMSO##9^u{ zX%orh)-Lio(MaVFY%7zaY$M7>B9anAlI{g~AW+sqp5!H0k1}uVbennV3vuZI$MeRsm8}EL%8Nhhh7|bhFHvp|;0;J-sTEQf>&%5vKQtmRhZ!?Y8vH@qMPPBO*>Bb6kKj`F ze_?-rwTAtb7?Fwd1R(Chg%>0xOTk4Y55jP3KVEFOUddW*fz(>0FkFcNeKq zXmR4gj9gG^t)94Oq*O9|-z;ib4&D?ntm9*udiwaVA5z!V8bU!c2j)5!Mi0TW!x(go zOerYjlcF`hB&K5vHzqTD5Kg^oV3+R5YNe^aj%-+Nh-8nr$q9s7rNvu7t6-7*T**mx z^HiTnrysn=d>HlpgNsHHJ6wgW=cYeLMv=6LRC#gKH|7+HnY!bF4fex9Lqcfa`n@3H znio$rigj9nmP#K~b`5gAhim?saK*YSjE?hhs;zE^kxQtIvSKdZWi5)TJYSRslT+%z zY1ZVnf%}TC|D3fz7I93;<4!@!ddaSdzrFhfc{rq&zV1ZMhF_28-ErUC^!2dNW@B^q z))jWO_&ArEonB9m!}+V?%wsCu{9bYX2w-L7`bR|UZ_U<}GqSP}KmhE;Ikr~6$Np58 zv;I_8aTy+Cu#^Sn2P`ed0agmQeAQO_`QQ_AjK*2K5DUz(rV$+;fxBpEA(Z{zoc?b= zOFEjyzCQ^UJ900VPHmkk=6uRLs_){V=Kq*hOi=z&6(C#?_s;4or39gH%njudHw4l# zge8*od)R>)Xf^m}doT_qWtDggMH)wDd=+B~Q5gnsqj%BP#*T0Rk=(&N#xm;Kov=pp z$u+Yz4BAlvo=V#JFqBKVetDx%i!HROo$-hE~iusV%shkPq=(S`Z2 zd#Cn>)dB@FNBEv%1tnwfjugM;vjoeHZ2a?+S53BmMa_?MgqoK7Gu3MEwmE@m*BBhD zB-&8rm^Y#cfsWwRse)6vg|i*+k+8%_OFpuLg_I{}Nxy&wY={zC5`VuO{s~-I;1fJlC z3&dz}`$oQPNK%8Kph9j<<_xveOg2P|ONOe&Y~q3;VPLgn3MG(P@8v$sSEbK;nmlyPn8n9s@s*&+O5f&sFnb#|)yKT$M zg<7Yzh28ybH2D4%ao}(O-~E0`{Slzb#`TZ**WVNd5Z9sjS&1;@g$q8e`OA;ZE#DjH zqQ+7nHRcZaPd6pvAb2^2){KMvA>43boI*iK{kD%7}JqIboBe-O&1rCkPe9uHLblz5~TM8A}*l!HfnvhP(7i?Zr#fL+4 zC&%KT{?2F9nK2R zOlZFuAQB?WAu{&Y^}o+RkEF?!{$R;F2qU&EJu<%Xx{F!DX$k!OiufZ$^k0b#D02oR zG9Udj-Jj5dBH*@#FA<|iJm3+?=5=*dHw4&LS4~ooF&j=K%|uz#bh$!LswJ2Zgqea! ziJj2-aaq-vbY14{RTOU@%Q>!pT}CEJGLdZh)*b+T@9VmM>F8%J?VCPXdpIsVJPy7* zg2*w)Bg=G;FMi6Scmi`?{fDshsCw)ov`^q6FiHip5@k~2$6DeN;1Id-)RPk0?4{t1 z5^)viXnAI0vL>V7Ppj-U57{$noB4ozZq!##7WvJ7 zdyN$eN z>EdZcOZC=ky?MzBu;KShii?z))ZWMnnV+9Y#L~rC$<#^I-qyk1&eYC@l$%M^-p1ZZ z#lg_nl$4c&^>z6vGyN0D&h=03iP`?v8;BISP7ehn9Nv1;JbBC4;_SE2>TLFd)7#PV zlIo2uz-U8qAQGo@3^IbVsj9wlA}#@ztW17vivQwdp+zz0!LO&PKO|kfb(6^MG)eoB z;`TIe$LcIMA)SCdlCabcZICn_1!0KyjRCq%phDeL{7~HxxTc2j05dqEf=wZWa)1Fi zeu)7^bh)GMDaV76Ri}2iD>Wty$7%u9j+VZhA{Db5bZJa4kMI?ztFuwB>lp3k`9=QM zYUAX>^~!<1ralhfTA?Oh-xW43w-2*8BB$f7IvOpsGz?D!8)uR&3q=IVGzh|FE8qwo zUB^mdPE#kI}oyl0=h0F@Hm--i@sQ3^eX z{EK#&J_>UnB=fnC8`k1KSnE&TyE!-ea$GNFT63A{Pt(^lm9;dCjbHxURWMuN#C3y` zW)m=p z?qXSKlZ}~&rLm)hk*&U#sgspu{U?{jDvz)2fd_-2hSz8iUOyNNJsAG@YDIs|fR6?B zyq-~G`Pna~ z;xDE@fuQ^$C@Ow13`F6#+I@C9e467e4b-$WRrNL1j6tq;_5Wh)?~Sz8O+ZocnrbGm zcwNO%<27Ig6drE@vbq~+m>Ipg+U+fjJUrZ@W8z9?X4iiIlKl}J`mbQu|J7}Ere}!D zx9DQb;G#xv$>9Q-H+1y{*dAFDYc=af5mvJ{D%nQgf{V(jK?*B5p^0^dk?KY9s!1Lc zcT^ntmT$sPRLEM>%PQiK@C$CR-R3kroOe9jtv_h1`V;+nXuZE??S7v5b=iG;=(2yb z-HMMB{Rujn+NAz_R4pbr6ehN2xBF5u{zmY3;AVYsoOIV7I!=CWOMel(1R!LVC;F z%F`M~s>ML#5g>oW5-#Of3M(}q)PcTv;j!cW_B-@*Zb5x0P*aGzqNa~8SZ{?xT%fz1qU?|G^Kqr?tZ}Ov$}gVzEtUyswWf2Qt2raZ_+nJ zkKap}o;|wV?{}j?Tc0}6BQ*PwH2decX*DUo*N*&b#J6IxEHimzMb9AKXV#!)149pN z#efbmYnnk=HWsu`5v;}mJw0~V1nSo{`-!(#a!)Qtw;sQY*6}cSp)K=>t+*lTAz0!2@GGnG)lP~Sqr>0SNR zi3$!cV+k-aGwG8s$ZepY7GsLJy~1PG!cSt#Q(yRG8jQ#;Wcnl;xR_%rJ@uCp@I1ft z!7c?tIM`@Z1y1-4;H499TAVl&G@Wezlid~#dXgo>I18I+FZLTMZ{mj>r1+pM0ZiaM zRRXp6Y=VHp=cIz+mwU&9LUP5u2JMTtShc7Y^E3xkh9(?MT948?DLaEV4i+S+_oI~z z<@Nk}6JwSv2d=D=v}Ijc#|;*bKJ`zge*2FPv-=Oi?cP|NZ(YyyRy*D9_oB7^L6>); zpmEdR%gY~urEFaPsLeR*PVzKXpjV6a@v52OH>?dFx*or{blK^K-fpWNc1u9&GfjocbL)nSU=# z=V4fnd{bc{QhdlAThHrFH(x&5Ap`n8OI?) z<0ru`h{=UZ*HgaPP9Th>4FZ>re*~9vC@+%x>J%p(uk#USZmHJTD>k5IH)n3Z#U{R?LHH{r;=7mD#U_AXW8bN1zIMS4_8 z6{g#?*hrautF!CQE8hm(hYH=EHAGHw|DAom<2yq>1gkDLMx^q1{m8XrL+jm=F50mf zeRyp|U4Nc-Z4_-;AgS7-f^)aC0QFC+sh%8lJ$yEM^M;z+!;+oRhxEh({19wO6i8ag zR)}&fsXQq{8pwRsOWa_prCG7Ml{?T-Y>GuMeuPx@21sCQ>0evA6turC5Xu|Y6ZQ$Ru zeVnJ#w%n(s6Hk_+`C4$!^*WqA{F_a7?=ez@H@b;(KJgG z{VM7d$8&%8T8q(o!MY-P=y<0fxNB5`-W(?W#fRC-jGZyx7~jTCLK~7P|NK%IV{VpP z7=p5J#dCN&MEm~XTi@*Zp-Yt}HDYDmNF@Zohzx_2wJ=9!9S^moMRLuJ&}liFlT)k0 zQA$kS;q#)?m*Xbyjp{h3uuA#y?sQX=B&wLK#I%K4RJI8^i6;RldSRYT!&Z1afuJQ< z_Qp)g&B-AHHA-Z8Bi+CmiEl>v9ic>TJSr&#Ys}&CHQKfq9!DLV%_}<2h?^5E*iT}I zAysSEtBW1!07816F4tGzr4Pq*Z(Q9Ehex|&`*$1J&1>{Z8TGX?ds>-$E?xscWMzP` zzZaN4!b;h=|H;RS^>1y_Ac0|m1i4K>1J?Ph&gOd^HEnlOF2!rWD#@^D)sQ%ZJiVki zjg`0+84Wmedoq_sbVNi$VG&AOT5k%TNN4Px#LR1lMo*wuaTAI7&9cefss%!+PQHEq;VtajUStjg4~?bT%Cty%`x zCf^HW-XlcbUfJx}J(tu2I6Cze{B*DdYpAvqsIyTe^iZyu9`8%CzBMcw%&@c-0pz7l z#36Yo(+<(H(2>EOUL=HBYs;X0)8xiqZ&e-{n~8pN^yFs#BgpgL04`7sz-xrVpim|s z&J$G7N>}TALcS_ZSbiAq`G(MWw+k;-bYL?}ni3lkQKIs^TAoqUhAP)v01o-KNW>5u zGm`yTru*4BVb0H@kc<}maYXfMVgY~t45F!L;-|-JzaPe|kDR=Gr@sX28s?X35~=k! zkp)S5POEB!yc}{ypp%Ln;cUR|F&sq{M(gf2c?@e#d=gqI;Rn8l@zi}?72V4Nl<%X# z=H*tNs3g{pTtAytWSLgbTc0*@!NPiXblm5LGR`b#Z+{K3{tRZI5+m$ZUXP1a&Jhk> zpW7RZYfgq88k|<1#=m}Ys(2SGsBAr%K!#2Rk?2A2&}vSFD|^|t=Kp(@Ej z?3EtRiDXePSTSzv`c#AwJqgk~SdtSh`HU)dND3c0$ZRkZRxE?1aVxHJGQ%*X5n`4r zl(w(o_yzFFVu`lCyK|h%7$uVR|3+L66e{i+S1N_e2xW-&`6sXtx zZ@#Im$5uF99Sydw5%2l3f1y-#Pf0w!_C6h2zTCb$oSW|F%ZV8Du$|HsTyA4oZgqLy z4*83si_j^N{$6bUb7uNKX$BkjKPl1r@5(Y>72W;GWF*^mve0fU$9f>$tSM5zz)%09 zrJDJBMKxJjpp2Y~B2Y<;K}tbURv9R-swkrZlu=eu)>M>J1InqYDr>2!Xe!C5 zD9NiTE2^s~X{sox1Lf6}?;X_S-o1MZ0s?|m zX`%wsq)0DC$^g=}MI@ARzs{*}rqnJo`ER;ofJQ zd1o?=pfZ`{zOStBXI<-BGLmAl(&F-95L8K44F=Xw0c!weKux&O&5}D{DqmF}4%Jju z(Lt&jBUO!5p_(vxH3U>w1*)Y4LBgOKDiB>wRTC|^5kg592Gxc^HE%}yRSaMdZK#}v znlivdbk$&5YRVdMo>oA@z+!{%M7h&Kz1Ld150Ig>(doO>4`lg;zCF)$9r+{6fs z#UGAD{TPeh9|1Cc!w!L6u!9jd!2+XEo4tYCy?)<^LV?HLV95S(GvDvDY#c_dU$Sy5V1Q5p(^ zAe0s0$_g+jSi!;O)vqsxKSDeIjlNJu4&TJ!bzk$bc{7x!3pttE;j@rT1ZHM>=LsIV z4{lq{@EC!4iTm zFb~Kp+FCwaG`&186wa73Zf{vU(9W38C@;&%KrTi&w4|~GH&*Sha3o77Hj3{7RsgRN zDhV{_+Z1vJ1f@J(pe_RS9K|QT$)LOP{=J+gp4liq_!gZP&M!W1oK#u}g2(OSSr-Nu z+2UPR-&W9lp12?C4|#wq+F_EG6Tg0%qUzJ;2@OCnI3wz~yzE3W!>Dsokr$kM14f4t zj};o6)Ttk$XMcYJM;W>Ggz%P{G*Qco#BeE>;%8qt;LDX1@%!lYm|f}TPA=RO$05!5 zTTr~(G597?+5kz@t8G}uJzCNF!ZuIP3R*jeJ}z^r$av?JLJp|WGCD~8GKs= zLTxe%l0Xgwt!E}sk+?@$CI~jcE}hN_6As!+k&S7w!@4lqaZ{c$`tumw(Lr=x!)3LJ z#;1c@44r4w7MHG0*WF*+b9Rd@HN4{F(p*$k%Qdy?M{fYS^fZM2*O$~kr=`CI(0^wV z?>{ByCI(MN?tw1gJi01LPFzi~UNqvABW&l+kA0@4f-nCngiN0pO%yNvg%fiPcL8Ba zq)|@&Q!;$$lE5>E<(;o?3+vYrX^X4!`g?YVU(Q>6*lOnIhNC?aPeQaO8DtD_Ufss- z!}R30BIA&zDnKlg(>N|P?A znxY{%9Zpju>1?nw>XrLkcIM+J8bj=1m88qOjaj4pZO1GRZmz<$as_PF8b95#SBt<9 za2a~qQKs@efw=YEDRXPVZT{Ts3)h6;?VN1p zsWum}El#NVd))oRaN7U!^iaEsbn>)qe{N&+bPyris*hZEp59 z+F`iRVZPpLy(#Gz}MHifw=aV?S5e8Dv-|} zy7JNIYpW-am2W* zgMcD6RP_N1`b{E?lD-;D4+*5e0BP)MMsOt^;GqiDLBIgZx-sxj2Ozqd8Q@gc*RnCy zcXVZpxE83q#!FF5k?$Z?s_E!n zYW?<LR3pF#YYRUDpnVf2hzbS5+AoVa!9dj4-kjhGM^26@lMDg6C@WKNM{YqotRYjS8WVd)28Jfo z31UW8f0G=&9sMrgJl}rZ^|7(tZr^M}$j12ORo_fzmGG;^==pbC5Bx%Tdd}Z7tyIu6 z;}Fp@GnV#Hd!o=qmUtFJ@N@NB*_V=;*a;E3#S)pJSiG14ep|tTz>)b)4Qo1LV}|y?w?bffdp{tu(^18?T7=mfclddjmy$6 z0(RPyOAeD}u${dbr9<0|DkGlq?F_kwuLB;F@tWPXY3L`7KcVSw6Yhq|e(g>=)m9t4 zJ1dk?qH6R~;n2Ff{!{zK<xIxk`~n^LuYCz5Vr9ozXr?#dz$wQjnu01&NE_K{9(+5{?&uD zz4J7-ps`Mun$CtAK|U+R4~oX)G1EWVw}l3I>({6o{3LXECP`o>Y5V>qQ?U1da^@K0 ztmTU}c@Qeqif0xB}rUIn8n0V3%?`twz9J?y|*Iw3yK}wGdiMVnL=*L zAKiD()o3}Ci4P8|Yeu)M?i&`QaS>L9DHSs58NvNHBBKJsZX<&cBrz%~4>@hs=PJ`C zRid~O3-Sufo|*_}fhK8Wvk>hU*O5^$j&Jg&mmS_5;&SzAd8^KR5&UXarJb+X=iIKX zUa-IeI%iBAjLoa&5PODY`0F`z4qPTHHSFle``)ja&ni`{Q8^=&%?B_P{zJ^Nwg#( z_C9%^c+vNvB%-XbBu|w-n?$IjGod@;>J#A0y|z$n7yeL+2nADFEG~(jj1!4--jC zW`Y}vKb-KVQ=@>;KBYQhuFUO=7Us?@=9HiaK3M$&oSdAX8_W9k2Nnog-Yb!xGrPo7IH}V{+u8Q_c*=$~i;KSY_$y!Z?#m8*b z%*<(K`O4sysqx3E=IITmvjc7o&&%u2$C-Xuh0L!h?C~x(^n29L&ZlHMq@7zBEVA(} zY;I*FlxRH}f5UgB&FTUF-ezv_ax*Dm=D_aF>bu6DFg3G`!IzYS@rR>W3XyI6!R+iG zvn-PcO3|Oas&D6Tza+M z7|5Wgh3#DDohkX$n;XHdl-H4#bh6eoZO|Z-96J$d&|q0-N;MBkQKA7=WZr4Zd9*Dl znOkZtF!0r-J!MZ-$m}gkLb~uXp${kQ*5#zvMxCnxOP@?_Y&0&&wA|;ARtOjDsodyoXm7tPesVW?`YzUYTh$!>&{VgSh!_K@4l+qT z9SnL&xFBk+PT9VP46NEN6({=Cb-|^o%%_zi3!NPq?}s0I@`M0Cv+D(UL}k;2EI#?e z$G9iP1q^zeG&m1ylEVx}7Qy3#M#|ZOdbJ%(v(euXKAc=xFj^tZ01-k4X`Bw>%IM7z zX~AY}j_uE~l#2y^kxz-s<(#G0G%tnKdP_p$I=5b3 zBiE+~@7(1hv6{aEPN$WGiuJ$Nnm+XoMAO-};GQB7j&bQzF(frsh zAYRwVJB%Rk8CWOFt>b%zFX||r#pew%eQqy5?uQlN0{y@TJntUJwn5aXDr+p7Q|+Sn zwq|SC1o6}x?xtNb>@;-`@^waR?Oxe6aSn&PVDOX?xtD@3e5=QkU5=f2VhN4Oo4A@0 zBUI#Rp$|*&txozF`IuA@PhCbkH3K?UX53JNE@`jVee!hjPf$X8=~)vE8J|2K;Z1t; z{w1q-W3pXrd7}BaniNS5Ch^m6LD;}S5IUUSOw(-Sm7o3*9jqCE&fCHkjfQ<5{UOF> z;W*(D`b**1p>QpCkCq=>hav7cW7e_HJ|3*v7=Bi33ODE5Ut=uR?pCkcKi;W3<6n3$ zI8Py2lY-^I_jbnIr$PX;UsdavWOhj_Nl(_xn~S4X?$``?W+rI z2YZUc?#(VUjytRZiQ?3~)xJ(%cTtBSndC6W+hxRGJZ<#saxsC_A@;vk%{|tsLjF>5 z{y7%?4Q#>9^E*A5zbg*VgZZBVhhLRuSL6N0P+T@K@x(LNNn^>N-c*<2<%J|#u7`x+ zl-S%D?p))5ng46(5BH z2$=5aF(7Em=73!Z+(F9$n|ngy3_A@ZSaM7Yj_PvCXcYpbC6=5IC%d|KcMZ(#@STl7 znr%1+Z_;!h@N-hzDV+$;E^F2GV~U|9i=d^VWjoU|e4wGKR;cb8X=u!N>^isi_C=kJ zBo;xhHzrerSaH2rbXHHl!Ha>zQ0eIk^0QtO{*;|JNE@zL(2C#=Jl$~X@E=#n)CDA6__|Z70>=nAhcCin6~JoMjS}}Xy-C9->X4QnWHhRnOw5)K}r|wjmyEWJ}lj|S)xTHo_1MgmVVtSFotN9v4gz0;43D$O^58W~_`X|pAG)ZJ-a~(XhHdfY7*w6T=R2ExG z5?!PB!JaOv`NAcpc!g+EsZt4X@jlU|_h)(1XBXaMr(KVAW2V#ky^D{FZ;Fxfu38-} zLj{Y9m$p+L0;!JtWFB)58fGb;%Lt02Dz%bVGFFhAt@n)91l}XVK`o<`fTa=ii_pX0 zJ=ylZIcSFxRWvmKS%$yM4Q=G0xiYYS=9+(Arfyf0c-9ckky0Crt!W~o zZ?yZVF`+Rrf!j2Fuv;!GcO$#Dyr`x_0^9p8!3R5KM#gNyJw}aZ?MX>??kE1lR>ZuY z4z~Q}s`|A|_qXPkP69e3N;>CHmu9~WCrq6@xH_%3zkYfp0JeTxm8-@ktj%U=Eo%A+ z%LGf^<5hewX*Rk#eYJ^aDBWEqe0=INt02+)PtL}+&N}%oP5P~mm#W5NkUWHXx<$TU zUqvcBtK8p*k_i}15QFf=sM}2vTi~)6nhjHF%1&$ ztyif7bd5@ecHffwPUEb z{A-!{f5)u97w60QANku|MZO;sO$Kr-2lFil^DNqv^xg$&WV)&Q8p8~sU{$ajOhG|W z77US9gvvsJzMc|T1^6*U5uqrj43>dH%51ULW2HTCs?ue))^oMp|68~BW|z-qx8Lqx?YYC@=);ldoS& zx!LnequZBymzi>_mRN0bH3i_+07jN!3L2`4+6V=0xT3m}B3w}xA|oyfkyir#7N&#% zUQ81`gI`_)oc|mQ|6i#6H@M7y7)M6NW@F-tef^RgUx&Ecdu7IkHjd&vvei_gmF zVm{?m)fvw>X|_!DTpFd<)z;bWrO2d83!(Tu?v0j2UxIV1p{fCu!W={7P^EZ3W^@e+ zE7mQBbjw?eC4PA$S1#{}`t?Bi5F$HJAwKszwaR~f%m8QRNVyw(n#hTJeAdpuT+;cx%esxR zK$3!B9wDLJUD4t|2Odeir-bTQ4@||xO)!y1GZp(d)MgZh=CsCkB*u1|DTk|_KYEec zmQ8#H9&}AS%jNgz=$)${dGmu{ZB$PY9aO08^l>~89BfKC;!gJXB#cxq8RCaQzFw01 zFUiQsHay8lL`hZ@M1x;Tzf*Wl(*s56L?bp$m}D%3g6>P4j-m-n2b?jJtQPKTz0M-bWM5B`{ubE64{sgH0CG8G0vnNg6XYG)$OK;ywUE1 zpMz^(=IxJHbuMb6T}oDj!|5rExkOnPOIf*x@0RuF?S<%VWs^-Dwy1VyCR@R1}F;t)ncO~JIA9Y$En*vgfzBo7}-H&K6DLxO{e?zvzj_yRt>X$k3ylF{*PIe zEOH_XNte~|C^4xyY|;XH4Hi}gT^C&s>9)#d=009*kJkq%`lmU+;VHceE$PqMyvRIj z0(t??P9W9AWK!) zxz&=NqR8pNnW4v{WT=r8zEV!s&Q8-Fq!^;D;a!C5X%^)y{dkxBX4s#joBd71BpKCs z^(rYmUI@&|60#>tyVKqhRU_f>ADzr~6Vu5b8B>7Dcg4K04v|(fC^saix2P72y-$M| z!!PjsQSIzg3hjx~u2JB<{?WgoH9b83T5venYHF}@Y7PFxA`k|`(u)m{LCHzo2q7e; zqW65Wri1@kq|{a)X+lRy7*|8ZN+=krXPjSSz)G}#?NU>LJy74hJ76RtIb~KZh3k>j z6nES;qjT+MT^055VAe|D#fzWZ0t-*aXzwk1b_)J@;juA2w(T>tm86;e$XB`Lc%7|_ zZCEN~vF@>CO+)$aBIe^oc@nF|20L?Sv$Y$~BUh*5_h0MHKWB9RT^;=M8g{xRt0m50 zAje{)z-p|}sw>5~F4VBVQ!mt9#ZpCH4GdP6S5%TyP?P~fO>KYxOap3W2$-&_qNaw5z6Js?som7DK%D^8 zw(4*rb!B}dQ0FRXBb0&4;ii62Rnk|1=)e`VG~v2h2>k>v>(v&&r6%90N>{*`1{9m? zfR(JvcdN&LZz$woIQ+**)Zu8%(fCaPdo&Kj?Zupo-_)?c+WCXw=*`~Xm5<&l&F&i= zo_l@1`vZZy13~*kVaF4(2cuDY!(n^To-4Pmk5V&+1I9F@ z8dMz)2E1vSaEKNRq7D@JKp`(HE&~MvFBc4W4FRK{rHR?EuYo^<ugB(U818QC=&9e65}u{IyYMHZhmn%(~*$N24 z9yFn4_wl(J{c5)^)*X%_9$y{T?EIYa9)=C87}~ldYl*kGRL_+|3jNWvfyUyD7-k@9 zmxxm$s;(ckxTIHNXxZ8RM_sEy#$IGTVX}6Utd^%h{OF(XX)?7%*`@0z~BHnxh$K=cbfk+kS55L ztdEqD9?2%bnr`o_&hDYf)`V1J`etJ0dMgDIE9a09`05yiz?42|@|WxhmK-JveRxU6 zMyVJ#oX=dKT;JZ?ANDRLF%3Hh^R|Dno{T8X9Wioo6sjbup3Lkb8W58oQ{HE>;Cpna z_q{z)Bv5H_djz1k11Gw)4ThtvP8gDPa+J0~-g1N*!Nw3cdM+keFYJyMkzg&a*#l8! zyw5BaDY``GYU&lF|vAJCKY1K8(mgJtNntf27*-$;1 z?cCYBFx%mU_k&VS4$BH08xnuAmO99)3+=wJu8~VA6RSL}`0=I=)}mIp$l|Uw*knwz zj>RqONYF1Pd^_|N*$?xP3F3#2w<1~ML=GbiT)I@>xzN|vq5hPJYub^ z96!z})Ld+?Pph?jy8doePN156^d4H?i~X4Z5ilT)qX+R_U6S++wZr)sIzt#p$V|1) z|LPe|&+{#5^dXETKPW13NVeJs+q<-ldN86u^DIuf;W4_lK!V5Lk3ostOSz3IOeEhV zzg}8h^6zZNwPOE#KreJwKC`5wkHxt z_TC6i0FcDDD$qZ>*5qVOZ#U65lKU;0bwm%OYoI&A>isQwG!MOuow2_c`vaPnFRKI? zVQ=XjvG{cv=y5C^Y#H$)N1{RNi;DrkUb( z539o#2S51bB7e<=Io&LOvIS+hPy$2hW~p7$Y3ZPc=IYFmO83z7<0Vzg{W8vR7O-#} zee!Bim;0Hnv4M3E?yExaaoYG+0uZENp^b>9@XALuH1 zf2B2C|D4VJ#?`>h^Dma({O>j+pK9>?r@NZW#E(&CpT(lZ!p$ssfTYhMdBPg{{1^km z44HR%MPMedtR^v*{d!m0(rs)%$F6?0IhWzD7tMQjABnqXy*jaXx8mhRwNbec!J_s{~9R)7CA@0FDVWt5UP(iOx-Dd~gRGh@ROYpX7+j z?hqeX#=~j^sXUk-zh_PsT}+N6<^`E1%Tg*ez%FCyVxJWwLnA@UN%FR(jMua4;>Gtn zYb0zjn1z13cBjn!XKQA9CtL1hB{?+*A7na)=$sf)Hmc!3O>J-8?Jwj zR)6CH`@N8?|B{=C8(0I>H|``eqeBC3LurBz{Yj*N7iP5|26j;%{c z_vG5nt6r@Hng-8pD`zrvdMRPAOYdp1ISu68Xl@zPIy$ZG7R3V ziYz5rEAi{tntuJ1>&W(n+R(s@$6@n(csoM}kBV({)CP_8u3w6wJVfF=XvKxeOa_YH zVh~XkVtm0la{#~^is;IjUrNqDN5lVpoaSWzjq;ZFKkU1y$wijN&L(w#gx%X;E_-Ii_h1IJ__#KsV42LZ5M4e;N?ZsKczQ!{|#wbV>BkvGnJbvVG=P4$tcdYU%6 z8gFc^142S`>l^!jeVhFeCd$qIJ5w%yCt$=8fI$rkoKJZbXHvd&Dq9CDHDQ(^CT6lT zJI18#*9TLatR1R&YB{DKchHs<5!i%bnGIxRVFoXarkLQ}$KHD37~sUUc36e+`--uo$3SMHZuDss74Gi^hC13o>*oK z{l?>J@zuUv$k)!qd4xzxhI_} zT=FS{X-rQYuHKAQ`F#$IFpWMW4Q0i06hCiA*ZX{V^Z`z__O7s=NFC-VNl~ixoJf;J zH4e$Fuw|-f+7p#9{?B`B?N$xDsuOGNs+U7wx5q2S2gJV7m3`GIU)-_^@F&(O`{3lU z({^xF7T5W0sFUWsP3-*DjP~VWy{#+a^3-`buMSq<_scTcrZRf(TYW=Mi@(eJk z32-a?x`D^_&++Pi-?3bLzf%!#{>QGwPxVQi$wq_u)F3}HglfJF>Yd0^BKn9PJL0|QHP$`E-N z42o1$LMj740jQXif`T*@XxV~5^8eGsl?H+3L5i}HU^x)*dz2xva0JkKRn}2gGXU;d z4Y;u;!WaS3Py?1Ys2Hj#8>&FG0Qv=>ubT&O2PY8wK*QqSo4dzc#omHMp&|xP9;QKNt!9J`%h?6n-=w^FQrdU`lhN(`UWiYrV~T zt?_2nPmSUt8M# zT3Y@&{``$kaBiO83DW($wEQ1y2p`{M+WY$wBi>80g&~X`a7JnQ$dQ74jB$sMqZheVk~TQ^p|IM-bdR<`5iPWe`ODO&b5BA`{rA`9J7PmNxT(Hlj5h~ z)7(VGkY%5x6Zd`d^}S)^!}6n-i+l>r5>n#}7S@R(gYfuw@S03f=8jAP+ca{Wv}t~N z^GHn0`=$ihsHoMT-7u(*NYp4C2Qw7Ihz1R9k}5USUoDxSPY$&VSBH>cw){@JLxW9~ zZs#qZ0xt|&ZS|0;WcLE0M-iLPXnhKA^UkOp#E-7{jrE{i3F`Jt$c}FWL^#D`=jsy^ zVz_OZ`Si(h%L>~cPPG}ZS%JEKz8y>$E~uI|;T}>iW070!sj_(Dv{@P#BpG!VJ*5c^ z#gL{}sn~#HiYaOW>;ARJUawHr4*_0XX?c**yYz9kZVtKR)^h?#fBeVDnD>vQ;ziXE ziZqWb-q_zsLo0?fT^p6S{4_nT%~&OPGfsNBP-0c^P)gxWTg;}9Y<;!!mOuh2pDNo9 zpSAl|T!j5@s;m2J*PlPTk7dul>aA{y?aU;t9M^+RFZ}$f644+T{05#(ja+Y z>{|kGh)Bo-xmPk0U|C58IcXqn4EPm*-9roThNvS9)m2S^l`z1!z$D&HBVO46rl^giHnAcPMDL~TAS}mi_fQO=PylO>mB}^ zJ^nj=K|8(vH-vmJ^k5|7a4hfTop!Ji9ctB`?i9uko{OU*VMPP4ygUebg zATEA~!=c}YgT4=j9*qL4ZUFPZ%?S8rx9?h;=SI8lYKzx0ATE`!Iui9P5Q=b!IudwR zP(5X^20}p#CW}x4%rd|$_LsN-1Mx}_xRQd3nZEI_-~B&=Ke_(}>!OVq1O~pz0J!`*;eRFk1(6Vnx)9JnKjm;I>G83MC~ zOgXR&e62fgJ{&l_+j~FX2wT~2i?sZR!tT`M0EwKF?)$6J~%ga6g$jz2q~x27eA^d0mHQAHbd_#q!O{j42%+aI$X1o2RG;=X5=$!a;8_gysmPq`RcVYN3qfcP zRV-N;WfJxr=R3S)ayo>6brR(dys0@Y!ORWbj60fi0X}XosjI3Ab zWOB4)!VPnBQ6H&C1&ElugNG&1e2t@Wyr|Z5&@MF=EV23S`IyyUR$Xe(UvQ^O)^{g& z!Kwx+gS3I#G&^2T?KH%w?t;@x7N55?v%4p1TsHDt9rV=KT9s#F4*PSNFcl_0&qPwb4RK z-M}V4j~O|D*ULC7CEG*oX|ly7UVkGaBO$wA&2FkzaBo$V8I{NKIp237*LyAF<n|&|CXUzq6Xx7xSc*$}tYg_6k~7DtO;j;yfmuOJxzrPfhIddo zcoYGxLL`U`hkOL?>D3g17VH?L7e>3Ci{nzyF7eg{cWBK)L1i|!lxOKUr%4}o7*(u&j z@K?|_@1-|ZD+6AKiKlCP+7K?hUE#)-ibj4ukIM7vpJ}A)PWyIBso>zf_Ll{->lv4e z32x2mBp@1EVchm@nbrieAQleC8x|w zuucrWn@em2Xqi?aJB>X|A8KOdykz^_K3&LDB=A;j&I7up5fc(Z7EPKgy5$b0q@h^V z-t!0`(1Mw{EL}i94huGoRPw`?eYz*YvdR6hu((K7psb7k0p&gW2S>Q7^JF_GFB?5R zxh&wO$v6nukkLVxddth+mM^HeHnN{@FUX&*Olcjhb(0=y`!g?Au2#jIMKsz{vev-g zme0S>Tzi%m@pfsfhMAOYF+J%LkQ&D#aQQE#hWn3zR4(4%Fg|kpU22FUXPL!yZ?ytB z&7sSwdxDFX=R=01#>US=0uRp;ntO!;ZiiP+kf^Kn(=>9Zj>%|V#lbVf?2bA{5malL)Rj|Zg_K9goo zPi&&zQii{L6#C*}cyn4_@u%^cgE__L>a2sGd^A`QCO=QWz;AGW4sKdw&OJvpd}{lOEW7{kU}JRZ*AZ8^Z^zh&=l&hzxa_%bwF6Mc zX4_OF3s|5{_Fc-eZ87}0Z(@HKoJUr`^sJtin+54@G5YkTXF znUA74jzK7D^nDsDW-U%uV!s*%DZ|-cS$&_#IiG_ylEZYy~+*upq>ILOYB1u zPvyI`_r7BIG39;Ky?iwU%m7#mmc@inq{tB0EKR@$n>rgD*5o2cWUHMoSI-8cn)cr{ z{B&x(FtlFn4%qypwyJqp8_V{x(RL{1WlNJYR>IoaGYuBarsJC{tU?WJ`D?lP=MeQb z4(0zwZgBpJ=%e&Ixnem>?A}RpIZvq{7vym>VJ7VB^M}DosCTG}r1W(CUW(A{Yrq0k zkEr#0BxL~m0CdXA*7hK+>S7lUKKw~}a&UCU{=)|zCA9}LPeFB6QAz^b7C<#aEHg?B zj;YQ>B}R#^VAAy_A3yiuDP-jzB4;SR&?<9-ta4l7A;}`1s(Msgm^cMRALw zN~82aArL~JMDsGMhdt0xY{DC*pHfx=WD#ExmOF^SD%5E*;Oj^hO{qkQA+KjgxQUEV z;G%wI%5u$v&eCw?7g04m<-TU>uw>{_=_W8mAsy3NUrRt{%MJ9smG!VBP9Qcldf&=vuq~*CxOY76<_K zeE_8o12OP7D^~vk>Hvuk14#UOXUI~s|4Iu0)IDZvUBeu-;SdB80UVJH0ib^4O;ZKt zEA)Xs17>!_jdfiYu&MzjHEpCR5U++r03mB8I!H5M%~7YxIOfqRNh(Q-i@?tRV9Lv?ME!GgsL`QiK^{!c5hHV(_wECI zvuIvRLQyl#z`HL*d?P?IFU(_f&xp{3FRvUt| zRa*Xwub)?2AeTgz^9Z7P6Kf)AifMfRef$I!w>O%=1BBF=(=<7*e~q)Z&Ik<>Oa;QP zOs0~*Gk;lk**sNTIH|xG+@tU4qY~9<(wX9gSqTzIk*}&2rTEB*#-SUEB&VSu7z{|` zr@+1E+;r)YM~zzTFdGmcHQp=lR9Ri0QnM+uB;hdjj9_f?b9vATV%SrlusDCzLxCF; zg&Bj0I_OZlS+kUAB3Amv%jpq)kuNeA9QTED*#j||#L7U?O@(7q%E}A!C03k|(r{dG zoe8ZI?pSMiyb#>sj(JD~(=TGU@0PNKw|752*n#9x`GUfu`&#Jz%5^`Q)L*=0N{irg z&#<~od?D!6jKlotleK1*!}`pF!=GQ)F6P2I{W@EK@k@*lu*t74uRj7+xp;r4MBx0} z0#@3P#hY?b1Sl6Rs^<5A&+f((_mkPVIm^&4Y{g3Al-^P(J)0~ITe@Q;otVL(JGFIu z{FsSlzrQN8b;0=aymr62V-uT~8ZR(Ok7-C#vX)g`_{>=SUblc?RLs%dv=S&@w@L`3 z?_{AeN~S75MkQm8rScKEjhgR_DN5g-uYm4@5!K_dM;WPc?~6^J&%Ilzl`GK?FI#t% z=nAlfVnv67I*WFAy0){h)O{N#UUqnkJ?1fuBx=z?$qGwkX^mRV7RpUyaK?{FU5B$zA|B%d0Tyq{sBRnLP}#qJ6lxo6b5r*ozS zgza??43EPb8n2ull&6787^-TvHI|Lur760qjHMkQt+!!Q4MJ~oXY*iB>NHw{K9%d& zRDCn&J+tMGStJkoMyTvZRU2ffxohY`WV7$|1y)7yBm+wEeRDibph zT4afXxnUALDwBOgB#I{bO?Dg9;pf-qSm4*LNx3U@vHK38{lUg%|4ixhK#Zh(<&O11 z`^jmB=@oPTNsPy0yAPXrz#@f53x><%bhq?-1-)?VDiw#GLah570{v4I?2n${e|%>h zYg}zi94RUmRm;NQU#$lT#TK;>{h29LRt&A;=;d6*3sou%i$6@ZUHLFO|2nZ231(mN z3B-x=rwMN32ut7laqb55G@*^@d@YhGDHZ;dN-{GEyOBRsTQOhP{5F(QpWrb;S%3a< z_(OJq@0ST16`x#~EHce)C!OZVamGf!O#-{j=EAe>znwQ5>WPtue)LAa9~CPKxnPFM zEBS$z35c&rZeg_cP+&61s+O|&a6chLZhSA~riMn-d_|Mg52t!H5tN*EjG@BZc&1ZT zUn@A&#030xktUcB8%CZ&QKgUUd-s6|G-D7>$H2;3#-(Z;z8_%D^j5*bXGWm%HHm6a znUYkF4Eh_!9-ISLkF%qSpVfTu#YfJc2Zi7u?Qr^w@sH=d2JI;a!w0zK-(2$6R=0;I zFHTl9s(24i_g*$`eDWEc4ci7geQ`JbUM4WcPi{RGtW8RH-S}ON89m<#iVa!_@6ITx zPcYlHVpd-qnEG@c9-pQaW~?ONZI9D^-=wcCLxe62li1c%H;(51?O><5q*v+(drz)zcTua+uBwq;cB&vo zal9pGqx>tdbYf$_r1$;)dQVEI&!RSObNKPg^``dH22wsg4O{#X3ZpG{j@x9N-o%+S z6SuIdLE=*gJ^T*c*Q3!&EVn5}GvCRJz|{|{AM#akA8I^qMe6(FnicO(o!C3vxnugC z9Fyi8vx?(DE_c+9f2T!Gixx7Di2)@FNv)xJgcFl@eL>t#i7Gfzf2Y;B?if-KPNMp3{75#EP9Q-BFmRLYfW{FC0 zI}*JXM8g$~t2=_uMP93i!FsEoC~Iyd4ytiqI8O$P9lfF>{#u$rzCS~)8e)YK43 zHM?)WEV2q%=>8huI%0h`QK-DPlfoh=&Ups)3lTmtd$W4B%6vD^ynprXLQ}otn=27* zA+z}K@rK32fW?E=i~#fHU7yPuxwv{|Ia0;%OVbH)hm!{zTnxj5304=t%9|n<@UJ7| zx&H`U{WtXtMko8{RI!HO18%aX7aO&3ETNc@=94<19D6J2owH>-l&rJJx(ASTr3f)(7r@lKC1}-9PEZdD3u9IS?qT} z6)xKX*fFasb||pFuFI75 zGbksqwfq&=+%YCZ9aHw=ZmwDc#%0s{Nlq%+TAY$evoV)RtL`x8Cxw%>#p=ylK|&l) zcrHjqBNGQ`OFbD0Vsoh~B;C47J3YB(Wgpx#W>2-`iZ8trbKj)$f^jHMH-V07HvTR? ztm!@Zu|OHVoT!t~Tc?=UuKbUtghE|Uc2aV$t%g$awgHebQ4?yM=ME1x z0rt_Uz#)pT|Btr!0BU;e*1nMqQbZ}DBBB&Q0Rcg}C<4++LI|Pv-h1ygNbkKX(gmbT z2kE_wbftHsEA_qO^L_K~=Q*AkJ?Gss87HC5nB>2*)^%U&ccGW@WDyV=;0Q?s0;+_@yvw3_y;_O}Xq1Gk4q(Eg9Z3jqM^9B2y~(cvXc96S zfFTbg5cC>9LQ5H`r-&y0YNEYJ*7{4W0f00-S>^Gi)@z~BceUfyc5m=D8V(QS765w> z+3gSC>yHBFBLIll84TYUhy;#--GRuJX5WcoyQvb#rH@`)?LNCbeuo2KZ7&q8;e8tb zK7-(c{!s8oHaY<)-fyklAMD`Gl-tdg+kK2y)ki|Xf+DaZDZ(`splS**HAT3xybQo@ zz+qy*sii0j2hR)wA`bO-^ZfOT{*TC>9KY4i{`<59Qk>BNt7lqsO-YeaxHR7QjK%{& z`QAC2s$7P&cx@05q@A4sS3<%@pKfHQ{#B(LEu)un1{LZkr5|_O8#_ z)g5)ouv%p}@2wSd&&Mb{vT5CV|DBJ?eX+G)eP^+=A*^%T;e6}WxBina!-jjCFo*bt z;EYCx1*V8gQXS!qp7O#I>7Tmp!(EB6jIq-R=nZUBESn62BBNO)X~c!kv*0eON$X1W zb-fwzT`VIw=B%g5s`0kSV{?v7Qc3afND%>}ks-20+&%Tmy1|bn_X%)6A{3D2xHiga(bBOMh!4qca+}56fQHeGN5AkrajY6rSA=XZb26_>v!bYWgYRL zFY}piB>YJ2gMes{3SFdTZcJN>{ijBpi1-ObdmnfHiB28Lr21*&%2fU>MYiSMDHk3- z*&4OFC+<5VgU!QZkA2Ha$2T$yest0ETvW;aICtJ{w_H=X*cv-O;~p1Q<>PX)zDSur znJRx7mKBEV54rn{)&9680nNwa%7*+JJk0sO7ghhfybP8_fkofmOyhwpqy7xNwq&D< z5bbOac|RjLO$9jxX*fax2CRz+DfBf0?25o%gOpVU4`Gth(hym=6ao$dj2a|*#TO84 zfKdsltO$MpDhG$ify4?aQD8!XhzUyp!=tdExR9X4O8~JEl9UvNz{I3Mk_7^yC@%}v zcfr!HuBL*%n!FyUEvlfZ0FI5ko(9lRk$Nh!x=MgrBcqCrs{qTuGQg7rpdr8!sRPQ3 zimZC9r}=tk@M0rsy4LGUoi`{i>sAQ+MIHomNXP)QCjt%&^5>%O ze}1MsVf~F0Tdu#cYU1PGni)D9Xj*8g8EUBN>uMVKJ6h*OxHhIc&(!#?b%buThpx7P zEL4!J>Nnr$v(g5h%TOScgJjjUPPEBWi|RE`YzIm2~i8$^mU1 z{ScU0m1F@KMi+?b=wwv@Gy=Goe~IZTruv%JCVCDIb^+0Gh26cs7SlQZ5w(+pll!r( zowlu$rQTx+Gc5zX$4K;DMQLqgJssP}3P!rNhE)G~APVmU2cl#b4OoQgwc_851zm2M z1u0ABN)cD)q+jY)sbB1+Eq%)_!KW`CQe!LOqh(v0>%Ss_h3)d~i#Jcb!gt5ou%pSD z$M{1*29GrqRn_CwKCf}*&59gkzjKG=O{c7_&wEe~r&-iBP|j&=4@(!_t7S;Nl@UT~ zdg2#Mt^bX{#gq)UyyVe!Lppy_PZ|8l-L|Lz$zNnUJw)Q)|F2O(*CpPvn;Cn=r2gl{%l=zq#*@EgAdVCVcBUEhWEl0m zHR{VS0;baPAnmtqik^nbnn+oBP_ZGPrvb1mX$diiG!!W-s{-7RFbSA6Ll0LLbvbmoR+G~MH&r=3Es)_Lk1p4WGMXTf2i)Wl>L5-C{IC)dw1V2o zpmZZNT#R&P>tC(5`_I;R%{6$>Hv)4y*lYn+8twbsLm$3@h7c6tsH2g%!{Jzv1Oy)b z%S*b_=KHzGZoJSDFc-GkeZO`4?GJ_>4grV*=pIA&LD3#S|N3T6&~htkvomnM)(zC^ z*$G=BFnW#7@J?^|nw}p&==T%8+Lc#d00#Z1qe}Zm zulrSfQz(wL_((!ZZobod+mcLw8`8K+i|gfGDs}$VS+Srv8^x=vDt>DGP;LEfuVRmA zjCKC)2B)*b)+m1FUXfHaw*f^nruEDpCyRWU>!{-HxWd#IHa7dVJT8k`dah9qDon1Y zP0r6=&P=OW+-^=N@~KI4W(Nomorl@|cdHz}8rqsH44$sEmS%@i^0CP=-Nj|a2-=1` z{`K4KACXbnxqj!U=I=E}Jb<9P=J8)z7#QEC(?+XT)V5EyTxO)U3-={(0&H2Gd~>>- z{K$oABKim-AKWFfu-=!%A(p_Q#Z?aZls9Sd<9W>3U=50@&yYCPY##IF zC!&!rZ(tO{3oS*E3q%4>?tgCd?0(~|hcALj8|e8!DjFk(CPg6TdK3dW0lPN`U2rBp zJu01u$mdcqH@ze#k+&8#l>{bIh%AWoWfb)!mCu6^5yhz`yrhTspM71)&52$6sLOVj zm$5?5G`HnV$CK~rg7XhHV{-(Pr&b2mCC)cZE7Vh6d6V7w6OTXia58RHE^|G)QV=-# z!A@m#@$gJJTYhEghWlw|T9_N=!n{23H z?Yo`AH#Lo^2KT@&l#^1yFWC&7|A@}X@mn^(|2lvIPU@LTq3Q<`ybrQ1B6id)%H{AD z1L3?I z9X=6JN3a>Ld=Sp;q@T&bzHJ7-?mb9SZj05+p{{PoR53L0T6%)b_oJsU5+g97?%kxu zx)^1lFJm` zOPU?xiW{ zZ_gxa<(_q4=&-n#R;K#iMI4`c5hO?p>3_Ny@C-^4SVc~%A{Ice7{kfd_zi(<5dy3l=^}Ajh_GOt4 z=2&&58dQa7=X&dgS}GgMNhv@<10#cg$pB3Y9gQdnN9$VtrMhpt>i@t^l+}<0GoL)jveE(gO0XcKB&!zX zX1M~gubO>lt3BuIyyxq^);oZ^2s9&Lw*}-MhI|8QhXWB{5$13x`e-=jTR(_Bj5q*G zF~hN7iSBcO)nuvTT02l(KnD~A^sU2Du#y)6?(7YM0W=7ZrZ&3#zcje5b-V&Vs+ltD znR17YbX9X@7y_c8fB=9jun?#TW>7_GpmM1oAP5-=X%K!Wi(VZ9Ylo5+M!$CUas9cj z`8$RH?%$C*|C(r+q!@bhqywWMly9 zi`l*j-|;8^Yuz3%49@S8p1a~*n>BN#IJB=;NnIv~CN|-m;}{V|3K)|3Uo%2=NM{ZT zlE!|~qjQy;H5?mb)MD9=q+Za+!imPxe{EWsI1R(W^27>hw5GZ%L=d#NIg_OQ<WVwZs=h%CT5x>g<~B(PE}v?eXRu$4Z{sW+^)qob_-ch0&XYgKW9mz8 zNT7RPa9-|h`O@=XEl$I$xc-d|k?TzSsZs3%h7yHTibz^)iC3j6KADY#Zqiw?qr2Lg zx|MR<<)2I~;^C?9NWf0I5&$|E|G^?EW*`?A57uT^WJNm;PLTMum? z19`AJD+dEoIvQ{X6$3XIpfbxsGVAmH^7*J=>>%O4K zfPG+yESR6bwjGeWz~v?h7V$*TIyy+>21N#nUhxI%!74z$Qda=ek`5>=YVx`o3i_bP zfPa-`b(LlH6hM(dYRbx}C?dfKr3Q3#P-M_9Ljbr#Xt){aPt^phw!fOK@dO!r0Gzzs z;)B-FfyxCYr@>I5b?pLp-B2`G>jm=p&OijHGY2Cvpw6tdp~j1>CQ9so7MW1A;yw^^ zI2>^>7;!KFhAr?H0=9enH#-C78a=>3w%p_~RcZxv^qx0rj+#;kC=kw7RFPVW(i%u9 zWjSduIVsCX!lfl)h<_CsC{kQhQeWfO8{J%gu5SLnD>8q32LOaBTm|h2I9K6k#HPf0 zjeDF{<4YRb90T;CX$}+U#5i2*7J@R@>8CzhJ{`eZ8*-LOc~VLn;na3X#Tchb9y3s zx~XaQVRp-5GRC^op#yXR5-iufhIDUawBte&JBi4HB=v<9)mXAabRU|TTNFHQ4}$R+ zVpFM@+)!34s#~)=il;Daz8N8KUVx$x9Fk9bXGTLN_C_MuFuGW|Q%cvtVaAjWkDTbu zA&2ATGEt1Oa9+|hEZhn2hm77~f#>P7Dn(-$(f!DMwTEU0_I$vej!oakm`OjL!6WF>tz8f($H61pey@-&HZf|oO|vxO)N^n?%6CdfpW z>#~#GY5x>)m58Q|h}nl`@im*)U{Mh=Uq&;vcjq)}G;(&*q`_=NWA1bvcVaT6NfWL_ za4WU%O(ooRAtRw1s@7orW1^*MD&)%AmayIu!g#Cp%EMQ8!s^Sm?jBmdctLvY=*nyj zDy*~TQ0qW&h(M zGh7_fnP%9VX)%yv)t_zMo@`PYq?_rf;cFu}BR2WGS2}w~&iI*Vi8I6Po zMFt`w4F#um5(orb1@t69k^zTxKtxAt>WZMq82$W;E?CP~f&+WQ!=&4tLSN}={j4Qrv$o{B{+-kAaRJiB{A5 zLDnkpt^*KzFyO>2w+7Aws(K@8vB~S}2m3@fz*tZKuP0hfm)Avys{-@6oHm-Rj#ksr ziK{?d2j4~Cz}rE|09eyim5el%jC56ub=9p5wH+O7{6l~>y=&~(&+DHHo&QkrW{Lod zjDjHhkcHcul$(Y$@FuBUQf6SeajwKwIea-pxr2TmFMHI~)U%`|tH}k?tHSe}_d_f! z@00elwF^~SQH!vpCUy0&7O^j%q*&n3D9_1TYq9XUEb3RrlivlEdp6AdPz>kmipn>K z5ANSP0mNkAq~UZirQw3wwfxS_U0xb0M55zmp)V=6SoE(Y)>ckE#E6Vu*5(x5c)HoU zRcgQO)Ls-*aJk^eYYt^&QvWWC5&H`Jcg0k6k`h!z6j-a(TT%884KFk4-hWPmmcyDc z{51Jo2d`m<lp(oNrRH(6wfeUVL1WT2d|fV#|$k>aKkhrAa{D)S~I>#vE#XXI1A>@%8he1Xpcqs$GS%6kiOW8<69>jHMs)D0X zgbuJ@$jPc95voWTHK464B6Ji%o(B?GG_-7VbVrIkSK9-=RC~|W0z8BFLX+2KH&}!T z0>vAQl3=*p?+ZH^1m~d9fME>gQBZ~UhXDULcD>`(WSQM~vF%1zAo%XyKcXbYh3PEJR5bAYWig zXu`1=Oa@eLAhLt@S`u!gtN-iQ`X5m`|0gOJI=un3hi5AMxcsDP!oqnphtPcq{2T8m zulm~Nex|D6uy|`7^X+@PP?;NXS)_;g+{3e>mlS6QjQnv4${~$XLxI)oTVz zQ@qQpy2kg*!|Tnas`x9nlLoiXR>pXa`Tgq;-t-qVY@588y?Nof?_TS&uSG#ofBUMv z1O5+8Vj_GL0pz~(d%Z>=P81`!u%MsNo59P zpg$SMeMLm;4ACsrdtNc0Xe){+-N?vOLT|ay_nZ%ucK$+1u$`veyU&pOqkX{IlxaLr zMk)V&;v*Ad$C$>VTh>90CL__j6K-r9sCDepRn1|WN`)rr8r<0bJ^24+H!ZQvi#ghF|zE3T6HzHc3*Qm zJ}u6C^zd{8WqsT;9uSrN05%){b9s6Z8~Wte@3((MRb}V=9nItK0V!1&LJ4b}K)JrSqZvu>r@~c+$C{bc5VQ z>el(aNdpbZhPJKMoO37F-8{Qse|!i=0D?i*S`c4QlCC7D#5a(G-YC82rfJ>g)6jx^ zcZP3u%B|a#p@|2L#S#)x2Jy5gEtLVYO7B2Igcsu36-i%A$KujqQ;y2JV&vZ983>Nj zhpm@-8XsLfo_6JbMFYWP+IfM9e?`CGqkHXwJcj_&X^Ll~jL$URAU#8LQ%zTpL6O74u0NHV^ISNbKjLfSxyPX_YUS>uH`@5r=3s@KNk{ z6_%$pzcCrpkl)2kT9@WZ)gS7#-4#4NpWDn#8jBpneK$2BEv7uzSEGF@yE%B|Zu~?s zi9j}rJGUsMrG29L$fY8yjY|U#?PTcF?@9qzWM!Ln2n_ zoCDTw8DdfEqOhwUP3j1dO#4pM9!`90|H`-gOe3u~I+Z(v!bM<)pRTeN79??1gL0w)ozp6Xe%bM-@oHCRqcjpd{eI;ut<6idKJB5U#%;MK!J#$Ao=kxsB zrrRFVi|XWee2$MdWq2~*V|Cyk=CkH}B)Ww3zH}SWqP2qZkHZ^cMLOLqpi{Vc4mM)W zE%T3mS#thd?))a@8BCSq zk7nwQuCh0N8;lOSMo?iJPIc38NO_~nUhYMtc^+FK2V03G`dM3O5dtiCQGx$OL2(b;MEkF(<4`S;&{oV8_V_`qDGa9;*Si;DPD z(K3ic@&$xH&?92(4JV=<)_bVJ$jd8LIhDBl>3QX+y~(q!b!+NZl0=u-1#jQJe)%kQ znKL3y2!nXVllHCl>lKUROd<8Gs|DlN@i--0v4lGlGn(u_Rp4Q~6~;64B%uH)zXfz> zV+L9H-kF>d4Rt>%X2*PQM~UmS&yt57i8XtTnL0x&zsis0gHO|GF6Qo@&lP$lkEqC) zM4IXmMksQDzp#^xMLl=EkYD;3sT%wU*11(nW%B_NMW%Z--238NG&@$dPT~`YkuH>e zakE6nrXf_l!Aan7-=zSK+=?vKmg<&nxqK>^h`w< zI`$Bp=pljXE(23QJDAWz6wuz~&<_D^6NIY(;F}20)xkj?(AYty4A8+Kq5={?5Q9s| zNJ}XIIvh|vfOQ>sO9AZ`9Or?-3E03@fpr~dB5)vf0bN}ii0#0}u85Yubd}&h2UD}w z(I0t_MgvS&xqq$mS!(oM`slsU9ROtZZ$F19plE&T16Ct27sUWvCYYx7fW|(ImfSac zgXij9W+ATn!m=-2 z$3_i`gvl!+Kx&K{u+qyymE?f@C9MoR_~2Or>K{5jMp_P>e(J01{Q8CdbG7q#Y7Ebx zZ^BSWLqS_ZdZxzGg2Em&pZ2wXjqC0i7$`qE5+o=oAQ&L znY=nexCj*yElER?Vn2J;7*eTzI=j@A14b3P0b}S3<(IV06u%j{z@M zSZ{3e-PTnH7mI550{MLTK#@wtZ_kbvZ4x9^4zF~}i^(i%sfG(-LI>QSL^+Gz;r>{W zg*_2OnIARR>=V-~Al<&<$D@jw3Qqg!Yj3<6duYj4Ngb<#lgdS&5T}JrkR**F+8^tY z*w}B#G_`G3`lJ-p>v$=ulbYtLejAGAxT!NZo?vq8vDyntXG=N`4|d&|v>c}7b=rro zwx(qs9T!|ISQ>n7m)|(4sTx%NZew$(W91y*Fzskh?e4_ndZ?(o`{9F4b=|X_{k89( z17ro?z@Yp=iY=41aY9`xS}k9Yt@csEFS0DPD;1%`V4CFkw4 zUORO}Xpt(m1Qs!I#LWOMJz6Xgz6`ab9H`#@d(`T8e|hG}9@X6MZ}fb=?KFLDRHE3k zK02T^PHn$)t6Sea*O9!6OXx?h0OMsgJSl&FOlor>Ui@0|=U)zpT8Ja)-zYSB`|?ov z6ANPHVH(C3;M-s*R;2q=b1!bxei69G*UuZ#-Nt8{Y!f@biFv44TH=4kk1I|zqUZ<< zyu5%Nvc~AU6ceu(;>U4QCZ2^|E$U+)54P=#D_Qb4RZt7tdwt8jqNU;Aoy5y1E1feT zMUksX30k9M@h5eGZ13)d+^Ldy&YeejEe~hjXe0KhetRsbGXJcyXSRJOU4Or8RpvRL zQ-6r@xJ)mZ!q7|hY39rc>CQf>64@#9=>j*k)@}o5)%%U?33=|gIl>0Db1xIajs-P8 zqjC>OWI&v^XL?@$DWKem%H@6kWoPr};_!cdygXt1jS|ECHxq1LPAL%GbyZDuRE^aX zb=6d~%?u1eJe_mH?Yr__zkUo|?+9CO4_@mCTxmglsRe?%FNiGtsk?`OJ0K5iwJmtF zH)63hWU)D5`6C(zGgt4m(FIOYf!7qs?EtV2crcM$z2V@C;4lMtI~G0yZ5{>4F-!F> zA7ZpLj42?RY6}>*+5mvP(#s3N6Ao2 z*+fsn)>zlk!7eB!u4ruhzxm|;a|QG_t|<)eXWVf(0`6>FvcT;WS-QtU!l97wj*$cO+i{jxI7DxSD=P64bDeq37_nx1IpYIkP z7CXR|9rO)^wB7r}waVlXjIZIZFQ-bjVMWlAD&r@m$M@GtK15W=*?j_0m-?AgIp(%} z7N4#-31xB`hTskvZfupQwO@M8kuHiY>8UClouFGiZdihU$EbBez>~J_4m3}mVbi{X zSqE2s8Tz zWM?F2Sty}^^}tTGyuhVPzP+Dbc5#}eYdNFp`z5Oa;uQI{{=XELU zi;dl>Z0iwVnkKs=t`YV>C;K*COGH-v;fYm2vE|8+j?qVPmkTxO*|?5Z(C4RvE|BJ5 z7xh1vW&iWq{>=*hw=nHtVA4p@8_2Tidu!dFY0{RYUm32I?Wy5!D5EQf06XZi5Cmw7 zK${2rU?5K$Dgg(*q8tGK!W7Wwr=V#BEhWg;gDZg}P9(sx19e_f28hxBIzokr0#q^# z^i-1Q-A9P{ODPEEQp>)sL6xAQBF@2q!j~29%%943YOPXgo6MD zJrGi?fYbn&HGr^#lT(net&G&P*Ebj~@dW35Qx&d2e_w7yEj4(6g&}lGAn<1Nhky?7 zTYu=@KosZ(fdLHg*7pV@(fIY@*zNwXl_t-*TF1?F)c73Lo#jYP+9Jg86_eh_##FNo*;eFxzR-w~7{nitk0 zV`;8hc36u4P+VrYe0VxnFb=PbBs<->=6;u;-VP_tr%7V7|70j^?9;Xba5ME1zEZ!? zoV5!abmC%w>4D3hwW_n%e@UBWoo4Y}! z`ZU5nFcTq84{4iGcR3lniQ6e2_;%#_Gb&%zr^BX>gjaa6e6UHVB#|wFSW%{*Oj6R# z80!SFn$?Ujj0{FFwXq5YAMlis&^Bq~r+#;Dz6kr|kiUAD^6p8h27^LZp^7y{rDB)C z9)q49muYtyhZN?DaNvHwIDQj?m5PvEy!Gv>audA-j!q_@mbV98cwc5TnYSO)b02<; z%WnqUGMUb@po6q(aS;^*y~}}B!ICW``Q2PvrRrA`!-hM>jm?T9i&I~$+oe`8+?E!V z7j~@+?@bI3o>hxaAWwVdp=Gy(@B0w>-J0R)?SYYq=Vul5`?wEfQGZeD#wrtH zmg7rRj2Qv`m%xXc1aCVXG#PMTZZe6`K@IAyKbXv#R-*}$l#POpL_#d`nQEgtcK zc!;jdM~aW?1k)t@0#<66&*%iG#YJdt@CJJlV0(Ld)84qBvKihU{!lTUti$t1wJ#4A zZ-ez<#)OTb^2DuZk;{d zYfa}ZW}Hvj_{PXy+||7tl^~m>-1_5-osjiDX}j~Dksd+TDBNT`Z){DFDpS~t-d7Y4LiASj5uG6XHq;w}iPML` z8#dzZUSDq+e)d|glz9Keeq~-#Ce4o%6BOs{-Z1RxYZ9Y}MsKrlylp$6ga=jd#;c4O z<&oa>Q0#Q#Q4hq`5QbYJuP$A^vqcakIgxGX&3;^n2)8A`BY#;L%ZxI~M^G;tsJU?V zsSB5iZkj4Mcw4tAL+X?B9nFhsG;*WI5vD(!Nag1XbxIX=zkWroOne_{A>*%$37m4h zTcuuVPWj<=#@v48(p(Wg-x;mDtwsvR$Mz;28p6G!YgY)Xb5~D4>Mpd2JMMnmO$kE% z*suXJXUjV#S6w;R+IC4Zabnxyd5b?TbapzE&b4!cRrNH(v6H;@a#SU(nu; z_2h?O&LaD#V|Zr|)L(?fj($=5l--sYPYVxycn!-8cbw|V`=``ItdF%9L}9mV-zDH+ z2?hu#d75L=;nHh0CUaizA#Qna?PJAF_V5u&k0&%5 zIEF`+mK_V18_30)m-lmG?~SqhbTv($sh`mh?GYWSwWt%H2(MgE4(xDItrg1HUXge=djq&QQhsJ8?t*-tAC?gXnfaQgHZ3_#hDH`u;+{ z@N=?je&W7CA{-F{SD~`xte>Y7oGfOx?3-dY?N8Xr;wmcO)lzp@iM3mT-aI_`a_KqQ z^_MA!tWgP_F0=j1A*tR5(zllMmnfmVwvUuAX4NmYC(nzf&->*sUU@htU+fL?pCw+n zm7OoDoxLA(GRlrB&Z(&NhdlJ^@M0H55K-gXef5Xn_eR#P=;w<#xG}4-EKE$QXp!00 zXni)v-qg>QD_&}bkZ-{j{})6Op7$&#jr}b{Nt?onRo6IQq?vV4>b|BH#%G>C6B^PA}q9a7X*?i z-f!3JNFO{*JL`zxvs!uPxGn0O9|haj-|rhAUpGKpU#|N+YCPBWIVK{)=9buF)znny z?;7CaPm34Q@$2gIkI1#`9KUl4{qNO>AXq{Q6A(GK&+^_Qk~UQgmTcPGu3%-LsGedO z-9&McWMJ9hdOy8sYwT50lHOAuIw(j~;1d(7cE>TheZD+Zn(~lj(MNZaUg<@u`&8Of z?zx91{5pPgWOSIG$t`>$E0-IwMY6G+2!wCnCyYjRT&L|K35&?lZVV3*Aco`ZYId0Z zg@x~h$s0Tso<@{BU#l~+X>WNNuUXVc8>>oc$%t|n{YZdgNAfK)Jgv3P8u?I0E!2pk z%TQ64MxCy3I6hG5-olvpH%py*m9DZv_U*XbLui&w(iUl)%VMuOor#Xz)qE}222+)T z+R+X|a+KCEMg8KdUT?GG@~P!B$?WJYh2F30g>th$3QU#Clc!HPd)<4lhH^zpY zYsw~AvZ@_cKaI|{k8hxE2h;lsWNE93@)HttM7E_XpvdR^7f1ud+2HR5(gk9dZi=E$ z0_z0w8Gc!R{t=<{e===R{C`e(rFwb*lkvwDy65ZtooXWI23@-5`(9(=q|3!MeC!5& zTl%GH)#IjIcx_w~Dmp{7OWLi0LQPj_+z@3{{5hJH?5Hp=Uf1Os3Q;yYv4r{*N!6Os zD@z#-%`3C(D`Qm0;{o-Y=N@?Hri=CsH$5z>(zq37LQmBB<0R-tdtSoj2Jq;jiQ9NZ z`iN{bF@0B!Q=5k+;dp21@8S8roA&v$+oA2vU%LS;W@7yv?@en>Z|R)a%3wi@I`Y`t zI?+UIy2A6wza;NY8Zj}Sq+ap06u_#YRqxB`RXP$eG1I$&yZBV^=_1tu*^P)p-t-It zQLh|T{oz{dlTl4LvjPUa7;6OgddQ$5(xXC^1(lhO+zqPND0`Re-gZ^3yW(t1(>?M??|fUOJy`{cWqWrYzViWC-l;HCLrg!rn{H3wA+Vo zN#l$=##apt_F3>mrLB!sPIhk3xAR%-Q{LH|yt_D$n0vXoRP%9RN&B?ULr1rLdNuxu zmEi+>fiOyfA%pzV;sEycPzrvl-Id&2DTO28fN8q|nf-O~`E%9wH$DY_bK3g1P-c)A z0H!T6zoWA}8oOv9BJvs(!i=46wV1Cf`$Nq*N<F>uI)iU|q6ulgy z6l%_gO-Q+o(?7SknVM8Ef7;xcH>qd?yYfk9OZ)LCJu|rzCp8H^+ZT)lsyQNjDzE1F zY*LfxHOy#S1n&15%*WT?X{pnALy9G_V|d9JneJ1~QHi=^xl*y-!S6u{?LGVUlG+~| z=9}yx;yw3SRT1D08!+T;M`T?Ved%KI{9^N5+dY ztUj&dt#)R0R2#ZlZldGhp&NI5yQhj%J+YPBB!70wm0zWJZMBv~N--*+Fr3~bbIxD% zKI7;(?f?!RQR{^uJyDzuDnSEa^0KXRf4N7@^XFRfe@c0OEYqhX=bGv}0-0W0#aKmA zM^#zNKu6ch-Zmr1z9rLbsy1Y`70C2K8y!K*&8XR0w}p>jX)*u++kw%2y*=P7km=h) zH@YJhnuF(?{Fj#Mgta`&>^V682?kI2aNZijjm82(*tk(*IK{jX8(m+ zhui=aWf^%TIgS4-)61b(InmOc0-E0r7&B;@9=*p2oby1eHvr~&K%LQ4G5}jyn#v{s zHe;l1XKRTH3C;fR?D0H*u9E)7wZy^uJIcksca)^?ZVM7EqKnG?A)l}yXz;z@Fh|yV zl4Y+4KMCsyGY@dR=A=cP-D9Ubf#XlA*-H)3FsH-ASri8q2|q<`LZS15*FypdU+(ht zK$ec;WzySqI$O>b3Uc|F`R)QDn1|oje)sQn*WL3kCLKIB6FdkdU+~|2(U9LTi#PRg zzSR+;BFPYo-9~$zDieX}`IiAMN2m9|?$c);)$)gRCCmJe;*xqr4f024uc;sTC~WEv zm=qMoW3OPytbXa*#%hbNcItnr)sJA$KXp z)QfGLLzy7cRK(ulh;YnSJ=I+qE^JQ{@!K-=be$;WCbFSN0u1TA3cfOeTjY{3trcKD zqQhr?twrBG#C%t)9D&!~xE0g}Yf$N8o*y!mi8HCUSVB5eSMkKf zhxN|X-FD--n-HX4?Qmeb)HZIcUy>L=V11o(D%a=J=3^gJ^Vi+4tb3e}Wf!|m*#`-A zUHgS@XJ%T~V+nXYCeqr=b8+pFx56~{b6$9~Nu@Nfx}Sdj+$sC8?O~ztIXH(qY=%_* zx}^WPjQgKgI6KGhh$n1+tKXlh)7q0QdNRz1axB5-c5AXxRk&`pw^o3eqMjVsUxL9z zBw^xEU;~G|gaF3|+T<7-u z5399F{o<24+wN-&1+ABbKmrU_?M#JdN zb3*#e#84jPuM5HYW;MhkcOT;TzTq~k#^^(7M!!o~lFzP_$$yPSZ~2$ZC9vvKo%g!n z3l%o3>-J@>=X1A$n%!sZD5$E5;!Q3eh-MF)UGBBcRoywQonP8m_TwO+W*;IY#lpz& zbfaBHW~9F=;F(Wmy^QsEKf>E8kRuQxNVbIE!W)B`z;SvLmk(o92=66cy=M|aw=~vF zVj$JKdQEvrs;KsB+-`Vew`Av&ngVW0d*{^LA>AITe*7QWqW(zhRjax4Iak}QwVt6( z!{a_%YyOYh>aHShEXK?0rZ(KozPcREe6?I$Ha~8+Uz^M-ne`7b<`x@8g^fRI-q^@K z4N-q!+*B1vJGIc0xvB&D37#}a|1Zl8?>{2nva|oz z`1-flW?=XT`v3L%M^e^p3F~gXDcSc!9^+#*AL<3LFkQbL@Ti(puP|GWpXsO07 z9e&$uBHH|pcPUSDSdoXE>oX`yV~pRRXT9Yu=RL(D`wsCIkwZmA?RD;PsbS;D*)i?aygXLZmGrPc z3T$^#&G6UZeq**J`Xz?qp|_dL>8+$DSrs|xQBYoEapDkRBm+}3oJ%VtFX6~}>wxR| zJN-7oaVxXs(qcZN#q0vNY?ag1=&XL6($GhG*#`YMi%t!-WJq_H8`&lvl~ZXHyW`IJ9H_=G~moUk$Ci|AZKor$rD z8LIqWG17<=5(mHeTJvBX_b_nG+y0|u_ zp^;ofIpccIoX@W!+ST`Fs*KVSft4U{b23)Cx>%#pyix5YVMZFb zoS-gIwC1BCoVwKQW5w2BL|=lYfN=WNPW-idorJ&G}1i1m59RE1obZEd_f`xUyECg3Dets-XmI4Ig8X>z)9 z+b0!TlAwh5SYLetrtZJ*y7rn@t?rqX+wRa%4>R-aIA8-=5Eh%-SPxL!ZWEL`Cg$g* z&~3n3wMZFp-)mBbPUOQjogIYOcsb@NyN6Zg$m{MT)$kndcj0XwD(am-i)`yped@He z@3;YBB9=bArHL_H2bKDD;rT}dTz2-~+THn&!qY7Y4hjX1&g7I=Yz>z9ms5&Fuf9gX zC(GcpNMTuGl}Q@I$p=C-okSyT#r#wm;{F^qwn@0JN_iDtf;kZ=4YtipUG=C z$Jf7alq#A_iARdjfrkZO5SgpM;HB9mxk=i=9?01ui>XK3MH8M*#r}@?Em029JDInI zBz{j8Sa;v4N7!6C%8Ikuv@~zD2&jF9L3mkR6zip+`&A&B?Mo0hP;e>=$9=q{r(uvK zjene9vdHdr%>OK3o;}6ndlnN9c4u~js^ND_5pGcjU2h*oLj86e^ z`KboMqboHf_ICXA7s@D!qA#CETTRS3duz`VbPbq1%HDTu2Idi`v!OPX=-OS;hRRq{Lf2g*-E;ZRXJuDK|yV+h10l ze?%eW;QcNC)4xF@eoAFBMCWS9>2N0gX#6)+xbpZS!tZd~ZjyJjrdRl7$(6e3_a#F= zlW`ky^*-R*;)*jf9d1))6=B8_$sM}I>@6+CVCeiwi-k4LqW-p5!21^@i9u5}ZVd@R z*TVRk=g+@MJA8LBaa261OnX62d*R+q>BdILU+psuGiue`BUV%;l2o;PfOWma|1A!+ zX9gour1^u}*%gfrq z>U@U31*M^{GOeyFRbx`5ka46_=2S3|I|`{$DhXU1N=#taSYcp(-Kp*E`@nZ2Vjb7( zFCy#RFE_R!EGfG5FTUK}Du3M`$N-6qjcJ-t@W%wYo{q{{8rqYYT8l}o{Ay)E4Y`XeLHtdhCJkL0-&emFs8~4lOij~d= zuRgalIvW>$ygAuDd$x7#UiPxVKF-5l8>jcxiTM|k){F6kAIQT^y=_~g-8w51&EApd z&dk-pju_c)+3eEu(!;q$9Pa+GZU5_V^*e?b zw!ft~Pu8ThCmHu=Sq zO;ox90{dK@&q4zVI4@UQz1G@1fdw8AIS+sfeh5&3(cXDrecDCa=fU1_)FH4qfg2dK z2GPsN2Y~-R8h`XD9{kKcnkoU%5gK^esTX1F_e?_&jlPb z`HwM|F;xWb6U z=K&Y3p~yQT<6GWyLJIDJ0o*CAtgOq)yau#w_nh@9ooXE(WK|CD`7{*aTvYpL@cB@N zG;uFmzBqB|=Xs`hGOWS(xxjs;oEsstm9*H+uT73G+Jbi*<0UZ-CJ}*9;==3N1gm82 zR)+CdBb85|f_jw;s^tne?mTvInchm0&qOXf^QH1!&lmkrI?Pqd``TuKB7%Ah&zAJr z&5#>RkD_3hw{VT`!!fK|wF5>Cvq!t0bKeNUkhl@~g#x||iSuQ9DT<35O0f$kL&=t{ zPjY7B5kx1_8ZGr`=bA2GxueA1VE$kZ@4hw_k#(fFwLdkARP`3QtO62&p+-kXO3R@q z?j|seS;!@n$-4&G8MPrI)x#CgQ#K5wq4T3dNpxO&g=9>CJ``!ZFg4;AEK~YFw7qpy zlnvKCjEV|aps0wbgd!kH3y7j1APhM}cXzjRcQ;5#r+`RFH%K=qDbg)10`i^Vd4KP^ z@8{(YeV*@LYu2n`Ko`t)UHdv`pMCazpT*7Q{DbPAZ&4vwc7tY!=M&xg<4-coq7JvFq}b|C71Si3%TV-S1rQ*(Dd*=%F3 z?;(^p+-C)ojz1y)oUHu~Mv;Nxcecg;3P7NR>O2|^Hm=#+Y4y_%w;WS18tkThg~BHF zGT@j#ndq7-D%STfu#$s|@9s6X86h+Lq}b5DnW5J7#d5@>6(K%lRZMJxdGe|^)fuW2INz|(eE8H_`?T>f>4g(+#8f1R zK=;#D?$l1_FUyrT)zCkRGdGEGQH^~%c_!v8Cy(xh_rdWC8hmZeASvUG=7bf%gf_AcC#687lb9_wQ-Xy+@_GGD4-%T#wSY}htR?y^D_qsa_?B-|7tJrs8;e=76w^vPXRBUb!m)7nivEn@@a>Zms;?CFs;N z8SV7P$JRN2P9XpL&E=1|`NX&Hbkr=><@J?hHRWW~WTX`3&(6HSf9B9D9=4&~aHv25L1bwUV25)zW_5hjvWHm_W{Z~8D zrZq=Ns^FpzzBRyxc`tnOnQQW$toEF&@|cD2)e^ICD+%ykmJ(I?AHh7@Nb!iK10Ny@ zRXHh5w6!8i1)U^vq@tGv7x*I&8!)g@k=9a@(o|J2(o!`uHFWjyNvWu6J^o7ib5is- zuB6}O=KoegGX75zS#i0ih!1i9ZEHMAQf?D0DpI7b3b$%{_GQ7IkNFH68q8l_yeW+y zZI5_d#?+p(SfhkOl1KzmZQb=AJMSD>d4UBI%f9bL?nEb|N@IENUCDTGJ-5)uva!l# z?QPBLzB`ATP2({RZ`->K)>f~p7No6KnR?{fL~BSZ@C9#DeZpk9dJ>biQBCOHiBLMu zlyc%-jf#a*VfnPtcf;8dNyDStcDak@MQoj8E9Wf~D$d&mnBowhx=;1~YsqQ>bCdw< zOJV3ULAD@*%yZx=ISC~2>?$HivAnsx4@ z>{jNZvS;cdoO_}TRtjLwupY7C0?9CAM zpbx5>S?9ZNV{JT9-xriI*~^gMq|y265fPM+^p3)M$DM|(wEqZk%fRqEq9eM$rLPhN z{IB1HPR8(9#p;-4^h%P(1{p4?r?U_u0arWuBdsAG_pQ=?q&M+3UeUCIGBHnF$|s|R zH})@fZrBI~m^%!Wj;xHV3|ZPNFW$-zvZ6>aG`YEZ7lBtT%J}Mv0G`E#_sX79c=S)z zFylgSU*c9{VL2)go>wLCj+7U24Wad=xq$nT;$#*vQ9Rj+H#j|Jo(V1j_4hF&X`PjC ziz0{&5mKUFIbO1vffBo+Icn-8lvm_AOWW?*QPy%<$eZ5YNGhJ>*qGZWVX=Ma-&PwS zO(2`XSGF`1W>qy~L1iCn-lc;uqKa$Y~v zo!;%%MR0;!cAqc-5w&AHZo?q@M0MG>-7Gb`;c@S!`tYF_WGbO<_dW)@Dc`5#S-d$` z;bYm!#=08gb9w5QnBI&KqlxN%#m2|O*_VeqJcp8Lhxr5JHhYFmtUodj4}Sgp8eOrG zQQ0qTE~td?eOP~k8$;^Kc?xo=FfvV7m9Ti%8sm(wXTmQ63;rG^lZ z6m#LGeWoqw3nmxT4qb3g3oc#M(C>XAoXBf6HJmF`Nc?^IydT?>Z2Ss~GWB`A6@{t! zw#{!FA4>y867wgMW@%z?c*+qV*vln^*eNOqWI3)E)2o(EdsS%YIoR1BPJRn0EK#ts zE@SM@64<7)teP^=PtCPxe-J&tIBY+ae`hV!v}G>uOAy)SjMqrMvZ4Ld`j?O<*vr2V z$Iis^)XHW7y}^^{D!g?(@cbjh?QfE0e*+%;Kp@MMG2C{Zh0o|eI@Dibc$1QHLppk- z05_D2H|SHdtI@;C7nmeP6!=_G#+xU6Tdt)<(&aB->iG7(hSkT=dYq`>L(+ECXtVTk zi2Z{D5w<1#$p(Br6$KTmS{zqAB`jy!+88VXXD8~*7d~K~z&1Xqb{at|f^i>LA@n^@ zpnC$sk?WEOffF&-&q&XJ6y<%Q{0p4+k1k^fU^oWyO38DuUG^sARFM>HnsSMZ8S15f z!neT5$&LMTBu(Vr+J=D1*aK>XmW`%b+1!HHl|KkWE6=9%r+*-nWR_?zTwFBPXUfdU z&bm{!GBnfpxZ+DTv#xc1<7#qcUd||AohdN?vF?kJajL%}w0onw^vzkz6x^d-`&q#aGP_@#8P#NxR)++iHKh);DaoB&p$djb?;c@ z`A2Bc|AZ`~Mq6k>6DwQkiNNLHMrv(kQ($p7lr!q+?WdFHo$*O&Ugvh3mpa;*3CO1CH0rv;lPDU}-L-}NeCM^%d-fmw zH*HrhZdcS4H@+Rc{(Ssq)$>^gTk56l3j5-UP=*wGRV_Xtd>*ey1SwQX{7fAu7*C`4 zv;(iE^<^?gZ)vm}6VmAB?6sK6>UVC)S#Grd9QMmuEsH_&IN^y8?k@I=Yc`X-pv2I8 z;l<4q_cx-FEb;M4D265kxSjNP5*39QYocP9uPvKUMs#1Mo963N;LWwl z?m(oZcU~2ck)@t!pbn0)GP3zOHp<0#`>i)O*+kc8-tYTCuO`#ZbsGFwue=oTxb4Ak z*jnyNc13&IUd5M+v5|<5%?^{-O;JDkBKJiC%3IIcL}eYg>B{bYwRl*r?yOf5pFHhSI3#=* zuaM3Ocr#FH1lDsh-b!oUoipKVC1BL<;=Yh(R)nvr{kFZLUwALs;jKf~>`%+HcP>GF zvRgCRz1lk8Md_>HlO&-%7jq$Yqbjv?+IX0#SfI0zJZHKj%y>_wk6QRi_+a+ym6X|+ zO))f>PwN=n2H`u6}i85Gyt+{tg^pzs3ri*c(d zP;|+TuZE*#84dw*CVr)<9}78uPKy3UbHzmaJ5}x9iyeIQRFDI|`tVW0^@q1fKfA;` zi{L$@(7H*NL3uZsF)02sC(|CCA^V4r_Ehc5#eyNJd>e&)MR|EOLxk_OuJ$HfOSs?d zEU)RoTD~*0^Sa9FX^^*T;zmvSAu>K%)~sWURr5~Fcv;7Mk*P{-z`Dcp4Tq+Z=i}>5 zbsbHk2D+1i{z-Sm2`ECpVkU(WyWZs#!@~$hJ~hxvmXOwET4{JI{tSd{qMJf^XD;3tGP1nXAglC~pD@YzjG*g_e@PI%@gsIj`Ko^piU_2qKCaWy|s_u>bmMEo*LkKUA67iKo+ zUL&iKqIkNvwU?S&$1qlMmU(8ymu5F7G`Yz|@3msp4yA)b0ni!Oe8 zoyN~kvEnJ+M9Its`vEL>INU*=IUaic5i0jTX|(VI(a?j@Fus>X+TL1hH(WV9Vs?89@f^8>G%2+2uqj8;1CGg6m5?}~&iaCOs(T|L21D*n-tDmW68 zHv9?|-{oX7Ub>$5?^GNcurTqsW8Ax&39x+DaD4@_y8{U>`OtdPc16Z$baizJyoutA z;mcoo7tZim&RYZ3H$^a_IisBM-B@;|@Nr!9Vnx=sJ@ffR`>gS?eT#Qas(vG*Z#%}m z)_gmE$MWNCn?ht7;g9W)x@Sj!e$KimZ!qK}d1>FJI`^!?a>V4ur(dg2B&y_B*upx? zmLoO_2YvdBXIj(+8E)_?xwP<6&)X(Hx>*{7_{ec}r&` zt@Bvy`9~;HCffgCHu(QM{Bmw%cE9AHqoBaw6nQ72Rl}QcLHnG(;OR@jDsSvo+xMDR zG=`H?$uqP=%q%yO+Aoc|kX#$WAzb9Xl(?B3>b)ke5m`t3cGXe?wf(b4PLJ;A-E~@- z@z-=Lm(I+N*5oP~4c*??+JE+C-%jrrE8p8K2E~gyt1bue3RG%(vPh1gsrY0id;($~ za}^w{;O5X~JIk_#uu<>DlFCJ^{!Ga%$(;p1nZf~s;!D;QLRplo_b9M2v4wVS+Ju?h zAWObVP#2?|-AqZ5g5zfUOWYrC`Q+yp=hJ5}0#S**2G>k-Ph9bKLgGX&BuYOli~BHM zap5tlD4F>5tS@US)hfPSC$k_w*$b>B0a|6M-aJ(Jhh+R<{xdNuv7v<4XB*8idYLbu zaA^>dd|a3SM-|-pAaL?S6_eIU4Gj_??H$K(Y+d}ds_Vx zA3juwH&=vqs&0Nku~%%TiZ3$m$JEi|Xb#4xkkI(nx4_=t$o`Lm?z}RxbD~$gK2_A4 zd3JhOkcL ze^2E8W^47Ai0JXAca3j!+fz)s(@py_3_r!GmjtP#yT}FTOQHP|_=QpYNEq{pfK39J zY(XHjkhnNRw4yUBAk+dqB}9U=l%Rwl#fIhpimG8AtVdlzu>S14|KrAk;#?}N(G$^4T(CC2q>cR zT3h$?N9ToRpYaNZnOd}m!Acw2nix!p(cu+ceqa*61|gztV38FF!Ovi+wb>JlPRr>7 zv*L(tbQ*LZC@jG{VW%%@yFUtSia~Y>_6geqkzh8z))BDU;t9s-%kBQ(Dj|f&cBcAu zYoeOItO(3Q#f4?Tml)Ka5RW4vBqJ#-Bf^IQS*j?%C^(8if{+kGR9i*$_`~|=B=Y~| z=YK<9!u*$`nwDCir(vlkucsiTE(`p;G$_`UbkwxGY)rF)%s!>r4;A^&)%z~DfXj_1 z@beSp_HzyHAX^0wG7!;!t8|{O^;&ERoUZqosP>qybp?x?i3*2>Chz6epzj@!(i(*J zxu08g#-?MU)b_Tu7qi{>SIy$VDCuFThVtN?ZwTYlG(J zA)W+WFjRrVmj{0vi0ef=(5owg#SL12tEDV&sHtpWYUJr3m{wQUaqOo{_m6O+Otilv zB>cB3Qlt}HZg789v1}&SgxqtluDL?w>xGRMjgY;PAn1whM_OQLscAWG;P6agFS&@c zAornNZr@Lw48)~-eM&f9*Pl_u-KDE9?GPYm2r;a*Ww7d%!G0C-vimEi*7NIk4p|8m zcbckfCKX55I=9DtzV*|Z+ZibMwfS%?Z>r8F4~{*MmcE7A#CbNu6hWuydLq%$@h(Y; zHeup&U#xY72D4o8G-I@2n(^0_V!1(cxy}utGcI*2HXZ4y_plJjn5$-EOgc=7Bna9R z-qW*@rh#%xq5RV*FKtX(axA0(!Mzs+0z9`ZDopaud(xf^MOAYd)AL~U;H0i)4BDs@ zQpWPtq$q_4Wl27;Xc&mfNvA;8W~iZXq9h*Om26}=)#&7MCSKV*T!-=u4=wQpS`1>Q z9`i8T(}D?Z9ic*7r-k|j62zT8k|t#G_{JYT5aUD8yBGVm6@`r>_{&TDA1e?_&-XpB z$fzDnd|Fv5Ti{=+4;I)R^dXbk1r!FdjCsGF77<;1TF%Dy?77`6_YeEWk2~W!u1RQG zmo(69w^*644RcI2d1yu))K43)mlbdK``P8Vx*MKK()q4unDJJTuYrL-eYb9QOHdiG2#yL&BQlj|YuDY+L9uD*^H)rtDuA|)vYE)3?jz2B`oXP$7 zi~i5Ce4r?#Db}DZ#jrQSv@2B)yl?V-6jPn$J@lkh#6(g2;7}t3q6T3Aasg4uKLf=$ zfHY*e9$6)#F&ls!FdM-mj1mw-r=S6rgZcW=Guo@34=KPS3=)rjStUZcD+GsdbAo@O z0D@Zt6!n0SfaMTr4e73sa|Un@hKb;i2q+E#V=_okf~ZI#6{4NZX6wDD>paFQoTh8t zjwXY?Xn_VqxOVzN);2&jd7T0BBlj^##-dET64@4fTnN76A5loB1;>4Go#z!c)i*r;u>K>2C==~(N$CGh&<8@61rpcekeY+*dLmY#)>3_EejRSln8AVJ;C>0-{s!xs>VpCK zeCA=lOS;)|Pw)mvC|Gg2@Z91(9i4Gfjd@tCg6Sr=bgTv*b+m`;^vjgIQ<1hxL1l>R zZ1_d2j=f{Pem(G15@zHzoaPjb{2%4jjDi?f#U|q!Z;&#X*=blmQI&o{k%l40O(oXI zOHC-PppzO**->q*-Zp`x_0_)7YI~q_PnA3^`F2!rkP)qZ>QZ8C>r4>#MMpVeBd?wp zF9}iCpWyT%XRG^}+9pgMS*Yet+m?YJC{Q1S zX{*rrb=pVsXTYV{We>KO&0aO1e(Z979g)?Y;@|pZVP{rsWte;LI##ZrFw zHR$Cl;oCP^x-DDm>Ne0|s<8K}EQ%E66BOnVLW`&b#K2-pkRM$df`CjIA&NF)6@>U`X%S&b!6Rjr zIH<4w1vVm*P+US31QM27ctt>T#Si9M05j<9MSegUfE+Z~a0#Ojl8_Q130Xzx-5uD5 zQIeO`gnAM3iR97cBRFfRL70T4j_zQg=lm!4anKi3x@Q6E%| z?clTO|Gg`4t2<<)6P&d|j&KEn&qCM0d9@qR1x&ZXL0bk$gT|pg0G>$L;92kR2h%MG z$$)$vs2V5A?Ph9hI#M-sC3#VTlJM(^3&=uJw3MKnxS)(AQby<%60$lVwh8SgCL#%+ zjJCSw@yGNZVL|^Bc8vOeMuEz2v`Sx$;7aqJzj=+&?;I7m#1O%|dM<3YzJQMMofW%Y zVGkinhKG#$X>RjonYd|T*XYvo#Jl~-$?M;*k?GiRGvD8t0Z4kroRjSQ>&sWOuGxwe zwL6;*jC)lUKgVSb>e3Eee!jIkn2oMqwOh7f-Zd`%Y*YEgE-$uI2V3Y0T@Eo75sPyz zo9G4CLD-JsKS|?-_MoNsAzTRfOCkUc8-#v`WLQFE#_`mjlOTf;`_{3JjOl|3n<-_(5Qm3p9E3{T#(@&4-FllmO^?C^rrwb(4V5s{LpX0P9QX= z@Xr6j3=}jG06c4LeoM^&T|OY6`dVT4tqct__0b9{!Vr2UC5e<17edFKNr2#1P+Ah? zyS&0s#6UD0RBr$?2wq`JQ;Xwa<{x1^8CicPTl4Sj6H(x@Zm$T0bI~A;h!=44NPj5D z+oR0%xR=Q37jJTV)8uRLuiEqs^pnra4Mh#9M+x?nlIUmN3Z;tf@tQl!;r>a93h{Jw z+OpGQV|~OXt~SP4lgfB;Xt{Y#yqLnHN_Jv=%c%I)3S*uA^L@FW`B8g=gZ6f9cJtxS z%?K-s+fU248U>4gJc&h)DUONfOY4l`Kb@(bW1Sqbf^HCU3~ zdw#VIyiXjUO=yfb;ZEUJ!E*T|>HdYGKqc*(j6`Q_f$z@>PxvBV-^%=Yp17J+UJOPt2Dful%}~XD{Bq`NZ0U z#blv=ey*YQ5X?<7MMN8qKO6rMT9$#~cl0lRt!+TLini2JCICyVtQgMOU-Fv!W(b5X zm3HqV7acrq|F5nZMOt{FKH=Gcs7XdM9|ghQ`E{7FX$*vd0noL7jSY6OC#dWAJce z@v{QMVD9k2Oxz&V`C&H>vT@TT4hClL$|u~p70>mi4_b7uAI4p>?qBK*Ib_(7&l}4f z9DgMGmN{b`sdwTmo|3Yf$i2iJ9(KhuNEh}MWNfA1Z ze5dbfB%VfA9cVmdhq9QNW)Qo?sW$7H9Tr|1R1g$A=*{{^m8H?&dj%!k&czr zcJAKCH+9YU9!D=N6;beM4JFf1o*>)e!_~P%g%xhj<7VjYv{4qL%xgm^`ij=k=V#-=J}{=nl|=c_rkD+{K`h^Y@uz3{NNVL_~!m-O|`zUvnS>Q-3Nx&6$*JGN?cR8 z@sZe`RKXDuAHu~SPOVEda5<;bX5_jCv-!{Np3#y*HsDiWT=778pO3Y8vTRU1$*7-S zzFmaM3FTi*l~#~vdXeVpJQ7RC$R53t5KgCHzuU)0?ur8aLN`3#WbXb_+ zxFKs%PI7POEQ1fW%L}TYNQc)nX67nC*E7V_S`zWjYCI(x>KOfEnK)j2%|Wl~DG|k4 zyUpx_Z@LWZIowSaW*^xHY1}P+5)xSRC1Y>ddD?2%H*Ph(&Hns-luS0N@lwZwV&SfPhZsq3{MBliDj^d*s9}rE! z$biQI`b{*ij%ICuyFoAGh{{441IZ7=hD#hUqAsp64js6_V;9L|T|f1jz^N zjD#doRvalOiBeLOhBX`wAZXwjIwufMgAcKto@RfM$83Y^aIy77rORx++j0v!whvv& zKqf~AZsFa2Ew7dL9;gS z-M&bm<)Ivc|8S+D-?T$6#35mAs7k`o6aNkkgbDqyC7 zuGxTrf_w`EQbkGq*aJ%c=PdBQm!|Y|f5@@NMrY}&Td2zE$%B78_|r(KD#)m5scJcx z=qLM`*Ct!{<+*{l{m56wee9#{w{nNM2AEp-!(V?C!tFFw=QH0NFj?mfUNzHbe;Nm{ zk)Lk@$9Uk_(eCl99YBSJ!p|=>dqPn;*XTQq_NQ@!=dUHU39fRIBI45G3gAZbFVlE% zmj=1J6vS+cgA88n{|VsH1UsnW<sZozQ=>?^ds|j>`Lo!$mO<)5h3X(nBwJW54u7vPKL( z$(Eo>IZ2Dr68RdR)HmJ?@$f2hpR2dZx^x`9GqyLSuRdE5auo9-FOL*Yb)*L-7m+~P zZNx3$L?8Eu@qZyi}=Q*aEU)d#AFb>F8?93llal;Nq}s1Xh9*BTUJ~H$aMhCC`aRoDzC{Z zr_o~jsY*A%?xj{V)B>Tt)&bbi!RyeJqFrjje)NR{w>VMf3aKP;4=?>DSQd6*LE*VPlM3d-R`&4<_DWPVXkk+j}+*UV~U*&o%)bR9fQ~zDWWjc8| zlapjaZK zGRLaeQ|@WwQ$?XbIvz^#!z?c>1wk?8*%VF@JnSS)EG?`<3TNV?>U1sED?wgAGUH9v z5(8d6Gv^{y$DRBbOy80_h?volvnu()i|Cdd*56yUD30V(rIc&S7Hhf35JKKr+rs%{ zcf@wievYI1u-@Ti9Lt8;I?8@c!jknzW904Rw90qmyOmeiN5t)yf|Bf~WGxN)0$-V1 ziG^LvOi(88QjD{Wh~6zQUNz-j7YSnzPr1aM5phxDngJD9T-gl-+HQT?>f5hp8vk4( znr1gk;~(IsSYpd$WHZABGbw~nol{}FA5;h%{7BF4eqxFLN{kueM~!>2)4_1bzM#d;)_^HL zm@iG@a%(deN?iN~%O`GL%+p3SZlV-lu82G`p~aXtr}yHF+6<^$LL)xe>l3KJ6d+VYOsqZdT}z_&*SWAL(#WCvTdSEGAcHwiX-`L?88Qu zukY-A>vcJO$h5VV;-k6O8S}(0$IwViX>NV@K^?Q<0jy=;RxS zei1~+&L6d#=<)^KbixCw7NFJP=Rx-bOfwHUl%AIh!NZB*1>&3=xN`xxNYU3R9}4(s zF&Kl1$V-VT0m3LrYN*NSDoSd>lulk$CB#Z+yxe`V%6Y6D;KgOO)@{Do1Gw;wBZOH+ z*DkOT426r|W@o^6fERQQ;t{-{C;s6u>xWpb6-dW{N(JDh7wrbI(Hp$c8?rMH2_rgq zAFyQw4KIE(4IblV&~e&yrD_AbK*tG60CCWBi6}^mD5B|e=rTbI!HW)+M8k_PWX=mK z$|xOwH2)E*@;^}m;G;<_v=V@!M&yp|`vDK0WYQmBLr|0VnDp-!p-z(}_AkXTn06R^ z;0tlTJ3P~)A5DHwa`Z8uVCHA43f^RjAE?t0u!dMBs*QfstVSCKHaD+%C={=brI&@N zSACMhx*q5LVRAf6OCg$LWu+con0wFql)C0S2$`F_H+@F{(92p*LS%%89Ei&w*pG!1|L1SQ~yE5uFClOQsl~VeRk|ptieQ0#J9ehmV*lQPW!RF z<~Oh35@vn6nO}MCs|mr1liPfaxO%;V>D-cbQ#EDNF2k(*+1R?55e5NcXaT!0zF6(? zXXT$$tN#~cerHjJ_AhCz9XWoDF~*%~rmacFpW+N^Bee3pl@sk19AHF;f{ZOekR8E9 z3ivGWr-Z&hL;$S;fGZ424;agVn+)1!AJbjo{%zKz}5_4N+VoaLFQtWgvA6jWH+?k7`1!-w`XL0b&4K9j(C+j#D)*AYdG? za0GyvYjg)t0e6^ns9d_Bit&eBEvQgnAP4joyoRwHn$m)?91Pxi(3BRy51`Ib{es3A zs98X4dIT^LVCo6~7947p+I(jkJjcszzgF7xX6Ty9@`(vaNIEDyFapi+<&1~(jO z6v(e2j}>I2ppFIneZ{YvH#seAXe`iS(NMJ~R? zJDum8NU8m92$LVr4{@_hvong-M!QGQ*Xw2>Uw#pvg=vPMskn1ugV^0~KHR6dZPOd` zaTqqfx7&_0Gw}9*4ZAsL*IRim zhMi@_u=X=4dPi6F%QG>?r*SE0YgtcIo#1W$xMo|SGVF$E$PimHDbZsq(x2roTE>r6 zNf0qX_*v&8b?4p)c`0$2A+rXVB|hB1$c)FmJCaSG#3f1`(H@zK?OWn_7i9sPBBj9@ z;aP7IQJjHv{wFoD&S%8;28-$y4@($)VGPk`*rEs%cqTAk+QI5AQsRxl8`T;whDVIk zewwP)7o#Pe*yKf?3tJ=ZjgQQUcc~+lPOKmLA6kEs(|pv(Ve+;bOI77wVirMnVPp;s zDer`hz#E4c@9XPdrjrv!F6t!2hY6XfUl{&-o|S?APw{1>HlrchtS#BFCDE`o(Fo)K zg?=h&&N3cgWQ7tI;X?@V0skTdH*`qy6M+8cNTm)%3sMjw{X~yEfk77l7$GTs)FnZt z-H}jT3JIJ#1pWc}!YjQlod1#G_`^qO^Tx}TR=Zw;sQiHh~B@J+D??& z&(^ts3?AtE#YXS(a;J$Z*STiDsXDkH0I}~1%CPY=`#pXaD_CY)kDm1Ww{@xL^ z@X2fbljm#`T0B2q2E@MSWVvHatiGDGgru09j3jXNXl`E?G;QELFRmgXst8Ozc&fn+ z2h=FCFnSPEg=e&K9)$E_s`Aok^J?G+Rb+IO<;+y%EliC)gG000I);w_fd3Kpjp_F` zq5i^ypp%!6hOv!@bll%jcSJL|HybGCd_)op#8tfu6S@d>v_eLsOf|^)Li9ppEU&0? z_O{M^X#9M)Lhn>CPLOvHsaAsr&d>P8`iYwa9Z?(LjksIlc3S9mxU~aP)0mmgmtOJ1yhFjkzwL-x@3=hwOjc_^>lJmdVU=?=C)o-n|QKq}Pv^jH$=^0kE&q))D6%kzas$p$$i9w_lNaRarN0!9oEYABT z+@vuB%Tki5TP5H-LFS2%d5Q#sn0j~50F#xrBRQ~n@WkPrY+`XT&-3ZjrZ4=H4I4Q$ z5TDsuTCQ=3++{@(PCUi)c|@b#oE|uS6{qc~aAW&c?NFBQwKomghRUo1>4QUiMYjXq ze-&_bPx4%gjNdS|UrmaR@G!hkTDxPYR(G51tHL=2-83HSdxsG}H;otP11j89+4kG* zw+oqU#G;qNDm)tU3|16gRSiq85ly|i#B5v9(>J@`H!(BiA+E@L_+4H@Pj&sYg;0zWSu8{M2 zM2B9TP>9-&--P9Cq4K8IB4laYnNo{A>59rkV;7HbEDJ)^&ehKAO{ z^HhVIX+=?a?F_?RuLep~HAY#B){DYS-<7LgTe4VNWM!b;G*0`@=66nrjqQU;$Y5)8 ztDEZ8vkwo-qJHVcZ0cqhY<n5HN0}I&l^sn#RJn#v`Jl!$e?RmAn{kq4C ziC=MRICA%j62^dM!q9Q@4~Bn;nEMTsr~A7N{oR0NAm#`f5A)c$+$$WVYCJuwJ@0m< zC$wLdc@xRvQ)J#@rLZ#lW%!FSjsE@ABI(%J{QUfeckQe{mCpI;oX0`zE8V$k@{Ipu zNy*2FQv02Ir`OslJa(R4S{aG4u5z~VXdip4Vdzj%e3(Y`u zd10oI`1W4PeRkh_9ke1iTqj<V~pABpY{0$EEe zH~st)wNIR;et=17{dh~+lp(55>Uki>)tjQE^g-7o{g`w6wR}|s@ObD?8lNQ!a3w5! z6W5*Ri*@;0Jq800fhRLQo_K}?rZsDtW%~w#rQTlewMR=3AI6DhZ=*9*;SHPS5=g=Ft7lY6tD#5@d-!$@ABWU^K4nu@B&z zYO<}Af0ShEB@M>9jLm^{m+w8K=_Y1*Caxsi#7@AytfD8BEPKV7H1G>!h5ngK4zKMi zJT!LbcdWyha`U(Kb4>H>9D>VPdGUL>Cav1?PQGwWBk(4|eSJ-t0<54ZxL=5U<;G0H z&*BQC?6ysl3i2$%zw2Y4fK_+$x^n_XG2z#`^Viir6Q9~YNARfjGUnAbT_lktl8T3U zpkDydo~+7-t({*`%%&&F(>Xn%x>h0o^YxQ`YsxZ-{z9|P%wM0=;VoXG{JvQiOtH+eOLGQdxsQV6Ro>_F>hD&bGM2r##S{9 zbqkBP-vih_sTd-kLbWIoYK8wi3>Jz5K)wCFAyt5G{GoOp} zWh5H%vT_!m6p{7Nx9jv5JRB~Jwa{&+zvVIO}Dk;12Qobj&6wi05l7wQkA zbHu1*c*y8eBb9ELDq^)K_iOraMaZVFs&Y{;W+@S6Kb(-Q1Uaxw9`bDN@Zqo+XN zYjJ^$%pc;PmBnU!O-_H%Zur!`z#z>_o}6{%4dPb59u3Xa&$p(ne+1<)Ej(!FdFGN_ zCRxi!nGutWZ~frfGn@Un?@Ed+A7?8WWg1D&U9#B`(x;9+%(I?MyMJKq#r#&-!Ekhc zn{m64eYfG(s+8)T+y0d;0p*mj(u!Di)|Xer?w9sAUtsuY#ky|4)}uQq$7VSsF7!0~ z;wbR)YUx;XZv{QrTc zqx&5{*1sZ4e|dakjDB;xepk9lXR1Nn8_hyrwN!gWXALn~BrK)Clu=krL_%0l3`7jj z5u>|fXrhHA1VC8^5_@3f{)eQ4QKJNU=6JL}2qQ=!?_i5ikQd4SN`U)lxBJyg1Q#b- zN6*d0&&Msm{|YGr(*%BW6Ae;&*!)GW_sVE1$!bHZ67bq+y2fjw8m0htz|&3FIKz53 zx>N25*z5wmJi3YA>Iw#K4pa!hzM)N^VNs_GwgO=jFm$6g9F~z++h7V1{G%VOS^!nr zS`W0?fjfiHM8i8_K+?gM&Ro4Gv}5BRt-lsqcBE+-%Rz<^dWi@YyV1HckS_?!povH{ zCxArq!;DoLB_alYl&Svl+69JxgrsBqkCah|{8yBTnhpyub0#`x@KJ90aB(Qwf0CFn znqgva88Wfxd^W!FIJ$21`m=j4d-gt*4Szrd(7QkEx%$|_i#Ra(&WA15i3z9K_Py3c zWfn_A5#F&I{)%PN{r6=&lo!9hT-+HRsF7KeoE~T15SHI9duIAwTf^QTpZi~^;=RV-4mT7nuJ|4mA(<@U^kc`nWvybi8M zFLA$-HYDa`aNvq%hFw2*&NRRAT~5|LrnD(+|CVXbC=w*RTl zOzYgXm~`Ju4|UuIU(DMew%6(pFkgmXgbJoLxu2P|o82oLrXOUBSaWPLtY}sILch1u zQun-W^H-DR9@BgiPio1}_cyR?t|r{@WqjxKbH86^ZGCq4M??x&9m3vHw9Fz?ImJSP zXTitojRhO^m{D^|O4@ZXlJKfwgQpADtX6p}L&Mi~*^HNdL~V=ah1{BbVpA&gc6oVj z7eHk=0{QJ&P+|Q4ft>rja^)`(UR|)C6Q$9bU;sPEohkaY5t>DQ>T$O6mZ~TjVL=oE z=zCF^W%;`?1EGjEj^MKS62qk12j<*8n3l<2MXN5b$Gno zVWQk|sv2z=0p1Xs=oPf&!yt$P z+8<^C8{Ggr{+nI?N0L?$M}>pN0Ty!R8bBQ33JlO_p?QC%?rUZAhOrFLawsKnSQ!!l zX6|UN2rEf^NR)s$s5V5A;sSia`WjltAH+YW<$j|KWupBZ()I7OKT*JeE(!@+%MbP$ zku{0fr?VBQ5+!F0BM5n{HEFX8uPr=XRZuJyjCf-}Zw~sV;nWmADb*yI2Qe=84-%b{ z;)hFWBI-6`HmoXV_mV%Gez{|9BpGfSoZ7+SVBImk)A4@syiG^0zv+9keY%6a{3rVb zw6Av-TDRS63O|Wy8T=&SYh!S~K^98d5^sYufob?ykKfREtcS6#>tQebg*tj_QRZF3 zL8dXC`DMQj4MT?LW>O3+gc?IeaRoE^EPe?)pEtohd>_J8{L-QH>(|am_%$@V9~B_Ip*I**CttQ;tTpJP0%ps1^pqLL>^HEp`9NB+_cy8#hQ?iLwYhhLUQ z7jwNiL8MYJVM;x}Kz?uz2OodAjcXA_Rx z1%Ybuj*8A&;_^^x2msM90-8wJ5a#0%6yO(unId}a4{qE7;=uU>jsSdsQvOIh4pD$; zd_gPd{{<^h;qVH>1B?#9hX%qc09<&WP+%%i`1 zSE8q3t*vUTB%>)SsUj<(s3@zZD6gTdrs-m)pB-vhmtftSXFJ;n8hO9T3de8dQ2Mzn zG<$vT3|N8y*Gi|amCkd`zLQlhV`a{h)h^%49mh)SX6nIg27*A~V-8+!gGmdTN1y)$ zZ+g#t@}8>k7y}-?#%r?Dp*ToaNkT&Me+BYjCj_CbMY|S1W^>#ebf@!}ksLJ6IL;EM^2MLT<{UT{-K%@HV->gYRMJp1r${%wHFa!-h9;$5 zpOZo5M%E0@g8X?NE?OI+7|B`N%JGe7XYUz5WxRTkr)d?FS>f)PreP3{e%CaWsv5t& z!|!0zQq}n-X>`Os?X%5zW@ToZ>r1bBmZnxPQg%XNrvvZwC`C<6Ds@sSRa+``qICP_ zp!uz|38(~R!?mM|A`9PSZuf?wfP9CxYNE4r$%@7s?d87}O_eJL=E0V0)53&%1otz2Q`# z<5ljNlP7DgJmT|iTQ6CH9GG^Bo_*>!4)1cW7Y_;Y=cYG*c}m^ilH#tdr9;koJtB@h zD%#g$)#JABm;e{g^;4B8@wv_?Z#^u^x8CD__C24~^rMSE`?tDByZs6*M&ImgJ{Z2* zZvQSxwwb{=&^|gBwrbCi_S^Lt^fWnf9LSsTbTmlp-rz7ghLp8jMaY~q@Loe z>|sdIz=_LBV5C7}B>~4;NpTSg32_{#LIAtre7mVC13fFEk%v+qh=M@$Aka4iGb2bv zkP;%~rVGWc$hIkjL6q`BfZfQd3Gj^;#)=DJQG&>}xv6SZ1)Uk(Yn6~nSFPa3W?zdu zzLYu)mNPQ{L=EDq1`P5n%~0Sns6U9v0QjR78S!8q1aJrVM)u6Ma6lS> zZw-&SB_+KF>|3~P8g?MIu+I3Y2nk4ua@`i%I zTq=4rQ|89r_MUe>ltL$HCvy11nJkYGNMqKT*JeW~4FqPo2qisBk#w)M5E}`$ z4e8+Kl)vUu>8JO-HDh|IgkaI#m}+*b^4qJFqf~dpC0n0Jew%+`_iMDVqm#V*qP(8x zFV=^%PkmDQV@in)y!Wh2yqe~4ihp_|g7|7n6y{JLEYyfrO?bd0T%0XvE%4Rx9J8S(0+i_Y%`%Sa0NEZ76_C9+6wG6 zp|D#b=mHSZ4DW}}w}ejA`z|(zfY*O(bCKg< zzEww>fvq}#p(12z5r9~OQ3jv|2lJsE`~)C*5gv$JR9a3FC8@5cy!A73+Hy+ zlM+M2LJ(a1d?A{AiC-u{n%1BtPt5ShD-FX7Gc!E8#CRjt6QSsK zv{4L0^wbPx`ptB%eJTuz@0MLng`P9v&F_+`@6~y_>ach~rbA8b(VKgZimrbi5BhYk zA!}`Lz_8$6%nW9I)^oKebK0TH$?EOo>(stX+s8BVKTSUKGkcGuiD!$GQZUgSW;$}U zN*bS&5g}vFSfn8T`O~mc7$%^bu_5ou&3t1o+2fPNt$NjR63)A@7vAaQe8{}4wueH8 ziW#RTOTb+0vDYVHC!E=s>BRO`bL@##do}-%MfaQN@sQxFKJe9Xr%tPAdyQtjT-qJOJc3GRTzwcrQ#$@O zQO8&3V)B=HuOsIk9B*FDQl1O=alZZ1-N8z7+uxDDH^8YK zW`wuj`qjB}g7v@0m-=+~ns~5jLvx~WW0GNcr2bo9mFEtME?QD5l2D5%Erpf>;XABb zFu-iuatPQ>aKXS-1Iku_5_kaLjo=H|0~I#s7NlelrW#mK(NLQxh8KbCJP^%8@{AC~ z=b^=gFydlTXcR$Q1TO_4dYhZ4nu38c0i3#;!S*Jj6`tdjumf#s<;$HxX9lvWNn8d$cIsD@6jl-t{ zs-E@^L>1&b?r9ul`PSN!7Bgic5!2#@HHcyIq!nWR@j!^>sJ!mTDOL;Jnbjtr9QUCU z@5d-V6^^D~INiH8Yh>5-C&yqz<*iM$lil^@n>1@NId(A$P8Wt847*0f&(1dKZ=7^x zqVlG$+IL}J6@{jlcH@m7-LDNI)Nfi2yk$ty_kKH((#pn2KP+rol6`wRaG$?M6#hb# zw^d(eM6aaJdEt~@)Dl%u&$I;MGNjvB0?rKEGieHFJ^NYk<|?ZWr+)S!=?^p&92$b= z-V{Ef3|K>jh()Tg=<0%cX<<@ktDhY{2Rgp&zphqynBvu=P=3J*S20G?lw0*A`>&oA zl#sT*O)WqfKpK!v%l0AkRqY1u{yV4wsHPWw_>G16A?9nYL3-@i4aU$@KUE2S8COu~ zocLsBp?Ff?V+&DNgHY{<)@L%tyu2>f5C!iXuOAnluPbQtH{{_NG$nJoDluJ}u)50r z%Md-dPCcMZj>E+vEdB_Om?~xlX7|Ty42O^QW%jYfdfi9ll4u=Um(_1-{1=?e*F#EQ|>_b=PvL zt!D&jJ5kZV-`M&^xpS8FzlW9foF}z$#*qHdo^B3Q`G=?a@BG!0os`^lWYlnYIY}w$ zO>-Ms7>yA{LONkc0knaE1tG4`(g-V#q{hkNaqvKF#gN5}e7H%Q!~B9wHxkm2sth?+ zkeDYS0+@mp2T!q>^d_v}@K^-`PDNQ-8~isauo1~>C;3`U)_9Ipx_>DKs@(Bwne+F} zjR;@`5v~&bmfM1X{od?bg=ng9#05+Ygmnl}v$g=C6*ku#I^6)`Rs>eyGzYMP3_Gnr zXu;Qq0o(u?2Kv_TRWPk>;CqL^ zstf@Sn;u3(S#|4YaObS#wqRxFDx#~%Ssykc-74&oOR|0%b=WVmY4W2A(G0G1Yj5Up z-jAn_9@m4EH3Kcg2u<)#my@Z)aaXMgKMKNSrBJ$;actnHu`t z7*gsKIvmsPi1t2#&Ri7sKzDjy#7N<;z{y*LWR3nnP2N1^?9BQG+Y+VAyCdkrN#A7Y zs&MD~hws`QWkDV4%)Z+PpQL*nT`Agj{!DgCnA(}A92!}fxAUs#pF2}V_{5U9-rCJ{ z&XsyhNvb_M<@z1T&OK-x?LmJjoB*Rw{vL0$WK*a*-q046`&d<-n9*#zknc5%r3$1vIXydh;?AAVcO5Gx=MUJ~uX_c? zo-UX}qBx29*p{tdmj6A^%E`W+xGJ`PHa&kxuZuToNi*+Aw*a!dGFtzgpGKOCtd~As z6EfT}kTxle6~&;1z)6e;bv#TVz@Z@U0+0fCH)Lel_eeM(7?E(gwPVevxwrwMI-3$rjK?L7w%8HsAO1kZr@)SdKmNiqzfwI|Sz|_Qw6{nTJiU6F z+}`idXi28VCo68{l^nYko0aZ?yOVQv^Pf?}bB5MVyhV$DmedlZ@SWPTah+ztFP>6M zn^m(gek37FFYs@FJ89dQC(&-yW8H3URP*+gPJ6a<4?0IbTKIK0C&OM{iZgU9-#P;h zIh4Gl^ws*nQbBf@^mT0UW!fJK=m>3J%2a<`MGxIk<0dwS&)T$_S8*g&j8qg_ExuR8 zE<7P7A4z`~N+VFKL~&4?Kd4&58S7d{+Tx<=Jxb+9!g0%sY**RtU4`yH`KXJdG~d*dl$VQvHQJBJ7L~Dz(f9N;En$SXc@fesqfW&e*07IL0K? z1YCVS?#l$FQLgcOkB??aoWA$=QM*)NBSYil=gE_+6NK+wudRQs6xEht=gSm-HtX2G z-q6U;xxsPjpkb+c6?ez6yapTczI9X6-@JuRT6?XFu#R~G_oiBEDGnOA1g+W)d^FzQ z-22n>Y*UveXLvZu@QX#2b`ZnuH6zD~g^q7NK8cV5wj5h%HpqEkvFGErTt7}EZcw}p zdw%N|xoASd^547&Kx(Mn03nuy zAoOft#h`^l7>kvF@MU=rI{`1QDhoal&2SgXiE6*mN>}9GRs=UqCy+`^)%$`l0`ymN zjXpq%|3E?;z?TGZFyRZWPiA0uL@u6BVBMT+0rAxnKqmOF$Os7HtCj!&YviWc0cZ|u zXb4!G0D&OpeXQDJwA^(d$Eqe)*GvwFfHmAS!GDbhqy~p1*s%%l?IW?#Xkh0E(r77^ zkf^LM9QU(o_8{; zMkNYawEHbDo)^)nt@N%hV2nEuKn20*4>QZSPb~LQI$ilti5aDS;|W zult&HZ!qgsvBBeu#~WHdOt^g*Ugs=GcRc)qtmG2r6rbh0)Rm6;QqtyLi_~Vjz@?LS zS~%^iHjo+c7>i`o*00N*GpheRtaRjuLNHZxnng>RS!1H{$7qAR0JT(SSyuyjWjtO+ z0tfmd2&sZY8_-r5F{~t73ar)0)(9dj;Hh99+~llaHk6T41h@gL0a*F_7Ks)FT6{CC z9R(*gVYs`AqhQ5EWLY5Y#A3vxa41;iQb+RHHXPW%KRe&oJ20-VL4-jmJ zgs(4x5~9&>uE}SqJp}BI5N|i%3{Gocx1Y=c;(%&S7`{~ zZe1#`V5T~B=Ti9vj$~S?s0KCPS7z0ub0W8c*&>{)-jF3~9kiu$rweC(&{D;dbnEh> z8{G%b*bqHkl27|q@K@pLmL{T2-{p3e{4QNbIGt*}_F{pAu;1HY>cgk5Ub~at{c_bv zq0ostkgVjrk)rj*aGG_#-)wa82l$TZxJf-}pFwqd2BKppiIlP`dS6exEMn&_EV%Vn zcj(t(u;Yz$_qgY~BP$}gHU@K|tOtfJql+5?OVCB-gJXAjj}DO!bhlmV&nq+DW1aaO zo6CF6;U)V$osbY;%j~Nv%zk4DzLl#Yx&-&TdTwHGgt^K5Is*WIc6l z@7}fM<)zp=_T#nVBN@o7(sNUiVar$L&7ISz{{br7Dm7yJhZDBttzX?UgO+s5<}~xh z#D^76jo$fbCcCIQ=*TMLa55;Iq&OBUiUv8OxFAXr`4C_L5==KUWH#^l2swqE+Yr$w zj3`Lv9U>Y>9x%fIsG!9_G!BCba8=L@2B0D#CWrwqHd+ji6@wd=f*cNHIy$NfxTJ05l?p?5ZqdMi-I!7nb4!{a zfxc_PPg2dD|5ND?TDCCPlM>1vQ?R_Sp~e*&6rrF3(0TtGDy9Z8#Et z+n!#}DYEZSSj}5X%9ZKvuy46bvuwvt(ZEP2DQqrEbzZ<-OCVid2PbnN@ujSIg#J@c z6Z#bGFTETYp4xPUD(Rh64L5j5E}-rUU0`_Js&^xyTl2nlHCwnZ8O4Z%M0J?%%V_b7 z!7zA;`HT9uc1pi^pHh9)!)Ai=@E&T)2m6H%VD`TF$nzxXss%mCEgB`~C^FUlvw|NP zh%DskyX7uLXmX$PKBxD&Jc>lR{PzZ346mxtrE_E+Y4QZxU_t-kG}4!X-|TN@9DTbg zEuo@7z57pUR83RB68`KZa(l|Ra?%zfcozBqPkR-1whgncvAAMRE%b(vVu%(uPw^d6AMlvWxqSSbF=zGiPq%;iq$Tl#MOmEX{KSlRaH^hDD@V@v$a z0@Wq^m+$XQ#9zg7WODHozjBeI=7C@*qs_XxuUi)klZ6!l1F%L+;wQEbE7zO zL*bPS4S9mX0+Ewc>Tst*?;6V9L%@66+N@pm(cQn&K??@0Q%B4iLTDB{_ zYuwY%k$iMYpp{i2MZ2MqgG$rHN?YD8arcD){%0X(Kim6kSrf@!(!HU8l%A-Ov&1rY zn)5k*S5clz6fPSVl0t9%F(|I4{vF#8>W|Zj#ZivvkcF9DrlsP#B{gzYl*hj+<@j6M zn}>ZU1QM7zpG+8}OTKMNJM*M8_4^~a_O|P(0({!cY%iHlb$?zuk#AR2=XPqe_~&mO zL!DP+4?eBRSU5B0>R!2B$rC{@N4AT=G*vlfzR?a z-<2&b^o~A3qjjSd`xN^6G5HBLO1Et4&42g!%n-AX%UVM{x_;}|iFrpB2hYT9Pf*@c5*K1_?k%jv` z9NGXwKzaz12y(NB4FR-+P;rV3DGI=;ApaHW@*r3R1#ht;0^&%h`eqar5;h|O%0=W~ z@!zsLI}_8dbJmW zshdOJr-G~o)RZbKXsIgbXesL&Y3YW#*}RFctxUA)D{`NPF48g=2-*39@Kc`1pjPku ztsF=zr?D#dNjrSXdkm+BufQ%L6+B+wYap^7P6Q#K5TApjmF6e#1A{*Zv)z|6&w)bs zFQs0?rSAFR29UHuz{^7^DdeiiOQ}N=K9Za+gOJ{`(rR)9C@lQ@NzjICLHaUMVJM>x zJ*J3YTt*!f;_8avV>D7xGSyLgWNqOS6r5aB*Rl1_c;|%Uwg7uutL49Vkr{&&k*H(O zjYw_=ny>QD$tZohK0ej!)bpmx7c*o~^w_U=ZHmb4H9&~YZRvX3seHvMzkizah8}ei z`zdds^8N4G843)$sa%av39_f9^l#m<3wKS0`@HvL>t+uC= zT*$0Wnx=>2&BXqudGy-ZGRJFPF$)0?hZi#3%R+xdUh=vebUpm*SU_FQYL7nkUJVzO zq3lYLLrbwd59@6nmfI9Ny|GD~72No+`);M%@juXo9+!(2*!oqua~}2I|K;x-vb#%S zY7>lGQZ0b8YD_e*j55d#P)~GFa?_Jj!Ar>?iRvf>vPCh#Ho?G$ga&S2E+MKEC@a_{ zVIl+>I4qc0I6z7u-elxj39J<)mr8DClOj3E1W=c629u&TojwvkUty4XQ}7wWHm431 zUe4wwqYcqtYeR-VK)JNb=m(dHYJhAn=mlH=0-Wgk1NKU&l19`I;Y+QE6cgq@fNazq)c#T(ieJyfqP11d&gaXJ$ z+|Y2UlvINxQhDTZDTi#7klPG}9>9S^%9l7=Url4{CvN9NYi_-X&BmeO%$ zS2NSFapk5QxLA!U{zz_2M(cZCK;c|+1FYeugg;%8}fAZw|qVi}1j{xJIlCv8}H+qsYreE|-X8xJZ`e7ZvUZ=3(5|M3+Y z-id^jiP4AJ$pg&VM|rHT2nl)Ms+~zgobl!E-uKOL?LP|VC1dg>DsNb}r}gJ`zM0;W zp&peoAcE>X&7E-L-S6G5d-hS1go&j_s+O`D%Fv(l;qYg>P)-+4cX@PHwq9Fom(SsH z$}noW3$X?s#nA;Q$`ogkl|_4RZ{|@4GU|(Ti+fz8THYE#eLh^Qd&DIy2|L zuf1nBRYsXuE;RUigM;1}>rQCj?f9EFZZ1VYVda+plPo?y??miq&YCp_(FWOHv#xJ(;_(QJVUK^ny zS>EFHj+L*E$Gfk588iHCtUqkWTd2P$zj6NBm7a7v*~R07jdAkrtd3~`#&q0W0p%hC zWzWg^`oCP?AA;3+q+C`?SeF0!$=)*Q*rN2TKjEU6p;Wv`_Sa+|eyiVe9omuI79X>n z)YO{!#z<2e*vD^MTGrm3x-&jlVmQmb(t`K}?U>LJTfZuI&Y%AGaMDxuye1B6?5*0; zEgF-|K1Lb657bO`QE)eq*CI&Eq9r5&PM}#w3=3oxj6?8%2@tp_IG4c|2>=7*9~OiV zcm+hyu(@DDa{<7~rXoTH)wB z9&3yo`WQO=ApjCbM=M+=YdqiqvWEqPaPnJf3ta9**2y_!0)&Wkps7G@h1}Mli{S@Q z;YeJ;=0J!LS5M~J;EIn#AS}1TLW*!ypu&O)aI)TitQxp)@8KeQP->dWLr|fT93GTZ zs`8TR04Jc-B!E0@6HefpMfiQtR*9f247Vn}xpz)6ZU;`-cb=5O7`%?qR5cq}j=uLP z!=v-s#P_?qQyjxRm43pm8lCMqszT&x=2cdfk5Wpb*Ry(Q-c&-0e3_me48 z#rQ+=d@Sv%8G*CBIc3XAWkWw5rwR`lE_&tG7!UlZdTN~Bb?C$jLuhiy``qh8#xD;Y z^397dFg^B)T*yu_Va@24LZ6aUF4s5mnC6Q#i<WN>%zmukp zRK#VhyXo4k+1jGlALz8d>AmrAb-1UX^m%(KQR()*1;ogd9)sN+k{~6Erm7q2CzVso=_v^cy4B zG^kWZZrI>i1HeF1R3zm9SN;wtuv5aY0t`5^bZ+LYgZxSy$upLK5>jMX0V}dF^e5w? z;#eA^C@-a9tZ7Kh^B=AZ{ZbM96*3}AU8ZZ?zgN3X*Lp$|!+f(Z0xIo*8Gt2-S`)?; zFs}hrz(fMLg51|2sJQvbLR;8UC)kV;)(XuMBMvguu4>sv1vH@W^^)u2qV z{T^ZI-sB;tT)g7-rf?y4)$4pjbZV&QVNHK2VHZv!DSHZsumq=S^p%lQ+8)%o232`i zYqE{{vrne7Uac{ai|A+w@O;vsLqdJQn=@A8W5Ts-ToW>Gq~z6Xq=F=A&szofq?j8G z7`672L^#sF)V~@hUNc+clIG9kvs$M6*8ffth1+@R%YLNEN`Xq#!P!})qPwVx-cwZ1 z#L0Cz_UXqyWEJw1Nk3A3STbBAoQ6v9SHW+>SNkzD@;waa4q3*FUreD{(Qr2ZktZz4 zo}e#%<%Je;{N*Y7gofmVt2eIUxR`xCUCnITK3_fD-tv^=QFiLueZ`@WJh?YzG*XSc zV`LNZO{%MUL%)CBc(*>>wK7s?+MJjW^Bm3oOP{Y;eb3Fz5U$0EdWoT!AIbTv4YT#T zGy7WBqMb@OKE0$e^$xklJIC*zYf!JyyK&j-F1MqO`1jZYHZfnMs(hX#i^`f0Ti$*| zJ9O)o?T7yU@AFf}vwA~L?<>c6Ei14C;T6 zDV=bte`eB@Y(Y#0xuyklGGzNIKqrHnu8by53?>Xb3Jbrl0XSeLfprHBsVSQTIE*C_ zatvx9WE8hth z$VsU>TblP22MiVmf367qTH!rb;W|_6@x9V*y2b;9-*YW~OC2GADNqyzuGe`Y#1#X$ zAYtinVS{A|Km;D(V}rMw6;a?kf&c0cu(ANzOYK2(E%0H`RD<6n1TO*eQtkD%*r7f_ z$3$L&fI~0^*?W*Y1BCYm;SUlKk6?-vfFr!ch@foDw+=Jl-Z{tkzlAA*Ls+~z3@Vwd z3dWsRbwZldrHa{#zNdsYMQBl;G>9ss@Ua+Nc|3Uh7Lot=K59YILuR+0>J-)$K4YNH z0rTLGuN9ej*0%by%b$u9W>?m}-1uC0rGT;R$H%b4ifm1u`lVb!){UnXNAufe2|v>6 zHmob0`m(WWtD{+ikBs#u3*;V&$?T>&Ov#}}rM=g2ulUWJw|UXni(d<~B2m#Q>2$U- zcOG!R95Fz@mbsBxj7vLVdpU&cJjuQnuU>xO=I!r~$qi;E6BG~GwTp~L8C_%1dt=s^ z1TWxI!J{1}ToH1COVH;u|8ufiX2LFqpZU6SkVbj$J-ln*eX{!=%$k4BkUw+h)T&UF zaPyW@zfRY+`=bz3gQ%ShEhQa)=JIu4E8-OzEBe-?Gu5}&5pbxW4pTRe zE9&ml>D!}QG=7Kq-cP)R&6OZUq4eCFIj0Mbh6@Wwt^0`Nb-cQ}sHLSn7$0>)!MZmd zBbQo3KGkKRi;d$O(katts5e8gy7#+OzobvyGNZn3< zHqSrIO+5twDMrmH7BH!_q*#_d)qCTo_`*TiK|@LfCr&_PVDd+!@F=*c0kDXHUI%oA z(D#Bk>VYGH*#-GUj%+v5@FASiAU+&Ega>@M8QFxiq(czXCX%25RX|KG@c=5)L01H8 zg}gLcK}SWeB*ne2*zZ$O@VBZ^$XtTyWU|_As>*Gy-UlEBnNd1JLH7gu%RG4Oo8eH8 zWS=AE5#%6`xYuAC`muSR-<(-uz??4up0vUH0g&Q01v5&m7cjZsN}bx0^z76C z>=YG1k&L)VRN+uBg9V5qV4x3POcJ!~fG0?Ms2JKrf9uR8?w!-J|NdKG$JFQ8Xr7WkdqyQNtn%XKF9*@jZgX{|99<}E{n|$Ed2aj*1ZZMKTZzy0Y zP~Rivu1MVcN4KFOhrV1}h`Aar*=(OL_nfHlh3ZgvKvN&`GbV;YW!E@DJoyY2yL~Eh z{ZisNROtG`T?Z7H1SusXPaH}^Ax~UN1JvDePz5WkAuFSb)QIAM<}`oP|AqQKA2fi) zLxnp>g4Vg--Y722c9rizm<1N=Hz1*ACGUMy%+j>QhmK6WHOD4Cte8@r1ZcaZUn z7DsYh!K$lTt~Pg5pMtTm*gZo#*7(TTmBl+jrd_iP7DK1a0}k@M^b^P_7`QWF@F(pC zRyMDa0?KZ-EAq%Mzp>}ROq}=6JBM-5(u;D0RtYlE2Tig! z-B|4P4{4%*96z#9CD7V9x}Y;ytM#_JKIR3_>zDU0oT1?suBaasPR6r(&}){vc+*m+ zRp?#LD(m9%3_BD&$r3{UCCe;$_K+mgnK>FQHD=P-CdzP%qm-B4I5CQ!7iV_$KEp;v zLPK$eJf1$?d84k}Dvb2dGp5M-jQ1)pLcN)Hc~gauO3}*eNJoTluP$B>S2N=8Joe(S zW7;hJ5r-?Nx`(Yw4au5k84jm#qHLcB2TVrKbxb;Od{2lwHa6-W#S-*&dAw=xOMFC4 ze&O)2D9=<6#b6JCJgABMQfIoUV%y5faCcMGSFUES#*ZTH>jgi@%{p^q-WMhIt!ON- ze~VM7$ElWdvsDLj5G%tL&y2h}aU`L!u5f5}~!mtT%booIQU`z2Pn|8vd8A19|%J+eg=eMh=J^z=CuUmd#XKj~|( zG#o>fwvUPAE0qULb?^%+CP{6%a~#-xGFLe<3uHP+%jxUQql0i^iQ+e1Xz192J=J6) zq^Of=pL(*N$1$1jyK(ebemCk6w@A)f-&EG>yyZO4E8D~;36bw*hWHMAl)qv8yCnBq z{z=U3MROb0+aHo<{6;nU6bnmQzOTJFQn2pP9`H%!o8^Plk)S43^HUf_RO-=NOZPiU zWZQF^W^vb+x$anIKGa5iNt08vbzxv=<$5_*>*>q&F69hm)$w@-)T#Nv=dXY7XCv9; z`E+p0=Wpk{<8}~cdq(4bK^R61+LQ)IyRK;B&k)li$3X8E_MXjLUE`%+n@5}TyOz&C zJLy;&i*064P`tz6e}4aY+jFYj?-*G0&$*Maw7vUY@$@OHL1CN!Ars6D@5;AgJ8TQ~ z*Z^O?rnr-c zZnxmR`&!kv^t@XGlrARp%VAT0fM`?ys4;{y8`@^7WSR?gzf>qtYVC+CjF+f(A#)Mk z*Vbc@)ce!6<&&StIVuvC7lOf|-n$IW#)zd-Q#^1zb57L%@a2ZHYU(L-2Sl~QzOzw2 z7kxx0aG`>?wlc);J`1%;uos4?M{WKz!Xj&KGM1C9l%Mp^f*`-6#<$3y<@W_X?_;a3 zID3%s=mv8p^ZP$%qtH(NLY1GLQptWNV^>SA^9I;*|%wI-+D- zQ2b*ecYN`#h3(X#k2QqZ62rDvG8Y2ZKPuTxJ96_b7M+c^Nm^u$&rM25sCx2tP1Gtu zFTOpxXDE6$NvD7Q`z()L**INV7JnU&Ylf_u^&;_fvWI4q&HLP*E4H>VSq8PIp0e*b zrR>v;O%XC2t&(mt#5~%wn%>KM?an*OBbwc4Zj*gqb<~IRM!LeZ7XpRe1O!E~sumg? znfU{yf8+5ITU$(S?VMBnkI`bM!{Dd#q&ncjQ_PxE9|9L%@l^L+fL5ZDs;4$q1rACm zDExyucpL`uI3>VjfMxgfg=V%P##IjqfwjjoQS;`Jc56t43J?E9xw@R`bkjY z0x$-mQBaffKtckl=Y^q+2eeDbJZPk@+m!3q@y4ekH)yaF2rK8QTKCBsx2am!xq6sk zK>i)P(gq4}&~hV$6}W^U@D~bHkQ-Y&qKud$Lheb#X73i#PCVBN20>&P1YH&US-`gyv?(##Q0UvkN) zjSkKhak>TH!mFI4b-@oFt9&6&Y0Q32PQIXxuKzvT?LqyA7NHiU7R{@C)U9KV->iPd z<}4F#KburuSC!r9>~b<1AKK@%cjC*Rgy6Y1rB zR6?HR;S$0PuXUvA1WOdIL|)DnPsW+vFhmC~DU=XB3aqcH0AI;C>ffTz|8mP{b301r?diU_i zIRU|HX^x0?e6}8)YH6^m3*ONxXQ0oh1S=wUe(vfKC*aRs>{C>j+Y=ej%KGT!&6sxE zey0`N7QXdwfnpB_^hB3WHg!7jaw_Dn|9)rx-cO{cZ3Uwzy+nl~x`mDz_Hw>#oYju& zzp`RI+{ORp&zo-ySp{A~E6+X;UT<7#J7gQJDfz>ZW$oVB*xGFIdTgrbE5Cf=wV^xn zwlf05*%xNYQutz}W2KrizYfwb{?J}r&E`C!dp#OGiaH|uT0)*G_TAI!YHZw{rteO0 zQ45Mhv26X4+&PE(pCGc0D-6#+ev5VFht(w*5>w5HX;x6W^&wI($4@88N!?x(qY8O! z5?D!KrNrTyhQgpQKz7511qcG@02?J>0jyns8WLa&0V^>M7D@O#doR~CDR0j6AHwnJIg?=rWK79qAU?Z8Ra-FJinXGo2tA~m$ z?;q`;i2{vfFq8=c>kWI(Y!d(kbZtF>*a0Mc6OL#Qi2}Z~&Pc>(0(=xyp96w42Q34F zfVPu}9MLB0{J+B;t=bcO#BFJ&PFnDnmxaPU1oI6hD5>gPfFsa!XVJMN$5BHZ48>|%9*FdO?>f1BSk%CQy}GdwF#H%2dGWgzO(I3& zohs&wVod|pU(_#MZ`k#m%2(1Ar}SdvWbT{r#HaZ&bN<520dq=%XWaSc8C@-@zC1lc zMPhL$_9NTWk&3e)KkU;XqwLuG`97PH-xrf-WFmCucG0o7kh~dkBE?Q!RV3-KR?9n8 z$`~Yc;TatzV;KwX!V~;{-&k*QB9(9*MJ%Co=pjoxwbxAm3zH>s4*U=@c`lI{qxs-#BJqSK>q;(Z zck=#7(hX?cd7~j7zBP#KoHYIKK_oZ0Hr}u?$pR*krbK84HOTeTeP*v}uO+1^1;K}q zu@3|{8YPNGLk%$&p{>DchGeo~381aq41)w+CVn$W=`VI5p}t6F!R90aDQ&<*VMLH< z17s3`mUBTAm|ig=c)Xajq?ojdta{n&kj{dDrdK}Q`EDTZnWzCm#c2~nfado30ZAo@ z5e;tY!3&#_vH&78O^~RB%pi!DWYhEtBA=Dcr^u+%5)21701nV`!k+~Y0hb$;xJ}lA zyxR-$w^q8hq?$TtVgUI5UgF^xPe3clfM*1FC_oTI&JN)V2#84Iq}3Fawtl*H&LVCH zM79^p_K)8Ku#RG<4e{o*fAW8|(cR$ak6}=Jf9Nnl`t%FgzR--6H(a*#*NRqF97`rT zCIy9btYcJ4474o5Zww^Gnoz&;E~U+WrnbCjb})7^)*^n9vGtHc*5l^831*Wf=PoU; zH6@mvUMm}1cIx^y^l-yE(aE}}_0NPlcka-m&R$!o*D^9(^>h*R$xqcto}b6>V&Cgq z@%B^K+bHR`N|%rCw-vh{5g_j2rA~JtQR(t`+YfBMoxX?VDbJn3Q&E$t3-x~L(KF^c zVjyu*LWk6!+J%kb;P`4}y3ElB<*O{7zfakmC*4QMPbNweM)IK8hx#)E8!4lQ65W?Q z&WuT9=8yd!lHa*R_i!MLDQccll>SRuFey)pR?7#;BwFfSd$FWGXG*;(LYPVUg#z6l z@cYsSr~S0QaKF1v>{^VEmt6SBJfvMyRa%$k0;=ytnb;?}(~>cTyNS=CYL*<&T%6mwvX5 zJpZ|FZZ*himl8k7=wP{&)NMZTtMQ|IozW@!s2c(DO~?AbbLO?O_|+M(_hYDHyaErX z-m>{#22{!IC9$-vU!DIC7*n2Y*q3+DA`VA*NST!s#Y;k^CQ2s6!>O|>mrngak#Ab<_=rXd2t4yX-|K*~UoB?hkd{!lG| zSWSqVNP>{H;1cl||LETS(%eZKDNZKfKpd!s9Lz94a08OaAxHx5v(4{o6Az^fwRN_B zu6EA6{s-`LY-4-j{zpP*O3FK9-A4u*mYNC%N^)9CKyAxvE6HmE%?*X>R>lSqZq~0O z9@nKoHAC=d1uSn!HWZ}9!2AXm!%_IK)D@s~xYV&f&u*~D0YXm(khiX56<*Vg5JI)t z&d>~|y(gQpN)KSYzm7o3yD4f@wc?Pk_^(NsQ{Ta3Wn;+76zJ+Y|Nef{hxiT z?%evv{J%mUa&0RN=-+DXu>B}0bHMK)Z4LAwfON=@7e%|Chi^+9#cPFWO6rc&swse6An8qGmUiGUqrYIq zyu2|%TRrWw^S%1u?DxUV<8{n3>r(8DS9N6LyIF^dvn= z z8QiovV*ZWs783~@&4D_4u7XTKmm`9nwTGF_e58mzBy0Cv%++`KpFOIOeT3SI?5yYj zno;l;8%1#}Ml5;xdIz)pdU3k8 zYea1CYS!qlV8>sq-}Nr&1cjv=^r!^!>CfKgU2`=*DXdgCQWI}?EM~r9c%Y#_{sie* zPuqhhYk_s@MK`(F?He@M4@IN*=5*@iGI)t(1u7g!?D}xHp=IgHZco&4^6HxEjeTq+ zKWRkOw|+_PoDlu5;iIQGwmQbRIR(03EE->!e|QS5QtHXh%5K_t6%1Mqx?jX4fk8q; z`~V8Fbb*GFM9QR)&U0X>Wns`m9w-?^a|z`2Ut$UnWK$mn@PQG*!lDV|9tOfT0XSfH z6p=)U;<1}EpfpB4D!{cc*`qYsvpL73JLPZe*?m!6fp3?H~||DT7u*g1!I3$6xXqz6F2}ajc2%*0UbZ&Y8k(!N;~*Nd9GO zJRdX*$|%~JjhBP(ayyU7o#_kb&dXEE3|BaT+K=0C+{iXz?e{G+i)ZEGnJ?Z` zpShzXjx#*(-N56Mmp2h!&}VzLfBI}Q4{v`j&yWS*B`TC-v*wUHgyq>@I~#M!Do2WY z!zj8eV>C!2_2^o^J4TUL-*CvVt};&C*zR1q{@G{u*(K}UH5qs9xsR(17E8_1ze}$= z8armOici;~(`M!1uGc2|B@6K7|H;xYI~9;d))2<*|2f%A&%#0Tb!%<$<0@u#cNa4O z&5$vkySWUi>S4PoNhBCG$=EyMo>NG9uuJSAQITeTem||}qBy^h;EJWcH~yHo05!$% zMl|caBaKNX{A2mrxP0%EsAV6Zyh%bOVK2@c;ldxhGly5(}tBT{r z1(_D?U9V-8G}-s`y`)Q)G&51x+4qL21F{kJ?piS!IQ3S(I%}zFRQT5WTl5aio;JBf zL-p7og9Y=GC%MB^dB=6F{us`S6@4~6T1;M;pFUuEQQ7W#&9c-CLFX50IYjTImcv?M zSyZ&qtHOI(chX*FO^?hE9FK4QUWfJZ;duWw=iK1gHLHdw-AaOSHOsv)o!Oo{+-rBa zv)=EW#>A9#PrOmIO>PLSoCr4B9T@Mz=hrcGPPy3Z`={PTH(~}NwA}44QnWRQ?3@$* z4-mPvjaMPhKbmxV%aW^OAjaEz6GV_1Bri}s(N5V#LsA_t2_`Wt3Sveu03tAhK!_3I zCW90;AeWFv00JNgzy}5e!wB%&5Sxa~AfSr^0t(hH97v{62wNp73Rf>ArvL>PFi|KD zz)3*LfQY1-zHxe(!@Fpgnk=uTw;nx3o|Cn();Ua8J1-LbpbG$mP>ZcFO#m)H(k_y# z3%9Hpq>&B=kSD-9!Q0JzOh`Y9gm8hs0|?9=;4J&gK}{0_ChIp5wCzKSt)Hl!(}deWkZqNf{_7gU z*xU>jRa)}7qKzQ(>%wQ>NsFTnXq-9QN|*S-ouO?>#OZLk88w&ON=;+2{6MCFevW~- zA7g}(|_y6o0-cp4>98pXXB#oe}>-MQR*?AbGz=dPWU}pl$tqRKU%GDHIF3BjLD+fnS)3%7PhQu$_-<+O^VM3ydeYt9mkA{VMe$3d zbcwaQ$aOxBMslY2#HQ>T@!xgszP7M7llU_>N#;s1W*KiLmZv;bF9ax0JvgCFHGcSD zXgxif$2oEB`vQmpiQ*ZB)ev)?INE!^w4) z$T(U$*^~!_&%9WApGswS~HcNs{`bp;U*a#sC6q zPosx*<6Mo=_=9>?Zuh3Av(vwyCfjC;$QoAX+Fn)@@{rJ?-SwbCty^-eHGTihyB#(* z@3`#;znrk%UpZspFhInJ1g(d)cTJkdT3Z*~33QA!E;n9zUpR2`(o{`Q+?}tdZY>}I zYcJY`Ikyse@a&u#{jag4;iX4SoJmWnRZGf4Vv=b^lS5+kq$SQQeaPjfkX`Y zMnGK&*K`p)N(i~BKQb|h_p!;2a;nMju7Bm#Q|LZk15AkhbgkQBYrqP)$&i8~KR}30 z>N*J020$kX5Z1f;v! zu<7pZ?(Xir>6Gs7?(P;Oq!9zb;I4;r@3`lCk7o?|pZAV$oM$k$9O4mq=5MXJ=9+VT zXM_buYP0fF=^{P9!0oHozE58NH10Ir$&^h|BDg#GHT&#J%-y}nd)-nI`A(Cj-?Yds zvGpB4cJnT-(rbST0!3vDnvA(&hRjS=ltE=i7-gGfl4Lq$ih0WRriTb~^;4Ug7CoBp zURLS|k`pWeiXQ#u zx1Khp8{EW+_nzTq?Me(<8RdP!T2tPLPgoeACpxsX^xpI9y}MqLH>cGH82%t6-6SL0 zynTYK%JS;wQ}b(IzP-Db(n-=aMM|Led|lHfa!O#Dc9?iiy=udRGuy|uDA8+ec>42V z%H6%(Wm-w>kI?WbFaGNb#Ke!*FAQ4cQ4EVNmZxn9T8y=pR^O5C9C1<|h*PqPqWMCQ zHMA@(gyA~2{)E1aMycGgUcZ%=rflw6b@(&-2*SwX>~TFGzHsjaq5hY(+84>*sbbl3 zd?U2c3U!JF7@yF9^D}KKNA{3$SdA|0KQ!9^1y+>$Hzu+)f62=2&hu@I)agk!>Py`Z z;0Bu#PnlRNF>84Nc|mSbULIlSXE^xa0pn%o=i}XXtcE!kEWbm37&i)5*Ws-IjL+dA z1bh)f7;K<80Zjz<6+Hk%g0Ncw4s6iUutRzg54<1wQfy%SFXe2k7VTzG660Ev;?kPo zG*@fCSZ}x7V87Mr1;jNZm_Yy<)D?&fr_&cMSTS91hxP_B&j1@ExF7%xcHY6{2&fS- z<3?JVPA}+u;Ioi@)K>467GOl&VC8kb%BnwA$5vGgHrz${#HA21&OU+&NQnqa?oYwM zi&0pRPZ-(O=M_PgDe|9V5-6n zjJX#ZJodS3fB3lqAa8aCF1L6sG`cJ`dAzG}9V@n!jHh6kk>0kTsV2s zL~Xom5l=&&JquRC<>tAGa=P z3Aft=_-!rk&*;4-wkls;Aw2voDZjyM*}ZI-!peL5GtZW6V;G*9!h0dW=x6Jt5?i@J}3oc!SA=-<%bpqM>c`=$Y- z+m&bx@e19^y5Or@;4Om~3n&XK3UG+P0x%ai)bWF_E^OPuz8>P$jWmlQu$l)HHWwSj zl#2=>$xjl%JHc8CvW)^X6s*-CbrcLO;e$nC{D^SboDeaGxM@NJ43OH=Vp4Yc%AxkU zc@ef1aZb&t_7GjU*kHHPXus9v`E?L5JLp4~Cs+zVy$1$#fZ1#9-mC3kG5`y`V3_L< zb^*wC9^zB79}U&BkJ-Rt?_cX}UJ#%F$+_UnyHMviS7p(Ypk^uu;z)7W5Cj@Z5?1q& zJOz+HArv;iwlJSCBtZaX3vdaU=o^NA$LZtcUBp=9GI;>%B9%ybUOZskA*?6vLBYJME$Bhj!`RcIyEQ zh3~VS8fL~vYMZ%J3(j7us8EY$CT4O!>TJ(QO2~HYbf2;zo2-nCFIyg%&MjHci#;IR z8m)+Koy&_T2p#HA^$`{lQWjz*I>JoOE>6(+S<%2u?S4%ET~d3Tcp?TH0#4GrTkXMu z%y^D?hof^|3ED7GtLyGPvjyO7>!NnWDdgZOFXbq=)QZR|@ z-kNuW%U#OwZ6jNT<2U^db>Dh$SJ)m`{BZZ7uB!yCUP zVB=cIVpXNn^mKiK&UDyp$6`i#sG;cKhsv*pq9I5uLu9Q|XWpnk4GlekQK zX-Pd_%6kZ{gss)q$sO@tqYPSetX0&hRDa#gTHY%Ey5G2HN7-mNDeD5lO39@&t^68L z4o;W;Yd{&POlXSI???tfF@zMTdgvs5ZRlG22`{rpkgz|`$%tFF?;DOxtMED6~g3lr;= z7D>VC0qsPE%6FN(Wecd7&XLj9g*{!IG3t7HH+kX5sgG6u+sWA&&rh~5tvE8LVyG%( ze5RP@rxypO%jeYhdZ%^QSNO9&+DZ*;c^v2Q;1svV>CM46WW@Jp%(>&Q$n+$PdrK0H zD$L|#Lj_}G(=}dTWUaCudZs;mDmQS-h3+$*pN4`v8`qna&!**ke6OM!@VuP6Uw4T> z)0xYN&qhbzfR7jc?q;1kzbl@;|C-(jXaA%2D(CI-_37eEvQMa5EHB~Unn$y^J6-dl zAo)b=xyzUR-tkj;Hc1d?4`V2keH=R>r|_-zPfWLt5wi#0B5mnTYqWlHn=7~Rh4;#` zYQDs@e?FJ02Ai`0`dMSjj*0{MOnL6NQ~6X`ettCcV$$Ar*Q}zHzkIhG_@VoFBy+b) z!)O{CSC9VQe3n|kC*=y0mH|8VIW0jqLg@IxWb17e_4o3;+piZo29^Y;& zHk*GtF1oQ&uo&`UwB~}8ff$V;>5ZyLFWL49to_g4_n&Br+ZA3LSoe^VoAgpI=5O46jmKQE-xBZiN{j+Q#O=kN0 zilwcYsq}1fA~M)o1uH)5CXeiAq&XULt%UCB;KI36Wl zOp=xj9O_d{5_uB#FvL(I;3AulC|g!qo@78_+Teh(kUir*?ul9Hh>t#)sb6#8&ZMmDN)7v#BDyy^ZKUP;i z=c-j*rRX*{RA6-}9`EbePE*_PSyZbp-aD~QRV(YX`;cLkaPgH&H-FP8H}z}Qd)V)+ zS}J)_mHnh8GYx&skyi>-<8Hfru2nY^S~UyAcRPk`u{t%BZ>XXuFyB7k z3#yHmNHMXT{DA5&PWJr{OqF*LL)EWg<=`ypzlN3mg3#6&eQ0_5Q^BZ2r`%tmz)LN` zM%F=DP#Mhd`M^>D6xPE0Y<$oN0D}!f_CHP4_U#2=ZU#BqqT(ni#CA*wT>lX>0We!b zEW42bJsLV7ptX5e`M{AIx*m3@bYKwA252N=rXl03uZVHdP4zdgiZU9^wt8D-{l4A? zXe!8z+a3%;Xey{sI$+@Lv)X~UYA-c=>~}pNxJ2Gq5Y|0kZP}L~Ya+uBJ7XvT#6v(F@y>-XJ1G%R>cGvzJ{$Z^1%c>>n-|tp z`K5sXR44~$6MqL*o*g`mlF)Y&X?b9~T`C@n&zwDx8)uc|SP*}d`6;)`sZdoVQnt~i zjxn0WZOa7%t-`|Lv96QdUA|WfDYec_794dsImLREi^`>McV}~^bf=2$oXf}l_3~(s z)DK!zJO)+yQY)*Juk09%ZHHem6xfZoGU${QUmPFj)}}IwK`(QoEsQtLZd3|5;IJ{0 zBojWvbEqZhdQ@5$kKw!TnU;Lf^QdV5%Svg*gfMO%9=1yHfi@NTD9?6+Gs4U#?h&Av(rvr^+1M4LhsBo_#+F3H3ae6mWB zQF5Zhz6&2*r28hUpH#7!H-!@2Sx#Qwk&W8<+*_W%tFLzEN5;qLhxu5qVk(htc7?Qy zW|X&XKbo0Qo%?QS{mE-~cXt^rby;um9>b&c&)((dO$K9pAG@(nku+QFI9}3MR^>mY zcbapZ$zaQSZ})?T0=BiM-{d`sS)$e_=U$63TaA|2%2X26U7AGXMhiB+k{Rsjo$Al8 z?3Pa1DrL70s8MW7oTiuFo>_1IBm3Idr!_ca^RF9!jVJ#FPL=vMjGk%!V)Q(a?b{Zo z*OQ{to1)zutySW!Sm>!3V9baYV~~I=nCP8(8M4$3L@r#^jnyk zA+$FrxMBGNsZrqC#}fb(C~H8jybPNk^+5Alj%BDgC<~wjB!nT{Rv40PD}T1sd3YlwsX}^?<(!5%B=Sz zD#4lr(kQ{A9DckoQt$`3hFK)MSOU_!9^UUUUFkAhXg6K%G*#jlVhNIL#EwJ)4c6OA zkoqDn0!AcC5+W+1!g8X*vS7Lm6gT`)N?aXO*_7Ag4Guo%lPT{ropd_`mT~5X)jf^kZszwix%wg@Mw^ zi3I{LGEY3ER#)%uIb)op&kI5##@8#Wi_1$3CoA9hOjZ`Cly9#q&a!sy1TqKi+;obK zk9{hs|LtA<10$R@+wP2K58LAq;t`Sy;6ez`r}Mdl zFF^iSfC`t)FZJjwjjxK6Ek2$wDZz=mjU$mn^$uJ=M}r<5PGZ(&%AD&{jI??62=^sl z=HtP<=LTOVjwj#4>%QNpO!V&UJ2zR5=|O(gw}BVWj!(;*wc5#?l}^7e?KbwlxUeT34mb&jZSlGv|#($QvWB_fj68v^G_ThTA784WdukZig7Sb&j?dym%R{tFF$_KVa9pS~g*n z&^**hz9@NyGGVY!f#Pb1%)K^avxu&%QW0M+CFExI4=5;{9xBRjT4+*ro3vO)!dj}1 z(!cv9bWA^vDWgo$|ywvc5yyF6i_&@ ziNY-e1rkDQgVoZX&dImqHA^sN?Fss$`Igh=*6VHVpZos|fdeS^fJX6svk!m_ zY|KDz3lQ3nHo4Ucbs#`@5F*`%#1_O269iUX>+K%kodgrkwRVpUnARcbajx?<;GJaA zmn3H_1?C69rAdG%x459JFvL0tNs2%u1k{1x5)9BS1h);EQXw5R&0n{d6bC01{~tjL zA^!@rmq2|_6R_cqhg~z3F1sFfbcOlG!;F`%WH&=gE(a({P%?io?An>E;ElSjmqaY^ zz$iK)--k=5bLdLrCd(gh&dF5a3M(+k5z9^;k6yI6K@ni^>e6~=*La30&H9>&RHYql zWKOI&{eQ!m*A!luW==f0_Tt@Ols-_25n)0Nr zd!--o94(ZTBF!Ecw3LC?QdBejOj5Fl*dQieBt-k3&xu<^_;}=u=Lyn++iAzwR1+iu zxo0m>L=hx0%RVFwI&q!_m)hYhyR|zBu7cf%!vwMTa}=?`B+;HEbKf+GnA1)uaN-?p z`gCgjD#ayoLcBOeqTUzwH#yo-$5O>`@HUAItjSw;Dk`Qqjs}o(-3^OL3cB-tW^z01 zE2Bi<&{b~IAot@p=If+oQhU2b%^Nlhk6n@>A>wQx5PwT|%1md$OZ6R?BbbiT%Qy{{ z>68AWTv}LPV@;a@Hf4<9st~jPxhfS}-SH|v<o98=g-WGo7ZT*mIMArW7nA4E_Gw;i8J{i6*m-y69tBs;kOjs=06O;-puRpIN z&oEUNe{QZ=M`!UKA+50bbREBjl!J4t{~A(eTe4dtb-I%E`ra5cM{8Dxsu%mHCECe4 zs0yhGvrF)SZyT5Z>_ZAL!~%#i3LGzipF*;5q0-|O6%&y`^xlGEPz{QTO8zOef=3mm zYzVMGH~@zr7nlif337vH9oP%7g6Ropn9%x45_TFA!8U3|VWu642E*BA)1_AH9Ufc# z;0XZLASfC-U*E6Kxp|Vq(Dp@$f)*F1@`&&mqyy$S6bZxQdZkM z);qxN(iaT==c{dJ%gs7sBy_|;5sF3$LLjBIgrGDEVh4mIMd1#i$_2G4FG^Gx)TSc9 z%~_gQ`~##MoK^fCNco)t@jt5+ffd9!?dKE&%Z)s=4w*y!t?;g$BuP2_eD}nm#zg7l zeuA}UzI8h?-L;2LxNP;DC*9Pi7QfU%NQRX`Yj^q$PVDwIM%gy6Srji?WOsWVx?c90 zu7}F_GjmMnC2u>eCjR=b`I_I;E;Dd9p}%Z2yU})j+E85>FJJTtNqe>(Uj34&lIqDV z2NG8NOQd(iNr?Cx8@}i7%+Dt=^OIg2Y>ZC}GpUr$dS8~DO4g>CnxWCi{6Xkh?Jnsd zBIY}c9^5+<%r=>_y^lOI5`|Mgt$J^XbiEF^a4wnj9_}q~@><43=WqfgdwgenMpgTq zNG^PDyn70w&a8FPhq%v|D>^E+sm3z$dPp2sU_6|(aO||%p?JbW_z^fWIpnRV!%BhF zLA-4d!Gxu>6oyI<+y2-p4dW>bjOO1=7^PO;c;lscjlKC>_Ga9;eBdAF&-l5lPnqz>t#Ewo%xi1Q@axH`zw~$ zi{b*`^t(UwUpM_07iwem`0=IonIFgbi;V7%Me6#}sv4DQbI|h_kIxv;Oz80Yd41HU zEbeSut5aZ@Ef|;1M3;Y#9kgmIUqpc9q&cnDuYu&?%0Z0(j5g`E{L70{Smhf?c(Jb)Z z;C&=2hLYNM&lQK)P@W(Lw8(xwGNS^z8;0|+F9H#jU~>d&ZxJ40H7O}0Wl3ip>3C1W zx@g0JbmOr+)1^ii@D~A`0H1!~s8-rN067+$JfJHAP0#{lRd&L@2=LyBi~q+yWG0V< zg+MIjzK#lnQ|kzdc&@eku66o>CTOABZnnZ~;ElY69FHKM7>ZvUHbNv}p^RS~7<(}w z?V$rkWaz?Z6x^*SA19yTKh5MR4o)Ke7K;3a0`5Oh0h=;9mOKWsN>>Gc|I%Y1+IESZ{>2V7+_xTnd*OX?^) z;C0I~;q7M~d^$So=yTm4cj)@F9fQurl#aG~2$>d=VBA&~dXp_m6n<)iGqf!Xuh!n* zQD-p83HzBRqnSk+%o@2}=A0TvgeUI2cZl_TTVF??VqBH*<3CQ#&j*%eES1T={G?eJ zuaTCeS%#+YZkuI({gCI@NrlrlaY*oPso#rfr@~%^$f1vV7e0tTj1VNe`#dIK{=p?7 z+?xS7hshL$81YDO#|fCupS*Re`zAXlJ}%Lv7E$37=Wm==;=OfK_?*)0iQD-1cD@j} zyEp80;xXRwr)DK#703HPQgh)Z5(mg~|6G#PYSa*kaX&?nS^Q|$uBzeS%OmuPO}shmuCMf=Jx=KV6YMe<6)WRrAG zA7xoL`?gdnW&dJ#Z_y!D`#9|vg8~vnX>M_3mAI^Z^xjF|RzIf?`~J8nkE7lBissiq za&Rv7-vdcid}}PMikLu~(TLG*#%PuJ$S2rI+bQzP3vr-%cn~0gl`~`<4}A_;=z?|; zDM$AG(ohgTi6l$&0ZS!`2padr+)$mswus<M530r->h%G=j=qkaF-woQEcQp>cv&@4-cL%a~2C7RS zq##EG+8fw31JMrxBpn{GlL|^i=yQ;D64)_AB;5}0D$M)dD4ELgf=|x~F2vQ^-VG$I#H35+DYbyT((@k-3_V9Or6N(~x{0sB=iictk9p-#+sknH$H88My9z_?^dFPZ1^Svma z=2`Kp?*8nK_$=P)_J`QX>LkgW{Z(RJ$w@qEYI59Nh;DaYWE+?f)DgTR5mU588aB~6Gx*PM^j%XtC4H~70weI4jd;d>gVgtdu#M5X`F!cX zlp80%_eokj#&WyetQ9vx8Hxf5ZA` zzfprw{Y8bJn2@clYOX4$Coic6@xQWCppsURl28U0Zb>nDc}ZCV4RsGI!@OXto;2r? zJSXI5Rbe+*=KwMW*ph+J$>|Df0AesBnJTxQsdk*JaRD9G+X{!dTDR3UALs%>I0YMh z;30re-H_7R=mv41!-cjZMRs$QuBAbGO5$iyl%xb&UQ$e10!VJqGoX~jP(W`h0@aNc zmW3B$!f-$8Qex_0!7U{W(UZ!+aDx~e>`A21h{_w$cT1?rNo&YT=_tw>m>Icx`6Sde z_Wr|<`rzE*?*R9+gBx%Y@^5HCXaca^!rp}s4vSe<&S%v*zGv}%P^8#PR3_~0)1u1y zNWXB&#%^LeYWUfr@i4a2JECm6Y=}|~{V1{b&WVS+&)i8KG?i4ozIOJU+ak@PJt^I5 z%Wp&Kn`O<_X#$vXy^c!0k8+dWQ7_H77q%zXO|3V0w)CEV&de>5kZMo5pnvO49XS(c ztvvxRbbp4vsW0|!iKU~(PNT^Pd7koQbUd{7zUvfn#_6)Fkb?G2tWT;C+ssqeLqzR( zEO!%BD2LLTgzrAjzN@D8@^Od_hOfIbT`1&%^Cs?5C0q~Y^Q^&wM-?4IOpoJIFj5~@ z!)tsthyHHpYRJBZ#wEbT>z=(tB;Rnezv#&Y#|JLb&W2YReHn>vir*vgu19Uv`yZYY z57u02P?Qyj#gE2IR97CAFZ?`M=NOF>$16Q(LVwv$U>btWo7er0e<$wlNpeWHsU#tH zKC*cGCn}XzO^)G<_R^H<)Q@+AK2c`pY^gT0&%IyV{Um0ZEqYBaTu;SF2oaVORDg&{=o=9aS$+_E12l7k=N#ZN#Kr;3&4Ut0%Yw-) zq$;40;9$gs0GdX43tN7OaS3P%py%WfhSHCdl^1O4km=;U*A#f*0RrhOig*|+qnU^o!*fgs4J z%6g~Etji4V#;Kzl(`TH&2Ne$`(X6RSef!A%tuWI@Piy+&*w2sCHwf&k+8Hb#eX~j` zmg;sFWy~7{IB5;2v3$~ny#1_B}k@*@~9-Gy-ACIkgdsGDw|C; z;F|U(eN>x_o7|NZpSGHd+VDwUBu%8Na&d=fp9?X?~CH zUG*HjnSu7eh5o9jX+0j5UzQV#et%Kdj*Q>Th^o9h*=$&Ml@k>;pnTt?lGJy&X5M5j-5@&5 zOX=k1gPL!*W_^x#%j)|?Qp7xq8n|(zZ|Us0C6iqx4&N*Mr^m@un>Csg45%-MVGYJJ z`P~ND+I0;^y-m}5b%~3@PkT2iKfg-Uq;4iTIdpyjqc^)BN14S!MgD6jIXHj%uc2h3 zKDjwkyF0}ItdIIrjG8fEo~sgPE9a_?RubYx^9h1u0Jv5nj?}!cBEk#d;`;%Uz)_(k z(6S-|qCil=lL_Jm=pupsMnD9}DtJ&4f?EuFPxvfwJVGuci-iyPZcu|ONJ;7_h`Q*@ z#5k%nC729ln2Z%!zHdNEybpa~jO)GFfFQ_Jh5g$~CqR?gYDa()B-N=0pu`^(-B18R z3JdtxfvqJ#%xbGU$ftnX2A8AdW{;&t_XQ|?$}NW8Xjm&ks0>$BhBk{sYYq2rH@ChVG=Jt=9H_^nT=Jv=)4?e zyXmEOde&#Loo11ydXbL6a}u`CPIMhR!ld*$cPB-YcrBuOWuZH5loT^fxkq_{i#;5ShvBwu!==2FITpkB&+!ae(+H9?{ETO)_>wJ&i zr=h1FucZB?3kke4`_?1iYYxdRXLog?W2MO<1!VW1Yuw$w6_&?&;uI0n;Zt<>vwIKD zD+IP4AwJ>%qCtwyxaKf14zm}LJ09mPKZ*i0Zf?lYqb(jpw|Hjo2%m;JHPVT^t0*FU>yr_$!>Cb+SsFJ zk|i3xQ$kGb-~2^o&#llym9nSpI996ooDs@x6l=vDTV~R)~6!l`aD_ec_w?E;r zoGI1F9)HP;lC`XPXIOT8_d$x1zu~hZKb~0ju#h*k^6uXE{Q)Y>wkFDrU&F}33DkcL zBjYvkZE?CCar!`S_owLBMd;*sswdhjIH`&#i3p*1ftdzHHXl$@U}FM+0JAY$Z@Bn5z?ByzFD|An zC+4It7wf3p5^vgB7mxxb z4Jau@A^_TRJ`oiEKJ*Eqkcvb@`yXKB;Dq7tz{vlkBJrI>hVF>?a!8v!WAHS6vx#-- z`>YXn*`g~i<4zlnT$QruXV+Sy*|nnAtRjn&;8}d}`P!QQizuyUi4<0sd-)s$#VD>A zyt-&@@paRt>fE&3_Q`ZhNp$*$JI)rzuVk!{L>$>iPqEK{E4;fZYiPup%^*S|F^ zn%d@nNGl+eJH2xuKroy@=uYr0ZjvP2+jl&{;V|LX79{;aqSJI_2Rh9pY)INl}RJN6&Y+f617P;KGTUc&LmMObk7Y zv`J(3_)P9MYaZC&yoIN6?<9`*q1r=yM8_X6i5SHl+mySWi08hyvvCPOasK9M91>jf zspGge4p}?b5jFbT-RbCbr7(}M$!d8-+Itlf(Z<@Vm9%SGS-LfQIWp$9d#CEfFE=&ip@&*1oK)Z8C=rog_RG8H6!B7mO!Zq&Zk)%duu*o!B`5JZ$sj4xWEd8TQRb>23}Ftn|<*PvK6unfpTpi`2iep2D|N*7LWNF`{Ni?2>H zJes^AxjkOTPx~P|XyWJBxsR4bg~EeIAGKLyybnq3BTH%^#K#X?NaHY+_LXg zg~?h;!Z)+UJiSEM3bU3lXi~9dp3kdZk=OhvTraap$At?zliuQHCjFzW(V8?f8RZ#? z7X6%i#gFxJ+%@HJVecHg%lFEo@Ch%SXuxHj`pQf?f5*{XzNJN%fNZO|#5=CWz?XuI zTe=CK$zdzR6W7hcK|$!Wi;Fz|F((4TKnkwV2bic6la<%+=Ju_v2$Qzq(Ad^N|vvs0P%JSQ;iWBJ*`OK{Vm60&tp;^ALTrU?W(Xp zCkgCcx@`DFWEV=scm_!|)}S@=J}!^3TPn+Zu;JXL9GvOg$u(6i2)e3QT~QHmSuff3 zYFm<}_WLuH;%a}SjTCQg24DKJ+>+ptqV>Mx))g|msh*J&Zl%S;>aWyatW$-5xopX6 zWp$RwJCx;8*q}!7*xHe^f9RLj_SU2Czb_uQzaSn}bcmMxh}MmqPZEz+ad!Pi?As4b zP-l77u8$OH8V4BS8n#KSLzLRo4m1e(Yd2I#aT8x7@HPLzP&7(6!uSK9r@ zN*qTDZJ^f~FR_^@L##HS%7D59a0Kd+tzOs}L2zWV2k~j#=z#rHmzDPYE!8F`C`n)$ z1#P=!lwpVXEV16{PTq?nwHn2frTs-2;3T7Xq+lJ!6) z^l%8Nz0l~i(d`FZ6ND#CmRZl%*w0qmBeLx(=lN=vX=oEG9Ovs?AS4C$YgXI6H+up% zy8qN~yDm1kzpZc{%C#IRu$w7$N_13`6cz!g=bv$s;8g-tH6qvsEjO5NqvS+UGC*^S zqrhQXRZ>(9xNIqqZG&~mzQc*6=)Rwcn2HqQ6RsvD38c2Vs=ThLv8RV;d{u4tKm4c< z&J+F?WdFu`!+*#cu6h5T(oalr#QTam^=Im7(|j-8lb*SW(p&)ml*J!B5d5n`k1Cjlcmgh?8V3D;GXv;XMYgFJ194t z7NR-R*iIJHHIL4-raV87*L0HQ1P6&1$sIwDaK)4LWWFQ~hjBv^3Lc!kdD0$-{-KL= z4q<*9j{~_Q(IICXbR?cU6H%^$=b>7h1aiT9geQ+XJs_MVF>h*qX5bl3cXsMy5;^`u zHVeL%X2t=#6o22sBvrG7Ag;Uh=PoJKWWTtdKzMw8+N4_+^Q<(vDJ(nYac(sGZjp1O z;VM{Othg0?(t7l%-0du-(=_eR?D!3@x8KOf)`ly8)LB0@(xH7f(JK4ntF> z;msb^+Axyo9o0+W>*cG2_zeUH$C`mfQzRWUVTs-1~|*v4H+ z`t{-Zc^=BKHqthVfXFCOq>1E)Y+Nu2;N}t#04+C^eOyA&hrz}TP~3l7)d7zUJ1mG6 znjcK3Bq5Ill$P)Tfajoq-~y+CeS-mDw!!=o47i1P_~9{jGEj`Rl`9L^h01Rv$8xF! z0&Bh2I)WxD+z0b*hI1@N^2|pHE#Fo+%{M?{2TM2q8?iUQajF-xR)C0t^*!LRq1tm< z0aF2R%58+#?u)e!z(Vz>>)Ob2i$LBRN(KdR%?H*3QsPjs{+ZT<7$G1{By0r(Z?CQL zON%KA^5+L92!97dp8bYf>3?E~@1#H=tORd-Lut}@8s2^^ODyZWUg3r2QOgf$zq8`V zeqmJqr4w%S;`YOA2Zw-$c4p_}=H$m-g~dKf$tp?h%tV{JN zh%SrTMD7N%k2cE)sf&aazUoq+%~}o~yZJE_g-pi$ttd5q4Iu~TO#d~6yz4Hk3s>z< z)*noRfN?`G)h+T-N_LQOQbj8R<0}AqZEgWB@X`f;Ixs*I5CCBV7r4_&f%QE^j)Si* zVt|A|h!`3nuVMXH5;{ky`;c5U;EQ=hcsO7z#s@omz-1$r{%imt0`lVGwz^8;mJ)@5 zx=pc0L)jLi1vc+$L7*KlR_-yFYder(GMEj;p!sB(JwV7(s|QFZ0W-FsPU#8+3tc2Q z5*VmYNbY{(6`BD%;@<9VbPwSCNFsNMSxG^!6bHxpQ$4FaQY! z)<+<-6cH8&%3euY<{!$Ce@_m+e11@SqlDNbdkaTxvZLy{|2MKl=85^zQ9+ z?yXhtEtT$!#qW$I>`rIS59SZF*AKKc6lZ59$HgYb#YRR(U@>8l*a%ES7#15A9Tkz4 znc3dn-rL*T*4k2DT2fP8QBzZ0T~k?ESy5F{RbShXpO+sK6N$xyVzHr-m~d=(1SSkV z9g`3nUsh6@nURr^o{^sVCN%B}4`Jhj}_DV*=|cin`jH@{%yop0;_ZNfjlf z#l?jYp#i>5wn3h5ex9D*uC7j&hSr)gRYV8w>ctq7?ku~Zblr(;tz~esfIzU` zkhRVLPW7t~Ok?%ulA!OROwNx*}M*Dnzn2La8W7HpNxaRF<8IhMtoA1;wK$&&i%p zkdc!=23`J3Mp`CbRvu0kPIe|v7Di5XW-ewX4rT^+W_o5udKQ+~?5}AU8EBaq=ve4p zF)`A!aUO_H94yqs?u(#bp_(H(VFME1<@4 zwZ-FogDc3gdlFSGWJG{z0{;;he?hAV)U~)UGEYaCCg^rysxE*Q1NkSHu)e0&KcL3H z=K&9n8WAB_2Pg(z0>g=elDzB|BudAu5sw%6hs;sH1 zsjjNY%FK$6#$vJX7>8iP!?58P1U14j332fS`Gs#%Qd5&tQ&UoslagYi5mW*&hy?72 z497-<10rAmH6k#P@Lw46M|kFuZyf>mfP4soDF7{7BjBsU9|0-CLW9FY z;cXB$A|w(EFcg9b4~>ch^a%?I4hjnJ4Gr>(i;BojPtJam92?>v?c*Zr_TV$ErOgv=$erh>QWs-9oNGUk zZ#q|DwAt>m*&DRc6Z*czbGpoCx*W&|Czz6e_zR$6D8pbR&v+u=crxF37_LH-u|o6F z9Mirx`t3=2tuf#RrVfx%86sN~rdZ-9mFFQ+8X#XDBvTeBQ4uPW<1dS`7dMb(VWg&| zdiL_!BMJmH9#cFaBY*bf1tUE(H!BwhGY1=>1_L`Q69*#$I}<${BOS|YfQi>^^t8-? z7l0bH)C>q}u=2oT!^X&NsBQQUzn}*vME{N&zj3Tl|0Ox1E62MnR=YRVcre|xGtsar zSS`mxF4kJcT0uk(*>T|J=ioxtO+l^<*M3|F_!U7Z0TCdON)6Znuf;&u0+bE#O#U{@Fd^*x>+v9cG<28HV7)%se&DXoVZ}tQa5nz`fF9-?^Aef-yKzfx<&(&rZSOi&a zb)Bzsd{=3=+Tytk@KL)D8FIqNpj-fHR782fVMJ0wL=p-O5wKk1M@yn4L;*OUx#AYK zGB)}3zsQS!Px&1jGNLiDPBzYV7LJz2c8wWaZNJ>nKiHgDQfBl$f zEIj-es42oiF`)>`z~}En2H-+?BqkIS4(Nc~MMT(sB@+H89)(6>0ACQ)fbRjY5E>E` z8Um;hj74e_r1XHgBRUekdl>w$V1MthAm6y?h@AA4y!5oV&;Wpp^r(>f%F>a(uJX*J z_yE`Rl!SDE%ftjf4|i`{3wK*<6D(3z0=nY=&@_$$BI9X}|qTE>syDM{ma<@O-U^L%kqR?!z(0shWbU4>&1g=7}fpnwJ zB(1hMot7x=+Hj4EVA*n{vXCqG70+`QDe;pj^_MR67taroO7;*7HWknjV|h(UMf05U z<&$SG9zUfZqj>W8Dftr$MtUZI3^qnKHYP5X*K8~d9B=_%(7j@&N05T~H7yf8Ez@gS z)>l*rWPpAR3KQ1XEczOH|L_a?U*J9|4+t3$RoxP+(f7uvKh?B5(Xb{I92Mo0V0l9s ztsp8Qg3ud0h~@M?YVg6l9AtWkC=dKuk%ncTdj@ZNG+anvBtIlaf^zDHAvMN71L zTbwHVGmRHmEi`y8ws?YkdcQsKhx9_w2Ji2W`hef!N{ic4lMB=ub6_G;Ww+Yw0g&;o z+NL8;*<6MPNwJ6cSY7}Q>3zrmsg1ZOn27L+NJfB41uFHmE@L%C4>B_Jje zn+~M8x`LscIHClXmr<9MRFe_|B{-Ojfd7D;jI@G|vU;$iadossXR`Tdo(+)Z^ED3Z z9iRmFhCXt-+-9cQZm!k=Oi$*joEK_b!TWm}p5SW7_lPOgpKiQho*4+j@Wn=tg?iVy zI=7L0>!B>mw`C5MVVcSkXc#bn(>Lh5;rl{RB_akFQ3lc+LMYK9U?QU+4#IFC&CzN= zm&=N&$shzeLac-D36fQbD8V6L6P(J`r6p9AWYjg3jV&z#0)x|gddL3Zr+skF>py=f z4t|crMJGAgIPI67_SGo?dpk{gKmRN}JN7ne_ZAA_9D$zo+uQWHzU+~%`mUCS^fyU> z^1x_CA*CUlBk&ff0%M{u>8Yvht?k`ior8US9qsMS&5iZ-wYAmNjSY>JWmRRxWzdQ4 zx1n&3gaWohDG6722sE#eNePK?CB!AfMMF275Vc=ULTLz459bA(BN(LggctDb;9!9x z1I~y)OHVjQptXftK+X}Qh5hp=fpY|@JCS>X6C@b=(%@iBNN{9GFtn;rcS6be=kbGq zBPP%{97<0(M>5_NW@jcw1jYKgrbUI+Rh10&b(dzQ#Q1xpB*r8qCC0==`?|S!+gR9{ z7=Zu0xu%kvj%=cvdaQ$Zjt5@@MjoU&Z!6s1H`s5qIDY91+UyO6h102WtBDeG_(gex=Y^ua+s>ljX6F5hPvyBIHjJuMx+hR4EA~hfzsWx1_JW#$YP^v0K zzQ{)+-xFQpD_86zlkX#%;Vp)-7WUEO)fVG;O-)PvjQYis=g*%|?4Kj#Pacy)KMJVG z#>CFXi1eup^sLMb983)CP;S!GFu$f@hSKvj9ULXhuc#Q2b7X&Mg_VItU+W)cXfOU7 zG}mwVyHfupV7NEat~Evj1QNZl2Nm0R|^PenUo-@cu%sC={JACxkXfYt#dD(fm*tmFM z36KXIwk0gI6+$ePW1KXKF$OK5k;t}~D0lA8a;=UxZ;DiJi&5!H(i+Jzh3%5XX0K)F zR$(^>B%4roBIzzrcLL=F8clcP5CL|p0qRbxr3SaF7NAT99%ooY4d$KW1aP#&43rliP(tPDD3~i3wWN9?hrVol;q_0miF$>u7SSZ z{+^!Bj<%-8x`z5Xz>Ct7vXa75Xi1}x?iPy05NI6t%SVLcf(Je>24EsSJ|;FM62K_# zPrN{$XDA%^pLu9Iv0=bvA>Ar+g#+ak3`7~UsPO6VoToB@QBdR&=B}8u~FfXAwdyA0U`e0F_B?;*=ePD*{M-sv3~BU(ZTgK zrGo=Kg&E0MAD4s}Y(gyjy|G?S4jxvfh8hY|LcA6l3jXGb=>eK=JY>s)#5!V>M{^D5 zfj@0_{?Oq8X&#U^_O8}tyx4rQ*c@iQlclx;nZ|u7`a@X;lOaQGU zBWo@vA_Y-vT-?Ht1GR5s0-O2ZafGbKfpb3C>4ME2zyxsOydqG>!4M6E-n^W`qQX*A zXlY3iDR?XaU%(***$G7acKNvXi%xJK5EB$NRg?8ISHsvS=LA6AY0{f*H(un}l4xIn zF>1o7b;PRmz0n!VHJht(gNkFR*>er>0!Z-g07OlN)Sa;G=e5@60Ry%7^$xHfI9qN3 z`tGGhw}lFuj$}0h32rnH;sO8}vXTO_pv{C^LL$czYrcKF5E7L@XmL?P?SEJjd2w)R z>vv{q{{w5a7krTXq(D@?A=fIdPE|wk+BpZE?sIkcS?2tmCyrI_OCs@GK)l>+~85&CGoNIeu+4e{sjAi&b&m;eNdz9sf5* zNn$&93s^aSm{%IHAZ)a`W&d zYVSi$4+v~a%kqi}C9;Cs%f|;L3oEL2O_M~(<~}Yd-wSGM3*;py!6j)q;>&@5i&);> zorJUYZNZ)Pc)~lZ_X0W9spA#NdS*1vU-HMH5G8nkOKS8zuX&I#j+_;b@$}J?C|^nZ z?(;25EvN99YI0Wz+xeM?p5|oWP~%Xy80B%+Jv)EX?eNbUFAB>$i|@RaSm|)*Pw4TU zCJfCl92=5;ak`1_*5R8%i952}EvS_GA)Td4?JervJJ~kGQ(U~C2!*=&3-*lGXNLPe z)}NX7TOQax`R#wv_7+f4C+hn+x~yUY7IrHjDxeb5-8BphGxX5i-QC^YUDDFsEmG1V zC21fk#_#>G_j~?(?$vYT?)~qXs5oj`O?f*f5wNIDd!ctSKrrHCk0>B-k-joTzjiOVJ^3;zpQ<_Ti9If+X$*Q zKXv0xqou{yu`R7~Hxq?(rO_TXJ@iy(kNQdvr9Vm0)M3d&$7@TKke|Mn@mRZ6mDxdb zTI)shmas?uWaeP>QQsu_JdGFDJwNg!mV2|0J!#c^ZlUl<@lAhpZn^HA?}w-f-2G`e ze|lGY^uOS`_6Q(j^@*JcIxuYv07ISu1(oW+_Cd<7BOa{+CE0>V>HwI|{$&>769daA z9Nz&z5S2G1r$HtNIJV%N28*khj4+=B1|^G=lEX^M0tLORo00?{323O`q9(v80BOCV z+~6D$*H@DDFw+RJQp@zvs)Cb8j?;LFLu0(vvoO7uDE00{t-)OYfleZ5EP@~s5NodX zAk~z+a?B7o(?gaT3>*l6G&(LcIn4loG`lXhxG&V$_M~c=$N+#~A?#m9ObG*#|B!uz z$hvof)I@;df`J1Va)?=z(Nq6vk1Y-L z?bj7*^B1D_nHkfR^J@`dY24R2`6G$3w?n-{Jx|@ZO%#5HG$3aPW5y#% zH0c~^%6SxHd9ZBP>l?~ZsCHt3Q#_UvB*fgFWI_~P?aEg1=g1ql(^nbN3Gq})w!Xvy z*RS6uRS(u9SN`+R&V8B-K38Xd9B{>+aSrml>f|W6lyKncDZ(TI(uJD@Jpt%ndhil(wo1H`)uP8^;W%e zQqGt@V)Ecvp>)Ge?Gj77^~W(=h}*6%zEmfbMo)i}@6eMY*E9|tXK|q)R^K_&;I!(? zvR2Nvr6?jgKA<_BGESG!^rm8%$#6`acC+&S6A5Qv&uPD4G&(O7bfb1e;(0z zXe8aMIr~AqS7~`5%5Sn-qHU%3{MKak?8UZo!YtOs5(dljdS~+@x~sRoB+fM}LN`%} z8ttv0;>Y9v0rBV2q6$U9LLbxwc zNKoSfqFfl_k3_kI6;RSvx+)%~8gaJD`2o7k$<`C4c5TV#1;M)Yks4ib>iucjqj|=l z99(Gfns0Dl?SRN_@TB@8=lJIkEa1P6fQQE_uwG379#Cpz1(XVa$Lm__{tR79IdPmg z76h1Bab;;FjT;Bg3g~-r2+-Z7ywDPGy$Ybf7R5Y5Vg`wTF9*24wN55J+Z0ziivRzO~4UXB_)QKv3o|UG+ zAbpJQMNgtR{cy|bBADC{#=mD?jMcR4`21qo@>NmqlXnAd-eQ}3U6bLiiYr_fK2aHiR?rQOe_yO>joBiuK&cFLBu?E2Fs3+$B&b-x9Q z$>L?N#2sb#?$e$XzQS?y2iZ)**|s7aV!j$3yj0-FOSo$dRQ$6tiJ!|TN6`eCK(`mcR^cI-6K-4UxskISV4tOsq?M-EgSqd|y?Ap$ zJIq`zZ7viy6dBENf4((U@ODRj=V{t7Tj3UpN3ooFXwBBJ%0qq%O$oL;no_mFi;qvg#WaIu!h#E2#eO0iOs0 zOOB{^!25wjFG9#Rl0k-n<2y=73cikH`$K>b3I`(xP?)eC0L=mT0%lfWM9m`z-ZBAB zA%F~7l#H>uinBgG$^w_+rBRn`@v_LWF4iD7P^&2d-xII$JWc&&0YHY+e7(o3S`cqT z2pVJ;!BHMWJpalfS?l!p*TT~5@~XxLQd=Ps=~cCLZtOjVdl_AIjt0f2A zGZuN^Wbm?BB~?XLPb;H}Nb8PdtDyo28F5%>bYAZScfapKlk0Si-CUj1d>!14tf6Ic zp~+*m21#`RkMB~e=SsUTlpXALHzD*h1a3o))T?^XY}*bOSkF{AWV$Kgq#*nbCnKc< zks=_;#z;U4H>7H-0qYDxZVXxpE2%6EK_pN#3G}m+nj%I+PFfApN#L8|u}eSWfijnu zR+GmfaU{y}I@&5G7A9T+LFqkxzo4HV@15QI9e{qg_v!AG{~BakA}uTtC1d0|T~`UM z-f$>B9m*cNkP}w=*li$}_)0%2;#}bN_w{cqNmseuy#1*68&Ez<)K65`qh4)Lcrm4}fi7gvia;ysu<%W_w9?{+fH8%T`dUXI0nll*9a zarsf(TwCjBkV}O>^!6b$44;Pxd>pUtyWvTq zo)fL8deQt83E?^KV`Fw7kGki!lwLDoA9z3{Geg6*Id^e!Zo?+C{zg3s0r3pY4A)81 zC!~i-m=7`7n=%NqtIuMu-DtTY%0i%0NNja2t$2*;qyH!UZDWNK?wX@(=4J|)-{`LB zJq$3D(Ww5|!tTJT8Sw`uTTD;3K>r(V>zkZM-AI4Ht54gF?d9F|8R)(?D-E?_3ICUO zP2`8T`#fA%Ogc*`w)AdR-F@F{-2K^pftLc`H6Ud5GM;CR)Z&`ehFmmL!iSRE>+#Bu z?K2)fF}=RZ>Q|6(eob?|O4BS>uh#mxW~t24^WPf2xZByBc(Ep(^>Gz5H1sfU@O)4D z-0evcl|h=C-sBOoQf-%oK!qH2Ephrs+L8TB7fxJ=DWMYS9>#0YT=0LQJ7WF~pxTL% zn)v5X{m=PN+Q+|FT}*i91v)>l?a0i;(Ti~t`WQYs9!P`pCI zJfJlb5`gKBM?^_l#zafa+ejhKPOczWzc<&sGg&9kU#Buexhq=!d5Y>tw(eAg-F!Vv zYpzgoi`0yFL2VP{ZHH|3LItG{=(WM_y9~LxtuC+Y9p-9mp;UaX)^4Q0$W>DYD~`oN znXQDfjF=*bAz&{=wpECq6G8)E!(Ip(Bsdhn$S=g&kN-K(xA((Uc4oGtm6L;|iB&j)(I8nsWRQqpDhg32 zfwBqiLn(<#Wu;|3J>9J>O>J#$?X7K1jg8e+RTX9B`T0*X(zD>og(x9+l}eyw+7(NN zM~5LKGW-Dehww2j4v|R2!OsVE0*D&I!$8^qe?%O@Hvjb}f`kfDc*e#d95te=g3l2V z1e~J~jv91OplgVU2#*Z|l>^YuaIp#u4h{?n^o@aEf(9ZiG$J%KDm*kTF)lAFEh8Z| zIWjEF$1^Q9thv6jqq(jmD>*&fCod)HS$S!7bybL$OMtUoppS2GK#+y8zJ-RIpP8b! zwqUrWbV;~*bBcX`w*K>MeQ4i!*B9{adHCi)#70jz@RN&;&QLl({mf>hz<4M_do)*X ztk44NU6bXua8`w|t+7&z;Ue=Fxt9HzrX7j8&CzNtQL1&}h(fB&N3J4R4vtkN-atXi z8T&kQrx)YkBetU9_aK^Okz4Ck2jrRX$=<4e5dlI^fA8WKtpVv+z5f|6)4N$6Sx zu{Dw?Ck(OtNb4IU7a?w5fC;3S2~JkKif5SU(8wML3PyNS zBncB8n8*S)05v+{65+Po>m0z@@w(pbMUJ7hvW$!<76&B&U>Orrlo3as z4;<29DTOl?GH}9k1MUkb=wDqBAOCYgZ|_JE8xs$jYyd~{T7WGHAUK^qOPd_*lB9RcSnP&TEeq?8nw z^mKQ_al5sxwXvb0wx$+fqO`ayFS{TmITcRgaNLTGLm&XrF-1g0g-3t}3NB9wG$4nl z=r}-$Xuu2Phr`VYF5&RI;^B~vNS^={KyQs)wxbd8Bp?(#kT1fs*@YqGb_IU}YyrN+ zgn?)qZewuV4h#wK4-N2zXC4b+5gHN}5*Qg4lA0J-ke!~Bnw%UN67KDq85h>pSl!jq zSelcR9u<(26jNGMSXNpR;OY?M?&Ra<;bLp2p(JOmCKYL;7-lA!=qz6mYtfZuGg4{- z7pbLYx2+f9?_Wf2_Jyx?1uZtZF4j9OfI+bwDVKkqrZM)^VEmcQWTid8#8mYzQWV3K zY|)40xWYX~t3E=dDN?m6RH58YrpyOh9v}-yQRF36iB`m2aFXyNu8)T-C;HuV`XxNr$@H9}j#8Mf)wHiQs5*N5D~dB8Y0*r+ZHea!iZpN)%=z3pKkBe-S2E8|4j^$ z=)dTqD_(-(dYImV5pw71oxXBpEmnGRK<{KZa@E> z4&&f(-NSD)!C+w6Lnft6-d>7(mGna;<6(-1i!H9#IoSh}`-_#H1ZA&F-wlh)%QG$% z@6KB+iqfTwvLf+6z~FzCojUQ9#9?xGva5vqTB$a+ObBl@_f=XE?|gHOcxz2mDSNp( zC?m8{;SZ7zBu6Q3O_CobYWT_~f10z#mjAPC{Sl{;MHOto_dn-QQP=kxxdq@+7j<;vM! zS+hBgaaV^g+NR2Ql`)wBvGudvc_OkqdGBW)>W{GR)NC(auvyuf2spN~{ajb2N6yB6 zLq+Dt<8Ov+20E{Ed(4C$8fYz0DL!AY?k+h?w{B>0WHm2%W8wDmd6to)<9fsS@+NW} zqYrK+_}*D~;7+9>-#W|FG`hMbG3Y1pZJs8NmnB)Lm;8mV>+;#-L_4l+{)Y+gh#vCa z-b%PAPOUwpb?k=J-Db)PnGXI?R3Yj*KJ~hr%*`vOIzCa7blr40j5Wv!J>hpW;Q~tQ z=$C_h-;YoeEYnf(|8xzI969R>Pl0F9v>2-??gjKr7t$fi0- z*(wX;B)~5!0$ej_NkC!=dDo)CD3DJ=xDX_QAPf;=!jy)cLkuDjMFApU-bbvY@I}NX zv1<`Svdj2IMR-KH!N&r@h@iC;fOHXQW4x@diEOBqd|se-Q<7n6m~pWWsx3@xFiCzW zLvyOka-kUrX4m;fcj#aP&_FV4L6Y4Yu-@YhIoOb029~d-HdlylovQ^x#BHg;u`f;6 zQb`J)E%e92cp)VQ6|(X;NkyzA#3f0>76N`R*r?DVC{R|K8~lP`rrSGn_dAI3U$n?_ z`yspJ&ka28nVJcmtWK|$g~RqXb#cY~gd{`8 z>q(hjzfoa9Y4X#O`&Zn!iCrbQ%b5;6S+t$CnQ7X-Xuki%Zo;S$wge|T3q{?HW zq$G3ogs=CzdioL>677FWe2swXqr)ln?LT;2K9X!2%*!;>5>Pv;eVtH=4NN2A(JY0qu@GhCiBQP?Pq zd?5cQ=0pDaaAwZKH_xKqnxh-(=?1@DiCC)&8!#BE-#+$r>+$>f`O%E9pt!GbeShwl z>u*lRmOfFjVj6#cZLqF|N&D;My{WRe(vkQvy2XRTD)e_HYi^B(9g*uQUf4g_ zZI#7kC7`!LSV~M1EsSIZ{xxbKd@Y=^L8=W523X8Nl8rddVH}Y{@_gX>4Y@-AC}J37 z<^Zx8xN1oK;T7fK0$T`pPJz9az=)&u6>#oG^1)WhnO-VYQTkVDg4>ZKcg;tplN%;Q?uqpnKlUyLN$Skl|vx z>2ilSJ6Wi9gl=(+l;UnW2$FY=0SXy(%xGYdRiq^qF%UWjG&7P5q5)r&hsbLwRT;E0 z(9#fdEhB}8`bYR&R$2`q$T8}Qa=PkD#)dlf?(VVAD%*cCVeg%_`z@H>JI#Di6rq_R z^4bA~_I<-;Y*iHz;hHu?&Z;J>m#NYv?Lw+SrFzTgQ1klu#-GFwLmWxy_E%&+JRPQu zeP}aQZJ#{6D%&L$17_4!tKPAAlioipZQEAr?C#F2U&s`u?U~+Mn5tT++wM`^TJV2% zN6nn=Rh{ffhq7Y7^5s5*g`6~6dQ#<-M+cZ&iB*W(h;CRNE)s4IsmM5Iq^_=KW>!)5 z=&rOzO9j3V-F_COLg9Lq@FFkgiVVB6qowD*dhu`qj?4QZ*^Q5KvMbOMUNvMdE1^_f z9iK-<5yc*LefI4ldAs|Wef*9@M0ssjU&*vnGxxotI_bwubkIX(Ry`(NyH8w4j6d(uFG0)*-HNn3EN?nAoP9>ljVW_$sJaMzWIFpwsR3R zaa#<=rWWR-Si8|G*5$GLHgzNW*%SuY=|hOL=BU&uO?-(6%vk4fwbv+C4F?B{LU3 zxOUE=?ODM?^Eq_A)^eR`WnE73w)0wS5B_l=T_^sbtU%t|=E4v`H$kJ#B@(wco7d6= ztzu~-MR;T4soxNV#3%CKOOv?pNG~-!@zMEU%|TM_INrPwl2B2RH!niHwAsd$>0@&9 z<7@vw%>9>IDb;@t(*K;@q}?k>k3I`;OVAt0G8xJSoHlBV0@brZimil=GFl$#0uhrE zmz0DYkX`ZLuCy7^1^h)7A>Ms(=kA{U;L--d0TB0q=^sWrWNn0hx?8UV2SwP0d3hit zKooJ|KtQD^3SQ}sdJ4goifL}jC4kVO+O__|J(1`aX(}UGI(0r6t8c zFn4sc*HqTjRo9i2mgZ*XCIE8`-yRJLW%y2n?)jI~0kJS3=3Dp(euwzjIIz|NiL^^J zBcA(R`WZa^2r&dS5W;7JIRd^JaqaJNM#%3)2r1+v!XQP(0rw30=kTzo&`@wdfFn03 zz|YsyJ;2v1E;2MLH8C+ZGR)5_$ipQkC9$HU@LAE*?Buv;Key7%xXzZwj<)84thCqw zpKxDKVAHd+G9!Floh*!DY}b?Kw^kBLaZxG_P%jHmZH&?w$^#jRExdiN_Xd3!iupJk zyW9ai!!C=pPK)rrNR!jcV&fN?2E#cf;DDH^wuc1dsVb+53fqYaduSE~OC3n?TI2NU zBebhR)hdD&tHZ!{D_h_xUg(7?^OGs?K&RLUMw<)y>+(3@dCg=6jIhEA5(0v}ygVFS zpwAWJ5d!AuF%82#Dw=zgKpWBCrJ$y{|A>>F8yvYD%v@~DJgm&zKqNCUvLiBQAefoh zLGsMZ#LD!91q^pUKeI9e%_IPW1Q!>dmf9}@PwDC^Y1v zfo2)n8bN!AI3OSy2!sP@7J@ZA%;}}(w$*qFH)69va}|OEEbzUw(L3A=m?HE z;F3Y82;Mpv(nPP)9Vc3!M^dtL3=nS?i&7efhQfGWa>DWFAg@PGgz zxI-cAvJkk1Wp;i1Xp98LSnC%8XZpR9cfU1S{Kka+@A^c){|g=yN-i>f;bv{S9Q&Cw z#JMQ5#hk{oBDwG-Wm#F+GRlOa(!_spyKG{j`{rV3s9I%;@~L8?HcG8WG8|{*KkPr| z9QG~MXK}Xa{bzeC_4Jexk4yCK@|Xwy%+)p-6gY?wD83utI9aC4Oc|xv@{mUk*A#;o+IriJmvODn%!GOA`|dL%uL(< zagH#HhpgWR)Xm8Re=3~%{IvajlH>Hsp-n;kaqCHDWBz{EfkAL~Q)K<$dg!xQR< zHW^K}HKZxUD8r1epC>V>vPw8eBxzDiGPP1U;gG+=zZ3Rwp*~Ts!J+o%s1WD2V5$WR z{gxGOkg#H$-!Ruvi>D%Kql4+Ac@r7p>n|%X6+Z}csErR4EA+gH zG|zJ%)RghV^<{27ad-AF3Ll`Myq7||^e8ucR#>-9BF9gqN5f{72@yEksZv<~bhe=1 zJInbWtH)kJW3DX&YSln(1i?WA8OANKniWCH8IE#ps^ZGxf?%PAGY+CN5<`m#BPB;L zE`Z}f96&=11L|P-2mk^luJC#U(12gUAsd8?kPCu<1_aN-Py#LsxJ`kL7s9W3L}0uC zhlGYA*402J(h8U0q*M^3R~3Zqjzo=QsE+37PL_gH89>7eSfQmBWXl7td6zSSQfQ<- z1FDV|8$pif0{R+Ad|9Y>ovpNMj#1FTh@gZ4G(gU*up2f8OqM(lSU?-WlLUJNgbo02 z4pJj~3)`O$7WDs|yxaR=5groZVC4iZDhosFy3D{IpF5C~)YtwWTb(}^pM9Im+8T=8 znM&K4$(`@b80o62EGZ24_m1#&j|~rtkB4~x-og<(6>>pB-qj;BQd0ABa!ZR#JK8&I zYHF)05XXKRxG3Q+4JTz72oTc~GK(M=Ex0wqbqYCW!{~r`CSiVnufX*hJe~1yU5bS1 zVR!OCCKxz>A>l&s$?j+ZKLoDW@Ri-<55!0r248?I06177|0p~ZqJbiJ-Ibw%0Rdhf zLB3w`QQ-wS8L4rxA>MAmZZ=OdQyZ(E)juoCO^S=~axKeEg>ySR>*Sb77(0SJ-NJ*A z%axClqmHtIf(W~trf8Cja%F^Rdz^Mhl-zKR-m4l1=)u|;2-+MB-xvsA>kfL|0NPf# zX@U;Tak|2KIA4Fbz;LX@e5%rJrp9Ti$`$fR!3sW72vdc5Z-#Lva*Wcf4O6QKlz-+g zR}m-&_o!kobb&j%&b1J zw<9*ouLHXPQT`PGVmS8eRQk?L{z7l|aAy_F=>QPXfj;q35F7^J5DCx#k9g#S4O>Nc zN>Wm0MrLkyUVUAC#k1=2l8Vgq3{btmN&zo$cn!mTu=@&!mwgoSVvd5p{sj<-#GNfte6`UA;I(`6>htu9FB&p`Nk ze<+~CO1s}KK)5Z`JI_>r-`aBIsnKX5#CRa5Y5<6dO4s31$Dv}Ip*#x^@AqaJcO>XG zL}*lnC_f9pf%h8GwfM`}2g8otcLj(X}u$KVfF1XWiAf zFeA{w#KFkG$_!~@$jzFQk4r#OT$+cAUs3KC5$W{*1O9T)08t$c=O>6r0)hepOhNtg z%wH+h7HcCfATJ>f00E5^lH$Nf0LdVRSQ&Pcn&D*+=4_yi5y${(GQt@nN%p{305!48 zOCSfST{b`pl*(Xq5Ehc)t|~07a=aA+HSVSaiQJ~_~WTc`^hpJD92ubpo|WmUH&)#;g4H=mS8$- za)Wo!;bPm_8rSj=H3ey?LxPkHMJ#4FCmOAaMc86>90q74C{6^`vLd8p;LvJ_QW;tk zB~|6%dw@2UREBU22$hC!fQ;!~IvKu46-3N*&%D1Lo;%FbQb{pJ$j3>X_~+ZM2F4AmX%kU*$Jp05 zUvYTN2i$L7UGzN@gO}CQ(#xyNDm(w&XWZniZQTxYp9|U4+=q%w3OmO%Ze7y(mR0sq zXU9P&Pw_&}WXpp8W|jZ<$Fw~Guhw;vzE`6tSn6Ew!cW!c|trW=;aEr{R@k z3jYSfs&F0xgF?^7hX)O93cqn5_2-J@4#X3<5j6EjCW+G?I_b4T#^op~d~Ka=}l=HyV$8=8~q-N{fy`XcqMJ_tPzh`k!NGQyi{P|mcX6o~ow|C?(FZKr- ze5&lyHd1^uTN7R}8g{mkU*uwbA8tE->g#vf5AQF1DipA@IlWVK`75qw$7-mq{QReq z)v^0y7lQ4Ciu45hTb*?l3%8q2PMeSIC{&hG`0FlQH28BTCKkwIJw~gFi^ZWg!cm{T z;#Cc2;~B3|vwVnh9amS{xKfvGq1Zp(8#KJnWz6!6Zh5WPw9d`G4`x?9SMO9Ez2hH` zZV#|%KV^(p`8K@kzISFN4c)!Z5 zbcj)X;>M*%ip(Drq40Jt?sodm_q>13UefLrq6douA+)VO!)PcQQ3!yMwaiBzAlg=5 zL_rcRW55OjzM&yS38_T{b33eSpfv<0c9%UytX;^h4@rbYAQ}i{SnrS}4RdpW{Ho4w z9@+C;5OSys1c*rJKVUTi(G5<^%^){Iun6|N*-D4nNL3vyT<~CqQ^1HRVnyYkB@qn) zloB|o5P>%ccoTtA5frGTL{S!ozlhqT|L5e~-e&Z&Mh$+7Q$UB7>2Fgy)7mT(H$a@IKTiSUqNJrFrNW43<){N zUy%qw4PS@34070DlY_kt`A+yABk?W&c8>#W4$abvEa;D03z02e6K;YXKk*mES zOC8?u{{);h=jxr|=m{6k(R|Z!NO&!SkYPJeB}2c&%L>P_Ql}UBHa!_;y%`Yy096=z zEzvN*L0}FJX1H>g;ry|Mo~SG*!3;amcxwqieST*=mnnur2hAe~Ibu9qd>kM=2GUp< zh7X8726H7)#19|93`cX9;yxugB^Bkp`;^qM%z=~;8QPFcHh8c-p=V~GV`P593W+*T zkiZNW;2@lh3r0QA9rD5iBZ2}ap1$@k#48MYr!N0vhIsTF-Kq?K>sB2sa7R)dGmXKi z+Mj075TRP?EgNr%HkB2VMWHcpVG@Oo9yD0h;WdU(Kww7&dIth(AP*5Ns~{mlq=#@) zf<7}ypvR(6vJfJTg7!fWAi{MAb~}DCaH{cg3JLIuA^{t~8i|S+D4~2za1joQ&q5Sh z!=*>l6(*nRPZXKFs<2+~^qFr2(Xq`!iwjKfknOsL3@ZQ=-k=ItYIa&~as~zJbPZIe zI=`xLY>n46QUIYe7VPX$Z2=c1_yi%Q;QA$qf*d(uuo2!ER7AokU5#I)u`&E}7H;q8 z5E>E%=wN5=V5)D~oUG6M8qMl zaReQZvk(Fkh$*(c9%6Gs0)1Q@LVdje9V*KTpJt^&dRDZTefiUz_STlJ zwwC;~l!RdK+_a>;oV>*N*kC`;U~dn5QzK~M*1$>mS!+c)s>RyKlmw}^#c7O}+0Rtj zEi~D0_6I<&*4q~mZ@T;!TihY&0008e0gMUbrRF2~rjX$ZFad2T6VDtbDjmn3IgAuL z^k-RgrI~bRn)hUyw8v>RM?pA@T&cGVKtq`?uG}A+?~cl_7l^kI3N;aO*Wj^|F4E0V$p^KY7B+%)r6H$^%RhpaUNWa)l*eFEsvDQZ&Oq=O}6S ziVmY?{+$V$|3Zg!1CVT&`pCyyq0QyQ6;M(@6YoZ`Bi&tq2tf7jq61u&WDv0)ki~zc zE{mW61rUW0Y#kaMzZ5!w`&EvC0r2gVL_QG zcZ8pyg$0DdN`a{f+)WY+a9)C^2j?ycet5#*vw(6kh|rM)V6ry1{y9O+uy_9Lx3-Pn zD0%vitI`_MWawVE9q~v9)zE3da(OXUlDIKbn&Z>8tj8%*K4aqamogupk1wD0;bU18 z#+uZO%H3CPE=%tw>8^crEEX#Y{?wbNJV-X;~;@lX*QB|JJq&Pe>!F^MFM;E@|mAZfbF&1C8uZ$n6Kzk0wLlZT$tM~}Qi9T00VreL@y$az>YV9xZB?V!of+R_Dv4d*!p znm0ZE@|1(|(UvvXpiz(nDM&@LXIBkpZX+Q6;G|ag@IYoT%?x&ZuixeUXw&$4WuT+WqZuhaR z_VGSg2i>{!&9vR2lgq)AhX zUX&z1eJSn|U%*`^F;dD}cio5akr@IEjKj$m=3>LF(nO#X*z^V`DAi3GCKnM9L zrrKkjR8dM$KqU%XFw$WPFEdEL5Eg`5WE4ygf4xy79`)T@G`JfO?-NAl{3T^UWQG_i zNm-1PJm{7o7Z%dE1fZ!MA&y0XUl!&Ul$R8>*Th8HDmO%^^+e;wGL&GHm@GD)DKp>b z@Lp(eLQ=Gv9bs@-Lo%PiYwfnuzN;l{bz5w4ffMv(h2wO!%WSzrZMdo?#D0pxof%2F zz=|qBZw#+b zT-A^6mBvLWMAD_6*m5R2d5wm2#qE>Q1uY+$r%rgDpeU1t*oP1A$>`nXEcsK2i}RG$ ziW}K6?cBmQF2_z(li`yG7~-#e4zAh8-L>gpIDJULOV0bi&Vz`IwvvR?BIEt`1v=x8 z*LE7~9#kk^8DjIRa;Te{wtYX-P^XDQ{OE_F8}S>FwucFWptL<7T3Ao6TYs z2P>l<+UK{mU4F&*pvxLN)%8}tb&}((N88G(sZn*v@@U-%{!z)fV>agHWXJBc4iD4y zE|@-zcTtCQpCa{;7SqJZ>Viq#B5XHZj$5JZx#0z+HGO|08uJK`?ay`|*w%APOyw(e z1b+~uRo$@qIa>S=$je8+@m9w0wLHyZ{jhd1pIa_X}Lrtvx_*uG%OnyH513*gb+ zXKI|GiF&-u;&q+#n^q54*mf`X0n6>aOKtF5ydZaZq}XP(%weX=KG|JKT0#<%Kybhr zW7LqyWQewa{y~f;!W=`UGEzr~WGy3_V<~kcWf{r7fZS#Hh?mDe;xZ&)D1i7_7Ew#9 zL*lZWjE0J$zPhrRsiBLXe`G!`4a?ou5e*qVf1JCnl^NnV| zJ31ecoj)R}@MuIBzr}e$3wzB?T%xRKXVa#VnE&n-auw%g(=%q0xO+CV6ZoD5pW{QrStlpYzBy!J5#N0WKXk>q^h^4e9iFWnPBXJt25PStnbt6B zOMQao-=xaEnrcfVR5=(h+w7=63A;)r=}oTIOnBXyn#1$_%~NwXhaL$&mKH`OT~p%r z5)8zDBD$VQx}OF`=0|*#q9ONtOs>ayXTmJk^EaGn(YKDO`MD{z)17A;xLS<8Dt(&Q z`B)T7leepy7rAlpOrdPlEc)Gn;0N)HX7|pV6S;FmSnN#E4d8!D*%+)eEbYI0<+F8) zQ?;mYUlx||TYkLHuv#&U+)p`|B6{%8t9?3M{N2e40%9E)IfRS0VaTd{r(yoP<(gt)xFZA+ZWu?)3sreG0eb!RxVAZ{gqgn@S zZ)iS}HP>A{UuG=#M_*LlnO^l$qWv3$ba!Sh?cdmZwoSLDb-+0#h2`mN0symL`i*Np z2j+iHS<>zmn8&JPz#FoA_BVkDpOz>PM<}E?DB7!H#E@octc=ud{GpH}G=B*3iNOE|*bH^DAU+o6 z69f;5gQ0R&n0i+fZa7J1s!$K^d9!7vt1zWCxxXwmS*W*LY65gYOl6CWZcq~j`TTI? zLuR-p0A>f!i%ykWH$&z8lzm+&->7d?)70BC8(TgzSSFn_`r0?(6jR zF0yN$)ceT<$hdBD5aLMo4O_c*>=XZ^{ic&^1Tisx`;iK+zy|Rbf+EkamkK=TaSkLG z3O?%S>m@!OOxP%N@8R{ksS$;q)a>l8IKm!YrK|PAf`S==fl>A3Y)m12@CKcA^UArp zbC}D*(w%9^v=Ji5o489D5(sy%yh zmUF@O^g;8m@84RMMzmk;w2t=md`i>l&XJ!m+^4^p_KT*H;ST88k{m$23H- zOF&UQ;05FcN-IE_9{>kHhA^)f&_VpXLP)&_zXa5Wp@fBX6mf+Cnmuv2=kb_{JZ+$Z zCX0-g>yd1Vks{Mq)mBT5PH$S>R$=FBgajP8EFtJH-{J(p>ys6B6Ofo)Zrv24X&?tJ z9WXu0Aq5WNyBi*0778?ikiZB>FvKthwl{E&X)6CB1eI~`T-@)V1I=&5O#b^6A-o}U z(&(c7v|=!wux-*>>Fsk)=?dXpSiD^C~o;q57{+WB7TrowZNOvB^? zV@n#9WZ@ZS25vsiTO@}#9vm3@GBq;JR%J?wnW-k2Q& z_ZwE9My}$2B%n#g&ANIBPKVK``y9Pp?;C|gVkEe)K0g|h-sRg}6#dZMB&YQYs;rD|N9jZCnuX%H z-9}~pg_O{d`70leZYiwCh)(5igsxO2ym7$1sf&1{`2I)PbBEyp(XI9Mh}G>m(jzLSz(_WGx*8gg4LeA9jCz;M&!zgsPbYQa2CnKTUAcSHN3U?I^4f zuXqEm-r(UNxK2cUfXJJy-RODRFv*|IUN3kvTRXD9hYc0*@AJMXL}M(_YDCIG?oUyu zdC_y5^zB5`he1wt+FNbdIE`*UK_+yUdU6Z+l%z04D1tg>xZ(F?o(9C|&xcmfDUc6|^3e|{7uW@aUDvdbZew`9y&bvCr zw9anD?|fU-wdc=0>^pqB#_;K9!{UWN^=YS8_jLCfzv%RD>h6n-chgiT@|;+;ABp>Z z^vvhU$uNC1#JNK8hlok;R5Pu5I0=J$`60cwaWOK@US*5b&kyPeZr#03^>dimJ6ZXU zVPd)=sUtz>d6p?Ciw81wnxa&SeAH7N!n7A^-fQKiMd+H$8rHl3V6)HjSd{~L>XX& z^~+N8nlLpDuri8a0U$6?0fro%k#Kh;X?52J0Ri#Sk_Zn3z6o8mU!0p6_fEO}4ouMg z24Mf&1aVVjH>l$K)DIGgtaF?^-y_2kGM#jw_9@a5--DFc$|ifIT;s9UA|*e zW1&OR%Q&{F-9gzUD2aQ_!MWg_OrOk}`#|7o-t^|?reUvD{9-Jc_Vlx1hruf!D!y5n zrIuC5jpK5@Q4DAMlomYv!78d>d&7Y~ST@0ATIh3U%;&8kj5VvxgRuTb!iN&ch6?2) zuM*HSpCa+4-cM9SLQck>$xgKI8iBH75a%HiYH9NQR9qA$T)wBBuR3`eO+LLxl8S1M zl+SpUj}nYbK=D%_*&l}QzU;{0Y*aSz$e8aIrRUtINcUG3C$;axJ-bIulI-}jr~B7I zt+lPr=RO%H24~Firb?6#^B1?gOm9D7CcaS3N=JI=ZXW0vtj}JFFdR*TBqonz0P^5d#v&VR)6#Hea59!;K2RHF4{Zacred97FVlx0d3{bBWn#tZk<6-fWQ z>q5nJu`N!W!#K`p?Gs=`sme8xpJT-Tf~EY8?!dple@#@!L5Z)52WcfMyQwi zsHECqtmTDOQ9{z95Sxwyv9%ztpa35v(|{=vq$)5hM3x-Ar%>tRK zJggqjdJZqtT@FG*7z~X_RjCL}C4zj2(m2>gvo}d&AWmu`S8b}qc)G-7w%qbfi|25j z*<=~47>;WI5S?C-iMOj?b6;$MGzupmAOIjni!4VA&8tH}tp28O%(@}TcIc@+B!ulUy`BpV5Pgg=!>?-{r>pGeY9R>P zb)wXEw8(6>%6_RCMlhfC9tiaa0u3^ReJnz*V595HGTY%I+vzH&)+7TpS+uky41@9@ z4Mw7mrBq~q!~t>`>DE(2gu_t22ZCZ?hNU%-IzHqZlwe-Npp>v^6?noBNP$5s;xOv6 z80h7LNMy*-P*+ya*TkC{>AM63X0&zuf*fYtJKgp>p#0y=ZXzp?9z^ziijCCjoV;ga zueo{en4SBmH;1^(3kr!Ocb6!t#pNOiyQdW<_T|M*rZK2-l&*sDNfT-xZ5+366UR`=~NdwF%Nd{;6Q|FETCL)f@Ao%UsYrG{-~%b>+tPk%#lVI%!Z z0d4ILO>uD$1kZ1D=~O_Z7zsv2yuni`lQ>n0lRAxTA3t%cBdO~(!Xn?Kqx0l}s)VXN zN&A$93utfCI+MDhGY)g}HxetIBXOl3&~+7O*HcMUiatuj&3z%YhTV&@ezBLt;+VE0Ib}*-uwpXCcTNqSDUpgjst8MVa^A4}q z-vY^w9rNIGe_&x#v)Fr}YO*u%;D>R^eIt@D-kVE*4ZQHFXm#f^?S~bS*KO`!?O2N5 zuj=QSR!LWXHk)r8z9*_OFK2W~D zfT}CvoywH$hepaJs>b~5t5p2)%l*r%c@IzUSd^5ni3X^(tE`*E+^=-?mmD_FQ5w3C zOJzXw&OD{1gDKBBMau9cLzPlV6gJ1r#L#!ybA73ywW%_lOXOJ3v7Hl3=VN+!V|Wag z&Lz!9#xwSw7d1R3d_+fyA$*pBrR^MHIL%w$3w@F@xVSaFJMzprZy2UaH;}s>g}}9+ zR^!l6@13Ol#~3kDp9-d!7ulwxxhBsu^nm>-_rqs9D>&grR3)KZMjXOSkw8*DA&>-% z^P}KajxfQZFcZnjqCvO|=P_V^fQtnSDaelzAt4NI0E=SKi2)Q0A{Z1$eqs@zoy!iy!>7>!1{G!s-VoF`^VV5Z(pMsQSa-_6;|`Y%SLoodUz|) z-Sfr1g1!>1hS372B-8bNxC^2=UGax*xL&(S2+deT551@lGtK(f`;vHRUZg#w_2+G^ zKl!~D%=5+XC0-h0;K3E$AWOc~Nd9f(x^vP;s<>gI;G3>Slp?e5yG^epLh?Ck8H zI#O)paLqjL(+vLc@}w04Sxq9gPxozONb?p_hMzYLXLM|AEv<9%e=~Gg zS*y9EhR*ZNI+CP!E1PoQ-mQ0f_FsjJRC#KvVyg!0%d?l%k4?(Ba)qUqJ9)4*Iz9Oq zmholb`ejB_#@X#=kCx5Ars<8%E$5ueReXf^MGcS89lY~|D*4E>Jm*0@GbYPB)UtW8 z@~>Cx$zzvUZBP!CRV$$i85>XX2UkQ1if0J*d&$XqjaXQ2hcw`6-<`QJ<6AvOVCmBy z!xLZZRe#7)gn%v}XT;=taQnC|#ZeyD8tT1sZodT%zcI(r z{QW-yzt=?(J)sa~$hCT)HR|h39%IrsK9wW&j69hAf)JZ4M^h2wg%}?y7xk)yMSGi3 z)6yZ+@Vl{N3(2&TPtSz%4oA9Pb-UtBe7M7`&!=hDnsM>TEK~jTh0W~)1qzi#k^4#= z=GXE9N_$XxDrFy4v~A43_7zo1iG0mt{-Pi(#d>P0r_MU~@`X!Yq^{&@^=3+$q?{zT zJ;gbnI(s??9#sSGSK5)21~2POa4T@;5;0vTQD?UhMJl&CX8+hhVK2_C?hpTuwzGhW zy6x7!-7UsrD|R7BNJ$JcFu)K4GtAK4-Q5UCDBTR*-JODTcPWA$7>{D}?Rz}$S$JYd^`EUqvqZUdplhAokvZfROb?_V6`1i6Zf0Hcgh8^!uzPmsAv>_t%dvC~$c}MZbgzEj(k?Z4%?+Xf8 zKXx-X?Ra^gUa#8+&Ij@PdG;(=+^IRUzB*@=TRfiKuX@;i;xearlG5!L?(LVU-8Gtz zy4c_NU<;zKF?XWY)}CcykMz`j+A!l0F!3#5>z9%Px!N|(M*_%w@Al3#vAwCnOwFG5 zP`=Cdd`oQnWBD~0zc!0qy?KsFX0D{iB& z)|q137bP{CEI$nkNX2GQ8Xnep_N1DO7Fok+2qME_;{Y({5QXrh1r|)aK<$}pa2_wR z94oYGjx$R2RMDqOqC_2OR2mS@SXvqI$`t6~V9X8o8_=(1C?q0KbR?~ee!koR!Fk87 z95YeZ-^#>RfjRlEAM5f;=4ZmT# z`oBuU$$SVho-m0^IJGqnX_G!ip^({LGEX9uXykeI?C9|7rijyNnCFo3$JZ_g~k+ZSe3^&sd_i$!vNbk&MaYu5Ek1PEN_K*xH2lQZT=J zE_~|5nZgo}ebsi8%ykM$SYNAab`f!A8*)4}UhtfH9ojY+%#UBccz9ycEJ369yR}$# zs!@4rcN3M-HY}gk;7)z0#&Nqd2VyejML6vKJqVZ6H)x#E#g|6H5MI^WR zI;Y35S<(!fC9V%@ogj62INz>5+BnHo5y}Fz6Od{J5#C^1lap44>jJo2s{Cz%!KzhFAR$I{v}bdM>h`R&Kq4>2!G?>w^4 zlU0lnO;uO(C;cU`R>L*nK+_dZX7>#Snpak>$Xu~Ehk{1X7D_oi9Yx}JWRAWUQ%xqSLDKL_E;ll@o+`dR?COrjQnmr zeJ}A#+&F*rD~m^FlHye-7sqM$^bb8__>y;%L%!w0wiyY9kLx-*Hf_s$y6X9ka~FEY zghnp+oe)-_7VULov*0552` z!8r0z9C0dFZ!*tpBH!{}nL}5yX=jQF5EM{+TX*2YJ8lnZoE|rLKtBP@iTkw<)1@|p z*_QQDCMm8e=88nvnM76-f&zuUc?9-gXl39Y1Hvy{T*v@i2grmZNk?7%7yZQY1`UtWw{!X^p zw{7a8t^2Z^=NrB0BCt2q%Go+cB#c8ONVU_0S}({Pohr5)FR%h+6SQB?+HSt;0%+)M zlrhxm0~YJqdbiOM$FVZk2i2~bep)10E+awsogz|zC+OurnGc=`f+8ogWkEd(mtz;pLlIy zjvObubIEK@>zQ_-l@mr;b;!|rGBWS-aQ)GmpFBLb7U}L-_X)$WXX?oDkEYjR-+eoB zDBWmuLZLb6!q)E3W0&T18b5w%{V08f-D=KYe{P}i;CAEd$XqH=}^P5Q>!T&H-q^n;$+RA&5qS} z?U4CwBEw_n!n$br{o%Wor#qvc)Nln2D9^t?<#diPoF}DGl6>jNbF4_UU2^vOw=KnY zdA8n79AJoilHI%2+hTs|?ft0jx7=g$tIEkG3nl>Yc~-7&@`raSdU)5oe5sS)oAsh{{$}ir#sdppyS-Nn^O9IBxerOLUs0; zU9}D8{M_eD1XR8H9tFQJZ<+a~9Wt(-SMZ`Z@N&Jzo=;D9|1_OICn1B%_kcg_WEKq;sfKzX(tM`k_@aJ+G+wUxE{9C^kc=NWq zqo=E#hpmZKXX)*wFP%$YfF9Ag^rC+0Y5DgDxe#3SWhVLKbjJP8^tqAN?)LUn8Zdl= z5DA!$c7VNZ0Cv&w!N76={sW+WA&Uyp`69x?AYLvClBW=yI0^!)V38X>g)MM8*9#aD zzyu4Aj0g=!@1)}*AOj0QBj5!{vCx=kcmtp#;8WNYhxoc5aeA;Bj?iJS0iF;amlPM1 z2)`Q{nh+h4mPD&4$uG!Gr$vS)#YL6mXScO9cHeC(O^c!VI25JEG&j~)RFp;Cy6J9d z5a{iGJ0QT%$Isoy+Qm>spD5&_hE4U-u8FqjNVn`v(i_e+n5(vX-5dCBIOg?0#Or}z zFoOc!3xKi@8@=Zne83exSz8FS%~@QVI37Qh7>VnSy7T0R+wJ^CjfL| zVPS}!6BHw0Ac$KGCy2)h;BkVY0(@A2L-27405?L2M+n1%WdCk?j(;R!j@N{DCL6#o2wvUEhIOHEGt;KoD}bV-3a|w+32{99 z3V{;nf(3IQP;q5}8-p?;5IqL^HXxRxEiW_%0tJO$AmDxmLm~nhmcAt5s)UmuBDq*x zLAvp1_4tk(T*c;KLf_yq z3p{Bk1Vz>z3Hl|sbRz8)b%9Jl0HPNlBA{J^Ok(%|3ISU1gt`Miq#Q{BWM0@G(^CBT zasV^)pNnV9F9gB2Lfq^rC>enY7u? zq`8r{?v8edp$iWVj6xBVa5w=b6GP{QA{-x`Bm<{A0$wP`5=h{Yp^-r4iwOnhOe87< zp;74Yqwhkv2m}X248i{bi~}(=3OEn|oPhrn0AxaAVh~sYss{Qx9UTM23G_l_K?Fjl z#mB84H}#w2Eg->UFx_yX#Pmt4%iF=w52H{B z!k>5f!}Sx)2#=e*A?Y5Ht0%zyU1B*?Zg;;5o)Rwi>wqrqI9%x1pJfBAn9daQ_5>sF zhF1isl=!Pw1#4E`Rw)mZhv3SR0H_6EV^vOaq4*gHyJ!=v74X`!coaq?f)VD&fS4d6 zC<-qzjHoz9OhiyjSU^;WUqnbi7{D)pf&q#jKewPD6asDx^QEgym#;#I9WxU%)A>sm z&(RA3t44niXsb z^+ibzCo2N}Zz9ipy4bEe$*3#I@LrYUbI6P7@_W?ia=*&%L7g*1aNnzPLP4f^R!z~m zSw32U7V@fO6lz0(d~(ojq*MU>2fOG{3*g!Uo1;*hz)}cPA6POs*Z;YTkC}P-a@lY7 z3cuyz`*-yw0Jel+80@mZw-LW@PgM8hw9~7y$-N?{E~-D=;_`YuzNK<1=Z1=I$&Ebr zh3m90Q{&dm<9enI| z2BtY*4a+`dPkjB7_bGXR$8q>8@uOVt*QrLA4>I+OA+)THMu}8;s+{H)Mzt+=7X|UV zPHpTgx~O*X_(i>Kdzl4&_E~yz`mbpd#vZ@P%#c8So75(@|5^2kJ0eH>#B#FZkJ(xh zH@~bfT@$J$y?E-R0k3}vR(f@}-o2x}ol&wU;(YEV>}WP+-pMpi%dUL!V0VsBkRdTM zFRYxM*Clm377qS(N6`zP+cXT@U#PNXX z@%-im^%~v}$GuAzca0jAPw3uArED`jz^+=%!X8)_b1ploXhq1{+uG5p?GhcfJwleZ zQ-acZJY;TMy-_w89dF21QW~qvJ0n=KyP++zCs?RDv)CgAAAVwgurCbpGW2?SzK9QqRG=XjkaawS#IuZx)s>HU4K^_zes`$3GJ4#>)eG)651? zhKXrUl74NNVXn7EtS!Y>Sw@Kp4SEhF%o3@{JR*jc36Q}TD~=Zu!3$v{-1WxNHT%NF2II*PMh8&@)5W$R z$h5`l4duXdd&a~LnMX^PUz^i}t_Qqh314&b6d#+6bg zOF^3elPB0|L~R0yHlQrYLQ#M~DG3=p^`9qUGczw=BKzMe3It%qNbg^osUIMVUMQRW zd&c3FALqHYgj9u7g#wKBpMocZx^X3sg8Lj6Di>q3W19Cx=CPTncdpAWi^|50c^7DJ z%~U-quW~-ANaOXK-4W;Bg|!{m?r;1&Eik&LSD$_2Gga@~jJ{KT*@yTNYcUtTN6s|@ zE@fBi%VfGA`{Imhiwfg?j$g#Fu3;u#iK6)G?a%gEagJp+NR-_(c#YQ1?BI*OQoD8r zCmuSreNX#-Oo~w9CK8sI;3>PY9RH9{vMVEieSF)QOVPNdNh@Z;DS{C0@AD_4Ou5pg zd$spI8W=1(#f`E1!k?Qc?y!f_Q%bC^hHfpI|j}Se>nCL6j z;9$|k#`U7++6&9La(w*N=mpCu7xQy0MNyV6XYFh{@|X<1=jSgtXSX{jJTSH@sB8n_9WntL}brH&C7$n0zg8Z&yxK z!cj_B!-*?<`8PJ)xrz%sR1%a5c+Fdd`4LH5whV5rvh;pmg;Dy<__=3wRbY?D7jE(C zb*d|5w-c;ngm5xEMh_-&2MR9suDaU!+I8>#V^_A^9cVJk?w)X2B{fwk@VL%p)s_{r zR}S?4yeRw+D2y!2EDBRiG`K84sLODsabJo-ZMZ?Mw|bI;qKi67Rgxfs7nc!}hV(fE ziUGPKgjY(-ffNYsI$AGAgat?@K}7+bM(7|wJ^)7<2)=0MLxNKZLmr^@N?;|RC=hTW zG++IZG?l(kk-k`Rf1=t*y3Ta5HIyW18HTdW?$+pTl>^f6ngQALSU1qAFDA7S)Uwu6wNOnR|DJN#dD-+Iz^ z^Kpwe%+F_P0J3I3S>XoU&RBO1qBQhVuyCnDC4*-dlw4%t1tk}$x3b{eRYS@$UCC8I z`Ev9xN~=<3;H3^fEhxDtfKC>e6o?g!9Kf=g3cxy6Fw|1Dw6XNP>6g&fKKOIJhUL%I zvE{dE0Lz0O&CSl!*3_;xD|~6O?Z0?_Z(5h0SAUz${xBTti!_lVzVMq9N2y;M? zPso1)6$jd}SoA3paS+cBq8?D%1LCmL!=a!}1GXo$W`GPtzrx$%9Y$)f8N{iOD{?8NTW{zQpF2*8>U_rs!|jnU*JnE z^HV_RzCigxKgHY|)OaUygcBvmhGIn))RVz#LPIYi0u36-G$Mj{s0E@}$i0T{3@JxL>fG-0{mEI$}dC&mOob$ zS(aOQC#u7{P~|ll$}|SOrY2aU=%z}7qk@w^194FVSh*6Dh8_*-8Z1D< zZ3|>tG*t&@9=+f~sYR8SZqx%R4>W5MVxXQ#O9SVfeksG_;ASUQ5NNbU_4?T?2v zan+#|EzoOvQjKAT294M(%!1l(%r^pU+zM1VD82~OSZWVDABFy!w`>(Hv>_i(j*f1S zmqpzf+{l!`vkMnPX*_@ffnF>Jw?){=1Td+GlhZG%z@O`4%dY~r{DY8KWA9;a?$BNs zg+k*#cQ5_jzO>M?^sMshOvZ=dn6ERbixX-0JJKinTUs0I)05(pfc8s2v>>3+;z3)1 zDgfsn)Kx_0jRBn|G#m;698?f%AhQh!oN%5YzYT<2p#9P%Sah}#DHxr92xLGJE%Yh? z)db!S{}1p_dcxcf;OBoaJVCETe;oatsE#DX#TMpeLE=n&Xecc#1c1IxbyY15HANZm znX#dzxj^-8?d|EzPfrZ@vQLT*O-)Klq9sHG`uW(J8z?Ji$UuY{CD@!=6lzuwqEQ}1 zYNzQ>mbyG`_kY>tyU>4&{t9{4b@S03&-rHe$E|*I4Zf2Vj^icvunaN{RiN5+wiZf& z+YC^BE1U;%ZF|x!flG2XM!zN6pgL5gDpa-Dp9)o=6!hBLpw!A0-lV3v$b?xF{4J%u zjb)99g6aezB}sy$kT8}H1JWrTBO(e)s*o5UeX#i5-3uR6Q%aOX@)sB6n)Jo28vXw6dC;tM0pZH0oJJ~L`bDofZQqg zgJ9tkCQ87Pl9d8@xupFs;NQ$Fe=dqGzY2uh4s)}21H!MpxnmQ$3$&uU0OUr#z5|l& z*O_#B6-Zy4N}KISn(VvN)>xmPnUa2K&eHcO-PhQgl{<8|GHDae-S=~_d^}|aZUIE z5k|urfC$6)!dQnE69rjKMY-7xRb|OhVR2z0#rfGSjdiWf^+g#;S#cp{`B``GwsdxO zWG2VmcD0NPyOkK92qBiie!i||`dYHE%PZ-oC!gZ3Q5$Dn5vJ7`sW6ak_@K_?MR(Ba zzTnq=A+P!ZUi1V!Y4dsnV(lG|M|ZsM*LaNqCal;N&ipilK*F7~#vMw)RGITwsdHbp zO;@TZRDnBDdd*RK)gh{t!HQ4?a=qjV{itQPpd65LeMxclQn$=SeDp@q;Qbm|;ASVc4CZ)flE) z=%XBIt7xZAQk0aE6@}JIN?Jq$?D`T2=>m{kWU_-2fRs@p%8f*`TUf%T$GE`Zhck~_ zGk6ae@x*}k3Gs0xf~bV3pm3Oz286uzg4uo5fguvDV zLnUc>pa}!Fg-DP#`b9(wGs~arU&}86aKzjkp#-3dKwVnU()(tV@U}=V0Z%Kw(M!OW z>9mhC>9ZZl6MZcmcbZBIa^Nli#}7_EdMv~99|o(yz%gtyUB5p z{tl**ApvnQ@llb{-jJ88r=}q(YD5{ow#Ti$Z~7zPwa^#* ztiuN`fdJ#6mjKTP4esORuA@cvVik}*r_Me>Mq;@;`N#$ zwd=!mYC=_EOk3y+V_I^a54AWzKKq7jnina|O43&k>!K!Xr7WrCc& zfMTIRVZ{^RoTItSzmENnrT`=uIP)OH!VMfkTH!Q8Apl1%qF{m%PZX}`fPj+_!(nM& zI%BCC{ox`*3GzdUiqH#8QABLl#HP>$g}k}^6PKTpYGW?8=4^}i&| zo(uqQm-L}lmj%h4o7n%duy|D$`=w}l$Qe(;ivHcY;m%A3cj<^c-`Ml>uWBF1Tf9>2 zNmI2597?^UYhNDp*XBYI!@n5T?UWYSwLgc^$<7x3@1x_TQ2!5ilk{Iac-rRp*?z8k zdMuBvEc^i9i`LP~`lGGoGxuLEKIMB~cuQ>isD7B-u(=vn1pbsabIFFH4Xyjt)?~gs z#9Wxkm~mY5Sc=+#1l&P?%iV&5eH+;~;MT;f!T29%vD%EuFkn?3JmzC8t{KTt-0b<; z<-pFaV`B5dy#cGap6==(3#Wa7HzX`?>f2N^n;Me&R1Uw)4}Sf;aOU&w_mk!i zMzcOytaZ*mb$wEf{pDDMsAKW2CD|%@TK!jR`}<1Qik@1qrTRsK654U-hXlYlkD03 z6KBf(Vw>~$?q%{dPpNgteiVfs=}e`b1K&w3T#_OB-v<~Ygs_q%DFa@)K@IeZP4L3hX69rvj_ zU+-EWfPE%4FVV1pcYU?Zx815M&b-<$`BQ23>i>Xb$ow0bj~xHVe7xV9+7XX{|9u&T zJt_K)5!!`5DhUoME?Q(Y@ZgJzktAfm&;dmO5<%e358f@%0*C-igC{cx1jx{Zg4_wB zFwgI4}imfJ#?54_HMhhLr@@|ltrW%d8iIRdowY2^1O>%=w>SC>i3LyCQ<}(B(z5x^~FwH(}a7ChQjmLDA z$8@NlV`_!{GY3;$R!fnE>z zHcE?Arh!Tc>53k{!i;O@XDELgoyOS=+PFAxD67NCb0?JM9&*~oA@^!2>*F>#jQ6mr z#rSBOgH7?P1!}Kzzl+qSuV=4MUEtB3ce=+i^RmR@f}M%eck8V)S&2bK=EV$^Wvmu# zN9%$&amq9%c7@E&hhCkn&#;S=6h6E=V*HKTj1_*rIR$%TFQy3Ir*~Mxgsp_CUZ94NCiec9FD(KG&usHqO&^Nh){;5=MCY%{eGm}~`Y`FNS@NRh*Mq5VL%NvypTPo6h7jiD zif}6f;0;w;3Dg>J(MSkO!l)cHWEhn}L#VIyiyf$|f3ATozcGsliF9-DaCh`_v~+69 z3_#ipq;J1(UwYZN^tAHxRNCT5+?T1OkJG8M-C5(k9er&rWd(W3@t~uEHUljgNUU_D z8|t-?0haEK3kOLC1>weo!^8&lT`-S{K%q)>#f3hPK@%Dzxey3iH}q8mkI3Q=`L6^RheJ zTf4hDDhqQm<049OGi$0UtE;OcZw2~0nuiAl+;F>LW~i@Cm2uS~IVoVmE#%;_QlIEJ zle|R=CW79#icx zUF`vV+IXq+NUmL9h9wwjTVwTGV)gIF88k%bKum729|*HbnI5uP9j*kn&&xhsV z66EIKE~E zn4o|#GSUgcoJIshT5!<`@?$XQi+CJX4DKqR34@K~7f-7{R}Pnb{zogLI+Dy_PBWNc z(3_&$6roq(s|VLGP-rz|iDXG&>H-%R4nNRV&;~NJTjk|@_tQyff!pe57l5XF3dweD22;X?cI zGNB6ivMhxtM@1$%aPldUBo$@F6{u3G@=yz4%ZYB< zgWn>-ga&MUqLP-z#=rO*{&V$f`R5-3&fxsJIe0plJ2s@=T>8+m1kr0h&j0gD2wnR) z7WW0sX)_=8Wli+8^>wzD73LWODyYtwlkL(eSo#80UaL9}RMlYy-afm@pX2{+RB76qJ|{ zpPrTi(QhEzB*({=7v^`iHdmMArA3F8=I6lq@9FNWDl5pOMHFVImy{Hjm6V1B_y@S! z-}dpcGBH8yE|TOeW6CXk$z)gMvQWd;6nn@^yjO1du)+Cd&+QLmaeog+KkvFV*W`Y` z))Dgez(qS->kJv$75G5nyla$`W1<>r8-?CU5Ln+vpA!D}q6SDBcE*qKNI ztWw;U`I*mgFkKMf7sLsOz|eSj2Ny0umSDgp?UL`Zss z2k9^Vb^f_*$in;^sf--|NM#(Z2<=J+Vb*jg!>A`kuOZB!*jG2nNySlJR+%V8l9C2e zf|QsPykPGU)>pu(inJN}q9YP%=>a4J^d1>#!;m(M`ZSP65I7U^Ug7+MqGPVD*a_ym zaM58JsXv}FKvSH|GX@}Fn!8+Wv|ewP%}~A_9Qfe^n~_52(L$%OVn?uc3}u^#Sx6{J z!|Eg%9{$jS!BQnW{K*8!T~wBrQHAROJp5sS2R?uVNKkIUjbosr^^3pWKi9pMfB0#! zNv`(pFqm;LcdAVAS$fxm9{wLXet79WPp5wviTga6{AoIKt~YzE?{0rrdr5vyVq6pq zX3#DT%v)d?1_mnV;g94~n9ZVb3z+1iez-EwB26slv|(`6VLbCgm_^TgxHTY=7Tq9z zjA}sI1)UbI32^wM;bg;q9Eu2vWQ<0uIA|v)A_D%<$=-q zS6+}F9SW*!M;pvzduqx`(&NJNGLrN1vh#9tLt%Ny%h}7(UPo04;5K@4;xP^yv3BHK zZ?*a;{oV|SSOrkJGeq!$68nBQ_H}RAle^xt_0F(90T7G_4X%^rwj;UblO^_3kb8qB zG0qTxGhXFBSm4l`2@$Kt9SM4X1FH|$1Y<@^jBZVsN};c8rkiBGuY9_TREC>Os;7Lo zwPb)X-c3W)nkr-}Cn8S(+dLnJ4PF`uBw36AJe-AL69%FY`FMpO zSrtw@Hzyw#J1_S&9vKQJk3qrj7SUxNk z#;^kPNi4sx5d1lC=3)E;7J7ad)xv5GJo!c7>q3I)@QdNZ!C3x_f1S%04F5xwUGCwZ zuA{-Ud@#dwAj7CH)v!KHx8$Z)vXina%2AU6@+Co39In&wLb|I22@Lb-*$$H!qUvf|QYDKZRT>7)gDNLECUOGy~a z0;-9M1Q_I>2PZ!gV5IO8_EzRU|LbL4zQpxgO2BWdG5y<;oro{mwFQno_~%`GlUP5P zPQI*LESbJCKH+@MB~lH0LUjMI;#2EANqe?VzI;`;So@*xQOP9>Mg=hpq3>xsNeg^Cw!g%9Z;kD{2k#!etJ_ETRO_hfy7*qD=iKN4zI_K>lsT+L0~Y)OUY@Cc zzMK2tvsYinjCtR`(R!q0_wc3P@RTgq5$UA!HQ6UpI*Lwa+}x6w!oTwPCG6T8dpL78 zGBht8y6Jvaw@B{XF8x(8zO1Y}cLpylb?ge&40t3Rq$$lWB{&g!vTgQuS#s8uBe%qL zP7nI--{hSn72U4gVbIx2yB;mox@mm9LCZtAu#OjVQEM1Z&poqcvF2mDJXN=|=2iXJ z>+7)4mP9js*s%CS>9cd@N%9T*~^^=-bGxjyM&Ql$Y;gXb~T`Z}w2= z&2x<2KD22@|AXUuHJ3{Z*}clSy>CjJ`n28dwQUa{+$Y*@kxH@I8FvW>p`Ce^eeG*M z$*Scray$@y_i`}#ZV09)<(`-0D^;4&M^>lI=8?x~y%iMiu#<$-u7elvPq@Z0avp4< zE+(v4zv04_t7~wpVo!91N=vHR3%abi`pnd0#*p>?X~vaTHbv@TvL!~%`|u_9V|%w- zjQPIgILyVbaeAal>e}jytauhzRo#Xl*Hn?1bp-``W7oKjcqU%U@OD@ zRv2&F)ACapfc1YsD_m|Rc-T|fPBZGyFzHV>=}R+iibRzl)m6#IfUHZBArT;8Lrg{t z5Q{Q!4FL8Dl421U8#%L}8GsiW%fRoVkI`-o)B`lSg&qJtMlFG?q$t6`M7KLde;`tH zFiy5Vj@nC8oy;?-4cCr!QYyTy-x_byn_&SUwXPJifm}Ou4JdLNFR+8kT4Xy} z4C@D;sWq00=sK(#=(Y+J zT_t&41^78hYJlhkPy({Z%4#T(v>?$3|4Rk<5E_Wl zVF&f+^e4)yU)sH@oqlN??wiu@cMbrc2i=7pjBF9SQ1rok!Abeml-Sh^cL^MYmvC(R zN7flJ<8({ECc2+E@Hd8m;kooR$4}-x-mn{(+VC)2T<&!GR;{#m@9feCpM1@-b`Isb z7ytFk$NP&4-+HYdm>7H#`!+lB+`L%1owp}tu25*pb7sMFt&~%1)EG{QBr@#GkxnA! z5VKx#o$NT|$&iw@CNuJ^=ZQFV(bq?ODa}XHnB$493Des=H!v2u2PMheA^HcU;e7U{ za!H(2H)s`oc< zbH(U!T6F6?**c5#dVxVlLDFO4otw=kRSTo4=ntgexfshA!wlC%bqvb zjcHvo*VGgj6yo@-nEH+`Zog3zUnxD~viI}4K>zpE5=TRLR(Zx^RwS)aJn|&mj=FdH zL}*-%!u{zT9Sx_)a(9f`H3*26dt6=Z*KYi@D5lzoe6@gjtYp*e-8o9$xoO`X$ELlB z$Ltm+F!p%VJaugtk8L=$>dcy?2C*HYIn?`cCzy(luGnb0%F?F>qi%8WSX z?=7mhu3XXEy_e(X1^CZZLbQDi#zadyQ!OUEk(!PclPvm>BB`#grD4e=r>AMDdyL~B zA(d1037v@sgPEp%>83!RZ4B2h_R~mkQgYFeQw6Yr2&^?qk|assc|}-ny6TGhAt<+W zBMh2|)AySplYo9XgFPlWsJp7dijx^iQtD4o8P73+9mQ}P zxinwR+DN0WRBL2?q#1Rlm;q{gv;^95`~EZoUjw)clIU9z^y-U(Y85DGk5P#tp$J<` z;ERF&4{AR}7BWmAk6YT#^5?K|X4d6vT)$HWuKtE4>HoTi9SZ!{M8;&|{CxMj4|As1 zZd+XR<}BAQRZfW+&<;Hp8g3xJ^YP0?^A%zlxyLMe7z4Zf-2K<$Vk%BnKWVX=&GLJs zf8q1+hjEK{PS@`}b~|wB$RS_cW#OJJdxHB%Br@Np&wQ5FGh!+)d*ouGZ8OrAACO5i zDL$(I30L}eS``aNNM}mdx!uvHPjA?zxx<}#U?cn4ND1|0DZ6q`U|OUa`xO#oL%{4JjHx>wi#oPNb10wgS$2M^&IBgv0;57%+*AT~JD=2#P`7*1_)AKb(RaUNB zf$7}y;pe5`&jrI}mx7t*R6q#yXPSd5+nZ|A6scDcsFmWZ>|v;=3M~~L63Qe=psYy1 zJ|i7Mg^(uTM?<*-bc;M%R{}8xN&&LYfg}T$H88J20yfG-qY&`oy6TEmQN{yNgy978 zXsXI!qVhzpS#_93kOeu}Q==r+WM}s_`ivZtevK=dN!BZ`FHzE(;ExXuA`cm#Dy~x5c36W$e4U$GnsHT>l$d#)g|Q zW(sA;TXC$xoF|%64jyL`@)?PGJ-d0kV_k2uy3xeJ4W9*N=Du6;OkO`%wlqAMUj1@T z{fpcOljooGFuaqaJ=>JO<>WejQ_Nc+T{R&`#HSL^1&i;(3fw%ADRg{I=9;GwXN$H4 z&ut3S;B-q_g9~=w5zdU;=zb@V-_$$sTvk@jG2V{HJJmKa?rbmm9^4nx3ocYKef-+a z6FcY5U99gbQM5MVtqt}Ue)HAQ_`OxKa<;KajZ!H4yC`$LYWd8I>9Ts4{rAP++$`-c zb&cWebS3ADlv)q*dEg|$nI+Z#t(o3)R>zVt33C~n5VuHIjmFSFdbt!>+1(@It4 z=K>j$MvH>nzJ@d!-U*3+pX14s<#LRDHoEe-xU$!r@s*+8$~gA*n9W-l`2|*3ueYqC z$T3-txyG$!-|(X0JpVDuxe@hMvfER-`V32m(_6H(#n<_bi^!bDt^d0qVSV(Lb$!!p z57~Q^7Osqp4oj+77LvK4tgPR1SMZ?cs$MR;k!^piq+H{F=O4D7HQi)mtVgO{gsHEF zJrj4HA_^v1w_;Ds&kMnyi-#;%eq-659b_j9OLHwXqph0;3VmQ336@m))6BY(Ou>X$ z;-^J(P_fsdP+=`tOq?hVt~atQ&`w}T3$;KBWEgG>fKK zgStq)?i~AJXvd132eZusOvtk0WH{~UkQ3=u0E}{yN+f#5ArfZj7XoD52iX>ik*I`| zjm%4wA`_9oW{;qg;fXY_k z)}-VJ&m0CL*k1l#tM@IdeA|Uw2o`qO?ov1G!r{+Xz5N46QNa6&54dl5U6viO zY*$7KPN#0k(ICm3^53Imk`2t()7e>Peeu}6Y}C`+4AuzkVpLO-vmzW!f-z`0aUg^rfNEh+Ou# z|64<5xm=$7yqx=*j*oDZ=y=gP>AMDP7~OXqdi$x?Qgeg;@q2>m^Imq&mky7eTeEm! zws~S6j=f3D!02dUFVmUrS+kOzi5{XQ)NM|bLr%2qbr%%BQw|th-^$Us+u>oG@l$(7 zCd0>`rClvr<4YY|hAfxPpEga5-G8~Imto=WhzF~^CcoV>+13?63(t$KU~AgwVc6%r zDt)ZDHp6?hM9lEopS&+pQtC10`1|#3?`>yR7<-4UwZ20MnqHO5TF~#BX2{yI z<4MYkaEVs!v1r5zrJNWzDcFZ4}-|>8w z!em(ig3qSE@|;|CR!ZXRKh0g7d-ePk_gmB)d~ae%`)MIyTfUI^AM(L+3&CKnXJ@j} zP^S4nrdemAAyQ}jb>baVY*l3xBnTuiNF5@QVKgHF=vo-n5J8)TCossfGN=#$@}7!# z_+m21r1ryA3vZA>&MbMViZnr5nL^FKZ7>uqIg&&fP1hPu)11gNsSZ+e)**&C=wx_n z*G21hq?$Ly=+#E(b!OTP6}ya;IQOL+`s&Nd66j9t%(KPO*iTdGj|K`SdRs>ayNiknk`iet$q7jb@n}4Q_Fd4j8;nw->B}y#1cTVc3hl9ky*?w>B;& zJT5vaIVk~Naq#V7!Li}60T)+YQBqr4m;`jS0RQ6btg(^7p7y)>36YhBxiys)HPy9Y z0lv399m0bA1ATmMxVoAf>e*|^TFP(-=;PA8RI6f*I>GUiVRXOB=6Sov>ptK2L!qzx zL!WfOimcmwlM6t}=NjB#Bm-$mKqrUTlbI@~nHo@@JZGxhCM&@=?=YBS+Y)C052f}5 zl&jYmsc|>KxZ<{YMWAY_AGzX|e1VT#o;Q`|NDVNRa8<)tQw1$3LOL=y1p-z~kYAAh zx-bR{{&*l6K|}+*L;-1pK_eM>p}8v$hL>CLI+q|Xpv%B3&(6yQp_4G7xyBDKUM>M% zZVcGyh4`^t*Eom*9DW+y(FQDGhFo3>oZ6yn5*U8i!h;<;f$KuRFamo9crb)8c#HsE z0A@CPIGE6&KMM_kM6mqAaBRTH0RrbQ{&oHjsD@X5W2>J1AHkYa6+xYe#(nVo&ou2x zGi;91Ee$Y$=f91LjEa;v1-Jx~06CLI{s-K@vX7w)pc^pY$iW9NbAl5n3tU9hzsn;_ zhNPT4S%pHPN)cplSQ~amQU{~NN7FS%Gj+zY_4`uwy|jd#^p)dWv>KVL1+{CO}`4Mx!7!vqf60 zkF#pCKi}@eKUlHF;Ta`-B zGi-mfY6H;92eyCtdDZ`ON$9t3B>zx^vT|x&T_bI+13*~yuyZa8cWg=a=_`#Ls!5t3 z>-fdL(&eiw|M75Q{SD>n+CP5IL|U$~uAR23rMjXK_;o=Kh7dIH8-r69sl_02%c(2N ztE>)v)U&Iz(;N^}}2^?BR|E@I!uO`vUiKZZ##Xxm`=s&t>Ja2e0H znJISwCd3mYZ~KC_4N-1S?%sUT4B%fM6s22a|DeXJDbX6FY$B0LAt@RuNbnJ+r6t9KNii%qIy5*vCBDA4qOQCoB`PE;&>!4H<6|Se?X9`ANU#!? z6&4oe7X|rx2i|ZEx#jO^?`UqQZ>Xx^qfheIz(iXS3IkMIl1vBlEXE3rpEkL^?)7~; zbo-obZVnD*5$;euo;WkENK-z4Wlm!Po0QOXSR=)NF$s3RkbMbA z4|wLy9kz!++TBDh|mu|U z6Ro>)VXF^XR})aUs8UopX@GAjl4O)*P_TmnQIsktp#o1YI;9;by#W8BM?FBxEJn2X z6={cU`I^A*w5}|_aZO# zoM)_>xVeSjzS$XzSDgGw?t~ME3*FV*cQ}l*+_*&c%qxBCp%CMoksHz9UCR5#=_9Y? zyhPW+=8v`Ic`9#)Mw+Kps;Bw7U%io7%$WLC^{Kk}^W5Q2s#;{YO%t3RZ$IdgJV5GKE6dC3^lFvqc-G0(tNOXQp7;|vw z@gngZ*GW%UF`j2t-FLQ#9!uRI-G<)3g;giu|3e=V|<9G%3gN+ zAr8Sa4uv7wFBBLZDchaLHytwWb;|p^{rup9U;Id`X7&A7p*3G5)43l;N$8a+Z7aEX z)#TmG=yxoO&wPR zZr#*O)}S>UT*10(reWhc)slwIWRKyd7((9``(u3q;d?9(S z;+*h7J-5$?lBJ)P>c^?CY`%K_eFoK!$>Oi0Z68&K65no#J^aAxw1jJpn}%K6`&GQ# z-GWxvu3}wT+rYxpchFUxlX1KKaYhQ^oBg_p%iCz5z)~=?Zufn9@LotTL1qGI73qB|QJe_66*`0x zpfMo<8$n>;{DbcZ5_ieU5NS&#D~7omc821IY2@*2-LY)L@f^eQK#GnSznQvXn4@k+ zfI(%vMPsZnod2eH%Z^Nk!2*}wG-GcA87kzi0rpQunM{P;Q$-RHA|d4|AYBmwxkUy9 zFtRNp>?1A)6vhC|nhyn`{oU_cLl z@D~F30m!`IETrE8kU=mKx=}>+MQ&kaL#De4!8nWz#pqM|T>!2F=<8?!0ov6tT6B0; zdQvt#=)=Rp1N>t`gDXn&yV{zo3bSKx`6Ne#-fgU#nwqRG%*&v~WT&NMWu(DPA?W6f zo1UJg1_o+!5(X5h5G%D*C#7_ENpSeLCmBywIzMc3Ug+|BI~ewEDExI_=<`m$hmD@| zbzbzm9G9s|TR?>YfqSaLZl=cJUajMFmGeY}>sXoFNTFkIh809SH$~~zM`+)P)~OFy zuL)IYj?}FPRH+D5s}4~w^QVG?Fx*`1mNCIuL(Ei8NKFb$l@K8cKof)N)M6ocn8P?^Bt3r})*<|79oH+u5_AZ>GBFmT>&pB3Iqr63&Nuw*0}-j0FQb;9IPjxE)}Q` z0(iJw&^^U?%ylfdUEu3*41WH=Xa93Ch2_d`c9&<>XBlNe1s@Yi9XAQfi-w|;p_nz8?~_#WGZs3 zph1$QfnN1(QhzvpG(&qb-v}nx9SPbtRIHMOxR-?v&C4h^#0aL_HDS87ktXoK@6B`V z&9n(JBgsq4%gaD0wlb`3%1S8$%RyEGf>^;p2;(X_blPFB0Zq^-Ff4;>l)aVZFaAoG zuK@hk)BZP*hW}Ookb`hRa+lhQyZ08?<5$^CS=jJ=6;-~Yt#D3WOy8oD^gTgcyTDUh zYWKn`yH}i2KKnNkg3etN=+KV3+U3kiQOi~|svLfX{gm{?)QGn4o|DUQfg_bKYM&kX z>lz0|e8%vr{lv%O;g5wQV;9)!x|XIZ7pu7{RRUg)2Ghu5Zwn1pr_Z&F=zqWYPjPm`|Kp^o%k7`i|3mwk#O;3$ zKN>T4h5Gle|9j^5oS%yS-T(1_|EK@y*1{h`nt%MEHvRwn@O^`v`yciO`z5eEZ3sd=c z{NI1w>n~aQ52vek+d^QFj--y`o{06v07$YrGPH&MB433>DVD>DEGXawAx zNdKm+5OZbNOhfcSZNPj(__8Vfa42W5FR?gGsgr7v1MRK?65y$Dg^hy;oVQ`@q_vbj zc}L+L!cqYoi%wS3xUdUkLpQIE{#?G%W980Hg9|?zed1hK*@s_uKK%XuhaY!7e7*AF z{oLPQ4gdP2{ny8Be|g;V>A~o;dz<$U?hXx_d%D`&+t7{CxXH8$?b~AP0xb~wKHz0E zdTi}z^l1HL%Y-jlrUDqUz|0MfkQTimIXO{FN8hJ!MI!-lf<}@MwlufG{0W%0p%zga z!vnojqOHIYx)Y$!lgSFX-=CYzrLk*nV9zA?GKGYB2wsvD90CSVErKUJP zAw0xKql|VJ6^1EB%Oj^N{pPB*Tdg5yqlpN>`}&~#hcm;EXHDN6SAPNDwyk{Z^oZJi zy^==>+qa`0PbI*)=j8%@z|QaK45&(}4@Q%Y%<*VI>n$*UhDgA7u2#QLt6QjZhh|`= z!h5RB10HVX9BFfcq&P&F>C2DS3A|(+l>ov(2FJmf;|L#pE*zfEOK72>#OwA2*24}9! zb-IAt8+WtZ^G~6!m>YOdAi~TM|5}Iyn2;hcxLg=JI>XfkEuIHdz}bPzB50Ze%>Zy| zz1Hi3u5@+8@0#`Rkh9QSF$g(e9xKz*bExU1Va&A=V=!B@FkjFlvBs4_L7)RQ-M(xt{lGxyamj zI2ieODC)E?B*&L8W3xisRpki*ZTViq6(LhKK{Iv13yo1*eQEawk_`zucbUdrg;EE~ z=L3Zhrg??PO(}NAq7ND}+B&2HcyYjx0ipmzI@s$1OYNIi$MLRT7C~)j=nD%-4)loy zf=aw#mBp(;8%Q~5@J!J9YBj#@8Xph9r)n=ZH=iJ%fRdDmfr|Lm*3{F8tG%-KyXEh;i!H_udGNk_KAryQ zQeJ;)hz5Uf7?adKI*p$Ouq}Dz`oX9H2r7P5zz~!2X#Lz_or@?m(1ev1{;vu@5^LC9 z8-!mf(V127-1VVfHo#R4z8>JE3Gj1|@b!p`kIJd4?%CdbaKV4cSI4L>|HvZhBsVi3 z2x@$I;`(4UWgbDB`NP(S@76wiwea^(hJJa}`j^LTzdY)FwLkv!XzOry%RJE24F}3L zJd}804F)*l(kg|G2r^2*q?AlVFDX5p1erD&>ROu{=}{&VTqK;p0T+mCLz@ZCn-&8s z%g;38;D<-n*ko#MZbObzb5mssLmf>`rTMM3wYhW~799>HXER>=3Cj%WJAWZmveV)ug}I7mav| zFZc4kKd%1iVaxaT4PWiU77y8YdE~vkmJQL>qmlS0ACCc{Yrg-qewL&*B zQ|5vViph0gvz<7QK#O@Ii<7>9%MwD2g*^%)N+!}steqU~@WF)W+UAx6vWgh=&LXh{ zGHgfk%CiQV%D|5}{z03?cH&7`>>@Aw@j~%Zjbg4$Fp_Fr=ljPfxwC-7f%6_@n0R5~ z$VtGd0~e1n#MpH6b9Q0ik%b)}17=!0_y=I8((5j8%({7bpzr@XQeFCy{p?@@a<}^f zac5@0r{NndL3365zHEJIkj!5p!y~J7Rgfy1j45Rn_AT}(>{I|zu*S&cLZwDddlW`0 zpr*iA;f_G&;ZlvS&dZqLaj%~Lpw}Dzm`{ddkGlfX^&Bai?Wt7cM|-uTYx|3Rhs%P- zs)J_@;Tt`PcY9*0V>IqEtzNBnQ|WbbU$`&OBP(>%K#V0vjskIh@W>Jk5vvq<$s&1N z#03e}H?IyyUB1-oY778Qa#HgWA`_N+%Rc<&E|vO^+f?fB7ykZg1jiE0Q@=dz`}E$# zv*V3>`&&RJIxS9<@T{idw%Ar%4kVUR$JdZy)>Mzb3jl+}l#TVcsQ_j{sbkbZwL?vb zV}82{f@*pI2q^)$VrYP16H{25#q|#QYExsqsi~p6y=7v=ytXg{2ke&eTw`S+0?Zzq z+*@CqHq}=48k<%Y<_``II-BYmDvRo?D`2EuTUC=47ar~=4O5HDViY}P!3)ias}0)2 zPM@=pm^bTrU*9YG@vQdG4_dxGu7!-`-A?hlZJ4JQe6pPJcq--5Si+NugqKSh&{|Wq zW0;yveE?(Rq4>jo#Fd5N!oS`eG*j(1UjqkhEylEk8jmGIz+{E~c9DB;rlK*1UlqYm z^>m5TutHQWYJsyW+-{j{9)rtfxL}f^&P-ZzxmUpgzJj24~AP;$Mh~;>Q5G%4!R;9m}7yI z!i;vk$$zTcqc78~FhJ@f6XQ~%6eHdcKm{%na>N!uTLrHLlo_e5V1+4E;35J_A4(m} zUkkB^N*y3)poh0PS9`x%g!BHB(b%V>iFfKfg2YTQi=$U6b0YP|RBdm*?_goT?W*9( zhR}`fgk@8BR**s`)43}>fSR~t1VEy!OpkdAQY+vwDhyEAn^5Yc0Hts>{KB$QFYv^^ zb#)->@}=HT*Mt~DlzL)R@oRhfhX+ePH_Kk?EJ`y>E`jo*!*c zSMTfZXm3T4B1jPS2q5lQ{BE%{;hYIOThuz#*MyX!Zs}f%^JXj34pGwBmC({#Q0=&; zV!?qiEmo&i3QYC2C2-M&xtXnLNGWWA;tH z4~D{?O(ng*TmIc~0@DvSD`r zVm<{f3lGLpfY%{d?@mkDW)qw)1E5_C=ocCSM@u{g^R#VA;@U`VaUeTM zFN{#Z@}4c@Fpxh8%T+Fe3l|h2n-2q5J_jvbfM$#GBRdq-IaoTk91%+fXcQ%V>xQj0 zwQ*;Bpww{=wSv(CmAxZ6Ke<~{sk0>h455Jb>W+y^*!v-J5 z*9;0RV}UP(bVMfZqWIT=?Zb73t3JFk0iQa#h`A!9qlp9;mHOoYz5lwa{|^1{&ELit zu67ZncYszW03{pE0n_E)y&1}aAX$Kvr;)(R7NriIr2=wmtV<|nN}vas7ph87cO`0_ z)(a6O=f#g7H7Y%bJ#I?R+(@78YSH};_s5n9=?DGcB>@~UlO=QE27Bl#f_Vm#=fc6q!k6d7BWGJl9c12^e7Sq0YZwu}ts7znq!S(&4Q1=IHkGV}$-~ z4h-~nb+n<>(W23>!OtTfB>*C1dJP8@vP=QwK_?I}7Rda%96l`8`vU+{~W=+{eW zU+>r81oFda-PcD|U+fkUGP0TXZo2^CGEXKGo{lGh7W2tc#;au*CuPG=hYXdbQfY4Q ziMVSbFKZm)RvY}0H#l9YNAMt8`dqbcuGVj`$fG+$Rv*O$M=;9+Ts@Azl%<2gAsacP zP68I#4m>1U2w-5sbA@*bk0l~b0)yx5z;cAIJxUs_+u9NKDd%-=b;B~Q+c?_6=GMy5 z>XyB|9i2uPIGKR?V8?_hla$M@3v*hiRBkuwwhWquVwc{iKjrD|B{+p3_!RsH28x+Q zPS;KxjP5YFrRm*55X7*6-VP3ikR!y;l!`B)?%ldNdUwtGl68JEQiOvOA_~vU@%MVd z*BXPS%Y3>sRoT8GA1Ua%kWs@>1-1$bVm?@!Hg29o+6VsuR}iJUht3C3C_yLZrqfD2 z+Phw*uSxXYt4AiOFLDTQbmrgO-C*7T#_okVgtxAaH(kEYYbz*_ z6d#F^Nn67;AO4D)C+d9X!?&w6x_>fk;W@Sc^0fEWy@}^1TYKBZPd!qoVaM-S1@+YPmStu5n2gR@hU2CDP? z{FK=6;DA66T~>&yG*WDcmktzpEjI?8m?NJ~M!j86fi=qa_fX`G-yYR|u?HJYEa$mz zHw)lg|6~g0nuw%Mc|M;Gx9XQmxzFaap3NZ8B<;a?%3*)pZb#^LOVCD>|4L&ZaM1A* z&19+jOu5@kxq7-%Gg0N$lcQ}-5|xE=3;mc$8s|_Y+fCpk2?!V&XFp0&0n^z|{j62e6tf%3ZBe@8RZ+ObKLPV24*B3{a^9Gs#nf72RvMUV7FS z{A45+EBdaCakp}e#VfrKUnZ)qv-8s{%3cx zzuE<#H0QIWoL5VPgFc%~eRMnFW1&i3nF!a+aBIt;_wB(|CZ?=<=^HwG-!`HvwO zsMLM7Qaf3!M2h=xsYh$FYi+DcQ4lxFlNqCCddYa$m4tZM?2z9BUpOwZfuMSj@@R`j z^g6&uys)$`;S6PK$!UiQz{=6u3KoUA`-wJmUS%T z4Y>22y5b!+YUO)Py1NF|VwuZu(v9)}ys%i2C@{>4GM=$9Ssq+`4#1V<4=z}~0J7lU z&T>Tbxd=2;tx|Wvf0|dv?XFq>4!*<9-{iVJ*)Z>ShMt(?PWoeydZOX{HC-Oim#r%c zRRklfLnM*#AbSEuR4(NM8BqWnA?<^OPX_ISo7z*S^~9y=oKFTa37|^&QO5@P&Xy?e zne>l_aAu0ZvB{JwK?@Y{I5MFq*i%=Y7*w0=Z%Fm(&i5Ou46!|Y_mLh-J``O{`XUFRYcQ=Oy=-ScK-QLyF3NuIq0-?@nMFPS>hYp&d z$dnRSVeC_|m86isb0!%`W0s#rmk|^>VJKjTcXn7@WeFB(KuKe6LWR!&_U!3suCH!u zY*?C}IM`lan;q_`%5AGGKqL@O$ZHGp4W&iRRpp&6%|k;&%{Aqv>2blndcDjw!Ant} z>0`>)j}+_Ys(cRG{9nu`f4-Ie)n4(}N7dh9hB~hQVy_tKU+=c_-)tAYT7#c#%EOV^ zrxS@U7II!K=HpsM2eXBo$5U_|N;@(qKy0_w61>?Qw9*i;+~7A`?K4rVn<+z1yLPff zHChCpNnL#$za*TS>&s4bV}+_%Dhwy6Cx(MFJYk(VTqcLlpA|yQ$ z8?-PCO0+B8qVx}t!>GvrWJ{+FIM3d4u(NfrwRNN-x1&i4=n1KqoH=>{vp>aovrfL- z`X&PW2XY)MFQr`3rZX_*+N9Eg;T3dg?`E|>UAAy~EpU0#oeqaO{%o()7+MF_D{inttw zNbIZE6~qNqCi>PS`IxeNhN?q`t9>&9VJ@rFDZTVcKfTHy%^W_Ha-o{s6X1FPMI+cN zToG8Scx%XBK`6^eO1hxPuMRL>zQ|i!+Otz~;5MBcle*AV^5NHWO~@|g0e-do_m@LI zZw`i^oorB%51M;>P~`A^Y{ePTfFg%%kq`~EWr9h_AC}f4%I?GG=<1f< zjsqw3i*)j!@-_k!!QX0bYisT5YU}Q7Z8aELQRGHLR~v#p`IVhb!UlxU$OUe zh4-2v=&V2Jvz46p`-R_~RDXNl(#H3zP~mTp@3&omA@KQP#>4T1hodpir;_13`I;&` z_r+q~)4AM-<7sC@iHE(>a6a5J20=>;s>o7<-$aGSM2Q}3(CKpBM2T`JU)-IeEQ=Nt z260o|S&>S#aV}jkofu3t_;AnxGYQ+WGQkG!pSg>t{YOoB6QsV8iJDt7Zfoy z7A1EL3{c!~`v5mvt)L=DNMKHc*LsEQw9Du5aMa_W=+nNil3*7e!%@iPy7I+tDpgv9 ze`$h$dAxUHsz-l`e}9R0hCl8ZpoMzqr~`D zZw?1voU9-3Z4VC(^>p|2_IBYs319c~BDbixFoct2i!%L)7#5zG zv5_zlbn;ew7(3dG&~*XjY-?%)a{-;aryWH;u(miqG1A{$TiIG)vp6|%y1#p{vD#Nx z)=^)&JU?~+_-JZkydpQFG$*s6rlPB}yRNJxBRa%eDG1j}N@LvyYa&Lg{1)qccH1MK zjK;m)Ec)hNC4|Y}o-}-YSP$X~qMKkB`);T3(>r-jW|AL`Cp?*qe>n#kAPwp-7jvF3 z#qP5e?o(y%w~J&0*@EUoaZxZY$DfBV zh#(ou4cI1!$#o*00^5m&9uB4!lvu88WYz+#6j;zlHfUftUSd`UHh~e{_NJ|^rO1JC zqOsrnqb+`Puw~fU01X8of`~tR+(DecZm@BN9W5RX4_85Ns`FNzY_HjUr%}6FDVRvN zsS0IF`81`24S}KE*_p6U6gjnVCpM&DsBMNl*ybp5U^P6r*&-?!`1}R^=jvG9HS6DD ztabCZS$|XYyB!g5bUz)4JMN2FZw{X<_3O&iWCf~yq*8?oR9)mD4-CT9MFxQvEE@@x zK#salq0@M|YdpaFq_PJ#iHj!u#H4QFz8+HrvIC>XBXh)~!RUuW(LEUwSEfCW#S-%P zGLb0M$2%|9za-A9JkH&iT0Z9oSWR=-!u32mS(0`$xMw8^zf5&Q~czuIlfbdxW$H`owk6} zf!J4z8DAY#et%SlkFO8vzPMZYcB2sG{RVus%>wlBv$6OmlPNFgY?+SQ#zOvlG3)7E z_JgUkli`H@-Wc@o?Uvw;rhxS(1oZjN)%d^-`gXDVM5%7HNHd%-?nrg5h~nq?@e)0S zAquvSlq=8Sse!sC(A_xdo_}}ajmd0jvQ=m+9HC} z=9aD1En9pMS4=ywl4z)QLWSc4VclSe!YH0$jetJrL%H5kK~EZYt6sg=HO+DgLlGa=kHhvIM6MeQqEnduzl}4C-JX;cNk}Cn}u$VDULm0+ofk#uNH2 z*b`w;M~|gQZ4Byix3m!5Vu|ZiwnbE$mR(+$TxQl^zcj#_0Es> zD2vxMXM4Bj>NA2AZrJ4IdN4jcQ~~awB*L^>fR_cPhg8yX0U%X)SHnF~jcP}4&q_?V z;J5MWklXb?{SM=OtKS&!ceLLQ4@?U5iSyKjW6RK3z(RKo(8K^s(iKocUqGIoTAXaW z^%`#5KpRy#LQ< zEq{H|{`2GZH^;+IPPUHrcVKjn6{))$(HK~Z8XGKcD->^dXvyjY8L5PQkY>&zo1=9J zuW&0R^AKY44@xNQO8=mNf^5>$)zN_f_U7g;V{=b?>%_2mZ1HS%P^AZ|fVRx~*kBo=!Tu9goY=%Y_Wf^P2UXoLw>> zPiG*E;cy^suO||3D?pI-rhpZL|9p+tOts%|vHNJD+eo2qFi$p+C1_3%6o$H_LtO0U z;xFT91qeJP5gT}!FnKxW(Ua>w2v=+owj^lgFv2+5vvC8qw{t-FDT(2MbmDonLL92S zwKd%La4NO~AB6OCcwy}s4)#vYcFq7nKqn!F2nd}{96!0RFI}|NpxJHGZyVHWHLlay zcJ-l5A=Gm$P(oY~mdqr22<9ed8m18PLkKGdC_JxB3)h~@=L#7%j_z(3M5bF`9i_Ww z^*eGAZ~i71@#$RCwlNaZD+Z`T@IEm>mHBmNd1VDEd_iBA$cPC7q!ZK(0y`C$Xe2x- zU=fAf4bQ7e?oRHHA{7=Tm6B*+o?7>gG}rw`<%5CHhvx7H=BVj1Hyz&*ZAi=$3b_Jo zH(}oTv@oyy7|-e?ePf!gC{&`CfQ*TroS@URT{*Q3?U<+3~%T!`-2-mbSXG z(Sg3D#pMo@u_7;{s-&birvSH@gb>eUZ%LAe(2(XkQ5(0`mIyHX!R??=R+7KiD?+gO z_ooeDc7DE7_|`Hsy} z@JGO^!Dpckz>52Hh3{~YJK~K8^EG{0lC~t5+GtLWKRZ#+k5aR}Bt#IVY;ze{GBj2 z(ImwoOa@UrmXq^2KEme+nGRs82tMBSt*?&HUAnxVEEsoMBkuJjz{>4jU(}W{a;Cz+ zC)c|mR2?7}tHp4a>HmoM*jQ+HMh@jDZuQm2f0 z6mo;Cq@SmSAJ({ zw!=`+z|z3}y&+-I{%pn-s_4MQ7p zWLp5s3m@qqbU#Fn%0S#sS0ws2%x%^TzDxD``C39WC(HE1g;2RG`g4?RDYB|aTqxOT zdSJ6hjD14pBF zaIi&^xt*1jt)0E)i^Sa~_ybTV@D8RufDcC}@U;+<1NJwAsdwe|rMhg?$afmuw+xES zTG3LTU0aN^#Dzy`=T0Dl;2{9ONjnibHa;iE9Y>^efmA}z1pXF}Bf!E1Ce;Q1X=GUL&ljkS(0n#9pDnux31RGO%Q|snR zMBsPH+~8dW#XB`v+%J%FiGXn>Xq~$9ShqXnu7~ZurvssA-J8vxaXP$!&H^sb9|6*J zw64+!Uwul5XF;^CI9ih(B+|){f}w*J%U$UUx)*>C6>+^_*`@&{9QzS?A1H4+ULdhY zD2tE00NS*^I(~Hd+6JnanVM(ebS6zUXMgzfIvpud+uv*e+WgmN{Xd@p_-Oy-aogwj zhn^m8p6u>Sj*sGgL9hpEyRojGYP+81bJTV{;_e`Rg(9ooa)tzi2?7F!a|6^9xNQ&z z0aQ-9UxLAnFW?m*5;v3|w}<+Bfq)pByIY$lM$NlhceYoTx*F?DRb`8lw@*(_j_=*; z!A-Qh7zI9T9>#?f8JH!x+2H|x$TiN3@~BDnsEt#Z^SxFOJr7mYWa8_Uj4yWzzdf${ z?xg;kqgo_kzFJ9t2iD4Z@vD{GSIe1Crjwq|0Po0nwTL`CAe!mV=0NUBe>jnJ3KD03 z)K*(4*o3%BF4pN6>-960n%jl)$uhUWJXK$YqAN{dh!d3rurocGaT@1vB~vG6yRw{w z&K#bT3(yTDVY#wh0d}BWgRG4~8r2S2lrv6)3>a6jVN+ps6WN!JRyXX>r9swa5DwyS z>y`~haS#w3;AufXChj0GUxYNnnPJ1gWDbxLVp*0GODA^eN#<_XDegAuHyf0z)q?pP zyY^UT86WK$g-x|>IbNV|vmEW6QQNqBpw5AM;KRv|#c;#~?*h0~tI%Fh+gHcrE?wIX z7fg5IhTf0+MI-{VcACBCD*RE~#eqs+iA2tq$Y5?kOiF;7a6kmrN`fnf0+M#Qy9M$D zsHp-|0AInZ?xsRond(l3>tUz=VNd9BUpVA>sBNIWd@hgA=A(5hgkmh&F}~WIa9v@P zHpyG)CXs{70R93bSm@h;Jk+l4FxS8k4yikk4zZ97V9~b$g1}uTGU%eQ{OZWjo=Bh%T*GUY>~G&k%rQu%Q&xUDr_K{bj36p+Dt9|eVtRc zhxk3XZd*frO>qI#?nt=nG__Til_W<; z`KZ-_Dse+bV0(d|F~e=D!e^&F?D1sM>*b8Ec1pk|`tGFmyOWx)4=SL%_+&Z#-BuxL z8#$KG=SW8R9M&|@=PrPBfQUPU-en-`_G~2K*c`Xp9RYI7ssVQj&xIQ3)^(Gm%Hez| z@@)sQ6+NkvmIP@B_NkJ91z|gPGj=7FY&EH?Y2i&+YIPl(ZFeR`&D>%FhlqHFi!+M`xx3wrl<^5$<$ERRM?_Pb)w%yAE(w(JSL1BF{@Ku4-) zy0=0vLH-qquK*3$&`%SBKLsjb$1d`oaTK0)65=bfEwRff~50{M-=| zMU-<0$zcHsF}Sa%282%FniO>v=;NCmAK6iwIAcmbohf*|lK*x!^Xu*WFE;XDuVjJQ z{CqYYSmxug#8>kc0s9>bmbp{%8cezu z9D^)L!C-JvI&kCplZsKuviM*F!jpy=DcfdI&SzL@y{ zhF`O3FPEWGPq#3*a$hcFKbg&ZG?jjTDB=EK{9aeYMoZ{w1C}6UV;&i%?QLQf+v^) z7JvpUBX9$;g&-X;2boh_wjl?5T#9ai)8*h`&j6N*c?$PhM_VV{ah>cK4%WCE+6V_)Dl@RAO~h;KvWZFhcupxK#f>N2SYGb(^s(JuxFKK0P5aezLigZWHkK{S_1s z%Z1`uKLo6QdD8Lg##=(?=B7;HV#%II*eGAhQ6C;CNSdr>$XQ#$aj}Eri z7P}kD`;B$$D~m_RCo_|i)rEQ0#rgPd-Q9hah53>G-a4_=U(BkG(~i_7o6G#>>x1^& zgFcy#{(QRtmJ=XletT5^{-Ep&aP>EGKU>eHC1|bS$s9tUV<2XEwVZ_|h{)ITd9dz% zG6T7KI%Mfb7AHUGELIKvRMl1F@iSYg881?f6f1@bm8MjAU5p^lhn1jZhAKGz5~jC+ z;mUFrI)TT*=R5KFEP^fJBM5I!*$+1o(M4ipnp5*xLRQgmT+4bj}~8sV-%yKT_isgo}h zGKZ6F{G}jou{eM&$@m9KHp`TRs+(^Vk4pxay>d%JX1 zzg+LRYYI6vN29QTaqP5)%vbpg=X(|ft9%tGX4*c0W8y%7$ps7x;0rkSA}tE&1KoXb zngzNcgE72Xq0p4ZdrX(OPE=^7tNd1*qc+Si_WzI;>%1p{ij7}bIEFq^EY(T&4fB0_u!}}GW8|2>kxE-g*FHVNhtMBjaEY3`W z9zERGGtkxE1xE>EiwPu5x;tPALc4B&wyoJvN8(iQtBHJ#lE&Q;y_%{TfMs`A2l_1z zjVN^#c3Zn~c%W~2c6xdYqSR(%P1Vf!=>6kEXe+u5l>?^6_2tF={e#;>BbE8tb)_XZ zg5b)To0JrwRci&jXbrnP+jq1+VYJ$J(-e6&5d3C20ry2{)xJA15U8gnOFRwe;n;fUS+N9-qwHBM zE@lCZTya5FUmbP3bXC7tYu{@RqmqWs0{F2hWUkV8DBq_zRO_RVDup0f6JLX%4KO>% zN{e{bTDeXmr6q_M7L)|#20K4oB^r`-qq)L?Z0T@;$GjnWu{k_I4e_3foG*r(8IKJO zu>hXmF!T-c)WrE~g7vVm5n_izRcmG5NS2hks6;GR5mTgc)xZ&ye1WN|6+lMOtKrgp zkv{eMAFd7zUB0S8n*>uUD>)}QCS$&{lIWPEL*M=IJKs$<`yIb`v=Ixeq!ewKY_U{Vzwo5x*-tP!RHfUUu)x&02H&v>ht8#0NB!y>srgtU!t4|R~c9Qkw@>4Ao*qzH!bk_xmUj#R`F zD@34FBO?~f1w0}u{OeHCxH6{2UDT+rjt5=7q$|p+$bA#h8qsO%=7tZy?oy+M`zAW} zm-E!99~jZ7e|^&N*~!eKgRSGc>r3-f3)ACcqXWI2xCl05wWfs`=GiD|+#%4Y>(QlQ z31>hLZ*2t&+X$C8d`@BtqY>kGXJ=baM|*Fl5zd;;hFX)cadfZ`CYtk8W4(>F#)=|* z|A(jdcemC$o2mx8+h(UGmY0@$Or5pGg>@CB`B??Y(UGy zLWVnZ&SN806G|vJ|1E3|G9s~zm^NI*fC0-%h2Xyn9D3!rVu)m@XBVDONwf;Km873mDO*ERi@U#F#n_g?>G;)5F#>y)C6cy<)_yK=+wl0L{5>r*58xRJ{WNN zd8iOrq>Bj-tjUTu*Cy`_7Cf5G$0h##X3l#khSzYd!x)?Sd@A+nSkjA`w6|*o@76$E z&PPw*a(Wt3x!1V{>w8W^?mA%$g&{QRi%?a9pJ zgY}c$&CR9xI}5WIWcs@>CAIXlnc7=gfJ7Q$T-*p^yTyGI(^r!LS$udv+wqLHw1DFQ zRFYm;fGC~q{XN|SU~OWJsi}mtY^1+;V{Kt|ezL!%&RCW=KQa8^{_(-?c6Uo{kEt0b z=IqRDZ%221d0AOeeo}O7n7?nbpEkowljG=06I|&Zb}wZMRKw|nekc{Es&quqLp9;)NW2} zyuz4|obVl-alWMo+720iZ2To;tn_X1O&kDZVmV^EDU}zz3s*_D}z z0y%jgvy(mr8MgGQVrH?RhQy4b{5zdxL{dv!<&gp2#w1x?xMO`Z-2<3SxsTcgdT^$L!{D@Rv-3*#WR&riqbn0SH>4%>!Obu*3f8NH3^tTsu&Hd%9 z@#jbFU)&$Z)^mS%V{3J3b8T^XaR$aYU`FOVU}L%%(n>PI*3;{mF9vi)E-$GM>(24@!A39Dia? z*zF8oZNPBk53v~_3`oU?3*^HE%JE{&M2WgPOI8!dD+%GGx-o!a1W8%mu52lXC1&A+ zBW9C|ltHNMM1oTua0e8&4U>s_hC3oB-^c_^HqTZxn3m^+8{_xBw$96aW0BkMq#TrP%4q?0)ng%hg%Y-TSBqn+2=;MiB>66q(sMFP|sJ#fG%Ip01eQbvy$_ZV$$Y2 zaBd+sgj#xEe_UxHWurQ1#hb?q{pn@9qGS%>Q&b2T20YrjlRIr{RM5Y##Lt z(lo}otjANCXQRpYhZBwl;KS1{;92p>78ln6Td?_Q8~|ZB*x3R3pnAqR5I+KyB-#}K2%wb&OfdYF zoS8z=cD;PHS~*`XTP)&Dq*J&GA64ZB?i;gnR{l(LKRMx6t^ zw6|vl_7|qidJ0=jV%cyB&ci{ZS7F6HfbMc8npj<-UEn`oa)JkP;9E#9fv{ z16>fMq)Gt25~^*mBq%HlURhd+ST2()k|Nxz1MPFX*ttQ%ib!F1woi7LLd4_YABT|y z-vuth09Z5TZe;K%pkP7jpE%2V`t?Ymlg; zfd&VQKR-0S zJDYrRxc1->#(8)4x3_k;HpfSX2D;k^d%7T7fJckTU@$d;aD_Y()U(Cq0j;>T1**_) z7*61bV4+CUt-)l(u@HbodqZ_aerA1D#oYL9`0H*g&kPxBx~hv8CdM9|-d|aoGnC{t zR+WK4Fwi%I?@*DS8|10?5_8i0RGlRey+s~F1>&_v@3WEQS1TEB*V4W_s{H<}0ZQ;M z_sZXFVf)HJF`rM`&!$sQ&!3Qx2|-|)pTPEOIUhjAqv@>E(Nt(@?)Aqav0}v#yin&e zRSusRuZdFiV4id+PtuMs9xxrVEq6rgCGnPv#pIi&<96H zOxhqv!zF@b3ruH<^o036;SPW#QOuxU**VI%tiDY7dW~$gMoGhVk;_b`b$OsYqO6%H zX1oQs9Xc>EW@D147LArp!!{yFoXCt1glh&1UyQ#9SG#s~T*EylNjf!H&3p-zvaUj@3y+U_h9i%KXC+baMN$sQRq!Gs zd5A)Q#5$p~2#i=@C0Y=yz=Ia6@j*V?$R&|zg1vn&sN}1IK9{fL+UiCay5PQ$7@4xt zZxMt49csnh4?o`h@WuS!Uz-2&2%Wj{=ZCGIpN&1ecjwX3&cXKP(f;1<_U7%O0dsc; zNR+(@t#5-&*|G-b(yv;F0Le-EFNIvC)}$`8{HRz{9g z2253HcT54#CNth{<$QTJ_lNrpKb$pxb5#G9$dTE=R7k0MC;#PK#cZ`6767~#GE7QOm%I~RGZSZ z`JnW8aH3UC0V0M@V6mxn24#mMfjJk?Rmh>Fc`nlhb2a#pEaVEZf#_YY`+%jtpl92T~|9uthVlhl6~H=^fWcV4{FOA(6DV zgMBu>&Ik>=puJqbI-GXtN`5?Fv)Ar-YDTD*`4WL93&4?sx%n0QO3xp z5X#U$p%kQ>Jh{a~=>Y7IywW5>Npz5RagZQd!3b5b65Tn~af+O9_^F9todz`oa(aY3 zA)hC-cn;%8;VS2dU4eJ7gd%)`-B<`Eq80--kHN#Mfja{6tSz=NP)5<(?5d6pz34aX z>KNFiG05(&v9N$dq=|dDg#*HUC`~6MI=|?qQtCNDl9c)b4ANUE_r%|F z*T9#@4|GY8CJBJFFjL`qsZ$4lF{x2P%MTb5&?$W%1Q+3dg5E6%98gbvM0h|_d{i#D zlG}Gbp7(S8`qi(;hHL80Y-@@cbn;esMbV z{Al&*{kw-d+gLyLwztPd2EoFB>u-N|XCG`Y!Lxv&1~Psq?8lM-0I5hDPPxrkbFim? zrU61!yQvlF=VLFd!q{)HXleC#3m#!HH6$jSC5PP0z7@V|mK zjCK&vs^Fu6nFTWu6UO2+8DU)_JAWpgRO~zWY0mbHtN`&`sdTwgK3^i5%I8gH*bXLG z>jVruq)Z3s&79~Z#rL$w+lna(iEY@Pm{6nPUx8VP+&Zl7{Vs_Ax_xEum-x|o9R z1OQT^5%I;II!%74G)iKx<2iVV7@7X!f*2%sxXQ$Gzzsk&@FoiIjB<$`gP91J1@==6 zv-nHOXXAsfr4_KmYzOiU12%z!5jY(Xl4FN*lOrot8|wFQw*K|&SBH2mU&f##XIX?K z2~jCy#?lWzZT~|^0-e}bD<3`?`t_mdmovjJkBsk6%`fk*K0Cd8?=C!Y?(S}_YZW2dR5%hb|?p~+;z?Vziz1=d-Rgsm;k-tOzD zFUqLNOPv_%J-N4kZ*P0DuXUiQd}_qJwYdpaM`b}~4PraW%FBx@vyzgNgFV1RO!E@7 zWqVIG#Vj_5?X-u0CGl>v=-U&pn=5`iL!xp0mv_rh!*B28es+g$OUUDSjx6K3G%%)K zEg{nl$sJkXO+AAD%|!ayD3b3I_PQf>j6rK~sjLr}sd9(OEzEDo`KCbLlL=#Sm%3PC zMJPYjodtvu9Z)IG#}V~L03_%YxFBFlLi7$`LfmC-sf;axPMFOy z;yw7&1)`;L*>s^`EQ>vnZa180i{%@`_W=ds#kp9hoQAA+NF>}Gq!ou=UB2LHvnfbmkd(IWSeLbuUE z^D1uA0)3t)lmhL8eF8IwHhyhGZd0-26jN(gjBGliy;=VVWs zE*6vv)@ZXp{&54kzlOOO8U4R!}aS|$8>I7{~eZp*KYmB^RJ_=2lPjn$Hzyd4%8QZ_`zbw@XH>R z@mI?qKAZUE%t&SYxb2%W^NXXU$HzMdJL^Cwb~e_Q7p9ixCZ|S+N6h^r1N|d?eFNQK zP9Z+42{}DrE_bz?`ffUZ;y1g_BPcG^>oZl&mwTEzO=ZmtT-($DmvIdE!4X_&Z8t;VN7+Ou8Z33i9Q+# zeK8Y@qW$)MBhL7U)q&x{=R0V{1>_mMl~2@0jMEdzP;g@1LT9#wXk|X0Nq;nx^wbArMO9HCc@6XgtQW>;!<5-Il~(E~kv* z(M;x0vfW_9jY40%0RR*sIRf+m9Vo=3bTY8G-Qhu@HwDiJ?C|JFanmNyFX-cd+4ZXf zX4h{14oTdN-zegqFB^8d!hlL3Q175SavgTkgiWaPf^`TeRtUs!Siy@)k0zIlC$VEA zaRUSGMh zJiE3yvoJY{aj>rw z@s`_nR>u1~8w)eqstWJSPs3Ed8>@-LB|6&7n&TfwMReROzQG;in)U$W@y2l%1*K z=45eMC@)j*l;Xxn&^Y-@oOB|djLYVNT5O8~hUpmH?Xf$FSR(kQfPq8x=Ey-5I9V## zF)1J18lR)@IauAmIE^_O;y((%#8CjHOExM5G@`EP-fn4<_yiCm6xmP?!sQvs;MlIQ z9@9UFW8QfIqpyM#T^}P}EEP`|@J2J7`r~h!5m2>2cN*qW}-~rm!r=O@o<-b zOyjB`IkpTA3jhK^G^AKcM2b@ZV=-3ydFp~S0_U5M(*7r#KV4G_*byMkNyU&AVxg6R z(j!Ayt`tbH5K06tAYmbXB)d9%g)(*Ja=y}qB|-s%w5k*-&kGoAWtycW8nKHkKO_6& z@7*7-4r^T+R%|x(0dMp5i1pG%=pb-LXpM%%*LsMT-GUHc1EVMCuVDrQ{k7iB&t2)| zrqKC&`z3@1HRdPHcVzAl=RKXz`f5A-%ezJJiNt~Y`Bd8TiIgW3DX-_VK3^|*hjw@; z_lxbKZ+6SS1iKL)f~(MIXMDPp`^9QOZ?V5drPUxYROJmyCGi?%KEM}&DUuM|qPzyI zh|lr2bmz)z7)h#wAjF2^1NOXdm&C7JqwrG6Jz#GEOp$1jatOx3*9p)OxEQS0g@yRV zM~0;3<`|}?w=ekpzdC|*`3Dq~Zd_+@ZH13ND<3```^&@D zpYGEH^!~x<^W&9AN81Nm>w8-pyPJ2`SLWB3=N3thb$fhdWOUFx*bAHP&K`X9cHuKj zK+tqfjt}*9njo(p$Is~N>hEqd_jXbu&c^EWV0U9tW>;Os`r`cQ@yXuSW)B>DOAA}- zYsN-z_jGhNmY1Z*gaWIL^OQ9udm%Z#Jx4cL;-&(`l6tfw)k22bmw>{`)c&AQk6)elz=oL!32cU3|1H| zoOnSGmuNK-jb4pwgk0=!^X7m4&$q5$2Q>vAQeIk|V`XrQRmcG-s$7wPf$512?FZqA z*kABDH1d2*LoVQ7sKs0f1TsK;09exg0@9?Iq@U!h?;=Z$PrjgfuMXT?zIuW5L6Mn} zm=zb9I@nnD;m0lV+Wza|hhGmre6#xD-Q-^%wg34(jXz)AAAWhf^5l5?V0+_m8$-~> z#_Gc6>f+-3%BH(xM`JJ@y4)VS~srum|GQJz$Z4`d7Q}X$CIjZ-wmHg*(>CdJT zpUyyhnT<+*y+lwV!oHu*Wt@$t9}lJM_s8z_Shn0c|Ct)Ei3;6ViTiNAyf0JOoh}*3 zQ5oVX=O)9G8R5!^RyrXFLr*j(7T3`kA8arM85~qEIk@vAE+}LyFEpy~!S=Pii7|(b zX@!W1lKyTZ}q(j<(oa9APy{ z94bh{=wypZrVJkZdhlzz`Ntbk;Sm@77kPDH?9$cyY`KxD_x~mCJ)q(~&vbFen`FJY z$tLSKS#LJ$wG*c~wnZ6c7&C&v?5X}=<35aBl5Zghm6E(iUrj*r(Iy?U$4 zYT=Y0;v433HmD{uiD)ii<@{o4|Eq=l$Kw6lJ%8CL`)noq%bkLU>vdOGhhdh#G&8X@ zGd({&4)|btY;bs>x39OWtE;uMxv{yvuBN7{wx$|ITvuCzsRh8{=wKg&Scs_V>1^#l z%0*RaU1^cHzh`-VO4Qw2m>61`kuW^agB{-Jh`2B-Juy5eBQdU~8sw?`)Nnr^J9B5g zT8xu^bEbE1VMu!_e=^Txv%&qQIQsrn#Aj=X&o&VDnSXyi9VEp&Q>1!tjU`Kv6a4a|xf+~R{oLrKW zOEU6%;q|5{tID85iv_eF3`MxY!R8TQ1WQSlC@`06n03 z<$*p3^k5)|ipBj2a}*;3m^%wd3YHch$1cz!Knz6vf$G)f>1tuiV5F}tz}iJ!nWM+i zC-$wxIm!T08YV)LC+y~U08%4;e5B^+)r*@FHYZ5&M(13e8oB>)glzIi_0I1<9^1d& z4Uy$%%bCx&3m$IPURfR7U7mn?dT|yv@Wjm6(9Fch$dE|X-_z68-qG6J(%e*ETU}pU zRbOAz&`{f0Ut3>QF**$5QUewQeckOSU{r5S@p*Ay*XHuV=wMHAN_1&v;$VO8?98mF zPn4IId@dq5Js}qMCz;8~vA!;W_QrlD>Zu+EUHRSvCBXyPX3M3f7u!6cn|zE7{Cdi> zb+{hn+@3lI9rV3v2)~l|#EHATAr}Dq_XU8Gcx^cH>M+DVA(uta3q)*ng)Pv)X%erX8>QLQ^Q2k;*{quhOR9Bq{E0%{L3w7(P$FkOx*JCMa zC<4a=?OqcSX$=)(QbaQ5kafg@&pL1iVnBjAL}nzDjv`T|%P>jwHmF1lv~*0OiKeO- zP$CmXk3>^|9RV1}Fk)s?+(aP%6v}{29zF)1BJoE-#uUmBf2yIKh6xHP9E(7RGHs2y zZPEJuNxZHoR#T8d`B|xgGtwry*u5*V6iDE=7UYyLnv+wICyZvodIr-OZ9EzQSsGL7 z6Dbe(17w1<Cryocx~OvjQj3j^Oa=M| z#I;>VfW^B$2R57-dGx_6)x{PTDr{ZIv4v24s41e4Lg^ZiIw#i82&A(R>I%IN(o@oo zkI~3T9zstEme}8gQ*adu^$QCNh{6)SvmlD-1@_k|;E*caTU}pomwdLI^?bMF{zlW4 zRq@4D7&=aYP6OV{{M6{|#MsbK|3H6lUsq>mM{8SK3+fi=U}Hlasu(ywAj9eLk+!B< ztOkg$L03y%c~MX61R3rTvS?oY-(asa#CuDr(3j} zd8EBgf}>ttg8gt+z(~p2#S*Kn2HU$MAqbUvwx03XIadkMa zGFYcHkeBPDm+Gz)d5Yz2%yQCaoz`JlX{qwq%9={ZmeJ5q;%F+9(gpbx#5D|Hcq^zY zlf(oNU8q)BS(c_CM@R0f0-YwSAWNt+xOLH{qASMTD-TYw3@&8YmSEf^tu?md1Q!Hc zPkDp^K2!w}2CI1TLAZ<&MKj4kz%)x>KNa-V^70BCwp#jGJ;46GiMs9Ks&zi}DtAd= zGwkj`8&*(LQiQ#hI^=&yWWbgVMav}mYa+^)!8gF9(-`V3wQuj4X~)OFj$XGz`3n`U z*w{fS0K2h?0*Ag-o7zarcnAJzEZOz=M*6TMLLN6?sKW=6N9u}aV#3Ep4Hqw;K%i2R zOc}bo4C+?h%G{h!IjI`*VA*OS;2~-OBpd@CM;}KmI%n$c)q&k6Mjl+nuuf3J4_QH- zOYlepB7ns##I$S%he&;cGY9D@Xh#RDI8H9!_MRs=E~mWh)$DE0+S#Abw0ClF^nPFR z1lQip0qRC6+94Q7vag+anhC#7*3lVLc~|}U%Ype1rJpTSNe4otl9B7| z{NB?hZ>B5oex~`)`7i!O{rxe2-MmMfP~VH~H5XcLH?{DeJ{w@1@T+-V^wiKRxb5rE zzArp1=Uva94ia8?`LSsD#6Moqf05L#+)*_1aPda-p#PmKg?4izZ#6TOzrXt*{CA9N zdf&W0@nfyTtbcg&i=X~@EH+bZIm?EvIMeoKW5kDUI=maKZ=6S8{w}gPyyXWF%i6D- zY}^kp`tc9PrdBSjmb~!~Zxq;jzx>IM?A`4CCIrQ^vo_8@k%ar@@x{?cK1{*ACWoni zM1WUl+xhVQ&&2!t8-LrvJRW;_yXW~<38GxSx=?(7z47YW;Kh}R)w!wVnJFL$%d-;; z(+HLj4WNPP>+R|8>}YGNud8lstZ9T;s);ORn(J!jrpJIjfWkC7EE?=>Z>lV+Ej~Xn z*t5Q}Ebi|uPm8ZFE9mX&93B|1s;EkgjLc3wmlPd~t&q2)eYC4lil-pY&$KPgWxOVE zrow%r=Jcf=mxt3)pfEjI&wa9*b$>qP_Eh{$BFabtmuYV#YPTzJ8-(s&G(qGry*?ay zZ6pGow>w>-+a1BnjlM8_8Y^%c%(m}IHHE?o%a`T^^V)EJWr$vxzfOUlUb-hY!AU33 zT-Dt`#ZE`rTvOFpOI?o*&sz;RxM?aQ%S#(i$OG0-s75s%(5|t30aAz!CFn`AG9+UL zX4VYsO|dS8r8U{V5FIoqiHdN+MF1~@1XWPSE6Mu;+(@>h$mSv`H57jVNCd_iSW8fc zDWDDfD-KhTl<;xPBX|<$q&twr?I%+Vr@@bj!!*>Ksivqz#vXjb91hst3QVj^fjFT3 zA>RY0Dtu$;!st>A2&fM@OpgzV9r-Zb>C2cacV4W7+qK(DjoV}){GjY=!;KQo7@mf6 zo1V~6ANDPnL9jhVz?U&k$Iw^+o`R8uu^Dt!vJxj4($b)RGG%0VTrG1`oVFxu51d8V zZ1IX=H{O6UZnLUMMr0)oD28&^LBANYin`N z+q59qqB6p|H_K_R&Tpl`XQ$O^Z^-lMO7gRfyr=7V50^7<&m@56aBCdSPI!`tTHWml z-0eY3Sm3q6Q1BhD4x$M{+$OxLLpNH2W~w~Id5+?I)a~hBSoa|mJJqrw)~GgIzbsI% z*jF38!z5STuv1!I#;RxdEL$B_LyoE*OBEzt9W_l%s_1=CsMNp$*on&li3!pP$`Dma zK@Am1NQW}mn4-H;VGXD04p*{iv&DR1<(UD(fFSLo`7j0saxdYDx)|_ z69lXt^$98jMjIgin2J%i6zc(c4YW8ATqp(Ga{J@Cy@|ZeD7EGQW}UZmjHRrmvND%L zSj`+3Kx~XHOnICh3^^u)iE@S66kSFE$Uj{|2Kh}0xm?S{F|GSsLYc2UsPx?$L z{H9&j>RizWO%ynFCZ4JaGmUdL2BE&B;6;9uR>5IG?itZG|xu?{J+IEsWb>uX?lV>#gF? z)^fkPSn^=A8b!OiGBH0fG&a}`L;79J9`iF|QnbB8gQB5q6`G&MGKwzR-b zzO$uaX$H_>2Xv70(_<4OgDusi4aM2w-VPM)$Y6hQMq)XZwpCTw&p{2HoDi276?MkW z#?I2r`;=ipgmqnvb#wg5(R`=XrU1mcTUKUvrFmWQcE2ahp!mnk4{6T9Bt|5!eGFZZq z*#w#FB=iBF2dqKB3ZOQiXrai$P5Zau1sW?V6^1Y)6qEu^aeL#qeF?gq(dtc9(MDOw z>abb*Fxh}_2`EtVa&im?lP-&gEL|3GIKV;Txf74fXWpWPqSZ z$;!|b7!3RnElnVQ7>5efv*I=J>eG(Tg@YAsrPPPNX}21C@wuoFsDQzasV;v2LUL zHwnw|^Y!yzUo3sNR(W-KV0UqJR@^x;*tNB~cyW7uX>NK{JTy4iKQuUiKZ&VoQ)6pW zBQgBxXzyuj?eA(|nV&;w^-y2u(#*`1c&NRmxT!REOw_ryx-!t;U7Vg!d_Egajo=(Z zK$#dF73kq^X~4HP5yrY0*QcCmPqyk!vzjS)-|7gvHW+w!Jn->S%om&a&o&DmE@s`D z#O)e=2OY8)7WdIt2SM+LLo zZA&m}OE77OGJwpnB-Ak1m!IRKlZbG7b2V2!%aNyQr6te9CS9JXCdcF`D-%m>_yWPX z9K9#71{Rly9a*pm$6gKRI~zW0;PB&8m8HRPfMDseG&xGS%woV+L{EJrlaxM>N2pV%Uk)JEVDzE(Qj@vn-O z(-a_AaaJVrJ0hSeByq_<$$;2 z@zJj%7wwf%9l#Vf zt)Z#58b_8UMVFM2rOC)M7&;t|v5}!JR}b5DK!ZY|v4D?#8}URZ?J=Q*5`hL*uA1t) zIvjoSaa}`bF%)DJkrIxgCC;e0#<3az%TP-{AtL;MpVIL`85zk##9>J?UdzKuOUb}Z z8|okF@9sBLnXvyHF6L|hl~q5sf1~Zo&4SO@@?Kmld$3x0ZE4`*(&)@c$HK(W?&j*{ z?N!{g6BDDu!-IoE1B0TT?ymOM7Tm5)9W9Ohz1_X-Eg;VC5@FUztg%dR*5baygvK|aijt3r4cA%a|Ay>srG2@Yz(7HW=qY-p3M zv=s#EEDeBd@^b1*DyRZntvI1E5dq#ud#p}rlS$&cJk`X)!kAp7eZ^dgdy(S;)?my{am2l; z*hiq5kySSUqv&e`QP&5fZx2P6#lh*&($vt31h-J~WJ94f6v{}%F*mXU9gwgI1^5&E zMa1NQJ7@>ipqU9MkI1LCLu3o&$&|CBt+CJw7KyM_1dkA}$DdRb4Rp#**5=Nqtv#LX ze7)VHlFpTnj4mJWEy_wpl{FD zjCDO(pL9l4>@#xS2H~+6I-+ikMLw8~dA6GNbT#|gX2FAn%$wurapG=ICfpiNf->q- zR}lCMmqmeBhr{+p@M{JEiJ%D=s2*pfK4_uZN1T7AE5p1a*}Odo;zi5)C|*sdZWZvq zU_p+zE+Q(!PpSl(syOr5c3hUJma4v*nmQa@6(C50NEoKFI)rnrPU!b==E1p%^{0w5 zS`UK%QL9Y?4ahOD{vw~m=HNLnN7er zQb2QitUlS4g2Y zL1f5t>P@k40FlAjz)r}wKrWV^0G=xV0)g03G6xfg4uLPo(}{F~DMeFc$l?cQ0N6oC zpLi?5nu%|Or76xtSjFn;5DEj)KB<#^3M>-Q<6vL`HlYe)y^JDTUhP$y9-N(E=me7` z0hbqW(6(6S_<)OyCxfswdJ{~iI$p*uKG%Vg0r)8WqG-oabZQdnT7BmC@zc) z3UW3xaNx21EV=nX*4;UtBb9zLB@S!#UN?th?$5?QUOWd70Wzn@%UQRll5UO1-^Lj{ znE-J9BHRsoKv)O@PXSWJ>%&nHJ`uXnK-5-u*h*v2T$TSsk((&nzCGEjDb~0#)}%h# zxHg)r=J<0MnQSmfnIdE0XwN$t)6)n^vszM3tO{6N3a@A&&`4b{V zKnbugLxBR(ndP z+tMtxS^5ZP!L);yf^dX+1n_}04ShR9hDMi?mX&5me*Cew`vEjKnd4(xk}`*QBg(w? zK3r{zf}z85t=m?;*HSekPmbNmcC`^^(WeDA2H-3}vk2IaPZ|*Lh!CtqXh_Cv1^lS# zC{#=$3Us&D_`lf-k49hawLae} z{Bkqz#igo8Yn7LmI6^{T!z$ntu(bm%6+1b<4 zJ}l}R=sWDxDM$&P-307v!fz1r_9^BdH}jD?7r^ z*Fm7|E>MiH(I^Z%*_U;8vMOYu#&x&N{qAVYqs3&f@t>~cAbjD$e8%m`_*)aWRL|WU zkH0K}*^58)i10^xRi7Xifno$^5r6}T5ec)X)MMnlYk#%_!YS*c4C^8UusN*`<=2Gq zi~aTCX_@G(8Dy#EZLI1lRJPMmG1dS#L0(H)NkavEUX%;Wg|)Cw!%7BNF6e+rZB|#- zd<7h!-U!*AK<*&sp-PqDeMV{W*`#i%1shbxs4k%QuRuP)4U|z5Zb-_nolp`mJ-{G9 z1GS17f)pz3gTYXsSVmajkwS%!FsurpRw8PD^xz7Cw%YxvrlNB~$edb3l}lVC1C5zH zb(R)p6-7CG4QL_d8K_lhCKxz0DOs8{lSY$~lz^@Gw>Nn7pXnMyOIe00iK<5PLP))sc)}!sw@NZ zV|{I6STsF4xD7adX|c7ovbCmkbf8Zx9x2StOpgi8&&fRj3wbp_J^b zRTpnNQgC*uA!5DNV{ga@1I*LqOl-fwx_`WseRnzqE@lWOM4`g%8#cl47rojaLH6E5 zpa6!0M|4FLdPx+z+Z(>o8az{hhRS&$$Eh#dp*_VKB?`4nMWAj~uzq!@!FfMky1Q14 zof_0KEz;IJ*3k04L|LYO*f;tyBC)CH-qRG<~<)r0t_!1;S&kziM6e>QshtmPn zUHb9y6d8#_q|3lf;qUyvjI?a*z47pJ@#`&(+y5Gcx=v&>Urz78x=}aszSzirL0aGP zy`_$;i=xX5eOET8w^!ybY_G2`gM&XcIZ559paJ%D0o@zu>FDij9~&7F4-TL&USD59 z<~qoNJ6jv`v$M@rC2h5p{oS2CJw4|$QgY74=A@^G`2_)o_qH+$vsO!UQ!nzD zSL3(UiF)(CHx>PKCG+WOF5=0+_PdYkbP5Vb2>TQFM&luaxrptWC=4L{-UwWPaj8aJ z8w|T73WEFKR#zxIcEouuqAaAX!*S5ADc%HCS{2GK@Y1LZ=9Pz-qa)r1rYb9^se@K zgqK__DXhEE&B9|Jl|+2?F{a|e#9vt~NaYB_k8;r>wUQthjQygSGm}UaveQ&G=_h%alr+790M7#~iRi}% zlcW!UctY{>-#?qS*1@o_9AA%EFW0a@&(Nl{fa#*(*_w0fJ$W1bg?BF<0DIAo4>d^1 z973-s`)@Cj?7H;rHBr;-vkH#dQ1<%b5?JdksTT(gFrbfW>fCHMF z8(5w+IpuiDHZ3}^IXh%H&u6FI@9s2$SK?uVc%?sdx5IC@J>YUz(9Mx(5M1_#BanH7 zi~HdeM9csvWA=uku8AUU4@WQ7`McU72pY&EK^uvup|PzIAF@Y$Eg+uuNLn!xoFp(J zF-gROM6r-GX*>+=&4E`60a@aEM&h)MfzT3ZGNvXrFj6$4yc7xeXn5Mf%-P!PjKe7} zM;mYVGZBeN6$8VI2NctHfMy)|NC%n`;ujg_AL->9&{Yt-{~SA39O(;G?x(0hX2f8{Y z#KV(g;)yZw*2W5gCg&#bgiJ{dRpm7$g`20VA^O8LXg$Sd6%v<>)v$R?o^xl7~`4vn{*E8Qid zPcrmWm0k z^ghTzmi`^!7!rp_tC6I?7FUy>n;#kwh5dk!TR>+4Jky}MSR-8*yjmWO?%(SAYPTN?}0qYzkaZ>-JF&A|w|C_AIJrlPgEwFrR@g!tfZV{Yz!$~5w{Fvm-~ zCQQ36UO1fRxY`_Wb1d%RTrBjKpKa!UhEybQf>E4P@pq;Y5RJ7r5_fqZl5h+ANy`OR z;T|}_h)Nnl%Z1Q}(4F3JLJKbU=+AQKNIKb>e5x(Msv?w+@RX`h9==wAFF)Ux8+TeO z$bx;=h;6GQZ%NS$Az6T{pc;#f*+^SWlcTDs!6GYEJmIK<6Re?1njvCMipqp|pKMNL zq3d5 z2+?~Hwjs%d=BR)G0U-}aQsj#wQH2CP$TQ`j!btNp9!N3iO%is+=`<0!qqH+$k>I3| zT2Omj3`R`!ThbD8G-*fyS#;@8p92(9jPC%!pdI2ZNPF!axKbChP=@++Td(t2tacaU zFimzS4>6Ch6P^Op$TK8S&-x}XXW?t{pnlL+*CN=3lr%#ct6>__D-7Ymqy^_u9@YW^ z%tL&{K|>p5f;9p(P{1WXcK}9Ae4f>Hkr%0FLR_(IIg8fnD_VbireNMAS1R>PE>Kw_E^45IqrWmvSbB5jVx~&mvRbKSW({w{MIpi;akBoys zo-U2blmoY&K~qKIF}?%H;;5Cm(~&w`;*5nI;6;o?z?ZsUK^lgr6r)qH z_uw0mU{`%(VELF@pls4&Yslf+l!RW96ej{N4TT1}+WK5AT^;Q8D7HR^A$SOrOe4bC zHy}hLRc$U?8*4ihDkdTNr!sU2>{C_sup&UZ0#%tNJj1|)G}(;r0<1V{WoD-30R9j0 zi$G^9szhZ{#^2Bx!{vzl&^15grYCMA?1->AMm`&?pN zfV;CP-&LrQ+?8rDQ*5)*=zeoF{PAM)v-RxHHu9dVKCZ#Wul?M_edMr+_kYruN5KO{NBIgZ$%wYY|)|hgyOs0Csa=Es;n; zY{&6=z!e0Zh|0v04!0%gY=JZY`v-ccgxxt%O9irXgYE;Ci1?y#Eks+3&6FZT&RAQ$ zGQy<)9BFG&nU!9Qyfd<=w3u9g8BBabG&wqr3A|rg3g3sWih{nfY1&cXUCIXpfN}PMsoEoF;AS-t=5m*QfUxgI_MnsJn>KkgYG?!xI=fMxgtoGS&5f7=n2OCkF#PV+~bJnNMXH5@2?! z!qkI@tsf|ed~E2A4Os-kXSTCL_geXARa}?$0mY0>L#6*X>JGh(i+ytscq2~2*7A;98 zqIBc=3cKA__d632PnOcq$3iUgcscv-WIPEgnE)pc=Af~V1@CqtJq)CK2xOvggoS>ktd*Gg<07l;hNjvWzpYk2Wd~(k=4UF7(&S^V3Ul)r@uEgjumY z3=|!8<*am7^$@nHAdi$Twj!=ARHlX|o0811HObh?)>I=aIc-7+#9fE2Ig=pwa<~jp zf#CAeLE#0T1<)b6uCSY<9Ds@TpJ0m&1>AKgHlY3#zn+j>$hE0R>;-WX0{+L+4SxbO z#1o2%4+$LRPc`(w7)NotqL}f&#i2n4P6pmy3c;uKyAlxy%Il2LfCE5L%9u1MC5E(?vVu@mCNCxSfXX~R@+3(+#LYl^t!2A3P&8NWwgdnX&fRrx zQ$6a0w+N{p`T#jfo%-TN3jYZR6qk52p9)@9T0%d zI64$`7?tp$*nzKMbWjPW%xOa<%y~Xkv@rkIJmoyDRPOk1%s%?)PY`!?zLorG$QvJA z-Mmn)U6%Dubn`DP|Cq>09Eyr^H~L4VA)z7TzS9ZaelzdJ2R|B4PWxcvdnR^tYp0RM z5pMWT#Gch@lZ7!KB>hI2{+oaM^4T+~VdV?K1HY#E?5vNS_WjCtE3EI4qSeioo*=8W z(WlIR3*MS|Ja)%H$!syT!1Sro<^6a6^W#5EOlbWfJiO!GJdXa?A3m+KX~_6_l(j6s z@ppB92!4M5PoLA|^exO|TVvOwZ(QLyy#4;$3JDf{zv%q1rrGGXvI6migl&nZ-3h+F zJt?zm&Tal?U;o_t4U4yZbiP$4%s4(YLSr66s15VXzeBo3`K7^r5utw3zU~3N#i?Y~ zg6SV^%-66g6Yt-FOt=Ulh?m#upRE_%St#A3W{lgr%X^o$FYjzDFU$d+8yg!Q9uSFe zSoU;@2m2AVI4tTN9}~|^PGBRmwY3c+qnYW+`MHJ8w)X6#`0SLV{M_u!jPx)cPk#ps zUkmL>EA^J7likVY1L@|og{Ri)T=oV6AI>E`S;lmc`(!Qm-dyUfF`S6uz*xZuyfz$x z6!{B1!8j(t^t?U-$wTZFoRou6mj~g(iYx=~`D(A}N_W`i1M6#yHLHsllM-2b4 zjlsEvK14~Lq!KF-t&J5eE7Yu|;R%*rN_V zY&~Bw6d(=8KM}$oY>o&Q5OgEF22XIHDj}2&T@xO!^umZ{B)M$z^0KlJU=#!x_9O|R zgJ=zB*ZVO`Jmp=4%37c}(8)4F1}q~#Zw5Je9^B10UO*q&hV$<=@mSVx!5#XcL25Oksp30e9HI5H?e_<)FHSiHji z9Kt8}gAh5{tW255y-OdC#nns=GrnqRfr`m`zt;TXKS1KHKTHJ4p zg##adyp;81jU*bw7x2~uLQs*m1`c-Y<^GWE4xfv?VEaX3sJJ$WFsZmJgVC2n$Tg1G zY!95T_M9$r9WOvI!D*O_HN=`!g$XMH`Q?GUBDgs~obRp^d{W)dl;vi`cI2y`)>hJ` zfO571__2sT15W_>mj=Znf+`OMi6{Y(^APN#fq4LpDQPOmvXcPfP!OVlK}}-ci7}f1 zeE%=@L}=(1XxI*2XD;uGS0LL7qsr_h2*888v@OojTU zWb3KU)nyZVPoyLRi^J9vHHm@*vj_zVc`vHb!pPLY=76|F+2f-iH0B}P-zDj<*}rFJ zPQhkKGt6yr!t{CWovdEU#bbx`KrUMRV_(0*%8bn_BX99`Vm zTwKIN0kBOxFhD8u`+CPl2Bs&6p*6w^YjSdOW@dV0V*^Fo-`_JR8bCBqYIJyJQesqa zP=JSrmxFbJ_o*~bVNsxPAlqRg&u*#Q_CmY+^?{)Kb4jSiM@wK0Wj|a<#UKF+{mqex z8zWH&>e}t_-|h5+76K#0^&u2wEDG|{U^J%xot}uLdY_px*NHsG!K~ALnYOKoxFLdwi7X$klkE zKNoE4^}4g$jb7l)PZT=!W!gc>8}Dr7U;+m(F!^vj5=w%;23LX3V1PZ3$9n!Ban2G-eU>~4n!}a;l)D}_UDFh4U+>9DkX>g1Wbnj2Eg7A)o9E& zK+?qlC)DwgkE2&3l7Uf;A-)mbu6|twaU`n?m^jwT#Gez%;samrmZ4?+=5p;9n+10l z0KSoG+`G8EyM=|-=HkM_B}#>L{!_LlCh zu1e&HM~B0{#?#fo#opT2!6G-}OkSvEd6?1Id8heur>z#JYXiQwzynxJf3lMMa53Z2 zQu^K5gxg^6jiUC#k+*fJHw@Kyxfi0qXlQl!#OPq-t`5dtgrdGDbh9mZvCa$W;No0I z5vno68h{(vwOAh)`RSGg8sz%$5*#@`rqI%}9rRUg^;i~MH36v7O6U%WDs^Cu1V9-T(ea6U`Hg2U`@sXpMHcYI{& z$kljlvSGHw2}`Q2de5zTkLhB^fh@bmIGapQQ#VVKlLjWh*@XJAwlLIH*8c?g~jenWpBAQ6oPYAvZoH~)@;nEfxtiMEW~ zjWF$-+`m8c^=`%I8~NW{srh09imswtiyb%D1}<;T?QSh!+S$fBnDnY*@zB5!pzx8Q z{&Df(0!55R9nOMzJ2kbmusG1)-%wxI-ribXn3ozA7VPbH$`YB%#?fw;RSB+TQI^e# z#&acZ>y6G=2Rv?#hoSrs?EMJX_k8O8c_>ogAr*}jtt$f|SV`@61Y>k0ZhTlwVRRgg zzcLhiNff=?3&wlMYMs|?h1*z>+fWXw(XQj1IZCk{?omEE7##}&gsEq_Vb<(3d=)!g zRa>N%pHvu_oexLFQ0{S0zwyT#2OH#7YY5T_U-~Qdow}ji?!- zjYo(U?ndGpg?BzKMnc!a=!j}0HzaIuNhyNsj!iN2D(FArQJvy57KeV^fhiJ)YuXSYCC#&Ym96`}T9j?{5 zOcmIPFi^yt%J8y0V`*t2#J(6Vn#RzRp<5wJ8%ZgKQ5hhG*sQ|=o@rx(dh@dTRqB3>(8&NO(pF;{ONPX#T}yn2c4VW6m>2AbC1%cD}S{M z+ncj>J*)TSkkZPFd;VL`#+rWWyQzF>>oXgxXIcI8%cb$P%h9#VS<`7ZrmueZe&Snt z-`{=j-|~O`FBw1k<*)z!yJ@*M_i~A3&pm(-D#Bv(popC}yT- zFg#4pO!stm*Hl%uG$Rh6C?!0==Zxb?KG(}ykmzk$ch03Q&ZZ+pxLoD7+jjQmsQ>-h zxCis+a5O%GWN#+%He#Q#7Q_TU5{W>v3td6GT_NDSVPSu5fEpWyW3LQEBPVpL2dU{H zAZSb!IF1#&!9}De)2=P)WM!~^sXrGsT?Ibc`M!E7ZlKbzob{D0H5IIM6phpsv;k=$ zT~G;V7YCgQnHG>^h0{@03t}6pMIozn(pnIi0hLLH6&zh2TLm({Bb|e(f>j`%5ceO- z!3$?6)F+DQHzN;qE?kv*!U#ZorcVHJ33w?%Us!?o*zHLCs9DtuLaEY%oLB*BqahKA*( zmIBROonDfDz!1+oK7xe3-T&RS>-E#|;z;2_g%j9r7~+?zU1kbx1~V+1V(instX(b5 zE%*Xc9_+!51-g7JdhmY)+CaMG31|}GyanhCfSaC%9@IZL4zcA&i}LDpBu?NO`Y`K) zKQwU{))r!fkVAJPEf2jO=;-KDObjdtCxB-d85;8KT?+H~s0NLB2pu4!yWg@q8m66a1GuMRyhoZZ5RmTJGDO6>YCB zY;Ud2&dxvqG(L{CAbORd3GvY62>d`6QHaw3)TZFMCl-&2VE|N7UeeOkSXr1C;qG`E zu}FHH2q&Y0X#3V2&z3}MagN1qlgHITaEe18E+#x&OouDTy;)>yK?X=lBUcd69QXXi=(3f!4D{HYVf5a2A6pE zqhJ7_fisHPT?U{IsXB-R(6PY5M-h}$4DN(J!-Sjws4_%kWJ8a?l4LRf)@0vDta-5D z$3Xwe&fxxn|`!L@Dl+#4$xi2!&JRG zM6W4ayFNs-+F!NEL$Sz5!$=ER6f)QYLR6rmNHHX z%6oae@!3ZH-T9)M^G$p6?VHo0?X^XO_+bo~oWR_UWfRs-V)#)^O^mJ~+;0l=`ZVM{ zeSNUt>aHj+D=8^#sH@37m*8$`YRToe8fj+)S~sLRb)P@mlWMV8cKS+}_w8{kmtr3; zr{9}TxxbKpb1e3z7)*W;WMVL~!;xpJ#S@;DNW-Bv@iAA2qArV~FT+nu6n&vLe7!Yz zuF_*D$F@KFkKPBxvD%neDA{?ua)uu48V=y5AJzK6uE`n`Os&iGObF7P%s|jFf19JmYQ-KLbg(W8~ z#gOW@^}&LHvn%N? zw~v(HcHzst#%JsKcjpTBW~#2tHm*+gZY<3&FD|0=Ncn-XHa0#%{yU0&?&kW&Mba=R-6 zDN~ar9=#csU1_GU%kNIJt&cIS4B?mfY8CiuCA)DVZP>vlHC=^lD;;G6jxt}J1+AKz zGVJQ$=YkegQ-{Mtv?^72#KP`XG#p9@#Y40e;BHeM5rm>i_C?^rfX9ssjZ`N3Pke;3 zl2rmtj5td$K~8(MP$K&xGJiuoL5W~7oy+6RAuH0p#&U3Tw>`INxWRc@Q#+kZU$8=8%9}A%cUx*nU8(y4= zicsyIIDzS0MnW15!r#hIlQ2rLJ0b%&QfB^}2!r)5%1>JWojS=F(iS3nT$zc@n>r9P z7}lZxw1jCi&nPV5fJ8Xv@!^W2mtRh1J}_$lWr()uDoNP?VvM*tqXmTt(`QrrcY6P_ zTlRc2Z~sQa=NpB0X0xtM7G0jIT@tq~PESC7K0Zd3A9eddi9SK$)SH`I6B84Cz5Q)% z9gTGj?X4XZ<>lF#nJKYxVP38tCYsLriZPDd>O}j#^Jk{ZU0_yrvD+O1QIF;mo-C(5 zUe16~%dPRay^+Yh;ix;~U}r~M>P_ZJ`h@;}9Y{PULE_*K@=ci&QqO!(l_@IS8j zxo6De&M-5X4#CftFDw2?YbxK@l94^FdTYdToIS4a*1u|1GNj(!i0{p$ol=WxHT#Q6 zRo_p>9=-gg*6>nsFqczs&sp@xP5UsW;>+L%pOyqRY;`O&4hJ%=a{o0f>AGL&H_|7D zuKchzSh2)y?v#wukJE3d>EyimJi|o2sNPiN`eI=zCrwQ;N1Rjt_({rV!e`my}}n?HWzS+vg& zzYQ0YmN+^J`t)2gp@Bh7Y!GBi9pV(`A zkh)+NB;M_q+-q}JcyI9C_kJbQJn_(Vt=qi&8}Z9$lP@o|hGo5McJNcW+&z55Z}W60 zy~XdIUw_N#{F~)ptXj0)`@;Fs+rlpAjeL8<=(Dt6HEq_IRhfM}fBV@Fe(Uhg?ZiIr zt=Z3SuB5wvEc>qFwUp1Vc|URgK+z=V9miXXgN#M*lZ96Ti!O%d{-rC>_P*<1f`0e3 zOJT=S@2w%ji~^m#`%A2cF}xQ6mFC6Uw4aygJY5vnRJ?QMgkI;r{$cA@MMKAL|GabR z+2&5n`pDYdmwh@@B_FEZsy|(|K&dW_CpWhctn1{YA^H}5253TRfzIZ=D^Peq! zKJOUZY1j*T`A-sWeDdqPUw-@B@Az<#r1TL_$KC#1L{T704^b5BwP$CFP7h?*wKmI-b2+90L!75P zQ$Bog(4P=ZC{9O15a!`J$5w)YI5vMKCWhwdPjEn=Gu;XTJ-fX<}*>+RC#kbmB60Yd#?A?xaB+Rd4=ss2W! z%VHXs9LHENH8zU%`8cKn&|KzbC)d~3y1Tn;tLiE%swyignwwhAXXSdiy13h0N4l6K zxNzefv`d0bMLG5hweA}YUKiS2?@k0gUQ7ht^T|@qok@g5hus*)?mU7--3^4pOYTxn zz-19+p26^vyF3tak@O^C+g*Vd`y+OGBi0*zW-HuB@?3k;?OPHo;U!lWV^SW>D+%D2 z1nOsbsGoCSdl<3o^;PV-$}oL|^NpsGq81D4YmSzhHVA@THJGO8qAgK}iyUS82-XR@ z0>ENqPbbF$a||#v;i`bQLI5#E4B14^i4V#WnJ2Na!ipW%kBF|sDji6g97(mqJbSJ-!{1la}}nFo7eNe(Jd_kW9ni4C@gfDo@;hPFYPtT>1qJ@F&3i zM(KaKk+*-l4N2Aa<}$8{lWxtGio0q>JzcQS1`dZM)bP;o=;$a)A9D#z?w6OA+FIKx zODju@N{Wk%>uTzg;^N`@>tZTQbT>R7V3_8?tBtZ4&2wC7^hPS)^?vXB(-Dsr!Sqgh zw32aiJQ|LXdm}OMb-g?o0ubO*SHNYMWDf>k7NPV3hl5NCTs&l}BVe~Tbh{hTm)_H* zXAm9JbBi|$1CvXrMa@>ZICmK(pR<7mNVd}!b4I`PJz(ZD3KZ> zim}DO?}^mCsulz>g!rkVLBVi@g@###(u)$Znj9Tq8QH*NHmAxFPypr+JXBzAkNJa4 z50t2d@`MB&4pkb_oslOnx2IGuFuKB_fYLOW5GV`HpMs+;jybD@=i zfr&mJ;!|xoY39ctO8x2gv`-~8SQ-Eb4PZt~ArX+?;Eo4jfQQr@zL^=WeBAUf^ufPs z@uiTf<*0ZRYcTlUjg10^ z5RZO2m(BJXJ;F}c94y*Al#}T)VMgvpfA-H`O@IQ-4uu3 z8xMK3aPHA!3UV{=&Lv(Q3b{HEc4H(Kc>ATEpbMRTmwFJF83x|m6%_ptobdq!Mu51p z*%`du6TaROfQlc!M3Vnc223g1A->iBbLf93MP7eQ;++`3(320( zyx6UK*7^_P_xW-;z90M(Z#Meff8qYv<(y$m`8x^SZ%hAKB3i%;`+kad?6a?8y}Z70 z?+nY}OquY?J^d#04I{#4aXGZ_)KPwaqQvUL&dHUW3 z@eAR~z`34=hSMj5xp5_H;w_inX1%Rv?RC!<&h%O8zWin8g6Cg5WM4FYRy`Yb|Ffr3 z>|eg|@HgXc{jyF~qC8X6=CA*#YNPkt=<$}sfB#VHe=War%DB7zy|-%BZPNa2@i&~# z(zfvJ=i4jqJ=tg9WzDm0Ha_~@XCtxS6#sjX)y1XaE50v(@a`LDKk#<=)|pH4JD9nS zdH`P-$VCDmGQ_qUAd7UJFLM~qaf16{mbZz|NuiyQAre(jYN!enPAGixJLy0DQRb5q za1$^Wn!=DrpeN+>jL>+2Dk;DLtZQh%M~1mMf{QS7!CeQmNgTjvyudI)vB8J|`2z?rEzp}r)b98hp?2{tiM+`T%0XZN3sBcS}nh4Sa< zx^6W?Rd9bcT9{u@ zQdpdqn;Rb!Z*OV}mTwX+>D30G8{#NvkRQxOJzh@60epWx`PM}2 z)j?=>!uE#au86`fbolRd_(6$G!ZimGM-oC#Us#O}hGX-&)fr0Y2aSGX=T8r0*>@ok zH^QJM%&0O{SP%ef0KIH4-Ne&sLFTG1Le*1xDwaAb0?6txz$gQZ#R04ZUjU+A)r1^N zkMIzP&!`p|f+*W=MPgP+09zb^#H>(OnM_-F5XvIR{^U@EjR&SJ9HLmOprgX;F{TmU zP%mlbo&VD%t>G(J*Drby2xo+(4T;BuUPsg9OBoA?_y>t@C26>Y20g2|)lHbLIb%-Cr|Iuun|648~YCWJMFMj_EY+e7W)*p}U z-|3=gM|t~ujbHDSJe*J78;QR%QPkg1*iu)6kn;AH=DzOUu1@^7duVt7*&F@cJs7yk zic1Uf@(S__QW8@_{Xz!G)*Y=VB+abi-iIe*=3S90NlUu;{gRTXMb8lYbm#4q&IJ&#m!H|>~Hs{W>`&H|P>SItlps~%NiCkjb|JPXq= z2(w%@9njh#w+G3?>m4tZ1#&;h{%VddS;Q!fXX0191_7 ziWZ-cdJqjCz6WfIz@@-vpe8I>jX-d#Xv$SjbK$h7A27s7eh1^#VHib!@7$Y`T^+Qf z%s6sw)g=cM`gbu{9pXLydZF+3Wi3>?Z#H;u)#GYEJ6Gx?O1Eo^Kb7xq>2D=Kp?jEW z2N=q8W&S|_({E)y{zye$5tq6V;x`Zppu;Dg29zcV>}vw53e4dj4(+Z`k7RlPI|1s- zfw7Asi=(V5)HOCUv;c7fP)bB#-~m5`|39Xx{G5WwU_dF6zHR|6=b?f?p>I-C)hyu` z-tB#HvFgk9^N`VheX;!EQreBtgsbB@qUx-w^5XiM>e`yB=B8%+r=z8%uLsdOjcu*X zeLbSG(z5*X=hIWuBZ4CQ-P}&|)%{JCi^HwkbKJYKY(&{6iehJl?a}D#;;<`-788YC==9m?@Wo&SZ&KL&+!(~Y4jd)?Qh(^B{*ax{p!Ej7^@hNi zGB;7CZGWbHN3wNA5HH_L1GQchAUN-G%1-D+62|q5t zT-vC0=s7_G1=or2DU>*f?xshx z)@0TcWPSU4TL^jIcFG zI;F^y{fP004^ZnYIYkRYQ!s(`b@)6e^bz(;(TUN<^9Y1$M!e2JHU_6k-x#+$3LO$Q zXx8BC4kIu#143goH8l1+`|Xwm(o#pCVh*vml%gF1^ul%Z+A{gqDY`miDnE;T{Bq!d zn^eb6u#4~B?_)MwlB`uG=z@8|u_uet zqQ3vQq5iA?h#mNY#O>y5Cnv@3)oFjaqSF`S^x>-8o0<`m?zxhtT#~(9>W+tcp za;pD%pxF$*7Mq0WgBt%K+Rw)Gm1ra6R zT-*Eq&vUPi3&Zoq8)py3Mm=tjJ=dD^n_pDrXWZN6V?Vru^shsBBSB#^pWZyIs)!lM z=`oHmKz0*qv%a@%F8vs(3Reaa5W8k9 z8+-cty{mQ~t`01U_DYBjOHGJNj!#HUPD@Ko&&+_3^o;cMWcXN^R}>o^6B7K`$=2Rb zOVdl(+j3wa~zd7mtfstwpE25vMGXeSnDxBm2e!sQ>B_;VMhN&%NF@ZV$ z{~j@YrT(qS2A%092)xcP2Gf)>KlP^`nlV=Lw(63P)aApC`7xptT%@{5fKPmGICOh`^jNJ>jfOG!#dNs0%pMnPUd*yAvFCl6y?{f9E5*6LE= z2o#Fp&kxjXNHA=QR_#nye_Mu`Zt{Y3v$VIkm zl<|=Y2xUPrXV6`NN%&BO%|MAABtEpH7}rH>SA}YWvb!WeEyqJC$yNfa6eBTQp{Bh4 z#=K4%oEFk7#*(aR!fdjF+^`X5qJ=_(zKIE-3+x!h2XQRmUyF@<04^QWH?mKIvPQ~I zM1?~*HPGUaI0Ggr6yl0tYbbYcF@zd}riThGs*nW(OkQBmhE_|kg~sl;VV4X7ZM2_4 zf+=8)11S?Q6+pD%tieh*P#wbqP6ZH8v9rJ=g@oj2$O4;jB;!E-8JIg>y2oMQur9=e9!97lZ2O`o? zx&(4N29*{(u+FODpex5ZAi*HT11?tB_LrXnYF$QNN)ZrI3&UTVT#%Cd6T{OM{ssP4 z+Yl09Z-s)`0VT zp@D%3aq;nSiLh@@2L5YYbYgsLR%T{VVUeF#fHmfkrjn|TgrKL6xSydwtTlge(8IPg zla5ra{%p<3TC3R>e~3l<*o^G{p{mEL9e{%laig$o9?ZuKJjDzYT8=_&ArMjE;zp)M zuzt1~Dzok{wgc<`S4jrdA>f{(RvM_D=c)X}Sw6;GFw~ec48t8{%;~MmVWYriD8>xF z;>v=o;sAEiF)&cmVo4zoVaN`=bS|WXL=q5gb|D~$V`m!VKn(jIbZLSX1T^3Wqr`XU@DinHzT@a!2#uCuM>KFzlFh9n^p;)R3mUeWP^-+0$UY41m0@y1 z%P43PQ1}wyCM>A7;p?J9%}98kDlk#56a~Gm$=!7Den?Q6adup|&9tS{!QoM;4m|5>-k; zJxhWd3@&NFMg%2z*uh(X6`Yb`>;{dPoP-=44W_^GG9xA3{=D?>LnGN%QY8OY+mWHs zc9sr~)=uVzR_T6rn+sS36wFw`UvL4fo7aX?p|&^1vew@`S!jpAUsq_&pC!5k*cL=_^S}naY7xk!-l7M6v^ad5CInmT_O6 z{+lwRk1riRbp$VThRnQhnW(iLudy4ebr`P&iHRGiocr=ILxpBTrLbIvv;ZKPK~wGu zJToYJ06zN)ZDGUl5|K*Oii4Dk{8TetWs_~C!L|gd`mrgmuO25PshP{LXbJ=N$t=gu zDgc-#n16xe5IwRg13MNFc0nX5+Ap*6aYI4=!zNln3~-K%60LCb0J{)Gbmfql_jfTG(nZ!^Rmn>fo3JgcP)WM&4xD zEi>9X!#M(XIO5(T|1!AA0Ye398Xa0*BY#0=u)jxrKd3s%z<2_vB`_GE#6uVhh=~X} z87Pw7w0~1h+n@8cG<wM^h`UEk;)?)l z?t2S#JC6?GCLA~3Be1~d(foeR(0cZ5!m^pkC+f`d$;`-@R?hYBou7NtHtDlZj#+&c zDePRC8e5AkI#Uo-W^)0P)BS1Sx_>U$W%A3%jhR?$aU*sXGv)gS-TQd`9*?EkHPaVs zfuB63*o`kqypCHBuiZf!_>fs7>7GVSMMkLpWKbn@meqK;

      jM>>Q6Gv&?+Gr@Vqg8Wk zyQ=3?I_YsS*m!2$Ri_6^bJ%!Qcadd%h8oZC3-Jr^IaHfV3H*Z7Ptp9>`j3~xXm$mw zaP49%L*1^9-$h$+qEl~$awS6=@L4j>cp4RkCppk=u_`KGJ~4^d&i!v;|3jR9sXYV3 z-OFBBcJGVVfMKV#bH6=05x^P3LI>URcJ`xdZM9q3 z86{Su3!LOK0G6D?WqLDRM;{0qiYg~acjo*!&-wzlmDd~O=PSTVd2&z(gDr>o!xx7B zA`!RN3UuzYsvU6O(2h}5-yXGZKwJVXUL_r)ZF`W&USIWJ5F()6!F{xgG?P**V)=lq zpr=%*&mUA)4WZY^nY9#}vOy0SsbhTx`R>q5BK#a{!2r>2j9MtTyV0R{KW0*GEj8@j ze(~Z3K_;cn^vP58g4qlAtasGSv;t8+hgfjTBT?}DR0j!N_69PQbVuQcSfQY4<(9)F z<0T-`2si-N2JAo@LY%n*$OOayFzfR*QS;CX;U{n`2EbMr*vlvO4f0T(4lQW8QY6!E zc1&Q$JxEH`iZ_O74#yWTRMG4m4?r%@XnEi#WuRpgjX@JSLncpsj)kgF<%(XAC2u;) zQRGCi%ARd+!4;w(j^Gdb>_UWw@qR)AsqylfyQB=4Gt=tI#sn(n;MmRE4 zxd*-mRs*<>d%lUSB1myk3-8ePABAZwKwK~p`$H@|=homq18B~O{e~j>ha~)x$&WtU zzw%>$bXfjlLH#QM{A+dG|C^A2={F%k^}EMf`e$v;4KO_Kp|9Wo#IAI@zfr(HfjjNr zxc!e#(SLlb|4RG)y$A2#>fdj0d)zdQc{epQ05=HMNBiLk0;~P>co8z%N|tB(M)}M| zrbW+eq`V*s9H>&T6()$5r<}jmrY;7afPD^hvDPy+EIJWPzZo(mvr!^Ls{BpF*3X|G ztvY@P6fe;0=@&u7Y@H)6imKGyi=W%jBP{!wP+Rd=>OgWksxrPe_u*I`52(ZoqQ!s`qX}COCoMDCESk=}j0uo)5*JHUo$VgWc<8 zj6J2*D!Y9SyIB?*#&Lg}`y#++E94^rLX4=N_;i!Z`y{ifzGwTfQqg+OL4ix-n*3t8U`qJXzy1n*em34{PIA(RC^cgx+BBoXQZ>Q0MT0cgn)zPKM!EM!0>%c=jc`IT90`rkKAID-L>wGZdCnY-yG!EDNMOne^R2?*U$P~B8c}OR$ zPc!OSEHv-XB4ewCfRfg$_2ssB}~8=&7cF6|q7Q>!}9raG&2@FXtJ@uUaf?&Nnj0VlQW3o96` z=}fh~Y<;vE{85BudK{Wqg(WEAy=>8Fj?u^f!Udy}M<@RrwiBJb+KjDyhMg1c6qaZI zJF)v`V>*Lt(F_NC#C75Uh@DZS(jQU&{)&*k`pz++X6gc{L(kdJkI`9~x-3UiO@df+ z3=~oZOPTf{d@W^!1Gwqe;bFSKaaje9WP1T=B{cU2A~DJ@@lzq zYBQKLn`0xx6Hbh&KF_^|pz_jr{t!-%@+n{TgEaehgPM z|5Cr9#{ylUrlWw1+#y#KeeHM9+KWtu>ZPgLeBV_T)~!p5tsY^~hY{lSdJ=lTmafC! zN?F0R5w!x>%u{vK8hlHg`raYy+?)PJUgOK_bH#mS54j&gZxNJ8%3-{A>#$% zXfO-Y(dLp!Ip`;xy+-X!E9X6&0+h-HzfbgPyyMnepaJ8;M8n2S6cfAvmz9NMqjYOA zs}_ib-@F#u0B+khQ=I|a#wtAt`e*Af9>qbPm8)33(I07?O9O`8R`6y{#ON@AW%=gv z^NGH3C%)}ySghDScl3R#4kTNKi?Cw$R83h#+Z}v4^L(tPg&fbeJLjzJE#a21_jNd66p+n^I>!c}v5FwFXfK2|a2cti$6(7TyrkF#*yTBCV3yhk z-p7sJT(qq%DmeeNPgp{=$qG}_R2)g3R7pN@!?I1!I4&;^XrEbl6vHZb&AlTX&q!I` z2_FxuuSr;f{~@_sF8bQyQ16L-jIBsp{5h%Fl~@?$mt(}dxOZ;GV^;evGbW2tiV zd4bEQ=IFVN#1BkTZ$0^_mGoPpoEOPjzef%cWDe_bTYS6Hywqdmsqf#iLu}MygpbkN zXNe{BbMmfGjOXMF3q|OHcL{XNa`I@Wl39-h85ZN_Y+OqAMZ|P%)7Nv9GmaYPG|XLy zlT8avf=W<~C`6ny#Z3lF)r+T;yG&k1svGH0juVZQ`u%xt_}ap)&|E~&z9yeAy+@dd z{cq3xhn)X%(o7%gO+P;V_2++vb^K$`{dd})?*EzRX8ujQbUMoxzD$h>A_Yk<2VV_j zj#~{>Mxw^*9NCpyN6^iqst;vd0|-gd<-IYwD}=LLNwZB04i-;8#r6~#5z*mm#y0yp zuznZZ7Pyt`K>MEY3M^g|qksyxf!x|@M9pqA=uHsfzaUnX=4;}`V@DEe8i|T=120{} ze2VBjp({CJ`q}Sx}qtW z)A`!p;>ok~>e2C<>M+HTpml70juk;}J$C{^(uHlIp!E`UVwn#11!J-=ucF|mDEX0Q zixsI~bdu&6_{!D7o#n!HuVC96Mp73IsxGp)xC%o+U(W;kU6NixGz)FF0T7m??(+ya zDsMa=R7;1Ackl{H_O1T}<=wa|qq|@?`(^YoiM?9pnP|CfbDV zv#J@M`B1)Prx-Lg{KUzG9oD_(G^miLM2IGs<$yZsm@zrVJo61{eVz>3PWNFvn@ikd zi$sKxZ+qJeZ2fU8QA&`ln&L+$i~`lvxbI_$l5mQMd;W1Gi|f=lCnG?zCGHsU`FeZU zH2PvrOEA}W&MO0ZR@-m>aWY>{?Bac?603EUt96H)G|u(K;xBreE2~bS!854GJ$r_r z6_t`h=$6OoAA+6QXd?M=_kUfhUAq>Rmn|TDdUFG)_>F?%!Q=Jh^4s}lFtu*^)b{fjj`8q zlP#iMRE^^zt`jO8^5P$oi9=(pt^qx<)whE&%p1Bw@94jKA_GmE_ndOyHh;Fd)F1`F z*Ez2vL0XAmNH>&n$4T$l-Jl-FJ zaQ?BX{Z#~F_^&F^zbt7m|0d)so#Qc2{b-8Q6spb)DKM=Lc0tg{l{uG281*JEvh-E; z3oJNUBJ)(#H91!ex_aVrq1HFdi!nkC>+^htSr{KD5=g+J60?d%K_8XDq;d;?bA!pJ zq8Haz70!VfC6hnd3ub?L`zej^8cId2x7@UO5N%ycTXNoQof>A9U^|KdW5?{UXz4|h z<-{PsN1SDyH~HK!qGQQwtU)v7u8iTZ7HNQbSV_AQM>S-~N=ijz?HfSSn0KBxJUYz93aSx-1sb0kRxfdv8YE;sGD51Pu1h zqTy%y0mHa$*!r3KHaiR$cOBbnOH<1V792N>Zs^I(Ug}9pRb>qSal+|vFqqXD0>SO; zFuiOJM#n3VY%!R!&8nUSm+s8&dQfFBVA-t!ZhnF8)1O(xJ~T&n1K$`yK^+;1I{iD? zBeToY=~5e5E-}*{;9+iyw8~Z>vIX}d{Mk_vOhTe$^O^ijvaR}cj^_bcO2cT;F~cyr z$#qg(_?)-x8HG9gPZ%+Fmo^?MXe5anQEiL$`Gz!oA2uFqf~GqK`LMQP!y+*7dk!_i zx>LnqdPvlc7aiparJc78*PdRY&>NZB1h#piG&H(e%%r=?AAMZk3i^7$S-@?=gX3^@ z-VCkURjyFiN%<&KpKZ@!cHm}`!*Ezpea3ZQIB%oB2VENt3|=qb&0VHG$6c0m=h5#> zd!jzjQSG zGBW=G+YB^+Qqw!h~EoX)YCZ-2D(Y(OX$%zSAO8URGzm^}FEH>^4ch0^B# zNyGC<@pI~H09;V?3Aa^kFKM7&NcK29N9vdB9=q!+cyJ5hYoAhn_|{Tbb5B5GxZ+5d z&&nO=UI=yo2X@>7y$aYPvK`BN6WD7bzv;j*9H7eFt9w=Kd-qya!(g&WEE>VcR6 zDF+gvw8|qp+@PR)E?a?!dF}0>BGso{g?ZtXf&z1tH~5L(L;?0PZRRj;!8^bm#>9sf zGr5$xov`GS`14!sgxlF~`qagGd`*-A_dz;pG%^6)fIibu0!Rm7vN57a`kFx>bfJ6qe zwbcSfQiB1yvI4p0BGh%nUW@LR2kIvQPi!N9xLzs1RscsPEKo`zPlQRj4rw4telB2F z5aCzog5pZwRlFqpj(PSP5Z-Y;*d^~O;4!uiq>cpsCTx(iTp~#j%NOv4-A*%*1sMcF zgnSkRl?@)!d2vjZd%tH`Lpm!kRfCSfvKtVkFeKFZ4ts|1Ywa%1+BzH1ot;k&dNfvr zU?v?DeE6Qux8f@MD zw3X7~tXs!INDiRzxEczFAS&9#x(OK2$FZ?U-8C|#tot2h;lEreP614zd;%v0j(UQG zRz%uG6Jdo%7X_LCR^euq1=R+!w$KIq*c}ra-<f_$R@UeB zY=yW3uKvBF9RPFb4XB{02LCro_Gc1|@xR)J{upKVkNx{!WyrsQ^al;%-*gQz|0V^- zwgUbMjLXB_-TloSfF2Rt=Qn5iGfJoVyN~~maeV*yNPpE18Cd=%&iz6!|DqL&NmyUQ_p< zzjwJ!iuGOYU+TU@2rNX$tgFCsaZu)!Gc9ABtFKiZpSth9@RQP_%fjqo8#O)LfXP69 zb<(rH7rx%TgUcZ~AvkvJ9ZW;UAq+d2Kt+7F$Vta)HuxIng#|axEgFv1Z}62O9qSTJ zIGbJ6*-Q_=mpQ4W2RPmLAzvw z%3gG+Pku^B_gY_y%U=-PB?+1_Jhq-vt4|>@F{b;fi%4fvwzKR>StJCF^bq)UI&`cq ziqW4_BJm|mg?d|znc7OA&!Nf{{X*1Ug)$O2Z(^Rgb-Zk$5~M}HlNzMwFcLGK&g;Dk z&Uyftg2R5u0Q9Rk_qRjOjA;L@Dh*zkhUj21>>Ra9#dB#;U*mvv?hwCC@G0+g)%I0M z3+^gyS>Y_u)Eu|FBm!H_`>08sidm=}Fz8TgZv`@=LIPc#=o6C;5o;DiF!*nNg`(wn z>994-cDP%jxIdzio&e#itfb+A!^2Nvq7NdR1vT)}_kQMZs0M~MS`g$L7bZ1w6$TQx z2gAft^1wmPJIIaetA25yqxg;wLTG25u`_}5rF2RdwTMgxUc79O-Svw2&c&90H%+)` zT2xL(D%ymgT*ZWNQ7jcxn2m5$RJly}I!1VktdO0THc(f0w?QB|6xT?$x`VP0`YYPB zm#-HYM0|c!;_JwW2eGsYHt}UMf84>cRnrs@0Z3f94uhmM67h<%9;QXim|ukjr+l-s)&fl?w$E0U};@sje?Ba z)L}4UA&5w=CSj@aXIqvsKUEPP5xW*Mf&xei*e}X*hjUmhN3t6e_L&8*B7*Khg7CWo~~y5mdIr%sGj+z*WGi zPs$mmt)SfC5}C15OeOzDSVE!mYTs;QrUi5sGE3_f8KA6!-1i*W*az`b96tSHBM5YF z19yKceVwxcYjj0|ay%G^mML^GA}MAZ07g)b@;N4F<7W1^?;^FJOjCJSvbpK$_CS4=D-n3eSMg zEEU)P+t&P{&A;pl3*FzTh(CsX{$peFVK)B*QWzQkE{XkHWAmF-#Oypz(ebajn|{#j zGoYfggtmaYhoftZkgMDZ7qOoU&NF8)zS)wsp&otk`SY=DNvDIb!PDOMg+Gjf*Mt&G z<9%N=*YXsLP?L{!jYsP4e&F}_oB&J@1hn9cQ|rAP(#N!&l>~VW!mlw2ZY5C}ScI*F z5oEOF5vj1{QTQ=h62`2hBGr+5dR0xtFi!Q6(o{KaVJ$LG{J5)8lavHl_XEV!bTO9* zk1jH6mPF$&J2Ch%)374W00J-pb7a;@8480PJ9d0`h~f0# zf&+VEf!i7AFPL(LEG9aK)3p7#tZ0;z=RowJ9^t|Uv2!@wIcXO^m=GNIgV#Q>T@bh` z_L7+Qt|&yn)(`$*H zMHnm+Gr1O4@TZq9dVVdReD0n0y<#6B^3wXRs5qoDYWTZ)m!p1SOq`FZ4k`ADyZhAe zyS$)=ZEDU24JLPs?DeyqL$WBsO@Y4UWouPsLn^IM?4aB*Z)w-`lhUx@E--u=wV}GU z={+9FfhvojL?3(7ZO9dDr+Y%2k_6NhV5r{?s)>w8cMa8DQyMTdfR6x-mJK~;)$+$+ z-vq&3i`B#cW*$B78W$M_UW}gMmK`|e?c+7BnZMWdxl3QZbRuY^-mGu*b0(sQK%WJS zjY?>X(hs$E8b5siA58kn`@Ip8E0Xbh54OnHv^CsX@YG~X%3If;ks|x-S)9yct%ugwIqm(3Vwhh9*X;i$XXP|s=3^AS7}-9lASvvC z|7Zc;P(&r~hLPZueGy6@K_J5`+%M)IrJizvt0aXN)1b*`@9%C$=%Qbx6y@aSDm;*M zLg$pgk)2halv*uRKESx{Sjf-oFMc)f;=9y@OVJt?X-^abL2H|Os|Q?Nlt2dWqd0x8 z`g%UpM;)`0KBy_(RV)5oes0C6@F;17FcC*;z+6JRraTj`cFisRX3Gxl~!_-}BxVXH)vMzY$9okD4Swm=anp5YR zd$-v+e9XXp2V%QG_|5zmmOqn0G}MfL*Z=>RW&Dq=-Cy;8n!g)@|7H1usKkXbjCsDV4=Bh&~AEW*srZ1v1`D^RFPe4_*9 z1z!?4oMv4L1@Iu+xOC)Ltsj0Rsh)%>9nq>dKjN{7cz3E@&z-k0o8885HO|m*IfCZR z|AvIhziWcI42%C`{knpPXhd?~Rm5S1aR_4?ErnYFpQ#a3nWiYR!5=C_6lC?1uzoBP4NYI{JR2)M*+hMzv2 zTGX&JwNWvD5m0Hg;BTilf)AtH{K$Zru-QhQB-7()_Eq(L15|UW2;rZ561iP&_KS zC@?Xu#}3Z@;cvHpXRKQur4;i>8DzIo4t%IYOvY+(wC7rGXR8pFUINxkH*bl*U!H7p zYS!+Kgq83_dw7d(Vlj{Urk?qI`N`a^{_%}$b)=ZUIz8aB^vgUl*Bo+q$>jVH9^&e@ z1jF@HIII)4T6$%3Q(u#iTFjC56(mf7>Aq7Re9fV7@Jv%))n0Pb1hV`bmTnjyMoBcm zZR*)Ei%HqO0#|$n7g6mDQYF zQcFY>yJo=Y*6Q4qT+{6$*Lk*|B!;sEpM=Aqbm$}O*{Rm*VzN5U+?TNf1fHXD9Old1pZ!P*q#8+N z_1t`8%c_`$hom|YdG5eDMNKO-56PtTH{*RnOg(!g*D#`=vJSpVCrhDvVYWr=jEzMM zI1*492-#oVb*4~Fr3(K{4-k7fVC^gwCRDlp?pWCfkFsIM*lc3uYM-?7c7V!zkK!2E zmeTx=2-icX9+L3jDfzPO61*0PVeE3=D*rC6 zR|B$w|0Rksp7~AEs%@;sE}wP@e$8|vu$bv$V(t}s9|)}FTjB@*kk;9Md(Br?P^F8` zCOypI3)QjxyNW70fq%~^t@^wfTX*jqxpIFk9io4lj$5OBlI2i|x};Y{rRlwqK>3!d$ND<5I&dO zavG`#FX_~8>hojB=P_H4ohd=!Lmdn(Kg(5 zsWbS9gWV1wizGJv8$JIs6~j#ZH?#GReFp#3q5c{Z_a8l{`L7Wo{|+%QQ2!98U+qdXN2->hxC(YlNa^f!9=s*ajxDYGGlnA#!( z%Ba1Iok30p$26(pS^&BFjMb|xV{q1>gH@3onmB~7uv~3yE28YKQVCsh2a$RwQynZ0 zEv6;zEuLrXJ1&wmG$9b%EwiFeBqdHER(VwwY0fs%dt+D;%bGClcSvWXqMv5)#z&d2 z5!9N^6g#1i9Fc%`7pEV1%yfRovz1EoO|DWl-H*U%r}9R-Hv_g0K8+pB>MFL!tnX_i z6P8B+j+P9I;{UqT|Gc$d_ecA8&hS55DgN=L{#AabqyEUz|4GjOR|z%->fgk?qp=d^ z-CsZZ5sT)Sl1}O&%Qp(5#5E}DsT_5Q;S>h7TrimK0_pYxIX$6TdavFn7|TZ|0(wB} z?!k_}X5M$zHDXGgLKI&1w)fx>p^I8oFld4m-I`5X2X-TyL&1Qgm z2^Ci0g{e?pQ~x&1DFsznUfuLLs+zZ5hh2Ie-c50mn=l!M2-*LrBs?vPWk&JwvOFQi-Ro3k(^J;)D-1n z<feL|C-uW+rNdEa^XuO$0fFsB?vmor zoL&LDVS-47{h?I^l+OyfSzF>Pi3Ull#EZ8L9M)_?2UL$ut4tkCPx{i=pRVDfzM$c6 zYrLKeYrKcK)*d459FM!-_PR1?oX&f^+|GYi$90$BY~7mAc-=X6*wb?zcsm|%dw){k zdPULjxXWmdZD;4PejXlQ(m48B`C}xUeyBIR z>QuuGOJj9@KQ7)cPx(O&<4!GfytwQ(hBce~0cXB$OKp8Spnk0W6Uci;E0lkCN(yx$V`L zddW0~!|ls#<1O#o^V_5^uPld^A^W zOnP3Ja9r2~U#?VlaK>U@cP-l66K^CQZOT6QYj^>J&)qb8`x&Vz$#<*P=k2cAH)gx| z(HXjfddZwC>)oeOjZ^D5ChtcvJkMV}E=5S)XwOGhxZIU-#g7 zI$(-;+7zp`dS@(81q+TGw8@PINQ(1FTNtbo=HWyYIt9x6oP z`?HJdlB5j!SFX-D=k2)(YttUCi!QDj=DYThW`>HM-fY5FaB?xx>V(N?T6QWi){(U5 z3A4d;NT_VWYEGD&tdu74!%Ee>2gU7r36kyYnw-~kjC-%;NRBV@X8y5b14wkkPW&Qz zep8+!^ZwPP%cBfy&*KY1g=4#Hu0LL=jwd%{Z*cA~x!PNH$C(z_&jUtI>VF(p#J`{7 za5^!$F`ldBy`CiAea~QdxLiU}aCZ@ZzRlPjWWCl%Gk7e%K78x9DO^vDdfZWCFMWCK zXB0`De!CILu7ES&i&ts9O%p9+U0H4$_IOuvee))|?8lzV@Z0Wp>B2D*b#G6ZsC~)c zbjkCWihIp(e~LK%szbrJ`_<)@?iBKUhq#}m9$s(n_Eq=voDwv(IWAfHs0r2&L6xgk*g}<6I)yIAM!i+q|Vb z<;$%}z3gxG&xIRT!+o2}9yZMBE_}C}B;{PMr-!RJlJ9R1gNq#3R0*se*u&>skw{CY zd*vQSA;(&)osJFVo2_IP7zCl2U3>TeYf(V z{QXe%H~_BZsO@1_aeu45VZo{7HDKMN8ALDAVLIvkYSJa|)!pM6o@=Z3yzTvMWX9tu z9>M7pquE?$ptfx;WoLg{cQ!pkJ>A8lW%a4TxKBgfjPiT*`Ug$ym1R_>xMRBY-6&`q z{kGkGTI}%oygtzVO7W>z<4*Dcimm5*_IBKYydVD|1>5#H>t?WdYur)SJ+?8@&b>Y4 zO}p~(gyG%_&a&^xUY_&fWXWRSW!Qy+;2M+4=z3Vg75=Q+(IM5z1)aOPjl{y^b+E9Y z|N8Z|G2bmQHbL203}Jcxk*kdZh%4H&{q@lbi0kEm;_+?2{r&Miqvvc&`o(cl!{c$@ z;DeI{)vn&|VJEg{t^YPsU+wjr)1c~oClU0lw07XbE_>kf zw;q?TaB@CU%>_};uA#TLJY&Xr^)^oDo^VHe5|H+8`Z~Gyl4$#ScLn+s@A8~NC)Tzb z-eulz*X(=Ojl7SOe?0NYcc1Y3;C>qW^weUQz3?7sbx==SLr?CrI;ej`Ie z(%;$rK5>qLgCW;m@+~DJ?$-6~DZhLyFZxW5n9D6>X72-0wwp2c|pncg%bYj}C3(%Ce1t*MN}bz?ZG>W|VC5ZU)) z)n_RbQ;xOZN>1^i%Xucd-TbBlZ;atMxG*=a#Luk$G%f6d!*D3t_vB0VlDJ_uL0P&o z+biw!2&gfTM9Y{kPM9i?qY2h3Py5(@-mj|GbW;M;nK;_?I>r61;IeczVYhuVFmt?X z5Aj$!I2KoRoe4}S&DzWVIv9%>d zw=GaP>6O4yL@97STeE^*ttP~j*pEJM=%^U1CJfotJ7u^I#@KT_+g(fF$&*jaB`efg zHz#kCD%e|T-1h2wly48m_3l1}VY}Qex1Syi)t-{mFk!z`(7vF%BS+ae!VEYfiFEtpTR6g6=IOB zc!pAM?8E=;%dV>=Qn@OSy zCt%h>YuBCmHD1(yG}6k4%)f!su~*&u`4ueQd>?Zyq+hu-A-;C;8^>^>dQwwdwlM{Y zpu7rY_)q1lRFChQ!{6H#6ON)DXG+T8fD|HZEwQ9uo|P?9RokBwUsl%V6;+$%`mffX zLmKR@eU1Yk6SP}mjsq*(uqPT}4ZCjhf6N!@5%$!n&@Qy=BZ@*(EnMe<1vOxPrWwC` z^p9d5c{z;f7OrcwPkf{^WpN7CNDteckkwSMKyjpG16+2d#gXq;aEfBNPzt*|NC z`r_Yw`8Bl|uzpmKC8@4teE9A&oQ9{$tP}on;PIlR`xfN|?Jrej?7JdnvepuWiy(>m0yP$9{E7+^3idi(8*$pShp_3Z?# zWQ4{qf)eQ`ES`Q?`c7J*T<$-zTa`!4SMM%5az8hkAs0lSjT^F66c<9b4YZ)?w4Hn= zyD@G!AI>Tb7gg%yzvNI#vR8&w)q4*c;9*Eb@KlGnx2fg$7)DBJE`!D97zVZs0E3#0 z4LK2nD7j+{LHp|!ddUn&Ug0uQjZ&IygEPcTr9ns14y%h|p2SkidbXgSJZl#i2cAk@ zl_`I3p&eZC6Pc6IRU#ALx)8VB@=@j4C zm53Ag@e|xwZb(~V$0Z*^>7i1H0&+XT`6+`SF9ykYl)3o{LoGQWir4%gunIMKWI9KO z?PWUmpfdH4$i+z$$-Nf~CoqA{!_g#>LiAOBjOyM`)6mxCo|GkSLl<^z-3RPZanZ*F zBg?|{(Q}skyxjC933Y(3P=+elbFQsBcgfBpst!5gYY``$Jjt^NPZFRfLY_=y=F?t+ zm~jO(A0rT@PCc8wYO^@UJpiGZhmzTBwba#c<#YuOzcp`(BB9XUs38$I`gN1#@fe|H zy(k=4OjJ5oT4a{o-Hp4U={A`agj}8}IO#Ir`7Y|MS_=;4E)+*n!bVi$q8J}T^f7^@ zU<-e`*jVzR|`lbdbKDIm$%*-_S+#hp6)hC3e9Q=emp94?_uIy7-2(T*Ih^8 z3netMV$}1+wP3{T;KOl@S5bHc`gBG5)odUo$>SH67f{)x_|6O~<+~FSn{<{(J@ms` z%X^U~$v&k)z|LBto?X}oIPJxUoEk~g?H8J~3X_>l(@-&#U}uue#T`W|Fo@aQO(6$X zreITQJ^J>#YOU0VM}8s(Arv{)oi;sGp-YGjP9SnNZf&%O=Jg;ELB{4%qes}BiVVd( za{PX_2$RK|ZBzkGK?|y@tH%fy!mLhOQ=^(nOg_?D98S3cAwJSZ+RPzx9xfF}4#2m~ zoz_^ZEurH9R2>WJPXRv8TJS%1j!>(T&#vP*p2K)Um?b6HGph9A=VAa|%NAkNi;?Gv zhZ4Q9XCWF{(!XJ8)hUELx3Q3xk5iEftJgMsJgfE_1ER;k20ea*3EZL|7yjg`HGBRf zJaoKGnFn`Cn|4#%40Li_!$f;n9KcxrxW!^{(TsjJYu(M(JyvX!t)t*(UXQd;x#ldb z#km+m)#V&glK1SY*JJ{FJJ+nHjlaEiC(WG?TjaC=pF8hrACR!zs(u#tZlP>eoU|veTJfTx&y~ zEXh2^ix+s{RHG4cIvHM^W}}KlCH}J^0mIZp(5JL$)pkY-$cbU$zE^7Yb4qEv{8?9y zHA>zQ8C-EXJ7#?ssy%O0c1Z)qP2&oA zWwHgMu_IN)MPZ2M_yV<2@FI5vmWfb0@n1j0^L|!p<#WpRgbL^75P?2QUV|et(3RpqHpiN9#ayt~FLjSo-y2^(5AGgjT zvZZXMsq0tc_0vPUC)jfZ6Dn4edU+pV8}@V~Fw39l3RgW|HerDS@NTx}L%Q<)C@UX% zjrK)UzqBfwTp$cuWQX?_=pb;)%g7cx51c_%6pO&62Z?&cU^zjqj3A7COZ<>}5w+6g z^T~xs#(koxBBH8ipq05#>(Il+3v6+}=jh4#8es^6tc_s`scGV;Tz~W3RBC>cfl?B{se$cN{4tjzAEk2dbCu&6~S8?f?a@Fowu+g&jfvddd%91c_?NLyZFu zW-rXyS^Feokkdm2{knNIg}My+L1dXx09w({_KjU?wpsNs=WC&2W=dy4<2feey0d5q z)B@9mp4*U(k+iF4s_fMgYmU1-&zyvZNOc+@sbudg%0Xd4)9_67`DB^LKB4tdvC$h; zvBgL=5=(cOhw=)jp5XtO{;)cAezTaLi-K#M+)0+D3;d}%qI`!qR=|GvL*xFTI$D}| za|OXcTW(dfr!|NR?E{wO-X)8t1V%hovGhH^Bonz$=pZq4UzR@IObF|^4S;ou=H604 zagk0`aNaY;qzi&ijez3pdC&U`80P-Y42!ef7$t3p!8*MPE2K&_jw=(Xh$N8>bbe}< zD}7Ed)0izivV4^`fkI=D@8-eK-b*C;4?(38aiy4R$)Y0+YdhEvK{9^qM4RroU{SIw z3SNXeAq~*zXnX^6x7JWfk}06hRm^LPo+#dRU5EV+_%syYh(;Vx+VN#}R?irdOt9CB z9nd`eljzsfGbg+Cd)NaJ0*12GZ)%d^>1q%^)qr>Us%ZUOSAF*qmm8-|y&!oMh-^Yw z?7b>g?V|btWoE}#_`0lp)JB~wy9twRu*kXJ${u|>5%^AU-Gg2%l zZv%=JY9k#Q-x`{r;KM<0Kh#DgqEz0Mq_xBvI<0)=pd!63N!tiP4bMV4yTO9Ubn&gA z6;(Qd^dOZ*3nO8hB%52pwU+&rezjFhv348hZc9Rqx1AhbTA9Nntj?F-cN+NewXkR>srB ztCpTOX4w@YmF+{1}bDgi@2Vc87iuB3FbkUB#gj%8;rWV^)c!~G^M zuN_D`XRiy~;i}i|cOz*AxLbu_$cLybXqN`AY~eYpn>p(Fr6SuA{Tv%9q$5lVEApcV z2Utj6-bg&s$lkQ2W>Z>P^A|u#GH|JFI8VtQ5IivWuu^0}9~&TS9F6lnoPaIg-luP& zZ2&(M0A^Lo=de>VL4AedB=`aX1q;j>3e0QSKvIuB9H9Ug!B}_~axt*GLU*fj*`+b} zK1~5a4#3AZmLV}s)_hKjG+?|CGAoERJX5H=uE6qLYFo~iw+{yN==kFopkjJJ&lH+1 zI?@T5CX~bF>nyvRy*`jpgvn#=B=&KmH(q@yyTV4M@?7hRW?|5~Adwc#m5kv4-G+Yn z2gpM@$#h=YxT84U*PNw^no>`UWh^MGeFimEa`KTT(L9*+{{vHZYX>fr)PJP?336Mh zNy-(}O2;wxp=8w&B^|LYnm+)8pZxrBhTFY1pY1A_Y7kW_+`8xBWDgHjXgSRr zrU6}xQ27Y4dWKLg{(v<)nA#~H zJGs1>$^9qhxfZZ-ppZhJy4pv0^+e-`|A}gBP&)@|;Tz5R)XHSdN@dN=?m-sj`N7sx zMZIGX9*-1Fqh@V0s7{nj(}*kWnor&S<17KhB;J0(BcHXpM?5yOWGddLkb_z4Ri>-u zBAv>k1%52Dpi-LvoQ_=gOqG=dRzp=Vwk!CCBz@*}&8GcXw{?u!IiB^8Q_6~%r5s}H z7CLA(;}w-79jSW#5jZG5eJ;+pvLtM!4m$NKEC?~yAhZ%Dv>G7Hq6vyco-D3?S%QM| z4kol-4t7zI9xW-*|Di2mdcn_7-Ze_{Q=!{U16D|g)E{1nl8sq(TtQX=@5j0q>>JpbdHd2 z5H`96Mt8Tgg6RAA@cI5;uk&L2XAfu3bKUoK-S@f9S#{L5hA%v^w{EV(BkBj#MG}#r z{(Lkqa<$m?%&^xq(4^aac^D+J*bU90sm0&5( z%SMl{MlHxJ-3a2^eC85CIKYVl*qw)dL5iM(bH3Gyo71uVj=#QW>D_0*Y-D_^0VI0RpZ zwUM-~`)+3(I-0BRMoTFJ!*3+_U}dtZ!yH)Ex}0GNWn4;nhq?v+=F6v@Bm`N0l?Fik zPqVT#J$U@d>UQO^a8n=d=D@8?JNtxnJGcwAAzyd{#DXBD_E2 zw4*lF^Se?+ia|?H6S&lS&}uNl97dXmEZ<|SVklKNJ- zZr-(`FQL^h?50c}s<&5_Na;;VA6SP%v{WTHU8ZC-*+2p7>(p>Q<#ZW=(L!%)8^j4!`rmt5$tQu;L(e|=TX`%_fr*#Nw-KxPqLZ4d0BO?1 zK00rsJef1Tfe!+j!ct=dJVj~+yR+Ykhi+0dXQZp0qjr-$Zv-kD774dTe3Zkp?+Mxj zoN5~Qx7m(}oa3Nkf`{Gh%zyoP@bmHK{h=y>@Xmlw3;d+XBGQZ7e0jF|p1+e($LzB3 z_040#MkwLCxb)d*ZTD;^0+&n~O@?0T^pe@~0OIqzl>%E$fwnHTyMI*9zBs1yFc%!1 zs&Wwy-}UA;sOd&q*PvpO8y1%IRSAd376B|)1kRB=ISSlWY78nLx^Jm}Ev_XgsYb^6 z1%5TWFhA8M&@*3sWBbbM;(6ly(D1&Slc)b)+e|!{iy5D8J8fa0#$+qC|804b(^|MV zsQ7VEl6u;O&kZfL8VA`+f5iQC71Dl3%KXvh1I=4ywWDF_^*RlAZsB6^%VCGv&D!gA zC?+%8)VTujRb@C`c`s(iPvW~IHtd0yX70$35>GCPr9tw`3;YnFg>U-tv6+DcE?d$g zB?|MU-9gI>R-Y9>^BYal=Ex0c_gAuk1oWxf9K7WG{bE4s`D^4t393N$|7c=&dqqM@ zF6lMHoMz=Ox*amhGr=#y(Hmtv#he7@Zux1XL~pdlv8=D1;Qv>0J!rHpnQL;`9PWM*@9nhwhYjT{)b7e);aHUg zBR*FeA|DxDEqS{7GvMmPPv{eN{>~SN*)akOVjiVeAtvwIN-|6+suvUYR4VM6)yS3} zA554<3tp&Ac7dltP8ZNIm)~1KMgM3^;v4DPn=s0-&gm%|p)vPSp-s26^GpV{G@fOv z0C52}t}sf)>DUEs9Ajc<;nbaS*t>U}FSbJFhT!6|R*Hs{KaTE_4&^U#FV@)o5&2Ja zWLJ$iHhgY-(vKzVpZvH=P^2fAKp*8M&xK;D^nNR`tz{k*%OJ$2(zeV8?htq#YFu7E z@!B@{t_<#xiHunM=G1W&3v9xt=EKz0*2KSdU;YN)1G6?lryz=|7>fnW<~~DkRbh3h zQb)7S>G4@t)m&Fq!_d4!qZe=2x{F;;)f`iBRY5hv@xsMvrzMS8Y04PY`%ZC4gX3n%>H>;b zRa~I9_a;tkeNMiQxCa{hkPs&=k9)9HLODqPt6aGB8EUQdH(aPEt!pT&cii#1yP~}= zN9A1X?t066_;dQLrxaL5eDkg0H1|X2b+vk^_n9~4{Bwk|?Y#*1m@{RH;=KJ9&pcTv-bl{Vh9v5Ln4U30YXhkBp+C2>k{VUP+<-Gqn+M#}2Xo+{Q?Z z&z(G3`*x-3J z_9OELF*iM%=s;_TjoOQ)7+D`}_XNgBDyh}V=;-Fkd&!F*Ok^lyYn#_eYOloGbAx`! zFw=2kt1JB&tnR}=tp(B5?qxQH#AC_rbp0U#Po9weu_XO7MW{|RU@2)PLrL3gq^d`Y ztyZqb9g61L)k&P>xUx=h#oNQj5!I}1+9Y9hF+G-Ph|t&)upxuVis!QY6e(D>$ZX$5 z@f*l;by-?}y;quXf?jQKaz4Ivo56wwM!`))zjRnZASG)(tbIL0Y~AK3=G1Lh5YpS+ z#9Y(l%DvZiM5ZK<>io5oyUEzr+m9Sz6fI-=(&!DkMABrODWh?TSFElhu~rfn%G{2; zYP>zvzw=4ChoXo59(KQeq$FhB)*`kJd#!-|fsAI=*;|DDuIZ$mM-eCTgbto;4K;#` zJYE4HF$sP#DKi)&{}oB>PR6pla0{Q;qi(>nL6DW!Ggh=`M7rBXd@<3Wwi=0BfZ#m- zKS1hlSOliSK^<*wK3+M&J^jhh7?O`ecVa{C_v%6$aRiGapjwRl zld-vYjZd4?Qif);&vNy(VxvE$oiGjxj1b7orP6g6p*fj*9a8B+%6ObuQ$sMSLEPjs z3k^~?stx;8<$khOyGD$9nv=|^vQiYgRB2sQeDG?eggbGiq8Pc_ICoazPq2MnSx20XUR()JURi@0rJ=MjREy^M|s ztNvx_zc+pH1MwQ|R9-z8&i7|CMs3q^>qO(yXe#DjkG-eyQ_xzIiMX6}v(FCKTk@YF zHGzXtc0GejN`da9DiW>2K?}B!w=I+Quui@SESACl*cs*cLj_e{jAD;N>qSw}`j&lp zqL@` zIbVZkFyc9&c)Iy)jdT>>wjzM|9YmZ7GqbTks;J$5dXOVm!_O!D6LOQ zyVXVctC^wXWi(V(G9LHaexx3H$H2=Cz0BzI@eT4-ZDs6JU3U?BATkCV71fIwv4urC!tD@{`JRvD0tVenbt zK4Y59)?)~+t60xO&bF%>{fCmA5mebzm~Yy zE9mU}`LWC4=%73L3{f(YRGuQR;aay?T!{otO(Faq6oq`%Q}MJl3DC{qHYWK)Ox-xM zB^GJpDdpn1yi{rsXecE1KmG_P9!nB&cCY0>DRE_W#Zhz>c5sK+cY>3V%vpYKc4 z>1Q3WAjkKtrKQ_4v-*Y#)1+rPF(lE&Nc47q$np~(;f;8Q0oFi~!fG4)W7V&J)~YhK z;n1Hxt|fWrsT%+FR52-y_9HPzIpas7UoJ7U9|0yw@&4sTyvxda2;|GXxcD9@#AJ?i z;;Ad^AZL8~x?1btf>JZY%VOOlC0fc*SH|PSJ2b`zekx&cvJ@66kl=c`HRLw|aMMg|>T1m8^ zy5M?0R@PQb!UI+>O}UX>^5qI3F3F-VQcSvUSo?}BQP$}1n4iZV&JRHTUxfA|qWlX7 zu{b{1!-$}i(f_xz5mtS3;Xx* zQ+dg`%Uk;Y-bh0o`IM-dBv_RWPCCZN{)ApdI37RlJ1j6xb30UsAmGz2&*tOQ{5?Aj z4x0^|-U^boc&OF0*YRv!rM!IpGStmw?OUKodv)ob5HTH3>h>>pJ2qtnt$N<2k4o`ol}8G#QqHp_IFor z2{Nz8))kqC)@+|pvLSQIAAvSy{%*u1EkadJF2oFbd`A0qe}5QEkNV*Gg)j5f@B;8_ zxY~q*gBkbPNhE_ejBKR#kKOca{bPUTj=!*N78bGtk!qSx4M6a<<_XR?eV`4XM*_Mi z-X}XoHlz*bi%6k5Z9^;Daq?+0?nMkd!=_;Qz&^l20#zG)CNHhh14|95n!`=o@=G6O zr9J+;zSXV|XBLwGwZtzSWOT$9%vjqdHDvc8qo_|WScJVRJQHvJTeEwFqtRbTU^!`$ zag>K+JW-)krwPkU-X@}?&^eQ#N_BX2Fn!1s-vjWj#~6Mk6~pWK)p1{2I;+t;>xr~a z8tYZ4@Gm0Ggx-lTxY%kn=jmXGN$J7%3vh*hdmn;_hwIznUw+=0-2&lhbMWsidV1AB z8Ay1N-b+0Ms^nm2cLgDY2Bpx8);QhOlrDYF`Sp0BlT?AFXNx$#Gv1s!gIiU!yNWj3 zj_xq#!`qV#ZALg0-w^+ZzXua?E_s|faNbpyvf0qFHF4m=s6e=Yovs$n?Ch`~1d~H7 zl?;^pep|wBq_k+8ajJAKSj(TH;(EBWF3>mO7w=9*m8#M~g+1^JN{E9QLm6AGKY!XYXk`eioyA?T_$Xx=0h-Sc*!gJZwB=C^NQy(2LfZ03{)bUWH#CE!aBk+64o!KbBkni8c=ML zf-uYLtu^3EjGop1^-T{XOd4Qf|NI6^Q<4<5QIns0LbV*$;&h;by}^~H;8LqQ00tElaWM;p{z(3 zt#$qz&a5XcjPzRN)AaA7e}ykR-P~+6qv&S13}jSIL*1F*q@#yYq?%dvpq|E&#V^|t zpQ85vT4Gp|KP{k9=DyC(xyGiSPYWtzKxUePKsrD{&ObbPDfAIe`mk-DbRx|1tV_Ax znm(~%I% zl!wzTA}OJmWbG+On2VLNe9^869Ws-1g2Vz%vH2Nja^=v_#}o4mnH=nS*z}Il@}a>W z2e{JuWxya!RqZC;8wd|%G`Z<-zg26V-5^Fi)XAMg8VG$-1ye6vaiRtE5}BqjA9fZkD(H$8PcI(~NGT@ua9h(LvW#AgWI=TdPv9;H#s_&ytEntfU{dX>9Fr zfAh>S7}$!EJmpDh|9p~i)|!V+>+)$8eyt_ed40NmHWQeT@$y?DX7azGw$R-|P@#ba zJTL`fQg5T5*0g}b4J4TJa2+oSLhMES(rA7g>L4@OJ%cR#BWP7!AKmW;2cCBS9>`o~ zK>&~8XFLW40^Z-EYF+`934EtBr{CGft0L}MV)HNbdZhh*8MJ+Lp%foA*jI~Qz)2GF zik?69*P!ijP;htN+R}Y`W*_ikP>a~gY$JL#T$q=g>^oSJbjU1~Sx=AuJFVoWlho1^ zTZ~O?0eUqv(u^oY;QexvTx{IbON#@Osez9C%WiFKZ%DTWaqOg2ag|+!YIpGc49~a?=A8cpvwG(ujV*2OFJxt3#9Rn6Fo}tD-T5P ztqUcpgg5Pfbfz*stm&0Uw)6w_n*>yN&cpu?15glvnWU)v@1j@8A~*h?K%Si=`5ys_ zZbkTy*qY2_+J#R%v#H;Ft}b!wfMhKf34|`CdDamqxR5jSjY>PVZbd~wqWBp-n=km5mxuUA0q%``Zp(XtA!dD9K4`od=HP;y?Wv>$Kg?vc%wZ5~o2emK5%H*Z_08 z7M(h7$rEmqE0pOlxyRq8LbBFkwI(`}xHAOwfk2v+=gvQS-ks@gLDJ;Q)7C>pw6;$> z9K;n~5Byk}uwI+Hfip6eng;FGk56!LHZKtJye2U&qdWT}Y@3&Hz_{xyDy8HXJ9?u( zEB_-wB&{0}SPIVhQrb?`-ihFe4fSvU=uObJf&%g_4g*`gP3B5Ml0X7Jxbo9RW`g=l zGH%U3HDWM^?GbQG11qWH3nJD9L&Pxk(QP{Rw8$ z~5`O$92?6M=&Ku`I`A$kA1O*q@c_}BW{E7k4HXPfjROsixx!9sUee_>35s@4ts z;r>canNQLv$I)Shzwl*rXE7ILBaB{O<1|RmP5~7CFT$CFA+&|PUA2Tr)+@xWdlf+2 zwpV|ZE9fsN&yBmivab9=h2m-Q4O#0TrJGD7;ILwi{}~nK*bvEKvD$rUODdcAyMn$D z^#r2z83CJ6>-- zGsRa)KUAO~vHF_XC>e9ZFcX+=_T$XBL#{mm(NEWFxDGoUbjZK-yhxh%1-O=`BrYTC zi$vyN7)bvQCb!)a@}eNT5(O#@wygL*Q-uQc#CkxZwpWq>gw>aAFBQMCg=WVM&|vY+ zkaq(BwKO4cACO%c1p_U|mI3fzpA}n%u3MYF=_A+V{kA%7J9j7E{R%O*+9%k4Gqr~) z9;W%iK03M8%|QJHmO)DTzK{&rDyfx^BP@A^EEO6l8%79ZMe9Yrz4<>#cMA@Y0g$$P z4u8K!VyG;T>G@?hbiZri&xVMi$BRV(D^VuID7$hmmk7T=AKoENn<%S80f^VFj&t3{ zye%)HmLtx(ttdKd{H5ymGrWIZPy)mIbP|lX`#0!&kw;U`VbcO zzLVid`UYt{wBX?`;#F(R#r1a>Gz%BJT1{}q)+x`{{dmo_DFhi%Y9KD;_JY610-7NT zdbw?6V8HhWFWS3cP9&DzE;xTdGxW z_AJBrkWbChaa-~@Qb_6VqwyBvi2*}Ki$IYM#Y62S;&AV{?K}JaLNknN$$Kmcu{*Jk zodWs~slK{D_rs100xzEv@w}8BOPChxKi||6h&~OF9ZsHHHj}&*n}1wLMICUdzOUi_ zW#^iy*FF9EO3QqoC)HskOR_b~VLkDigc0E@eUmq*7I1!bw{^u9g>XTJD3wn;=`0Qs zT$hb97Bz<(5(>qe4ci?Rnqf(w6B<1gc1wgCe!83Xlz+pfNd#1u#$bG>Cv3|pz>~k7 zSt`rv4&$8qjD`yf$Lm=u)AIaYvu$O`KWdZK-9Tu@laF4x-JO{vthd@seFG!?R;i() zk^Z-dxxR=}W%$+A;cr;0tOU0c3S93pa)n?_j%m-^w2y=_>8KuzVozlPEGqCxv1hFc zR$eRFJwo$$tiC<#ptnlx7nl7Z;c9yvKIla<8&X=3g0 z;+RC>j5Ab5HVqA0k>(oCH{>SBAHvr+qof_fFnmB@6T0yA_47{O!OLtvI@ctrj|xJr zQy=Xc!%22KL(j>p3`=FIGfihyZO0pucPwVz#v6|Axmg6g%DgBSwi()jN7+5)+vicE zlU1bW4s=LIIHzFWS*Uq@d5*Z*xYP7$2BMI5evAo^zYvj`QriG-lieMGeXE~37BVpw z-b0A0wA|U;#nesl*}n$|VU)qgw0JG1#CDrsY!fFe`TFexrGa0HyCQ@-D8Y17iB~h~F77v%Hl9QL4I)(w^o7Yh;IXDIMsK*zcH7NLtE)eAmidFeXVCIh$2Un0 zLLF5;;T;?uB5&qs^ULP4BFhs1R!d_wKgXz1$OkeLgU57SMDn&Dg6iKkZlfB7ch8K1 z9*PHHUA4DYej{Ig5`y@H^|TDZgm1`gY3bZ^0w1IZJo8}D`_7o7*hUy$M3?OTY;A)bw%a7I6GCGoi}Bu-ZCRAh1Z+7 ztt}VeuU+_(o3B9ZlcA66@)Cpa1HgGa6?PJZWudlFd8(26*Y9_((T~c2UP+U>Ts$K! zxKliep&OvrYgaK_*jtGEcYCH+Mk5J%sqt zpgimS$o{O;-L}hed=HaG&0kHj{`-)$^4nm0f;xiKYJfIB7I=VunJ;FE1#^R?8rSo8 zvh}{t#}Rm!HJbqwy?onR+$x>6v5$Fo1&*qX{8#T>acw&K*X0*sV=~=4@_EzILzkx` zcvz+bflm5v$r&Bz3v|#0+7uYhwl43qUQbJjs-pwUNXVr>z?@rzmk5jI3|wKB3blFb zGsOxQXobTbg6;I7l~@O@uX{>Tlz*T~d)YQl>v~Fi#cYHQ87wcU_n~rg&cyK-O2?dT zDAR25Coc`Fl_(9MEqmFWPU}AZ&FXJ^PnLMsG7}#-;sbb*__`+}Md=8o+=EW|!QtEL zzXT!8K9$?)``}kOG!zA&FX=Y;4YMM}79uaZ;f;Ar+u$$CMb{A2(##Z?$XG9Hw=u;; zI#&B@f$rB0MAc{Z`e9A3lV;%*m>+CtV^x{HP=01%7=*Kw#p#$aFcD?DdyuHli~G!n zdJelEbGlmy#ri!&uLCB*c|^%$x}%p`WNy?ShwGCRTJ|VMLm%_x-PKIQBwJ-fZlYCE z`U;LPFh;6*Z|)>zGbHMSAGyZ!O7nReZG&fi8ro=5BEZ*w<#FH}jxT*r<6IA5tS_KY zgy*wjDJoo6^m?@qe6%7U`no?q62uimJ9zy>6>+f<&gcRiM?tOu%e!t>S&^l>7Q*K8TZ!PRcCJX*C`})zTj=PMbt2&Gh@uiCwyfE-_JF`qtEOO{g|TP# z!+Njm^}&h(*BE$_E2 z#re{+NmtxSdq!`m=WiBO>KB^|YS9G;BX{Q0S5{DP&bl!J4V4iBEXF}^-rmP?Cc+qv zobq^Dhpwt!fO#8BW$4`Rc!v!tSZyD-9*O?jWbDxRO`MW6525IeBE5)Hy@(r@>@e}9 zcY0lxggAkLD6F8m_D~u>;0EfFw+ipBAxgj*u%b}0Q0!a$7flZt8`>%CpvLyuR8H%U zaS~Y50&VAfZD(u1lRq6E^f>7N_nL<~lQc)$x$yo5(;xdQ+L!B^s(e_uXyxNhvD{pq zd^bV_*eENK0A1%)igi=#9+Ix`Qmu;@Zh<#dy+yCiFs9}YV`*LZ2Q8rig0Tf8Rfpc9 z>XQh(#k0?rdOg4CmI@(ny|I1WuqbhZh7vc%RH1Hhg)TljkMN9_zc@&C7~0G!d`~`kVuxj1dFipm>O#h6HFAg2u~DRKU%QwB;wv5kkWxx{(Qe1T z7n#0v-os9VND4k98Nxl0+L6z{Ib#0HE4hymmmn3E*d>-Vic#d#(J)*I{<&)r-!hCra z`h}1_KQ%LkQW;{U{BM;vYmhXHot4JB%=OIkU8}@y75ky)3s3ZWl6hV-)+tfSHEEX( z@-=)t=E-qcJ9Y-UJ!kPsll>0(fs`k1H&Z-L(=4}Gl>5xLK%%eN$m|m#1=x>Cb4>}) zB^H@B$f!8zklFh7Ph#+p}!UW8MMT-dLT2F zz_%a**nkL;ay?5-m%sQzr~R2~ zev7*odpW#Y0$PuQ$RvmsK%Nd1|81JjY+Nskt;ko?Q{53pxPv*|*NA8hmfP=ms_g0H zR)X@AXXTxWi0Uf12E2yjwW7}f<9q{%>+kD@&&{;bBOp~r{B3A%FdY}Axr9pOY>!$+ z4-YzNJARV$=p~=01UgG~9!Tr&b~9BE*SMb7a1p zZR*jzzem#0L@V(3Ai#c{8R8nc*(l8Q>ghniUq2)W7H_pN&gk=MUVocH3Qf@fq-R6d zJl#+Q#;o@rhk>bnqTEWA@=x5p$KpJ1;?CE1sxd^q2 z7;p`$;W&tddp?+t$IFUZxg<6|0c-!7u`&CB~jk93a0sCY#?rj2sdNK zkeRIPTtlF4Cj@EU&$?c|?6w;TBelBKVVKb{bvw$4soJ>rW+ZIBuI!Rlnj;6sLy_M) zVAg?a`A;A+b$+l+SX$6lq91%UjAnEjotA%P9p0yNNU+%z^#n)ABEuy8XX;?J2-Em8 zh?%8H@-eYQdzBr}r6yw1{h9P$jYH;2n}o~TG(l_MWjo)`F%IJTahdx3Pge*r`HYj?Y)$FE zm|8zKsfjb<(>_ml09xJlBaVz!$HZZd)>k=4&(Oy5oLApEC-+C8X`OrK_)WEj64 zMJ?6Lb6g>uH*`74dv7HV>?cJdJq$}~)kB-VNWgcUsUYp~THt_(_))27{PGlDfWG}U z)I>}3ZJVyWyXb{6@gK(K?)*7Kznqq6Y#tCLI%3yx5Dk-=H3U`4eGI0xNXu?UcaZq; z-oi_p=>=zQw-SQ4_3SBLs)&Vy2c%YF2i96<42h<8hTbgiTAii-SF%VA=$`rgd6cFH zYwR_hiKD`uMmx9*#q!3^NXn%_e_gd@&s)Y|<<$^zl7nZwX>2SYEYSHT)kgc#s zI9lAC_k4)eYqLtdy82xI>0vA@Zjj_x1-GU4ZMjnBr0|;fk|S&lO3Tu3*7>rqd=yKC z8?48{8;kI{GxxKKUFdA3_@x+MZ<^^r#hU$)nBkIQ+jC4R3-@ZX@crq|XWe@I`$z^l z6)dB$`%HzbZj}i_Ft(h)a{jCf-huPdg%?ve~{ zNErV}Qn$%9f#$0DQ#~s$vDo0M>XpXjsY)APDQ8)C2nZcjudiF|GLmCz^@~t*`^Ikv zJp|n2R)A(uM-g!yRgzlOyf{l&glwx$C|gO(OYL#IX^-2J6M}d+Lzibw2xBiaLiR&z zFn5R2o+WGV@4LP5Dj;g^a~BmneVPbn#(87yul`@tf)wqOA!c10W*fM>d21rb20d_spL8mK9teArWn& zdRs{}_r(Ri|GgW}F>`u%AZh@q(}BHFevwnb{f?eHKvrh%K)K(k)b>@oKlNKb)Ie0y zW2SXz&(~P|pmhl2;V)CyGXS_si(e??kTlx1uCdj| z&iBRH=BDbU8mh#D{{a5t^!5a8UQV@qA=Gi2EPQ{{ji_+q_HN~qG>5*czZ3m z5@QU9rP!ABIF3};gJjU9P?w`pXn?=8T2rh*n1Ixco_>W)z@^~>orLG0oXMT~x;GzQ zEu89anTYU7g%>k=J}miZ79d<(whj%a96n1(%(Qj!jpWXl&=u;wE@m z5chiFcxqE!XyV9Xes6MYdnll>P=y*^1r#FbOO%3H> zMg`e5=p_nr;!%dV&om^7%9tOZ@;Ng}5&|c?attgQSiloBbE!MfH&y)OQOt8ytg+h> z^^Kpn)rz7!7WvEPW+sQ1`oO{1Svd5xY!v(U$h$O&t7c-43=Gxma<@+3?b4H)51IwD zjc*`jIuJZcl0Nf!d*18VZXmAZ{#VqLnK4WVppPWEOky4;4$g)aSYb&OEiQO_<+g6Fkugl3I5e5Dm(oBoJTgVbdf*FwhmRy(1>Q^xE;=hD&&sp5(TV`4DOO=YFn zY$o?2G-(aP(Fb@lJ=v?3BNw;gYx45+O5mE3*Xtmm#Mlf$Q9(BGeC@}taX?qOLQp3zi&n*%(|0iGchQfPa{ zoR%-`melOVkmo;j$8^(egx5|xnyUAQJ=ZQd+WxS|^-^ni_b_%8?3=|SM3P(e$I+*! zG;>M?G}oi@WlrC~wA8@Vv4KJw_g{w*uFfBq>cVj|OR*qMO_6}#U(w_BIhy#w+WITLt;gW5o>r3|vQweZKw%S6mCati zsCm05_KLI2X$ie!82`5m-r^wK=I}%)Ht2tRL)}c8bnK^lb{#kLR3Xhn*((#omD3{Z zRcPi_2E*af_|)a4q~Y&{->YK=8VlTcaACjrw9FSE{=eLe?Rxy;693gy5l?~LfB1?106^A zRz7kRK%I)EsjNfLW^mvyR81>zTu#D zEiVB*<$hC59mZw{Gh_4c7LQTD9_>sCiSNsO;F+*a`Y> zg_#guU^m|Dq?Zz}Epg&#SBZrNZ@UnJ65FRnL!MJkto3(wUeh$PvVuzjfi3V>`mgtZ z#iUWRJvj2OawuuYZBzI3M0p&xZfF%}7bk(X0mfm)9a6_KZGqA%-unlnyx=!tTgu~B zdDn&n0yfN)0!89o&)`rM2dK)N0-mJAJkVxo?0KU(vpp;GFt%HISU5;Jj|pl8ONcAb zwN_F8(6Sn{Kk}sia+SVTv{2dRWaVXHY?BDDG{2IsRMa{cW%+Y=rd3vN_{q#I#Wd2= zy;ErKJNtNFm+I`kBBfTbO1UHP>0Q~8dRd=r@!(k^hL>OY@|ZxX$8uYk8ORUtn9Gqi zpB>4QU&T0QY?1XOyQn9##-OWGsKWi~AXK#UdCvG}ip2zZ>_KPn@|?RUhIso5#>rNX z_iEUyGG%^jfGTMgO$PMj+!rFIHWd(Yb7Rr>wLY|rGxN;{DF)&d3f4VBNGPG`G9nKb z>h6k*S}47#obbu8}<*KD6??l<0W&#EqqRvp{f*J(*P!ub?$JolBUH`^{(B1_+XQ1k&m>UUdf_nDzU!BS~{ zwWU;55wc#oSs711X3=cXbsp4S*T`#-_}>!LqnzN=zugy03+60zgMFluKl#4c_i>*` z9X9!Nz6ARp?&zvWd3-2h3C(!HJ=#CIHL zLj<+%MsEb_K!~@Ja-Cb0yfZF0;8yR~UfX?@z5h*f;65`~&e>3-SccU5uotYm`P5MIt#@RQjj#?odu% zztm!koRTCN^VCY`Dq>{SwMg^-(8atd%s7)^#HoFYu0(%>QxC(=-toV)zK8CQLHF+mWq?8T z9ddJHJ&ACjEkU;Mz?(ZnFO1;{8J-RtTZvqVdebHTrb)#m00oitg^zb?3~fw?mb)FfAD$rbNP9-m#{4md4h5m{!B+Dd%Hu$BJwiAr z3yLM#=ue}bwMc#PkV+mV@dYn^(4y%X5l)Ec2-HH;F{5-HnFnaw7b`R`>3}W8tL2GI z&slPP6|IN!qqzxwo9KdXw2~ik`_ro0ZgSD>7)a^TCs@&c5#0 zb%&qw91Q7vSARpPA6NY8VI}L_aD9=3Qk#QP3uQ;US)`O`RM9WgV}uXBA1?E#e~>23 z1&z(A(NnN(Af2f)^VhDDpG{i)5S1M=xs7?(vM{AjsbDh?y+CFL3>^`;m4J4r>yc)4 zNCf*%1a89kLxDYcv8F#x+8_4>7?Lzn%|(S<%xULix2Mf~y`zYyyHwKigLzDiGHykh z*MY3ffvn|6N0Y69bPkhBWZQBCJZ+C~(Pf6|;Mry))m^6y@XPBRDBFxPQM`nDXJm)F zSLnK#FL(Dp>A*KZx%0!O1Z6_;e}F}s4i}J{@kty6y%?qQU0iY`r#dTz*(%Ew`J$8d->=wUO@j7Cyk2!44!`jQXQB~_ zl+MVm&{knWv&zK@Wnl4lkC4#+>4jYOe|^KbNeXg1lFleYK0tJ_&DOl4|M8iMNiq^!fQK$X|>XH z*}>PQ4W2bTAeJG?g$YL7L>if2rII%vd4ty$o_)<&VcdFl{cv%8c^V;d|I)(NvVgCZ z!t*byZE@y^1!YkupIr&s6q$U8fm*ySo4u!bHy(8#Jd2sHzi{@=X_l=qt|Ee#k@*1Y zH15Dy)U+_KIg5j=>G|$YM8J^_XOJ1eP)~gsO${$mBM^mdC`*4T72Q+#S6=@4qziXg zg;7iWC3wH_Ib$#9Q1O)W=pjsyGu>X=jA+9KTls;xrFvYDkE!?$dxNPaS5=d6b^z;m z<^V$t2K)J{be0rL{|#T|4a=sEH4H(!e#>wW3OAbV%;)T_A`#%LyU-kFD_sKtLo_th zdsxUu96b(X<3!%3NaLCTK9xHWEDPzlZtu6heR7lTxMZNpoaA2G4 z7UYyXkIP!6e`aU=SNg}pzMfMGecbxKo5k`&OM=cQ6TY*>9lTW+`O~g%6c3NQX)FjH z2g~`Vc$7oG)(?OFvAA3=JFdawXv4)u8&G1^DP2;2C&W?9@!m(fx{+j#IEk?H)$zV{ zBXe*VIfgq-f^vz_w1d&nqFDUB*H8paiRNbldQtVl&@$Hy@w><#S=zY|MK#q*iV(@q}h zw7MK>xl&c`x__Yvx81jhkJKRO>ysPB4;LIv26=uHCM3x0Wuh{WHPY{(6cmk)y?-Zwk~ zT1|_0PH~CD>Sz26B%>d`{y);*0w}JaSsM-zBtRepcY^x@i!K(N;1(dbySpufV8LA$ z4K5+LyX#_s;O_3O|K^qZe)WI1zN%Zd>J-e(Ij7G^Pe0wgGd*{d2qykr^APjy(S0lQ zP|AGOkKc73$Wp8fvBhgEzBhKoBTf?BzGh6olnbifJ)QN7x5gvGThm2>PYLc07d0@aR)LKRn=FW=a6c_K%`>WUALt8z?wk@stjtP$`XkwCdpCGK&zNiv=LM|3 zV&jpLlH3UeK}{e~6TS_2R>5De@k1_l$mE=+{v9IQ3K58p3P*tYPk5#cI_<-c3f7Ah zLReJSAM8jzxqsWNh>@;=2u_m0_1o7rCd?tkh6KhJQ_^O#mcYL4P%B@{};M7Oy^Q^vd&J!fd9WjODYx zSDSNI?{tE&F*;W8xg`d~x&{-ehOWN9Bltyyr{Le0DvT;y2@y9&e5t0`PM|(#&?*Rn z1*X|XCB`=G2vsvQo`3TZa}86&1?l2B9XY0(Qp(rUX%m$wpt0-K47mkks2Nfu*5U~K z9mVFsLlOHG7ru;nmKw=SS(# z13T$-%1GCf9#h_wJ5G~|{#V#LnzM30I^}+-5;$zG6MbtOibs2cnSzXDvCPN2tWowv}>A3ZD$ zB+} zIny9Y0v_Rr`|GhV>Z+z*1|@3G`=3fhZii-WJ6w*)`ahznLC8}2M5B$f5e48ovUfk0 z7-7mCAE0S)>GLuWSxYi7kC-W4mJs?@)_y3*=8D+g|A5P@>Ua^Vy5?Wp1ef*k&yhca zRr)((rCPD5$0{T^g2s7IARBjZ4~hWD1ITfYvTf#X^Ul-8nIkd~Q|@XMZH6DP9fv8& z?v-*HKD>&c`sEVdbOM<*d>K6ecBu+h>{RWJu*=#&Uu%{HBrla!Ig7H4~5+krxw7>F8R zr24|9S$mL9m)qa9V zx(hqWTirY&G~P{8X|6wzPs;VWHndW7v~OHg(wn{I0BflSQ0hIG%>(3)!ri+VO!TF=Op|N!GlY=G4M)m^L(k|HFZ2AUHfn{~!Uj`GAKpBVgdJ}Zt!*7eJZb9mzV+A? zfJiCm5W)ZtORY?taNM&Hvm6?{Q5 z9P=+l9R}--=P+a(nFNa{J^f5Mpp{hHmuSniLC>|Vkz_q$5sC{cy^wBZwGUY--%i!o zx14-xG2)H7-^;67>&sBSCgI4E9B3);5esqJ>QuGb8um2cB!o$8^#QuRusKueC*u^{ z{!9V>_2G924tsOAl6@dLuI^SQW*PxmH}xH(BA0hA(kKF~LXO!M9G^cHhuYuzBVyy< z27b)Wh}f!P>NG~}GA9W9JdE|);h})N;3HxMlJlAfz#|ZcjUQd9b`cFQ<$3!09JKji z&7>q4Q;vaNhnXpZ)ZfpM(o>EdUPcv(HSO~c8}$^%onxIhOo|X$P{m0fa`tJ$90b^X zBu8+@D^2+<&sBYn0`ou+j*37(NC=5B1}2(Aalbh=-e*}#2bn`h6G$StoG;gKv^=1P z;nu&HKs-@FmJvM~BKWXYJxLb)*5J^$-H>SlZu%pZ#0Mddj1nQpK?JqTqk@OlI|Zb> zy5495jmKIp0ZsIV;*V|Bo)R?THR}fxRw2su zi<^J%K%i#jox76rqq;EVk4MJLr-X2)p`xFHZhh@jmX`)uf`?per83E zaWn&xngQR|2^Jx3_sq;_&b0ZJgGX#qfDK8A?8-7*sugmdkNu1Hw+21~ke$JFbWp=p z>>_F*w#0#m4FiOxFlic&G(!g@IA$HnY91bMjznqLeQ`1y$zf(Kj**k_C@c?pP@~h= ztMB-;#;2nu1B3ix!8jp%=3j^Q5Tt~Nxkk{bc0f*yg>w-_(bmc)>Wg9t@T=CoJ@VwX1pdZju2()yLpiIc& zNX~3g&U>G@eULRCLJgXiJJq0Zfhf2#X4PP{gddBxk0To|CxoCtf4qdm;d& zt`OL#T_lvIEDn^D%y@+?jzcukKrqt48w8h}*-9}L5spPC8kFB7_Z^E?^dfB$&C|xo z$u#mR23_tRTVF>`XOzfVX16g9Hyz~c3jwUz1V<84O3N6`zr3u z%E3X&4FbigNCSp1&i&7|m!@G~I)*r7h_|Auk;0@Nj@S%&dnv-INZ`k9F z2Mp}-TKL{%-Q_W>2J!Pc_M*iy>7i_=D)te|p54m#!qhL`V3c0=4g=n6&Hy8}fb|GC z%?4g1AU%@3-SUBa^bdi8P`Hx3PV^`dsRrzEssIT3+Lc~_xaE%zxANT35SjHwvxV8CjH!Um*KZu2-nqw0X)um zGZXe7KSGwFp|DF8Cgh6WfPl$eeOgC2N$-JDb-hzT%s*gJE#)!t)~`EE@`W5LFG9;l z=~(TA>;SzXOh`c4(cT-lRSw9nksUE?heJnVU`>SxUr=u3$5liv}M#ku?W{+}x;K+%f!Q)z>d5yxe>- zGP4MigObZqVVNZs8KU| zvidi(n_P=*x++1gp2vGZz?;0}NP4hjAPT z1f&Pqvo5udH{e9Cs7Yl&C^HnC5jaS36oW_QzQHcwYl9hQ*m|bk-+VIge!5vKq9aU&dfMu$Am;zz62@Kmt zXE9C;3i=?rx{XWV;H%sMInTXNAoFMt{V`Edp3aF%aMjl(aKARph{mS;`6%LZ4ZG-+ zELlz>3-#1+x8Y9740607<`hKLaeFGo^SL|m0|=&`PU&~*RF3*Nzr1S1E-LFCs=Kn(p+!Fka!`-Nac)qx*gu{Xhkl({;0{jP_=sg(Nwq+~VhbmH81ol1?1SmHKd_0==-%m-#gY525~R%arCQk}!0M zf35{CJ$J_bWUN5URLq(+--h3jK=wpI+P<5bF(~6S5PF?zzPiq9-sId<1Q<7MAU4zQ$? zg6`K5e?dFf6pF=O+qexBT9C%+=2!{Jnc+-YZb`_{*?kYsCojf9yD4pN&k*(;l$Aeu zK*(gPN34B)b7V(bZqb$TCLsz@uf3L@?@w*`l9rU`@k2+fX>}U!hEUYlYrQPd>ys}z zpEW$!K*Y&m2*s~zY6nn>;$10VoyMdL-Mpo_=uGax&uytOaeGub-GYC>na3ve<9UOI z&F35HRU|gCUAr;3xogXZ{mK);DX+dBr}t}=ZVyRwiuGJeAPT>Wb|f?59o9r#2o8;6 zl8(TTlh>?q+K6m0U4wV{Rn^W35#RZNc5n`r#wdQ4k8w*%q-tneYXTp)?xvKuMurUc zwoF+nTC>%L_z*Y1GDx?MCFBNU_NRy##}^M0a+GFhu`2t`Yn8ds6C_WY^jp@eyEt3E zYC4_*Me;kWpNSDxdDU)j`mf(fw)li@obv&%>r>h=d{cq0YZoLg55v7 zKHkNk7-oLtYoyIeF9X2fVt&?lyiD+zR3t*`Wo43gtbHR#eyieFI zJmq-LWG`)*fIHa0YO>n6+@VjV;b?Tq&D^e8^~f)0=tnu(5bBED#`nE~vE<+JgIlVm zz)y)Iw2nI&4Hub4xQ$l|pUMqN6KCf!X|o|mA581wdq{cO+7f->Xe_Puq2FYr1BC^Yj#iT3aaQ%-LsiHca-Uye$7I067;gUmw#2woHW z%Gh>(PtDX|&3LHyCic(7928O)PEr@+D(fuxFTKon$rGu@K<8tct@nS zOCLo8t}xb;7o&2i;D(x};RQwkyPpBaiP9XEC=J@1sc_`u-a?$-MQ6E0k#fN#8J{na zXazrn^oL-f#4#ZP)JoN0r84y*qeF%pq)V6A1$Vv%PB+)lJdUA!$e>#BW1(JpB#zrx zq&J#q9_hsve6E+zzuh>3-p@+J^q>3d(m70mDU$+My=krJ=f6egHy{efGKy-4>ri{R zWpqUH!UoMIAzVgOELuO|eDT4*`1Nb^md;97B`UH8tSl8AYhky~X7^6);D&P*v-{IJ zl2*MrP~d}&hqtUU)Z#ww(x+c0ZKjVdeo)qoDF!9vo)bb@xAXe#JySQyO366FVD++?5KrdQ1j~TQ&zh>?8bo*QQGG4f zUQQ#o#RS5-=!_5Bu9s)O=)3@?)H>F)R-r|>4n=P;?6X$Y6K#;XfsoER&wwep*HlA| z4&PiNQ6oqmuap*Ed_U}i`AQD4o2z%q7ASevREvx#ysyUtFqd+Y*C@~9jJ#aRb?d?d z6$4pradLLOgNs@Fk0S&|C-=5^z5Mn-ki`Y>Yvj$!Hgn;#MfWU^z^aK_Ol1V;@t~Wa z*oVHdsz(0FeBla*^wD&*>n$YG`aQ8?m7_1kU1(ok`e-&jRz26@-G%==6pl)B@%byr z1d%@zTy7fw>d}}>sZ-E-LzcoAFnq{9V0G3lx!Nsj6K#DnbApxPH50|ZgB17vRI{65 znuCsK9tNUg7@Gq8NgL0k`tA9PIAv(zpTu-`f-8#=8HqFIKWms=eBLz^@`vCGRUI2&j| z5}-#1$4QKqKnLuVAP}fBa1>18dZZer@{tNNdG`*(G;L;-j;?9`qrQi^%j@-la(nhL zvQ`0|(e(C>14jS|Xt)1hWbvmbI;HA!Ri)l;FX=?M*e|bDFw+)8zLWk+o(h4Lg&@k< zhX7isZ$Gm1?{TaZ$rH726=EI=BKI(uZXOgPSeNS2XniV{ka3tkvj;GQqz)wT(?MTt z(FF28w`mo0a5Qo_ZqC@V$W#_4%j7;pd!&k#_wA+0Vj*a|MINFK7;p8ksub7zb$IP+ zpo7ugB7>ePYg%?Cw1eN}J)?^+RQQ2t^q*vJ?FEN&qB9mHo!EnBOR&jI6 zjyN-6_*1>1HWm_`@f6oosUvt-cMku{TOYNN$zF$@=ju+)jjjGZ1AyHKqzl^7Dr(d> zYX7RqjGFJDtfc3iMe?O7W&9f-YU!KX`{^9%CouiuihF^oycZp7*G7KC!b2PHpYL&Z znixGfN<|`=G`nvwP5r|=Iu|S4%a}8|^1u@hXk5Es;>%~s?Ze*1k?s6%{O4^Xp5EC> z_i(*3%00pSICc&E@%2oA4~t)K{_G9|GBa|N!sW|Xw79pzVf%smGnn+l&!=Jm@NTD2 z-K)?mrEJZ?Y-hs|yNES=9Z@;tOeTJp?YevM!z!4xT~rkhf)o%p`o%@=+5!d_O;A(H^fnAVdoGpf~_ND+wLNSp- z1Sm8;-*PPkNf-9y4%!?9zIR;-Z9ZWzHE!`Tvo)RI+^9T%;$%(nfN5>v1%&p)x#J#{ zA>wv*Yey{dSZ!IFmo@#Mw3#}(_?iBpws%Yq(whqWPOx<(guq63ITviKrb9=cPnatb zK5Z_J_{(^7JW{^mH75jvZN?sk_jWq`q|(QvRDKuiRZ`-|HB|2Ns-ZbCAyVw-fNeW& z080T@w7&q6LvN^g7xm`EM7)$#KiN`>fR^VT8`xuNbZ+F&URv~`xzv7jVM1g!t_Ut3 zBe}0}6Ui0>b&8~b&S9s2^8xmRaR^SnXcRGO23X8V2R6mD&y?mI5qM_kjLHQQ>9;ef z8JW-4sBTWG*fXS!Ucd(D8!>ayvE*2!9x^b_7=TMX$`+`AV*)S~5Msun^D4Rj1;g(- zm&{%WaR^k=*to4^QYQdix_GgW4%V*%)0l@u{=|m}wiIDUssR;0mdJ)d+%{V@lQkQi z)t_D;S15}2lc{hI{)x9^ikEq2S+vWNeqNfU437zC5pUS*o2h@_`&;$!?e5uv=rIos zA`h$0Hm2M*Ya;CKaNU6X4NeK~Ws%)(V~E|PvoPCTv&lL7pbdMMAWutcKE33nyFZe5 z>1zQD&VS(WdpC}|cJaD*o0YyM!&t{viapZv{fMz2hXvI+SZ)x|(A;U2>@k8B*p(y* zR1ySmUpoR&&-!Z5{7qig=Wu$eEm7PTyGU=;swVUWN9x%0?@n7S8xjlg?1@h6bowy+ z^i7B}K{h^~vdYYxw{J-q7FyhdASBBg7y)wK&LKxX6SH9prorpEHwB&QdX#eAOX^hZ zlD;rK<%0x6oc_ZPbN&QdJ^n1Yq$4W7EuktVkK;aw>9MWG$+FjCSuH&Q^xq6o|^_n zk0|--bM=-S%ge0gH}#g@@bjGWI+Rz(=iVe&#S2Qw6nx3=it@ zdVd4Y-+oV?)ErYQE9K$fW-SV9z8ltbq_hgBHyNT%1ZW^$xeo2VWg_`R$nt9 z*iHWNJA*cChlhDE>abUf*z9&~t?6+M|75*3lfQ?#W6=aM!V0}>uKDVhpoaabcl=>wwJG-*YaZs)mQ|mWLDM75aZm3I|Wtk${?!`WU z0q{8`7om_Vh5g=tJHjX7$sF1Eg{pD+`N)^KI`mxp#FNzqgpE zEG*+w-O$G_<%Oo+%a+Uj+4K{mcaGfAX|@>@az}GwL3|Czji`13B{u z*3diJXP}iKw7Lk7_aUmvWdU@9zM_e2Z{LZ#Jf{!dIeV=Q+W(cWeObU}kKBW3r^H5IbX;Frv-!PQNOrzgI$fQ?6zP~Gxvqxm`9`VMA(@; zqc}QK1xIjzL(tW@ji~>vA-AC0^&u3pu#FA-sXOM0Uw5;mIPQX*dgpT{$9wnLJ)<4@ zMPSx4O;lP z$4za_gDzJe_C&wxJVJb9f4D8R(*>B4=suxD(`&xi$)Y#JX)+8ZUcI%W5^(Dv1HX9x ziq{-wi4(yO6PO;e0mXWsAgsAR)KFkpwDyAvu6zyRNaw_i zOvXYNy*Cc(acHu?y?Y7|Tx#GJia8WU)fUC6ix^mP-#qqaRCW>UUHjC)!?l8ma)*%)xFkGI>!JV|I88$R%Ah!XZ$IhCW zA=&Si^$S*;vjfrJqXhHlX`Bv&qy8yf3rIQqc0N=CULRMUU9m{A$61CLj0&G#EjA>i zAPI5;nKbG7HpC(&fY}W`yMzrVNdG;flGNQNax6>pGIT0DuW?W|Q?_#FI1G zB)i|M#5v{tUBuN5vu)-EO)fb-mFZ4^{AdNQpA<}PNa?Ln zd57)M2!WlTBAW7g;AB?-H=qDr?#O+|*Vpwf9$p@%*f zo*~&#%NAY3pKgmXSsv2Lau$DB_wO(aVWx5t_Lo}ON6%)c+gFmiEA1itm~ZMw@Z`R1 z?$w?QYh?=(+{XRj%TSBHzZ^lxKW4U=;@0H&hF!28D9G(p&qZf464ktqU73|GimR)b z!$Ywg+0NR;znVXFxjL$vP!U}yIAL2DNc@0j(Dqzn(w0G!lQSX)*V2AVd2vJ{ALu}K z3DaO_g|K6i2E9WUxLg$o>qj?x73DN{ALx90s3nV4M9uBKm*g(@&6-`r#i9&AnoZ23 zzK$|w0|A6#SQ&Hr{^<}85_69U2_%kvx~K$#Sg5}TGr4|jv;?Ci*DgET-lxLYkMV-j z@MPMatxT}FT1_5b%q8w0+A-YVDUsQU!4TkP!s63c2ptTaAN909thIyB3%|apN1bJ z+guF&51x=Z?uS2V2SYJ^lhpCAlJHy8HDu_`7n#b-oq;H}N|JGi&*e)FT0 zZLi_m%kBo3{3f)yG|LU=kE;zCEYmxkiIdPB7hAeo`gU^4JTG+lj%QB9RLXGOo5ya| zomc#wB8djux^Q>Fy)GCVCe-L6jszOAM1C*9fsN#&&QZ-@q1L-3tD~S39|E6;iA9YrRl9xqJZus?b zh7tAe`pFt7ylD-5)`)S|d~LyY@k*yvA96N$>vhd^^qP9~`!Ubs zt-!_-=7Tk3+}0akPPa2MAkO3y?{p_DJek)R9Pw}@V$WjqB&am#rosh~F*C8Ey>hWO zax=FyG!x<8?@G?qE7*=rey`52i`TEx_sJIh#&{+m>Zgu-X~3eKt1xRWZXFXK3c*@O zlcNgVGcueRCQvZnwShinNi>Ww)4Pnq>ZMh0|6!Lt>)!g-A$P(N1au)3Kat{eIH5k_un= z=EOz%elOeo@nD;*t+wdmr2WpU-NX7`VEHj!_l4VcMN1JaBHnV4Ep;p!qr5l+?eU1A zqkp`;<+rd|T7j_Z!M#^qBQN6plV6!e+?n6`sh)=iU=#tGRW|V+Vt-W5_T|~P4Pfq9 zzWTYkIsQ^~QR>Qf1<+5O7zvBN&;*sj#}DhUEb>QVN^0qYl9`OfagwLAl4G^j&-XXx zLt^hQxbCG1b>#tb2T!27X7%tnvmvtjcB$d%ueIdAQF{|qVYcgaS#EEvI{p{$TTn#kz`R_s=>cZYLS&#!I(qcloxz z-(=-eB8f-cJ~AbX?_eIxla~0Xy8W{CM~;K*cf}ho9UO$ib{Sy zqUt|c(9Q1^$+!1&BnJt#TvUeuDojP61KK*Q+ayf4FB+Hg^)IE=wY{GgwM!bvpA1Is z@!Jw!ZvB~VcjtZGmnS@*SF+Ij+>?fd)9gKYv|7fhKd4T=$IWY3EuhWXh|M zU@$up!#v}SY0WkF__m$T;TLRUj}MuO2g1Um-wQ8RB8SP>!~Ld^&k?e=3NhQ;R^p5N z+&zxkb$z{?mEJkKEYewFuu`H$xjxv3uDzc1QMjE3RG5_& za%X)SZUixj$f>wiGls3_ETBTFg+>NTC>o z*6-x2fY!Own6CfSrfB^8%+7X2bGxYO{$*AwPiSZ}?a9P_4B1jasLBDVyQR+bBt@P+ zoi&_NvJDi5h50@??5q4`y3;d-RKso_ShqqjaLm`E>#Wg zzqJ-6ewsgdY$`t5DCeZB9xW4Io>V@@e8fFIqrU-z(>ke*w3QwhYU?Pb8{I>H?v^e- zp4Gpg)dK`}OzljZU7Sn}ZBbzWupL zeI+M*V^vcZ3T=RrxFkT$)WZev$reUU4ECg?y`9TH9+G5dVyEC>k3G!k+l|eINz<-%rBoAju6YX8%`(BzY)!{`sPi5Gp`Z(a6fw_@DGGZP~ej6kKfF z|9tCjmJ^o!7wx}UE()%H)BKy|hGjYa@i2_kUut5os>0gt414RJUxO9?S2zA8`?nka z(_jDoI6&3a$mJhB_>V@T0u&5wVcq$U`k(?dEKOW2D1aP1r~qkGOLGes3Qi8xe|C?& zp|drGi<2v?*TqeZ?M+Po_VVA}m9(^RF?FHnEvw?DnQQE&KyRGi|xOf|Id+r ziz*%3I>jHgz=ngUM8v4}evkI!D?8&bk(7KBLWXZj!DxjSNjOF0+|&&zN&A}bS{kEk zY`|<^)5KX#Wcwdm$9`B1Bo49YXD<@v$F&OEOnq1}t_}KR`-2hSPG$Ea*!?blp-Z~v zE3I%tx%HbRB-#t=o=GKPG{DO%3_N*KKVFh^|6onw)gNz`*-eW$QyG2hMfhq^JITo^ ziX9|Flnqo8BW_2$ObHnAwVv0I2($R7CP*4tM|s2}=NBAM-GY@d%jjB$_>g!|rXF>> z#j#ylx;qp@s6e3+A@~-2{gC)Q18OZqFQN8M3%UaFV0;&yw(TCY6lh`v4>Ys#V?S)q z2ZvgTjN2xeq)#8@QwWpP@VEgrCmg27R&j&}mgcYQ!PqaIp{HF~jZX<5q|zEr2)DW1 zIy}3GYeXsnw~+)>xeoo83wa7nXP9R9Nznr(M=L*TF_g1g1I5mEXp#T_MS?tycxUhak#1qZDpl$MBuS z5qI#4MKaj^Fu@dBa}V}a2m|)9B>3obXbV+^<>=be(p|=q7k3$e{rwzd%)kOFK;E;+ z4vYD!gAad-F@6q6<1QPjgun~(`)l=U?Wg{kOHAqt&N5Wub`L{LQ1H)`V7tjg&%e2~ zdOPQhvVt$jye6#g2lv%beCxjv1%El`A3_1h`5(sqJ3;=(jLrFP#^&Py{)e%7|96c2 zcabpK)Ot>m$&1@3o!3=Kt2C>`5LVsP77soI!`vu&Tg3W?bBvDhApNC}FP=W~#J zEdS6A__(C`b@ejsLw=%7IX;}q$p@|P*2>*?p)YNOzo*B~!;ZxPx@$SKO+SM-uCA5; zFbV5-+w5=yfc+ACpIzOz4Vs&QH#m!}5ah7yWoYp4CCJfFwm}~rIeUv{L-VxI#vtsi zqLW1fSqP3?y?8MyPOsLNx)1v$w_j1m$g_N_14+s6mLrUxD>~oh6~@2MRJ!7^J%ExV z3`6rcafX!2(U-S4R`1LWzTHEEyG)1;efyAYzfAlF(5~i(WNTon+NP+>$P3<}YEeU3 zT2O$i`Tb84mYUnJ<)7Y*0bYuvIvkVXPT%fAAE04LLIly6dz;U@p`15A;8|oKt9u=8 zO~cNY1af9x8WXGBQ*-1aZ3(cjU3B3e!t7_2wu5X%9bp$xk9>=sZ?pIM_nQ{~Sx2*bDCZQCvT}?TXUBO!J(wAa zLfiKz^7rIG_~Ey?)yTdjv|m|}R0YeysrMf`#AUM}c!^yR(3-Od&rLkdHL5nd5u3BV zjsoNmNMRSJff060EX#OYEV>A1wkcq}yMrA_N*cd)lYJR8jO_<0H=}L#%>0B_!0L6* z9FIDQGHHsK3W*_6qBZ*>CP_;>&lMkX_?iyRS|h~>$gbE3K}>{us}<1*NU<$m=bDn0 zJHwj>0Vlt`spU5o8ikIU7T{t6Rq<&7rKylcz-)EbC4_ni{ zt8?6x3duvx=KvNF%c=hgC7hy(Z$(jM=-ta>(+;I3EmyVpa1j1J)Xsdn&MM0sV}A?+ z(X_W`=l_FNja5)OS+tC|Eu3-$DTcl^)5tQTl`2ew{$hoaMB-ZehXsY!wTi!g|Er~Q zapD`{Rqb6KN7n0DC{$<$qtuIg$S3UPSug0i|SOgVUh6&L?c=D5j_l8N>Z9ah>c~ z$i_F%9($;4_yCCgibR-(y9|<3Ut=ac#YZ;4gMmwyk!H&G%Jp6v&^Jievy5phjkS1 zBR%;=sE3J6FR-z&-=tzD7Soj0oJF9dMHZoo)*db` z4WV->+5{&-4kc2g-^E`On}``yeS8i4;fIj7EPIVlq1KbrvL>K*G<|mz*Z7&71c-bU zjBTjtO4BZn3!DpQ6XaAXUisjBaxM}NTu}193c%pnY4ULvWhsRFjBA#Dew)8sqS%GL zKa<`c0J*sBN~jU|!l!D3t0Hlw!M8|B83Zb-H*jK9%owG6%g4X_H0NpBiB1fF=AEXg zy3tz*m5(c=+_k_<_pUj2Kui;UQKLi4y~aO{GOav1{VZH9BYG;=Xb^_2G+Caxts3NM zkGhcx9${iT&@kA40G8{&z+F{a5&k#W@K^Z$g#mUTH{XAO!#~ue|1mgl{Tm$E+1UOM zbok$?J?!kT3ab3o)BX>1n30E}0}ir76MT+5TwOEsH-`yp90Rvj$#6XiWcpwtkG0Yg zs!c{Rk2TXW*lBilmL|$b6u0+&(Fx3+hjJNjnu-O9X5*mbz0v(rVl{=6vJA5It-*K6 zde0!S%|ze(`ab5%yd+_nV{YB*Otk=_)Aog{Yd)`GFQu@nKO?|k*sHgu2!eCv;~UPG$)(n0;NWwOJ?B$v7XeB15&A>}aio=_s1-Wu(fs>Dr> zrB|UI)%)ikzI{6ibC*9CFPf*yv!n4{F}zW^z`5V5Dz&qx33rghMPHjtR9~|~yi1IQ z7Heywg0<4OeEmK;+d9iGE#0`uGq$=o3ATO;PCdo0FK-11n&0O~#o5{g$jjfdKxXfC zYf8a3f)dX9F2uGjZTsKdtRr+X;mUl`|JcfAz1w$$DldL zbJWBrYrvBUkj zFTByN0?1{GC7JFXDFG^Qv6tuUX`vV?mKiA3Q$+sbL;>W>?7DT;nryc*ktml`PL6gI=4YN9Ys-+Ipm6-FvD`B1=7zjU zNG6x!z0PGYapb~<=IhY9qAxD88PwSCov)&(^X z5N*9kl`+#mDq}7{>4;jTw(eqpLK#ZWBR7VFY}rspN=nW)qBS)^rEGW zocEz~pSbTT!&PsLCmrj18Q)~rTyGJRW7{@20$J6*<$Ql=RB5pZ!-cZOy1)+iz%g%t z=rgX)h-K?02hkQj7K9JOn_SOs~GS;h4aTIYGJ@_WFU4pRRkY9p4qZH5* z>a2W;*76YDu$!I#Fz8CKSffT zJQDJ4Cob*Ua4I(wj{n2 zoN9$qj+TcV|EWoaT=qz)xBm2oKr*M$CO#0&uM4eYo@}hkTs!t?M_7{cOt$*I6Xc#0 zD%(e)kj9q;FZPePEb3hTD&_$P%&e@G=DiFakWbUM#Qoop@(+pq4@lwU;P?-u{584% z$B@GPZ%6@hv;D{3_+KK*e;N7zL6qJSHvq8uy;qu!{Dq(2Rz-~z8`oj_lA5C3QzPcgF;zvBR$`Y%gD1qE$ZzC}KXQ-ZAC1h7VeIgS|VCbkke87vbB@ zpRe5y8HLzdjeQF|P8Sa?$g2*Ew;1NWH5x4d!6v?C1kEcpd%vP30|eeKgxPl5`2%wT zA^LZn9+Kj*2)OmQ?sDY3Xmy)H#v?Tws!nqlhV!4)%p;_mV)w9jS`VF30}O^kY^oK7 zn$*rjZuq==Ym!kU0~rT>wZ2d{?$RK>z9E7fj>UuxD&C4z#uu~kZX!l8?)o$EnJhIL zRD^kk(xkDie#kR9z1ED?IBbk}(=r$|&nQ_vBJvJheXreu=|$cRfbd=J;zS&?FKD-5 zk?c+=YC4~Hl&u5pr+H6*Sn9I>a@??U=xN<7d4ZT@Mf3KDZ9xXYxg-Z7;M|PkP|6%Q z&~zw0$eppv8Zy|OuDr_|W;SQIs&PWK7cGtI5#52;UZQxj&uHfEy$X)yx%cX4nvUvF zjdV1jT`yMNu{AQwpw@Nu`uz2a2-0ZFP}wCE^|M`^{#0q{XS*P4i7KKDG}b$GpN__c zb&*0$Ht8k0UKR!2PJLMPO#OO=pBBDvfa|Y3dN-q*huzyF^S1+CXDXW@6tbZ__TMS`bh={FD4 z?=?d72OK6bzs9iHC86hOQm${-cA7rK%S&2#zWMNl`5Y`=wxrGRe$(ogd0g*Vlt@%( zr{BY5vhb7h&7aKjZt&CIj6PK3;*alB$cm3()C^X8=9*7$x$x8D0p_-8S8Ufzmhat_ zx$yJgOxE*o^5d{;gPPx6@v{mopSAAOoz~1kEXGg=kMU;_J;YH?) z6!$}Qx$Tr+tDd=rMOYX4ehs-%i=OGPtYpCXH@`n4O{@0A?N(mDE{?BP$(v$!ZAyig zl8(6!L63233qWsOfL|sHt$wnjG;d}M=@_yfBYFA(@^PJGX1TL*=!B_euCX`wpNlKbIqXnTw6u)=tv74Ys;H+v*3rTo*QF@45C>W!p9h zAIGa>XjzE7tUL&%k4o`bn*PSMgS<@9-Az)@>1A9lIG%TTKAgKB^sr`P_;V6mwhOYh4u7Pd{c2qBP|ddZ;O`q@tR8Gkr0+Vq!vRNH-C;p$@= z9-MW#lb2tGgwqIpy*k7`bM<*rzf9)YF?Z``MbldIaqb4E=Y&b>Wo_k`mftgJ^TSQr z(o=VRPAkrqeOBJ!n*7!v_*+6|%KWme_43}hw80s{<1gQ< z$l1r}q`sNs|Bt1&4r}`R-^bsIfV4D&0SW@rG071srBVXYIbw8-ZeK{l0FiQ}NK5zV z7|j5Y8Zf%M8}v6n-`_uQ?YefI=g#At$9>=DJn!>3Pvx9+@64RMhYM5vrT?1I_3fIG zl?hyB`_;h;%sl^=f=)(dXbc>$M%b&`3ED4(fXAQigw9rOSf@SyoU#;v79cmL3wT2t zcS{xlGg>)!yFcd-NB?Xp#vfA7gw~`E{zW>X1^*n6mtE&<9)2>pbbq-!)fRozBzO^p zIh;1}Z>$H%-BOg?WVK{J?>Yrui!(KQFaIHL{#1n_MXy2|LL$RQ0-1* zG*7OL2x>2xb)RxFuhHYue7yWa#l+ToEIP)Hyo{DUdnrJx%nz@vs+nYe>cGr#&sqMq zqP-A%KTK6LIxA3m@7|DMTE`o_Zd5gvJNV=1aU)d$|@g2B1|%;&bL z=Y7bdzde&1r;N6El-3bzD~ zfsfTjBktvGTikXzbI_12L+Y8YX(L{Io_8Y7>$RA(sIGYp4a?*D^!(mi(=VlZ`SkN? znev+cy?1)^#<{09*nPdMY^|u{?@8~rjoIHTN#oq};rW}?^&Z2Dc9>z%ZAp0t%c2Bv z``!9MXwb@tJr(&MuWLuWkGh4QE=_8BXKqsDEq}|bwg*z39S*WT^3ZeGRQ;n{IDOcp z`Bc0!Bkr&F+7`tQw%rKbc-Z{RTlsEIrt45_?!rL+NO@$(8!PkaG}P%+ypz{uw#lr} z_Ot_LYHptCv?+@|XQmc%JF@H_w`S<%J+Bz_MOKH$5uJVWYTN&wtC?w8?$hqK089V& zNiOH;W!BdHzLEQB(T2av$C{>PedpDqbay2j1OlHH2>t@BZ;jOYIs5+NuHOdUKKQZauXeN8FZigzDjZ)_2SkkdjT( z=b!&ENFZPemTji5liVCF7*Qc6lKP3bnXSlmKQ8WW^P-D&9fgXh&ytH-M)iF)53Asr z%EtfPN-wAKfDbrWiYnAI;q9K&P5vN}?5kX4BX9}>XXy!h2F(!ZT~B#+@A%95H3&97 zn&9^wzVyi+*m>P)aT~B4Y=EezE z`Q5gtv5PlqYPU4~=8EbhcBN<9+<3l$i>?#s?Pyr~xiNl)ddS?Rj(?(a>V6MQxfiIq zBNi6GS+)mxp$vk{ke4&=+dP$!BY)dZSYD1L|L}rKlU)A=p*p$w!Ts))`z!e@{rDho z$1}l?z~Vyi)!gppRs>s4zGDB$;If|^XHp`-@D1$?G2O zd}~sSy#UhfnZ(=3@C*s>FNf^F-(%{%f!*>yH7c_nhPkYJM~7xaetnH!!tVV!Y@)t& z-E6s8dhr_YyETkIkYs`OO8v0Qw7R{LsSffz@F*)V6?aRmiCfBB`_ZT5n30f8K=V zS{?3wnFB$XzbE~3RM#!$9eI2dpVWI`_x_}D2J$8I!7XzAk8j**+~LsuvW-plp*aR+LtAxG_nuN&I7XYZpO zN`9&2Ky0=1f8{30=_!}-C&}zy3K9pEpXPz-2KVHmnLWo7_J;1Fb!q2FHsipA?O}0H z4T6mM~G4`tY7E)x5T#3BwMz)Ec@nYMrBzSEW#%53c>!+WVc4bJ4w#6 zaTT8*ufzjkELlzPLfjJMuOLffwlL@x`i8;I7>`ov>HSO7NqB`zl}Gn)HIiq2Ki*?f z-*fUXYe}(-m^SWw*-pMsO5sI0#Ajr4tG~CAb!9My8A(_5&zK{Q2Xz`%U9uVn+($dE z2AW7>7$K)!5TX8=*P=Yo_ea9U$^2Vf(7_SMLK^xw-oa_LD|8cg+l3iw^yF)z%y6XJ zcjMaKv%%>Xfu4WPzsfwZuSfk{m#<^s5Bvip0!B?<4EE(ss<%lrMf)2TQiygPhjl2f zy%cziC6}r?-WKw;+6x^X*@gl>*TvEqK%PUM>#p+R#itg0?3EA1)%h9Rd!~p<{e7xC z^~hvwyIAi6HbiLgd)!PF-B8VG9n|tPKe>dV&uF$;b8aU< z{I_!#lx%vZObOY-s+jRwYlP+r0f^&lF!JOx@<(f+8svyNcStV|d=`_6cS>?Rv6^Bq zF2nva*e&7bI1`st`RiO0V@w12^2e4ZCR`_hJ=ztF)~pTi+aMJ3Z%rB=L?3|Le#0&c zUrT`uD@zIZ|BHDc#JjWoTYEqLNCpA3GE$W6#H=TCTuA3rs&^5?!*A5-^RRu zMT)ZUuT<+vY^a{fgTF99X#VzSu}>Uj%0=@9RB>p<@p9HHFe$M+Xw<8U{di(Mfh5x1 zP9U3T{iZ5+obnM^FE>%&S-@&YDw4xB2$#xT)j?l1*?FE>y-6ZoN21=0j%(C{YOm#R&igPO`9wU8k*Hv3<+#3)rS(^ zy{)FDkip=hAZgDa4xf`NIo5vBVv4({mwl7V2C5WdTTQ7m80&GF zUJ|o3hq0)az2p5Uzqyim6imK}{H&Y#*)XX?$Msd;cuL|m;d^CB#?RIK&J6DI_i`VL zdjKbf+{7%8zkTYY1eABl+@x1Gr315PELX!;$xXgrAoxeV`!7FkN_9R^C3xmpWB?9d zB)N$)fI=^F(X}s_oFD$mNuCwxce~5ASF%#^N z$1rs%M|Bw)@M!9o% zM{}kh;}MJyvldEEb>26e6C~?AP;~j0St9R3r?wozH2Lw|%7BppNQC{wpwYg{5iA1Q z|A@$)uibefmj8szWHT(u_;|v-0yhq0PL2%oePv2m5q3L=EJQ->_>t>|e1_&I)mM>R zL#om)s^%EJ3H3P1-kvd`xnMqpB|i0*WKnh-IJ<)ATEcZpWq@gN#a`srp@>GL9^4HJ zF$B`a_2fweJ)HslpnIWLOgIhW`b&Z?9C9A0&NynvxhWu8~66+Jvd6>s@WJqH||;F}PI zBP})Gi0sUXuxDlAk`EA=UT!)Q+cf`K#NC_}k4^PWb|vH`tPsMQ0N`+VEvCadu;zjx!euD7d(GtB|9N zr=K;Lqu3QB4!X%;zg+sknY&@4M9Z%4xVzRnork-~6@*YK)XaZeP;J1ruP|$^pv$8t zEYj-s#nUx2-6~{^`nMw&LF%DA}qxF>pOCB)LiyJ7jyOvPO4rVu0 z>*!HrR!LP-;m1 zW3(YpRB&XUKJtHPpLJws&%5QC?zb6n{g?0;go8TJwbqPO@X~oyU%Ew2T>7Q%X^uS~ z`A~3@ndYt6YgX?_BYza^WI`?B8=$Po4V#k=%mlQ4e7yBQ1$p~JQ?C~RfwA-wARCb_$J0& zvq-lar83jUpUXURB#hsy8f;nYmiw}<1MEB=>UFLo(SX`%g1|&9`pvY*KtE?I-3J2L zh=SCG5Pcv}cGl*Jr-y-P5gAazUAlCJ)Pr zpJcg(TDX~zXbH-t9obp({$-d#Wc{J>J!=gwi;oNRj5N)RkK|^h&&WXKozmGygk}+r zw~zO7L~?`p;HV-a)~64de}|7P`t^|y8qv_X7^EbXK!@UXOw~8Q(u%YZo{$nPQunz^ zAqI~OsYarjL|5f@AX$y&z#9T&2~8zVWL2x6-PhR^3&nezg=@;aa<+dBBx@VU*JkvW z!TVL$?QgA86H-qTFJ4W{qBsd+yZF^5QkqV5r#y@_d0W57gU^~4naC4Yp~Ud9fI&xq za_s{W<@)G@7bTH}5v8=>`UZ7oANWAz;u2h_#cE)h&w$a|KL**E^hh5^*$B8W#Lk4n z4sAr8qfuxfIU(nMwYU*@`AFl*W?AXvQ}*aEH=P5Yahu*|kyBcLB+cW#bQ3w&ozPPr zo~iG$Xqxk^e+m-w6@QFyO^$G-T4X^2m*0JB8|cVr?$63j?e=rld8m0Z-Drcpr&eh{;ls1# zDtVaWbEG>ZWp!U9FTN60{13sVw{~JR9mGoW-wQcEHW>{cQ-<1YMVHF24@5TQ8z+Ok z-d(yKVL`4zbpjdb7POX{KoPb~e%=^TJ?@l1H7N8J9TynuW;|9MJ0sNPj9LFilF!c0 z6XP~kK3*aizTLJI^$MW-6`wu1SMe%>SlrK4_05ir0$0+E!x!A7Ou<~Qt?%PvDAD+i z?Dv%}S3tG@Kq&|0wNB7a(ue*TWVxFIaQjNg*(+QO?c89{S_RIOFYg|c^V1_f*d>)* z;5n(Ak`A&jAfkso^phS(tYC}`9KtNfRLt$hj(<9>3pHAB>e)=?QNo$t7*)HP&)EkM zp>bb{f|V-ZmL)c3UjZ7E=$o%Pj#Iz9vG$?v@#QOfD)dYrb1jrV$V8M>p5;b6!s%ifF%tF|e8-_aDh(bs=kYhFG}8Cj&_ zvOYlI$uCJ7+2y~3L|m^W4vsRg>432+TbeFweH%JyS041od|jw)8ELK0B`rDWbtf}X z3|Gjw&I&Hgn!b+Vn=d9={KGJZ=7>oqvxL(MZV^u5ui?SE6BX%#AO|pm)|*|*-%(w4 zKi*T~mMRj%BwV%pYS97N`VAu4etEcgy1KI!A!UCiQCJklqy1lDg6N07l8a+c_eo(Y zYQH`qKLX#be;WQMxS+5yrG@rfjRcogbV22NRDgVE$R5`UMZ&)cai+&z+5VozT5vUL z>z3Y2H@t)-Yk#`62~Ba+fln^nWtuFRR1ANx=K6?PWg$FgysB|9sFJS?zVTl2;7RM` zAaP2p9yEy$MEeRwpjRj!=ZbCf5RI97&!s139h@a_Z~hSsU6i+9nZVFsdF=k90g@3EEYZzMMinv zxI$f1awB9otxlq6nIGRE<*~C&z5H3pSKJ?-34Jl7^FT_j%`%+okvD63kRq=8rR;(iw$v3U;5Od}VIpD_42#lI=>i7nw|B0o+yFps* z37*rNh7O7yc*}JG*W`kRmQ4kAui z{7qp2PJ_cI5f#rSsD3dMwXxg_Xd|UK??_oMH|rh_xn-I#juWPJY!4d_TWTgotHQ;d z?WN>U0+F6(L;pkWzkYf#sePrYwya$vz4qyc6bKo$dq>JO={7aL==!w0o`gl>Q&`}d z&uT&bDG2zKGsS^;?>lx(BT;r-OCrhPRc+V_MK4)gl3;`G@+^4Z3O&B5=CG776mW2T zEzMD$Rp}6T)0=L@uWsw?;c?bkj|mxFisHXqH+;a}aF-Mvc=I#wdmzwmkk8%8bsUFM?Cj#D0RqdDis`b-|Y7>`W=9(tw zy`l^xkx?3NSKyi=|#E0o^#9E{Ff_AF-F@hmCDOR#^Y0s zVQf$P2*sR&`{tPWoI_Ye$^HF=`ufgdZ@RKB%T5_4l3Vo8MC!_rdmpYu*uv(Mj>#{DYH7q;QZo^8@xEG3cDq;$ zh(4v17z`>k7(OZ749#OCcPyDpWl!V&u%T@uGN)pZkXaLDCw*n=a&#&waDawcsI3Oi zO9@yMHI}``MzxGEOiXur^WB{N&wfyy<;ZdH@LH3~L!0mtc{Q3u4{zyrS_9M^zuT!d z-h>LQSg>Ncl`<|N`^P${j>iUsN)mGJ-;bV&R!h))TS91Lq>l`1y^;Z7Xb3r9i+S%| zYlhH4Tx)_V3IDs91Nwcw%Uh8=6Lh=?8BSC71z>7#xVavxI6?b9d=Id?NPxS6d{$K; z5pitn#9d4E8P*)Et01{-6n%tL2IXXzT2D`oa(}@XyFN&V^l?iLqXC+wNB?}54lZUTM88r>MK``?0V;0HV;#mvXRu6Vp zbM!#pXVq(hM+Ujnp9^3g-w$~;|R$2b*_3SA%5lv|4yp$AaksuHQ zV;IF9svYuex#-<{CUhv|Yfe&ecTY3ZS(3 zB~?CKNaBh3)UF#3N2^duE#ZqI4lh!TtAG;z*9lIScRuTtn6O8w+ckR+3u&6Xt;-hw zL~8`q_pk{BAXp>ScCOvJYf+nXL$Ga)!{XutAYjZJ|JNW)65CGKBqu0_rf`c=T945 zG73KZF-*SJd(H;APg?v#YuEoKQn8Cz{F&})vw1hnxFdIBaF! zbDi3|j!#_!rUY8~HodMeZ?wn`f|^J3XyaC9nnkLiu0{fEMA`x5Z^!8j{u6PSBHb&= zJ_d<~QE&?>nwayDIqy8Y+3oVvOF8fAPE)~azCJ3>=rYCn>M7ljI-f|p3A1-U`67uo zCqQVLYS}{ACGsJAKwz(Xe{fy_C^D9`Q>aN2r90@k%R;C1(L$ccpBLS&ps*hlYi zLk9zA^=o(IZ<~|VZ#ag~dl=mYjRLO=?Yz6f1uNN#)U0fHT<(rxpUtmb%~5nT^jlHD z!Qh$ByUypCuQk0+`mLt)LXMath2@^4>pyfJje9ULrKa)OI~*QbLTic@ah=RcxRyck zPhSIMzrQ^B9={F-6Fk^=-*}}^#n3`&cJ;;ZsvMrgik$*u{0DBz!t;teE>$dT0J)pFA~3C0oXQ@Em}4Z7qa8j>dy3!rMCU z3YVku_yY`_X6SD6IIt2~s(39;eG6Ix%+b1RY2}Kmyfw^#sh!ylFrpi;k@LtN)n3`z zD*A_kM$7_#eV#Rf7fL(>2*w`6>!jFgz+%N417{DX+BVt7)ODs2Q6ylI%TVi#5nl#!JqS^s2}k;mU1k-=m1e5lwm5>Q`1- zPtL85dJ#skJu_0@&TvRdXn)R-b$!hkl~C3wBWLkThx8ypar%G9VR%iE@bRM8wo>8_ zuX)uA3tkGjh?w~Y0mZd5j{F$L_15uan&Y!j}kD*SKM;5k~GR;s3m!3%K2ehPaB84hBO@|C+X6$BCAGGt$08&iC!r}Mgc`&x|w zt7^8z{=MaBpp6Z56OC492O$yQF&k1xLye6$7wElf7*?1sPV;59y&!NV0!TO>u+?=1emmQe=RHxIKt0&hS}ec+1y%e!w%X2MAD!9151iC;Y> zB4HheMJ$T!?6cuj#+EXz%b@14%!#%iC=p&lapshYT4CAKQ%S=R#{ElVC$yR^fKW#5*a%#t+LI$TIjK!hBg6Ud=> zWG&h34axT$3PbFD(`HJj({H%nrkUr_{0iy-O;O zqvxKWsn_}$Erp^9sI>Dx0x0ZeO~1)!1V%b(>?g$qwg75cJfEG5xp*Yr_ard|REXnH z7)VBv3TZ=d%M9;ob6f8}Pu?~GT#F;$?FJZ)!tqi8`2%JLzlv&(CKd?j>^KKHHKkDF%YGm!uClMc>mv^&I>ULJxivzyM(6b4n>nwXV7P~;-=OkFvWz^|pr z#t~#3M(ejD!=it?0~xa_-;t>zA+4tXNjVO_R9DWMGXVh$rhAg>jV!#m@OgLhxy=Jw zZwy=plXo5u=0cue4z`5nHS&MDKfmc81+%B_QGeSgUWWx$209uv5QuB=51IZA=z2Nh zEUnmcAW4<|MO(Ma$vn$`A>-xfSX-a_V&u)owByS4x#7-+`s2Xy*=dc$d&F&kZqZ29 zv#9l9)%8(Ty=pV*y!I+ zX&S0feTgjlb0IyhuAsom`nW?TOaJEek>Es4Qn1pO_`CX=Y@o0o{(8iNct3M~zC+UP zTKBcH0)8PU9C6@YAc^_n`7`X(Ky&NrJ@($J{>|8vjr+QJM?CyhPbVwPgD;)426TDN z=arcbsr9owOAtx^-FAZ>es}q(48QZl*odyVQ!T@q;9^n=MJt|MK9aD--gdC{eYo;` z2v`8q6*zTNAko?E-&Qj=6HwcL9^?qw4QZ?aBRxl}ubE;-18$)eTpt?_5wLo~#l^X9 zd$Fr}xhp!kwrokY3vi#E?0d}8+p~mhZ`rdXsPECm+OrY({u7@6(|a1*7qO0iMF`1r7l$0rp$tIDR=b$3%kU}$>!_X&TVAUfli%lef!t1w z*Y46|b_e0x41~HRXs$Txf4|V({5`pQ=Gdk6l&p(+jaOx22u{OGN$y@p4#01c0m`eL z{R3+0n|KZk>5aDsT`Acg?C8an{LSZSe6XIbb(QjZsW8T#zPKJoSCBI-EOwbF-I83! zyiWl_eKC-Vt3>6MV;3>3GQ={Pwq2QCT!gSuPM^zUFBErz4o*3jgBY99jNK7_kz)g| zae_WGqY*P;t;CfIuy#6fayjC64p;<~%~#5~Q-sGF1z8kkE;8&V)Q_tliM?#$SM%HM zg?2CZLBuv|)P_$-G&jU5sNIos+mR!JHC}#r>G`treFo?Jk1{i&Bw7Ju1J<%Hp&|dC zP3bEJAI27B+un)T=ZaVM2?=!zI5jr9NeA0yu}EV><}L|i=9i#J_>kv!1 zM7<}1lBh3D3~Aub_vQXHZtJw^m}t&Xj`n4I;cf@ZI=`6m9(C$QQ6_n9CV7kX{h*_( zIap&nT^G!eoB6eFXgh;J!eDO9In5*Mt#pXjs2TliYuD@n?Nl_U@jj6r=P;o z_a`JhD1<<;qHu+}skw`=yFd>ENz6J6XUxspz#X}Lk&-y*_rE}MNTG^Zk(0}8_wUz-Co`5Vw(BIy-_NbthG}_ zV*3wTGhNfV^SLgd(fN~>?%#bAw@r4#w72UFcx@$17o}+UmZ3vACo-&Z{~b6nWL1tr z%XWZKvMakp>C^{N@7#a4xu)^^HFhau-Q352kPlvF!oD@1kC2ZuMMT(xFlaJ>N+}n@l3luD8Io}Rp&6$dY)-x^|Sa_`2bmM8scIPZjteJPcmUF3qNhjBu1ATDcC8%&9$gj(>puZ7Etc%njyH?j%{Bs>>FGd>~&}g~nq7<$( zvwKPK6qCgzSymHs=>83X*e>pqzVpULEQFpx&?^`*h7XMcx0HFe)WzWfWDV@Kac)a1 z{^c3OR>8c+u+KzU5WB)`htcfqjuUZT5+XK%k}QI84YzSUKNXs~vdE}~0Iw7fBEKkm z6PY1Uk5J+2rJk$3cSbEZn&RBI!jnJ`V>ff30f9_kKL`-s_V?Hvv>5<5LLvfPZ~vH6 z0G%ou;26r2b%qQ+BMzvfXqTkjtn(^fXJ_$uFeQ zToyM?Lxe&iUKvg2;S)~OJb;@LGBb6cUwDV4^Y`sYwz=$0Qj8ke9Dxv%!wwOc0p%dD zyLa~%KlQ#x5`T;yG(LAm(#>xiT3EZcAvMP>FndC_2dUNmMn*MMSv<5?0DI{cQ_T(nS)>@cZ-U7wd)(9{%?K<)Q->8JD!W=wvaii&)S3(;E2z0XvEbuZNgK@<{RLA zSZ(rG)pSTrgXEcC1VX(D;*ttzV|3c@T`L$?HL#m$w)WEItMz8W;O!Q4dfcmbN@1dAI4i#s=)%wxki%qgr8Y*>H0XTj;DIqLXU!#1siYxHdC;(h@48?XIj z!J=dunA&VKs?$zu8o+E0HM!5>IN56S+^}aJh%=1!Sw4W6b1nWSaLkwjk#jU{{?{>=r(3q zJIU12+r8Hf*hlAVm8U~{5^#%knV89?jRG5fT%g0#gEMh35*SmJa1k6>$xZYk#Bv>x zo@?3^LPgkDF9e#!rJr?3x^LebNnBidT`-5Z1DPNPgUz>lw=0E#Z%$YFjXKc~MrF|< zcuSy=o7*__`iQajH?<0=r+4ex)AqL4HlA-*7O91K3qC4$loViXj=^_1a&mM{wEtf~ z64?+=YSmyobX`$35w@e7(87 zw=zh9GtKMX5Buq}g9k{piZ|ZC#A?AF%{DX1m%G;tJW?ML7ut06LLDL(2d4(?KToFU zFb7s{*E=N=7Is`uTlsI$&^dKo|Di5^wm2tmS5WkNwzAi!hotJnWNlNg9c`rhzs`a)Lm*(Qf+}M9n+CgOa06_eP0anbc6KVsj^D;x2kLMgbVRhU z;ohC3mQNQI(Wkh#x~rQ-k9DwveQWT{jGp}#Nb?}AKxS;wG_s3aLBVuRHcrG_V?(qB zVmu@(vZj3eS|KbUr2`shy>$Hh`A}qx2MRLAwm0;{#kv~}$`?n!Er-WQoQ63)Zn397 zIMpeyX1I>oAz`}ewadUyn?{=knp1#lnX#8pS4#H#M5Bykqn5hE+Qf&tYvm71)EnQ8lx zbo}hIaDRS8P_+|AXg0DXtFxY!J(jC9i?x)&vSmQ>*Sobz=0L;~Nt`E6ZRe-4L}fyM zDMfMcu#fqt6hLfGD{!N50E@O|EfxHv5XZDF4mqE1H6O0RAA%n|s~9;uZ8;E!@BY>0 zA}#i^Vy&F1c5D?`|XGSTjA-q980bBI6JCOvJK9T>Uv+!FwV9i-y>1> zhBFr1L|KenE()F%a^7_u(HQaL??i~|cw!C#COUs|qs-_5F`4}zJAdx2Ib~d@N%x#L z9u4f)zNduu2q$>KKQ>b$8X+E%B<%f^zNvqgzG7XClY)x0La3>ZUNT}z@x6o*u&9mo zy){HSdKfOYk;1+gBkp2dl728r7hE4F=9%9~fs>bm-j6mk*HjNj$K8AjJ1 z%9MvbH@of%YqD%LCvliPka)NIz^l99!nl=kMCQAc_n;B<11PZV`&qZOamtDI^EV&z z-x=AE-pcHn1n|#Q9D8ko_eStND~**I}Id@Ex&WOzx_3oQ8hvHGS>v82-mHmWCjMc{QBp zwLum`nXXSycieRlhFUY-iI$g{5jHmXrGb7ZT*G>vk57KyyL$3sDjZ& zLY6JXF@j4`jFoIW;nnP7#Sl$_0GdP>>q47ta~aKYEs8USu!;d~ynjr_qbxz=qL9UE zCk=@W`?UPl$HT^2G-D0@b``z#Pz5P_BZwz#Pns>UrRkMUg=8;wNI3prnst=6{>N4bIycKt$0 zwP%i`7KLW3PPO!YtH`|Tx9t20IxZM(+FvWo%?X3)*gSh^1KTNc>>dD#IMB=XshQT5 z?M2>%@{~>r=zj5wa#;vk45-yo3k0vV|xs3NHw64pA%dGw=P=?n#<(4&`*3E32F zh4^em_pN;H(dK1;j|v}Su?b8EMMWocaz7MQzNX12i)f7#clOZTuo+Rd~C`D>m484+w zk8~k{*mzc~;l?YC5042hCDclnqMZ{glo>VjTkF~FCsUXebM0Y{>>{8^JX{{af`T?%^ujmyHIZYFJMmBhqFrtx1+BhWa5bzWj#w$Nz4TEe zbKH;y7ot1oGs zrA%*y!^)t&w=Yg52M_@94t_m}%h(^X#)46fg>x6tNXt{LAUE37mGFBuYxB4Hzgm*d zXVH;s^FrlgWQ1%i(#u~}hqLV2E`{4)S!h#_tW;k;Ilcj4;KX{G371Z$2mi%$9rly0 zj;GC^OOud2a%a(4FsyPrMSnkTlMIi5&RZ&sg91L|IDjoqC3`PPzspa<5RX5I8Gz0>ybs@ojLPQb*izk@T}lAGQEG z9B^>Kv`Tx`+q!yv5`(G<2LjX*Ug=f2W>=hqvNw}|f-}11W__m>l#%xpJ_8~&MZ^N^ zM{vnD&ZxJ~`jfdlRP*_NI0h6?1q92Q#+Ba;Orc+y<>9o4AE!R^CEruamZ;-BNfGuM z`d-TMRr(iHYQ6l1n5yj~I+ya`;Ua6MRDfE4YX;iekw*;9i87kBFx{E@zEcHQ{+U8* zSPSoaj1nQf9;;w?;~ifUYkO<%4(0@CU0`LdQ!h)64JifZ#u-> zqj$^!$37I>4IWMa|4&fidP%2g8TsP+1Bb3k!YTW(vx2TOL+eO5>>TRueBuEOm9b?Z z5#4t7RKz6XJbvfCqLm0QM_-ZE@?p5%#|;M&anQkUqc~S*CY?;*?Ix&~MwELaBpVV#AfFN>J-L zZm4dn+>`7wP9Dv`99i|YX5#d8Hs>j5m7r~%Xj+a;9U(1Osxt|Mu(IVwU- z_}_etz|F_pZrll?_`KlZo6eLMpl*$<)h<)tF`Pq|^~ccao6*Q0RS@GN5<=4}geIX_ zsm8n4g!aEzcLpPxK3{Z5wFKbcB`#1KT1#^2<~pyj0acPScSjZ3@2T|YTLmi7gvny_ z^u6#92qXyXh4)YXEnid&;*+I6a9=h7{ZpUaP$l;-sVF#*R+k7UrRD`Rk7m1!cLfm*yHPXvBw)9#~;-bGb!zU z0T{F|t2pH25H{29TwWqYBGRnyt%o_zpQAiP3Kz7EZMhQ%((!lYl%C*7;-`76g83N3 zsv33>a?+!UBlekRTd(-xP~5PkzKwKnPI_Pt+Q;OrkB;$M^`7#cN+Qw$^Bw$~^6RfM z1MRSclM)!pUi-Npn}(ESZ|c{$G++%b7{T}WYOu4iQkSaW;WS8UGNA0$hQi`RNutxf0sM}N*c94_LE!17Ec;{o9 z;`<8H%tu&kn1)278!;oSFNxkUZM28*wb7fWvVhhilIo|W=$clMUn{X68Orr_AKwxu zN#&^gpGQ=+EjhEBo1M5fye@dQ%Jep@qW`dhTZe+KaV{wkZohj^n~|>wysR{ zXncIy!|ACb5b)jQg`=nt;qG`ie_jR78medmar{agMU$z^6^e&DHhnHbSn730(!4rW zOsk~gRv~bTX9Oq9U4Ltu-`b#2Q#DVg{JW!(!Ylopdhv*_Y%R9P60Kbyyg2o6M9U#s}7%tOu zWjskCOYILwyZM1d_le4km0kj8XrJ#bv2fSS(#ghnjZrD@sOhsaDdU!`{TqAI5Bi(o zn^t}s$QQRCthcB~Ec2Kv4ZxTvYgv!cQ@6LRo%1*`gB|@afy2oI3v+uOz0=@SUua9B zh*@GI0>-q68#MNxJ6IL(NnwCET+QT(x2IT-qY+|e8_|;GeT)MR%35oj0#0puSqW%x z{3;SLfV;kme3fLytF`BG`pS^eOk~M1V*+n4lw~{}nGCyyLd6&t(wSuS8bfMWWPS^8 ztNhd+&-yiP)t#S~dXiWI5fKH=rtv5q@!`&MWCFKvcrp0EV*9akXEHr=SJg+_G0&wG zbY$s*kn?aDyUW;IMgVJ{jDZ$!jS|NR-MkPZ!g1c>FCL3LUixvz!E@YpR!c^D#`(YQ zs4d{SlU=aWvVbd|*vAw;g@50zI)Om-?=oI?NK>?U^lh$0edi5+GRnsyh?foF$}Hejc-&niw08-v7+DvzIpe z^l(3n>UsNAucL^ttZ#vCa79_zh2NiFp>F$iqi#MG(tU2gMI*WO9&q(9+-kXZj8o68 z)fLo{8Sqf^-Wr&!u%WD39F;T>$^(A>PasX~*=;%hBuo`G66UyJ&nKUguLxXZcR&M# zTGF)#ui$JJNQ&zt7cj|d+lJyLe{44Jor{&DlO6iHv0t06-ix!igptA<84;PxvM;WGKs?{bWdhuhC)fLQ2y$8&8 z6wtVv0y0*Lh&BH?y=?3Hf_AKSVQ930wsMTnM8||FGx`Etj>oel+iJM=tz@Ovcp8LZ zD|6ec=qEs^i*GB1%Ryea)en_^QWOo`CNX=ZD%MleSX??Ek!?@dOuoXs*~%x%bq$!z zrlDIV&gBT`W8h!UzQ{%x>VSP?Z_YYrYpiG3njyM~Z{}(7BR&&4d0&DC-C#itS3>$p zYy#)rrR+dOUuFMu4s}=?hBdZy?Yh=dUfXv77;!$k`alG8q2XIZBWqd#PB8 zhc2;&uzE}>!NJ~8IkWQ4mBH-F)Y%4PXMOrh^I7SH06$6ts~f(FHZ&bl3Z`+U$BoIg#NiY-%K_XMG;PYz4WBq2~AjIHZasZB!t3Gs)Q>_QD%4^>l zS0neQe_1@6QKb^tN*khm6KT!NB!~@(mU_`!Dt8W=bN@(NzcCWS0+A{{3QJu6=Y#WX zT8og$4k-11MSq7z;)}WFaHM0as4`Un{{~JHttn=N>&xgJ8)D48C-bucf6|TFIo*dd z>HJdzxj?91R;}rN!cysRkVd8XR{RC6ow*Ap2sF%Ckfi*-GxVxj0ZYR+jIflRpT46J zniQ;|GMamApbP?c-xQ81!+$lvSTZ4#6$1r;M2KtbY#wo^ttlNYJ=pZ|T8oz&AS$SsBk^v>IQNos41N z&mzA*gdnk%XrQPA8coB+myBs1ds>*)?~`-_8fAIh6OUq2iYhaj%7-s6Cww3>qbqK` zt-ciqVru$?`D39gd{mgOy{*~2F*5+ei1VOuTqfvB+3()1VdJEz;gy&!&E;y zDuvV*L$?&Nx8do)ruAfmR|8O*l8e=R6Mu7X5{Y_D8FiRhnQZVn{bo!LFQgbUG-G7e!`ofF&vd@VQXLC zbcGM~3$1y#Y6>U0d-in1w>7oflbVSsF`!H3HgB6X4W<5!-gHii>PZmAs+-N?y}oHy z>+zlOFEJr_xxg#6}3GdFQM>7SCTy_gVY6yFSfFkeUvD^1|NCr;f?Wu7&Od zS}bo0sIGDd`3)1P$2avD!os{2BD@>{(Top}$q25%7hR4T7#pAPeE?zY?BQz8X^%Gt z{q^ZVUbb*MKtdw>JHDyAaj|Jp^`9m2liGyjkux1qfp>1w9F(|F|PnEOcTLw$zP5Nhq zh3g@-cb3o-`H0)C}9&+hftd=<&P*gD0?fl-e(##5Ud$|K6rAenq089zi+1F0Uy#5-edX<9h86Fr$R zi1j1x7V7^!k4Eu$yo9J_3VLASYv!>45n(@}I-!=!wJ=vPW8OFW7-cu#hC^h8A59-s zCYvv7U1rCDpGFC=1Dim&@UuOHDgT4d^>)Mb==bO z(T_8!Q{=CLvA^FuGnurM(fk|kwsT*e9-Uc4jVAAf8D#CPPfveO`gb9fBVGjyj#4n% z9QNEdkjeZ!Mm5_us2-{K$u)Ib8b;Wn?5#DilBl%i%KEUq@sdh+lGfhKJ27%@es9ma z&GCHqCP8;m!<#sIGwZ9hW6fzjfcOY7s8D>lW`gb)A$>U(1@r2?DCha}njdJz{t;cf zc%;Y7q(s`FD;zT86y2zg>^ly!mmW9Gc#(I>vW*tEA0d+H0Nry`AE} z=KC7kj>&jbc0YYFy?x+$=AC=YH4@gkEMBhhe>m@MwyD_oaz{gUm9oCv^nmNlVo#Pk z`eb-a=Eqrcqd)e5d${1Sdn@T>XW_rKb?d0Vdh;Wy2GP;}$-_waX8l&?{P8UM`q$iP zeEWlMwH;ZGrgF%FUirP5tdIC%+Y!-xQ#EsR>xG@Z^{I1{oS*0<$hcbM>-3Qc)MykeTQH@*|a4F*;Q zf_qqy=Uvk|y1VOo=q17(x{NnuUh8-4Bs=F#I*G!JsV;! zr{IxOpB-7_#!+yVOlljBfBYAO8f<0jq$os~2N0dUh}Rb|+)%{(1i@RZ@c@@<@OiiP)X{@^x$sdmreU|9v|DZV8YwpnBH$zA1K z8#RgtSJ1|A!v0_$-jnyfE_`%D0oS#6D{Q)I<$1}ft=;|c@g6A_&?jNFANzlomfx>|zU%NJG6mjhcR4%YZ0X#RBNUV71ed=nDB zde17BNYq0f7j=q#mN&x~EWDs;I^QoSd&!%J)5 zsA0T2Qa2+>e|CD)IlGQ%UR^{js8eqm!Sb;W4`^ zvoNl>WX>w5I5jt(6{68OX1|_3>^}Gl+~4NVUK$FW4_Q!kP2$T?SJ)C%FPk+DSnfQ7 z)PkClKkvn0i#kh|9*@&bkZcdl-NfNlqF0)jyX_8%|6HvcKf!oZ?tm@{vLgWs-4^pg zH3_P>225Jq?xpCscX7@WO1DfCSx@BFu-u8SMEU07cNtXnv~JbVYM{kvOz0fo$jpad z-yHjGG3-GzJ@p?yYt?ad^Ka8yzF?z>F1Z7ysep!j3}O7jY`}$eDT5j88y6rI3 zyPFa3nvkEQT31VicH?BHJ<}vu@ykB?4HWA}S!PeTns}90py2{>aBJw;n`Zq=pc@%O zXoP{1DQ2u{6t-E72#%C>yf+4ZRmg*P^qN9?5f2LO^VMe88(+h?7v*%Mb(zy>F^$cs zy?;R7Y1(P+GW6Ke-n_7;Wz222eDK2S&Q85YU?cXq@|b^pQo#QJV}iMOgj0Sa@Q&Qs z*F3~cZ1r@GgZNHWjgzv;yfJi~)egl)3yNkz0Lmf&K~su{&jXP;lwTWT7@ssLv!aah zPs|t?H+qMjQMbc3*zA1Lq=ze@DzrPIRe6MYehq~#8QpW--%n$<4!#twwDgwZ`iPuc zVBNgP7p96F9@qS9c(*`TtXG0~H;pnIrN>bq1H>!7qEo=pyj z9+OiK?l%nb8f!W(lI{OJ2)7JZ93!VGYWb%{EV~2b^RB7ED;@ zi^sx4oTWObU0d(-D>OF7THos?|6KbSIlZKzXM&YPpdfF-tiYI`aOg0XvDj-SZL4!H zZlww|nSucEnPY{QelHF=CFxMnY4=O>@ZDK+yzDe6duqQaAHxl9eUTc%pS^=s0lKtC z2~I)G^x|?9O6SDuLd}17wowo-_Lxb#4#k+{>leYfaou^P$GhiV*0Mqqa`}VoASg`8 za}e9vBGQow6rriokJ^TNZ^`Z8nL-nPgqee%3ex~=O)!(GzE^CTSz8}O-?lL_B?Uh4iGP}+OC48$x z#sFnpNa|Cbe+P*q`7)+1N$JWS*?F9$?(|XSdXcwnJRC zZLt;!ZSl1{_OS0?aIEm%YbVGcq0u52z4@OI)`Lq4i3TpTKi#=EF_8&&9K@K8CrmSp zU2vrJGh|?fwm1bCSuhZU#U@VJFOTn(nWmEuT*`LM{lm9`BIwx62ah_4Cu%=HP`gY! zr;%+k&b7-w)|Ls<*;~waRSdZvokT*E@dqaH8gp9zj+*#INg0q7@sxky&D1O$FLY8&Y@ZD`YCKt*2wPeB#k zRlEwhopWKtchUonT5T*##{{9a7)ZFZxtIrKfd-gqUdTCVpVM3T`+Ei0V%}3uy)3Rf zh?p}g@ZguXvdwhd=2DQK32qWd*d{SoHbp|B-t?r5*%4D_TwQOhlm-xvk!d`IVA3?= zrhWmljn>xj5tjW)rn`6^)&ar^jJ2G{M za;SD`^|O^K`M+()C`^H3mHH?)g%Rvh8v)=@gdB4m4BVzb`cj>ZoeqF^1On@5Ftg@S-ygt;vd3FJ=F^Qz@9W(J{P;@(EhJe9$ zlpcIn6ceSEk!6`W%*dK~kyVnoc62gp*mN>8C$RO{feo!Un$qhGX`4e_M%u+%Rg8l9 zB7c!8>ae~s2P^345@W>CAVJGwvnFD)w5orjt-p8*i+Ylh(_OkPJV?Yle4gk`Nt_?K zW4rYc<1&CEQ8-&T`B^Yp8rAiqx!*wo6_yVjTXGE-E=>mOQkhQX;6G#rSgVKDvOzZ!f0qazxjKDuc}$`SRs7x};HS zX0+lkwff6USu>F(q&&Tcj>P9I4||VBZ&_wSRBq$3a0(mOnG2!3+iMi! zM5{~!oof9eX&xd^VL|Xwv2yFM$YZEp{9lz0Z$>>2Mq-{)RkUUoB5Bq{0&wO-6j!VT z)bAcb@|dWqJVs%#pMFg)Lo;373klW$fxm5%zICsemRR>nxlGrnMrj zIzsKaA|0Nv4u_};~QIPPUO=26b6Ixe9#NJ zfcU4-6tIZd)%&jM%6Z|@c+22_P)iT!gb~I%0Z^kdTY*~8z4({?!LC@l?gKwA6Ym@+ zNTdVf)qj~amsHU1B+A_u-zRxN_1O*ZA zGa4O@{e%-b)C8#j57UQ*9jeLBes2$YW)?Wh)+YqG)UdZZ8%r}d8lp475IotQAp*|t z_le;%nFBfO;)&7*5tBfpA=mU(JBYdk7OnnpwX|e~1k-QLBY<>a>Hup-2`Z>VZc$8E zrjVBkE$s`gT|meJ3v01l#o??C0oqLpGj!~o2&}+k4*9F zx)9jeOSYVDIT@_RxjOn&3Me@+@-jlyf3r9bQ&r$+ZKRWWKpIzNg<&UHvNQxrby^WZ z%PbN{&yaah>vPU$;s5&f;Q|kKl!=sg-_tc^p@NPup(y}&qUmkR9ESrFZUdIucC0>z zf$7w5NAKYjS5ewZ%L0|DSa4hW5~F9oIut2kPL?y-NOdg%9?M|(4)TAFI!{wB0Lm{A z)%LjoZ4c(&3sUQxeo0BX%lde7ld~=SC1pzqWq(75@e`u82N&AegpooIrz2Kx3y#~5 z-ly{5?h>%PU>%b`^$jKOzdx)_%chbNae|z`(=OEMYNwNTB)aL~RBo@2C0_t=l_d%X|1}sQ>U?IN8%sJNEhBpHuHE$%J0?Vp(T`J2p8jo z%Nc{(v6jZ&A`g~&E@Xh7h08f?HxU+_ev;`iFkqAdY&bi0TKU*$HgI3awArW3>ec>f z&Kqvp!}3p-)GME;U*aB%FweS#)L4489j5 zcUlU)OU%H?TK#Q3Fcr}G3Y4JLVvkcm07u-c#v#m@s-}}>4%v!Y^Olnu>%i?UF;=;m zq$~ey)H%ABE>x7fy)jtL8%1uyK$_7{qfFAm48a+M*Xf&Dyzg!tUr@Qdu4az_iJGF zQ!%{utC;6C!9}SaM?m5?E|{D5Bgj%hPH464#yC=Uk1_Oq6`A4z#SQR(1jg(*IPf4t zA*qHABY{qY{QcOaop5Md)pve096z9$c{eL+QryGQYi_R%q=)710By5wa&H< zK!jPutv$mzsPY~?g=k-)_34>kqjAvoZyq7}0fwt{r zk{fzrMB^iqe?QuKeKf3!rI{E&Xe1Fl};BDPoK3sVsvyv4vQiN7fr;p!>|&f zmg*?W3Lx{2IrIo^IwUrKQcgi)!M=tFBg%_ve4sm1Nga}6l9!6ppZ)a=iDbkApDu7V zVah2#5OK`qv!$>&Er~kRkjpCx0K6_KT3!z7YrF!A=%hX4$}wuuR1X!?yDkG}5r-!9 zW2n?lKj2#B*gqnwt!iG?Q>axTsaa9sHKm^WMGm;s2`UmtI{Nr)asQHjTS`EMMP}-? z5>_kAyo;HMUQ9gn!`t)Xw(M*^JulV1lMLNk?OS&1k9$}D5j{^ii5sG<_-z2cD&Ig^t)71XQ+A~Ob#VKvRDAheRn9)_F9bf@hZ=qcH3VLi>sgm!;$pNi6VdwY*#70 z5dA=KPxXx7VHchUE^9scPJW}`%8*=nG?YbNIzWF`w`<6}^Gltem*M;7wcgS_j5&Ol z9rHbE*5D_?B3b`Dt8E_CvkDR58k11FXR`S=yf2GS%pT66jX4Wj{BhR)#f#SEpZi{A z5a8k6$;aK}ogfx0ighpUDrR`!Oo;!Pb9Emfi3D|M54KmTWRpk6%~XZ}gfir08a$JE zpHub_14oI>C>Y0<1N@>9)u2b+9Gz?!H^dx2I}?$NB|hKEW2Ty_B!fW3VyPJYcdUwv zX`b!sq-iBu7dzdL0oJh995E90OZxK%aOt;I$n1^rD+FC^$Jzf+=_RjOxf+1MW~bhN zheh%lq}YABRlxIMKNl)K4QbCpR3v&2mV>}=)G&8=<}%L|-M0zofdPhi?|b4HmEZDq zN!)Gux@)0DF#S^We_h76Pt;IrHN2tz6e01LZSEwb3@4MV={-c>Gu4apfpxCToK8v+ zFfuy=Yn4Eu+3mE6WNPwWgJFW@H>&7i$l-AKDo;stTZE@1I~?kmC5zsUfV_qX;HZW+ zi;r(G&;20py*SX*nK+W@1B~HyE-L8x9T+XiS3dph2CAT}@~mGrOdzZnUrOH%-c9d#;;k>>4cR4u6N5pzgW0u>vbAnNl&QnC9hhV#hO ztorn>foH%h-*Qh$?l8riZE>mUUiAg6Vn#nm9dg{d3*`PIcAq?8U+@i@gaZxhN?WLbM_HQbc)hufrfgM<3fP=T!| zOW>c-6_`!QVSLXX{SUadY@PkTIh!0Q!^LBFI09S~n5>|*nsEAUl6(_M`-S-wo8O;4 z`XRuueb?kMv!)K2_Lrt^fo$dzYuaRSPFlvLOQedu^n{e9appY1Z!hLTo%+&J2slo< z*sZ`$X$+2=<013>WYU?J18}5>LZUtzMiY~GCyB0z&kWE$Goc2q6qQ*H>bR}R{46#% z``Ako%ch6t(0cDmQ*sO{PE(e4ivjtsQ7mO0>Q5<)a#1$Rlw+?@bz59An>X^CB+6bP z*X(CcaRi<#Y(b5TRKZe09fc~KV8+khaX@2)Ua7Kr$6?Sh!E<=+F5FXgBHoeuOGb6F zwJzfiUJSgl9MMyR0Uf0hZ_6`A}>X}motrBaE*t`L-K0HUon zN-x;>`&{hfNz46ZO=IAI<0B0cnKLjt_iHH6JL#oZXt;UoKVR=~kW(Rcx(MN|VPW0y zbN0N-Wqm!<^N(yB$viho_E#YAd3nS)xJDWN{+VPq`>Xcxhd1@&^opi$)ZL!`o)7zv zX_s%gBX1j#pkhcjS_9bxfo5FM>){9F4qH(+_Gpy@qa5SwaKJSOFs@+3=wJbz*Z44(oE_{9)zQ&H_BK(PBJ)Z6PuLtw>r2WX?4<$_1LxC1Nx9M<+-rF0Xi#0z*3ho)W zhE8U?nwShk-sUr*@$65$6)*a7h-$~W(y#CC{wNw$g*$rYfz*Ng_!3;P67@rL4duE$ zJlB5j@YDk#Ck)oV)mQcPuKTvak{4S;uGIelrqc1v@hyMPsm1z?ntNvZckbKcQ!c59 zpZm~f{|9*tKOfp4ovl)luC`SRoz`bT>-)2`!EjE=vz1o-Qm-@=ls9#TBw#p zb6i>Gr~JdF$pkYWd}g@)PTU4Qc6fWfU6mM3OtJ|xTHtp=N>^kIw2#r=Pz!EPKMl9r zqY>3^b?jXZ`hz|88;64RMi^%VD-3k{W%@7QillBPlAC`Qd0{cgX)vT^POWH+YVvb) zfq&NMTdy^}u}Wnhoku-aQ-|Ez_zr(mAvTae zRiJL}l*1xb&$p>GlAuX(Y?vQ6kPEFHU;y4a)@(QP@Qz5um5u-41@nQQm-4#jZFKCG zO7|rQ1Dq}@x|_xA$5##3eeay+O*#{9R^;?|jbD0IaQT^TDqkE$vjC`OU)c7aEccSz zP1y-X(dm4B(691M2Zi1)kNmQ3xGLS=;&0L}J9nSfzgeVROw4%8p9?+QO`MQK^3$Fi zGA*R@W4TCr9r|o9hz%_LYJHK3mw@ENeT$AntU}X#WTSoLpfwqLsr%hF>DiHYn0~!o zJ2j}X8?N``mi6Uqw|3Tj(tZKu{-t#ZeKI_C?I?#|gKQv_G%UCCX>Xt>)2Eoqz8y$( zThy%gM51HOKP^Gaog;f}ZeSH}ef;balUB0sh%ox68;!0}+nS_u`Ps()f}U^Es%hBR|J_zd}6G| z4VT~gvETUv`N-MMt3kI5@{Yq3?$Bn7-k<*-ZzIfXqV6T{U%OjjyJmI$bIe|?rq)+g z*Ap%LWdx|YJTBG`g8|+u)6(v!yK9@yv*8Lay?ikpBuNWJU5hyc?mX+Hcdxe|^15P^ z@%xvl!Io-ee9YBN9o(mca;b8PTRBDPzN4z0gkw^q?SqXDrpu~!>AuDOe#IiLlaY2= z__vWN8Z&V(Zgz$ zz65`#*>CWg>6b;TYWIw?0j%!cGS9>hc+9f#kXqW370?OCvmE$Ef{I5 zz1f+!U3gZf@Y$((=7IN(Zk*Ev}udm|WB$brEUlUwca=*BGJ8rSSY* z`^&mNsi{AC`<;Un;gR{Cj zGh4^I&Q8RnqRlu#?yhiyGk*b=HLu?7Kk*ViN^jgMu-zVamuaT_Nyn}awsMt=`{&mz zjX##73Bf)TV3I6DO#9}gJp4VD-C$q?mz#4o`w>XMePJP1keQq0%~d4lcEdj-F1n0+ zE&l346-D5ciws?NSinz|Y|^`uta$tP8k6fYKqO~m`(){UO_!zf^gsn#9d^2-<12FZ zkU4ms_Hs8F6HS-ANmkOk`SpD8!DH&uPed5diQ(P28zB5-o8KtO+t{z{16(1aeen=b z<$dEi{JN%}qmRXW`vO`}&>o9jOLMuo`O}#bC)bT@7cpx6S>V}Eq~mN^Ps3EnfN?d| zuH{vW7S4!Jk`dmo>Q3CI-d3HldvsTCD7X71!|<(llXdOsTCS!SKx2RR`t1L%s(X~~ z|AS2L9~<63GCdAHPJXWchfa^@e@+WQ>Gae+9n8sDl>wIKNYGa&b2}IE|I$XXDwvyD z0mSV+$o1Hu?Ya56$@#e04Ukw>&7JLColMQ0k^Wt;>|}4MX6{0+$EqwT&8lwh;R0*#1j=&nnGM&I|o3RcHeaa=!oh#0hQjzrS-ri75Z)G!OJN`~UPr znwOmSzZXK;k)a2x1oZ!*J#{AMX8%VG_#eVQ=gX8 zCVr^?kbMw8dwS>6ZO3JJ=i}*m(XVyH_0*tIQjvx?V-Q~lR;Xf^&VJOsfxa7j!}D}{xq@f(Faw;V zrN%VOg}ESaQBv$ESGqU}-Au+eWLaU!j@~yrwh|D7>&9ytIe;=@JWR+|5cepF_mwR% zi<&Df4Rjca@Q(7=^A9xK<~Td)R>8n(salK%vSz^e`F=4cThD!&3eT9gEVl3+-Q0Wc zyYp+6IGrO~)X-q8Y+r(00i1^*zB}gL1&~on`N!GRhAYv-C1KvawpO(Rn<4$ z8Lv*{T~Svz-EmtOIt{~+J8xyb^jjEuGL`pR=f1q6O`4*;wOAM$uj|Wki_MDL-8nX( zGP2*5%rzjIys1t(HobT@T^JILBY1FJ7>XbK;nZTYW5-BOdy`@WUiBkk=$0+>X}8%~ zu{A*I_9}a3i2tiapYmitbvMZJFjt+ZH5l{EVB%Y-{5t@1V!g=Ct&FylIwXvtVT@+~ zTbkHm`;)*2g4|5&#G_%!B*F9XcK3RPxE#$D*@AR&_M0o~NgMVZx?^MFjG!o_K?-gh zIWkR7L+}f|o~OHW$kt2dO*Qv$CSJu?5i;#JL#I;@{4BZ@7Mt>IGRx}D1oNvtUJ5it zZg%tPmzSu10dj(N>)S3?Zno%AP#BSJXQ9_DSg~3&wc1}B+`iUcX{*?;= zE9;=!(2thdHV}OJkYrKbu4km!i70Bj{wFmT^q9}VcxG~>!~fkD@ga?)+NQ`r!g^Tl z;oA?o*ZCTX63*BHYlWZcb7bqQHB~jo7lYYMC_Irxyo@<_VDYAsO}ET>cN?|4@UO$_ zX9}tmc)cKEC98SE*N4NsYmO=v&K>R)+6xPn(DW!R#bS6(yzOKQXT!qm@}>%3`G&W} zGBmZ`&%$eqx;$d`#Dyu>ysSqFtqU3z&8<#wkSvi;X}MSKERs5!hh`1B(3@*e8kdI4 zW12bYu9QM!fB4i3PB3J0k93OMP@hX~f32ljfq|_?KY3Yc(G%WRoqbNzu+{Lb6mMAz zERJ8`#0o5U71Y(Z%@_C zb7^dI%fMLr~7MYvQbyN?tVpFG7tMJLMIT>|A)RE zkRjEga}(`s!J>!D^6qnwJbd#<&Kg@p3x0m=v5r=nbcqrvwyP~|ws81pGZ6 zT=E9iSiJ!5*u_%lk%tw4vzb2VThW73&$IvM^y|cxj`6@Ol@j&P-$I|{YN#9IHAm=< zhwBI}Y-Y3Hfsph*p|8R^Q)hBv=%Kxd(;H&Q4_RB*8BZ=*67e46}OfwLq)y(e{Wzz zX>*a|S9QJXbJmJWPj6>QiRuL@+7$dzR?+IXwQd2!8Hvtp1$uBh_mwbc;MJK6d+GZ1 zt7?7A5?tE{PbSxT#`6414D*t0H#E3&hTi?xYfQ%z^VM}{^t3oe zj{2b%IPNU6R5hLK-G0=I9g(fz-gSI)^R zF%bALi~n&3!p?$?ghM)uIFE&4xDp=6`ZD=g26Vm*rit`V1_dsPP_Y{=q4C z*+662!J|aF14MK7J19uv{8nkD6x0|YEx#f=6ip<{cRM2c*$!ToPh<%Cor;Fod3VQC z_(x@ZX(~?!$?rp+2G1(9W~S7!xbcyrA(s8l<)(5SW>3~I6&dpsRGS6TUA^JxUCj|| za0ozjT1}|!~rfL#@nw2-hR>$m3xSG046yHt26z6tz364$5JQ0YUQ`|F`8>c~K?`cnP zzXCo6(AL29@-sxH003YYVfjWAQ;-UWe}a?_w}z_H}#w`+2^t72}cO2}zEsUfDGnf%S3*0^PA z&9R>WYjCQL#j3Y8b>`l-+84l2TMaS0I=8LC#1>8Q9_~-Io>TF)^Pb%(%~e~d94&%xvGJx|UHFA#p7qZSI+V%eT6T$5v0Dpe zSZg-nJ!9grpHU*hblP{82ot6@^xCW5Ks_J*Am_z%#O)c^wHbG|V9J#c31@D1O?!{*zTx!LmhM zZ`|~DXM8b{(-Ev=M|c|B_h1uLSYj-VJ|1~|d;Tr$QcAD*MZb{??&khZi3nDvWIS94 zPIL7)lXJ`F7?ajDDX&iY~R*uDM_;<#DNMeGcwg) z;g&hQOaLeiRHSU!-Mme2(eoL?f$EN478ONni10+Lz@OEx_(8~gbedy8h$n(AJDd^A z4)G%oz^8?ju02X{$jpZhi!>Z1f_pPUjc#+QN+Kn_48Fes6o6mDez=%o*Jq)GZ{+YR zuVD+#X{rt@BBBA|Bd84W$=ZTg9I^M4_1@Jx**~0(eNL@?(i6r<)F?F_e=^jG83Tck zaujQM!)GPSn%eTu`ueBL*Lco?gG z`2j#u1yr`$DQJT*+idI_yPg|-6KBP}!(vtWCb5WqE zere4*=3A5y3kL*p6s06Klt>(RWe#L<CTVVCN3DVm3moNA%)25jW+WOvRdv);j z+>tYzTzc&G?tJ5#P{VX4py8nX^L@Aukz+ayN}kk-9Bgz;gm8Sk9Mk(j7|4Bs1l`B? z;aJ9UiwZ?~mbW3Dy{@fhymvo8SteK|n}!x=*3MuJXc0QTR<;J8*ob44s$8cRq&vR4 zAnhwb*!(d`zg$%Rv`p#6T#3ofVG~*jvcYwH{aBB9yeEt48H}3E)`(+iypBDzj>=OM zWm{Jq(8*$7HRxm58$tI8Lv8wgjG{h-SuuA4AW9dra8f&o&Gbr~;JDZq#T_}eb^Q(h zL(|{5(KEPSpF^REv=E7wxRx`=b(i=1<58fvK@p7;MDKW#HBS`b5a?Zey8VhRjgG*D z6>BT%j5I#>M~6=sXbUMHb~CA2k%}GfvB|<@wAdibl4h!OyJAQx=(d4NJpyqp=Spa1|L9~t+Glm>2*me^4e1uHHBXa6b$M;sr6al*yJ z8>WtrDlR7ELSyhZ{RbB3Y7;s_3_4i^7I3a(J~fKgH~cgHFQu0xBY8-Bi|@v?6GG@X z`+lUChCyZRJwSo=VRY5F6ooKc7NU$J){9M_F#H{;hqGdWDq=k(cIH zX3S(5j%EFqFAp;ek=x_M1UA|~UZFlKZIO7QFoZ%qTzu1k7Ph$sPgH-*akvVUHrXHu z8ATjuVZKGH11wTzCIjA8iH|j>d4JRgQ+M>OI|TP9g#4tSP0tPu8*-wD8PYS5^@CLF zSFFN~l$aMdsLi18D=um{`kAs$SEUqU0aCqbCr#{4?2+kn=w4THr%E9h< zZL3UiqE5%c8eydwX8T}@)BtVJwU};!1v{thXxq4fHLD|4L9^sYU0cvG}hPf)S%ZX z=BB8I_O^{${?EhOvOk6qEu8AS-(iqV3GteP7U>g;;5Y>}PWElekH<8d%G1YWU~8Fm zA4T2rq`&sZ*uNX1t<>8il&t6h%C``v|Fj3uf1X34VJh{3P@sUQjb-UMIahY|**F`1 z27J`Zfn!Md8R&y-p$CUd)=GWyle=Qco&IJjg9wP_R>GX|{t0|+k z-;G_|#*R%e8Z|LM>L8DoCtV@5dJm?Hz-g}8q=~CqgmwYO zqf0Oiy!Iu=Q)5P*5@RT$7Sdzm7mMB9t5 z!a=r-v^LfO>AHb1lZbjJ9V2;1>SOZ65%?tbf;IIO-JnpZ+$m2S#X8xhna#Bzc5hNa z`~H)$;4F;YcSAiEJ($LeTR$9r8CIC2O-6L4vbo&0O`K728F8SS1DiDS0H%-aI_8d0cDU9h`+HnNx>ARhejTvZYT|~cyF_KG98D@{)(;$W zFFL!}J%~76lpm)I1`f;jJ9V)cj@c35^ALRaQo0b}+h#&b+5R?|3WL= zlJT|Fw$H4bc@arYUi&R14+ADCL}La8i!-*2b``hv%Wbh3#;~?tBF?29Y;L0~$d<9v z|7U7#PZtoFw!@KK5B{(OhJB$jJ-z<=DIIsH7$q(r`h0}@X0XvNndVlfuklZb&b->z z`D%_8uj0msz}#9x$dzy!>Xc)yP+^n1qekm(ND-xQ-ia~RXghssE~O=|OIbnOE#CrG zoAlP6u9~49ahdE_YiG4Hvkc$+*fm(=SY)$t?L{@TKy|*UneCrS_|4C|Hj8XCejlbO z%~R3~wyI9Af@+1p+O1X4sn55-K9*X9KpSE$X2tgT=00GWX|!*<$*s8l54%fA@+GP!WOC+T!1E+@P4dj z^Ahsx4@()=H?hhqsl)1e@&AXpvkHo&>(+EP?kEc|EGxg3g?u@|~X#y&56z z`&m7W2LDygk3mL!N-0KR%YICYn}&@#7V6b-y>w=utwq;ZHa7=y(rJNMGe-H7i_jA=gV^0?M1@RlZdz-BRjxF`c~jFZf&){o-W9LK&4q|6kK!cs zS>r`oCww7RPV$qiD{Wuv7JwUthGgU6gtcxPoE_6CTn0&PkkoACNB;*LP zwN-~lps9qV8(5|tB)MFQI%eN><6b*>v-)YjqdQ^Y9^B{&waLN10qV(OUS)P*(tlqI z;d~mfb~+B&z+7LCXPI15UXAB4(H?ts>ns0VdP~cCTM_UC@1!mG&bkO!KYq$`*$U(~ zS;}$W@YI+(Joi&BfXYm*2tXEq+lmJ$HQl29q1*7DmtFucr6l;*tEt{JnDG3rTbaqS zbo)2>cotLLJU#)2$J2DCf(D2C|V`Fd;SAHGHi|L(yVb# z_E@_FO!r6EiAswa-)=A>%$=OHIrV0lA|t8ZKsO-xx9zgqS!9PXWGC};x6jG3!GJB_ zZVm)w+0FWU7+_W&03GE2>@q2mar^C4Dgr>clEoCv{3ewqQ7OX^_s&XBBx{9kVNiO~ z5ncXxa}Kz4y6CM~GVz&uj|1|`v3t%{J~YU_&}{80R_bv9)0F6Q)V*&9qcOU%7Jkrr z&oSY@`S+CTSD#pydil0)Hs5>uei&P1y@J9{ANT}Kp3HIzWEK?5!H!G4X>K5U#y1bx zf6kX3Hh12D&;`81taPL4a;o*J0bM;f5X)FftoNXPxjO;@%uu5#^Sp}h@~B4RwYawa zn}F8xv$;*0a!R|sqmq=D;te^E!|mz@9fP|p*MMs9Y&+Bf393lX98P(=ZUId)8)Wi6 zI|*`6f34!1&Pvyxl#?=YJI{}exY+he$^ss8g!kvX`+Ez1G%2qSBg*uftrzHV)$ZMd zM`O<_N1`#NCKOc^=i^IC{@0#|Ez&0C*qiH)s3Yu&nJ5HKZG zVZ|_&%to3*!dW4sH-m$l4`^p6PPUjal z#{i$CDAQD+<*!f0nR{v|MOBH&`v6ivc8~!QHjabG$Lk%-d5?a^^OMr~46zX8Q)-jmq*UnZYY0Nx=+M4gq}R}lE#I?Z0=(+E(siJmtL?L2Roug#I$6(37M@xL z#3hvT`Q+OkZe{phR5Q!F87CjoQmFo$He0`?IX|;CQg5t!=liRz1&nsoj{Z~_oVR5- z5wefC2N6djf(l*GP+X-vQ(P$)caNY?YrG8$`L&P^!OL8N^yvtrq@?}xTW8kakWym@K6 z`l7vtr?|*etPoziNH*(92X2Lx6{YXr?R+e0YM3ANLWFKI;3~H1rI1HV{YnqQdZsTf z@y78a^|CzjWjxl5IMpZEs8V$k@(3=Z`Z&%j@D6d>H>%07Ul{zG+xYIa{-W#Csp?<@ zR#=<P60xuexhz@+~SLMbu%}kGaXmZ<`SM zb0y^mB!Jh)#U7j$b8PP`qW;Z$@YI@h+7kn1TlFh`<}tJI0P00fde-?O9gfEG$RzVX z=1Y-c*4N8bn5D+^++7a(*5nGRI^`dHjl-P}IxTUt>*Z-LiqaS0yH8H8XK!yeac9Gw z->-yj%|M3@()On_Y1@ZEjBoGC4-ZGQTi63jZw0+X2{V2893DbkwS+7V0nsVJ) zZ|}X-oU;@k{mV%pl5qQvo-VtZ2v)k@ji?T7a6BI?Pts#Ybx;GAGfht<57qphuN?bo zo3AE@?|c>~B?(?$_ZIxY!=dc;{ui|V9~}J;xaQ*G=KAlj{vS5}A2|E}HLU-?kLUjr z+y4iiXZ;88|Ht+GZ}B`U%jcQ@Li^4xPG&}Sh;UL7=NdX$1kLrnhZ?pZ-$Ng8BTk0q z=lkE_IAKB4ERMS@Q}9edIMG1@Y>)jEz3*j3w9Q^i6`OVzU?e+`Y~JPMuHUVyzx#VU zPKD(?=HT7uYze$R=a?^@tzQf!=`Edi`f&vtZCw&>uHpCg-^( zhp+(W2Gz%1xS*n{q@T!@KZT(meTJFOpglqzT;x=Dx*hXzX6#{qeE*hIdovPt*|za9 zT=KM5Q-JoSq)j!GH@$Tn80ESvl-KS2K1Eb^xUPEvSUf-YP`bH$S=J0XRn-{gY#TU~ zIi8a~7Q(D(alGD6z@F=1YnJWhgNU#+x%BFxPlxZL9gGjCsc{QJY zlRD!)x*Pkz>GWltr)-_$B%RSwED@5g_z$9rE}kc=jzU8OzbwOwykAN%N#0+rQZ>nBOiPD^>g4ayx}zGOd?nf6+|?EJEQvQ;?B3L`N9zUnT}tRzZIpi4O$ z+Fbul)n-5G=|ocpy&f?LRoImm>=!;KFu5HfJ(fwWwB9RMcU*2h{^(NXW8a&-os1H! zLqDM0x=(Saj_YLZ$J$aS1YYWm%DD)1yHy83mTO8x>(P;VDUH!QO&; z9wrKlKi*@PA3a$Xr{Snh9pDR_!y1RtbSL18gk)z@hI|vVRz(38R#OlbYa)7BA@^7i z8v0iOExrq6TE4Q5&r>t}(56&eVD7=2(BJ5~oHtb-;ve-m{4O zOgEXOsF7HPol;#>%PvgiS3ipzwxl^a$M}|^ati!C-GcAYyyS{6Q~WzXFg3C-%>29p z-2UD*am`9fvElLvVRw2VG6e{ZiJd~ZuF=t~d-3pHa^wPgGO*2gV0mV|u$5?M=6Wyg!@xmPJtmka@?@mr$Fr=1 zItIpVI{6!m?4>cz)q6#Y>T_}rk(Lp5DrlPb{Q0`=e&%JOUq<>oftS)r(s0KO0?T^D z(0&dfw7^n9VsE;fo!RA2RSI**ZxJp$MUhA{(YXs>*Mk}Gp`ip)4j7DLVL&OT;H6gZ z?w)oLR$!twjEptQpV6TFedwTUFa#$!;y*M$HEq`&BYPhT4wtm!x*oo-ZRuLKco?;P z48hZq)e@@70=y?pM8{mUzB4|brSuG-j}tI4ehfvpO&BhCA9GdM@-*Up;qxM~s>r#& z-YhLwk^5R;Gs*Hp4s+C|H2ni8KyWSGxO?W>a=Xs;i)8#R#TwMgaLMtxvO;Ft8C{c3 z&5X=NAfYOf#B|xxDXe{BS=aYK8#@mJs+;&9FZ?$B(v&6#Qh zCpitra{4{@Nt+ZmE9I$y zhhgP$!5Pe+#k&QHV}4Xoy+k)#r`M-;v^c3$KCMVxVnII2z#KZEn5823@ zU2gIz$ErJLuhs}>{mO%!KS~U&XGz!-$p!#gP?oT)pHbN#2PlT8kOI+AUtVGQ|0`D&Pm3cbt?6Qn?}@AsYT=&zOPD# zxP*9Xjbo+ttS#<6{Wv@Jtz@0)yMCs_oL!JCR6=hv(MI6Vibm6$SoL~LZe|v@(?W4F zaQ5I&u^Q_#pw?bG(fEqNj zTd>MvUQU2y)@(AP#D_m-J(6GG#+|$nD=c~*JtGXm`fglC5IbSbl@~ET6+U6DfwW+4 zF=*DDL{_kxfmy5KWI(w-WcEo z>*^}$xK>&G<=MyEn)4d&iXYhXK0@B7M@l2y$fuS&g~vu7n9&i{6f9MVDwY^rbbm|L zqS-7x<&aL321K&&2blrtan>Xf>gOcXCmGWQN64X7U^ZCG%q_73GZ4~rUCU&W4g+}8!PkK;sQT! z50mjPeK2+MN;0kPu?*>MIBquPaMQa)Q5#E23zU(QA;|_WSYB1GD(dr9#QD@vFJUEG zDB*HgD4tW4XFv$wS|GZU8A~xYQOi-*^-OKsCeo=pem4*~#smE=`a}I)C8LQs%ncut zto+H)zC200{toLcOV`JC-vM+^$ZI{)+-!N_{00owZ-u&e>EmM~iinp#E`Q@jH_0FR znyUKG1Fm*wzvx8wb$KlS*5{gfCNZlthg5iF-%fqp-B|RfPYke9?x*~Sr#e-8f0@^0 z3?9r3g_0PYz2cW;+=RuJsI2_KSHPdH=;0;0yCIs0Fz__8ufiWA{dhhdb^0U8ATJ#* zL7O0B%8sj{j#o#&IsdcZ;ciw3{iB6RqV zI!4vDNYKUf5g@rSNb+_nI8B~ToSa*Qt?*pbTy{xp|9TOfV5w&D={3f19ldC^`Ij=z z6lc%`5HX46w6TFg3+(|B2uo(4wwsexmCx*yvGkR3f85=vw-~K|7Hq<@4&gyYx=~Fe z+G9|U(Dd!)#_0z9}252>-n?`U(noOqNrJC5DOZs18(KZa~W z>eD{WEiu^4tyqxESj=aXOz_8?Ch`kLxRW6>ghdl#W`s5QjYBE-{?L!zWJsmN{GlHQ zDpik5=wg}kpwTvJ{dE~7mxe|!nMV&)VS$m6Xa1uVmQRiql+B0W6!@x5<)7G|GL(Jk!dy?;(F)jGM4+%D6c!m{Q%8MDJN0ieIqfX&q~-Agx!T(0`KEb= z4M9J{BOU7*vH8k939T)L5&j^cPbO65LKgSykF)%qt3v*m7K3=bVYn4oZ2=?#TGKRP z5X5uSxtaM_WX(EP;Im|L_g!;w=VZgilUl>4&HXd~fx~K{Z zIPjOD8k$3Xwk|jj!#KGySQyt%KXP|4H*-G!|MPSV-i_a_}@3qeismG;f>tPt*ZDKP`+^dSd>9Mqn7K`29 zmzO1g@#6Ix(Z_6!QO*F6xFX5p?va&ULtL;pk@Z@7mmZ=7PNo9&nG?Q5UML%8T`% zn^!7i#B94gX{S9W`jAw_(3tQQ;4oDV<)vwCngYAQS+)%MUN|>)?Bic1R2s!mz~p+1 zx$!P#Z0g#1>1uAibnrY--^yx-Tg8v}Wu-xwoaYL`tucZB)|pog-veqW0NaJro7e?K z5{t!g8%$k7NwNW)$pXn2af%XEY>H4hs8M_#kVfuxxgvlB4UP#>Ob#eY{3P13!U}W{ z6wZMCeZ%-9aA5_cK_oBlaF&9r{OXwW(>WhlrWD*R{_`^Ak3AHZnG)rHh(xXX z{Rz1#6pRipCc6EjUn#+ODn!>lPFJtC06;t|+Rp4I`{J--|;?u$*oKUpo zw3+S3LVYQ&Sd-(ae2NWbwW6#z_9DD6^W&-X&%?yx&TQCXJW012+DxLK6?<(kQ;;#w z3*eKjya8@8wH-ToCS3cmS$JOyRcxYVv=EZn;*>{g0-T@_lW&5R6(FII36}t~BV6dH zghJ~pN_233-K1rWCq3%H*Vf`nvg_UBQ4Y;Py-KIKx;5#~pt+S*V3dAZ&Y&3a*H*z* z$+@j$I?HZXR&gx;mE1)$}Lj7%k0R* z!S7Cwj)%T`4hz(oy8cXf)hM z@vT7$kRoHKW^I(8{UcgN8!%Hj|gOKf6y}zWwPX^F+%r z?o>WN+xzr5yX#z|o=4*+o7pteO>+BG;r;=k$t$qb#f_9zpllW8FhCC+l4%LEM@T`R zjXH_(frg+YcbmWkF>YIVZHcdcw;N|7s^Vt(aoKQmy7tW7dap$337+TcWtj0EE5@aW zH3_vO3}(AkuKKXaB^&kk{Ega|A7u`|9?<2dN(PAW~{oew7k^taOcm(4=7iwGRYAs@IP^&xI~#pxS6XUZt<|*M)S69XA|1Egpd&jc(^}Mx^Y28ukCHgLGaI>QuQ%V!$ z%dG-{3N`OGoSA{gAM@%n^f}ugO}6}lKrzcykPwqFzpa#-`J_h9A#oJHLTeN{zx{`v z<1<*Wj{e*Ol^8fAbW?G8&}3p(#G7m`#~C)nbQbhXf38ylQuKe9%};!5rw63W<58D> z=JgQ(Aioi_v|8S}E_PYz(ZWZ4G)IQApDvCK{J9?Pez$V67w@afE=^RiBdYg}wn0$y z@7@S3^2`$tUB1rJM}K0Z^wR6MhyQ5Jdh9*7kNIFjwA=R= zlGblUNH)96LQ3u{ESQ0i0+1TVL=uOKlXy5w%?q=VNDSg43!_YjqSix+5|D!u6$8mg zU~toaOc*JR)K%>}Zv$YAHC1MX4ZlF3(o?x05Wcw}a0Nbi8!S7oz@h9$lF7kEG1EW} za__+;O^8IZ!LUqW_H$FfL{8h@u4sk$J}w+G>d2KGSKjLG>GFym zi4?4VEq6e}ES`(RdRG?FeSeV@Rk=Qf)>x-57ZBJ7oztI1EoUt~<5roz%O}%2+K!OT zuLugP2SlQl=CA|C8h zLGuq0XTv2jaWkq!Yg)uh(Xwc6^)xzkiNBTWT8hofA&N7OB)Df)e~I#Nc+C!#+htXR znZV*#F1IK#a+ymtht?O!LS+dTb31N2nNuU0P_W;dYxvt8mUd@sXTKKg)X3Xltw6>X zA%9CG_%b>|7A}PJ&Bya6xhz{R>Y>lsLC)oi=Qi!6FSbk7$;0?zg^`{;&z{z>SmOgf zPa3!go#Gi)%k}NYXRZ1X^sIG0?PF^{=0;TJkEU9++TGC8dX|f9734WIOH>K3XW&~b z8B|(}p?DPL=UWWIN))IANyG?VD2#q{F6fGG%ELKikh-+4g-=Od=A8*+rI^R|x5TQ} zcDX4D6F)#Mz%S{wH9sNhTU7PxTwKickOR4ao-iwx$AJhe33NL>GW3q7(c5=zwf*UU z$p>_vsF~{|+c#rTElkNo%Cf~5%~H*@M|1JP1BdT4z7r>{n)hc){}oRj?VL1vk<<-+2K>t4DWpEp)>ic*%Y2{?E)QgSlQ&xQsEiWw%e z1@tX9)*R0ko3v-y;$K!s^mcew<7qiR*U*pEUV1nGb=BHQLvGkSNV(6zwl1Yw@sd!) z&+EA5+Xt~FAq5=oD)3Oc$YHy`s>tb8Nbi@XZzlNMp|Sw)D3Zcn z>om_nn#$@-wnNxdKsO2|WcIJ4OiA)8*mNmbsTghQ?XumIx)&)tySRhLtiYY(WE$U= zEKu&@VI*@HKgYkqur#a($3;yX>8_LZ?u&Nf{+0KhmM~G!Zh4oFrf=h|gNVS&j~BS= zsqszCeP3IFX4R+t?8zf}%3ctP?py`Nw68z3j}$eI`mgzz5M|`BDg^O?wM8*Ow|)a& z`?I;O#CpeH79}Z25}+TcEH>Oh39Q-IcGWuw!;vzdNwqZoDD5crFfA;ZI0X+{C3)<= zBXiIqceto0{yy^19%YT)LTr8ZzXwtQpi!iIUW063-STJVgM( z!-omwEH7S*$+o@@m=|(>R0Msh2BKq-=T4ZNKhCOTx68Hfo=epE zupiO&yeyRkmWCRuYE{6Z#id7^1-F>dqMAsE8cSwtuIG7sVje6Obssp}Na;qqJ&a{+ z-o`WB6wK^#%(#6>diVJR{kpN#8j_LmHfr{GP9b2}-*lqFY=$H^(g>m&pI>(hVn};# z1-j2k#^xRIQWoRpd35a5wBE8}Ixz7nW$W6cw|Xh3 z`8lcz;A;+#8to;M)3baNMOPO&$*jq*bRvI&XHp2nA&w>r{@d|>q1Yw{W5XXqZ^-v=B z`bXY(6{F7E==lSS+F+8vNabW8>UAL@q)SMKSAqdl2u9iH5aOWR*{;(Z#q1$+3b6a5 zL*)hVK3zbRZ1Ymm(l9~G3AnK%NddM~d3xzwIi>whQ6pwXMyn?s&_G%lwzIZp*POy? zHL@!vaM4KPxryp2wPtKNaH;lgM2JoBJ>LQ}{3azwqVwUruC%xL8%`XZ_i0kStm=Id zZX*&iF&C!rT*hl4nf|#&xaUuMfXlAXB0#*BMQaMiWCho30wlqlIH^2L?+!sWL})g1 zE6J>mpaO*$7EHE6dL2?P8JJ+4$c@%Xjz7vt6O^jhUtpX3C|a)?n9z>7zHfPR+o(8H z=GFJ5eG_VogF~q%Go+*+KuonI;vC4pemL{ZITL|-t<=MQ$_1^o6}uDj4jDXZiOOcJ z)=~`iCMNi;uH*}}+Y!4!>(=W`ZzvJ2aclKjK|$hblEhzs*-N>rBb88aoEJq-+BdOv z_b3Uo$O_xe;C!ZHz5d#%vI%-{R(=LH-MdoF3~~S5v-whS?A+e7ri0;!1&bqx9Hg_@ ztW4c+hVBUTD+I#`ok3)c8%7g#eB6oWNI|I^ef75PfI+vB@Ci3bMuc;uSkq3jgqaIK z!pa4+rY;k8F0{VsFe6wp)mvp;u|N=1@~FH zXXdQsbUZ#9I~1|02nqP~@U>@ct$r0`cLD5Z04~dhVEjX_|J1_v1Yb!_q+VeIN=up* z<2GgvuHd8HZ_i--L$3oeQ?3Yx=Y)bkaCUl*_g`(o4=ofQkpY)W4SQs$wPqtPb+rye z(k$xfKeVMjV$y9a6X5MgFaHetw|TT5)HWqhr=BJh{8?o;jLPp~-4L?nsXM#d*6q3d zq@Tv`dR~uxs5&uf;}LDFoGXUTUIIGtXd0}FpOA!Rn&h_ou#O*@mKQZSG< z(y@J;gm-K zOyasHg(Ux4yVP3e>1^E0EJ}Pu?yGACjJI%_q*jL{*xIm}uV@2kS~!DBszd6HZP+ZA zwed$=QkkOd7@{$B#uJtoccogIahhxykj!+(i6TFn_tnEG5>WE~9DK2DA|kwp{Iz-M zio7Flq2w@zn95Swch!Pf@c_IZPhar!*n(Slk#TmytvVRw({8`D~?)LrW$a2eIPx$G{{*YirrYS|5IvWEkScN4Yqx{Dq3kR#k}H^0pI(5i?n zgtGGi4a}0N_@Bi){}QYGBWvOQG|Bi+@eV8N{~&hx|5UugNzDCkp$*gj#%AMRs-6F0 zv%&h0H^={(j^{ruI{u4}$C+>>#&@aqanUcq#F^bUBR4}0NP;?`ZAZ}~)udMrf~R2l zg?2(F9%=Kk<*@bh0_V$Q0fmEc2vdhoX~3uNMo^Ftfsltwk-PO7R5#!IOOW5v<^09T zf<2(4?_7e<&EDOojqj*)6Detfp!ZYAbH8%&NQC^#bdz8DIPUmLfj=vA{5Z$`+hMHV zA(jv?cX*4CAcl{_#SKn4x+Kd7|Gg2}9fzZ{9gn47P|O1*j)0rXy>H2=?h2`QVA|Sd zmP^68O9n5;{zpgvceU;-jW5lU62=8B_lDf0v&AsWp{eARI1GA`?Ux|Qls+j$m*-(_ zH?kMR4b`lk{+uh}zrSr+lv0HA`k!vD!JA)*4A?)G?{*^m250McdL0N6)SukPJ_P)) z&ie91Cd;Q6>`x@HbJ_9I3*e{s4BqBmPk`alcPP1o-~OIorBL#fJR&egS>DlRtd8FWFG0A)FK?mzP zmmN|iGl+}WKqTzXtErKaP zBSq03ehg1dZPvFCcZ&hVajd1WP!Dqw-G0rNnASKap}gms+jJ7CW=Rt_d{e#l1NF%B zj`PP*Z3HkRo(@+eC#`Zg+ztQFQvH6pkM#7 zI&C(_hH3gTzFbG!o~WEv`v{DS6r7xIZqnX8{}pn#Y4Qz`s(0e- zw$z|iCFLqeVzWgR+^H50q9c*kn{|vn3N~vYoMc0zc(>p!B=lhV;>y zK?2ih{f*(98I$xLv}AGM!5JB$TU6zoUbLCS#Hr2qpq`Wg&VbbS2a51iPW=+FQj#;J zKwz+&wNd~#ocRR6ZyOPuyHKN8S0ih+&rRal{0r6Z+otG61xo$A)@<9b^q7jSpFz36 zAF8X~DTya@xz^4J+TXh6V-9YwsrSPrNf5y@YsU(I5D>~&!lkZqyW6j}^W5u|ajlw` zF6q!_p$$_kp{}KSD{31b=}eaG#yIBnuSBedF)EII1Mevic*@p2*u{UoI#-Z)WN`U3 zj3ttBx_Avdp7U;b@oQD2VJz5loM5@Xw$U$JDokEZuzsGpooH05;f z7IUtjMGAe~%17`*9DO^bbUQui#J!w)@*b9mWS^P9$6wkxZy&_jf_XPzh%k8?svJ9$ z!kP!*OKZ*Wx=1sb=OYy50hXLC1?yex9-;RiK5e|jW^GF9Yfk4i4V+d*LNdR7ofO;i ztQUJj1kZq_crGrB)2xpQddiFyq>Jt8iHobl_m%3y(VZ-t_>CV9K_9@Tov9Rx$z2h; zhMI84-z=k{u!Ju2WP!$DgkP7+jL3}=_7!@#E7U%Ek9m^Q20KEWT^?0T)f|$&R9!^% z8G@ZkT11tUoZU?1n=>uM{L&-0*y-OLT(#vploOm*U2=`q*%{5vw84XHG^ostn(g|P zUh{jv*n72dR87sF0s*P(t5;}erPTI~Yg~f_Hz8gbb%ij&7UIY}Lx1EA_Mvwq#mgMb zTDL#^?jBOhhLNkxIg_40Obq9~dYtfqLLRyNb~tPMce&(__5GLYc+-aigTkJN^@A~< z2zYMA1&f2^Mn()B&M(#NCvDjxxUk!A9}gGePZz=Ka8b%oQ;Vg+Gy2K(`LBu&Zhueb zqV}2?QyephKvg>}sKUt77HO3uKLB)N(cBGvKdx|8bxm>&c#Fgs@qj9MlReY8-p7AJ z3cPgo$Eeik!!GizALD>}hreA4Xn(N_gzx9Y@uigSxYML#(C?3oSSNBd<4@aX?oGB& zvYYgqN2X4gXR;WvVbW7IC2^{5G9$ksfJ6=I@S8DcC)gGl5q(Elr+GJ>V7w)VZ<`t> zoZ~+`xyCPoA6Sg$ag@Ik((E;hb=_p*jy~o3c<={x2D3u~Z0^1v8LLrx zhqMwbYND7(%O1N(FnPr9Re3`SIEX2PTj4Umc*GV;W`0h(!{a z4bKx9rMA#bzgnc_e#gE${5U?fj<7nOM5h$!U}P-ZL3V8+zmuh9Lm+E+ky zOhT_WPkU*pGKEW%UmMZN$CnaBTa(L9XoQK;EBF^$KZQL>UJzK#fc!j!JRr{5Iy{fo zHEsE%uRmKh)rukF7#DS+5$xF`SK73gAPk+H{|GU%??g4-ig9`z+AMGJwXz8wj8ZIK z?d?}_?ko&$vkZI(MjsvN+#MiAl|!>D{hrKX74c?S-c2AI3Y$`W7;0{TP4UiIv)Drv zczIw3(6lDi2=yJM0)+GY0z`t?kk8{rK@ zqAk8yFn20ndHg5znyeek^;~!bamqevCs-!>pF$pSei-R(<+jqFp_Nxk$t>bQ2*(@U z<|;Z~XSZH2$+{B>=)?AS78eDty(`^!*cpMFxFzZ8nD!iD@Q;-_=RI5JOSFj718*{1 zknZnIvn-+u5f-FTuyBV@Lp)Z72D0JO$$(}mWeZOx zNnhqcf62cU0sHSA5&OI#4h}cMi*Tc>RxvYy35R z5WXAbmO77Cl*M}s37B@pVF%-SL2J2#2~jX&!wQs#u5D0X?N1{l`tUC%?}@4AvZ*^& zdc(H5~*B(B`6vk3D5csjosSI?Ox0} zY$J|?xT{K8fdq0;!$^lsQhw>48vNde#AB z9u|Bk&z-t#ETl0lqk?PwI7D72`x<{&a0wt;{JqtYn}&`~#h*4)t-_9M^8jJNbI)xw0(-+5!p?KzE5CuGG`3+Ien3sPl`^Bc>9TSRu>j}ohrWp?uzcUc=*chjLfRIKCDnXioHyOfM&}`3|58p1I%7V7J#rBkq;g(EBVo{28%V(B~9P z8}Cw%Eq<)SR-z}E(QhMhOCu+vjsYEhXv~8?Ybj~84#I&N@C|;_YBoB zQ=*Bg#^w~Kiq&u>#a{f;q5R~Do}$tAzCJB3X@2j3z7*PwFuA0^un}Yz&9&|(QsnLW zUe%qcj6DQ7VuA>Jrk#?B!-lu_bbqiN(d}>s;U!ZU1QK86=ESQx`(8Wnm@_~`lBg&} zEZU}H9W7)&^F5#G#dT@W3fCvDok6ymJ7sIzo#wYs5U*a4vYwOz0?kzykwqirXjt6- zUJzVn%s!!6Dq08=GFFCopNzS3-5>f$%#0yJadQ^K1TBmzQ!H@vj3Htcj^ROGS&r>3 zs{~xaiE<-hDMa|s{-CLV7zdocGE_i>7qRwWE(#S_f2%%Z!C1?mMW$PPQ2|8U-HL0^ zUX9}!e-Ab{iMKant6A{uah)<_cgbNT! z%0VKnJfuy=^|GDEQRU@=QtcT;<6I5z6Oon8TJ96XW6`v*T0!SqHt(BSXfM|e#4XWF zZKc}~Ip^p6sVGofoN`S59QvjfcE)Z`WiEx);c6AsIubZUn{tUR$99uukifa& zA?x(*z^k|2k3OsNv-a$FTUnVS58%#n*Wg3;lwE7-R6pF3mB}=UN}hk4S=LL5#Pm4@ z$zZDy41EY^d%Ei@dE2nTInQs5h`Ug9GyY=V1T}=-HXo0GY zf!H-mwy_N;qYBbd8g``D9d)4n+&;q(E6H(UdaKG`X(z4ua*(A2NTllHD)5M8o|7o9 z?V0R7zOQAiCJ4WyT)#9Wbax(-nGMTUdDvP_wfGHI_(a0m(hoahfxvnI#N31%7bdtc#N(8KU| z=BrvrMM3gTasHH7ID<+uui>IZdq{WZnjK32VlGdblBtg(D45fS7_nxta}tKv0re+@ zB)ba74nsy#525qw=GYuNWy=^Pr;)+yQD?MW9$&r=XO@2D(k)8NveVymLq%^yf^Q*q z;ygwlk>LsgP)7qTW{$bk$WOJ*i{XAzjBlHe8VLo0xETh?z67K(fD=?WnN{gkK;3A3@#8+pSU9_;dM`VZ;tHz!7 z0xNqv$~R`^AQe+#rJUdFeYw~-8=P&0csn{hDg4~Uj>Y~s#Pie)juZUu}<$l zdP|X$z57@LUhn8?1F@@R68-^SA-Y1bu_b{>ApfH2B-@*I|2LRWHp5{59yFCVW3=%F zb10gLeCMD1D*i{}=`wnJRoK?7;X^+j1H}vn^T9uP-Kh?Haz}*0;*Pnqrl5~b_P^p7 zQuI&9Ck!y8i&v`;Ug3;1a06CMy68Z0MLpHcR?^Ft7N~~8c_d=l%__4UA|%<{?BBZE zkay(e6tZzVD^6~^@)P_Leg_NzsO5S_Vv*mYrTUV;n-~k2x7>c{7Gcf|p8gck_gu@? z{3_Ru{yUkFFd7FXKN*ZL82_rQ)_h@v#z??<--(e{gK22)mxm$~O@OkpZ0lE)W;HjQ zbMzP?fxd(A{(i17161F%N8~9aiBtloUIM~IeUOY1v_-WBZ7j7m2N#B^D4sTumVlm~ zlbRShyh>Z8vK7{0Rbs{<}}QjMC<^I@$LK@ z7L`)`P?uTi&{I?({lONSU^CGX*;OQL81^)*CGkvPf7Er$lQIM|Q16SXwI{Q=;!l-8 z4-}{0g}L8+m7i2v-tY;1NAslMT^{q!46q71u`K9#uZ5nH&0IxYQ!VC0#FTqPeI8c* z5Kt9!EGkQO`))jfns>Fv{P1#1@k_+21==^}Zp}0AoogLtT;L{xQzDDZC+Fb34g*zs zsG+)}*wMjWf(Ld7h`l(ahu1Jf?Gvf3f(+o>)gHt z4_3?&2hgGw)uL>bx^t7#dQE6vjaLT1NE?6gVJwCIHA+{BK}rb=DX1ovVYstFMi~yX zN%u2al{zvU_F3ddrtp+i4Peu%0?=m##Z%gztyx;1?U4p+j31~Ukxr}uk%qIMZBCQ) z3nLlf5>t7&nu<10b-IBBUUX7EE>>-*>h0niWC}j=Kf_j&R5q@}lT_)V(swT;4Y7kM%llH*gRH0I{)7jz z#()dF3rC%&NPvE?c0@t6wdJ68tTB6&0%Y*f z5#AEstC8x4-`M`w(-Ky@^Xf;t^JB-N?W8xphE8^to)8BoHM^cODv61j2WhZ&zFeAR;@@Oqj;R14f^`;w5 zSARdbo#Na^E!tw;ePMrl`92r3;~HZ?8jj%7M@q7a-Pbm=;3oip;4AzscPCn{{#IBA z_1~C##~@3aZdYtF59;4sxI5MZQHhO+w8K9Q}5pKZJfK~#QkyO#`(1(G8Xcg zD|6^Se3A*F`!uoK$tgBD9_9#zaHINetF^1>rr?lunNLbUmY3mxPn!n)WQ+Qyls_ z_~P#_!^4G0yW%~3hU}$mRK+P-*=UGfNQO>4tse@{rI#KROF31zhB@UMG{Z#|BSc3m zM{vT9=aRu1D2!JAs*EOFcl%iPzR>gjM!u>|J?tS0!LEAq-+p-r!~&6P@e$kx(H2-i>I$_zRo z$bpUfkcerbo=LASGo}3!$O~exshOZ7*Ovi%U{q0lmLe<%4Qe3QissXwG+~}(aiSSZ zD$&O9`Otz>=FJ=DWe;a!mRVjOL?Acg;KQFY&ZEg%IQdsL1K3#dW}nnvfL8w_VHFuv zc0X$L50{=9lkSg5vdMg6QrKKxOFd^6g*V7leS=G0zaW87-MuMwByztjU<(vwf>ab^ zfh?xomffZlNbAVBg>O4Vkq2unvAcIEOrz%Ri7hD!Q zU%rO)NI|h!u6M-JHYiBh<~{5Uyd*)!B8WcO2;I;wU1XX%!@hlIud4sp#ubzNT(0Q@ zsX5E+LhBqog6YeE+f=?mE#JX+wIlG+i%jv3Z-BM%t}_$ep8!LN7Se4Yl;CFE}e9`z)l zX7d2j{I;I!4`%gm(}oI>QSC&XhOY>6W71m&4xb!f7crR%PE=P%(o<iXmKvi%00T)Z0u}oARNP`nP%g|=beuPo=&2b_P1V!@8z-Gu*YM4p1=(IZ_rf5cV z|K(v0jB3(P=#8(7J?j9%`}m-}L5?CCK6PHVsdhY$-YY0{rFY778{BFHBRlU}UUA##Cd0Q&}G}a*&PW|g~7vSjYqo5^vzqqm0N%)ib<54WJicP5N z#VN%C>jI57(Y$R0y-|>tvxKbvGs6Ubz{vJCvvUCBTQky^#66RsU^{0QndnNQF>94D zo;QSHu)L*C=X$ol$D1^fP`hSQMpN=EsJ8ArxQ4jJ=953_B$_5`PQvE|&Bznl2Hgu! zRUjob8@G>z@=OI!Iq%b%49GI2>G7=$R?%GOU;i;zFs>Cp#wI=Q(1!Pnt?ysv2aYlS zLlyO(QsF;EMK%UDmjA4x{!7N-|7yL0|5s(ye-||PQ5^UWI)wkK#qfWoL--FWh5uSc zop9a|LEJj0iWQ!Y!+|&(&WKPaj?XlUzGsi$x2pFO4|N}m`T+60Ej5=$IAwx{^1 zU@f>m<9&Xz&cu=Ns-MovaJ%~q8<+;EUP-IfNL%PiP`V?g$V;*V4!n&}wSzPfund(q z0kYPPZ^6FuOg8`SqR#B&nlCYzK$}(i_B`;@c*Q6?)pIR-CDUDDA>*EwL#iy0aiD*p zI!3W@8X>o|WSz%^P@MnulF@;(pKxL5z ze$fL9&>yULJFiSMg3T$Cm;In2Yew7P9*=M`ra5HXTSYf}k|n9my<92Kg|TD>ZUCyw&9r;jFxDt0%zxc&K0MQyk7 z^dYS2w!kS*f0}f6t;YV<(RmS0F-2e$q_3PQF1D|2a}X4;*joYjCgvRG1@)!(qT6R6 zz+T?73O!Blgn_A#25l1vU?>Tr-uP6yxK!pa;^%6Q-_F|SuXSH_jW_#)Wcj+gO-I4#LBR78C=-zgY))t^)J?>kID$iOWolt!`D0F&Ve zTF9iZZf!j+vAx==A{EsS(9B$Lu1=O-|i5Kjgv_VvoF-3Y8O|8 zA^@INg6h38E0wraACBz~0AsScPX-P_^Wb(KQlL zOVdO3xZ-2NG%iflQIeIExUAjE|4RJwgQ~Dr{gipT?*S*;vc4=?ckv7kow65^n~)b{ z+p$UGF(Gx-XYtv7x(bt%E*&jVjq<`}4S%5v(O+e>GR<(B1`anTM$+99!^-@^2DVhb z)&?CxjW>6c>cA3_c_20BCok8b7BxkklV0_EUfx!bs%mo09{b47x={yO;M@}|&c+ex ztU^Fm_k=FaVOoDLi+EB|;_^iuR{@9>n)^sqY) zWF_e=-0n4G>*+y1D&ukVYqep(&LmMLhMASw(>f?a1OJ-rFq;-;TC(c-6}nMJ;TLg? zbdMXe81zX8&_^P23>!~(2|=7{m}$KUuxZs}5-A&0_WJNhE-9bB*xgBZH+ERm1E-;| zbXjHCn!=A7Z`b^|d=~wVK2)BuCDoL}03qse_4L-pQVh5CM~js}Zoi=tIdr3fcg&v1 zOxn#q&8K!nq-QdOkDg1wHUhbpWtK}o*DBuvDY9Kvp#%*$kuz?K8>~n$BnV@S+%daE zl`~6Z(xW=!LEv%Rc-T%k%GxtC`n7*?-h7tDnS3SYZGj}Uzwa>7!2~9L4(%LY{|O`C zLkLohQ842jl7MRb*uw_mLaWpOG&*|Hf9}@o zVRrBU+U(t|+b{#-*MS>*H0gDy?;bn#YMTY8W7zr)ok62910QBzV0^ltTB@}88&U{WXbsytoBh|hBa$~QfaSb~?9{FK~dSxxLF_S}H zTs?0Uo%vN@@GCK5$4gl`-R($;^#+Ugw%V&{9Ki*dmZFw%MN;NaBcZ`!xJNuxlclwV zC@Ugcb~ur?YL#RRUTTXOPGn+^@lG!DPeIyTtdTLzNhR7S&1eTOzHW0Gwec9I`U@1w z>7H4x$L08B0^_e(lGs@s&dcv4(-r``dUz~R*dY3)B-%OTW ziYy6M_TK`BKzh#Dw12s@sBYmHz0o)k2P1NRJhv>BLfjNEvG0xK*N6<%R)}nzItGEo z2bc7x33<8td7nN9&(i4x>FleH;K~>!z8+!i=h_s0aZr6$dc#&YMv(5U&oFsL)?&Y; zF=Bht4(vG&z#nKmCP^)p1VLrf-=JiM1}%&aLY3m|gv*gykhSanG9w`Z(+bdd2~Dna zPKVq;bs+C|frA~=gx3%BdjMErMV%!UI^|CgA~b^~*sy{M$<4#{a0CZOU7sJPt!KS6 z2Xfxg+_BlyWbAGZ{Y4w>dJ2N{=^Yqp%HiJAc&%~55C3vB??_w0;B;E1Y1TRjN4TU& zn5=I7bdr<%DnaDOBX&`0zPb5{CN*q2ZgIvEyHq8J2J>`?WnN13bO8GGcV%~D&-U{G zhVb4|q985(qUYB-3Q?cl*K;pUJOgxfz*%ru^gAtwN!ul8k)>O=Y3Ixv%2xPDI>0XR zfI~?H96FMgepK%uO#==)AwWO{#oi8@O~{xsL#=|%-mJsQZ$RdjzN+0e7uvO9uiIB? zJpsO@c(=L@a4o^4dUwnFW38OG_fcX!RuU(%gZHqqxyi|<;bdqb4i_Vi4rDuF3D>T` zTw~fKuaGb!Mha7YwGNM%rjO(@MeS|Y18YhC(Zjf1d}~jpazb`YM=&1dG%f#lO?()~ z7n80A7OJ}uzK|}Gw^?7k)>b`%BDkuyUG5ZY){Zt3NM_@yx3k23L(rw*F|L!H0G>f; zo5Cq(E_6O)HQX$T2Of{x@1_?U^Pr+C9t$pi~P)$CJMV1$Z38Vh{ZFeJ7! z9}?rb@=DwpB5hQFo;1poMOg3Z&a-{;O(luNCLv{WqxsFKuoYe+pEhxZEWxr;Y3_wqIDD80l%Q4_=TICb>o?|0`R#Qx9uS;?wQ(o7oj7=3788K*aG?y!Gv3Ys~!d_ zz!M}zG&h(FEe}J%Pgj=p%*Tvgz&}~|o+iqe+jZKf^lc7t%sZh)!;>{84LQ!WZByG! zg6GiRFk1pqqzsq~O&T*p%5-(8P9`ReX=3wVkwF7h^n#g;cyx1{DWq|KAnYsY)73)#T z>}z`7U6?s>2g4dKYR~XAR5Ny5p<@;Z^mq1%$R?Y0Kq%rL+*PF2m$*etpC82MoTJkGvVc!|X$VyGJGwD-Tz!L(sWIV-y@xPu1)`l!Q|f)HylS1_4HA{5J5IO|;T04+v%}ta3oLNrb!p=ZslwU9QgN;)#^|y8z+#NzZY0Ga zg;mri-~fGH>35%D4nDHb&1UhoXRvswY*+VSaJ&5<*9h1XHHx4j1v5Db1{(+GX-B6+ zwI^-Ou^KAzVQJ24?PMY?=kzeIl#Nyt{nV*^?VBA$^9C4Boh70o0x)(IJv0l>K&|GkWnM0ZK-7j$W zT{NpPUF#w5>IX6Hn-aPtt}xsq{wmE6_EW`lid?LSfJ2%wQnfd6;LSCrjo}q!>_-K| zXQa(KI=$n`ZJQNr3(i>H#EoB=FfMQ_jRuyg^Hl>L6`4SDcdH>V=4VGsL{RR@5MN^M#P!c~7V3Oe=!*b;3mb=NK~fy{fC`-Upv~2o zNLfaT8i<4U4$Gxk0S76SUq9O+l)5-Gw)TB?OcCa#7cc{}!%XJ3;Wq$SYos9a|HEN< zg$&iYgodWRSiX?lZPTr_^Np6ukJ$G=gya8`(qm#}W%|#M^f!qJx#pM( zd;I5pfFRH?G~{rB0XJJ0ce>=@aNq)NMi&>C`~C3dxEb`aa9@T6|v>Fk)5MtKzNF6Mfg)YN@1&iF!0GNx8Ts?mIjwt-4--ELOlG*6Kb{ zk)eXus`+VQSe^?z^Pdv*)L}i!JZN$f9R>HFt_K+%VW#%R&oV`^8R6QTW$22;}< z!tm9-vWjtNDitx_C8AmGud}JA{*9*#RWx8trt^Qq_&_Lz-AvN2^m|` zHX?DZlZSqSZY>>S9d`1?ZEHs%HYu*Fl-FB_wOHeOjh?j5Y;EX4^UIHqSEx0z=Lr>C z($%0D0O*4z2^&A32g?xBy6KJU-25RQG|%u{-8vk&@jYpltaf2*`*MQfdJpOLap}m| z{0t8Aigm;-l*KJ1Ey;zDiG58agpGAT0C6yFJO19)KS9$C z-pY#fOeq$y;Bkxy6k|Uh7hzk9Y=bY*{=93WmauxZg9jMCOt5C6og~$=0#)qJr3)5*J;oac@(-8H_S;MjgA_ z;W-<>Ir1QJ{tInB1?Tl6L@h!#XTl`gwY6E5{xt3ArM;-KYMr-zQLQ@^hc$EcwJCbD zvjy{3q3|FZ=pzG;$BT#k8@$))fluEAKYRO)=td50Cc_2<3p&lV{I6qA0ZGm58KU~acXaT&>0%JUh(LH6YouD#%Bh?4 zBaO76uFKWT-waw%WeGbxO%e4Own z5^iLbMPCjcBYIQ1%oLuhKEsZjD52u=xUid>H&~vAdg?Hftgv!P)=)T*LO=>~$N#8H zbr|-rzj5eK->z|i-ypW{_JQvVTN?PJVm~}91a5=KU&{lo6E~9p#Y1ZT=8ZBs`eP1yr1cH$vN z)YlF*_7DhU;S=hmlQaZd2kDuFjc_4ikjq&Dz~2bm+>70-(Z{NszS1x%8o&onz&1Kj11aq!QPqKSr*A zw!#=utY1nUKs8fuosZB(ku^Jt9IlDr6IWJ?jfIviP-3_@nA%W{r0bxPATU-RyX^a( z#it=1&OY>YU5TQrMv%m|9g*FxR9BBK(!r z1G`Ijc&+ZGKM-@Rmgn70>6-H7*BFtP-O*iyRScDb;#LB-rfslH#rQtAD3|gzPsAd$ zbouK8a3!_$8^?@2E1wJl%X^+xMF(Xv7+mPIL~T@StrVGoW*jBKM43WV=iTK>_g`#c z1x~WDz-har)8o1$CKJ%q%GFjTXBuRu@3JE#6z9#xT2b@$x6XF*>#C1;+olMDo=WBR zRn+Rto1?Hywz+F3s0GJdWmHg{yEJ5QA3l+7aC>v0SVL4vsQA+fK0yRBuu(gm2@pT4 z?salm2dWO|x~gjNr>F|8Ov>~#juG?nlT5IwTIKXtXuENg9i?n&euG_s$(9f{p*3b$ z`DTZxk~r?L?&E&KC4SWRc8;<7HuG`nzF7*f+Mf#V1iQY-9wMs7m6-rl&4)pM zWu677o{P6Db-7U_oel2_e7QC~EcSUFdF;D1@G7!xvpP@TcKBK79Ymp%^fw`H%Ty6x zBCDuwlhSlWNef`YUm@5~e)FxFGSqSMz7_+LMOkr=6%R7ZRQ<-&bl5Gf=NhZ;n#qrl zrl&=rK2Xy>+{#c=VV_!K=&S=7z`GVZ9fhq(RXewWQ9X1Env6!T9G$>SYeT1Ys2jyv zsShv9qVPn_gv43oTzKTZAx&;S!T`VuOt|u63-alhWWFju-+;<>wA8*6YD2>ql<4`c zpP04U*#XBg8I&pwtTQImn-kZO1p!DcZy$?M=#(@e-Gn<*o03|LJ90E)$)@byQPURM z5Q}q_AP)(jiBUNW6RZ$?j3kuBei}py0lHmc8teRh!Vj0?l+B3tv8hs~$B;p6Y{G=HZS@Cwi;amE>%qIYE(PFv;)C3uDfO-(`7pYNZ^)>uiGf%8Kq(Ah5!N^l zKNfQw#`b0wO;T&3><$N6+e*m7K_~NUn|NbbIn>D(8pLS5BM_3&db?O5eeSSDSus@0 z@#pkl*37p$Ia4sQK!^Xim>13@o`>vqSJgRNn71V9bZMD4xKRHj<#f#Viq4L}Q|y$F z1&Q=W_k!Y78~h0Q4epTJ*rrhO0}|j`Y1))Xro^Iwn7J zeLpdcwuy?UCz+MWU&9R{2!0iD<#&xS7u&>ZqPWzOQz^}4oEWcs;k<2P*uw$kf@kD5 zY2aqD3@m}Fl$`TaGWmOPkT5Mm9=c_xa;CV1G*pCC)85bga4ZRi{+ZuMuiqVo9ieCV zxe%Lg`=KeYQyp(yV8_s%HLgotY|Lhf&tEg&<<>O$abL}TdDCCCN9gC{O4*i-0*KPG ze~V(r5M^^I6>Nhz+wZQ6D~`tjgbwpkS3qyqA{C!{51Pj>>VQwz%)@d-UnzS4TYJe5 z%GtYbpjg#CC&=U`J^gW1+JGAm@mTbdIj~|kq{It3ZwzRWCd@CntUVPUITU!Xrvu?^ zlgwqj7eZ)@>|wzlo*i`~l2QV2t)6*Y6#MsD1|NYC`I=ULGl2xa=yczE3PKHJTT|O~ zFI57!ZUKYE~m|6t~fbf2J*c!L9?0EO1LHxlZjG?dkg<~^)99YFqxQ$0{ z7}<6W2-bDeO_zN){J1ostB~u?-DRZ8utH@t?ctEj=rsfQmewGu0;n>o5DqvEM;OZR zEDIq-`RJPeW)f|0V~`IfgEeZbn_3p}edEVF1+|Om?Y={I7T+oLs@Sb+-+f{oy2E2o zpwYb%%+me0)ARJ8tJr~yF4|Mz;*)zm^4Uav=DQv4wEX@noRMk;lD8iTAr}e$%Cnf7 zBG&;6=;^|ZRG-8n=akcvV!Be+S@B2JTA0@p`P-Qy!e)q8IxoTd0j+1`pr*WMCyLQ+NN1|uqG*JD> zBkYkYnMj$fQN-jQc(n;dtNet}1_}ag9p`P-3k`lXw2R`IPO^=e@^kOF#qNpMRWuP) zao8P46CZT9j%%~0{6wX~({FVwfYgMW$1k}%R~iYn%a>SS9>=^c-XdQIIRS@a_wN?k z#z}$_lkuvJgM{vt86+OYx}p8a+9?9=uCwQtS>xwo-Ut_7$GBg*PUrFagX+C4l2C8i z_NOmo3yXoYYNlHKZi-E)pq#rYHsKO$&3b{aEH!1qSP}$^WULuoS{R}+yTpOTI-cXXXlU4MKT5Ck^h!o;@d-Sp+&xmP zn|^Lp6Gflw!d)XfQU(B#KQcQR3gjnUb{WEdiVi5+aH{Tf`Aj@tmIMqvqp2{oSZ2>+ zJm!Vgz|p~8Q__@JPMjaS9eaDd`~{HAf@%e+pxdTWn+18W024UNdtmYSt`6D%D8|eR zpTTj!4GhAV@!X#&!Jh9h+yPB%i^gWf4}mtlv|>sB5?ymI<6g9lis=S2y*sVBPJH3r zY$`#j9QZsfq|vy_Zmd+5N$(}>*+Z_KQp=;npkyW?3Mxj*MW;wmvyop9`RK+;4Yn|4 z+W70M(u8zFPy|?{&!aMX=dF#SExsh5q=ch9vNV^J*i&6dtV}{CJ`Px0x#=kRll>lm zfr^7DhuSjkZEPTRoFHNsWe%Qp*E;d7 z+>VMET(i)Wk2TM-lz6H!7j>F$Bkm~8c7#=^&Zu4B3g#%yV*D_dHGZimE!c#W8)GBN zTthC(93zcl<$?Yd^_ieUn04JPR%d)U`;1QAb`3s8c~2qsFmh zN?BRz$Ar5{7Au?jyyvbgQ=+_qRNCT6%1KIG|JzFVC9_7dPrtpth^B4Y)A3f_vq_XH z_ZnCEY5^u;G#7*CvWcJ(o#_{cB5~^gW@F1~I?h!Kw!Pv3J{t7?6n8^Z>_ayw)9<+s z@--rXRYm1I({t+beVAipA43aCEOUgf<0xiSQlM+7%RU_LJuArt#>Gqj(l?|gQZt^9 z<)33A@y}})rIVz9$X23li^ViqK0X>r~G!gsl z#W?%ViUVtbN?=;af2RHyykdi;^dLXFmfl|4%XQt!*oj10MOooe2}e~_RpF~WK3*v) zlb8ysah;}KB!ulick^Dqtz?>+N{Hu5RlB_QFZ{fUo{?-}nq{UX#>oP#&txW@*g2_j zr^#$Y2bjeNPysG}^>1|j5Y&fy3Hq2MdnS~De)fp)Jz+;ra~3WE!KGNbMuQPGH9`_{ zqarQmq1ZS%Cvv`A4A8pz{(@!jJT(YD3^rF!Y%micGo*2?5idQ~#`_-E!%RtKNoP!yQ~k9_`&!eywznNS z{kdVBnrkusQo>~&%f|q-WYY_*+yP1&xs--~!S)EJN_)vC*|gN8#G^fif@xL;4n$K_ zZpop#j4EYh4I<2z^#Xg&Cy*UtvgU?+HCq}ErdmQ@U%`+V%}}>mlgz-BoI6DPq!0;uY! zsTNyNtbrK$x7ZVoMKYKn+y%g}oMIsLO39|y0<(MzU|5N(ZM{%QZxWP2Ue7AGX#Grs zGN<|w5~fip@ZZlPDoauNU|F(nu0C`+_m6Tn^}CS`T%xNhXXlE@^UqYED{f;SEb4|Y zU}_J>XRg-G1|Uoj_TyX3#H~HE5_4!HxJW}*Xg+9ULE!VKGaAf3Bs0*}#SMCTeP|%1 zvncd`?$C{iFMN{XXBskzO+e6$ zlCZuzDLDJ&diBpDoFGKiI^9Ohb31w5aESD;G^bJ%hPb*~k@45T#a?jlsqh)V0+uO3q6;fsDs|a;e47BqS6PLFO+|=Z-0K+ja5g0#iSVd}^}p zO5g2lm!^}-Kgvo(9!fjkY;d^cgUK!o4gfhGBN`mc|Iya=lUAn_w6$?GwsCa8XJPy&EC1i}^i2PiOy&Qa zr~i*OyZ_QEm!fHlGg23{^GN0Fi{u+VaO*hppoRO<8_ReI1m;IEECA3YhfKr~+iW(+ z>HPKiS5nz!rdoL*PIwGr7tL?ovYc*7S-0kEVkm&Fros?)iL1fm+5SZ9 zAb4vvW~#P>eFLXOdZVLkM_cPMI{Wvg_Q$#3x3RNxc#qbgZolX5iyJ`zi{J;vRN^qbf(<3d<>aKpLKM+aAuQe@B6P{5U2lqVFFBA^x*>K3 z!nD37#zHvDD8!PljihfG;Cf@GC>q@4m50+cHyWCr+L)rXYT*gOGlZ}hj`mjAobTd|YJ5&?Lv-w=g%xg&*-a@|a$pW?} z8#QIVXCo5PtGL7Mtwc1MazJeWNxx}R-@RwPS|u+&Usw-NTa)i6HT&g>Ol2lpw1)!J!9 zwjX45jV+dv2Qj2z$;)Ys?|6gr?R=%g*~t{ctkSm^d6ioS42w?WX%vj*8gs8j*!yI4 zZFqkJCh~Qhn)vMRJlQnl8~(!vzCULmqM9 zW$Ht_Gq|kh@!!r=1Ui}(Q#P8sx^%vasus6vMr9<-;75L6S@p9gamp^m!wLfJIbd2% zipyu=q<2GJo=p`lwy=Jli9Ukt!q!)mCh}J*#Mh3x$tkT4Si6$_|mQVX+(KuPUkbzM*aD| zbv2Up-F~lHfLHXk|3KsGueKb4lAKr&m*&xtBF2gUKfd-*=NPU1VM`9WaF6n`LL=H_ zYsBC>e2S#f2&H4sv9=%n1_I5UA`vf`(f!w@Gohg8y6?@Wy$Wu0jW$yB#9Hw1%NVn^ecyiEq8R4k5CcF90N0*{aB3DStY<}0d=th(X^n90xS-7an1ck2!dD@H+WSD~^>|otIJ9OOVR^aK4 zD{OB=b4Y~t;*M%aZ%MXy#U5g#OVbmPmO@$a5a!R#ov|4yn#3{tF$43mY`@orR1*C% zdvTo%u53KwoPp9O$6E0C-1qSe;3mG?2@PY{uoCX&njpi0<7wDhAB@AsB#`r;LUUNy z5K=JF^!?q$(Zk(Dxhq0saoFgU2pnu!5^#!yOq9S_JQd@yRp}}QuZEf%B&sw zCyXSM8%=F&NK}ezxxz_-D2EW?aadFe5V=F?di2#q;TY2Xx!F0Er!coeAMq)7D7D%> z;g_#tB6B6HVXU@`_dtZG?HR$8V-L=;xvYnA6!CRWCvRt!SNpns88Q_TnufF9mEGBL znp!ast0Ha+X(A#F(MIC_IX|-gyhx(o=1YI@7n9g8!v1J2MX1~g+3e%I&|1SWMhK5n zBU8A@9bD+eJ;eyG6C=AN5R-1#s`(z^VFfVr9f`NP*3#tF$%I-K%`q^}x2m2m=ve;| zU&+aq9Da=Y9xh=by*e#S>O9-mcD{Ju-kho^Sm?~&UT0q6y;~+raQ1=JTDsd~L6v|3 z67b#@-`Qp=*+h2^;z(jnQlw{gNgy3=kIC<+Kp)G@C!xsn&zq$9ZGNSQekPImGnk^8 zD%4xHJxHm*S5%s)S*h?=JBp#8B;lVwuTnSeVXSKf16?$*PIL!g*33AT1Y=8YQo&MyVJ?HAu&vrIo4=HZ+;h2YUs!a+-SuSNZlv5#S$ zvQLXUHiuWe)_Q1v-D|LKkO8}2)*JUor3*OAk|#XB>ViMJ?jfRw2Zc;TZ%zrQPtHx1 z;5_<6O3F`six83wni4lDs!`J~iazc+n4n??@UsdiJOk}em?nK+&9qlzhDC*oHVu;I zLxiVe^A!-0lbXYox2Y;H@ubms-VZ~y;P-Dqqm!QvyiL1TE;s$td<`Q0`smm>fGujZ zRD!2d5}nsf8{-=oMPqF|%oRY=W5k)j3g>f+bkOcvL*@*oTyeJhFuR;L(Hyo)l#puJ#$+?vm4LX}Cc z2m;cMUF3@*Y`jbiqt}#`Zm@U3m?2kSMHqz`Ogs&-Xtp6&Fhvl>CaSSt%H3O<0cH`q zlXc9^DSbf&1uJ`~Y6>5x&7K9~YQfw^wZIq^K z2f?xzpNueKv6RS|h;1^DPNhyw14S6-%iieN7-jvB}RblAqZidF)5l^(-Z`5)@|$od$BfmS|Qj4Y%Aw0EqN&(2Elvb!H6qoXt<|8<*H z*nfFBZZ;I#wM4V;*YGY|v~nOGJqh?v0;%HqGCU`wQ`QFYGxV1$zZTA;Y z^J&Z}*Y(V7c%>ty5rsjl>Eq`_Bo$^s1u?8$=Qo$=e-_=(AZ-g*7=ZQi5xgv5$0Ri> zMT1=7CVx~zfbcpms(HdczB@J51TOB__R;MHTWkXK*!GU3<*3c2;c01{sqBT?Jnyv4 z?N#g65(-so2P>$C#6c5Fy56ArZ5c07!d4f~p}ZvgF#C_sR+n z?ewSO7Ep7;u~<-3tJ+c0;Z<7ij`n)b0bsdz&~Ns@QZm>++9a2Y1#L-NuAgtY%g`F( zS%tSLtoYFQUF9PGQRGtbXgj~FZT8$$b9#J>7u5KUHC32-VGCDHe4h9|3Uy{o8~{nz zWI0MTjzett0tjg-XM=|^s0x=_`Ug#ilR%)&&Pa%Wq1$quBKgRVY)Yes+d96u7#mKr z%zhXDSR78VAFMc1Q;D87iySu<79~bgh=UrBlSF{S<+n}IxL+Inje8qwqF)3gsI#$B zk$0ke1f)pTN%4f@x@&L!hwJXqL9v3z?c}`}YzKtp6E7`oiGg=j&AH)1Fv{!^^ExfT zxj?sRHq@e5ud-&05g5dtp^jubIhT)pR-g_m{Y-Q>TJQC#L}&n0&2R`pR9U^(4f zPd6A*O^Apmvlm^@o5R?eMD+@7PN&pEww<+i9X^|a&D_7?MJ*;Qm(7R-Aj$h@wd_wg z19NSw$TM2rYVM1Mkb3tRQ6Gnq^t98vX)4a1L_x8U*MQ&QpOSU-T*K2E?b)F(-q4dl zfwJ+SuIQ=Y*~B2b?6e4&V5Fb}>;m*v$Vlj*hz1F)8T6rg1h7)IlIi`Nu!$+wypesY z#GTE18^RJONr)lJKZidDNVvrNAf;{a62MiyNQc(h>MBRzv*1H(S-eA(cZ0%c+gA0C z*ud|h2g==NVa`7-+;K2jcsy%0-J3wfBp+D12UP&ZI@Y+|*Duj8E^p*rrGrW{JKZ{+ zN+u}^=AUO%+SkZmsfrZa6xt{K)sucn2$1xR_CISl=RT(5bk6QrJR)7UDrHA@{zU5`hhr_^GXw- zf@2LN(oELu@mg50N3;TQ7aL|>;dTLe&;&ogg!dm{0!V-@noSp${`Wx6x6~#&rhWBV zaP?(h2|0-|Mp=RumBS}og5n3I0IRswRDuejED?p*8kNZT{y?U$l*PstUkEH*|7%;G zc!?p)&bWzgS@OBl&)<&b-6aXwTjD)h2t_c8->j2&kMrRjS*btnRsF}k!cr?hw9FSL zPRGSXl4!*Hlc0~Q^(Uxsg9&JdDb@lXl$%(g*cx#qaf;8A;<;P`b#eUs%VDI2w~fw|^)SzFPLkW+ zoEEZG7iQ{?mK!Z|@9%E8VDvrekt4PKMNz9bak8vd%M2ZQ>qaH$Gox3}szeT|IIl$C zH@hj2jvm1i4w+8IKi^j*PGnu{t{B*PL%ChwV24lBH(Rw2EYR|g_{5%LV0J55Is#rW0}Rd-wS*VH4DX8M-Ea-&^g z3-M6a++k{|8V03|12cVbG(zr~b%XgiEvyQ5L~PWD*D$5tCC|qf~UNs<2S5Q+PJ-Ws#D{ z<;0lixbalCRssf2&;(y9n3ll`b4f#9+TUr*-5_n|MCZJF&pYqwLYI@5WeWHmUgQ^IaG{F=2ly@ekw?EKsg&h&J$S^!X$wf&qOMnYt9qN5X7{NZWN;Q0H-uO zCH#ir8^X)#EX_{-IN%cZ+t^5&3omo8*ywC(x$uFn!j-iTthNg{OZ;8-##1nkXT5P& z+HR`w`epz>1hQBvp<#kjp$s-QTORZiJYEZv0#_6b7!fmtB@4+JG+FRrj4S;(noo!y zM?*w!T=q~MS&^Q?q&k@R>^z#AVAW4(j2fQERchy4EA~D@XpI=&DUQmJe{s>zQmrGhvv7Y^LF2|^-4VYSSCf;0%??X(V;NXU@i@FScqgmE8~bH{u>n;0>VLuf zoW#83-8Wl)TPsF0Uq%Zh!Z>dx9zNrr7s>n+`_BLKpAT8~wU%#iT{K^82BT2T2uVw~ zDK94S2p2+|TS)j97gteIUb0k-GtEVpsqu2J*ZaL@c(z%)ZEsb2HQiBD@^e3hG+t-ntY_TIv|haoMW~ih(MaTggN`C)^S6dvd{A)>0Y}TInqCi4}0JC#U$Y2fcS zDI*Q#8-dxKq=&67(TM3u*2mW#e-j98h<<91+#REbOq9<22P;auu>aS3gNerxc6>1| zFmAKLQ7`{w0&a0IoIFNLsh$?6;ct2zM%dIKxin? z7vrT8Fcbx-D3P2qdq)EKQDD?iv0ysxff7xh`d;p8n!I&*?th}HLfx(2Lyrs>Kv(CT zlHwl8#>n1^u0h@>tvUv~p%9+JYYwI%%f~v{LM~UV2KNxd1y||sCO(TCF>4;<8lv1? zTKM<6+V{Tj{NEra3?07>jPX7uc#o2t+V(4iqIqVHvvI`lS)}S(ubnZj2b*jQI*u7< zCO+?FCim}B{=j-uC}5&B7p2uVj4BJxNUyTF6Ht`V;%#c_Rz(6%Yj`Ww_b9LwH9s_f zR!}eg!5ZG)v3WVQRtM;D+OAS6B;T;;X=x#pkNRKKy<>DFZTs#$v6GqDw#^AEn?ZM~WM*?;Zz?EQJapSrqN_gYn5S6y|~c^<#xYVr5pr*|vuvYL{7 zK{7`f8sgb>&iMGS3G#B2v5$LYMQ$%1cW>Z3N&Fd2ci19oTdwRot!dGhG%=Uo@Cqcw zNZLvA46ym)kxcc`AgfaqLx!{m7J_Wv&)4~|n6jw^_5~!jooKmNy4R#<`y7s`@}b^h z$M~Ft{6^B=PH_UC`7wk+>W+Q%u!?=)IANh<5J@b+;+d|DckJn+0?>CE<7tf2E+s?J zOrdS~r>&HCQCI78VcL5x9MS9TIvkbEq_zGzuNTEE!}Ni!6O`lmy}hW43Y%Z8GXuI_ zyS4i>QQ$KbH9p)xR~1JNllJq7qO};guE)s<)SPdP^a+*?ZHvR&8^oUDEPBk=6(0 z@-3e93g%Ss;|()0><}7&cn7w=TmA56w@2^sp-nWSLr*+vcF@!Nl~X4HQuD)&56mMB zD*GKHVMj$zFj-tK30p5KbT2;5MwBbc2=4;`-s+9|esM(j_|SD}V2kA9gFmD(d*4)M zW>|1`dP&uJU}BV+X#1H`peX-u+gu-=*5V=69WY$x->Polt2}qTmBn_z=n(g*$y@O}0*czv;6UCPld8o_`@|TW88u@2A zH;n}3<|XDg2RD!dm3*tGqU=3j=% zv5P11X5_5r* z(FSG_c@gPkxUN_9G<*@lPRpwK)ab6u+v0k;cK!h}tR4T^QZf8jC*(gN4wnCjZ)a!W z;`*;o|093l|CeaTKMkV)*)sa?$r1nemeGG=A^)vqq#_xw)ckAx6YXH3NwLGbo#%pM zFogx>S>9sWth5DBH!rrfl@+*w?*H&kkT;g#mRM`qDQq<%ZYybVlP~e4yxS|X$;w>w zFhu00U&qaQvn@nC&OsvZ{_fiGXd6mTzjb-KZCi=H_j-&tp^ba~z1_q0{-N&H=*DF3aj)5ldu%~!R1c50Mu?X@W6>JT&7Eu*!|to6d6K z$W4K|k-^LR0_iSIFi!@_DNB&N%a}%&?QPaDXIW_0a66>7HzNKT0P2)ZOk}=QsY9EHVagNBIn-~ z!f=+-$>q6;he@+_vW>?z2^_bmomoa;nO;z%ovT~Not|yI9&;mc4q5iN-*q`88yZsi zH=~04Ce_TWti_d@`@GE0eNXr7idnt}ED;;xG>%GGkJsNs!CNi+h|~Nu&qAZukyH7G zIPwkNm(n!QMG7XJA4K!ehY?JZ$Q-El z-_miBo1k+zhCwk=zul74AyO+2|=nBNmNt4q6!=n#Q-zi_df`p}F^f)^1^#-5K+5 zN1+vM1?B)Hcdn1j2%9Sz`C>Gy4oh=Rk97eYE^tl|Lry^|i2F$o_#|}p zVrCWqNM^A!^7~QACrTA?y?EI5KPnuGqzu|=(%qVN%hD{V@%Ht416^RYsb(aRR%Sc2AC zH-ayf&l!>MplU@W3)S}KF;*tlz}BPFDE6&atvvOCZE{}uaw261u@B+Nus=lyvq~#; zRLY(!xYjO(dpPCm%#au8&~SLm4%)xJH7$2qxPkJ0#a=Yz+vAXov=|F8H3+Ok$hgW@ zNfRRSrLeU9wqa;DCT|u*8cdvwPrBsSO59T}X3yGwYTC-mEHGb~Xq5`rwqEV>>7QCF zFg6v|YRCAMd7#LOyOUl=KjWFfxID?5L^Z!B?n~YD4UizC)*Qx}_Q0Jc_x3k|&n zxovfBO>Td!j-#AaG=M_xCtb*F(G*8%*l{d2gox)K6beT(X1^3dIjd@v%pT*H-ZK-W za3nr0pCyi(;GY~hFJ~y)Kh{C>OYU1g&g;+c;8UDGMz$aq4|6d2#(HKS;kPJVIKCW8 zwj{Qp;*-Ss2y}zlfBELq!&C^81OjHr$(~Z)UMy}Ufr=HQs(mt_dMZ3v93Nn@`r~F+ zECpy%0*ZsmqAb7SAfA{|QqV5TqlkEmY9m|i;@YB0w*XiTs z+@`2==L!*%Fn|gsUCkvG6h=z+yhuQzzSQ~}1+_9p3vN2tK8c-pTA3?u-DoNfTY5qy z&@E2z2v`~x1~G^} z^QUP7RYT+D1v{wrMVGLkX_mw-Str2Rt`Dj(n1ePP%pqNtiE79=yvZKyct!k*68`m};14_Qs?ENH331%f$JmyypxE~fiWmAU#9LZuXYn;h`U1;PqDqPs! z`=w){0sW(bcgTVavoS+U)kCe~%$@s~nnM#R9a3;Bx?8q+hY{s`@N?wG((}gqTa4^} z@mK;kT(E?xwMk3a3;k)TZ)K>yVkkn49*kjt!eM{mcEUTuC7bUkodAPxkbQ`#I)g$8 zX}8Y2X_u_exoDhH>}P%|Xz(>r zZ8eAsu=uW=+PlaM8pnj?I#2k$4hoi?5$O*;^&`Epn^wmfdCD#`%7?L~<4x*ZXcekCru~K3m^AAvL0F>C1VhS$ zm(t%2TJBQ@Ygw}3uaM0yVPs5`@(0|izma(ABA77PP8}bGvghfX&^+Ba=z$DQ7b*Np zr&;=ZHYXpih*(y;Z`Xo?ui8l3`431*&uyIcPiIdW`B>ItwOR9bn3HpxZ$?%?I(|^E z!OK{&@ zwKl3(!AVbpRt@visexqVYHZ!CnyFYm!Bt^OcT@ORv(c<3iK{dL7iZD1z3XXCm(Qj& z2pQKr@=+iK8QyL8@v1QoEceS=R}sC}=y3DmSQe9zEufwV^-B>?eXBa=UB3x-%2Ic5+3#{>~|6%xq;T znI&OZgtJL;FoLR+>USRX5i>YPejH9fHgzz48mLk<#<4a;EBYWB`gn*(s}L znI?{nx*2wb$(ZcJw0-5pe z2Q4QG_41tZKH?#X(jK9Rl414X;CiY+k=ArJ?kEAMXkF&B zwREt+C`Peqc63uKB6XTE{~xr=l1Tqv!USyD_`JQd%mTNonBc2*_{u*%55d-}GEj9- z@}dx=E)d>{OuUI#b6eM!jekZix2N4{joRCNxLzH_BCOoyjwfkdFQo+niHeg&ee>~0 zRhwLFL@^Bz5|4te*EY)xg$Py2b4a=E&BU zUtc){;;;s6z?AstHF8!A1)-FL2V{+0Z1ATNZ2F{9UpQ!BFfBjuk?CNf7)galT0K7Z zF;Jvd;g1clq{=x|#Q6afk9 zO)jhf#YquQE$nGTLP}myKCYOSQFu69;ppwFi2kkZ;c1h~Moh8?BnmOZHrociAF}rC z`^`6(&?FX$rK>XB`euL>@jveOLg;|%II_(Z-d~7JLAy-vLh3}|7Zm<7+R)v7}fAX@T6J=NVuuLN240<97$4)+9_Yr+(mB6HKlK5M3*F^ zEFVO!PTT#jnIN$qWs+6nb?;jpSmXdjr@0r`b;Qk0#-h_#YG3t(_mOYfO<+?yyGq@Y zRECXZS&_lWhP7IXMpHeIT9{0Cka)} z-~qx4-MUL=VEq1{V+aKv{R~?ZIMv#-2`(5r0UltoEWZ-gm|z3!g&nIefc}(kPQX4* zHJ+YLrLJ0^ZwpnDnnr2rTet7OkADWL!d))pO^4y$kxJ1XTp0=pvgPD5n1(u{Ej#1Z zi;myWfaNdUGItFC)4K9ZRSzxX0E=aoD!vNr`4J3@M3(yb%;w?2&}p@T!Ei&9b%~KF zK(qj{DHzw(W#BdcjEefK9vc}Rx|F;eKnSmp?wFBFTy!Y6@=N~GjzP7Uz`L+Q2R*<_ z?5GDD4DIo~TB2XH16MESX#jQ%1THHwRU5iqfnkL~geM#RJQC8IYlN`HzUP}`&s6lJ z^qx(lMq|L(>}g=Q+X^~IM6_Rg{g@LzApM1w=IWk|tP9QT#EF0ohLDL=NNk$jlrari zXjmGj`m2;zgJ6Q85=7io1{2L8(+_523rkq277RT?fJOes^&S_9uXLX$WPd~4=Ga-dtXJHN!ms+y! zWJ~p`O;hp9pet$UEK`X~G9IhSD&6CkSZ_K^zykwnq5cAW&sO4O3XQcp=SN-&d#BI8}}CBXA)04~LAw`t1E zD9@&bTn~e>xB>O5a%vGq@rjD*Vd!v-Q~JQ#Bzdwk_)JGWF82gPRnpGUnvglFL%X|e zh^@$1S3E4_p3y20M7o~lk4?W$J7v@0`$G4D9Yj7pOlPE5R!QATOUflrp6*DS9$Q2m zj}HEVO7#*F;V4$O^*TNHzRO>M%4K7*_B^U;$=t4pL2_rEv~2lyFA-s;%8m-0D1h`8 z3Yx2EOb$WTsW?Ie!8F}8Q;8gM9xM8WzyBuqf>7&zBaS!w{a_*c?+X^gbkmx~TJ#YL znv$O=bm)4(K1CzCJ&9$Q+9Nvu2py26l$KXF&#BDoQx6}@xnE^USo308mrHJUZ-FIF zv9@n>osaH;+D6x3(k7{k&|L$>SPu2Y9x?ymm0Yxv7|&^traX^q74?3dw-1_&tLDyU z-^d|R2x;fm#9#lJ+@N-O%_{5;3YEU4UmALawVuO&2cwvnPF>E4fDcD9M89H9T9G>T z?t>@(B$~>qp2})rK02OaKyve0t96B;Q zq>D^v#;7M2-$j}>ts9rgOdj#~ZU`A~I-nahY{yv8M3+vA%h4{uha@|SD7aol9TG2= zwr#HS^?T<~aCru9MZE!+iksj(0P*_)ZFXauo|5#lK={{m-C7cwg8RXhp?0RF=)@l9 zmBz*G!CeO&>~I&_nT^xL7iCFI%edBhlb^nHlhq}QbAwmc)CO(5(cFJA`QjG0 zW)|n=H5ecwpM%SZDKy^;y@{cwd&t1W0bbqZ3u%c|$bd>(*nEhZN-AZc?z{8q;GcwjSGmw7s z@%_3ZvI4JAXT0bi27>2pw&^w9?6FDOdp--$;dQ0d!DKZDulKFJ46*}}|Ew!mu?ee; zuc8`}W>q@ds@1x@TXle_8|hIiZJwQe+)nu9GqUJ+fQuPXCfnMrLIzc|UcM%p{c0-K z!0;XKUOxQAE;4RW`JOLBxUV+kBB$tv3U5ZwJaZwsMJ!4w$TF-6NFj9bj=l6aLF(AY zGw^Am5K;7&DN!2b{pDV61=C0Rc7r1(i|_g1$uUQ8&SgvB$YG&hLQ>Rv8e_gOy`qF> zBymw=0nG`++`)1i$e?{GBRDf4+#z1Hv|z46t{DA*{kM`iuQtoA%7z%d|NIH zSha&XK`^qscAr}*I0TQ97mk*xHAu7`G4@6oqyHd5I~pvJVKnbhG_c zgNUCD#0%@WX*XvOH)3Dh{U-yVfPI<}U*6bQmPS0Am>yPAW%W82a}1ZWHc|)q>b$*4zZ7G^=J%fW@?s4_*l9oC zZ1jdgQ)oV?U$XI1|LidnKB@TC)RjF<( z6Zh2vYX0NB@q5mE3nPAJ6T+{EgUmkz2Y}7XBr{#yC!hUQUt$BWFe!w5GE-CiY~s{K zafEq*hV0BfCr@e`EmN4RCg``Y$_%?<=>wwk@?a%E)RWw73gJIS1u6f+{(p=LC0|;a zZQWVqatQL`7n7uKWEI~>l4}<>#NjvAsoCv~lXYky%RE8<{E}3?SSzI1%8(}$UI&F@ z&}aNNr7J z@uRaKzGS7~X>!cZE$V&35Br<8rjEAxREW}Hk%-bTe{FKRo38DPU0bsLvCL-2{Fux8 zoc^&Z7gCrQnFL|sHJb4$6pzpfEKxr13w7uEbv?m+&F@H%OPA3*%p zlo;13y0Qjp|JMf@`w5+HS2)I2NV-hETqBmvUhM^n2&+4bGyV8Od3;{eGz|u6$;{kS zYu#{i1eyzX4YhfglE)v&5Oga)VQO8PGjVHdtRYSy*|+HX@81xOaJR%Gg28gFqI09TmKl!#@y&`d;qJTfp!ogQX#+0R;mdQQvbc( z$`Bia&%@SyJjbpDwBiXSH7kq2F@&Rs^2>_la||4$4O0FHJ-VG@pYhXND!kC5l${qI zKR4Bv_+qnuS<>Z~*=FA+BF}C9-x2$rWi7H#D@3tR^yDFZ4_+K)gnkFz^;w`|tzoWY z`Bsc0G!?AB^D!paXN1Uqt*ybvX5ikzg$q5uKabQOtrUJL!(Bbd;U@hr|z%p4#mM|Ehu8&_PoIEUB zTOemJPRjJa7)naIJ-NEg9G5G0LPlMy{#78D8T~3Bf>6LTeJ3UiMC4DB`bjP)-@7GJ;Wwra#S$k z5*mHf7m0JBs>&<|JREb|YgIqGGH%7#cDhNNy4sA|G|R92Ft}5ldWZG+R%vO?kcv}! zl72iXYcnC68=Hbn%%=_n7~nH^otaTo>#gFuL2W=_DZQ`N_?GTxiSKc7QRbwP{zW04 zdnnIHh#LMI3K9OFD8y_NWi>z!wnbL)ct04)oj~*KYq&UAGEiQmM#8Lz_&`LC3M5a@ZVq0!PF`< zJkWnph$Fg2NHUD%?I(Q%yHnT;HiT3To`pJ}FwZcABA3_k*d#L3;=2p8< z8PV!SoH~;LNZPh^QB*ye3zRl&X6XyJ>n}e8U%$gxuHpBq8=cNr>CIZWgMSe~}PZ zKd&rU&^6aSNeEI03!YTNK25d;eomj*DT)c{V^vF67)!XPc5Ud9y1U3C8xDReOBT9n zCj|}1KivbeF@L(XZL+MKbBBku@+Stql&AhL_uPuKCLBadcXc7~7_tRYx=U-3gr@fZZf=)7B!LWq(hI&zS--vz)cWz>8i9zSm?lU`gxHPC}zipv>l zHvN`x=|{C=j20%JRl$XX!V3jvIEDw|Z7Wne|sRYggU`62MQBI1!d z*(MzW)T3j2nNGAe5Bxf50hs}CATuTYg`DN)b(06gM|7d5w`<3Msn2m`u1!JU@rkXv z?URNGm+)lur0975eqc$oAc-NTPVT-^@8sT2XcA|m_>XX`#ERiLAIyc2ym3)ad$Z9u zvo??0*4{|+zJD@MYhPL4*j5;WS3EHjHo>rmKH~F95UuebLpOdY8QDiP?e?01djGjB zDjvWKAITaM2kL-}VHO2O5~GK^m7*MO1`h_)B!>gQ6tevWC2}bY*usYzj%Yf1*0m=# zKe;@kEeMwg>!CJ+ipT&JF7VP%DTi2>vAmy8Jcr`RL1B&Vblosmkc%fzR=!kf7) zco<8IUskF`e}26NB`3V8@VxgXVJOJ%nlzv%<*GMpL-^mso;If?PQU8+(_1D!OMej# zI$5BzJ|rp@mYAVFAsvVy$_r|Vt0GzbnB8K~_HXdNG{g%1kHwpz2^Ps1puBgr1Wfn; zoHwC0l^I8Z>-`l}LFb(xbwfTuct5~ALvi68Hk?V?ybUe&J@-jnU3=a!J?H5x8| zWs;N%4QgyI)&X#b#M8Sp!ih-x0a(Bq$vP_(`EFG&f&uRDXQagBCUUn2n-Q)(f-iMn znnah~qP|x$1(A8YtB!J>YHS)VF2jf{)(8U{EEyBNYs|9F1d|LkZ2e_jhyFy(mtk^X z4u9>AFZ^U(t)dkO19t{*gymSgaACjh^&iP`+<9QI^YNew7mOMt#zhE^X9MNKQ4^8< z{_>6>a%y*>#I#F9sGB_wLm$ZL_vnmzeS()gV-)gK9#Kv9OAjz)*zH(67zZBnLsJ?@ z$#B3~y<`4hwLjYCc1{p%75wEzDV8O{-1G()kVdC&ABkj%e6kZrCn_L90G}r?`i@P&xiXx-Ht*4 zD`o=2_@GBh!iT&R`0pTOzB&xQVo@Gm37?1>JtpKe)%R0X9~YL$)iHL)Wp)o^_@|l+ z0_aZFU&*pYS$#xYM|E&ja)uC7I=q(sZp*{}&7*+OSh;iI@wb`_Uk2IfxX#T9;D^l_MBg3S|h zq%3jsWsJzd%p_O&Vc!@(rvg|KJYFyCKR9BApF;{O(l!KO)PKRsora=*}Suq~u; zL&(?^yh1ZJ(x6+MJVI*B4FVZ|g;<|a+zD`-U}4H+>!P;cIZVHeeg^X_wm~3SuL0jI zF8LCi3jtLvwstHWRKpmDB`GP72`89Z<2nt>K=^k*4D>X+@MiS-*%iXs`u{D`I)`Zy za=8><1k{yw?@F`Vf@sy z+U;UOO5MD#M_FXFj%f?H@Q9!sF-Ai}f|Y>D;oi_x zDt2MO8oGDEG~4LurUOwOb1Bb_r@#CzFRPuZy^#|9w<288nLx2WmhKVk6NkY2L#ti+ zzi^14saoOIdB9HA!i!D8oEe3vt4iIXVKRV5-FDM8p5%bE1Kk5+81-*$Lm@8#Q9u zZ$C#qBu%gWHv>pGl9K0H>ENOC3$pImbh-t?PkMR=EcQn&nkZTQv5Oy}(}TG9cpx+^ zfz*^M4Oa4>G{ireuE7oXFHNWRFHHyiUz+aD;eTnmShn8v-|4F3kNgeFO|7GY=cx7whTE3hyfwl zM)ugu?aw(G?A~9 zk@Lc!SrOF>o>V+Ei{v(&sEr!TC@+$Oe0qI@Rn8dGHf>X)(#FSYYwSS}%gzrUxU(uL z+4-%>a4(xW-mw@9eg3V;T+d$Y53d61)z&Ezuhvyp(wgti*Nd9_X3g0)y|h-($m3lX z!V){9ZHj`|7$xS1Q!pbgfDhwYyS{`WvG)E>R?De=Rhd3S~t6j3LH(>Afo>OjQ`5Y*7 z3vEC>oBF{Au)jb&I}`KapmSu0*|pbcVRP6KFr{w9*X6mtr*v(28HZ!&|MaQh zQVywqSMwIYUM^LuTh2@P=wDWa-zZ|iIrMU$9pb$rp4n46?ScerrJ$%0U*e3#$ku8) zj_>UoUy6&E*^tQ^%DXd}q^tbx77Bq8GWXymMP9Xb=V8Hs><#Ozd&7Llm+drG>fENy znBYb_t7zgEB(ewP+z*2#)kI*W5sS!7;^M`L$l+o)S*3Z zXMDFN1mQi58}XEI;Z^(F5S;l?>ADl!4!gHljFzf&i`vQ|{I*;#V&$K9< z>5`Uzmwf92dA|7x!=j!q=WjwUfVFx&j1 z(tS`vppm085dv~|2^nm!A;-BJFX^To_qCzczaf)A^r=Hh>r#aN#kkLqVYhS-duHBp zjNdCEqGg$GE%J5dn@>X0`)oPLVMN=PZ!vgOu?sIw;IKFU`u&e{%!Ug{jF z@grR&`crxO$L342<$Rjc(`|g2AGRvZ)_wT=eQ<@(%V9C~qRXAyoC3&Z$h4aneaf^GgYx=ZgS#6HL(hb* zYI7~lr-m*%mUFzc^PkC5NFUDcn{^DQ`Wq_05TDxe8#OjeQq+$I>q~z#=s!6VYQ-)YQ zc~UkZ@~NKt<7B67YU)7%j_9fQ3H}S}_XgV79+Rk^MIO~qrsxp4NWGBtPealnSSjK; zCe#ckeC)VS7C&R_hlJow%vvn}6vKCJzH}RWaGPnDpEu84O935wsVuEWjQy`adR)ao zL@^Mbb~=lr=KGARuP>v%)wZ z)O@xW)pLbqxASG{&oPQ?ON~NDsD`Zq#?<~r0_{V^#!4j>zoRtQR80BbLcNLc#K;L8y3MC`;~g_(7y4EVr^q=!ji+|F0iF% z`lWY8k&6-lYJ0|0R!5qWTM0HbTOBLoB_(IA>th>el1Hfk7TOs+ne)r9Aih^J*x_6r z@>Gy{6GR7i2Pv(0`+irIH8;C-pI`!Ttf03y|Cu1c8$d#af@kOybafvle>+J2CoX^xz8;L64K6eF1rtXJO;*&60u@ z^dXr1&B=iugdX!#2P>EvDAluCXN4oTxEO3Z6Z*5UM{g^dyQ~Id%5!av0~l*B9MnWx z08NTrxE%UTk>Y4D!}LGCZ`g>94p96(1RKR@h!aFqLGBf~|2zD9C2{;~p_F)*0$K4o zMi6~pF5n=jcPrsobyzS9{ABbhj&UTG5g=)Vk}MA;G_E#)?VREeh>2?pDowE*ag-k* zCCS2M%z|qVSC_a?)V+gl2~}NZT4XnT&)C53-C>#B$!9aOcwen*eR6Mlb5EAxC)0g| z`DmC>-nE9&P`|U}2=r8R?ur@b*_O2xYGfiwp_2ZcrjR*+JK8&cFW^_dys!wU;zYf# zt;w`fKw!Jyd{UsJ(Hb+M3@0XkmryF~NvxG%No(WCW@NWzku8V4Nt5@d7+u=&{(6Hl z*AT#&Oi{)f>UuC+u*W+**JaUwj#Ra@jO%(jzyAv`=7gEzF4M?Mo3zsMHVIE0l2?=C zXllu*mR2fE#~A90H!uA=L(NJ2eic#wcyfa@rsF^6RmU#L)q+1Kn_ZgYGIs9@g~B97>@ zJ+G+Rz$D|V6n!KE{FD>@eVOc2&fLrqfOB&~EpO+up1GAL;=a`NE*Vc_Vbx0V9)8-& z;&|hh=h~nA9aE3N`K}@@bOk<07~nFjLRS86+?f=5y+Py7=Op7iM7g2>_?^a*%fhOb%IWc=~KwhKU4bXmn zzd*5)N-xrF{+({J>Mm8LOi#ckWzAH$WIe#Ta+YGe(pV^r1#6Ic7Q{tc$hu;uzTD;! z#{Rh(>A+L46zL?^JnU}65k;Ad=eDUe__C!nbcMaLke)nKvA=paj5{h_VfDGD&T52t z1FRqRQVK5C%Xn_cJ`Ww7R+Opx>f>sIJ?^HS(`dt)0&D>X{W?Ez;tz`TnSJXVg`&F_ zz_RLdJHxHSp(X)^S1WQa!vx2sUlo#j8UP;g#Nf`B4=>R>+c&>^!j2x$NDFxe^@8*W zne*7=2NduIvtBJkx;+$K^oy8qq2@BQXmsjWP3u~4wKU*cVh7YlX;BZcH@c^&yG#cKZI3is0c8AyAc=(c*z4#gK*v{Q5easn7<*OT?H)pD^kl=0G>m-(1 zaSPXUGdq%?AE@$!&Hd0ZlQUt!zv*0tIlN4H;eLs1WZm~>4zy^_9+}aWS=OUh0rx>_ zD-LSzRiR=C5~Wdzv{5&Q=5uS-S!#5mU+T3sSz#skbj`aojcKwsK3H*%th^Z0Q>moX z8j(eKwA_PKa->PNy}8m~Ip;T*8+!n5G=bs{VGGO?WJ}(5pYLj$;qj7k&FHBVG9*g_ z_U+{kj?)mCiewzbW70ed3^$eAH%tqMB9CyAhrXYX?J9y>UG5Q*X{98HG$bS2<4Vdo znJI4&!9UKXgfEY?hyE@pKHC)U1qfT2@X!N9oeL58oBCBB=pzPNTxtx~T6@E9qy03$ z^WiWm>44G=``QGA*D4#|2nV0UGxa+&Xv_*n^|9}7FAHgD1kn_Y&1l4)!c>~5eqZP) zX2JBu9@yVae>LAAdV1Dc-oC_ie;lM26&sk0j>_ccMzGcTCIKeM_j92yQnzQR7UY@0 z^c~ETz$Yb%JR{36dEx}Akw{~9fJ$4BEU5G=ykPgnmAf3T3u%!=TZi>(rfoJkAV8XTLrS0jNS5p zi}U+*C0n=`dsJlLH+9Np@68WF1xJ?WjEfc#qj6ir;d-VcTeTXUY0#o$?s9NniW5so7b(THo;L7 zzO&8lgb+l&`6Dxo+*`ezpIR-ym5N|Jot$K*4sX_ z3~7t@`S`a0`eQr+4$I!n?hU7sHR}SAh(f}A$QbF+_ab_>`&4NMn{WMA_ zC1OpS$=O3Li??hG5a4Sv1jx$p+@Hwo?WJ2=Zke%Dj`Hupg%8EL@bT{x{E#xR8<$hz7@jVzMZjUc4j+|CsD*r#F3#TEh|y-IX#*`teqE1 zKp0}GOKVjYJ)Nw{wAL?^S*ZmFsbt*FZQRdwoN`??Tu#^c5zoK7WqGCT*tq8nDh{xBt*?2j5z*zn9) zIqIZIsYoXUuJU*h@59K5`a{Op6D8Hi*hmU<~2jxx*e}{60+78Rd`-D0CWdfg1 z%c$->Ph#xD*?I?Vj|Bq{`kB$Il8l!zb@rBE$;EN9_rP6QP9uF1T?{EN4j=tdf!huC z5lCTN*5PsnJ&YskuJ!@j6q*|XW7L9>%r+Y$^>x}Qc4>CE1yIK!rM+8|sw9Uc*qy|@4XCo>|7d3lfV{);MFNd)@gXa6|2D-*t7u6F6g{jF1Ydkgu7)*u7A#p2{yvAr(@b zPS)CQykVBRo=+)ZmAU^Pb>A4A$@gs?+j(MVV%xTD+fF8SCbsQ~ZQGt$6Wg}l{QkGD z>fXBF-w$2Y{Zv&&vb=G>v zwoCaL*3QFn{tv%jm?$}hWOzYkR{6mKJZDC{XcX4dBM-i`_t)q5lJwqlMR{lVx#q`+ zD15$jos=kO22_gS)h>Dfq*f?_=*aJ(Ans+M`yPtO@1YR=*HB=D`?~Nz16nC=I1l3G zF3TI3!m`l>PqU$vyiAaA2!k|=pp=rqK%iy6UF9!_TF!r<;n{j5reDcdeT@}+PzmDA zacY`#w$f6MUW!l}FH4c($|4bHkD2T+Qi1`eNg)+bQ(^Uf z_nq+~_NuKI#V??)hcv+U3dgA$IjHwi7)$SjWJc(!$meKio^n zAMx#2!wCkj4~KR`lCIkgTaG9OROI2+-Yh0a7LWqbt|IEc@p@U-z8{n;N2vt&zsBey z>|a4_VIKB=ZG}K-toKIdU$!N(*(~@LeS;1x(;=GVLrrxaNs!5o&fnbW81#s)5oxI<V@3&{a|~EPKZ-Y+x>~o zdGmJW_RD}hcY&K>`GSwVS zcy0JaWUA{LxzdPByTh$y`KLWl0B6)6&Q_R&?a&unxUr2 zmN#Y#c3}oX^Mt(5*S5OY4&{KC5j-vm+L*#VPz6uppp;NekR||vRA7BF+h{Vl8!-(x z2nA_bhZ#jVu7DMSsR{Ot>x?oNwVf|YcyrT1s)krj${LP$OrrOxU?;(}wBfqKxzCRs zyba zt0QtuG(E>T_U&f--iaI>ed;Y)y+Q4b&HKN$PD^VgE^GwJKAa5KYd3)F31g5=+}BmC ziIe$8;i92Ok0(>V)PI0LwS1nh^&X(PT)?x93Ydleo{Q) z9|K{8jQ@rNnEycnRRxx4%L*SRi&Srn_Cyp&4%d*V>DNkGqJ97X4oTqx(SOfR;3;G- ztXzd-kv6-hbrEI-v|D(Oyff9hPDZb$m-0Wd(=#XFlNV9Vqn}j8`{Y|(3-smK<|@ap zhWOD8X-X8XimGYt-`ssr?ex`vxeJF|ijN0Z2Ww_gSY}N+A5Rjw14{iF3sY#I%{6DQ{y?!teimk$T5vG?D%VNCdYgE z3b6cl$(433<>=jTZM*F{-jWVp>q$%`g*r~kDZ$t|3v6=M#4CA_M0~#%#1cUEf*hnM z5Z@~@(yIsKBdIqv_Q1run&HhgZ&{1}p`vhJ8l|*ul9W-Hw*m}4BxRapMGDY}_#>r! z!pr!D!15sDKo`%N;p9KMb`B~b1u%2fa9U`-2I$pNiDU5}AzJfvFT`BYp(Z$cR6de* zLe}gIhg%cdyvux(9Co(R$0*VtiX!GgLe9_%lGSeIkV-#Ve_7lwaTB$X3KTYPhK9j| zgg26LfZr=nR6Ui3>J&2@JH4@RDJz`kL@8yOBw-iiX|x3zB{NASqm<9CGVCLrfj+hJ zPfQsWlkcs6I=Z@*#EBPSY=-K$^X}ZU+}y?D$j>C_R%X$lJr&D)o!MG^cwLWu!vOfv zlFTiC;T-jq7yM}lf^_CdhcFmxfW!g(7&3uB_}r#n0l_T{XT&5iCvgiv!Wd&L_E~f? zX(oLib4A(^Yb;WJOCWV1!g3P#rD=sZIz&hu4X|FEw}J~Fj9@{bQl6(lT?jLuM!DPT zXH=}IFrj+nz7Cyw#uvOb$~sKnW;W(Z;XXGab7V8w1&Y37MermSMCcasuK0Lz-HFK0 zdvXv>Z}WQ$XGZ_Qx4NDnwc=LdfSe5WHlz~CxS6<-Q?Se0+5a08;F8Blg#S5od8qD{ z3X3*`W+Sh8645Ic99b!N(17`#mx)*zRS<2ima~>Y+S!Qjd7H*6{*nZ(e23;{IAPOw zXaX~ZsYVd0hUbY%o%EC?pvXR2vae!{@R-tFF7l<0*xY3nO*7vAu*Ty!5O*3Y%lpYi z=RyDZJgPM!L+0nCcurVchPR5gk6;wBsmK$rv!bfRQ%{`$YY=hx-CI=W8y?vF0}sa9 z#UJ;KL-X>y*-U0a4p)(QaIDOQPi>B~;Nu(096)prbJJwpr&Rqqzo0yR@D#~pJW*C; zB5{woEe&~6Im~6vm@2V1Y!v?<6fP)UO=5Am`S?sAi}83hkpht^BAHE|355eW11hbH zIgY3VO&jJaAg@D!MAH;^g?6}0=@Q4%nn*AKbv+f@L05>uy;=;7zb+SLOASK%rk@EGB zLF%Jhhzw>xB^Xv+stGjzU6dyLysw(rE3A4H-{10_$P>kD^o1={+~J=aK%A}NR2MTu zWWN)plHDr5_}^iPkBs$WEkpiS%}P)sb2;;*VVR_7j^q{vyowUxe3yLz2UcEcVuO5{ z=aGI+n#haSS3I;!$J&mu8 z#~#E5`>-OA3=X)*7R1F6(%O-;B)W~|Gk3QOYcN7 z!S}FIfzFQ;tJpy(22rY|rf!iUdu0yY~}bMeI8-_us`C0x(n8E*Q#?> zMKl)}#r*}oW@8HJj4Nd_jiWr`_&KVh)jZT|qQ=N= z45XnB#T*O%iiyG4U1PWywP1I7sQ77pQ@!-(N!@rcTc=8YKWrGgW-sG= zrLEOS9KU{g4C|@TX?C+E80BVuW>7a?v#Sct4-N&9#PFl)KbBF5a*WY%^2ose9PRw< zi;DT*Td--$TWjyu*Vr(5+9v9PL_tguk_n13zXJO;O#!h%7SAO@sOG8VY@0*TuW@-R ziWnvjNsL5(`54QUAya86l`H{_3l>CTx8C(LL2bV;Liy}_xRuv_od~Nki4{G01WtDi z=-sL>qSma6)!0>EbAHZpoPhx5*aoF>jbTu?LIFLNdMq8L*1sRp4sV-<{>+?31+3qb*Sn%23O>^PhwDmZJcj;yM z0CrL1&fTk(`5%<62#6k(VrOo(5AZqrH}>2_pKl$n~ej{LN&{ zln}orHO0WS>+4>S!9C3mwqONP~+UyT_Lsx4{J?1puF62tzW7rWR zy%>Ytkh}J*B@zvtR?KKRU&#op%u;NW&T7V(%u;NV!AfQjF`Psj@Ra0!G89>?TL-%7 zEKP@WQO^dhFk5Ug-ek!SS0+gw;;7g+Z6_*7bjK7imaHg~7bp}105B01x@(C1phjn@ zC1x<(5*Jn#K#%YsaJQ%Vvf-p!$SA;$_ZAdy75j^6TEtd0jX#o2xLXLIkKkPuq{6b>s9fY{2{2w8N5u zxTG=`bOhrj%AV+s8JIP~5f{=9X4t?)r7)T_1}7xa#?M+POO8=~|B=MxEF19|OaZ~M zhm-^=hm=4eJ5#_sd0`qccrXnGMV6}AO>LM}78lWzou_1uq-(sLAUPK*gFU%%zJI;BJn74oPSVl0=@wxdI zuR|oI6}e9>)Tn3ua_HLVh;CB@pGH3p5XrrLD>(?S=G{ng2+UGGVVR{L<}e#fWK7X# z0mCCvw7HDD@Utiup)#Ut$g%;hOvSS?7U7LQMx+ttSS}*6g}4jwx(1ml=q$nJkcS7(T_Q0Hw|GfZnPB$7421P}f@PEjl`#wZE{qp6_4Q4zIkh^&fPoH;l9c_kUL z)VVYBdG&{{zt*&$8#D4g{iF}Id09E2qWD>lAcZd=N2IHP%1sFQL)NJTJR>QM%9u(y zy!hV0gdtH8f|UtHHXw?rI6mGY+(aZZ!h|H#bx4lr$85}|`H3Jb(`j+a?zYMAe9jga zm=k3MiTIF18Vd`)iokwD=*3YB8)J8ACayu463P8vu|}E6qJL4llFg~-vB|l1$xV`% z_(rL6$;_lmMd!U8CHH!06bH|~X#pbt2y!M7mH-3QiAjdDbhw@Gs&FctEfZiuA;$1( zi2hh+ucJuykVcF12Cf45PQMo`c0%wpft`_*^iUhhHxtA+1!(jK{-7>Gb>`>ADPj#Z zj!}?_3lf3Cz>t5(Gj##B{k`t{%@>A!+U%fkK6U`+VZkqEnbuMTRYd7Hj>l*+)QO$3 zqcY@<2Wk@IHTnUje1KAXfR0aRhjuOEV|I~PxIdW!Sm7-Sz!C*nG!;s$mkL+`5N;3@ zmB60R7-q5%5L_t)7J1ul@-eH8T~MwLnYLaH;}+Nmo7#m!q>CWC6$xlj18WBN;)^HV%#uZgJ)# zXX(gRHQ9c3k3+IQ+Wle*M!N;yv}79|toWt29m<#ZrzI=J1%y5EXF8Vr%e`lv(7Vks zjcdpH6ZMCo$$k1$&-%#2!DSSEht`?=%E{)*2lQjlwxDwe|9W-}O(Brpd_n$2{TDNO z?_%=yDe4=AeBZ>#g1HQiwuy~PyslGWo4M{N@NJCvgYJO$P<4f;6g^Qu4J88{{_B>o zhhAG>ld?6d=(58^*L(GEZ}eU3550(zrnR`3=8-*$*w*!tmrJ``Rd(uCU9U6s_fa>u zNe9@J;!GnV8=)~1-6tT~)nuenZ{O{i;~!W%iGZ{5sw?++U0;CXQd7IyWQ#&sJw||+ zT9`|Zl8;}@%nSXKqp9|>*3I7RkuohUPTSr?sLMcC_o3O$DvM$9f*o@W9v!Onf-CNzcE;aGxp$x@}b8yjV>d1?FD}nc0Gb^KQCS}B&XFZj2d^C!K@he4a z%HDaM0L$V@d?U-IjJa{YnGR3rY4FUnf)s9>m76AFi0kA|sL@K)x7U=-dbsnG(i79u z9D_tK^74fI>b1_!;?4z@Z*&_jjV|71x{#z)t(m6Ygr%z)%AGJOSF*_0Vwgxuji0Q` z$H(B64xQgyA1@0x?b`gA=&O4K#C+}8c*HbcpL(;7t`Pd5t#0g{;>6H7wi=zD$gdKSYx>6pWp3= zUG@%$cf3xGw#Nk5kDz3{mzVE1(w}>9jnkN4>p^-ZU{E0z%4)9G)Y-L11ko@)EsO=> zUkLO2_iWI5x5gn@G3TR=wxArZ5!Cb z7Hw@q&Kh*^IzQQP-NRgzNPCB}M@f9WPnY#|o5L_SMEr(F*P8=7{ZVI`ZWvQ% zK?I<0EH^!ka|eL-A*h5-Bj>TI*Jtnq4BLGjs;*vp#%7HMHhhF`5$%u@lnn? z*8yQkdJ^A}4*0E?g21CRY4(2czohQh4f5}&cO@rh_U@Xk?LpE3@&pa)7kkdwln4Zf zzN)+TH%HgH1V2piwk*d2!VMF^dDL$)dq(QcF`d-<#|V(DCX4G1NEV;`TOUrmPS39R z(3P6Hw_+a1Nxp5biD7)}6?r{(#;4#1GW){Q~L`z{eFMmkTrDqX} znoSyX5!=#TvM`$1lv1%TlRa?8sG<%1MA9b;!@uo}Tk3IU|6Y0^dLp z!4D@ioO-KxPJ){r9vJgEw9}Q<$rEt!0-m1UvINr(o(qn=7u~2)myI&KDP+cX`Nxx8 zZQl#Cb=@TeJ!!C9F2?a)uD#ILrnD?tEzW=aae|%h@Ea161|ba!^>*_4UaM?QCGE@8 zU}x=9{N7`}+{?+nr0t2^AdQ?X&M%a+%!~Jh5!nH`8e~friv9Xs&HkGhVls^ZM183-oO;Ho}xAqQqSrqmaH9Ct)ekb^{5FJ$-F~ z2lljtk&knAL0)+u4`^Uk#%GBxMkU#@69~ll(#I*s7ancK36A~DK{*aR>` zRp^c@Qm8|3amrd$9UZ_R#D%5Jdq97?n~_|Jg#l1N79n7cn@#l51Cs<;nRhf$dqecF z`Rxz*T2$KJVa*F94yXUs>c`q*q0i9eA*T&&NQOk?9f}9f0=Haw^*{)FmuHZ;s=atu zeR#D-zplVx+}<2f!v~EC67jYv6J5BOb_ZJKN9urGBSeF#=H8d=+L7cv8k8aqi1F>I z57XH==eWsCldB^0&fsJ}c?k^bhxc2JWNGOHnUBl2wcu5>!h0_BtXnKtkKLTK<-Hg} zRLi)`4LmB7fVo`#y^1*h_}r51!2RSVbn=sJ5jRQC%DAFP{A_3rv_vFQBBk>z%s?~( zM1vzf2#r+A;1nJU_F;MP9iNP5V=mKfsQyfyro+&TviZksz0olk4EzZEiVA2=aCw^P zH{fevf=WjdoUDX0x-~(%{Sg!gf;%aFg>W3wo?Zs>!&i@F zB#WZ%aeIz0d-vQ=0Hi~`F<5!}dJXz}k>u(m&7*5hbEW{zr6+r=tl8PIeBa(hf>tZ! zzp)Zxg7ZwVg@l{d;1@=qYXfT`bM>#+Mvqu}x84TA2rsx|k(*nz07nXZb2QN`Mp@*@ zl+rNfQc9y)02*VuR3n*oAaRI0t0>JH$XQ=8%vpF!uV;j*$6}zV8E-v6Q@$AtIY&_T zS7BLixFNWdS0c&qxs)luURH3&%mm;}Uzny39*j#xu`emch!!U1L}NiHPB@q+BJ(x& zi=yj=LB+%SPXBJTG3>=W&~ZrNpCt_Ba@{6+UugL`ukiu(J1Ko!jQUD8+nWIYYFlUJ z6Ti7n;EaI%9Q{pv4QnE~#dmqL)U?{ncag{tHhL~(tJ>?K_Pu$ge1@Ql&1!#AROg;+q+{xH-U0TRwP z{FS(^My7a(+t8SMX0D#g*y+`xuvNbdbKqOY!W7oDgFde7s+0ehF$IA$CqRKaVk;2F zH@}e(RYTj3k#RJKI>{?!F33MWsd2bc?T)hcnD; zFfa5S*LoDlD%TQmu*EhrH7TYcKSsEbSSM3sa~lqi`Yjd>y*RjxxMgn8wRnz&hzGBE z?kBFEI084!v#@gRRJW3os(x!JGc_<2p|3Ux!6rARTdO~6DDtvf=kFBMCAPOv{*%0% zPjpGHYi$CYjt|&7UD=frxa-Vbky+%CHO>Ey@p5l!`(kxS(`khgb07Bi#n4fjN^$}f zOF*uoV1xNbxW~!ng9DTW`6yD-_Zjs>1xB0Ghi6$D4a!O;ihI3*sC2VsJhWSc@ySru zn{N(gZmA2?gusJYzG1MC*i9u<#E2jZiiaSm`f{+X48ji)=KH9coLhDe$0_j7R>FV? z;#a2L?DIPkI?I9l8Jx@M>TA6BwfA`WxBR+h+0gS)2VP;iV)vn)DEkbIDY~4*rR~-6 z$cUVN7#H+G-!lwJ!cYD_imrj-JM|c*51%Pw-=9Cka3SzAe;~M}t}y-#=i0?W-F^)% zaI?*{Dx%@p_vqU3;5|B*8lc4c^fg6pYhQJIWM?eY(sakTvYHp{?Mof{Cm(UNbV7w5 zByrB;=U6l$ysLDrSuKvkK$1s;ksCy8*d(brU2&hyf|g7p~`WJLpebrVHg;XIK@e@f;MTQc8U=qc;e=U zS)yC!+X2QaMK_FhLkH2NN}3GJ^;zNW!?z;+Vh#TN4yavdGYC|(5Qhj^E^BY4C!5Mz zQ3Zk;=+krWdzQW_68EB@H{>#kQLRHqz`Yl3fv$~!m&Uq+!6WlgDi85WoG@R+Y{8Qo ztSoN%NbH0-LTsZ)@- z&p!Cv4NTj-8No3*_j1a^=TW8XHP*50D{1#llin@Z#c}E$3MyM-uEp%1Ls4>}qguAp z?hh{U$#DxOqZoaM0LzrQpO^}fzqb1S7^*?7)SuhD_%tb*rmt-9m!ReQ`sJYw-Q1%wr^2#l&&5!REkAcd)06Bf|2Ag!oa5*B@=yOfWhYW?(HXT?c$fq)9{YoFXJiQ=2lWBlyd zefP`%mLka6*`kKnF{NRKUWl?nJ@TesZf~5Iz;!X}xXw|<#ce`m;N1TNtA*`&SY&m(A zcc32pv>!4<)Hd6Z{OR1?{>Aj1uFVrK;!}en%=M4>pD0To;l{zG zIKe8`u;S5A6RNr%8)z`Z8!IsSHIUFCOH4u$Er4wN3sWg0LB_4CU2)DFs#IR)Ob`qV z<-(sVGVoxLf9Ng29gbgOWb_t64_Kf)OfAG*Qs3+&J)xQ8ZjBnJ3HY@XT9=^ zkyYp$zV~Jbq4GBdMQ5Ls?l}>~+c`l9@R~!7yq~t@f2TjP6+Csr$_VQ2l?b$Hd9~mvYB*Pj|M2(QE8{cGK=|7sc$EYuzOoNd6zV8{nmvhurOnB8SY3`%?O?k2;1dRjb} z6}B~T-<_ZM0StPACniv>2D~Bi!VJ+GXMC(WO8ae+$^*BSDAfDBK=kVB@O3!~k9WH0 z6I^Dtr=Ij!9|-M(Iy3N-wiqRREJgFns59a-**0L$Ksk6%M0%TJ2xY6mBAqjaMl>do zwqYMaA^xuk6~p%SiFzW1p=%LSL`!j=12a=ZTdLw@O`s408sL_sFbzh;*bt2+?gx_5 zR^Q$iiBQs}H)cLh_e;$SiPrBo=Dt>=QgQ0$te`Uu`^ZjV_{W^OTeQyv42coybGb~P zyxemgnWeYf2EIP>9QKpc=h5YiG1SIF{TOMP6pzj4p`f8ZXQtK9iWgWWbGt5w0+dM%Dwn3XovX+p13;mJjm{kE~C@5F3 z5euYsVB(icPaGe*u|x(EwPHA?VoK0e>tLrI_YbTEm!R`jp*CY0WG9+3m?3*X@E!%R zIA~ete*-^CLEk;OiQlReE-}UxZ(z~No@q@?jaJ++! z7BS}ke01c+1qvSRAp|q7n=ubDf$@H`M9!NnQQ%YKG$Pq2kVR+HuSbg!CGwf&&)6_t zBid63lL0SqnhMyjWQ`B3F+{ zQh#SD!ay}lvVQuY&4QY@?nLU{0p&330?M$Gg&Qv?)9~=|7}4VQm+(;@&h1EdhfJrY zKSR_h}ZT8>W zH?cGQSG(rF7x(=CMw|UdiT&@|?0>C9`hRP)|KaZZPi^+XO*&DhrS7oXZ%9)KwI#wG z)SR-8GL&45ZOU$};g1+uW|EytVn#7b_uUobi%5Z-YTf;H6JFmjVMkAp2=U#-_ZGQc zQKBC*wCsa0agj;g(mF&RYoD6+)JDU)=%Z;@lbXHWk)*9xQtxqidB2F@0 zyU55{tF8!$=n}htgG5tz6aH z4^yejX{t8v{NLtMj8Z8LQYolXPCbEQW9sI~-ap5)Tj{4PJRH>~B9*n?Z6=n@o4kFb zq@TMuU-mRf{W@jFIvS)lzYBx$XRF@MOG;aDX4)Ji=)f*zjyQAS%O6t^GPrbdo=s*Z zPP1fMZhfD$Vak5As7YEwr|dL_7eam{6a0#X@oLO!FV}CMo25!QLGa__K$DhUUjIsR z;!5e>Hz3@IDu!T&>u%4~(#cP4?8CJ0MR#t0K$Db!PWkr2{0Mg6JQXcz zg33(?yK}P3;i>>uLXsdoK}L*q(CCmbEqO^q_WsFjPSl)!K0yZzqr%#(;5DqA>z59l z8A_c{Fl2cwUa48Mz0&iy&t*XDV&0I>JVzbYB8eDeAi6epo=#8qkrryM7uC)&1nEJ+Gf2y!<%}4Gr&~AE^he3AZ1l@t)3P$cQx0E75!= zPaqJR-EVehe?PD~T7)nbi|=Toc&&QM{8FRAw4JN|oG}xbNc;ZfXskjGqFKBDqT_h& z_z}MrqD*BAha;Qy$GrRwct^YmccH&fkhA6Daw8r0*>t+Q%WG;Yuw!sK{mfbH(|gvs zH+r~k&nIEz)lhnV#=Jeq_JCL2jV}LIMd2`ISK{Y1sXg`RL}cSf!SK!=_^XA#pRvzo z?n0It^n!jYAl$8lw!O54N0WUT>CO7lqPc4m^X_w_dEsLOx`plxA|}%Sb~+_WTIo$@ zoBywE<6rx1es?mxY`Db}XFAW1X~5zXvjbOZ54Wlt`IO&!mS2m-w9D=vR#O zql>d3gSecd7Ioi%+>w^ZSX2GAgpGE3%Q7seyUilnA9Un)phx>_EmKE3a8O?1-IIU$L_5EEwg=0Q*JK^J>Cab}l(H38s zLO-VY)WwCZof!(v^->+toF5G75QL?=7f!>QW-M#(?6w+=mi@pvHZNzjYsXXhVL-b1I)dPa!n0Cl?y`aUX+Y?W51e9$GtZPiA@+-}19M5qa_9 zyFzWw3_U!22D6*5?j)uKkUi#~kp6Nsn2lEA4)7Uf;WbgvvulaUI>kvLs{2;O0Y|lX zf_hK$YE$?fV%h}0y&s0*v^i%Dz}(>5A#G-*ZYX)1kmq;@tr6BSlX%M8ykAh5@Tt(z z%;c2i{q@&14&i+-MzZGnFwB(q<3jQOsAzFM*%}U=V$K@8}H}w_=B) zIDrneO3hEbS~ZFk{z2!V+hdxKttq7~He_Q4W;pCxYB{NoT49)!qs<5f@ANpPL+(AOqq;x-N!$li*y@ zSR$z)#XR&b-@GD({z7e0U&^Zoxke2k=1I&1bGvA_yP=s9YKrscXZP=HzxYz$dMc-0 z;f^h2si-Cgjx>d81u9alWyQp z-gM(e`c<4KaEmo26q~c_>7W$cZ$wkJN7C4+G=$8L&BC~cg#xkpju=gVY6DHP23l8*%daQQRCpn( zDsM3pQIjRobh0>3o>)T#VFV|}kp!YyBTiflMz9x!&KBpCWIz46@kSW-h$%q~RQ0T| z$n#ACCb)NAeuJ5OW?WOqhCn)DxA8>1}rT>)W75z~nGM$!@+9?c;V zgdsKE7m&na6K4`5B#&w(hBkJv41pfQ8Zylaxy@pOkRNeef~s^zBDGvdAcL25I66d`&TCX zE{cVNs)?13omM)AT2AU68uH{mU=pe$utff(9FzDqu{8RCZVaE|2L4G0x_@JozpW!5 zOw3yxWezsQ0L~hU4B}Xz^vj%J)fUd_Ys(nVzzAv`g$kvzz9nSwHbF)bJd$EDWQbA@ zk#u_zy+7$ui$$=AkC`%d1PHuD_h37zzGaa)xsM84GkNhBMS8lt58F>-vRb7Ykbgaj zWS>8EGu+#Y^(cE`uq*41&6O@XRerkPo>6Xz*YQD~o?5(`#9}5rC!VtNi^%mIK(_`Y zeVvl)B;T41ba*>G4F(tuW&PyqYf=ggCEofaO(@5MjK8fL#EPqVo?Ztt&|3CET*)Qe zb&^XyZk9^%_a2}-M%FDwIJ=$s;V;Rg0mNDKd7KpqOp#V_kP-z1*0~9uRX)e>=R8ap zRS0WK-HAM{iyeiqHr%pR9G5@lb3lniN#etnx|E3a2;KMb4IB=`5~Hcj{fy$IOl<37 z!~@{wGAIU?R>@>JD#T^`9dIB%A!h`hRNGwbB1q1S1ZIB;Eoh(?fDg7EdjxVHZS_(G zT4drK?x$H;Fbx3bmgza$!|CP@#Xn(in^Yi-N5Inz;^x8nLHU0NWeu+=Z=PA|$tmMz zG%X49!JAjsr~qY!Rt<_jb*u0woSdMVlp^%>StuJg{qkUV#m7(k)Eno~s3hYpfnZisn!^>4~0G=0MeDC5?I}Qy;5;0IzqwnT%q75XH`n`>nCLd=R;+Ej< z8XHsOB2Z8rduIudz=6D^Vuq2h?o~>FgIw~MU~7>c>v0Bf!v5It zIdJS~RC;e>R3KP~a>94?8p@;$P7N82?4UWe(5qz@YEg!ATE#D*i`R6#8adSOPX4ko zF4$){RJ3JeF*frd{>&BhmUj>3^}ppKeAzV+Qk2KzqYr`_358aSiAKiPEr|HR(;Db(l4Nw~vIW}CWhSv^bV^uLuN*IcX%tlSot{+b$EBRewW{aFMs}Sz5JdWmtBWNg@ zj2!9&V6IF_1Nx)-3`p=Ri|g$O2wFT=g(Sl_z`cl$bDq@hUxj6ehXDocAB1YJM_|5~ z7Wnf^nt8X&TSJ$lJ-q=2Y-A;{5ORPw zk3u+QQghrW&G7K$2KDL-Y}4@A@_L`*0%G19mONPyeA}$_R5_s@3RRhb1XM4Q2#*bZ z8tUw?*1<&jS?vK|hF>MN3&l1+AiB%F9S2;vHka zG@~f{48Qv_n|6Gb38vukH_Ai8wm0M&(sSM5`Ea0wO0p3*Ht$Ik6uXrulu<-Cl@ zWL%VNUfIGwQG%|9;<@0ncmw5DB2-|xY9S@~G;}%|zacgrx#O$rim8@^Za$QlVxMcX zfXjKdJ|l<8>7m3{p5ZL4iD2t0zqs|+Hr5+Zrut|L-Tmeu#qF&nx~NWnFB1Q%)g5<0 zV6l9+PTkWxv$sApzkpO{TE?N^zNLPdlEdJ7u|wr<(@X5e4ySwzrTGjLaShpu#@4g} z!fznZ!|#A5yf0yd9V%AsH$4~vV=p^j4MRUABlS zn`__l61By%%w|M=Ql*<9V`uQ=JgHBtltE znEjkLS`Q5AkQM9Aq4WIdtkI5Ebc>(#G97x6R6Q;udbY8e=Ji+VeCw6fJ)eeUEAYBV zo;{`oUI@|d?9{}lU7P_C(wJ#bA7MG}bvrhSf5fmo82642s`K1XV6L#p^lLAScR)+~ zop&LR6JIqKgn^ZpGb=m1einn3FPv5V+1Lw#@n{eVkc6P4M<*W^7#f9Yoe-uoYQaKz z@Ww010MmySu32-mi~=@-(84r9v(gdBfv*^wWL3z+?)yhT zVAct|{Mx{uLC&()kS380W8}mkLwW$CcDTrqt4|AVGR}WsJ|@w$z%6exV%aAn?zF!! zqp464p(5KBVB{#79U{Hytd(s>BbRBag1$V83WSILCVdz+?=Ra6l;JabkDls?JMJu3+CBatl8+zy-6xZZJlIo6l9AhLb#|1Qn~d?d zFFf=@S(~7^(D^{E7;{k7;)23tTi>*m9RrrXb8RbJy+V$&_=DpurcOpv$(n}MZ7H*C zOv5yj@O{)HnFa0PDUw)7ns7W+*R5Ba#qZz7K+o!8_T__i23(ta6;LY^T<*%GtYFes z;oe+-QbYKL_p8YbN6g~W$nGByFL5lI@JSECoAfr z-IOsFIr>#L1C*3fi)F;=ajkiKr zPQlR$V};H9bEE+!k4#a_Qc(2D)V!12cHZX4lpdw9u_QW|yk~&>G${;u z(r!}02U2Fi*gkjbs$)a`6&jiLO+9b}bRh z9JD=ZcWcy-mI*N-p}#1>{mhGasK4vCP!76`q}b#Q?XzTZ_LdxL8G21YbtL{yXhxK5 zSOoRO%;_OG1Jqf;)A3rXpMenND@&5L&LW~v@HRXsil^r0AuAPXNe21ASkf5_?+S7&!wiJ?sfXfp+Eic zkxor8fS_#k4&6X%3r#y^$~c%>=cd53=AuA_IwKvl3QYrL5m3`A9TFQPFd}4;+U;4v zW${zYP9=uo$K<0PF$DaEV|Yd4F8aK0Wk589QiCj-0(1Rqb>+)K1pRJ>_F3-b?O95R zl=SZKq!{sd5biLN84QWgcyt1R;LCZe149HZ9k(F|VW4giMq!f9{l6~@3rZ%2*(iVk z%fN&~aq)5uyt*RLgkMX4=b2%MpypMOH?gzQj5S}+%3UbWs3F;td(Ywd{(vh^-srr~%vxNU&=gQA#W-KtD1NNFAd*7-{L`O{}$nLhVyKWdw@VCAPq5K$j^ zxkrDTv8_zryaH+u-iX1o8@BFHQTlZoXS-Zs1&7QjX?uN!tq~_Y-TJgf8nOr3v}Dwh z(G1Gm5c-hwWY%!0Tn>&Sdzta>JqMXul;P&08nm<&Y7+G`W!TrD;I9x2FQAJFc(Gj| zjMH^XC9VA6u)g&kL$1~lGpwBb7)t@2I#Upt#3Ps~XaVeKu3zyc+l%AX98Pe$JaEUF z)ZbrvFZtAw!MKcty(W_jt1UWO9+t*9BXdI#{@|9gd^Rw&XlRv2{~^3b5nvPUboR^o zkgpNT{IZ5W_-u<@hh6>4JOH`TN!QXf=Ecw&^XkB^c$v&%+jOtKjdsdl= zB^wamgvq3ya*9|xB*bxL_LZFlZLO>XG;%qW%-$t4p)T7-E(FWrlRU*wK%w6(=7!D- zxG(+|9iQPv*x~^FRc%gh$0q$-CiRy7MRl4w0oYxnpWjdiBICps!Ff;5D`8O~@a6Ib z?e+YH!$#IOaZqL$Uy4TEKXAKG-T8(Skg_d<9cZTMK#H)kkU`V`CP2VFK2Rf?CG`OQT5lcBOPIZ(T17L zH={yL?Cu52MuMD2UsUj-oI61`oKB2RCEZnDY}Do^r<`@t81)Uv!)ym#!@CF2QP z>E*ewC5kuiW;maV#yYQFS`3xQ#PUcvKi}q_8-#ej)~*fMAFW3}?~RsP6>eF~Sc`yyOY(-yTkpl0NCaJkdIRUXUB0#w);nMics`Nw9*0cQI!0y5NhgC=r8DVBDL07@GeGZofe?K>c*Eb{SR87sj zExUcYg^La!(XqV7F&XHwf-1Z2gwYNap1gfI~DD99Y{?wo-HOU67aJ^ERGn$8%wK6 z1Wg7iw23UEICHk~-7K0X#=+_TqSY4;O!|Msy#-X2TNgG;gLEU(ErP@*Hz3m8jf8Y} zw{&+mA|>4dvgz*b?vQR!;ch*F|2>X>jPrfp9d``((7EGrzVG|2x#oJ-Tx+?>vM^-L zWxqkDcH~iCJT-gei{Eb)hKA)sWOs(4s~1()lfsu3$o7$U2Jo%%vGbOdl@4!E#G5Tr_EehjJ{n4&>Q0Ul*POSM7F8lt$?7ZQ*j{AB??Vt^~m~i@8(GOYT-(Y**74~LbJ^Yljj`MSeskg+mxuf z6U)i?CCr}**O7)NRz#L|u|;5pb1q;a+pL#wOes;ZiOviRzAf!|s`S?Q-YnyT`j=HS zr#gO;&(p~*eV4Q?h%IMrrRc!!M8+8Oyrw)8Y7r{5u(sStnlSD%-R>)t^E@dSCp9T$ z1$T$0VHl^yROJ;TDDKSmxylAYA~wYYkK>?>LJ7Ce;!IQ}b!INDp;yob-#=p5jBP+< zdwuHGVQkDf6|t4ydOo~}ujvP*M>`}$oQll1+cZ)g<<4RmemQ=PBop&#kB*G6ofksZEmE-WN#%M?g{2WDQ^xQ*kka=rx z%&-l9fZf} zPiiqxAirw03MtxjHnhQnD9gT@XpOKF?Bq7O4D|yJDQXl?yO?Qo&8{K9327yqiLUdW z#trOyhdQRiRpjXo`d6~66s^AJC0v#I+U5AVLoJ+@*^mhUl%dF{87zpa#D2aJ?wEKN zu)SxdOZVQJWG0eXVUh0gYm|@Fw+m-N^l|+KT}r78zGHE%R9h33W?6C-jof0|AYld) zJ*17^jE}*hM>T31i!|;ko1X+MLKb&IOX1+@hBHIixA{l(GAD7VPO%Cf&=s;FKfYIH zEL!i}uq<_$z>KORqVW&FSZ;)*v!qUa!EWLm(zUGR&$=;L!gKLXP$MUmSx0g@R-{ht zr6GM}7|%KRygxgyq!^AOImhUFXd6(?b)CPKS=uhSDzziLR=@)+*6{JLJB(6w1QgvM z^9f#~@P3tT373RB1!o&K3-ZOQjm0Qm{mdumG#NiXN$qNeZ**Kd-~7HIqVLmT;#iHf zLf||ee?*$LerHLeXk~?(a3BCl#mZOB!p4y^$DAXU$9KZw`0~itKUu}5u9{>)lO`%KlKHSFfU;NdMi92K zDZz^&9=Lqj9B1HY<@jE#A{6yVNNNU!D+|B{Q(NU=oP{uF%H5o|7UN$ zS7gz;iI6@X0uXk{(*viDBtB^@h;DCy<0lGfIdGTtJ@r@*ur|k|&NnRsR8Ny}NmRrZ z#+nXEEnY=9NvD=F?zz7s6M!Oci@SoJ8>N~i7P>jRGQOQ`mk@~hT>hCD<;LL`8R;YK zqGj$nIqhZA)&umzVbRiWibMW73YC+Uh4b$-URnRz;rsuu;t*2iAG?VwApYOB>}LH@ z{_%elgs}a(1^NHAAcXD5=H5Sl`&bY%q$+7mD2m!VQoftxnXJ-8qFQY5GP!CY^=lNskCinY};YS0Mx&3diP#TMV&XmJ~c-MFbe0@b}V)42;a&qfz( z_%hM0(I<4G@Lt|?9D+Ovek7Jx(b+-ndJ6;_qLYpRnD0+s&y~mlW;sRPHAIsOox~F{ z`x4w?)58*hUJ(~>pyOYA#ZPyqPp;=Xw&lm~QQF8qnN{I~A()PI8Vl4ZMK<>^K`BG* zeF+7^)M?9b4k9A)f*tiBeww%|8W<^Xrdxm$#3g)I(>SL^zU@NG5xkfEP2eO2!`rZd zObH749_KKBJdWy4IfLDd^Qlt|{%tesn?a`6772V3W>isHs>Cz3!S-}OrvyUPcnA~e zyv?%WO{=unV=bx`)%aag$D>AxBh>_^sh75;xr!UrO2$y}JH+#us#tXUHi4Ouy23%v zJc))3WqY=|r-4Y*!%%S~T2!y6*JF09Gpe5h2PjQ+73kDE`br$VzPz}#OrzPspH$U) zscQaaI5YO$ZV!EGL0Mt}&PyYQS~}yD$ajtj-)aMA?e*`os5_@&@724%kXXA(jPD5; zT4cn2v63jOBfY23yB$?N(_IL@cjY@f58tgmP&a#o65 zr$tq_5YOX~z*EwdbK;{=<8f$q``Ol(=Mt{pRKs)FH~#gqQ*G|XjL||Mt^27qDr(*; zuwFGYgq648~^t;CtyudCv>+$j2YV0lfc6u%1x&`ba8sKliKE)kxW9Q zbcuLxN@SvZm6`P6ewoQ@UM`fe6phhx(%ed#N6kh@(NP<>L;bYoYE4j7DmR+sjk`wb zzI(@{On|hs!$B^?%vOGetqQ@?`o3G-r${VCN)X^Ga-{rvui$0Kn^Bf4a`CMDR|Fcb z-Ve^3v7qMcFQD`S^W80vMXlSLJ|9Beeir_^A@MwIy|nvcM&^6m=xpG)N6KLPw-VYn zu6{-JB=p?r%1ah~vl~4!Y1`^k;8Wa!M@qNmCRHT2)LQ`BNAu}5=$>;Qin_BDmB*He zoIF}d+{h3$i)&aZ)Z*GnyI={{_HG;qc@OfD(YW(?j%s3fspd_f2WDw2M|uapYfgi# zp=Y3kV?I&jO4|U6U-94tH3qG%-}?}7YkJ~)t$0G;udEr93v*j0>Y31nG!&{u&Txh* znl6$iOx7FOQHY|yx1rfV<3@oaw;60e{x)~weDN6#FoE-7HvhROH2iV`pPqGUC5JYF}=B>VIUbgMsUgxQ|bNLt1P z7YsHVC6{<-F1y1RMCQ3tJ9;^)9PG%N?AapgsZ3rggDX3R**uiLR7@r2S;>2V!C1VMYv^T- zfordlI^S##6K=Aq)RdbYyd82!2Y|{dt)sb-=nxA(cQ*4|p$-Ui$1BZ}2c|IZEOJz6 zVaKpddisSJ;ztQuq!E?D7-=npWT+a9LXgT@q6N{(oKJqh_&pV#3 zLj3eg`o?P%l+O{xS8+ls2b^i9;WbXdmEWxv!mcG^40MW%uZ{?%($#IJA3-%DVz+SiETA3#aFhn$o> zYi>@-sihTT{RH`D-SFzpC>$3*GNxlV+$-DN@aE1abU!AoW?&u8*z^K`O9f*t@-ehJ zS_D~>1A*INsGfcTS*~5t>uKkfv_MFexi1?uD}MVP*;6*I&`krukQw3juliOwDVd5r zFb-&n_SxG48Ev1jZD_T@=|}+opZc{cY@knCn#b zNSw)tK&fk`U=F$DCv6*6`vlhBOmP)l4jr9R(EhR9qTHlBPfsAXEE_6dtSjV<(`U!X zsWovPa8J7_$uQGju5}1sHJcEd8Kw2(0jBAr&q)zoo#!6K7`_F~Mp?g3@>``f%?M zNfnv%7pbuX7M;Ni7UDe>+reeX;+5KGIH1^kF4KZCDP%E;0C+X~pXnS(oerAu6PSbV)|<2l8H^ETN^4jR)|LvD7jN zeP(Kn*xPsK9uH_6Jud*;dMDUkx(E)s-Wsw~)Z|)ER}+hKkPU!=UrV$rFf;H^+)D6D zgzy4wM(_f~RFSQ!bf~}(Y)V=1RfHX$ii~O@49GThlSnEbko=6|VClwi{IckOyxBdC zq(V7RnOF9FCx!u;=~~*76#Rc~%xexqs}s4;2lu+1_0Z=;SGo%ee{=G$0c?uVVl zkW7M6czv*5dmA{f%3-#aoD)kg_B#5RYJAs!#aw@Wq}+W3u`B?b$D>&Ob4dots}=B z#=BbCq=6kvC)=64YYFT8uwJ(}u?@Mxo+pQeYh3w^45rVjk`3i}zU4k+PU-ST({q=} zS|++j>pAu<{b%m`GYR~$X%&DC@VIFe+mF*+{=YlNhEd#7*Vs@{3!K_oTR9;9NU%ki zN&iS?8ASl3e^e?nim?1X1!h!})iX2H|Ko?ImdxxNq-<<|9&hu*mMdFDI5DAiSSPo05%_?I9R~uBNPWK*!%^G4Q&1b#ZJlwhWi^C z>=pR;f16;hIDUZwd&Thx#R>L`;}MDz>=pR+*Kaw&UU5D`f&auvfrGC@>5=(<2lR05%_?z^Lp@k5E8Xu=xuV z8`%5>ik+05`4=b-u=xuVC)oT23J5m;h5|4#fz7|60AP>U0e?dQn802E{)Pg89b^am z4FzBVdjcvH!V~8kkoA zX0TU3cY^!LIso`u*;yZXL!0PNKx+X3L)#{S55008XOBijMsyU6~?b^rkE)g#*h z;G4?+$aVk#?A0UN0pNSg{>XL!3)rhiwgbSooc)pQ02Z)Uk8B5kp8)$K+W{R{(+h{z~psBdjxNUFg^ z%KRgmnOZm)+L1DfSm-(!{_znaqm-eQF&K)C?eDc4zYMo$B!|CHV?*dHD)kd74b}Kb zEQ`P=jORJv6Da{C^=avS$P&;Q((&LIC@UW{3KNgM6IraXL_wDnH-lWu9#-h^Sv+^h zm%`uWq~yik-YC}(Ha)m1z6LQ*dgN;p;VAH$E?N-7gJP2uwb}TYjPTE1td=n?7?(yy zx#GIY^S*5PCT6a*ka*2XR!dD0eupVxnj(7&@^A^@y=qA1bK|gf$p)vP{mftCzWa-= z=x=p&6bpPHRxs_bFZH~7dTx5`1d;+JPFcd&HiM3;>emW!7xXy|)mJo$qVi46)DMdV z(lfmXw7c()pPk1SqyyfrbxF7Ipq=#zmXTe6K5{ukt;MfZ@NUZ2BgL|{+;uNbt-5Q= z5q<8lksx7TIfaq{8Az8mGdr@?XYNex>PfkOmc8}giP@nv_qdFxEY|I?zbs}4vG-ij zW}Oh8l}r+7p9=I;zZ#h?f{xVat|@enFm~mYQuBD(k_@jUmsImn)yc*KH_f?b*u0)SP%SP9=kL>x!K}~zA^`R}RR(mRQ z;uFm2105Y5I|U3x#WSF`p7tf*G}rQsJl<$Wz_}SQTZ1Y{S@^j`rS-K^Dqe**Ov=l% zL^tR3sr8Nk9)ji4?-=1q!n8-&BP_?m>ipYqS~}rH;5*?xK|~M}`#o}JrOYgKCmN6J zi43BXZzH7j`pgy22k>ziF9o#L@wbQQN=eIIQph*r%;rywJbGBAETUjNe3Q6|zgf#O ztu85}lCwE4kyhuKYqrK}=(eMF|Ym=%M zxO!?a19I$-&$`twto}BaI>Imy}*I|AYMSv)HUCKeX z+=%jaBcc9*ZZepKt!3vG0i&g>!wy?HtQ>ws)_MQnO@_MKT)v$J>YU%w)w8B1*g z>(`cU^VB)Em}M_*H}7Q$ISH&Kc3i^lPc2*Bt=#Usjl&)MO9qBnVNb1i@Zos|F$(u1 zcZL{KX$~6hNj^JSN^=J-&xdy)4vMAobTCeI4h!(9i7{lwS4=FLQwG zj=8koZ=l>?+##I7W)iAY-=@gse->`&z4ZE|{Sk964d2)o3ZKtjj zlB5)77bDpd)~hk85JD!LsMtlP(GZD;>S{XTzS&t@_Bdp1P7^jpIdT zBa%mlyVqcK#P!fU~6#e;v zT8iKdm(A~_D2@{0I1z<0h6>lKbwGnSlE@@iK_f56d+zyQ;kL5FIo&6Y7m!Wr9sSSWeRfS`*Jy1--$wvZ6oB4F5dF z(Y&hrDxXM))bHeANOB_4x$K1ggqZFM=YlEw#GfGP-DAw)MGBOT<&crN&XY~Alku|AwmJIw3J28xfG?qHxW8%)Ys1x2h{S3YEq7uAdHfoJ>Lk0Uggp zL2Kmv*k#rI)20%uE}XLe>l zjwmX1+n1euxYM(kyuitf|KbMTeSzqNUU+L^J_GR@g#2ahJEm+X;x;AP4o@Q9rAW3g z{v!IcDk*Lzx48qB->2HFbDIr`ty+aW&WbI5n+7}VSZm(C9Owg#@{F(w>*itm0@wO+ z#kze}R9DoIbXC`FR>l(*Vpq$tS~KO$dg^4#glop}q!PN{j5+?=PnMYj+^7ECRsYC` z{&iQ)`P;6V74YA6)j!sS{=b!Ra96$f935h{%57}!(#fg0olu8z=ea$WJ?pU@N@VLe z5{zllNBHCwE1FR3+|4@X!1AylNa7R)Zz^-&RHC)1t@s+~d@33bLfv5k!#1UJK+Efi zE|KHoNkpDJ{|TohzXyoPsuMbpP1cT>!=|OacB%B6C2*XsMsr>8B$l({3|qc>!uS#; zYAkWb>Krqgymej~?pfl9OOzyW^W8C#HjJHeW?Vm}$!U~J<+ou)?RE~qfwYS~Z#%w2tCp#yhn3%$1U6NA1IetXwCai62dMIe#n zn2n;pER$5@J8eoz8r7LgzRdR{{|A(MP0*G%q>IYWqBE1ApF}Cl|?f(VwAb6 zKopl}FZac@LIwb2Br;Xj`r6+utZ%Sxl!|&jZs&YjRms^XWqlgR^F2WaHlfGa#F=a0 zC8PYuT@!)1v?FR%Zrqu(=cA~B19lalDPrO<6@Brr?&Kuf84|iP{x)b&Ggnz2)S*N$ zSMkrEzxrYVcR1X)j>IjI*1K^|LX1{9@;os0-D-k<((5yw%eN<>v;Nt+Ax+Pdqffbq zo;c0xO$06p@96`L^%hQ;*g$ThT8ysV56H4J_lJizn6Z(n1g))pDlVIc6xvkC&h&vh zX)<%BJhpVrPsDpRB&ya-CE=Ir67lLYA*0^i#D&>v&6bZX&PO|dbOH&^w=o#kbKbpK z9zM)GzY_7TCQs4%@sY`0oa>k1q?56CRo&TSX9GK+Sp*YlW)dxe*vKTQcK}%e0FT=< z4ejkDc%A~#B!Y=B``g;sMCS78s;8M$DPI(9_Fc=GJ%$G&yqnR_GT!@oOq{bHj-(E? zRBa^q$}aJw>@{egu`keKb9^siLvz=TrW>AidZtawc@5I)?xh{^Q$OHGRen=Bms11k zRc0EgnK*F@SL~6z+(KGL<+8=Hjy0mSdUoilHuQl9+RPO{tFKZtQvc=*mNA7H%QdVp zO}koj{!-xsU`tkki7qnNpqZJ*)Vy0N>D!zji`au^=uq;WLRIzYvFvL|CzGwz-vr6O z)}}Kv1DGCzfIEof%3f1qVqT9tO48 zw&Zq$RsS=AXl02U z1bjR>N54?0Q}0@I`^@i+LvnkwO4nQLGJw$6FLNa6zgQx-vskZsx=nsE7#)JW^Lf+0 zQ|t95LR+X6jA!6e%zQtwX)5Lo6g|#Z?fw>X13fLw93BB4kwc0?Hljra*_e#P+MtpK z-Ev7@VZxW@X!0dJ0%sJRqg7YveF}n2*64%MVRaR2isUSkC_oajn`s1E<3O)KK24wy z3_%;&AQsGWMo;i|?-{aBx-}`oN|6SP^)AdtsWzD^?^nalaqzLZquC^GCr|WthZcIj zA-Bo71wX%EWeMgPFmw&y3>ioaO+X!|7O=`9%gjfP#*dEI58lQ75D~1!E#!fW+p~es z$Y`TT#h3+a=l{78HFoA1pU&7gw1F8J;G6O*N^H;2TWSOjfg7v1_M(%r4@mHWPCl<* z>heXR&k0PQh>)D(%QL;3K@?94VDv7Z@*Ur-6QF+9;^jiVIcy{e|60 zz9-2kS`3fgd~gtkm~lED>j91`2YQg$axky&iJ}Br8_MZ3Ls5wr(R87Hd{me+Kvq5I zwytXdWc4qIFf+S$uYJyE8hhVHt5CG;#TUnXXp(?Yjl(ENTdbE@h_c%z2A2S=YPjU=FgS5b6{_&8_E@mPv#>9Y=<2NhBCStl-dVkgTeU)J7hYmGObpnt z4^N9pVR!58MIUlAJt8d=F?#02HPEdu)@ycSi4_A27^_&PCx{}i%zIg`7BR~$6cvr1 z%A+NTCl5=0*Q-hDnirmAMggaAmPV-F7hi_nT)4HzDb!9J8=2Vv%V2=mRsf~Wgkaf> zTSW@!AbUYxky9^cG{eUlndu^JX^;~f%J!B5P_VnU^6Wd5IDb((`0X}_{_@kemN`!W8M6eU zCV`l`K?j!JgfOR)5-Kk9wuRD884{8hS6gvKZZ+p>}#^500v5=f%=H(bqZ5x7#Z&5^(S_*+QZouVuZH(o4e$n2(`z)t9OPJWm}?E zZUw2OZ;GPHd$*=am3>F*1qiiUm8#2REpd0oi$w~>R6pye$5RTX?LiRrAek#-CM5n& zulMI)=j3*|E}#4?Rs>cplGcG9jRI#w6fiWQ9d`FTzSRPYQ+yut*9&caAaN2Ur-e8M6bM3%%g2G0X*`*h8^@O2) z91T0Pa#>^%)`jqxKLia{id>7`nU32Si%H4mVbk3jyHrx@881?`}=A?G)}(_bYs%&b6;$KL6O{`qfvCl03H^-e7R zo!G|yw-Wd$wk;(z)Eefk^A77 zj4u5KoR{S`b;5`ou0!Xx2@NWUV%5g%mdN93r+S zT0s3p7U}L)FaP$3Hw@@S`eMF%*d@~3`aS3i1AsaHac?HU&cgqR3n-!O+_n$peuyHo(1^5ajwjHR#UN_@G)kx5NP_yN`j8{C;{Go$5dAwthND^LWfF{A zuA`;5YI|oXZ6UJz8IPm2x`*E_`R6Wu#gJkWUee=8M^WzW-IqVxEcnti$QaOf%G2SUkVO128O!+7w1DkXW1Q`u!N3MN}!2yPoW z+iWtcxW16q^oQgN!WXTJC2?)7t-Dz1J59^5H=-(aed5pfWT)h=+QaA0iR6@68I{Ry3_%6tkRv5a>z5Tpo>Lj^Y6w;}*CpW=@}~5dlNc z^vfn4=TpMaZ-HO>MFN2S#|@D15eiW`nEkb7E!V7`)No{!QU*1NY7BI`TIV?42ACb)GwpWpemU zaJuam?;#n+PeJ3{GD0+)Uoko|ohq7;fDTcip>gpOgus*A)e>Febo`7neBg^J!S8|Y zndFC&69>R1yVylVRG7O3)TK8enKV9}=E*eh+lrHsE4KOeGzVN&GPgAvXYgBm?_b;L zFf~&-ZAa*v8>5`pG#})|)%f8H)!U5E^y5bnX#lYT;hYq8VJ&u?ujpiG zWSqAhoHM)$27M-oxev=&jyU^1`oI};IUN*ESY~7*P7a3?^macs>2uaN^<0Qz<5Tx5@XuJR<}%uNA*vnkGpXeqlJ$ zGfglh!=q_~@BK_9mgr8ub2{O6-?1OmLlzk&&Wi=@TZ^U zYs^8>xan?Qh?j{Pe81gfoo*J0+~RIbe;>9^>a((MXqe2Nb>?^nbx~`bt|B2N3U*X`gWU7 z$B6x~|H5DiQ8|(O>L#Ccygo^Wok_!1O@RzL&7u?6p+^@)o=&$BTkmivNV}S$6Z1}*9^P#+cP-ugItZoibNc2ok@67;0WZW(Xg z=o@>?hcpg*!&(7l;J>O*&j>{N*~i&YpCfq{xrz|Ts;!j0fjw4|jBM=QO5ZExfG zauaz~ZELpkuqYkkt5xkX1@Ki1frl$ydx4ZG} z*&a%$yp5qF#1;kJPTNvwaOeGN-|TV~(U284107fyhchtZ!q0eSQ$~H_EUY%5fN!>h zcnHRLXZ01>6Q`YXg~c*f3*TpO8?xW?MbftR%FwI(HP}hy;d}+xaHMOd2UyLOR_m;f z+{!k4b*GQoWa6U?!PbA)kQuRTjmNx?+k854%$Z2!w&?9npjm3ilQ7FwXm?@@N;**+ zO>vAZ%LEd0uQNH>lk}$K6%+KdnWfuY`@nG$LL3P)V@0XEufcfWufpsJG8bwQBA{jj zqj-~7UqDh*)!Di@fI?MnLZ7!X00s%)`dY1LD+Xq7L43KH%d@1Yt#5MhZOiL#nH1Nj zY@8WBsp3o`jP9ok8k98wI zCzJnmj|LWN|D0}Rf9%m%|2uVp{Wr6%o)ZJ59i-^6qm^nExiAv8rqNU8VG7TEsE{^Y zo#BwFt1!FzZ*HJ{xHUtLM}&7iV!AR286>l)Lu!&KK~oUfHFo(FQAi*z$|);B=keri zn=v2~4YYm#p6vo^*!?)SH`5a>I}FWiQJc;Ov^j-lMvNW^DMAfTj#@*rlHkFJGiZA; zt0|g;=LCXzT|c=9eTbEUckhVI!QS(IXlUyksZxZ!k%+NPZ&~gWU*%nha^g3F1vNE0 zv~>3x-DltzkvnDZN~Dx$lv8K!(HNc{(p#oRJbEi>-lf@tyW`iRy&fdJ-1~~+s`ofi z0Y>Y_ z0$qsj&Vo-OamvMU1{sl5Iz^Muqwl0f`isKh0+W_I&wY9d>e%P^NT^n$aehOIzhr$D z7Ph~K1V8Hu{xu_5{$d2+$2j26`S<^p5x)`j9VaEN4oQ*WbVQK>g`IF^@exXTrj+}u zR$+Ohj*y1E5M7tnguCMNA74Z<26>~{P&H@9L9Jv85#W*A4W_7ExNKLY#yX@N)#$)P zJ>%Frrx90GEmSy=z*Z{$#v-iDyU62J=jce&Rc5H00<9W#4KkbK4ESI_MEp(@Bvn*W z1hiq7_W4r8m{3}11(aA~wZoDI2yq%dS!CZU%5NN0XW0DHl+F3KYCi|Zh!;`FdtS7kv62@z0eFadKlfN$4LGjF0%h-CL6pdF#FVE zeG99lR!m{R(OTJOd#S$pmLdj(L&GA1A|zj8L07QxSyn3$*SdOfM|^HB)$WC~E!=m` z>_v){avX`&p4%?o{b#P7^l95Ts_<@D4CK$tV!aRaA5M<)$?56?^;DZgm98Zh9N)fl zOAAmnY${Y5>*J8#WPG`KG;hOkwc`r?4!Y-j`^#^L`InT+3La~MKmI|-KkB&tH8H{R z>Hj`d{YFRyhpL#4AH;O!v;l{zY}Q`Wg%8*gtGzz_UnO<)t$DtP^PFH1$G~u`pW|bz z(8cYD2*KNv(RpJCnCr&Q@VygrCQrp~h#R{XaD^`$=kQzabYq%^@7}MpJFhady*s5% zY&9hNWSPkFQK-y|%n8yC#iTai$qw_kwkW{cV8JRKtOKhLV?H#fZD@g?8?&2oO5D~* zI$iXfc~pZq~77RAUr6lR0pDxPCX<>uTLAJE^Wt@etZ0V!yT{u$;_J9I8NOnj}45 zo`_Opl^qY^Q_Sc!Q_%Br^ls8OQ>`T4GZH9v3I7B@CXyaL4Z7G6qD*A6u60?jKf+Kj zz+Hc~o;MZ4Y^a;iyeK^w1_D>T-|z_ZB=tdbS8WdV#V@kW zyNJ=sv`Lp8tQb^H zTZHSWEXCQlRhWKKl!yH0`&PbVrMbx4rCJB+rt+guT%DKC3)VSOKVT`@ez}>%R)bmY z42U_;(>Msd-YAs$5vSNM1(;gQ*{e)5WJ?FwMzrQ;-ULS#B`nmE%_~mamCXaSfTYCl zRA!-#_71aH>U#)Kkx zI_)5QZfELe#K1PGk2yIr*N-*fK-(i7oc}rUV}7<{iK>ih^<^2tkRVpryP3^zqwQNK zWmBeKgB_C>>)sAgu2ub$m_MKVkFfM>tom7J_pf6Wxaa&cR)LSB{a+FDH#z}$0AuCH zgbu5tnCqr$CAX5>tnO`7AtN(NcY~l^#pmUzp9WJ`qd?9YjTUu#itK#~5sN?eO+_C+ z2Oq+gXZ5upLcV@FE21pyfR7CSc?7fba0*P+%btEcx2EaMWOyUQ9h@yxjVPwwfTwh_ zNvL1mYv}kcHFWszR@i==dH(9S^+OP;8|6_CA>OJP)<_gtN2kUyF`-DL{tN{JXkjZYp<2V@pW!~m(*KEVP){k6UpbVw_W%CeH`G5T#P0>S3K94@6IjgykSKrBGgju zfb#CpsKJhRtzKVx3Kmt$B5cWxZp!pzfBINq0EsZ%vrV5eQ(NC!AEc3Nz)+FDQs;tj z9UBK%Kt`D+>F!gAHk1289d(}~GW4A@@>j}yBS4}#Pd4shYiypJWVoTJjVg?-PElw@ ze?eOim_e7`5v->*ms}Ci&V+}w~Fms$PG~@vSPxCEXeeJ(wE+o)M z=0TcXgc`o7P|4H-^1{OIWVYPcFfEfz#cq854TJtp)j5A*(0@{Z!|_;v!wDAh|3}^5 zZ&LMy$uUdt;0*eSl94!1!c#uJ?$pLku7%iXZXgn4CmvBEhZ9IR~7L_=1Ys)u! zkHUSxbR7(BSFT^m!^Ka0kp|b)Qbl^xNz554Om0Rd)Sf3ELdMNv`$p->p8te|`P!VfKOJ{+--|;62)F7RvyL*Lq@*ziBLg=Zega z(e^({6@N64KT}1f|Jkq>ymI6psUkSq#x8)PtvFjXXbNw4lB3Z2lheMRatiT#)l~#9 zgM8$NdrVG(^0;scJ`yofN^d5}kGkD6Z&73Hkf7gFaARER-L;6dafOLfNyk(V_Df{o zBa$tOS6xz0Nr+}KSI9{ z+zuNjo|iyXRc&)!CC&OIL#wRSnu4&1a>f>ufjj#YKMY;ahN?ke&LeEwsf>TpI4l6t z4SHFRSiFi;J;Q{?2D9tnTcM1R@+1fM>%LW(S`@ZyuVVu@8EpKKKHmrQb8kIe**Giv zTYS%I7s`bUY**n#7ivW(K&DvcS^18+lie~uF$cx#_K5t1p+_!aDoeMF$l2z|lu6#c3D2||)nAak^k|rf8%h=IKiSD~ovjZ0U zjIY*T$b8EU3h#NTGVn!&xHvavcD>toeTHse{{=f1rwRpZU*3|eYl#jG!m(FDP?2@# zTa?(IB;PffvDK8`X``EuaaVx|Z&OC_3S$U)ux!1$%ucqU)wtzQ{zwYeh__uvL=id`X%&foYYyaK+DtJZGKUnv(S^3?Ooc(B4Q1~PV4<`@CaG{tts`cHb*HBsR$5$8br=Nj{Mm*&sWp#>2(F zh90|7O%}Nc3__#k4tjtdBj{lk|GFFl5=0=t&?XODqK|OFxJ|CR^V9N=Bzz@*D`4pw zmMlr>DU$u%?(@CB#Pio9<>PE0a`lp9Mub|F#TNXFMmUyK-#=x| z7|I(<&|4v$nvGvJ${{CYErT0t!3yzAH$l_F!9&pCzCey-@TSo_qfOh0k61j8s47IQ zM5}SGq-{5wB6CV;vy-TyK#I@!P*Aa@hJ%v@+TV92+Jx-l5Y8_6@&(heMuA@q?*4>8 z6%DWabl;YQd?G*?uXhzBhcSKUHpD#-EyAa0yBoaKh2E5ieFLxeT^_p@-4ysNcuGXx zi}o#%@&?!E=WZ9?J6Oq)MufjkD^K+V1u`T&v8M>JRXTebLjd#X~%#2tnAM@XAj-Uo2s9w>XB z<$QrSy$hK6#^KAoXJ7NYsBKg6SloU(#=Z=b;X?zleMp)C)_AsPxnG-Wu+c%Lv?;a! zaQ9TbI3RD5zkK!3_+`k4x+Fnsc`=&4fkBEc?M8oODw$Sz#%gp#Dvo^v8Rtr3(eY_g zP31ClPY``9k+`Y!C*){?lYYYzA>n*-J3OtvPJ{0rTjYKSXT_Jf<2dSx6v&v-D^IH< z6YjLet}(AD_r4=lVK6yUH+AZI8FyY+O{0aR`3uIJM_%-8p3xV|&U+1t+RbB;jHF*1 zT%ES3L>g?r+G`I`tfOc^O4-PUX%VabkaCImrrawbOS-jAWm8V1DBzkcA!G zj7eJ%#_1NTmLXP3n5p-U(OJ~nP7yZqyNKG6PPQp`Fo8vP z_?93m7iiFvPqwRE72aFL)s#U>~Mf^{;96k`+73leoHxv*iNJLLu zfoIrrVskzPj?ah))J5bQbfO_FF=@1wz*Z>EWL5z*21Lqc5~9CKbSPMGE5BTq|6=n} zo{GYQ3x>vk zn^Y3#7OPevh@nB3JM0aU>QiqR)SG;(kPQRs55lGBG(l#ZmL)Ppam?F%DPLJVcMNiK z=6x_#u^CoxC07v^gRCV<{ zaN37~sE;o|w>?6v?rw@JHGl@$-{$(gWXA3!l98(9^jgLHT%|nR12})8^<7 zqAjBDyRJz$P@Xf$cr01+^g=62$cAAZJ`(iS3~5H0s+PfHnIXe7&&jZWLu1n4o=ior zXkDeM8-0gGB(yt%KHK9P%9J}V1P)&_-9t+koB*nwYhK(nQPh8dr+g=h6bQlff;*O> znv6h`0eT6Q)lzQ({gR}9PT~?1Ipj!;YJN;>R?X7hk<|SKyrw#`R?~;w%3!D5gmHSh z$Q5}vdQiSFrG4mB24Z45!T44CHoDBqfY_-Hmj2w@7z4(j^EeAsqtJ-5nw+B}g~OJz(JX$z6B( z`d{k}x6e7xnLRUmPwd&hnJ0T%S^5ZV;wlF=BQ;|L)$Iy6@>FO10Y&}k0srn<+<`It zwW9vYqx>G}umb+}A0i#j2V7u-+ek;uI~fP5oF(EJtNBEMvfn2vAl$Zwvoe zgmV%sbl9K>01toOyAP;7b$`Lc!N*-AXvfC#+7WD{QPH%>hBl zO=e16#+bzd5_!FD%xG2z*?o~xfa_{=X`*ht;aRR-HRN*_oP=88J&jsM9Me{&mkk{$ z!?mOq)EU|VUkNMvX~*HdXt;xVQc$T63zqc+sHVaTouavw1a;jm!c$TfVa+cclkSh8 zR^b~0M1l^V3=c%Z`Rjmg%71vDt!}zB;G2E}FJ!|qS%G>~%GGKTY(3T{nQMKTrNWn= zbz0{TgNV;N*H*xg904$&&@51`D zm#R~;U37QsDFwRV8yGJU9`vvoW2$?sumM>0VPKO$5b3flX)R!w}; zg<1?@In!stE^pbHRAvJJ%=*06vJ7;67Irjm$bgGHFHi7hWLR-ptudQ6!La`MYtXMs zFWBQxZFyo%MKj{wP|mKn=}T5Opi1Lyl239g=L7r{0)zCMpk?B~o;w40837sw%8 zN*ZtyQuz%NnOf+X2z>5Dr<@0+4wv~hHo(V!bp!mZ&E(H~-*;8GRZ13)U#0EeaZmnQ zQ-7si{&$`W8vx+sPfZ<{SGY}%>k=^^kg-Rnb`OxSLdHcx?g7ljf~&6Djg}aSxziTg zOcYq)SAkq^Bv!PIAsu-LMruh^TskRP@{iPjP20e_i8c!* z8e%$GdJVo!EQQ9u3TC-skEly{n_|+w8q6h5H=_@o=?^&|)HJOQJ#oRN*J-P_66KAJGeAo2J`K!@Tmqu)Ka0L22?ESO6U&k z;`B4+Olb?5CVNBoA+>DIqgiTNj%3bWl&uk4 z$v5#LsbFSY3TbOUvivj2a%JPdqTiN~O%`iXlM9ihP?7=SNDqY^Rc!#(z7lVX;h6_ezrw#l@&6wQ>; z%j_+32RNe!Ore(dX;Zxht18MTQXl?YRg06WUhCwJbS|t<3aTvO!LU4*7&1JNk%x4( zR1Iv^>gtgbNPj3Ve_HdLuvIl?2-FHi>&bEm6|bf>U;ViI7gM8ch8QV-45??F;+F^b z1@#!+iZmo0hYJ8-yg{N{!Gl*4IOpFB zBFQj$#W{tz9`7Bb}7rfL>5)ym>%RfBDz< zjg`OSK>f9z{>ot9yDe-0TmRpk7B+?lyz8G%izyP2Oe+cL12g*Rh%x@Q{r{I#B60S3ea^WcR9KbO13jrbAQ0c`)jXdVFY>yQ00eYoWj z2qB>Uc*b@y7}pZknNLz>QI}gSFt6L$7uPmTsC)roq<~QyC)VXLZySl8*+pU3hhwfo zQrm#*rGvGl&s6hlEd0vx((E<~y)t1X3I>7F=*VxFlcNP+nQ3yLH-KEwgYV z&@W^*JQDq~B}bfMK+u1jw)Natk4a}_4Tb3$g~!)A3i3$hCw zfpG=uLg=JMSN9wYO>6@h`G~Gib<&V)fXKu$4;qFu#r2DKP~s^h=`~Iuz>Zp;4>~`s zx(a$Vdoo>JQrd7s&AWIVF`6DY?-L zo^L1@5jHr1NkOA#-#9+?X=N5oavoSqL?Ew5fWj%}l|}kha1g|p8;J4|yXga*|GD`x z-q&$_N6!0e&i_ho{Kom)vy^|>eHkCveT#<~;Q`5La5+`Da2!I>CF1}J!6@Y%ZGU2h zXH>1%Y{e-w=@PjZF}m1XC@Q^A(Pnb5M}q1y0p}k`g^X_}tBbMJB zj1W=y?Ph=^J>njP6HEG0PN`A1u)k(jqCl>f=O!EpC<+F`v%Z_RO%akrKyeW?L|BM1 z{`?}2J*3Sb7myOAJtVDt5%# z!ipR}Brh3H2yb&B8`9C_`Z7M_^l3COgNB`zcE(xWuC$?!-nqKMXSeIAU!(h1uSovzn_zg$-Uu*zSy1>oNNuq}-LcIphcL;9yQ8)KzI1ql)T zFZa>uczi|oO|4wR>JkYt%(}rfVOG=>x4>fgKxU-qc@f`uNjmNWg<2XS%kv3=Jl>QS zjuW&i;G)TjLrsgO9OQ`W#3<~dIOw7;rxQ6%lys1~?&Xml?5(d4k2G^$kUL=%pO?dZ zyxAp23k3PtNcF{vU-w7(W$KLlwVQJ$&#yusJ@DGsa7P=UX=yUPw&*WZq&TaN55EXW z7Jc*4v@8(4d%AVM*R1_;Tt>nOHD6JLWy7h=T|z=aUxW( z`Oa9xjq~^SQuw?EpM09!?TsKloVZ*%u^cWVPndUf@_c&c)(ts#Q)@Gg(gszZgQb!f zMxdikE)-a}IcW*|x*j4`Fi053cG3SO?b^nPb`H_bvg{T&vc&^@y`}t?g^aBCw)_rH z^{@H*E9|_zoBV^X|AwK-27n~}gRdS@Wsd--Hei$mwuMOCp`vlVsM?aRZ2jZuz`V|K z4IssI(I7APU+@CECt%7rvDrfv4wBJHb-tuQjn#X3ptC48l%X`pT~ym7h#-4rfWD*s zI4IuMIV?l8#B(0mMQh02SsV`i601|cPT!@_R$AvBx#R4f?&FM)nlmrn##LM~98IJz zMX9f`Y=pWm zCIGVQpG=M%c;roh>X*6H9D{_}F~VTS_z{eKuiHnC5(@9ito0>oiULsgX9DC5#3!vN zOe0tX!PGLq;@e{ht2tOTs-RN4<}SxoYYKfYE~Xo{U~|IBo+eHXe0UZTF&)B(Q$jol z_EZ8Vr3#hOZDigR#4Y4w)&pGo6_+voI(q*O=KI&G`71E{ZOQ+uYCd3Log!Nv0n&0{ z2zsA0;`u1)9*tss^+$F+;Ilj-I3b5YCN9P*#sDItug}J8j|Kdz4#StwzUo7`&py&` z{``uK;G@>%+;go@(}N2iT~1DY>0}k%5=#ayi||LjhRB5BO!}so_GYYE)pMzNHf|_s zxhjt97gSay&zpULf*^gJ4{6d}i~8BI*CWFwr+0*(k+hs6pLGS4ZgUX;m}~ew-1=qS z0Fb>uSN^@ty8}o5Yxe#M690EA9{?Hrr&31W`I5pBn3$Xbk+HA%69}hr$T!fUp970P z#OrvWjQuEYXg($G>F*B!sQ6~)O@3`!!V?gNc{bMu_og^}&?#M70=jU_AD+5ZJy-UG@ZK_%h}! zBulB956zB>Y*}i-WTeQ-jgra89xt;Bb^~jHO!Y90f8<55Sq9zeqkJIId^e}Ny_Wr6 zq3`gg|C;0X5$tc<0N^kG!G-}~nE&ATtwQ@VAcA6iZiz!yfXU-GbDtHVSjun{+Mj{m zTMCYkX>d*h_wEcMs?}BSg=G_`ZPvq926^*2U*A3xZO8;P@vTLF{hWi7p|%s&6D@4i z_o-K(DtF;tTsWer@MqSi2n-ou7Dptqi-wEDHX4Hx_ZWX9e3Uc3OUNluSuS=)a}$*D z-~+?{p_urWYRhD-hLwfq{#z+h-P%2smdlpBU!U5U!46KJo=={Cn<~gmZ`5@t0NyeU zTk9`=;y`z_t|7nf4|m*AuOnf&kllHhz*@=IiN1zUBf6Z5@q|^yNNs11wxTC4!RhcM~c;ww>GNXo3pacHW%Cx+oAIay(t8z8*M1&cZdW6~*tqj+0w zpaLPN=jVR3Qb3c438emT{)onopTVH(!qr5(y^T6wkHbQ;G=8*Ipu3Pvev3BarWDE? z;j-yMwd?-X$MExZ>2z2?sc4a(6A=-4mYZ z!Nwhr!I$5@MAd!us+ZDQ_juNLjDIeZQDS;&;n*JwvmSQFmIhm9fzM&w$4-90^G5Kw zKu@*D{Oc$D$MUN2J>hzxXbtdd{f{?$KxZ}>HRMVxn0hU@}eLIpogjM)9roxTB&uxq0_s6J}6&_ ze%r+b(P5Q^x_&@se)sXAq?@-L9cWTd|=jlZWGaZCs}eqv8YJJNPvrP)8wR z@``cetgNzaG@G+Ecjt_>I_4*$`OT2J3UPD+Z*%E3{lqU!V|kNv3n5l|W6WmL)|6Ld z6!jhG^sO!m-3QU1n>eUoU3sS7=nHt&>qpP1BG;Vrp%0d-Os#;!ItP(tT!DDzB6CK1>=&Ta8UQUtd;x1~N-SIdFAFZtnO zQ3i{K9F+yjmZc>?XVU%h8C^3fD#$*y!5M#v8w}&3pWaA&zu4LR*vsx)X7wTb=Wm!g z4`{5~_WK}DJQEmY({!oGH>;@-h<1)V5LqtmaB zhT+|s%`5$3jU_Y6Fe^N;##V*dv?FFQF9oa9Le6&gw~ z`t~?=>dotZ7U-5Guo!7$ODaKS(aAIsMaEOLW#y7iQDx=yPoASW<6d6#UG6WG9s)0Y zrPBLTym$P&l_V1&G5OO#+%?(wYw_O4{P!9Ee-`fp4pT8eyls4l0VX5-q6t~3`f?Hy z;Obbonj+6)#ED_e__};(o+rD#It$X}^50(a^Qbudpq2;vPK+t?Hq(YTG0jgJMVp8| zn{}iXaiSYFgEz$SIBY)L?SKFqLF5tqj#g)C$Zng9ER%gCO@^J}iGSX{1Pi~0lEFIZ z1YVNd&I}~4oztgoP)-QQa#C>1`8+DZyo%g-fLJ~3#C5brxyje{+eRB=we#SywXmqv z+p%+}24BN?96-Ni3Ez#QrxW^A58YN+T|D?r^Tu&x>e`^M(&7pz=ogI%ST59jTnO!k(L#k=>0g0xHmjvHGr6j-XyK3m7b~i zr2BTmXZ&dRq2l8D+wRCFeZF=F6`i&RAMQX1{IIu(jB(*Wb7-eUscReidXJkuUHS-C z8VJ6(xO6>>CW({yuJkBm+|dY$v>Ss;q}13NOX*{m6y(&3;Hph8Tn>$m^Z|9Fqf@JI z9sb(48~zbs!>9i?^|s0Li6+^UTk46zwIDCokdEnPUq%u##;#?v=#LFQ39#Y2YQtfx z;`0EZZ26#ds)WNNF;e6=^EkGR;p(m5}#YMI;)=-XzZ0XNYE(C1iw!A8C@> zQica6#MLFc&tagP^&KwM9Kydv!1}YYla1x4zsSsdpQ^g+s`J;%aGyo_O&M7KEq-Eo z$X|@%hYuit6SB~JcLcHU%9VwXt^xg%Kk++5{%xCl5owmrfR2V}iF`%fL`*a^sVr3$ z1+rljWSJzhVIg9*!uPVK;+EOtJI}cf%W4|Z%MMlgzHutoD7C$3Kdjq)Jx0SeWi>uf z&?}?>OoU~C=6n#>xI(1USGQjEnFIyGR8)O50OKe{X|&RsTg2iAlR-n~)Pg+VZ;>4pE(6&%hwyFh({ZuM7aR94Hsa1bcwQ(D z2Lt&u8YQLADNGt`3Xlef+=F+9l-`fl`6swJm|N%S9D>7=#Ix}0OCJtCCXE%(xzy!E zq5rf=lGeLX5oWJHC2$*M*;ge%Rv)ddmOQ@&=9DS$d zGLk|$;)^x;nA9s6Rl+RDG)ICc5bt?}a5;ejC{n|$-e$6jw_r+?rM>AjF((Jx-XGno z-n%~1vC4>=O_Aa8>6sB9%8fa<>X{0qd|BrF5j4y|uR0gyq|#{m3^Qxyg??dVNSX0M z{>|F%MFkK1n0yHSpUnK#Zkh4Eb@cacU4O04_c@&Vd^sb_KQi+HpX75~S@A71jSA#$ znJI#Dt#wruFU{gBi5^HVIxD6?@@-(Zb-9Hjx*eAgv>Be-$=SrVWhPzEo-mG>1%;?A z;6VEwk~ps#-eq*=d)ey^&WoCg<7cCap|UoQwNFl7(ukG}k@`%O)V;I0Hu;2y!!bR7 zzKClA2a)!U%yJ~>k>{{jrwlr~)(&RNZrr!vvphGibY^m@Tz=+U0hC-mViG}eFL&Qe zQ_sYn`9YbKvFc%*I+a)GD~S>A_9Cy&$vS4RPZ=Vjsc&8em=V>DvFtVr8J5<9q8#`~ zJs_Z;E(4(3*)ON@u7BEJ3+O&Kbg%9Im^FT=DOlcM86e39I3gFNR514cNLJKJ=55Wm zK!(?11QS0bOHv{L1&twVzkf|@&kpU~xaNL?9DDC?5xDT4G7VmCT1;0G1`u z*;Ym^5!5BN)t%KG|K0};;$_EhE<<**D~bCCq#@Y_;ULKe!eYOc3;F|4P>)sY2e|js z#xgS9yWDr}=Kh*{_c@t+_5N4xJ!E2k9tS}MOGGTnSE`$~2~XOyEkV&JLtSti5Qw4W zGKBdNEN9-lwRODi!S&YZUk?h5gLG>~oz{hoUIZt81sje4QJ6%c8a_b*xVkFV;>9c= zVbwa9Kf?JjjfSN!LvJT3gi@3J^@jQMNa~8uh-OcoMGMInjG;^i?C?mXvUK(n1x1zG z9-fY3tccNY5jPdSEyK@vz&d0+kSY)G_@_l=Wcy7+|4R-7;1vIiTK{)Ee!w6W4}Cv! z&EpuD;M?}|O%9sM z=0{0O(6xjjmB7l2FBpPz6g?SL)$ zlfM}EKU2$x0IQ1uOJ9%>PAGyxlL_S2)d<0b@FWq6A-JTvcPz+tUoyUMVGgR@z9H83 z`?sxB$J8!B*Fz1BW*jr!5Y)}!i9X&XNl1damZl_21m{8;*s4OcOv3vb^SF>vmY6-M z84PHNngOBAGzbY7U)PV^Jjx7EwWKgJX)I(8hSoO4zCN?=pUBBd&;l6{3E9X|P8QRW zIasxm1>we#b%RBlet^S2O%o&F#P+9Z{uc<E)l6zrrE)20Tm*2jaSvW0GMT2QsB5OMg=MuQN$JnMBMByU!mib85hVuG!~uw3PD7mpKhC@CcV| z7b)tK0%NmL894bUmK7~w2c{#eaf}FO#CqR-!`jxoIr4kI4_0N>@h5l~+Z`ddTOT9C zz4w3D=Jl`l!~6K_f48h3vNq9x{g41oID&$LSwKXOg^#ivy#|_C?ls@}c_7J?&0NLM zT2}#rxm}b1v}GMSpsl{Ek2IM5h9b~>0?ELBTf;PL{7XJWD9*96;|G>VdXgwXL3z^M z`orsI4#uRoL4?l?qvq4d3N;?hC4BV#lIF?rb*j{HQwEh)l9`G+McAb(M&N^r;&k6G zki#Cr;scuZ%fzt!0>1s;D)+Bh`s?Hza9QREkku# zg6Na4Rj~Gcuh}PRS3|21Do5aH3^6%sjvY%e8fo|xx1!YKB8UgG z8s49*fIjeG3#}`))c3kPkw8c2B`7vBqB;&czjiG#qdQ)7r;ia5iMf&cVZ};V1#77& zWR+Jy@cZmQ6e2-9Wn!wgAJ2l9g_X#T)v{H9^CNxobj&8?y!qzR67?p~PYjya?b;M7 zIm>6giTvJ(02`+VRQ9n&z1tjFE*92kbh-!*v|#ENJ*==bH=-U&#~Soc7v?#m_LR03viL?w}Pkt0=Nk1i2Q5Y_@Au@ z_6OoOqv*y*0KCP3p&YRuD8p#6L9t{4GKm(R1RyR z9Slfpayi)Tl=BL0sq#kisXgjOYpA6;IoQP)Wu5^W-#Avigu%egifL>xXd&bcRf1E$Oaod0G1eoN>77Z^i8^XXrl|83a- z?wS76vU$M%b&L*33o^n%+KO_no=p=_JNgy1L&!{k8Zf{Vs>n(TEPIEM#x~n|Bv?!*=1Rf-~)to;o{kYo9tiniQ5qarykH@#d?o?A1 z)w*3Q&Im6IKWDE97ng;{j)q$Nq?KG@g}Tu1+=OjCPMG=#ZBly z!@88qh(@dM2TpM%MIeC~SV%)7kYQaltrb7B=0GZ_pUA&v; zlll3wnMr4ZDq05X*v)8SszN`_PaFMx#&n@&#wU9A0plj^@ky&b9ZFbiI!NkcVT1U~ zeSNMENcU$@%JkdKg1Z*(e=Xhnp!7HC{$rBxAy~h>SpG=B)dJx=>Dr@Ovyt@XbUn65 z$*sE;@5kLCrHFBJwzg$qnKYZrl>%oR%8;W@nohbFJZwKQYQGLOQl?}tbO?hcDns&P zjfJ5s(}aLt6(z7yTsVqYw$cd;hi59d1jx<AgU>$PX&nmv7f!?1MNZvz?R{Opx;K4%hvREc%)0Ee!S z%)Cv(N5PhGE82}W!l~BfB46srQkQ$}^LX9-Vx?bh4vBy5ezIxMX%&`8ACw*HTB$_p zhzSqzQK0f|>f5~!4zU46aY|PE!Vbu*iI3>;>f02WQHT?lBBqcLM$zD_9+2x#UxkVN zzRHjNKcE>h0S;Y$ddc^4{l|pPLtuuDLx9{b0cyZfn5G<)uV{~{uDl_w2?`*nL#FKZ zf{$jCr&4@@JX%LD1Ix!q9;Xh*tYO9^M@uHVKOSK4IUdGx8)lb#H9IrBj{V&ArPK4n zr|HSu2NllPk%M+Jnk7GD;e4}#REtUrJg2!g{4l1iXk z9?T&c!ayBWje+zi51@32sRa$H_V<(lR-L(#Rte(j^n8;DGqX+5xzhf zc@wRL$Gw+YF;BnFPVaNZQ`o%F8ls4BNmo?2(q!uPv#cqHQ^UhZo)2O30*PuaeMvUj z)wAdDI#s!w%oEDjIuI}Ob*~|j6s4$@@l#7s-@J`fTp7>cVVS`E8_RB|!Xl_oz=bRa z7INhA(Dut{kbLeKmF$5RbQFUK+0Y01(x<`8L>XV>9Zj7W5xVh=aMc(pdROYVb1WnV#&NYa zeyRs#9fii%2PE~&xB9J+>A%22G6A-yKX+-yTlxLV_VPe7qhplO8c@&*E{6zCDw_1_ z%$N}+v=Q9_C6dpKGB^`qR%nJOq*|PN^sP7J+RCH1z4{Q2EdVK@FA_aZqRYY^b5p`) zdiPy`$9p4zwiJB`)ks#=u?E4w4wzHTfSGYT$dLYUH+ zGJ!xc`%*?-qnWhZ#W(M3FJD|czaPr~rugCQ+zHrOin<=Ox-QbW+I}BgwrFGnnl>m$ z>hh`KpjAh&>=>z{zGJ7=G}fVehMPvhI>Sh^^XrsNqgGh5u|aFCXDTgRdsE_w z56I}3hs1Or^>h4}v^@af^#K{(hLisyqX#l69V0(3Uy9yczT6*>$boA_|9E%za(ODc zQaY$wBIty;5jvc)Hp|FN$*-RRqJn$r$>HYn5ycbc&3N-zC_(>!SDhs@TG%Vm=5q`8+Nt_IR4XjVFYwO1U$a= zMA`m7*oz`&mX1dD1oR@7dX7dyMg}&9MlkeJM%E^drUYycVIxkcN=D(Z$2LuscE4)$ zmgr%3V`ah9wMntwHj|x;W@US`lwcfjeu*v1l+n!% z63w`U46nNFxu&|E%PHDCi(ZY8&nY$QvU5S#^<7FUCs*aydq;r(&4D*nu2Orry{4yF zO+P#;B)MM8@$7r6b^+;edFC*qS>G8d5^r0q3t4cj7dP>)%3>4!tLYLX@@2Hc`(^FS zXkhGbs;XZMba8dswb%XDQC{o@8tyWA=u0;QX}l~oPL^GJ_C8Rdojfr^73EDm4dv1+ z!uW(mby3PG`!{X7)FwKXYG_U3P^XA#gxi*b3mNp*m$FbP3)Q%#8w)&+M6FYjq3~bD z7k3UE&o`6lDzzm$VbS)ZIYyg(ABV9+cDh3ut&3gm;*Sqb>$8-kO{A5Q>2xmk(_(>}e1Qpe8{dhq*Ij*F4TM#A zhVxrtb;9JbPge$N8+4dIYP`RotqXQJy6%J6MZn+uzz%sjQ9u4g*p_Y+#%9}jNg7@* z*XCui$NMV_?k_fom@(dS^Jv;S4yx~1SABEnOXs?DbUei7@)v4`UfK|R!}?agLkB)P z&1FllEyu1y4S_fFwSxI=Y3RzQ5<|2&1i;H6Pg+bxtBLw!l-Q%lPYHF6l`9S{*+!gs zt)xs}yBq~Cwh(HVeHMdNo&3zLj(S4PEjLx}B!7*9-0&VM&9(T{mI{erQlQy?7;DEC z=|!1m6a|gnJR2dq8$OreZ^VcM%{ImSf*y0miVdQP-kgWN zd|gEP4c(|^1%sn0uMyC2kT)2r)JC1sMig0IllX&d{7K2-Gap1w2J{6d5&lC?RFEs| z`jyeS5y6*j@hK%*lFxkCxsgGRhy@3bc}1iTk?pgqk+?S-7tJ2?FdNzNJxVeWMdh0W z!^u)E^s8zg+-8%hFus#c5FH2t4n zE*7^;8VDw(d7qBHiFkU4&#iPRRb$zsF6JZ6#z}= z{n3xSnO|s8nlJ-W50-j#5VX2?`Wd=wk#4g^5E^ZhmbWrOx2+rAMb`p5?YF&d7nbgq z;Y_}p?NstJt90@+MTEdnmCv9%61?lvi@^+tmrgy(mG(&Z)7d5D=;@{< z_y){qMk=|?AVd1Kg`sJ1!i&L#_~fB0{TMMW!y!fS72mH|NW_ZZuXJ*C{WsO3|$62ri*&wJ5ZVwb1vKk;Fo;y1fBc zT8IM77Iq|s9gOrr*^2f zVjPZsL55fIh$>|RD|nIakcj)b_SH6GmX1m%GR(0p-s32N*)F#&WM~UV&`z0(%VIho zxssq$7}2gWXH7Gnc7EAxaOqW{ohQ}eY#bRiEHlg*Bq^`;VoXy4m5F@aBY;}W(wQQW z3O>@og$S8EMh+*3-nt40)1!`wV}T=TnU+A^`Va)RB2F}r$W)Dt<0a_3PZCo%v5B0l zkxn>rwMy?h_T+@Z7&luT!5#B0)a$C}5zbZG`J=d5HcXw2X_Ki{5!qTn)nn70!zFEE zCM)85Br$t4*wC#iL<&||fS(Hq?`al8tVZsUMj~~MrKrdYFBUp6r+h9?aEf1I4%%u~ zIBWq&nAEF*;FkEr&JtRWcnW)93bqZop;~MVQZBO)_zOsA9etjNQ`?7Kohpy045@%R zkk%-g>u*Mv!b7Bh-R4rHl~+IJ1MXQ(-v_!EByv(A&&mm-_)6pfji1Zi%y+1t&_=_S zDfVlGPLPb`MIYHQWF6uf4%G@5ycQWz5POn8$`D^5w92;<6g@jvK^2bh2C~)Y$a9|# z25c{@GVh>2K3rPiX#P#@IIe_-&>}pW{0A(Q2!S_7dQP-hQQIg&JO$#fy(}cFm{OS7 z)N-geZJyOuqtk4WcCGe!xE&3k?$kOGb~eARNr~GToE!bxAA}4ql#Gl{y57_`OquBZ zLR2}Zl%mF?5@mB|3^8DyM=`NR&hO;OHXFshH>~4}Yuen(HB>HR5su(uJ6~y)Fk4Qi zSks`#7#1n!X}Z)t>+f#)%&lzDB0{7`%ms!i^QEIC(d?0iVugh5Gy3XY#>y|l4k_b9 zd+9AqiMpbRmk@nW$_A^6rx1NbxaRFpm?KSK-J6@*+ttm$S3QtrS;WGAoB^wP<0b7}8n>_hODo&CuEYt8Cb8LR3 zthh@?Pbw1awgo++iAuKaQOl9Mo{s&8Foy$r7Kn4qCq@HTHgXcXO1>KIJ`P_-HwOJQ zP;TnTOji=@N1<@ERNrCCymGIl#)$?)qdWTmP`2Lh!_~%};IB}SLz;=_HDpDGSHAP;+V--0YL$=iTr0RakHjrB6TGKoLY5=Jf#4rsE zsY~u3R>9zK^ic{1!t%L{7t*6UdySc5AvMZgOo4m)m^j^ZfuBL*UHPs~O;c_P4ro+9 zkw_$juI~qmcC#u)ii-tXP6K@I^yqm8YRQ)tvR{5;EpAY)HH1T>gn;_@lNWw=y z!7^-H;ltwB^UZ;k*KPuT7K|1FIq67#$(R!leGbf>w;I-xF)u8XLguInLZ1ufdDPLq zQi8}8YXx2*Lm0~0Iq4aPVD90iOQ`Cm8xTm-vIZUKTNVTYUTnxwENFzOMIh*r#lpyWBL(pX43FOeEb_`v&=;h^TXFzN0Kjr(d?FivXexH>3Ja7o;a6-iLPmi60fvv zMkhH`<{O))u6$f0OD{{HFtZ-T(g>*^l73<^IFwH}Ff-ToX?;{PhcdvWmZc3XitT7a z0kM&+#J_3Sl521TW&(>RXtDWnek0Acj@bX5xMQu)l=!%{>z6Ni_F8UFI1Z1Ior6N& zajDuaGB3#Fq%fNRZYb^UJ6}BC5Vx+^Q%Zj4waHG0se}H=kDOlm^oShzRai1yI;uTX zD}gt*1r!V%4op#`-a%Y-+Pa;}na9kjTU4L@!8^t+hxiwmpHQD$>s{_6y71zX2H*`E zf6&kgj1hyJ7l?2<@IinwP%i?pf#G4F?I#D-#MdiaB+cvuzI3i>+6we&_zFY%#MHu; z&A?T0!wpl{DaI~oypBwH(0XdZXXJC%pu<`KhCgxGVygZSF)THh+wMsQzhPf6NNLcc zpibPV(#In{3~E{R;R!&V3#&EjA?BtaX@O*wRyLTerOx?tYT@S7Wxz+5bljU%n5B;v z#kn9;$~U8-B=FmT-+JTBxs!O8or(o$XUJF2;fbpjA|YpdOQgjzrmb$*7YvV4)HoG0 zK9z_IjRWfg@xA29o8MPNv-7dFh~FZeiQ|0%v5*oe zfys^vz`%|$qyn~wpl|K+y{Rz7o7C0(>iJU+Dr?qKYJF!C>iVS0QpOU!`8=X7JKN@C zj2y#Ok0Aob>hspA8r#qLH6^N(DNb=0jPMxzm3N;s`8|t=+~iOx;U`;%V~GE{k_O_A znkLl2@ub{r+vq9O#(r66n0@wvVt+t10}eP~O`6i`vvN_eaVbUiAi{h@1W-x%Xv!?; zwYq{!fschW)WBluvRYg`$P7Q@E|8BL_EaY#u3PlJw4G)AtPRm8!ov|W+JiZ`k=yxc ztm*eH!(_sn0iOks$)WTS@_|KACm!SVu1)s8Q79JdDu3cC<_IYuBksn5NU|Kc_1;e@ z%Zyd|W#eby7xEjGc&i*6i;?3#cHx&ell&yAeKVUW1)GX8++G;*t=%3%UDd?x;e~yq z^`363OAH7{ZwruzoAk;t!h?6gw;$_vWh)WlRaCfMjq#{6YPswiByhKIpBHO52XDo9 zBuY6eRCy?kWs3B|q=4ddU>HPPdvnbN?J;F^Oj4qL^bi!F&m}l7d+d+WFQZq0s5fG5 zg(CVivW|`2^f}ygF6>$aRYcNN#jbWqWq)gcjZ8Jw3kO2Ent4^?2By#ZyHpBJ6iA`w z?A0L-_+6TDnyLM?go?5)nDVcs<{E%wBJ|Ycn#N$L6QrRVqmiexN|(21L*Oo6!-!`O zx1(DW)?Ua*cs&;8J$M15+5Zg8=#_+OKEYnXBg|d z&0&0v%C6e;?YEx>r9}ttEPtoU%gw?pAFbu=GvIrU@Rp{L@r6NjSEh|)J zxI|ELHEFk0d5I*NAx*B?j+Drfl)yDrjN%=H{b5)im-5qF+uX{wcMQWu#Z+_c;g4u} zBKEFXL=Fh55@bSBeH{qVGM>a$RhtBL`ZKy=>mnv1w>ZnD7bOhXtiiVY1zRLoOJ{Eb zd%8cvW3XqyFCCF{ToElvujqD@i3GB$TK&>Opj%XY-Gv^(_{0IBeZoTpI1b@@{3NJq z#0K)jloViNeC!^au-GUjecvkhP2{=2FM5znO4AzBdP={vrP8mhE-g>IZc`?=(dHF_ zbf)1k17gVb9YMRov1%d1g_G;HVlx3|*$C!R9K%q9N* zGSF+`L#yoO+Hs9XP_!V%7Mydre}O6 zXo;}oG}DgydgW2s)CZ>uu4$-~$vM{AUnfc5R7-^J7V0C9GeJd-%A$_;CtuBuW$quo zV0J~?k^A(zj}_#)1Yv~eOJl3_lF_7S<&5cPWm-E$)6@y1%{8lVr$eRAIi|jq!sL9; z$pOjq%=#igvt<)VV!iT!0oHPE^N(q1;q787iqhD~uR6^nA)XJR|uGfdC*%GbmY03G=@U}EfRuu67>k>AFe3~j?d2YOu=`793Eh_o{pGg<|L zpDH$|-QGvukl$?509D@A!MN&~e)>s~idM;FtX_WYH%94oGjJX7esYBfA|CY4;5{@D zqI6uloejiT19BBQ&T^{>-*!QH9U7Ff{`ZOEM%9yW8Xfyl7T;K4C4^y)$S{eQg%#d- zO9l~rw0*}7+nb>f4Z>#XF)_UD8AlX@fgKpId?3pF7e&u9q&dAgHp=43483BG>lg%YjOKJxvDyjhr6NS&av=HS z4T`diNS;2Xm{IkG2_qyckj5R4$3aLlmS1qz1GcA0Ot!~50~I|oSgZKdj&xm+1MzHyvk zzqo+GV|uaJw_K|jc{m9fwm|f0qZP~l z`Ag)eM}Z2nG0QmhsGKoddP^d+7?#sVBC@FGPpDg`VRznSue{Y@;Wr%2_ERT%U1C%f z@uW`=yPZrXpHS|mr>Hh_qbJTTLkIEXM&d$o1%#@ucp8@3Lu zYKiwd_+CNmqRPEN?dM=QLDS(jd*;eSion>&;qn3zEjwgS^2wj>s1yL5 z%nKB`&KR@Dk-AcBW%xkpOFE~xe>UuU``ix=!pKdDJ2`?H=#_WOtZPVg=QkmQShc9e znxn)8l>CPDK@e@D?ZpF^BR|*16;Ff`;fAj*xRRoagm? z9ZFPl3E^(w*=#=V^62BCN*a&`hVz^QWjIwO+8-3Iz9xC_%1ug}~R|rCfdE%ezuN> z=ZxoB0Y@CPLTs8MBk8;xJ)vH)y4L@|%Y`MYk{Pn$MF zHwdWgFhzeJ7yRn51Aw}{uTXlR#g3ew3E-GOPS4)R+L3_y4)um!+Q`sMPr$~NK;!n( zJ}aPM2nP$J77V?Dk%Ntsy@8Pf3^zC6JN7mPibjqE8uW5PBJ@f|u8!Z2Yy<(H6tS^( zy!(&{6T$bh7Km z8?pn2-%sG~h8%$5j~}@GE++x|k7fq9PXTx4*?%C$-whc7!~0jUFad`5uL9hpW@os6 z72tv``%ijrU&RU--ctp*-^$MT+p7Q*`T_WP_d^_j;cu@3Q1t_~@b)R-)*Sl}jJ&%c zfT|zRZg)cfRX;$;?uG!W?!c)O?wA9(GR6J_4eIVGfT|x*MR!90Rm}HPu>z=KzMmi~ zfGXyDs#pP3{QzaS{Vw3f13Q2tx2Q*VLjYBGcZ`1w0aX3C(|h+6K-G`SqIW|8RX=Vn z-VFg%vHtcd098M(dfhz*P{n#r6&rvm0A;^^7eLjIdm*V60dM8_P1^x$o#Quc2mA=fZ`#hu3>eGXsFCdu?a_KeT;y zRF!G>HX+>#5+bdDG>14e64D?j-AGAy3kXuuEsZE3q9CDkcY}bmbW4MP)b{}6!FN30 zAB_Iinl(#hob}w--uJG1?`s3!3%<~H5Hs*q7upU2J|BFc?I330t1h%11e^wZq3s}M z;HxgQ9RwT>e4*_iX5gzXv>gPT6?~!XAb>d=e4*_i;OO8BZ3nRcUv;7FAOH#Qg|>rO zfUmmHb`Ssz_(I!3EWlS?XgdhN34EdL0FeV3_(I!30Bqn3Z3nReUv;7FAOJ=1g|>qL zUP|zVwu1mr!57*NVgqL+YY|ab`T&g@P)R6K)_dBXgdgy9{57r zL4a-Ny3lqIAWW_cZ3h8NC|noX4gzG$b)oGbz_xQ;XgdfHHP?l@s@?W<(lDc2Suf+haw?^d;cdh#i!M98eAyrI zyH{OcQH0SAE8`wVG`w{YwUM=8kTz%XlOC>ZO9emlyC*M3f@{X8hJKY$pBwj%IqJgg z?veyG@oZSf2NM>k(GCc!8P#LBi0?mDiy+3iKcLyZ`?4n&HA!3-Y{lvQ?LKk5up>3&V`?8&i)H?O-WIs=8A({^Vz zK1qjJ>)+~VqD>}_<2UBi16_|ZiMyl8vhzaE>FG_;m}~awn#v|0Masjy6hps}2%|hD z>U)$QG2xE6x*tjs$V3vJ+l9FLwQ#Jc=YYdy{GP|Uc~qob^Ro^IWWks?g2G{t@tB3~ zn@F;#Y^eQFB6T9A)IYhfObw>m!MXaVH8a z#E=LtVDM^fN;oZZQgHAZSBlI7)nvc2Do16V%-c#JgYk^Y~ z-CTPsekePf@`R@65l!hNLJJArLdtbGQznI_3JIx7gmS#{$T9PqpFHiq?aeUgi+ioZ z8v9S7c~g-u=SMQeOui78^3_E36Uy|n_ZP{^jc_&B6;vCDhLuo(_a+XWVrV9O4F8TZ z4EE-SDw0mvTw1r!z32;R$=DXbyR*%3JV%urK7Q;8*WS6Pwe-JZ9>9qMF?XWy0gZ90p-3)v2S`9?)0!WU~B_qeNMq{ z5o2We#zhewBSHTw!zhz1VON6v$R4bEz+t)6Y!FbSd?K^G?l6p?qaAIFl@j;-on06C zjTfAIVQ=siy#qk6n#28iM;`H)-uXl#Y{0)~Jn!t0F`>?;tXL5;8`IeG91#xX6;;?s zVX7EX8MDg9B;~W=0Qe8+^%llzsZ-xj!9*zE@ZT7IM)8aLj{I5firlAZ<=XP1T@%qA zn7j1fy(Q`?dn$rHiuqt*88ErGOd~2z3bA&>TWa=2qbNRF>JYSKwtE;CW-8WiQY|V% z*|l@8O{lWkq2?;n{kmm@MfGmehQhYyP&9$i%En2ur$_B%HKEO*<8N?fW+E;h+TiZ4 zCV&mvR)TbEhScwHp9Hh)eIKS8Dz;FT_!KfGe*2XS8d#f~uPH65a5GxIg-m1L)@yjo zYlX$ULJ+@ioM`xmhGens{uOPXyCJdu8cK|XD^Xu*8!a|xWQfMDWH+8?y3oo!gqj)w8{HDrDbKB)G#8} z5Suj9P+Rz_YZQViuPg(aa?&7942%vR2ZpfF5c#_yUFw*Rx0i`#jS1|ZzI*hUb!TS% z8-G%F6Y=_OQessxNS|!ibf^$Sy)iRiP<>C@X zKW!A_%ha&Zi>`?ixz@vO$#7=-`ID%W83W%DBGY4YB8KU6k)qj7=7Y3DSuGTk`EJXI-fqv4O(s5w z(tCRQiBgqXs7a*IF;!m6i#gKe8*p0YUdjyINq4>$B03=JNYJ^b&S;jjJ|rKLE+-zr zBfHhnW;?^nE5=YfIGDiY$8xm(+&UrgWPJJEy}`7cn-2H=_}{0R`nmcF&n2c`UopX= z4)I>jtQ#(QomVql(tSgs9qhg#g3caub>75{$uzirOU=RX!FFlJcFFwYtB=l4Q%fi_ zEw@C5t%G_CUMTG@-sWIrXGAbo|v{x`7xTwznkR<{%pvr%=a}q25Wk3@CMJL z{E$Y!4U2C5J4B0|NYWkg7o$?!HliG85SC6=JyVtDUX?VfKkld9PyHcbz9ybmd!oYG2oU9~W2Sj~5kJ^tf|Z)s$*it}YMZ z@O>XwUFGD6#}vOBAXglVBO5YFI~npSg~_ab)SzB(yD&oaE-9A)zsm5}$DJWgd?Nwj z3M4tb39HA4dBWTz@!b*RCa*2Y;~#5o^KTS(_fAV#b&fwXUg9wfYi_+d)h{p8rXr)R zsvJAG^uvKb^Hy$05 zaJYjz%dCgBteAb3i3NO*ig@Q&xMKT@y*5yq8jcKKuHHo?*#^8s-MDd-Iq`FhPdM{k z%>`th;u$WIk|OT?3d$IpGUsnO!9p}H{ydIXH)YTEd@L58nW0-t;VEa&Y^A} zd_K)f`r3nrV6NPHO{Z%a4Y%etnkT~_!;`f~H27(^xbwA#ny!X^`_AaRzRyLfVngH1 zm^h!tm3e6NzCm^;pGebwbW_RbNnS>aiOg&=QML8s>HI(^rNW1COu?{kzB{E25qxIH z2$U06P5r?wFxX@ibT9A=e~gy(o)hBqYVk|c38I$-O+UQkrpIhS{G}VrT82331lPIE zlBNsxgvCM{b&zDeohL*hraGPODa2ig61Swbea;~+7 zhLR&DM;IC&pt(BH&B(p(IKJR~Eq3J>_A8GN?l375(D-NsrtycBGA}zn;|Hf_v?iEb zdGkWSbi%}+WPL0nzGbgGE5?{shW(56(wxXxV{EXt?`W@Gvti#>d%v{mKElhl3z>?EWpxDgXKAC*OblR6P zZx6G?CBtxmtFl94-%hJo*U39_em!CYBRh8=&dPtl!il{xXcDnO+lSjDQCfiG{mpph z#8#&pDzk5Hxl+A<{D42Iz($#*fMBb>b4JCy{#Nr&M5DxwZub%R$Fk`^Y~Ms1B(BQU zITAUv3mpm!<}z?=9mQic)oe`dJ$h z?7&rb*9L1_=^lLLrZH*`9*ZuIHKsX*^6^W={Nu6J1 z^HezBBx%$t>e;Y5%q7{^IEG&$z8?(bdjIU0=j6I*>ORYPFMYcqW2C2}X^>Sbz@Prf z?LHli``7JG4`o3;Wqn2c(E9FhF`Mid>y2$4^fWN>nzhBJnf&UIg}d~o9DpJAEfgTcr6va!z5VLZW%&uWQ$p5$7Q z%1j;YbU@T@ojp<}tA0{EL4pz&Z$HI)HCjFb`XUtAe~$c}vYrAzJ_Y-{ZhUFjA@iNT z!VV16{{ifrK#lA-*x&cC$X;OqCQPIXB6!^3-6C5$ZU-vNs1eRm5L!R&PWRumX7hBw zF-G&>9^Ugv@W1{etQ|Hreok%-_K^awQ%jgB-D778iP-2|d{SYd2`~Dcd%}ifB4m|1 zR+}=h2y2SMV%wx4(VMTiAw|X4yrpwlK7t_Y#0{tyjz3C zbQV|p=B`or+`eBg!J~oam0FD0Z-O~L`Kb&}SH?mFb6R`4tYA)eTbx%|eih6qi_w3- z51c@G@;AZwoZSapVFW_nAISWYA>SXc?x``B_=oB&Op%KmN&5LtU9F>5iAmlpx#CcL zCkz!Ee_8jIFxh~(MHQvy+Ga~`;-+2a0?dmXkOYX-4=;@8YDw`hbJe zUhbswsK}(_twJ7ndXm>@&aF3v>1c^@^wk3ectd;ACC2ep&KgtZ3@9Riiy#*UA%vM&2GNQOZnaWV(-Y*O@CxbRA7 zg*L-cx|nawlv*s-)cNLzA5d4gZPFPLG5ca=&Ad4DwTMxBlb1n~Gst__9aaPJ1mig2 zn|6AI-RCyyDx0WGjoHAhDU2?7b(4jxjF`6?mCVz%yoPg!ekqP_d1y*qQQhrng9(GP zb?$Jt2bl_?$l_=8$9h3mbN*y?X;}av-`~FuP=Y_7a2AtO#$SQr`&{_Q?V@m%O3yv> zi@QG-?on`ikp-i^OxaJMxMAh5!%WoSAy+Fh1vB`x0@j_>QfV%^byIJ<*SP8q15e28 zB8QejLpFQ4YtziAQwfY&S31iK4JBPO3bjDmNS+vHI0FaP@cvOh{}1j^t`54)a`1@H z^doe)c5Pv z|Fcz=oWLW^ZzuB!mp7?nCh$g}oiXS4viwZk!Qj09k=F)i7tyz3uSO(t7z569z!TZQ zeKGPT%r*CiuWz*6-r4R}X&!riI8S>u#{J$qQsh~?WTwohfm-{VQ6Zi;wh1llk^8Mr z7_(%dqe$jJ#7r}qYt)X;AGy)#&@-Y;~cK85u+;G4CyLYvzC)N$pp;8 z&6mv{iZPou?sc6=pGtfvX>M`ousCuSiMYduDC@HQE~PUZP9?(13Yla+f1AFvm>|y( zfV}>R8M!zCQ}G8r5_l~8O-lcxe|m%4z1&p9TyO2!2)iZdU|^HOegYE?3w?<-yq-nHTc z9*}n0XmxT9?T5V|t!3xF#jf*kHDh$M;|aWO4Yc^~?3AZ?vj7{qe+mJzQ1Y|~=b^Ke z0U4w#hv|X6p557>oLv}HCRtr`;Kx801RK=c=MRMdym|jF1R%bvWd?*$>W@aw$;`GJ2eR=NV9v@LUME=PW0T2^8nybh=>Ss33NU@AKgWGegHaR;}(o4Rd z;WyK)ja2>N{oMln*%mmPyUb-W2{seWCLI(*ho$`^8m_&kAh!}a5R_qMH9kGmK~g|t z|I$|%oy{4RA|CtLBlBo%uu9T(@pUW^kkiPOwBpaV9B@14WaVYXtG%_^(>o3{P}S=6 z7<8_?USzcqbCn3YL9>CuauvRb6k2?DcGy!{{2Je#HA`IfLY!utzsGlMfAl=TdA9lT z-?4Vs`QBVQ@B|}CO+rv0ar8?4!T;STu6~-Ya(U2&s`W z)@_b=Q??8LJ1?_s7o}sV5UA0R_tBRha_)RHpfX*ASM}r(FDgJ~M}{8oW$mu|zuRoc zc2L#}hTZdau1kv$YP$4?F%!@R^80lPm%;QV!+n-wJsCk6mR$czciPLe#|ftxR)Uie zy*F;yN5))gvjJvn%ggY~NT3L+r{tFp1;K*XNCcFe>oDUYZG`XML{n1kMl|7O4R_O3 zZMymyIcLUU*s2}3q7$6LMMg*&1TV|`zVWfS4wy4RzwNj`dA>CBG{aJs_4sVfEp8av zVyV(0wBy{ayTi%WM8OF!e1!t(1!0Ao@b1}7ZIw^9iOx+n8gD9n<C-O` z^^X|#IDrgyyU^}zxDUXe~EGu9$ILmzoY}P3-OFYAZ(c&Z(YrS_P!+b+)K4rHq;>eeYF`%*Z zy-$yCQacJ_Pkf2!FP)>GOY+`udl#cFnkr-2Goc!<8i{1dsk(hM7>#yOP#Y`AYGON4 zwb`eTGnJ{Din`{W1MfgZiq+Dst1!oC8KOV(M$8QcdSCcu<^9;|k9#s^E4u|~8tJZ7 zV;iMK{|kdnX7qx-N9yWC)=06)R~Va&$hL5lhX<;&m?ku_EG$(3<^xA%;aNnt*@vo-kgiwIZ7oOCzoI=Zjk z20bM6!aLfXCQXG)?d!swjTYida^|1SS9(WpeAjWThd>4G{&h1ATdWAzhe2%2R`2kG z?n>}9yu~%#pAfBft09WtSdFjhZq3ZY(-{BijV*dh(i{1WTk5r*!nGEoA@0Fa2}Dty zPgx52S+Mn(bpyjIi$)0tt|MDd21>qi^}>fD#g<3Ez~}Pr4#>YJk=%ihpoyW2Flf7V zh(4aYV_8|b#yy}7;z+j5Roycx9)DSxXCT@Y!5&B_#D1hlKOMap>Nw z^u`j2B5c9q*iR>*PA91cl_r~X+KykNULZ#2I2p&{AW z|Ja;Ai&KEE!rx8}1nwpcWVoW*RukQ~#FZoN;?H3b7BhI?V6lT2qQ;~u+Wi!Dyy=l; zh0H(B!^uryvirt>+_&`O!!4EUlssR$KA7+p*^s3klY1Ux%o-AUrI^Ji-(bG3f9TYH z5qB_mPm;T5;2LFOF|X@&8_E@g1vKUs(GTI%HSTNIWIu|)RUhc6y$NsQ4&eCziS9K}xJwvdQXDIR&{*W%gIjnCF0h3emqc4}M zqm449e3Nb-w6^Hd6n$oLGP3S3Oe{Nd+a6O3jL*`Q=^(0|nw%%JWrzA6FmH69I71QL z&!qA+UI%`BDzWpf@Jq`Ml2!gq*+FrGKEK!;``>I1`ad>D_C-b?nU}%Q?h0utOzL14 z?snYk#7^E61uZlsrH7BpmfoPhBWyN*oQ4p0Lwk9}9)D8otvQ(%8XbeTxd9OU-yYh`6o zWy(lmS6SyyXB;LkW=htaa1OOyTA$awt~sxcWCT^%@1wi|!UI)HJlZLaNz1u}H@Zp& zh!0U$9gF$%(tVTJKEBS0&E;pG=+G}P>%G|6S7>Cu+G}EFRhdp zN$B4?5ztEfn>hhalyX9Tej=*dc)7oy=h51^r}@wBpl&i$$==kX7tTYmxUg9tHYLz29fXYH(I3 zt?d|#ZM1kC^u2sxe@p-NLbdzswvalULs=68`|LBr)f3HZb(6Ibf!#4<*Fp6Uybn(j z>Ix>1%)W>4Kv9XGrkCZHLhadH!KD#|dfHA>d10@dlLA?w(q1| zdn#;*s&|4?cejn&E64(c)Z(Dt9SWd74I#_L`n>Zwq)P(|$$i*?Fzhb@3Z;H1(@Bmg zOpbk}J4?vs86QBpotBm_wjh58yV(N)IV~oIC_XHSas2Qt_3Z*4H*4%G;M;K6jh;v| zBe_}+zqgikuLTe7v1LSlX%^*kiWR4uS*3ZfDOmU@LCCW>53I45%Kfq?VS_4xJZ>k{ z4*PQ}Ti=ejaDYJ6vl%#K+aSz0B}zyl;jDJAl+%`~*@<>w!0Nuuq}1>c>D0?u(Yhi28I6p`qlmz!Aj@7@`X`{+%2#hov>9M+Xy(Mt zivnBgg45>YJEPu$U6mXY-#%_?nXp+z8;x4VN7pcNUrf?dB{eb~J+XDA518NU|43LNe%hw1A zxV%EA67XK52bCzi)p(Yes^2EK{}Em>lLD<`QvDNb`O`L79O^LYhgTc!O;Y5lNH$|- zt}9|vA#e`mjZbXX>B1 zbbK$M!B?r%%EyhWM|+vyuj3jK!l5&%2~GVb6ht8&38-uWKR(6re6;Y=h(huZ;H~9v zsV$T=guU}rZLvk<#TYf*>-~&dM*6xl#B8w#f zsrmQ@)(*X9JTOv;`pu|Va%Xo~DMr1QP+)}kr3?P<^O4L;!w5+UIRD=5 zhvJt+yI4@Yflbo7-afORXyLS|it!_Z$bA-VZZ*`J(v(!o7B%F17>XiGZ{UH-IkDrO zy>Gw~QUtLDiQ=2xdq|j{pgI&C=B6{5U9UBzy=lPpv48~5fPNEuZ_s>A*1V)vVJv*o z|8vY})@?j41yL6#83yUsopiGbn`3Nx>idkXbP>Fqy*3Lb!>@2vw+*j;B~E&^{@TuZ z@}aV-&rno(^aru1QCSRuv0L>cP~e7mEzV!;z<&wfIUwOX&<_09)E0`{vhQZW^kODx z*dRh|%E7!{;h)F3)eG(mrh7~P_BAgS9O7m>Oc#PdY8v0%{ObLzsN8x_@|wIW`s&*| zV#E(WrgqJ7yt+lTfl$b17fyv%>lJc4Y~`8Y2uu5dHHLQ_cGd~m%Mm<1r5RFHsmuh29=t*$5eb;OJu3diw#!gRi6^=BdO1y%^DP7n4GamE zDfl4geK;ssLmV5&MYaoB=5{H^21zVVAH@HTV>??Q_b;2ve!5PO4Evrg)$=He2O^sk zN`v%N(Y?;M18Z%`n5^t@o0+QeD4sODD&a}p3}MyryJ656U)Ca>HF^8Ska)zP)Bt6h zY$-(n-h!dGMP7A4x{EA&2SS#0J;rC;x%3J+r^j*)cbaf>oSSeRrJW9^NkB1OWDlO@ zl5n$b^)xEt_B;1{SmvR!8s<5M*{jb@{2f!s+B+6D$P&Txq3p|=i_>Ap^VEhDu)lu^ zQz*HOXqUjL`ua_tsxSI&jc0>_I91G(b8*P<1D;k-9rEjpv;%4L`Fhu|M82-W2JSY# z%_4Bn?AOTm;*2J$YbPR5ESENWDtfClrTlqmFfBHZL`SOzE&<#yO7MJiKkD~~^&}A) z;&ObWFArY!l}5y>(cT2AeG6Q>>iLq=R2s`C{u%c;>#Wp;Kz4rv3VLfNhtHsOisj$S949R+l&a%~Q zFMH}vD*WEG0k0b&LH&h@$~fU5hX-ixQ_SXHl{@?i)8Q4dMiB)k>SN?lIA%(&=6YDI zzbvENMDu7uJn-?Z@Ii6Nk44djAGRfU63-;LKupcD(KvLfe{w||Dj z%?(-lC*%~8+dj>oxgCNkN}h_-9A}e$aUqp~qUt|ABP;VSs{UVIj82WlxvHN|_5LMQ zhvFIeI`UAefqlmEcM;c-IiHI?So}sz~8Ca`Zw> z%R^jr6wJ!mn~eOEcyh{It*W1{j?6BuS0=@L>eH2Ud)z0LAy&T+A2nrg6$(B-UD+?a z17|bnmsVFu{`D8(f#S&cfRX^vt$sz2h)9sq3io{!>rD)>rzBRokS&2~bvmjLX{Fce z60bdK6nI(x>Y{GnXS43{rCM5cbG!7@pMnLvGRR*=B9mP=vVt?RfzuOhk#6+j^1s%tE97*1 z;@|OjO%z58jP#H_^$icBIKHh@BDT{zlf)32j-&f)9PbU&efZgW^$m%VBF9B491Q%2 zo?-FKcImm7q8klE0qJKB1oVjlKRrd}oX6zS7(r5?zlaeOk0ILm5~BgQg5BbTWR#;c zBpvH@IS*;cObhSOV6geS1SHDYfHYztzmqSZBs`wF`+PA zzr(ozPqNb@AF+_?8y^FN$T_~#laR_Jp{`}UdM+s zB*(B-X}Wq)6zivL{~xgaOPaz7NmD=<<%&P7_nvL(`j@Hl>3E3)m@0(sQ3KDAbp&ra z0>muAhpZ1pkrv^s*zq*@18Ve=pU}_XlKYA4UTfi9_e7P-&{4agk~>y{G?>}DCxx}t zL`c;%L0=!^?bPoK`=CMz7YZ;xZ8-SCDnV9YT_&aAgrpRIPl?XfZv6|FU_gn0)w)2@ z0Wjg5sB{MKu~F!vHN^7QQ|+D>Pl(75v*qR=hDu(4ZuKlZp8mmFeYNg$!mgJ(??6vW zo_ykf{W8wKyKn!5rYJAu8A|R8{EEs0k`bC3WzD1f6^SJM{q;feplT-TE`s>U zF&6W^gVbQqLc6XVFRSgo%Xq7MN{e|vu~4cqprU8-F02czA`uuA>-AMj1Ly=Gw? zr|c(S4|ES^j<#7(MAuYVp@`$Bhxj#!J!9;-v^*e5#c3zu-w9&R7CHS(9t<7iR{=K) zBQJgLZLldp;)dF7g2*0WoN`}u{OWr;Dgt`W*7#WXPD?O6qFgMN9S@amCl8~);4YzI z9Ai(D8sI2km)Q-t{y^DYY~wh{iFR+C;B5)A4HvQvueh^AW;YcVo)&3wqeyB@yT{ZPh2GLxUQv<|x!Zzz z7q{>(+dc06M`9@56}895pV)3q(AXpK+=r)#qZO|Si1GU%|tkIme;nvGYKCpSOJEjnh+lYAMtw_EUKkIz=#TLv)dXEUqg2GNS(?4Yq(or_T;* zWmPqz83L(vYv5-p^eGAJ`;WW8Hhp5(zdavTe*4K9<@0J-8@b2&Q%gS#P-@6bbG1#- zg!uaPb~6~3d)CGuU|gvLEfU{wa;Lr2?rs2=iyL`GquS}aDk5kGB50xJef52_k6R|m zOT#w)J!|i_)OjB}U97?N`Qy>iVN1LeOo8hG0|9Vte* z?}twK#Czd(e}g3P0<7ILjax~qbEv+Unm0>}b++jcKG&=xE_z6n-0OoKj2<8JRlSY60R__B7A1_ZC6Ig5pmCBs2$;3Hvntm;) znN)_4+?CgPq!r^SS}YU$Y|6h{2w8mZ5kGVvF{) zpl4o@$^&UKGZ)^@!zqFrYZt6Q?ZQGvy_Tr&6kCl-Nv}j@tjmG#%X*C>xVsmv!<(is z$g2*2)AG=bYcbwGx2G)g6@LO(0`=Ckmtw(etzq;Bc;kd>7$_+HbWAMF7h~7|iH!#g z$z51}X({-_NC6rf&l6zm8W?8;o*kd3Zy|)a;ZVh@+aSvdisjX&OOfj4!bwg>&|#OP ze*D;hOX*QrKpt>K@9lz2L{G|HPzN5`P6{TT$qu3KcV_hagckSdR}k_D)17fR?#Rd@ zkL_vVmG1{G&UusU%=BwsnJNgU>Bt<4?|`%CakQckYgImc)X!dss)d>hO3$4@eFy%c` z+2MJtkt5nUK4a@FcV<{nj_OII6i-{Mb@bCH^Sosm%NU|4?XK^YwEfIL&oS?`6Bzfs zxqPe`l;^TaJ$1JjpJ=VNV5ncZ$d%r(ti~!cc+NOORYfgzGUN*}eT<}v>BsV`rpyz6LNKUfh%al8^zh_|H)BqQ3Trd`z4GvE^@_ zKPv+=r+iFER;PSSrERKE_?S+j>USe(70^HL3j%yhZROPJ8MMzW%t<_$K2-(nHkfDx z6<9AZUU{%9v8lUKWkEqLT!t3zM(a4gS}k1mV7ErNOl~UWiSfyhM6%y!_kx>P=@t2$ zB&F@I_O_4U4*QS1+HA^$_Ls!2BUQTG-|A0LlN}ZKHh=KocEpdWNd7kc$kAG(l7POG z%7w_w^D$w~IDe~(80oTqfubER*>cKHY$``{vX`^ zl2pDVy|a$W(CtIc50Q}i5?NPpU?)UsTb=NZZg4BHo_emL&aY1xOdwX-H7j(SKJse0 zil?H+IX-Zv_*&m<;HC;=?hIX8PqEK~l$idG?44>sL)#1BMt;?lgyqa} zHvGQb55n#NAGZVS{UYK?sK)ZaCJrw3A)%jbn}QDyDayvc3L3|o;{(;MB$+AnYCWcP zIW4j>*HK~@)H!d=JP^4L@5i7AOUz48(PIxU^+UsXBgy~cH@xMNM)d)C0nK+z9XD*~ zOC3tgQWUbkQQ z;LW}L7(tU~RDhUup8lh;vMbn{SVQ3Em(E)^l3nhi*xstQ=X9XiGW;kM)Uy;-5sihv}=oSRA|eH9;*e*9C68QLS{+Oe4th5&C_j zX_L`+1=j3yAQ|n(j#7f6wmmMnV}YtB>(72wV_SnB3@6BWM1YQGe#JOLF2|0 z20YEfBKdL*-QlJmIKut^N zsNAb;SPA~#yN~gOZ_{48D`X+N%CKa7(3qP{f{K4q>mHcPH}e@!2ubA!9NSo&z@8>a zLxd+YhkH7Y?K8P}rgqGz?@2lr%UYIBZ#7{qIvEQ|`MwFS^fAt`?>VUN6(S9#uHo{y zmX@^^eV2kx%3oQG-CU3Ty}o9WZuWAO^&!0aFcdzfa|>}ANBzpjbk50p>F5elCHaL> z4&bT%qih!--~G*kbqw%?j541x$}v9tfz16p)DWIaFa#HtOS~^VND|K%caz%OT5CuK zSmV(!F`^pMlt!c0TNcE+YpE&rs>FjG|2zIx=hrUm4OCLALMsjiD_{7;i6KVHWF4;- z#<+d%*Rkl|L~fLSK+||^utis%hgE#vZ+aGSUShPrK+S?NYZu8+tnG?}y;rQ<$12jj z7QSBZu~Bv`et{-$cE5g`GV+t<=o2$joII^Z!JYPrTN=4L9kxWK!Ly%;Can7rL$eK? zj2RU7-m-jd8}YeqTgvD?RS=pM-)Xfk_KlR=9qi#Ko3J&-%hNko4wmbrr0GGHd^Gi9 z--MCPi>LzmEu&&b`j)}{Dy-+02(x9W4G0X33!9Up6@j+4on6z*<`KzHEbR;IoXsp4 z*N|z8$hX(XH&{f76JObqCMN;^`o42LsYqA5NZ?0Ph_-i!xwodwqdMjJZ{<9_R<`_! z%h#H6oMZAZx^}grX*2G#uZ<2Bo0~`rVf9Jh-fj%?>ef;-F0SRN;@Z$`akPF^X)7!- z>V&gg5TIGH?^U+D`6+Tgb)&p^=erU0+WO~}#%v<2D8&>p&Sc+Pk9hA=X(rF17nBc~ zt-v9X8oHNC50_%a=OXsCXLF^Dbd)CrTCZjkzrJk;icM|8BlMG=7`41>(kZ!RN$^|Os}p7yOsN;Pb+*NpE+t=#h?-s#be&@+`~AZe;A z_@sf_HiE|bWP&g`lalx3oar|0@wrSD_l5Q``Q=kRS_p%ARC-2+W-N(ESQlGlJ^}op zj^AXtkZ#8bvv$q7t7#ecSiJnov=-=)YPALvSwtd{=dE2l20o77F{(b$e9vCBPEll| zwyHhCSK-jz*D6yJskZ5BM-t!F7MZT}#US7Ax+eZ>jOAj$y2)V4P+O~X_lAFgk$m1J z+=h^DsI_CH_5i={#!KPrZpLCQ*YjDECi>lr1B$kE6vgK=qJ6#xczg6z+}~cE{;2(& zXZpJ1b=qn3n^mx*a36J{#3Mg*B~G9=_fOn@PN{wA+YG7g{n{MQ0u)gGd+iDgjR@%f z%fIybAO5A!pMA~n%0*+g5A&Fb1);(O`cz<#N;9nY5hZ$n7*Xw`{QV2LFFTX7Crwy_Hv`YiO`lk@U0t{pR5%5sndeVU%-@@d885Ofk6;>Qi zt&|{e$qn;=YPvBKxZa1>9SplMu#lyA_0|%wVRvI<9Ff2igd_~>gfr2PYN<3)fP{}^ zf$P*=+nhlt;_VtLd(GIs+l~23MO12*v%O{%65S)o+wqDwpWp5a4V;}}-bsg(Dv~zc z-KUzH!|vInOz1GN@4V_T2LC8RfCyvrNJJr&YF0c^u67w4-|ux{AnzQBvgZvH@kord zzMRJm6IbIO(pKX5$m+v02|9DPf6YD~->Hxeokn#59}t<%_m1u-4XkQ@<4-3^GrhY% zpxKD8H*7YJ!MHS0&UU~rdS@g@q1LU^GQ8#$EEMtm%;Z=v27=DX<}WQiNQv>+d-G>Q zgpN)mKB$uFR!c)8XhyL3Mr)ZKU0WIi(o-R32c-y-Ow&fMxXdKsu5AuKM_Nq_8EIc$QXq zf6C3-Z$6lvef!}9DMd!@7aKYxAlu`*E}qIkgg_%nV?+6Gir@0{1Y~5P%#fd-^%Qm1 zi=_IT=>O89fD|w#);FgfHu>p9$B7FS7SP_!1*?o|4_z~ALmLzXj&@O7EITBEal zbW*<0;fYdp=QI8(6HVVK6OEhg^JnSWILk;vB^vW1w`M(ocKRrb ziP%|ZE?I2V=9%P4BmG?TCRQ2mhlckmPid`R+_J{9Hm&F6ZML-fT|*%>LagkNj`8zV zCYKf+s%Gan4(cAk&ri;s!82YDYQdFmL;&^=6rdp7K0j0kwwZl?Dy>^xmh8wxBmt5m7{S zG&z1r>a36r>NLXiZ!EU14$o`=zqh|)ThQ|9o7{?Xj_VJAoWa?8I5MPnK>8cYEJk)5 z(-Wcy?E}L8yk;3ee9vmw+i9^LpHCU^0J61H7Bv^GCz;Dnm(Wh+HyfzaHK@F`b16a3 z0*|d0lpX8m#1{jHQdi%u?k&2*$&p#|K@rZ+Y9Q;ygxUFGnM(@?QVslNoaaGZxx}}#xYWq(uMp2^ z<+(JPkjlhgds_oTBUd_YeXc(nH^HSPta;^BfTn=j`pzzsK0?~6Ti)uh;Hh}C3tZbc z*#uG)UNjZ_fR~WgM#hTE`{#V-oN2YnT-JArB@!q6T_>ssQq2Wd?W_5a^>R6eWT@g{ zS{ClQ+;@h1p4fBc_yfH#mO1+PuKYSunGZ*$4o_KYKzXvDLi4-v$Av?)UIN&8Z!w?% z`qR6yuwCRR|A{H-G>STpLVoSr|3m$R#+3A=8+aRL2IeGQ;YL}|hLt1NCRmd_?>4w~ z|9Q~U_w+j4_)6|mR5q!ej{O6?V>hFUQ7Jk%U-M^6Uc0Z}{w$vP&D)9S_FLW-#H0-j z#*6UssBU-VmL(i~GnWakm%mX*1W|S$3W_+=)xQ(h1`lBouc$E-SCUb_ORm=XVa+KM znRo33c9Rc-d-`4&*-j8z4|h&WySPc6=1>sQzNM_hJv1ZXhld?Ew#Z4Be#aZahQx9) zCvmXHf!?40_E_b<)Rf7XBmhDL^@2sA?juS2JBtCyw=Zi-@866ifscxI6+5~Y_r z3GByQ)Ii@SEck>ys~q&T94VS<&lfaB+k#+!ZL#+AL_gm;7h%W@;}Y>!YcL-u8@J>- zhmE0PR?h&B177gUh#^+dS@f5!o$tNxAW3Ds)k(EtFPD@$34KmFxN-;GY=QLpHUp;9 zwn247=lr0p37hyyDl#ty)kKdK!PKx|F7pk3H8eWvz{xS@EUJyF1#d9*yHQ7;8ywzm zwlD-{#`X-L$lzyU_X|7N`M$18%K(zt{nG68rz#J{U7im80*}Wc@~~$ezQK*34U|w* zg-pTX;Y#VKcgLw#?$B#lgFTVRQro2^gY=iU+J7Y7ks4MQO3%F$mEx+?@~DZM?Q1cY z^rZjjLGTm0ua2Q3tCh8%-<8aQvZcBFhIdtJ^A+Q&%3zq}Z3m!0_S1{8ut0kF&v%+# z8Zt-%_KV&9Q^=rrF<>E%Il$@SqYKBVVRI!U?W2MytU_^lw2f6byR?(%{Up@89yBw< zAF%oFPQjB@i_P-LWej5bMv=S54vV@DO~2PzbG7>PxU;ADCePOM@xcu*5~ntr?HW1y z7ecr%(4M%G*AX^sYDQ_{>rtxc)b;pK&yx}z)XGT96xK776kn^bqAz^2e}vBaWzHxn zvrbfLkg*55gIjw@6g{s#s#RbM%~`jO01DC&4+r1_{P+~#^L25TMjDb9{oxrxQ1+B+o5*PPg+w;bG2OBkhRH`Cuid$6vp3 zPPPq_rRBOS-M2A@f*Qn)u|V4M&bR7a8Z}6g1_B(~U+K94L*pFcYtKXRWX2YhPb3>u zMefvLDvi^_<1vcBjiW5~9Jod1&V*y8wNDm-j;|N3gM%rjW1sl2RWqe{5XlX<=61>8 zzCVG%VptioTfVv+H*MK-x!1l|$hbo8C_RV8tz3o~_*dNBWV^TCAcsnjbbYJa{qC-V#kXNkLho zN?-P-y3N+frSj0X*{?`FMnG-Uo)`*p5P!kSasl0cAc+9BIsP9&2gP691pGy=QYtwqY5u17;eWSi-j8-=ibDkE6Gpei@t;~pmUOnwh2seaBd#`P$Ygu3sHAeLy zkW@)7`f%&vpw$rN^5?Y2nc6FP8)>FfS=Sx%{3cpf;aW1Swk3ouGL_%^5$E8_$y+*b zqmfRb5R&e}!>-#-LctH>JXn9Zod2OA0$6|fe}Eqp=ONSn5||IcM!3)M<~^A%#+8?* zFKOOPsh}v-5Mf`ZSrzH+%4GLK6}^ON{t;d~HqMm}a&gTb*=V7pe$n;Fk11PSe9Hpb$ljj!p#TQ)0l?b|GHS`J#9+Pe-90g)jelH}9kB(-x8r6+J+Fy+Bjz>hNKP@$%mYBZs?7LOiF>DbVB_b4&{d7RU zK4yr>{tMa9+2i;>c@$7F_)8*#M)w1V%%c9ZK|J77YCt_kjOlCt`sfHoMdHV3@!}c* z{$8d8E3kTw55UL!-W7PfuXT^nD?Kp}VI3#%6GRjmR#@=@?vVJnO}XL-c$D7l8Mt3> zPepm%$5I>*Z#+_HJnV*v%leUilP$5dzF|SOHPJRqjxIClsN9dpa1CZ61f4)FmPc3^ z7R(?jEMGQRiP_pEil-k_oL^Cq z2yP@DUHycLCevwUANI0E)Xm3zuz}sQb*9ldY(#cYi{ucgP>ho7cPT;mi&%j~>F32d zTakO&Fo6YLyy3B-+G6)Zu(4^Sf=?z^I-U6-(87G%~u`l=cORzRiUUb`b{Y z4Cs=q^!D+hlb@#8l+41ZXQDTSa%fHrrRhkE`B-v@brc%H&EQh-SxYLX{DkV=)b&hn3rUL`*PO7OGudb(({Wfj!U2gx0Gf0OMeCZ zZiQ;){FiAZz5&Fkn-4K_Jj91W&}6V`kpu!jg4LwVQ}}E|O!^PJ!v)Rg)pUJ=F#A%L zguJyR{3Z8IXXPX6jdZNQuP|_xlfQ#d4;O#zP7yCKZBZULp6tyvLI zQ0lyIGIGxNym9G!JT1n-@idffsCc>2f|Xp)O;g;_#g*gtad`0A`A;Rm3cON6F3Nv# z*}zEX&$7^8yeQCocF);T4uozL^*1OBh}ovERk{Il_E_mDt_fUagcqvzBT-E3l7!lx zu7PKopTeCR=ekHIZyHxKeSi}fycKcB0{OWJUZ@cN`V$-vE}mBl0d^S9S2e{{!s+ht zM+&9f{o*!@R-QM>5@FfsqubP?PwEQOWYx9NWo+hk@a2rD!m*AvI>t|LvxQNTmFMLz?DU$!KiZ}GnLErKMAfAbbW z4HP;a1LY)N-8jCztM`%U6ogh`2O_S17EJtrqzPxy(nxOd$d7^S|IzlAQC()=A1EPR z(jZ+TA>9qqNT)Q?-CfcR(jeW^-QC^YUDDlfzs&sAS@*}A>&#t?7qFhg6MLV1c7OI1 znI}ebe0U@N>tUMP8(;g^*Iro7JwMns*Y3A&G0BGL$t9IjzV4~0%tmdeqNLLqW4ZC` z>2ci+l+gq?cCerEuBPP&Yb3$mTQKq6!>@T*f5)~ngK#~KPLc_h1}R7y{%Eo~#LJzl z@{QuIrY2^Lw6wev`_>Z~xE~3;rxx0%>8`C?VD~&)l0q3LV|u zVC2|@tnqDO2F1Br8G2$an@svm`CVmQO9FZIkVpykGA^7bcOoF-|DCGB!tl#X03=m@ z%GIA>QUM0E|CX!&%(8n4lnQX{Jtuv^HRE9qrAFq+(uI1UY+`WJRC3rzykfo->tu|n zwufP-j>+-*JUzPD>uE$cR#Rx5&tVuzwoT+evhL3d-DDNXNmgdCjZky z_?MBcmjJCoewOd^{%v|srz-X8r+evz@UMcCDbiVm8+WV$u`Hqxq;SuM2=_8vmYWQ^ zV9pr7YVu%C1?E-)6Ide*m$O_zIe9kcMqqZc^XK2y`6AX5V2!f(C`+G&qujzuZY34l zGjRygnZ`m~ay^Y&CtK4L*%vWi_6T+f+f`w$f|T$V$4Qy=gn;^WAO*hC?jt8{K^Jk! z7c2rr#_G)79A=k<7rdSR5f@*@QOEg!l@8g-(0 zoWHoEf%gn|K?Ge4>3zN%@Q-kbsTWxF(}bY=N8Xz8Puy}q%;<#zihnoYj)n0Bzr1ji z)9e)iESM%>z+L?{_=&i00vwjK#d|?jZ)qwXHt3F^QQ|Q(w<-}z*Y|D+$fJCC5v)LR z3`S zF-DvqABf-R+jc!~<2Obr>L%@7HYFu<)fq^^QA3lRnLZaN z@E+>GRe+iG9-UiMioQn?* z>$3LM#!EO%F|D&Zk0!PujQROmZei5lAv3*Rkgi{*1)%xpm!|rY7{s&L_rK*y|FU?I z@deW&D}qx3l=ig`>74L5EprQV01TCY$5g%5s<9&@I&B}=$L+RL?U*l7-cnk-#>*)X zJwQFx$hD{Z5n-h2p#+`5Jz(S)f#ACMZ}AH;5PPqja-4DXNDA)_w_ z8^B8cZn)H{Z{WoI06kP}F5|CCa+(C$9=x~*z2fVdk3+8srqfcLH8p}x>C`~ai`47U~xfeWuHE z&M&|->@UGW7Ju*M7X-!e6d}&3rd?%HK3fD=ZzqnXAl*Fgub@gwOK9x9Ddj<;NUdq` zuEyQ;{@xKxBMrwS4Rn7KE^jwW_yr374txK$^_l)e3j$2hpA`J91^;ELpXmi9s5{*F zSFMU>j%Y9B(gw#H(yY`0QDKx%Uh`if+Sb7KGwTi`@2`T3&%cyi{Z_XhKZ*$z5~U34CL11wl z7QOE1;R3Ycx_88)Q= zi)o!DhvO7NNG0`_j^D8+1O~<{%lza_vKAy@xdjGG3t#;Uui}{C8X2>~mJ|tyARJmNk&t9E1hS^KK7@3GTWe)Qu&MYZ0n=X)TcxC11T@G}K zcRx6sl%kyp6Q)S&EbXz)<<=USb@ay&x{$j67sZg}H*VK&6hoFj!R?}_`{fw^hopTe zatx@G`U?Q2Tt*Va<12L*3XF5`f_zc!9Wx3!ZgOw_tJ}PXW>O>-2 z>MJDd7nsNmt^yCXNb&gKKIR6F)C{yEsN|o*Gx~9^X0M%1{6jdToY|Yvc#7H+H;X*} zb$KuAsmV_ay_#H;S~p`mTOEX6Z<7U9dHHkj?+Ko)bU+2$ouWwcjAQxd!ptj%#ojDS z_jXCVK*nD>=Qrvx3-cf8oL`>Tf4ECu&^e|f?9T@PxMl`CrYoow3SMC$2Hln@FW>;c zr;@4?=D)GTLPrDdUtZJ4`Le$Rkbi=3XOKvp2#Gc4U6X;d8&oM#|DA#|L5uC0L=$#P zDcNhwM>=Bjf<$Vzxab6wHzC2j_HAhn6e>0d8G;O4902(*4efiD|2{gE@-6cKo4K#M zeTOXSy8-==5lJFk*@(j_FHrWEBKk)+5c8k-Lx4F6KR4)qceK8shyZ~*0MPmCS1li? z-WkgBuaR+tD3QIpiFl8G1CE9#>?lLYq>o3qD+qZ&g^et=524ur1v3lH;gXh0TwPYi zn()mXxUEF@U6>SoBHg3qaEpMZq?ax30vfkcFiL%70GSQCbSXVU)?{Fo_#xPM81hUh^Y)=I*JkXM<`eso(0Bc-KBXw3IhC}K;@nWf{4 z=6zQn8zDZRJ~4da2CSgJ@nr8X8t0psa^Zs7KnW@NmTdn?$H5LLe&2 z+?^g)W==v{J~uBbo^a&k$23+Cy$|ST zY94#$KOCZy5SJJvsq3{>qCVQy@W#!buSjgotBK6M#zSdcNAcF0jmlToOk>VY$;#kL zx_3S~8m1Ohs+7t>J+$FZws}a9u=oMA!=mUN8aX0F14sS|cQ`|D>VW_A&IM9!@u2?p zOs#^DO3I|#t$*ikyX4NjIqB^splg36rNHOH!Q zQ~ihjmuuwIdGV(qQRb;Gp{H6}YmEm5pRJACy53WRuM*s>R6`vxx}LY9K%FDBx%WUd zT6WET=x=Y>Dv;cpx1muBl*mrl6;@jYhRfb~!GQdWLC;nrJqSFw`AxMTsU*5nKxM-hRMA`zXTQyn~UB8p|824@)%%)`mc& zF09wZ!`zCFJP73EdwlKn1y_-!obb@15=(Wz4nN7>0yF#OOJu^Ssdy-+5Gj__tqqD5 z>5S$>FxIHQab$9MRJvkzYSz7Y)cfP(3I0vGB_u`g*Z+I!{f1=&V21xX_5MUz0|MYb ziT&RtSzp3sV;uQwGA`H%bh!ocG59)W8G=K$Dn3q}Pr?^PD~nY|Ryxe5*TV-xP3UpPA>)2>z~yZkMm)%~s1wa;9Ko<*0zaT8~nbgU1NjbS4eJnF11eLrKhfGupd;uv&YjHTcOcKkFH=xBZi_E>2E z4QBse({q5p;4gOkL%{*G-oI$4dI|U~E;hER zY?Y1gRkBK+K$kiQjLSKcWux?M$R>PBD9QrcCVf)@>~&WY9R>T=tV#s!mPBpxE=AI$ zANl+8dMa8(%us9wVzTJQux!o<`|>ez?JPs&ie*-Ag~Ot_wfIf9FT_dF#_N@vFtf$@ zN&b3mcfgkG^PWtq;Tmtgrbr}~m7e*OeOt=lSkYKV=q1s8p~K=ARNO!768;1#j{bQx z^xtO4f0#vm2`lcUEUKa>{5LoxAFNE(-X4J>#30bGHnKVoH|spaY-23cR;SzSKvEu9 zG{71y`O=+?yfHN*{BMsOB0KZ1B}QR(xAN&fkD|TJ4D`O@O$);pECHeN0D}tK4 zfCbC1$x-K$75kiLe%1}M7fej&b*(^gTs&7R*m@5^xT)~^S2>9pz8IdoaOxXMQ1mL< zs;-`{q@1A&>zj640Zx|msi8`G%xSOvyWWfy^a6aYV+A%q9(5J^Jieg>QTBSI$1BOi z_iG6!jnFd2WaWi zqZ3n)T?2$4zoEaKYRikFejivu_h6t!6^C5k93-~7^7{6VaKjG5xG5+8hp-9H1IVoJJZ&zXS zds9S8othy%2awq@^MGKbS~bb0}Dlz&myZ1t38b`iN15?FxyNk zIIfHaV1}EnT~*OkJ%g0j9f%tv0awy+_O)2Sqc(>0c0`vl6zG8 z$JhC6nVva>)oX^zg}EaMPSFc_M%-*2uj;*`Ml~_8(q4>mRA>Utaoi zW8?pYk^UX{BFjs_Rli`G!)0nVAgxh~q6v`%0;5>l)Jei&G(dw0bxpFgNIHh`v`J&^ za-8{Ewq@B?polxnzW9fwJ1k_1c?()%_@gt_zr!i&fb|h$S=R@03lM9=5#8{$6B{pjNOzq_=Zc{}}H(B=WYl*Ai9@MBX?q>uX0)ggB-sPV%F8^kW7w zni$l}v8IAq%8OMmdKj{g7BuJkg-+?OwWCH}mwdb^(Pu6}&;P-#suglbV_uS242Lk| zR~R`px!3^Q?;-a>%JHuc|LctT-9E-j^G9m?w|@`N4F5@VkM$)4qGteN5I_^O6cJ#| z=8C}AvKf)ByeLc$d3b55GQQVf2L#{nKi#m5;*2z|^*`17e){4ygJvuFiZDJ`HYuJD zhXG%Mzf_`vo+Mt)agfGsi4H7z)SkrfgFGw^4P$VQU01s zQnn=Ke}v%g@A><9`PI|QO7kb#Pe3jEPwo4kL6EZ2yb!AfK#-b&5&#-5%r_(0CRN*U zvih+JNrhwEkTzqmTNMK;!E}qh!uhD|bRm7d_fsp6LGoFH;q(}B$^2&2Tv&pijzWI) zS<`P3pIPw!_5KGJ#?6v>5j}qI6A?ki8=G)M#f+^ks}4guMZs6?$@wsYfp7(@la#^r zuB{O>0Xce2vvJ+TD6Dkc1Af@2dV2KD7%Bh-&$ONRDIx<+Hdxw4p&fF9kZU%T$={S| zi?#IGG`+ z%H*MwU6XUzq@M;S6bqHM1(xN8ZUrjkLE4*F=D%a*HgW_{kf)79mjgN$PXu5;f-6<> zl~GZ<+QKARoZVFT))8ipHX!B-;E=zG=CCBe+KRN zTbHx^KK}ll_s;qfVv+brspu=(*Ig%wK~echouAeD&pS7^G=pScA4VVgmxLgMf886D zH$%KU(m_{PrEu${Iiua@{el;$;8^;FUUKZy$`rPJ(LS1xI5uTIC;@#N96BchM9ubi zA`{-tP*pN}(}Y6i76jL~jK^9Q>*7YIIDY2gHD+Yfos*l~LHZ~9_T2eI$)qEV!Rhdd zTj4kJn|ga_Xw8#T++P(_FlF}km-7WxCt6*Klrt&T6eQPTQ?8|K(SjcOw)2{G!zTw` z;K8pD{O|cetTcb3+yNc=KY8%qopmpS-~o*w&yxatRzI1pMgRr~EmKNtct%jLrXMr% zaPc(Y2y`@?V+%O(;X%d$A8NMT#a0hOCkj@l@>JF?WuLv}x?2#2&P0US)b#uKacB)T z;8J(Xc1IZz$85-^lxl5#jWs}pINejZhODe%enSUiW+7-kgc`gt<8Kzbc0H zFBGP~4!z%zD^{96(frS?+<$LQk5zfMvozy$bffK1UbuOHty<2Fx8GG zVc1ieX0eC_#c`VAyOXbsuxEK84J~aTXQEc+!Zz)F>Lj>Gc}W6%v#M9018n>2)eHtl ziz>IybyON1R65>VVA8>$3W_7C&4e$qLglP^C&olt!Y>EE4 zH}!uV$A5W(y@a-;HcV>-3JAB1;Hf_M9V{8wK<+4$dFl$Mb(JX2m2|2r4Ux<`RO+#Z$3}a_aUJzOLI3advZd0*0~zPKoGl zfFz9Shc=8P$1$`46Lmg5Y70%i3mt(N-GHyFlbC*LLujphbEnyAti)Povg}Cb1a#MW zeL0+URzvsI_NF8q*r1gn+YVoCyNC2bQ}T_r%xtbWMx1;`O33It2@hj!w4{2t?YUmt z4S%|ff(`F7f-AHEVy8=pWyOhTWYwjTz~PZ$QhDEg-=Gff%f}5IB7DM@7kKhh>(c>% zb$BbxgQ?|eZp1&3?Uwa zEZf;0o{7^$<&&hj=Z53~cT3w2{Gd=4h_&WJnbar*9*MYX`0S~zk?nb2OYsjG9%}@=eW7jlT)+g**?ZB=kGR!y9 z(fb1`4ipHBkRKX8JZXl~ptx^q;8ecAnxDo1-EUk1R@#3W1A>MoHo8{$)Pg2jHoE+} z+U7dC(9|Dw&Gc;y@L6eJ(AI<1V#V+4qmyR2n?Ey-f2r(X#vG(8GiiYXjYrDc40WN* z((Q;ztfP~=I5LhnW5h7#{tPoq1An-9^4Oh?%T~D7uTzw&LAGFCK#ruo7I{JB*?ld z+^JiJ1!mzgy)CCPzg+%dgH%5XNYX31fFRQ6VBN&P)`osK+= z8ywg}CH@IUBllgscIL4%vN}ft{IwQulkDp>{F0=nyK3&Wb?))Bo0Kq`>K$`Co4$@} zyh{E#($=$XbGLgx$E|d8=IBYkCv)7U%S^9HZIzse(AFoC^&eBk;Zskk7Xoj)^uwFV z=bxMq4a1Dr^T7*yuH{}Oxui$%Y~0Ij-1B6Py@w=p2mBg##qZ`uetHIq$aW3K?{<~j zb95MRe<2&*?8cHr`&c-=F`N>3f3t-4v@09Vy@>4SLVjAqob+^>+v9$7y)>(ExvV4o zD1Lc;1z7gDWO%nJ8?JE}urQl^lR2(9UHn;HGLxlZ*!{upTH|T)M{Ha9#1-!OreZNQ zkt^SI`E8i{?R6zORb4{V5^`E+%h4%!>x%nBqoTo`M(P7X^WBZJ^M~<*xkNqKn@`Zq zE!*SeHkW5d;uOYaBShGJ(leh+9WBD16rJM+j0fH6S8>TD_lBvH9`E~u#xyk8ZfvZl zcOUPt;FTNctDhd5HJl$N(H%~nuIT3U`}KhL!>GL;b~8$wz8&R{9jW`WFQ1$%U*BnP zUG|ngY=-u7yMI1)_SH{*c5JGi*91V4?-8~@V;YwZ;?D_Z5!h5yy$LUGY{o$13V>QRCnAY8e==jF@NZkE{?`NMr&N^$s?&-Qc`lAf?PDN+k-Du}? zx!<1>p`rO3X0KFt%8_C3?v((15T{#iw?`UEgnaU-8gZ+({i-Ikib9UCw~SV~MxJz0 zC;@N`H<3*=pOwvxb_J`ZofVJe2D)9ulcw(a<{n~i0^Mbx9q!NE(_xHmu^78*R}LVJ zlW6QZ;4g_5x0(rhx;g7*x>c zQ;$U$p=#l?sAK|%&wr)HZQtRbpw|;N9)KJkz=*la76>h)`0&!Iq1aVDrm|>E;bSDz zY>OTx5otX{*VgxkRN&xfp=a7d70kk9_qXOY4qb)p(R!&bkUHod90i)XuRzv+9!|)D zsoDe0siIkiO0Ax`m~)HL_yl;#LCM5ZzUllAVOi%*boTbFw+eG_2Vgxd>zy@}?wYT1 z%W%8T;J$7_o;yXMw;{dGgenr>Y+^T@)`*(Eu_9qL3w(ge!V(r57df-;w?%SyB|~$J zw^~lh%qNfbQj`?MR3kf{E|@UOR1**IWP+U3)yO?#6CO^g$>}X%uGpirNgIG6aJ7#p z%HyZ@gb&34EJx4#Yk4kL>lop9ua!&Yw!}p(rf4E%g$%BG;3-2?6T66_yr}jZBZ;6Q zbi-?z`f$6fyKP!Lv$(uWq4;VaV`>IdS8I{ym#7Us+o+YPh^nRGbj`B+8k+YZ#l0px zIQxS}VCS}dT9dgB<$Th>jwtHUB24syM0OZYLnPppTL9_uDUDx#i5`qKRvj|0NxEQ4hS!!1n(HkuuzVRw(c#CggT!#)9h!;JtWK*$k z9{BtDpu@E(;f^R`)ji+bWYiq8&l`?DW4zfWAsx0iZUCAc0BGiL2U92I;dd21b_w(y1#v~13UE{0rN34tYZ`{{ zN`1rNiifG()_Z3OKHb-GhC&waGMJxJ#+QUCiYCh6$nKthDR5{kt*>hhR zeTBKK?TNdRj6|<07Wpn%>u=?w&bPDGH}8aRNe=6iWk^ENUfhzSYKHe>#>r( zORSbJKK>wBGN-kZG#P9NZv`(>Tm2FwZLIu56 zx#qiCEr-4JnHV@)*yzTNmrlY0%+=o7Xe2ax*y#DrhtK)VWp?(B6-lcVNnn4C3dVvgNsb84ZCL~{!}ygt zSni^5{WqCTb2?txbpI6%EycV$=1-#Y%$OMzy4SGt1P9AHmL|@_J8ZyJ&Y%YdY|(fO zd9pV<)`=y|ta5ku#f=<8&<7Mr9NuDD=>$AUik@j-iU!-TvS^(!;w&VXTDIEIOK zy(3dy864gb#WcTy={(q?_A4G~;5xWnA^ED#4u|rNUcOdv^=sD5HT>6SiIoj>n1-p7 z@w~eiwXEOkPvtn^1Kf8^@+4Q)-}&3eRu%OTpKsHxy0d0CbRgU2@1e1CP?4!U&KJoH zPW7ftNV49&ePIT*A6YS=RCT@Nd z$w*pTiT?Y^eZ!zzT|1%|f1gxPehAg^cyJo3*^T&v4WT{g- zkazD}k~Q8Pk$xcrf_!h4Qab@ zEg8R}$Ci-VOU{g#Q#{-BZrFei1zoe7dgAgS=k*s!AVEcPhhdF(2a;b<`^1F_>wfSz zA!J$+?HbbA)<0m1`T^0fC zghexVz`mh|U@rYIInT`j;?6g-E!Zg;DOD{Q>2SkPYgKua{n*nDEc!7=da}>WNBilr zPiQMPQ9-Zi1K6%<2%5T5ef`o7c9a|sVbkZSVu@YX%_6N{w}5)}g>$Fny<(0v`LLzp zJq0LmlO}bu{#vh%zkE|%?n?113uWP>T9~y)o{HnTQF%j?*FmvdU4itds8+? z?b5KbX*!D`9@YfK%ngv)GLzhTB(?gFo{V#8=4LXlJa#}z57yNkFHn)sljK()wHUH2 zb`F-PQ*jpIIM<{CMx)D?;>Pa!9t5Zloe(d^MyqcrRP2-W(*0CVyzqBE+kL)6%@c&c z@yE5C4f~Qx2FG3sSncN)GDGb+-Jh^@Z}z3oS?l1?>1-ZEuW!c*vy*9uX&eGf&+Yv~ z%2gMN#Qnr{TSr2%(lu916FZ6P8ud_jT)83%uOhTdU?y5`-8UK6saK*fk{@mTFe9X*k@>ybD!I$ zgUS_g>K#!yvonh5hwNfR9YO=*g&i1y*Y8~Gr!mE|u`QgBMy{M2qa4}Pa*nm+3mSl) zU(QHW3vgMDY<1B!tz>zx*8GYsgKV=M`lRgWij5=*bgOgc&3W1yeeG8p#;lUXz2Ks# zlFvI_oNNFI@WCgU7>*>FM-pT5 z(JZU+5#U^%C+A~00D|hkBPhqQBEM5mhuW=|ow~-yrlE(dlktwS$STqG#hybB1=to) zUemlzhZD&TU-_1*xHnAi$cV84+Hms#D09696d#}}t6hlpj6~tg-CM^?+Tq-@sumvu z)E_{-$E?3dtYQKFxr0+)u)R>uNLfA&lHH8}p{flv1;{g-fof9jKjfT0?VLXe zgxxL6`;NMI)`meiU&?`x#M6!;i47rtPmZfTFd{t*@CB1mNK22NMNzB1%`$UlPZQwJ zf1xBx1*YP--yq_8AUC_h^FL9Nb>&#DBPbj;cwC-KMkv@9Do`t1K7Dswb&@aWMh2s3 z)`r_te`@PKBaF4LZRm{#HDdl63Yc&7R_>O{ds&73JYQ;~J11Ju?H#$k>Iqy$j`1jr z-S}tl!j_Z4Ta8+E)ZK>~Gc$QjyG}VfA9P%&pn2-u^f)^KC*uonXhndj%A#TVy$3dM zH{D_LG97~|P)jk9IuYdWOUv}nmsSCntfYgxo9NqetY`IZ`lgct&fuh_XiuDl9rxK! z+UAx~h2~M?d1+0{U4GtV|4yQzt5;%-|I+9 zz~Q4RMAtgwTMp(@K*UG68o#~ayRDpORd8%n{s;ZdLY!O>9lHU$oDcNY^ylg)&jeGGM2dfk%2ChK6KPRc8#g$jJX`i zZdB;sUngAxkJqj_m~GRcyIF~uu5On|tz${ntC#H1tGK5w?3QcO4O-P?u+nu}O_^K=lRLNP zg{6NjwEcUb6vGdE6bBGKDDy`cFjulZgtT=6O_?+cllteiuLvaj-$pxv@I|BZ)vHV0 z*2%3vG@*zoycU(5DS#1?L=6=3^Ya+VWw4mnC^T$_Gwo4dl+DnPh&LX9Ei z;gua|u#fWQUOxZ%Qvss#4pmM9OzW_H8F!AP)s<3b4a|H2{o|c0_B=3qO$%T;M2%up zQIYI}&rm8`6gd)_dI^_3H`hd)i2{&4a4O&vgr(QD`f$H1TpXOb?!O(^=#jYy{EYdO;4OD5f~42l@BH ztO+9fByCD%C%PRqiYJB5dEJC*$J*UP=~=FiI@E?6twbuL+r$H<1Mg<2#9tZ52s`1z z@nck$4LD!$z4eJU4heNcPl!rI>%I}L`#NP7Ee37d5Nt-J^qv5D7A5rJngNkFH&apA znV@iyJXUULi{yJJ>Nbgv%ne;J(HDv1vTJN~nt(4C+5!TRuP4svj)5Qz4-{%M>6Bb$ zC)Pas0V^F7h+W+Um3F~oFvxIPeaVbSCQ3hk32~sW`r&;hYg1ZcO0?^P3a2Wm1lHCf zLjG+@VbIC3-acA9b!{sibHi1QEWLb~AVA2t6n*y-5@qDbW zy@<2`#IU{+RopyZuMsnd*DNi%`eDvCHBc7>!=1irts)7XHx_2TV%uYHKB>@zbbm%c zdIUkWd13L4HpK$VTRwF|Tyo^R%4lhd>jRVtMft({<*C%UX{XT?#r*n**;iG}{^@(s z{Y2g%iCxTekJT5qQGWgd%gK`C7^7Ch<96g>DcnH2U?x7DjJ1kt2%>Hw#mHn2Ar%Rl zD@CBE z>+NpthikD>r7@?@R7o?JT#l=Z#n%$Rl6DK4P@NU_91?A3VR z`GW)=#C9dZy}^kNY2FwtrXgndN?}2I5F_Q_fPH(_Eu>(vfqF*;VxX~H>?m%J83ET1 zok@u7=yA*?B$0?b1Q47<)uTvT?Ir}o{4ht8!#yp5z9k(1Z1Mi&dGnKV==R(BOAzY1^CA~uVQ4vYc zhwiQywQd`sc0Vbh9{1E;S&4JHZy#ol2cTZ5=U zeaotcCc}A4Z;&~1IF{r{nf-XuVtfwRaigfZ*ht+x9eY~8dwS`3-Wsh{GdZCBPW;xMh(9M#x#EBRr~D^jHWKuTx9Ll?SnTaj7Njpw*oAZl5c7tHQXQy zx)&%tF&A&kW+99;+_E|<=OA2WU2cD5TN8#e@c>>fpNDZ1D`;dsL~BXK8>z_=Ws6X1)Lx=Z)eA|hl=~B?#GEmdsHeq+;m9Rp zs+J4fE&I5mJ=~j*+lNRMM~iUAaC43Iz|)#56gyjQY?OY~8%tGhPYw#C5;}}6t9U~r zj3-?24LaCy-SM0VTpPt*;RanCIa11u+NT6w)Ywy-7+b8=ukJBotaq^-;duK>hSx)+ zJ>W=o+MVS>+J7(}KH_@)hC}FR35N3#huZh?LI3m9BYo);&M^O*uCSp4#+^8d!y;fV z$3rR*cpGcPuIjMX*o=m1aH1!i>Ner!X4EQ=!@|~g*T5F$h)vjAivlc<$pS1*?ytKn zkMJ{ZOvdF|j_0eEReXxO9FHa~TC_I~6IN%EGq2R)ScV1}S?1`o%?nyFG!V{)c;9K6 z9$dk?^@0B02}j~RDKb{kg7M!iq2EGA#FRsd;2l4L!sqKQw;l&K=R?1k_^i;RNyfEi-Bavoi6K9!u zFpA#j^;Y7JxXi7Gb>EfXn~MbNZ$#3F1G`$&iou%Ak`{$Jr0pK?Zn`8r@f20l?})_FZX=`K3!LY#eLlkfH6dz+OV4h}u(w z;WD?EG!Ij4y|TiB9^bCik&i+?n9p1ucvPhq3n>q;DbJw?tR^WgXYDMFU)zN@s>d{P zJ-Hk`$n@JO95rfE2{3Ol^w|ee@=PlqQ$0B(Fk}pZ6@w+)ak|Ab8eCmja*1H6w)eX( z&o_jy@DCF<_jA`q+8{z22aT^k2&7gfF+SEhYa@O?;~EMP_&)tjvZ1h>sIc`k25?l} zJ9K!Bbe*w_(F_AeU(+xT1H)UNVJz5m+2mrmn|MG@|YtIQwZ9@t7~O zzcO`cf3pCobS4todZ(!qtao(?ns+lr5O)PU>2k$XV=f+}>DUf2NqU1K37l0X*W?Hr zdOb+be_Ld%>qN zfZ4<>rYaRlZ|69Su$_Yqu0qP z?XV*o87B^>;2*if4-hJO+n)}xBj-VZ*Rc}hi7Y1~L@&(YIqn?0e22tk!{g397R#S} z?3`ZT%>5vgI%)Z&+V8?us6?G(yD&9RK9umKpb|FBD^_JbXiU{xVLGS?)t}=*SF+IH z{pI&P&FS|M%?q;%m)otDM%8af98+69^`@yl(2o4$4HR@Y~Y6y_p>zmjO zAN9Ew2!pM(nS@jrk6~||n5-MEzs#R^nQXQ8A}TmFaI8{~vrbXK4{yhg=ibY-T=k%r zhocI|<#8p!u|}e%emlIov1;ap8&p&HVx&2#ZdQH8#Gvb-;>s8-(Tyekv?b_vzRn@O}?yK?sJ zYX_kW>_GsWufodp^#K7%?Xcm&y6WK%mJIsE+wC;e1SrU51X3E!R6x2dX%Q zLY_3Mv#Gn%)!O6ZA_~!O{^77%l;H68Z+-QPGn<>=$C;l_lI_-55{)vc6B*CaMK2Ki z2zn~W)>C9lpi0LldRMes3B941b6gQE=_me`s#9{oKRRi&OJP94Wc#y`HKw1D-S0$dQ=4+7PF*oqZ?n=Pj zT}5hRCNq7#D};aEA*4W8vZ<3C8>_=w3v0wSwP!{MgT)1FD}Sz3B%J;I(dZrj0u!lp zXwBxYC*w4U7?M~`(nKHz>)sQ^m!>VP6C`Ed01~#fJa3U8%+in%);YutCM)!k#{$21`Eoa#zk6g3lS$H*$FwGxZrUJdb~p;w$-Pob1Gl5h99th3{IoojzB+oFyL)e6*O>8OI@FWK`PCtu5C11CfjNa)-E4X zAhBmHxEI2|=nzuqlh;^Byjx~sY~2xJg*54(fV~hT8lc}|tz|Y+nc9(@k~n>=3`rza zygrh$yj6KGq1Yq_FE$-MQRuxNH$fL_BVn{usO`F3$sRgCAwD&3S&=hqO4kgbrpt~E zPie^FHy*K@!P#2a&f0oPtmcelC1p4)rJ&PRE;Lng%~Y(*hI!fPK|;U(UEw3v>^f0T z+2a1m{=3(1*h=+0CS`=N$!eaYYIQNoyU=zd`|M~I%wBhNWOmpzYq+C)m}*W7mYN5U zyduV`aZbTnt1(oYhIDnIsE9F{L~!~f8y})$RK8eycJkLxE;L#Z(y%b^rAn)01id^8nF*_eFg_(};ejv)&L0bX9k0!Sh<cd z^rXikIAk8+gJr9nMGwT?vSF4{A?)Tg0gwH5kbJ}wb6_`YZ*wr(;Ze!%{f5G7cQ(rb zCCm7xQGW&%0&TD)L4hs_1vX81n|Mc|6gM((9b3@|@UizETy*`3@&i%$+s>@T3SCee z`g}{@kGFFzO%dLitOMuqbGz@mP*Kg)zC}SQ)AH`*2X@wTwF{mL*GEdzpGbBj!fbU2 z!5jT%*vIj8xYKlR5eph>mZt4K~@&Fom{!UQiglKwZZB&~JI=v%hfUj41ezFPSdA%GM!X z$Dl@6h{sL1nWcB0PZX0j1&&iZ!iK%Zwbf-y)LYXc@!lctZ-c<;O5aMg`yx2V`Pi71%r72DS5m zORItxP;xTOpJ5#zSKa8cHQ0je=OH7ka&%v{84k)j5@MwUS+^~LMFs0%uWz=Ik89I& zJ2RO(tL$(lTn=FMzV7P(GWFgZF40W8=i|4!$fe3ODbqWe%CrEP77gEW)UwNsc%4KW z-$KT(h;-Oc#mZA3W!`$aP?>=`BcQyw!v}?{0G=XGE?fanKR>0gn?SeSyTcY9iOhr# zvAEqhF;zq%#*8{WlQCCt!vS#hP5|%JRdQ858h0nAjxl(Je@bwg$-Z81cdPyYbzE}3 z?aFtXf4DRwfe&I6o=BNZAZ&tEd*^K#Q{Cl(mF6bw{Jy}r$kN)SuTC8gA@OnWW(z2a zu>SMyV(SEgXNin*fC%P2qCxpha^v*Y&`Ov&NSzwq9@`wW{6H+LRLp{4d$`F!fSux> zDo!|p&#q^^Y})A1joAgfT(TI{8v}-k%brb=T@Tz`MOV+&AL2F#?3*oPsCF|!*-5wj z>pa`UVRo-TNxG=GEewLfHDjDeV2Aj(ICxF$G}#>na*O#n z8t~9MK=UEO8F)H`Wx_I%m$_7HjTwj2XUSAd!{K)HG*c4>9^4#&#YKAWZZcTN%BR&Y))t zdNIn5CU%RiiKgaXICe>pQku@s<_3h0v2>xpl!4D+Bzc_J0=%i&i)yJ^t^^{t9Nn~A z90~UA)FHpc>q$*dP57c>!m>~Y>#<|6#dA?EFnO`YGFjSiFy$XM45}Y4m@3YQftRa| zlBxDy`;%&pS<^KWsyhEa#JvSnRbB8me90?a0@9%ZN_R*psUT(00wN$O(j8s}6#+pd zl@PG#R{8=864Ib_NOwrX{r0`&_sV+L=fnSfzi0WZ#Xk3(y=P|6{ATu?eP;W1jR@I? z*BEC{{8+Sd$9U$Xa!KgVR9?1deU=qEj>?H49Id5?b@xtmc)lHPyDeYiV#U&99|1`G zMJZm5&bemz4qG7CNDdpT;d?n*hJ^B4R<&6djnDPsxmBi`J(^D^dm3tFW7y1bM;i z9ZT-ZzLk7o-eHD5`6sfDLo@CMc+nJnA((F{iNqu_%tPQBMpS18v+vol5y}{!%f;`> zQ)NAU3spmJd}Y1`6%jt!$^V+B+;G$s|ECtV1#AM?=<#z;}cBzjYz2KYfANZD@MCg2iK<+5@q+%7%tkA*&4&F zIEd&PZJ+-+DKgj1;jp6KOkzSGkMH&;3v z{cfDV4~Pl^bCw$$Oa4wG=4+~bz!#;VOl`+e^2J%%+ETIywVKtXDCtUXlZ&G{4vaT1 zr27=6$9N}UPZw-FTywsiWiQiDQ)@UwMy-++gZ|tHOSQ~&`New{wES3cG)od{A;feU zrg#(S9H#E3W9m#UasqrXIb6^QF9^e5Lo?{;Z7NAKYH@{>%g8vOF)s zBd%*^@Qb5r8TyD`XQjHg)y*DPIHsO%*k!Iq_+8%(fAB}uXFbKk9WjKHsoBpJEjL#7 zj|7Ywa$c&Kk-AM7b80I`_FC|acvQ=nsFp*wQzfmqI=IcLWB$pzp%w{+1~}IbE$B%9 zlQ0;o79oY&C`#F-2`R+U&O#fMYT@W3w>s;txg*V|$Brj{)DXQ-1KZx9$@UR#-GiAD z8_|eHJ05)owqQ}M&bpVQhAouJx`ZrCw95VKICdFAIY#8z5iqJAk7Zirj*=xRd!GEq zZ}3HbR$^6z!D`V&Bg*V~U~c(c#Xq?cN+^VzK+1%EqHTD_fcwwASGB-k&jA8ALkrEfeFkFl}_%qhH zVZ#FYI0DfK-8vPSGFrXCFc^*ml+1U?>9FYv(>1r;} zL~R8n4KSd<%Gw-YfIj?GT}jT9j~I4f$UIKyC@x@N*-1ZoV?tExJZ5JYj7n9y7Vcn8 zQ<&D{wm8Q|ez)z-D7X(_RK%Q zZ2s|Gh=3*4E4va3dBQVx*i2(!gzjchtJ#oJhH%Z?v=%C=UG5ktT{q4Wyvm*wX7omT9dBthF9V!)XCHu;^|qECq5thb4XOPMZIjQn2_~)aCY)J(&a) zFT&Z{qT(q&X-qV@_LkFQiI$^(CSla@BT!&3{5m)pCsg%7fl=lx2yK`QT6hivPC=W{ z$rJu`hYO%eo!y$Xa2+FvsMZ{iou1zXg|3+|ZiG(S@P|A1)L&(-hVMWiA%#PiwuD&& z9DPRIo$wY?zoB*TB!(Cm>#7sq2YX9ER;6wkivA0naG4iA(L^z@+!F-Rh+bZ(Y7VWk z;Yi_yS<^yyLJGRWM{w*vs$Fj3c#&?c$2UG_D2cTAz(-j+IY3p$W4 z2lN&fdCz~i-_=^FG_76?pizZ=MgX1=VB$stoQwm=b_E!7046mOQh7eEj3CDq-W~TF zt$352tvL>;INi-E@LB>I5Leg`0b@mS0l=Xf%wUS#-Je@PCS=*M(GYhUai}iRPSxhR zF~POu-fRa6X?LGL{U~J;P4}#YKa7Flh(q~5I3s0;&x6E3w-FQuehC*^6rhjRcQycq zIR+G67z|4(pvMT!jw|HWB}{9x=h1i9yKTEc4!|tlXeBm}Ru8}!dRLdDHA)?b6?Ktl znGi!$upD?b0`}!=H(cQQ>rC2xbG=xsi}bHM=KAQpQUgFKB;un2rY<|40Ufr}s$Vfn z>hXob{g!DG2a`sxnd`E#}8+dE5DHT)6n&Q|J&gU@0n!ye;Ekc?V1w-IRa zYcY{z2hpVIGPBgVDUKE3Q|9GVpGKPY(s*7A#Zw%KlVq#%d=YC8!^rL^Q#c^Z@xDGZ zmAc4gYP*4RiMJz8-#(Vm!VP0VS-Jm0P25Q8M*?=fyEC3mICq{zq!FF0k_9OIoh%#M2WvNOb#RiBS)6%Si_ykQ3T6!zKgC|TCmr(`$HR=O z&f|eBhaC2pXQ*yNjK8s892k`!6FKUPgw=f!T4H}3E3=MV9i$aq>VCvY2-K9qe_U)W z6NiF4e1*|m4ZmFWx$P+?RmbLdg0CCkW(JgZvviR)xOM0pG?q6~emKxncFBjfrh zLkQCMLt1j7hS)3gOb{iKf*Xr#r%w5>3P}hdv9_`Vg~-Gp2CEoiLiZnKK@&{39vpK) z22L1VZ5VTyUDwdE^(7#dA<`HP1o*;uWs72kwiS>RYm0geTS;n{AD}lK2n)~nlwUSg zT?~s{6@eLk8JQrY!4j_weMZzB(vDOq4D9|V--#}l1>zI?6eD$o%|#I%Tr-t&Q6aV6 zfMaB|0+o^y!|cCI;lsKb#P`7!W#Ii89Adr#kcLT&uS^ijXzeVB)x;$%j5%4nGBUjq zak!gn!Q!B~Mr*el93rlcVN2hJk>TT>PVbX@qNiZ(A(}`qTZZ z%O0>AYHWPB5B2F%rS}10Y1D?7G$iH@n#c;;g<7D>=3=4D7(`{ttk1FgUG?=h%K*2g z-_bKZ;t2xII3cP?g)%MHhP2~ioB+MWf!nOnw91NwiOO^y+VpsQgNQew&tdjyVQ0rS z*)2mj9?VD?Lt;eeS?}ZDWt}Wx zZ=r_Q%q%c4Y;AgwQ}N3&wH+A_6N4!PK9m5=#g|nSb&agI*B_9h#PWq4#D3WZjdp*K zmAj4R%=rgRj0vD5Jy_7ONkJ+GkBC6%|=P(2!0K5XcDuPIcFOtaKqPOAuvbOutN{V?*o%tS6rn(vdcD z;WrDma32$-0XJvj$NhSKOb`xV!_IyKG%*B{Cd%b94?#tV-S)nlY;q$l5>=cKGB-$C zQ(^*5kSWils5^ARv_PxxqJbz2!vA^n1R994-v8n<#w&YB?}OuUnyR%(5NQvmd_X9f zs~Qe476Po*0=e2rKjrg896|@RUzdJ%=mgrek~#mfd--e~$@d;X~E! z?hE0N8*8uMkp&BgOL#U&I2BHmag1#bQTX5pLRyA>Cy)$?2UeMObiemS0|y`S_|9^` zqL3?tYDf_`-{kllQnUa=3Ui($VW4LqaTxL*7r=uP2*CeLpdkZr3Z&HV${eQABH+cs zC(6K~-aQ_@=(aV)KGz-~$Hn0^Lyfx##j|9u8KKb8q%PtfVOf`-8p0yRYc`O63G zCvwq?p|{}!2tfxS_{k~=68teTKJkAo*%2>=&n_62Loj%TaCRqjUxhdhsSutH7fOY~ zIU!Y_M%a-mWU#A31Ak7Q-qj&GlnxcWW-f}ly|AZ64^Ubp?!TiR74gjuNq%cpLMiEJ z*vRDppv@g(%G1znddma-9rw??9lR6{h1Ut^bTdM-<2LRALIgGl>_}l<_lSMKimG7a z*{*omV37^PJ^-Q7=iZVb`D9=VLIbNf)Rf}xGOz$ldB>gah?eOR#ME|{1gJv1u3&7C zFPaD)%96FvGG~$Nma;Mkh+VHhV#0s5L9qcc1h^1@d&tq}&ft}evz26{o0hl$1chPt zvIA(O_8E0_oPUZm(NHYIYm`?|;2YDg^dcr>W_-LhM*Qm2C|y->)dCXJLE(ed?Y;m> z0oqg-jIo269>!FyB`A|Yg1$6P+2Vq<Hmg(qO3PZS&pcTd2IVM0DiZsW)sIhkCCh(qo;aL24WvpZAeT%3WAXy z!Y9|j0sV%A5Yow^XXHhmjeYzH@5T-Sv#}URKv1D#W24(wB=XM{(<5Pp{&~&O&rQYTghs`+ShFua^IDZ-Z!_}BWkprmq;~7{Iw3O$AqVg;PsUdG)!ZV zNPx{3ae*buDa+`{EW@ew2PS#NXgWNAlN!Tqpw0Bp*|F_(2%Y6@R`B)W=Vb+XDD5dBQ{<=LxEh0l3#%w#;TM-tBv(=B;{mYPn z24uAwsSCf^*14eTh`gKhn>ZDOgijG6&u`6UT{Ln2#^`<8*tz$R8h!DReFY#-1Qj{a z?nO@Ar-Gh{(~`M}n1ZBZc_skHOS%9UMYwL*^^m5A(R7sEc<@&1!IP8tRcEaSK3BeX z56;NOACY+5Go~In-0j}k%p0F%T(Im=*FQp*XngJx$UHDCHqgYq9}+h-L#p)EYZ`Zm z2fBGcN+f8!`5GAvq|z3zBbNhxJalBPVjy=5SkB#7EN!uOlQ*S{;5&jgj|j5w1!Hh~ z_yO#mrJ6QvsNopxU8D%c;iPZ*BklOCs@XJ5oIbk55Sep~@y9VV!x%BzS%VPq7a%^2 zoK9rL7G_{l`GK2b@nhbY$FaHsGsd5)H4kZR=yR{(4P^r2L@l|aM%arS))oXTJ_f!Y zZCpieEb19@Q`OmDjBZQMJA)K4>CQsC@DgblGjN7zWpL2XAY*X`BIR<~n1?vxIQ+YTQ`rVUsZfA;q#%0(X!l_L#MPR2M)_x*JJLPP z5=`fr!7O*uKK(zLI6)4B5ShbBD{RP zpryt5ZHUbe5)VotXutdASf7KtmO-MX7p$vLAvj1npfU$QKmOQUwZ0puZ@in3a+Gnb zgCm6s1w!3RmT7~?g$daiGM}Rc)@$@1f{>}Q78hhnt(=yY6s*_4qRUkBB3P)B6xEN$ecG5%cKxT_*$mL&8tZBY6 zVa#1o5&C-Pn`Kj$Em?iY1?oB_DwkI~F+pb!KxZ%hP6DfIWXg|cu@jj(J~?-b5vtlu z3nC#X-shD;ummnkbq@w>+x2pVK5eu``X(C>iqAG<5+JMiLDc0I(RF`XWE5$aG07ja zn-VF>#<%E0`Oa$igAs4q+g`LWZ!qhMa-JlBlqxdSe><;Dh;iG>#fa?cvG@WmLPLZaQ!z1yr*SUO;VQ+OxiX*&}W zDA(8x3`DMhKwmR_mf~bbW6x)th0Lj5#Op>&%#PIFjGSZ)0(ZM!P8^b@(j82E`? zU>M(5xC*I!z3yiPVq|~L1j4ik0Pxtpm$2y|?%n&@7bB%Qh z(>)Olt#t z(wc)jnBQ@0nN|r)Z#;N|3cP`z*~VgjFylRdv$M?bfLrXYT7y*u7`E`79)JKbGI$#+ z88~$b$tJhZS23ip0ZWgKn?RDj@TEeRwW6+^qWwu49! zxA^_xMM}|BOHV#*Gk85zaH*`4La?q|4-0>fzbAED&sTGGb3U4(6E;IRl|kHP&cbeJ z2^~pQ7(8JqL2A~}H}$sNNK!b!Ax=VZGxSchiKpxd<6yNlyt85C?T?z|2@BOtr$Vys zDiWDak!WV)Q&nxyuMxLJx_MA+2h^jxFa6=Yb}iz<1@{zHbfYPfZ}!suj~Z_U<(&h) zsH^#sbh$@4M>rey>V>UcUN@!PxaO}_MJ>it>eT4k96q8)6)}3hPV?f``(9!tqKlS{ z^z7EHFYjbN8iL1S&W~lMvA8Cjui{0#4o55Aa*Q|bWW5YM|IC`S7q*t`Zxe>^){{bA zE+j9SmD*BZ5??VGPH69Lgegx4J{+1Op{NS*aVGW}SF^$t8=j>N7gAUjwUw8_nuTww z5J}ka5s27zanI795`Fh4qTakSy5nanu2gEOr4UBDf#v+WZkrb83mLcks;+!5OpP47 zKh;V zh1#i$@XBaJ0(<=vM= zO|=T`2_~C`n0_buAeLKmx3cjS(?4R|lNfP!KcV3LaFIEM!GqvdSAzJ(9GM*V@H3-v zOcHn;&E+edSQn-BETLWxeak|^Mgt%@Rguq0iv^f_f7O9~u=ZBM_ z%UY9M_!4JuN~52-8Vza9lIgB1a(BIp&iCpwR`=7jgf3i)4t+zXvstt}Pb{*x(5%sTAakf=5?9^gRK%(St#y!wZsZz1G= z-CusXrE8w|9^I$b&;^}K$)8IejVQEv7;?+}Qkw8TYx#2RZPbg$Hyb6-J^xaN`;_~u z#d`QPCWY+8S2XqmQG%4`;|1G8=Z!8$VkBn7@Mo#(IC${=5I5V@Cozxz{Py8o8;{YC z)9M0wJ&7H&qqXwui#Izhn>7U|=X$sb9=$JXNiwU}&uGP}%oRI}uMm0$hbK7_#kq=EWR79n zxi8U9M&#C7ppm)~HyT}ZnHx{w-pQ;NI;GCg+As$D8`mtoW=gvkT>D3TE8jXdY`M?e zbSTaq9!#tA9W*22%?uk^h{%2Xp4UM2yT#SasaYyhPp}tzsfQ{9n*EN}d#j{n2mxJh z7xX%!qRM`SPhlZYpKHI|&EJ*2)QrqPDHSy%I};lR#+|xA+*eKRm>XTX=fbFqEIug+ z3bBd^@ap4oYna&IbF?!yvBwn`2hZ5uGrnfxz^KcuCM(Z<-NeOVrzo@xxKsX~jRWc? zd0xhy>MY#ye2mD^HNcPjjHqH&$UlV{h4-tsaBHdFwlFc?dC=UNUqBetcG;;2#*J)> zFpBc=?sS1{ih|}Y!Jr9_2NvbqsiA|s8Jtin%C|c*vdIUU2VH>!Vnz86x&p_cit-=o zN)R*;btMFvhq?k(@gM36P$h7vD?pXNL090wK+)Y((vehw1NTG$S12hUn}90RS;s&U z$R?m__iSNQ6Hv8#k}awUsM|`u5RB;VF(Ig50ad$aT_Bs_BoooyqYF??K-F$>eN+=rC34UecusV;jyUR9K-F%& zYE%HNMppLuMO;K%8Wcxr`pl~{9AMgl<1?_`b1QokM z`+zh+2QSZV4K!qbph`4oAL>sK**?^t5VC!!KVfA1P=84J4k8ZfVl$uw+Hryth$6cb#WgvkWV5j%pq<5 zx~r`Tvxt-m1=^*E9bP^fE=zv7 zKPZHHyki4J`H;2Q{uh~CtM$DnE%OQX!OQ^Vtb0n{4KYW?eJ&cPp7lq1qF4# zj(;pHJTLSqQ)x2biadyKBN|q_(kfrkakk*TPyQl@O{G(7Cz9$Ee@=34 z#M!{M%~9e4hQ%8?dwOq~1RV7BEc5iA}D{OAuIx2)@hl$+@K2 zD^uiz(f!uY?C*(24T+r7gJY6+LaTqC=lz1Rham)%T0F))^B)1LjzO6O;N($E&LqW1 z584{;iCsDI zA;6gIZGvmm5T)B1>3OwRS8k*;UA54oCUt8)v(`)S$IZj@2qEp^EC>|#wFVV&{VL{z(jZ}Aaw*@ILO zp#!lXBbk2|%YK>ELt;7JF>IpzMcsy|PPK|kUHgKvCWH6z=oh3Uw(Il z9QIIDc>e&koki6@%i+jC^LXAt;0P2Y2d)%@7hgu5Zie_3^~D4i0oEJs&1~3NWv{r< z5eeEwewYz#gi?g3PF>Ge5wyIn!#9L`vnU5gD)$eON3l>sK;e_fK?aL(ydd%)?oYEE zzK!IKD0kgsC0cfr`uw+iP$VE_0San^Ur~RdR+;}SpCg0SW1Zh5DsTj<0>eNcmJz>6 z@TJRw0vTJxMdleGJXh`?;W1E;Bl$QKh@+Ow|IFJ#(0Ry%9?xS49D#*$L2!aHMtls| zdjIzmbY~Mn3_9u|A3j>Y5(*~6rtQMxe=Ps=%!eUJ=z88_#{-Ne--qWY9d6MfFuRO-jXH*-xq(!=1QF{u*whj8z6Xe+2X=wVAN;FqgbqT?1KAw2 zM6tjTfM^{UPg2H%kMR{>;*$LM-EsYk?KNz4OgtY9Vx`pyVzk^cTQB0~ow*s`_sCet z^n%eSmMS4*0j-mSuf7u zP_ES4lfG+b=$IZo!MLkI3VH33phj48;!3#e_43dRTp?Vv%xfnvYMmcuA8Mw2*mb8T zy^WlDo=Ipee6cj~CVdCurENRsguT74ebnd=0`Vrl3@I(%eAT)xkKrQ9Q3lJ1PZ@v9 z4n-bPPP~T#bW~RDpM`f2kp7RE5XeLRB|PgoCPHw)fov0}-NmHhiykkFwa#>$pUV}h zk<&X#eadjn+2|s+I8QVFH@Jz1DE}=tL;5@1P1jGY`+mexq!utKHA+a5rjazBzm>c2 za>}xC_-wlg30G##b5bdy?L`cs+cywLi0L3AJwO^%w(+0EbW}uoOr!w`*(1b+Oosq5 z+2fx$=c~bXb&fjwEK7OQ7N&FAL`?;1?nh|L9ts}{M^MS9_dM%b z?>Od*#N6gL%v^)sJ+Qlz9rR9X8ou-phq61PbQCHtef967U`0_QAz3~+t#{{5#6OGS zAaVtN?Hw(5yvP;2aQRCN8{R&?zH1B^&sWiyC4C-483%nffvYBJ#dz`!!Sj|%mIrpi zi5bWAv(UqHhBEMPQa-vkd9+i{5R6bXNPX=sqrc4sH4Ktpp@ZBP>h;S%%izce_n0y` z0$WqRA#+40F`MdTJt9dpaFcu!IImpBQM?{D%TwL`qe#@JA8NLuC(WN@BJWlEg4Ut< zr$feMpjdL>ElgV-x+5g82dF{^>lf4;r+<|I(4r%^?vQ2 znLjFKJ?0``=m@mjV}1^ST;wwhN>RA8%~O{w5&Kg`|MryVCuE{+wZH~zOYPy-@o_27ikop+`GJk$RNVol+rz^(zQ z;0*W(8icoDhVd%G=b|5c8v?_GZTd>l;xE)As4P~y5W<^7X3$TDW(e<9?+a45&=U#Y zVx&-7;Z}=pK=Fm}mklrYmNz`lnf97aEzT-P^+Ne{KjqZ*LZnGu>YvrOq3dWoz05Hzs3&lJD(Cd?5rSbMrgzqA?ZpSB5Cx6j1e{##%qn0iB8GpT< zo4n5)XNB31`8Rq)otLi849Cn&Q^j79EhlTlZ?nCA)0b2^`ol(Q#gA3E;8OmI=S)#x zUtx4Z;cT+aRAiw0CsO~cN^#+~@7r@$`I|D^XNf2s)-81iEmN4ybKOO-XB0As(EpwV z6q`taBTqF1KO_I#*}n46v#=k^9-4(?zQPna0^J>-Wg-)mWn-=Uc2`tttE-h1#V<)c zZas_fG@$bfOt{N|srK?qjE*02KMl>#Ccy)wH-_vrCTy_dUzn$ra0{EyK`vy=&>kU* zJv0|ScODkTX2;-byibuX(^XF3q-D)fmI ziK7@Bz6hz`;ATkV6zlmTSl@$Z!2@rJ+M4#ytnbIb|8uN^-D-b<^F~7%;06Qi7RAT^ z1Ksw{xQ9TfmVm{KD_GI24I>b<3f~xcpVKN5C~_(iDb9MwQ9-azooD}>byV+2HV?6m z+J^VftnUZBhgd%*B!Z22f3beG8U+NH7tILA%QS0V$t$jM{(4I?*8heiPKt~vUEn)* z5?morp=8J~5YL1(FENr}NEN$J!wqZFx0%(_F|TFVlJM>dT8y9g5vw88h)C9j4>SR_ z4e_7Fu^;6g7RT`t^mv(r{{No3gRqhxr7`eQvPy zn0w~0Y@GN@I-jZoQztI%QGxc!8=^7uPlYw?$9s}ulw+QXta@U)@vmtu|2E<3JQKjva_?F^q(0! zDm*@B`cw1>th-T*3It@;nV-I-@>Lh7K6{p(DXE%T@m86`Ic5Z-n2KAEXH@^dZvrSw zV9;>1D!m?V%y|x+33=!6AAN%#$EMos0^ZO=DYQ4xwD~UE9wCxF3>4uz5XpbZ1Be_I zq4FI|^T8&Yqd<@q;J=g?qbc;ZJmXEsZ9MSG+6th2_9%4Flu`0TCBp>b7a{{;hERy{ z_4Q@&L;S*Mli|gOK-SX)|6bwl4uaGGp?$3Y7m-`R|5+`LiVt~@6{G`7jzB}e@+y!5 z5yreRqZi=VcNJu~K0t4~V`F2xGLArv;`+TI1JulDe@*yqe@M>2$=|z1051NQ&7vSB z@ORL2JdY13IRfoA77?QeB7}Ds;kW$zc!Wg!VBXjQ(FXEr}i#{2^ak9wYPtq#OZs zjv>4@U?Zp;`JL(yPLpa6CFK1f;!!#db7SnHbI&c=gc+a9!Qg$W$>z}zraA0uzY%8w zFZY}KhY9h#v6W}%r=aWSLuW^S7Ca`%h2d^0kow_k>jyhu%B6^8YxN z#RoYpZoeCQ(u>O;PaYLdN52xHQKJcAm6ya+LbotA@U`y17fNYpf^E~Sxda#FmY8Y2 z(d{X<9q0OS|Mi1y`Q9%ZzQQ-x$0kT{|EO*7faLcOJGxpL!5}ULvbmIJ+^IL&COfX^UV8VED#Oaz^ z(B6@mE@@;=K*C9W5*uz--WuY|c>mT?hW(xVD+3q!X%^1~bKzM2*S88)u@_ilR|BFV z*xlad!>`f_GP?k7wCuRX(#YJ~(K>mgY1 zfRCnMJ&WpHAs;(k!2`huT4j$}6xHdS&@a7~P1LOPV(8L36}Zfn1N(-hc~V<_=-D@% zF+;|!ihhBZtJ!ZWpK(yP>CxYPFsnPLs^T@O*T`Y{8{8BcPP1OJS<>=Z{nw>Se6iX@MZIhz<7Wz_ zq9@z~C~wiqI2~a}C?O!70N+6<1U^>zFHTQ%e+6)Ozwa@@kM{_t_y6B6cp#a*#L1y; z@Zjoy@tUIhON7JnI@X&oK*WeVs#eezkN_2mLafs*Ry)6Oc9pRhyUYG2=GW?&~7rZ+blIw$w z!QkS5@&fw{i^HNgCcYf?4chC*qAxoMSci=1h(bo zUkw(xV3+iz!5~Bl=lR=JbCo{aww|)7M0eig-VgVZThGcm<$e~Qd>5ff@q2n>l-Vj zs`;(!cV|ntm$!vWH>Wq!5z9i}qV7~1;RY+*mTKR>OvMJJl@7lvZ5qnhR^7<&+Zr8` zSka}y8~l*ZD>{7t66b4p zEWyJo@3zPqD(TlUH?VtNYfCohN)T$3;Y-nzgmP(j`1E-)sJR3UeWr{3q23(+qD(_d zWz|I5^DLu`^zGM(rAb{cgw)8pwfvPF`X&SG$(*xNy>7LOqECuSw-%O(EE`PRs2#ER$D?Cum?KOi5EtW_r z&(Jzy)+XWLfmmP^IA>vWo8zAIM4g$FjSbnC8dbc;bh`QE!lGp*z+oA=;oa#qaV zV_M%{4$tpynSPD-g>xhL<6@xSM0ag!26fzW@nToBd8fBPsEzTt`JRbnIV(i<9{&ia}o&NN;jeCyoyk)va!KMd9j+-p-( z!pKVg|#Y7w*C+AQTb$Ynje$O73)OEcRIQ~?KHMr;2aM>d>lg!~~c-%V0;LZ^)wDeT* zFs^bv@u&KvX~OJ89QTXmqBU_RCD`Y5V;!5Mw!*(WA`;fO&aOWFyH10E(;{K`DPBVj zhI@5*(pu~1sqsn^v*CDA@Y@^4&^IS2-@JPC?mo%LrTb0SwEF~XDw<_<6<;N}UYz2) zpvtdvHTVjXQW&WTZ&zFeUv}e%#S?|PiBU@#)nQx$T{wiA4LOX~`6htjatc>AD5Ra((tILw8fUX3n3bI6a)$Z+~fK%dxdS zAb8|fMEGwpldb}|Y~R&jj~IOFb*8p;;YTBWmufbsO6&JbH8m}} zrt*kwlNdd1E$1n&aW8v3`>ptd^eF#AI(4>2S(s6Qkssy9gr4--v-eBWloGeEhFz!Q z(y4+O7c=#T&2&_`U1Qw-G|7qnBkFuSgC$Shq&_w*pnbkS;wGbr&2&(<6<*iO#ab8V zlw=Lt3eKK;?K(ys5_jomoRU4@FSTJtUzi%?ChpEDSzhxs2)+6)>ds}y%^TqU*Jhe> z3hgr@maj7I5b1m?G`5((p~6{GBbsWAM+*puHjaI-;QyYvlkS>c9-DQ}MxZY@Uu|Jw zK8=yfOTJTer1TFtdaQbL1b8+-T@ydCL87UeL-WIUTsj77oeX{T(F46na%FU&#HM2F ze9Cf9r*-z&hY#ob{8C27ySk0poxg9;HGYn}len=|>@?A`^6XPkaibXDC$8jo1ylJq zPuHgUsKP|7C|xz>7>drFndq-dp&$1Pxky*Qblur@eC9rJ+Nj*0{^$1{^X5#XUb!2Zu;l*JCdnOdQ(__Xic zDGS}_lTzB?l;>bQ9QPk3H9Z-<8F|kIa?7N7;)+*wrErLBHh2blN5nlXbcv#wq2|+Y zcZ#j~`>c8LR2a7gcC@zG4(*{HftMX#iv6s2bAfQwbI=)mP0uo*FXNDy19W9m-`qT} z(>q!~FAa)hL{CSWl>npTzG&QD)V+dnxadDw?ETC>4ZOJv) z8Ode#oe#vGul(Dc)@Afxe9<2Ma>GeQc1JKL>B|&XA?qBBwqMiv^y$lr=I7Be0Kszo zPYuo@+pD1MkWB%GPnPu2W?GOacv@9dfLn_9>9W+g>AWZ>{Sp(a$+HeSrwamHxi`vJ zYJ`d`xbrxvr$Iey7>(H3s3BoA%A+?*vla?i7_uc zr%yfidxNORQtM09zrn;zNMx*R**623v+xn$Xo&2HN~*L3*zLF@e(24A?2@awN}SNd zJ$tEa%dS)~xRmW2^=&KLWTT3+U%bw4Gq3c!Kax67t}o+w2A|oGfkc5pfO(;@}q0kzSv2>qjVkfk8xVb=#z!%`q4Sx=vk&gG!m8E z6Nt!-t|$9=@wTSxSYU$G9WFOcaeJoZf^n9i0RSTPX>GPK0ZxT(esnG&^)*V6zqvU+qD4tsbTBuEg zMX2Qd_)YmG1C!E}jc$G|qb#6V6zk^~O?tXW&eu6T#La#qjQCLeX(($VH8ra&m0A<$ z`~`zVu~lZ1jOeqHC4yq}cnMi^=IJnCo&%gx*L7a}%D!XXkVPnoq`$^cIN3wh++k0{ z?zHJ%@nU0*eNI~6T+he)wn=@$Q20f%bp3A!&2uY{2}=|UahLpW6U9aCs95bV+HVqi zh>~#hJ)*a=AAy4VL(7`$`0g_U3O2xxMZm7>?2}XUlqUKEUcya<0?v2$%X;LK{Q*|%=5bPrneUo3JU?;(gKEAaxE=ons<}wZxnN`0|5U14 zCfRi>eh`Cr+>}mp^J4odBvDa-Kb5MDqp!cRwC4UdXof}EQ`3h;ae=<<+l=QVeQp>` zU_?M8stdpSfeV0O+V>JZ)BmRlbw0moOD2NjZwEqu&ZcRRX|BAHAnD70yp1R zcl%fMaaC3HF9r{iRuqa#_EpcSJV?P3}^h*jO4PE3NX}j z4>N6-*P2RBtnF;5rSFJIiWdB!p7u%aW=tt@-4&@*t>trQQI^4M~ zk>+ZM_FP*K|MAoF&tKlRoXLDum`KB6d7ZD>v(wr~wQvoquteYRD)&=`?P1Ph*6pWF zL@^YlnA#O9>bS99n!mUV9=OiITIM+1zc~z(UGoQ^3jH8e{n2E=j`X9-f_Zg9LXDG! zx$|iG_XmX@lONkvWvIMD^p#k*<73{tbKZAm%6~{$RL%eSrDN*@^??M>&BFWv+g_>-HYwz}vX`VHH|I0m! zZX?U>vz+Fe@%%9jM4wI5v~m8}&GhcKpB4#f`cu2#U!u)h0Q@)^*TfTSB*u0o~;D;`LAtnVvE!gtV|^BDJ$G=odj)eNUOf? z7gc`|tB#C<#jN4Qhzi*PrI8jz7%T^FBj5i!>CPK&NLVJN8d-R*MGT80t4f4 zbEqN8J~bv?37oGN@hzn9b*fm>0@_VL_^d>cYRWW{$T9GTcz#Vycno1lb&5j4CQqpq z5y~yqjQQBT0t!tX3#aZ`RlD&ErQugq4Y!yc0lTliqBWna91aEdBb^dIH87u0E+U@^ zx0N0%CE>{(Yx=bbd>^=(Pty$L`}uWVe4(1}K2MZJv4cqLdg>Mq17B_kA${JRrcWAP z5wZCgby@}5gJOya39WFO_6iI`bJOV)!1r%0KytiV?wlVFqSo--VKNcbrO&6}dYf?Aec-PD@=64H|T(SOi%E}FmqI08f zRQj*S5o#xK$jjfjM3*(uI`H+CqI1+NLAN>3nVu9GcvVeGGn!oWjX!FkcT+j;J-c4| z>Abs^bc6ZH_r36w`WDBT_L>Cx!Q&bY!oU`xXm72g` zc|AYJBEVBL0v0nJDbU7De%JiFFC%jl=VZIY5U-N zPRsmcv(>O-FoM&cex;i7Bw5~6%X1an3yqdnysJIXwOmGe(hC&L87sIo6ry{I-1%5) zsizz`O;+WcidTO7iw~C6o}MX8K|W)rx7<^7zP!YE+G!DAY9O@$+3S1*gP-En?ebC$~143jZD|D^Kbd*0+t8P}~r2WYGBO z__k+;vD;J=ICdM)Q$|@SJwYlv&7j|~hVtRlKODYVFEdWRPzA)k(smh{y!>bL)2Zh@ zAl}c8jwNB;r&frw|F{3zF!3^-Wxi!W7{E3E>B0i;GV;9O_jXq{wwD8(=@+_dzgWro zlf1!mqhZqgPTkag<+oFfQcA%B`WCbO`bnmB&oqp_&x>62L(r8~dXEqs+>dZ!777jE zB757h-lm7fJ@1rysiv6ekZt;^1>ArIZV2ZFH}r7m4hwvPA+14%>1%V{SVoOGQAsu7 zqtS%jHZ|5(o*b1;wt=O_>G~K?E(u^RE;*J}aqy zmtMO4d@ZbT47h5J*TBtJr{q7}?|Wu~8$Ena)LR@P)_=;m(x&LPNSJZ>7Pst_223~ferRO-bV zQWyG_t^*_QxT50CmdtFMU5@pjre?GR1R7LUrr8di{wcEA4%wB$G4cw)jFfFS1YfW# zp1m)c2IFp?Vc%l1H%e%V9KETH)b{o)d9MiaUNln>jlThwyU=)#YwO}C;=r(I4HF`O z6*pmHL@4993CJ{gO2ioZkVyJ>&+jsF@8>5Od~SqM>(8U56bKkE$$`LPL1Z57u|xJV z@E{2CPcZl>^3y=mR$+-C=6L9s{eXxu7#UGaD=`(ba)~YDtZ06eSoj3#c4yC5F~qc{ zGVz%lga$h;4mpw65ilnVhRnRk=p-Ij!Kw{_M&8cNd}{DPNH*$M3Ts@E%X5Vkp_@im z@7~Pptj>H}-@{kmZcG@$UUzsaWCuN}I$yvp4`f_!{Ch<&@uBv# zV?lb%TKTi&^BQR`b#@}A>f`h&0y7cA6F1Dye6x<7u=jYJRb4_DmMrl8sY8qTJ;MCk z=J2z5^;ikZVzbspLd=3Mmsu-rSU5b^Hys^UNlv}*dW*o!wUv7Oepk|vPIhzkq84lK zjVRUNWKUB)>zfoh6&3*k?`bvN@x4DWyyXy>R{a)`<0)V(rTpHJu%P8;9tMuRiHRg} zzLduz+M-89o?y(M#gtHT0M*KqF9HW+-_*W=X=T_(N#X2!b@e@rpYUSt|4uuY$ z#EackSF4)KyGVNEq8a3~^?k9nd8V;BN7y?Flw71I(Sjo~?m8>Z7n4l~yXa~7+1>L} z-MW$9Hk=`~WY;iBrnaP!6PB0`9k?B}wT!*~yO&OJqhGTtwf(2V=_x(uEbJ&!-Ingl zDzKE&VvtW%yyxc4xxMi1tb!Y2v9tuSu|bC%yWV=DMu!<2YJ6(Fzt5l$6#l zQln)?s~ck8`Mk00@>&y+>O)V*#?cuJ4iCCW)G$I4ES1un?;hwv;MB%kn|DRkz;EG? zM!Hh|tmbMzrSAX3+*^P}*>!Ehz|bHaO1C1?Qi61sh*Hu>cXx|`l1jHhh?LR@!hm## zfYL3ggdjcNHG=}LH~)Y9JlxOwe+SCJW`;d`uf5i}&b_a_))HN`*l921>$|hA(RTZh zXQmAvI;sA00ak;DK5hF@j@L)rsn}Qty}+L~&YSd-ap0>zOV8Ds^AxN@vpk&z9VxhYi~|byK-xePmF`x>>7VGMRc7!KGEd?D8kDs^PIR z(U~Je3fY;CZT0?X?B7{13=IY9I!H+&JJ?@J*sduhoS&x(yvJdkA7v z_6R5p_xEE+70VZmbxAG-LPP|On!tNov$a>|7JwV%gL4c0zZ`ew?BmRgDKgT^ey$i- zDn>P8t&=}Uwc<$)-i^{e_$nUo%i8VGcOb`h=Bmd{#p1XMGFQsjprl_LPg1q{(-dz` z;4pUm=;k14Umv3XU=VKet8-d=(>(N13fg$jAh;9pYYpm_K`439#%-2w@T?0tmg)r` zT`2^^&@}Z_-2}RJqOQNqeEN9CqHGg=J!@yk=zwkx_r_ITejTJF5uv<|w0chj$-SIk zL3#lwpK}byQEtDALBBebW6F6AvTY`aw!8c>+d{JjE+{RUDbsULhVRbwlH5oCeaRbl z7GE8V)km5%6wf(~=wv%Q6b$(BEoX;JNv0|uJ5XTG;owV>N0v&k>wd4tHQ{gBr3Mo! z+jB(?4hhuWVz>9;Cbf)%$1BE1`%y0vwMB;+T+@h*+QiJFrHq6Vb(z*w2?~K%kKrgj-B9{Dp)Hu7V@H|Ks0|5xUNC68NOtVsg#Ow3n*FwOZf*;lVH}`Cew`aca z-_l}ZrSRa)JOoOcthYKeJ^V568gg6ClhR|nYklVt<(08~V#@tcKA&>9*Wkfv3sRrY z!x1`re2>*a3%>99A#LO=L=0n(?_KHfc3%#|A8U?VN6A&|6Cxd%V9oU5uF=w!gDl&Y zRL396sPEja*+^|)GuvgRzcX91tk%3!lr^p#n6p{(Q}eoOqksbBE17P(&;E9&F1t|W zhFZOxiy*}wlj%0g-n!Vp2E_Y$Q&i0?dfA}GRS3e)-NlMp2Je*-UG|mD_Q48>WnvfQ zVQ8L^*EVP7!SbjsbraK7AJ<(@RF-&~0pZSSLSZ3+J%;v`rX755hU!=$@3oJ~Lf#Lm zp9|K~ADSPQ?9#E$35hLYZxn2YZJQr*d9Kf9*+8OXs+%DJhC1558(F$lOfyozH%Q=k zOu-Dq5UbrV#cSmq8AZF*dsmNsm3y6VZ|eF6F>bJ%b^N?BkDH_BL#vCJMBNlon~Heb z|E^BNtX$!pGW5x? z_O~&1mS=CFQqIL?<$Nm?bkR|tiEP`wY$hZ>*KpY_{-O4`l^4Bd5D}4H+V+aP?RPJ) z@&GecuERdF`3%mH%!FrNsvi$ietM=Bs@fpciZ7HrQB+@yyLxNV$V2~Ch3#z@UL+lj ziXF5{|8U~gG`71fmp7)w={=3AczenUxV&5E=_Wh(*Y7dND-X*JlVoKFFdcX%YBX=D zpzZ6C=6a24Hj>P1e5KkT6B-&6=<>_zwAgUWeUz$$;*ofzx4HsLFeR`zO5uRF15<|e z4kz}gckz!q$xWOtkA+2k?YF162}SJ8AZv&SRS54^-R>eDXnUUEQP`(mxhqB7++|RX z{(ZxKBk8u!j$ZkzS*KL$Pi-A*%1F51c0Tw=+9Z0J3z~#rU+oAc9=j|DQNNeGZ7itZ zvvTM(dwA`@K4I?ha#n-GO##G>iatJkfy4sr+IOJNhs@&<&S19#`d8csyytLsmQ$T}Z2t-2+Q#@Z>Dq<0HqixQ2!T9A9QGCpc8k1QW zYC6pqWaERHaTrf&8}A6(Iv*A^EGMTcXK4t!%DV+uusCYG&JNT((0|F?JfLUg7yH6h zc3g|HQgZq>TZqUt3!M>Ui)zYGF|v-HRHW|&_LH^0V(rB5ZzPPT7*s^Qh99CnlzKXeepxYe4t(va2MnIrQ}(JAO39x6N%(9oA0$jh6CZXkv7lYvxc|#2{Ugi z4S1$@%)7q$_`D-@JG1cMCMl*HSJIW~v{GGS#w~aWlR(mgHE(wTJ=3Nt`_@30iM{v| zC6A<)uw>2^Lq-4F_b7EtForzhohPNgnZaXsDTB72hG|23HS{>5CeH#dU!&^`V5KWap#ARsRmSSs8o|6lI){&qOHqc|O27O&B8Y@!hU4xivpg=z8ew98uQ@DQl=HZRWT<^3)s1B*U8(tuiB(ont(eK3=M0piliQ`iD zgq*_c8!!5Dz;b$*zDE3IGJ10b`DR7G6a;?uC@g{QDb(*Mit?8tBR`5hptN~S<0-LC zGQm`*7Eti6{CmMR;TqG_psy}&4UHf|mmlN$)jQS`dAxODe5>3smnCJN2}N<(NHrrF)*oa?`*DzRXYMB@FZH zByYB~=k2wlNnCSXYAwrlSC|*~2J~0&c1uTh(kSB~q!bmrJa{gLUGXzgE&}=u_(_fh z_>GAu>23eM;J#2WRkl-nV1|0Ns_u$mDnTaERF|)_uDKo;pQ46*p?Ic`Fod`7wwD?@YX^yV<7Nh8&J zZ5cZD*_hW}4>S8cV@z#Gr%HxroqJBz7m=rLi(Zi)FNa=1*G^yi;S*gf@Z(IRTsZU_ zdIicA%`O@8mh|8EPt8u>n2WG|iY}SyN)$yp zSw)y0Qh{Um!G59q$~|Bu;(_IG9N9CssCZFrqixGJC(2HYUV$^`zieUpaYk8`Winr3 zjYe@Yc0jl`Cr)dTKCU%@`-5LpHucL(m)~X%=##WDxV@#c`G!`Jd^LT_Kw;8cu|PyL z*0W=Dyil4tgrHEG9r}$L<3;LCspd&v%sW*NyKEt;t~?gqb9h2B@cmxd1A2N=Az0rt z2kL_|dpoqhlFn?trvn4}4qr{rUO7L#^XI*ry#a<_dp*Int|)#NMl4lgJ{yMnRBonM{Zi66aA)-m9IK7{5K`HP;v zR!I~aeJg;|1tAl;Y-|2mwU{;Nd%IuRg0F`vZTuUlZHh_$I+uVS@YS@Q(1u(9D=K!` z40z80-0>Dy{yvT8lhwyB9p~X9{N_N$8SuQ)-pP^uP0z~{0|c7) zo+}DA9KFkF-S<}0UAn7uO{A-+Wz&8p)1D5Jn zP2+XxC*cB9ubR5nFST8C;Ae{$1=6~H6m~uyd!L5YGdN0ioC^-}4ZOSCxAuLW?0n^u zpeL-!v&(Qx3kvQdq3)>UHu*TCzrj*}(XZw1GKszqZ9KY;)?uDXBw znd^1siLb`Hvez-y_il)W#m5c1Sb=OF5XY@sPgV^9A7olZn3syJ)+yI4_be_nE;`PU z(;VnVr8ypd{K}E1 z2!iqPvXWLxN1eyg+Y&K(;H?oxZV)_{U~lSoR$rhFrgGGNOU4uee9*1O@4uJ6myL)} zqcoo7E{cWEHR4^eCe7Kx5y$yp-H%`qMP5CfQIFP?{325&7jf>nNd!ntY1 zcNoDMtNe-`=hR$q(j^{JYvd8k@>yeIiLVXO?ywnAh?!R27gS?tO+s0ngRi;~sg1FFI_=6$(I}6>Xt7zxwM>ZZISJRUc!DHJAhpX^;TO{TC}`Fe0kNaWdhKf zfJV*Dn3f=>Z!68iv^G3r`BmDw6jtLUm#WC!JZ4tF!+wLIW!L@G3gWDkmIr1^r->d% zuPxG+BiUk1b<*OH;i|f$(x7U`kzDn$O0*xay9HgkpWCjR`l{CBB?=EXZ?J=L)k}h? z{JWi)&~x4MmR%84(R0&tIdr@NVtivFf&U0hd`$36NCPD5Oogg7!xFq!B}jRJn($=^ z)*ty+1P;14Zh&*VX4nHtH8Y@Nols~_qOsu^Gpv#szXFVPr3%gM-i!h`DS6N`?iSX} z?Vko3YfY2CGEk@c1+nik&CPCU4>$4;ced5J=0ykuc01<~xstAP&saSxyJEvRhFo>Y zHOY1v?6zC6K!lZhB$WYj$l*%ncu~+O%2xu~aEm#mY&ll*a^5B`BJLOK9nAQiHHT}vEY(&PqX>5d2 z%F=9Wir92kfMqn$vBt2$3$1$siwg}OQR7D?x$L8-`Ql0zAJ+4b~LHOg8m-(j3GYU_~lOSYsFrM^iZYta$Ja>faU z28F;Rcpi{7(bKxR&ce}SlMRb`Tv!THAD+yuw94(Bp6(CQsPGyrEmG8n{$fZBM`Zqk z3U;ph`{iw9H3p0O-Hd%yywQ>?xj=~oR%K`omQ zNk>%2UoQ3W#`FBQfiRnWZYxdolChSk^hhqo87p8yKPH4hRIN+pB(@(HCf@;zgaETE zrU_NB$}lrt@8W3TCw!>ob6Xtx2Kngk?P0OUb$rT~BV{4%Bv&-w0CCDo-3oRXp3-f9QUv_s20p~dpYh3=GQ zh%aYVM@5o$LlBk&zc3r{e6kK4g{x$8h>Kym0aq!tjP+$S)`Rbgvz_HfC1+E~ZZeS~ zKiTFj+}2moNQ@ZDvCV_823EsggOg zcNf*a`G!jrQI(%F;tJ{zttn5p^^5c$PoXQRC93tb91-{efcH{;zir6)BO0=@%Z4#R z;0JysG!_yABpOWbRQ2-wxR3_YbDPj|Z-lDs8CE5tS|AM2p+1(_ST3_wg{i;JB5!O@ z5aUC7!;EIx)(=fNXhl%XHc6?|(72of#SIdheuSJcs$sCsSC9_m(YP0RHWtDVTru^0 zAx{~pfcFa2gN|NDX$_73>dC2OY}_W9;KuXd8f8@ExF;}`z&J=~M)~JcH3c&1dXofnZO++1{MvLG6 z6`V8J#y#(C@x64~`N(@h@eprHxt<;jFc^?ES~)-}CSrXx2>?<7KY~!Mat$4$@~ZQ& zeg@2OX4~iA5Y*zc;7f5T3sZ6TtlDK`DtpXD4Ja0YdQ=gEiPK=-wRejdg&Ju2azu)9 zN1!`XJ_|R(_%_K}A3Ojfc7WOvK4HQel-3F>Cjkf>di{#=U_Ai2A>d2CNqMEi)-h@f zjX6AR;dfvTRtK>7$x#3lQg~=>!U1lvaVfDyE>IwnhZ3BGT|S|4xd0$I57=4DehlR! z3rINhF=KuKOb9isEK#Kt#DfUqDx4F>;Db!H2J5jBv~qGgk9uIr@M>0N*Q9e?+OWE8 z%?fRYEJN{V6h$?YOR20xhVaZVx`|#e3nwYQP`KKi31568R2ATC$??uSKZYz-x#W9B z?J-_Mi70@&rWtFK=zui0f`>1~VaEOJhq^sDc~p7ac({1DFQH{`{nYd0$aN<>4JX0< zYi%iG1@v=LV780o8nThNqTKYPDrk&_>5%uJmq5R2=o__pL)A#N#jx8qG}F`@ z$!dGe4^gekUV(uvz|kfkG|m_JG8}cDfCt|=USg+G&=SCyk6f!dV2Q438~F-gtKeZ8 zVDjkY=9Lnn0P}5Ob;DImvb-DB19(-%B&1jGnyo7y_nA|Q;P|cJIpzW^mydBD$(`KUwST3MUB~%vh19<8og+veYpt#@ql#r0Np|hWbr`5 zvs~60yR1*c1=!~`HRVLS`wlE2IMEky3NyKA>K#tm&QuoskuekACX>bK7;gdLmqUe* z_4w;|V8m+=DBUn$uBciWtxux6!Z=_UKvMf$B!P_@zm3=!ksIkM_cyNLJo}0M5ThoO za#jsq8*W*ssRBX(_yo*Si#CjB@<47&aAW_IJ*`+vd?E#L=)^0lpSs>JJ}}6Hmhi&jvgKwNU}R*J9zXu3PD>^UKk<4&3ySvC94;%N&EO%1z;@(N>JDO!i>V*`orVN2QbZ3 zy^Z5S_g#8~){bY&x}H3kA7|KDA)uVV4$amn>%*+7kr4o2>KKKw%@0CLE?e~QNDS9K z2m{zeST3RZ5`Qn61>YS#?Zs|%eYDC>iEBA9>`^ttu)up-_v(u#xX!KwaCzOXIiKv% zfG@_W=abJTDO%mx<}G>W@fudJ5H|swYw~7n;UYfM$e+86p(D}+|6&?W zi3s_v7sV^p_SSz07Q24bHfh`+AVyaV|}7Rr+^C4?CI@X)U-1 zXncH2;4GfaUccd6B0hkSi!@M9wPeTg8v9HdJ<`-@3{P4yr7mRI1n zgN@wpMj!8~aOZ^IM}d;kgtXVz6V8wF-rh#U4anE*C)}Atzm~J3`XaYoHLP$E;}sNZ z3lWSiMfWo@DJ3qcGAb?Jem@;G?n9HzbtN6x?N2a(6@ruCc#uYB%F%4`r+WR$9pO&l zk{xuPv(o=nuzO<_4}1iXLl1?t;9)kIw8lMcwfh#jL;q&gJWOK)8k?&!u2jLQa(}e! z`cV`{BBBAJ4yF8{9|9}g4q$o<*q&By)33@4&V~{U>`s3ppx5A*zDg|tNCEecnf4d{ zPcz+f0em6iNO<|}DZmBz=9Fp@0LKo7K}#yiGLQR+9!BHX;SEg{OHk1*i?`t`qQGoW z`&9~47`9sYup$^Rg%jNO%i`@J@XLcK5)*p8eE~3HbzsDL9P;5xw37f$o1ve{XqE#5 zUw&oCW9Y!{)M6&DQ8NZ`rBEB?&mTR-iBujWc$V9b1ynJvGfXSACLL`>j{q983eB~{ zHQSxark~09%I8luouk}Z3u|kOpI{(pw*-UROR65wLxnPPzY=N-&;XHoQX%(4EAWtS zNgEVyhd>rC1K%x{^EGE<8iJVgI>3n_3)KP3Tn$|kD+~(}K$ieDGhqgs=gYGKy)e7otYs zGyuBPzC37B`aSK@k3kkNG%!vE;1(YNAK@M%1Bd}>OtBqyVDOzF9PoZn`GcK90*iq& zl2!Cy^cY!rjn}BB=!!ecNP9~)UD}wFRrdgLWm(oNo%D>G+UjX>i)m4haaM<7l2Hpf z#*2<;+#st!r%vIdMqn!%Go1;t)wrC0%+Q=C7|G%v!)yM*rM6k%v}@%14YG1l2B|mC zR-GqS{Hg5%QV|Is9qm9=R2eAqlmC$Zbs{e(fb?^({wDqKI-IH3)2^+ zfZe`x{R(awsWp!lQ+Np=8#us~;+BJKQS=Hv#9j9*AF=$==}bDOZTabkTgZ`1gV$ls za?#oZX$Nem?j5cHXQ>(U0XFR!p)RE z_WGzRnB|!|4N{CI!wbDIEHC0~4&q%))_7qQE1?yIsu5ou6$iYmpLqpB>~|WBYjIyI z&W#B?D+&(y{$TL)SQiO$v_{nw+yI*|h7=`u2&K3Pg9aPt64vb&Sv0%%LF{vhgk<1d zy8vUpkDSIDczG{Bl4F{Ea7dKvlIpoSI(lGKkhoBIcS@tigyM7w25p^WPY2&t(*&6kLs~1F@07I; z4+b_El?&D8M)k|IOE^tBsvOQYg0X1BH=C}^eebjr@34UE20zcIE%Xd}n@Snaj48cV zlDBbxML%y*V<2i1_fwa~&MYs;G=tNz?}o<9vM6%qb-6aXz{Ks;bzGyt4}vuPOk%A( z(yw#dLiQp`%fuJ-v3k(`pCRFMOSHrz;PU`e)i2u2xBMP8OM?Dfl=zo77Elvh2FR|+ zXaMhU;#pW-^@;T39L5!smxMvy>h@4a8NJ^?vYxLoJoeHgi@#$VCI?ZW4;p z^H07%Ks~$$`7-{AiZ{chAK*0y8`ArQHh-fwB8|WaqYcO96)(WevApxc=Wy_skI(ow zuyW-*;|7i_lOyHl-*^A=vQF;)L7H~3{TFZDQk5x*dpaO%s#J|!g7jYKvNhAokNNi7 zrt)r~S2(acFwF=FED}G7Eviw+-q8r@W6S&)i>-z;KEuf-nJOE+F#ajSL7TsjfJ96; zUsIb^S!VBEUV5QWKi#}5N00aVtb958w2bJUlP3G8Z+taj8XmSERahITG&`IKDRm3A z)08GT-{hCr;aTl)lTv*(uY$a;p0qX zu$I_bzlr2aj>Qd8Y?U@%HN0B}UWKLKf35WvDf<@P<*`>o#D1R@_A$nl69mbheY9=K?4Z2Pv)uR4aUktd z#N7dX@mPt^xEjR5Qa_0z34b~VNu=a^KpwZLq+IJWJw1+i$6;trPY>?=EF2k*ww%&6mmuQZ)f0#0uTZQLsPSlv(yDyZ1UV(O=c-DB34R#m z_vPC?V71M{GRWj$*``isyTcH1Q$dXnB(ODIY49)aKZCt4|p~)AxfJCsoH`%q( zS(S|(Y@F;L8acp{bST-|JiKS*NTtcDBreH%-^kVRDEXln@J>mfDn0B?lI&E!%h^kE zP#q=KV3mYcu|N7GNggU#u>x4F@Z;k2&=eqMRyTpXkid|SGL*pDT+sIKPN1)I1MS}x zKVh%)K-)(N8DMQ*X!|Hh0<6skZJ+c93<7AM^atcZV`uwaBM0qs-$LB|KHB?ZV3`uEWB{Vv}GYeUEPyN(g84ILl%ss5nj<38yR$Q%T;PsRr% z4FYnJ{gxciKahF{XrGJ^$hrfxPx=FL-vI4X{Xxg~yCMVh^MT|qK&q}&{Xxg~J2^e< zb?EqbPxS{KAMZ(jKxz)4eKI~y==gpov4;LVkQ)PNpNtPkZ2`1T`UA8SXrJm2I=H zfwlov1KKC!14>JQNksqL{K>K9AT+s2IvJXIYf%Zv%+|cozvJXI&f%Zv%K%Fe0ebOJG+Cckcd_WZ` zpna-8==e_A2T*7UXdm?l_-+Cj zmHwoG0W!k;=XDH#!5x7dFyzx!>A~=a5TR`dJo6JsGa?*bi1D4Xu=-CXQ;y?w%)e3Y z+%r4Qns;hu7tMym3C%tP6Z^@`Vp@U9vCw*sMmW;9Q1c>NSsam5w9upxKh3cvJPB?l zzT-7PTX@$;nIic;w?iL~JBgYxeYDf97;b;ro-f#H_kw~j5p8MQS<;0)@R=ubNJqq6 zZk#2WKben!Ow-4U4pZoJi{?1F-c63aXDrv-Pxq-?N~(SHdp45(TUl)4 zcWPP}wxjven$n|V;k2ncTz;)ke23sTynMBanIi69x=JxdyY0GH=f@^_ed(7Tsw=_TqL>ItIl>>e9^{2{{e0xwjd{+dCKnLOr8 ziJKeojJdY#3nDuRGx$iFSa%N+CKA5IS;r1K+furC2;OlSI~;59Am2b( z(#ZU0`2;{q{y;FmY)?!AMgq<)t>a{Ur*-FoPy#Ct{g+8-cLLQ>*)YX>sL)$O!EajL zP*BrLq(^iiBNCMUBwMhD3&PG+@yv`h+mv{#?2y-sHGNfC`We{SWR_V+%D84ku+;J6 zVFz-NfJ_f-qCEl$U*Nqn)abY{HScM`K-tT=1p~MwH8VpXr`xIYzyJbWNR40xq5l#L zkk`{^&xgts88=9zUIb?iPSNuX3htKhRFFXA_NOj5X!UcTXetdV9K79)?X%|m2Ow{r z%61E5eCM9?aWcNs$^h8YMN9`)_xZ0mAK@eAKE(GH;syi+!M+Kx+4jMa{>;ODX!7o% zfYPTru&1wIklz9PBQg*K1=$UGM+kEhdlAA3RM??}W3^EDc9tamKm)M~q5q43$TgNDLh}fH4ULR9WxD2 zmcMdnsv9_9aK}67+1*w}omW?C#^1~`>WL~B!z9N3d2h?Nkh9zdF6W6g))>*myY#tE z8&=|S+0nDa^apECDl7lqBA;7KXOi0sGB{wz^ABUP9XqnKXdNMozT;hJ;_CxJFs2*$K-B@zbEvi!W5s>P`{;2*2WS(cP%us z7rm;|m+^2KR8fy?e)am@P+s38ik~b#y(zv;Xb~6`SlBkCt3-OJRw<5IYKQlN++*ql z7=GPMa^SjK`PoP4!2rr-1P_-pRv$J3s4Rel@xaT_pMd@Oe*}@oH1uCI5?Ed5zl`Kh z^*M4ckrvcY^x%f)3YY!hBSUBXuj^`UY$aekMx?NYb3!vh-|0xsR{1_p_YjpfAT{6z z_TjB>ft96SOp17_B!7(Y!)M=-K1(Ej5cG69Q`i~Mxpn3&hIvu10W0+Umq>~r*igst zl0w`!{t~9}6beWvbjMGGg02De;o(C)WDZK-^h3X32#Rn$C0v&V_dly@1B?C#F1grG zX#(_U;M~(bi-B?h70}(1bcuqvG&h+}&l6zCjie#d}2+ zJbonKHjIWP?l^PAIAaVwXU}f5ED$P{FI-!6jOdN}PV%vjUgZvE%EZBacuvp9>I51B zj4LEwbgZM6?K9{RWhhruz&}}uFdNBa3gTmp5CVgmeBOOIOK1LoC_7MG>BNqp2Px;3 z9#Ej;pH$n5Cra|%_}~oNKWEj9C&O|A9r}igZB*u zKUZCg%y=*XwQO{GI&N9+#zIP(VC_5Ae^$Z-r11xiPwK=1zx+=&{LmUT|Gv^dVe>Cs zvI0Z|SeAHCh*r5FqNM)Kcj}>*qTDYW>7qkoZmCr*rguG-MX0;vOA$>tqtPq8)P4;GiFQgP!?Aa<2A_QD^LKTA6Bp(g#XK~w6KJ8(c$OmlL2tfO zv>*0h;oOS!-ypjuPmoTID4k$Zf|6YVHRFI#e~v3H#_w=v39mI~O2^Hq=yJn3?oZae zwbf7Zr*LMg;6%G;`M5X=^D3tW)f0wB37ThWdK;cn>ho!4DrX7g4uL5ZT8@}Z5ll%z?h zrQ?4>#~H|n6GdGrY#Hzc%dQKXj#yM{k8;YM4Gzw&=LzXqviNgCz;o*S`nUk|xp4PP z7JR`yA@3Quo7Yf#2@VGbsV8l@_O8q={8#L^?)oFpJtUkk#6rgwN$&}J$a3O9j@Pc#q1HYyQgaoXZckZ`>e(P z1A;(#E8u0QSbjeaKCgtJ>-?|#PFPvzza+HR1+Aeegt-M&Qr3&KdFKNLcY%F;{lfeX zgvl{L9@7wHzPULF%3`fJ?FIy>H(fYQFT9vodkgGdo9Wv=jgoK!yA)(O>=XGB6%KCo znq1sja`^*@yr<-HTz&aGfXI1FME^xZfYp5dOD;!<-~*J|97Wa2*Mn;T3TQV{+RU6W zyW^749H$;F9T!tR*X)i-<*C_S@HiM&!TGGoJ%WS7#zx#fYeIi8jQ#X-{U;O<>b8HY z@yRI;JI4hr9ae_cgnG>svq5otaZuXt7BfHi#qb z?sFPzn+)J2>{ieAO6Itr$whNar6MQo(bg$gL?L|WL(wMG8iu3B=}$kX;% zux^-54+v7^r9Kb=qF%-dWmYf@kP6+C$HDm+4(?!sl4+@okui-NhtU4+j zi6%#Oxp45^@>nLbx>tjBKWT32_|h|^j|<%o!*F^o!{`NpV7=fKR-C7KL-TTbit|II zfeTtUl6tg)`9c3yN;1Q;dj@xtY#dRd&HEsJ9NYVA?TM1A_*d>f8LcVooqXuFa7UG6 zt|iAz&T@{Ry|0S-q2X;g@yxC{qKr{0Qo&bt3AdePnc|8L7^oZ8id}}spOEml_FeL# z^w#AV>t9f=3>c~JQqj6j+<&Q6OwoE)i!zTVx2MGn_NmQq^ ztm}`H;9x&>1_-<4bMB=%rpi!%@h@H6;5*~&!YTCV>>{O=o|f&Y)aw^|%t}Q1h_aD5 z#8rxoQ zNj*GED#tG3rqj8Fa?F`e3FU&k38>olPjv;Vf?Waw&*zy5FB>C9^!6YiaH#c5 z2#D$nf40y;&&?1!thowW)=Ok>U&OznVWL%);m7K(%Ug`_i*lE-Xd~ZxfVz({Yh*}R zb5C$;T9N0UQaSoqr~pn1lLOba{*&WkXoa+YAn}Eza>jWDwA7Qaa2-G@Yyd`$9qA1Q z=!Mt;gi?48eg^`%4Ez$#kh>Wq;rH|lM9dV2lI{@u4M93qE=4+aE(H6F0J1#)AqWn{ zBtm_bK>oO(cA+v|@-EauzL7^e7US6;v0Edc#t%bN#xL#gj>vIaZ@khFH~uO@;3T zeZbsH$ZC6P{AI_>4X%fr$M-C`9HZxnw?Deqd2YEJ6YPIcEnp>;|8n-1KEbXeAPV10 zpqb(UzjiIA%4pVV4lzWOMGtAZn!=tfKOWB@FP-&0rHD_8vk@M-IGkiNKLiwUrz(pE zX}ccWR@<-PEuzOXe1<@di#VUg3E0Kfa|`5{lb;sI#h$JJcT)eUD8GSo-)9;7UI2g? z`n+&(N#-o?&zjvI6g;)#0Pdv%eV%)EXA$%Z9%BPn#r`=v71*P8O!2##1dN=blKRC2 zjD@>W3f19V>{_2?pmh~GVQgT+ znD!^^|X(Vvevo=;vPYvzX&WmmCOD_{TSQ|O5jacxNvi0CDWOVU?zwy)SFltC}|_WJSd(fw}<)k~SxcWQJwjIZ4Ca-RuM z;3a)q=OtPpJ`*zOsR zn@!7|N+uR6FEw_^no0e>0vy1o&=l??M_gM`T%Y3%ZBt;sW~HTbkU)n-raQj3L)GsV z_2WbKkG>yt)Av~PvlMtbf3@yNS5XIYIBEyQcK1>EME)|RM4Xl<@vx#Dp&%;5NFkC7 zBi6Q4#-0i>4VVR`_~>05C+r&AM%2p?yLHxb{qZ^+z=0R=I&_VG$F-b$xz3{H7hkS3 zc)3@ki1jBb0&$-iBsq8A>tv55uqA1TDq?9_>TuPS(GC_o<>^?Tdz%@Cj<@S6-KHYO z#J%}UUF;HnppU2*@(9S4_v!r|x;@2CqcyO3oh&O=R>hF?%tLt7QYc-!Bb|6p-E=$-Zr7dROe%&kqjah2L|zW^Ysy zr)CCcaYr+Z)HL|dVMIvHDXP3Z$lb{2kN4h(4>FV7J8Q}QxJV9QXMD0`|A~*}I^Ig0 zzVm#sV_RUw|LHdVF9--Y8rPA2pYkuqC8^JI+6`QM`n?4^Ew_t3-D3wL?*8F!|8Iyu zIU3lJ;7&n0ESBxO7{PV2B>~Xl_p#pv@42y`1e2Xy8Mx@}=%Y@d(>rxL`Y2xS+;cwO zsGNE<0^N~agowg|fB)hl|DD^>?8kA&r*20dMJk?K62}{p(~`I#+?*Ay_rDa=#t!>z zs1#1cv>gR)o?A4>yOqoSxhT*Zr)3 zz5mr4(d@^Od8ckfABE(eTRb zn>up__y1oBFk**A`$1)JD!}L{Jp0^&Ii}gC1#>~9xOoQ4K5{3(uKr(-+GB@(98674 z9s2%9QG39{gg;CS_~~CF6lX>4{Rbp8 z*Ib-G8XZ(lr!USQWh^+i^v+_T7lqriV)Xuhh|*$*jRPu?Q&Cz+i5$)?reosz@5Sk` zpB2{kzaC$3GzyrIPHmxK*)7g3q+?F|Zwl!QIR5X(7qG*E455=h6<=_a-{ag8Ii|Az zrbN!5vj5BR1?Psq(sN$_7$3uvY9SM=G|S(+Da;A+-X_Go8sD$o z@4JRz^DWzuOt6;j(Tt7v{`RI&Pe&YW&q|Y!XL(ZP&af`TRc=9gN7aCDeWeM=NLEYE zl1XACbm+L(kL}~Kx7J0u632O$yk?)*dm*gZgG4B%zGkPnX4azUC+l>XF63Utj${20 zwJfjswCU*KV(D1mup0rjW6CN}s@JD^N8rPtjfXhrep)Yc1KZyA!PF*!sqv~Be9O$n zw%OLr+xwfF@C7EuhXN5wtt9xEtZIl|VzImvgSsf)%dewf3#>dE;cBei+m{JSLk@63 z5I`({vJoNVy*&&ujhgG?85HUnH(ab|p`>Ko-AakA^4VWqOA`E6<=NdYP){3v=dhp# z9kT24DRBh1uV}`>YyIG`9?kH_T<-oI)SZHH3)C72Qx)V>&B0HP1dGjU6|eif3o4<0n)TTXI9jCu*;NTf z5&kwDS>wqr>c71~>{gYm-MAn$c6mtXu!(bs_6 z$tb!`zcy+hI$Ibn#a87j_&ci~SNFWPO!w*|$4XvN4hv2e2_-`E)i(Bmo>cqnuTMq^ z*<-9$4+|w`mcG8RaPM+N3}v>>Eg>&?T**5*Ui>PK@7n_xS4QlNy_UiwxojENwA`J_ zXoyin4?jDLQSP!gHjRXlI!V9Y`Rvl4@8T3Um!y^FvvXM+VzuAfn4!df&uNgJbSn7i zCaM}A$+(dG^5w76UX=HX80p=Ymn#W4gUO921;7^9pKKo7D3dGoZ(fKVn!KM9IMuB* zRFH&68I~m23>uAiKN{^yCBy%WB0B~Da5l{*bum73xhy@NSKj4%X?=68#QQG7lEmka z7=KN#vov5DWF^>yTyD>dp4UrjKzZNF{-NPE4gN=-^D3rRM#cejDjEIioO|vawC`eD8xhDm9G#T?I1jYe{o+6W?sZG3l*WP*vG0L!5`n z7EDN`on_x+YzoI}=Ql1&Hnc}F_IC_ld2eBz@4a%_ugyYs%>t>RJw)5VVRgf+?3;9fx6)ThEX!dKwy;ZDmMCN2< z`Jigi$LcpRGl1~Gengqd6*`R=mHQ^18WJAaABafHMmH_3u*R0AqRgyGZVK5Aqd#h{ zZ05~E)uJyo(TNQe!Rm9>QRY7x)E;te6cITTr}g>K zcOcb=@hnu}6;RqQq&)c=Z4ld~;aafkSd&V-H zxYkuI{pHN>N(o-^Y2-1O#l+0Usb=U^II~$-$uKhQgF5b!Nc9QezYpCA{uIVjK@y^o ziy~k3@^dSBWTr|^#x9+$%wkWgIxYBEZ0 zvO-7fB0l!z*_WSDrdRnWj2$0wF1gD(Q5QwJER;Gad?grQYzTduonG0lsh^>Tz7%av zq(DDv^aVXfsN+Mbaz~X$bJ9$sd2Bn-Xy29whw&toI*qc3mFH*OFES-sXL&NXxm(-s z)~Vfd?`=YoT*&J@=-cJ>Qpl3g z5BHY5^ciKzy2^4Y`!H7DZ%GzSl%nn_RiH18cx1)W-L3MhkT$ff*b-^m>+BU+TfDYP zB6J4)k|5`ycqGC)shZ{Kml0IrR1=tM*r)k@D#x;@oJ~LDWNO17SbZ;D%I5p9*K!(6 z4teI3x=n{j`|kCry#s|^$;X*DeZw~otl~_@>5gxF0ZR$)O!q$Ef8BSplWd$&kn-Ht zo*k!LdCgaQ$Xtdpt|0sEvJ8$+;kITb7wwNLE2Zyh(vvB^&Um#vhoGNj;O| zSzvLEE+|c?tabGTY!XL}Vhw88qjU)lZm4T1gkQK5_F1gfPwbK$+_?I<`P~}uc4MCR zjH50q66>-S@;>NsT3on)N7%||Y_WXx56KFiq{s}fZxQqD6+%cyd%OE`b)cRBURKhY znuv*?^JAD_Cyy}UHhcgaZ}s=-7mGcx1)cG5IFW*vEXu|C_$GK&a8JVe<+uFaUf_dR zU(6kjQkMaLC(t7gdEt`qY^#h0nE|ks{5;tDUirrzAmP^{*m)-1KNhqfQX}soa)0hK z<9=J3$SF4Qnk(%PglBma;}R`qA>>I~UetY<>58*Cz+y;m6mfZbNP7f>XYsI*2m*dng%hCg`TPwW*_HlDfUV z+y(7=01P&*JQQVfB6+EVd!-M9(#$~iBjC_4ZS=DQ`$((0C+T6~m^U$hfmpP`t5M}8 z?Im^V8w_dvY&{fK3Z-Bdyc(wZ5u5HykzsT z_VX{Fb?v&O)*kdQ+AuKd+dH_G+SFUOht7Sih456N;h}-CzYRK1xE`h!x%BmU4?WCM z>jyvkpe`wf=*VhN3D3w$72a0IjrpcJmA=_do2^={^Vwk>FU3V|ZGgnKc4zC<$9&do z&jH{{eu*S%+SPbiA>()>(b=kPTIjuYu`?RCQ(~+S7NWk#rzR4prJ`SpO{Fa!Y|9qC zE6Np@KKQKWZkMUP*8YABgPy=uLhV}H!QIK~eMv|Tyda^x4P@J6f^pcwrrDOSGD4sB zi$9IdNjEORrSV6`S11MKr8cYkZP+dZnf{WYnW0}@Y)+xI z)0KsOz5Z;e()Tn*-Ep^U@eppuja{wYnSeRe#zLlQ7PFVMexre&hiAZ*O-n**UWH1_ z7}xb^QQiwVTY|C3YnLywXXo=k$rJM}({~9!r2NSGM!r_epIXf0+wSJFokN@`1B$qf z%Gp7A?r&X5kDZOce?hpJ#Ev!R@2NCF%B;5i8~5SJbkm^RNn1~SjS4W)UahJN#H2?N zU&fu@uhzNSEz3M+taT!PyL{a9wxejWB-6!SGGDD5F#YaSnh_fkJ zUM0Z7^wU&p4k`I(60hp4uCMdrQz`aI)e=`alIi%oFToSMO66SFCbe-~oO3G{g(fy} z?;@PbZR6M;;X@7JsL?AI4|F0GqF(gQ_gj}0=;YJG1_k;&6XarfT)ds|7ay>ISMw7) zv2GY@OOuR&*Jw<#7Le~q%OMH@BJVPE75hlj78qkPEW$)(KIUVJ<5a`eDr7h`(+Zgh?Ju0_1fmhXpIwk7c(3p5U zHD;x9s?&mcLC1`7K8ey77(oM@C4_ff3yYDcgo{BK<{t$Qga*;`x0VXrDq=-Pb7fSP zF|v3NqqkUXAJ2^CBUQTIEM#&ZMq7v}hV@`=W^hE3ImN+=VbGZDc17vGaZX$mV)kO9 zs-qa~#b0D#nV6!Z+O3sX*=QIGJI=;=gS>8aM`CFH=7^z8kG`hMN(wI3ztUBbJ@ic& zqdo6X5ga5z#o_ap5&T7G^=d4FQSvMjKm`OQ0dGc0jkb))2w-Ja2mg8rP}ZlP^1Z<} zlFYPgNG{R#pB*?k_sMR{9&2)1(sa$5$k>hr*n)=y)YKo|u=JCKz<0Rr=%rpJaVFcw zXohMtybDi#-0Q2OxvUb`no&8Ma?rtDSyxG4Wl^CrquLA11!Nw`Ywqpa?C#^lyX>v? zGE1iZjFx9&{hW`aRJ*@pYjqdmWZ`7VtM31Uqr0e`ft;5;`ynq#=OU#lPdF#_nNk6! z7%Q%`tBsOhmvlJEXs;S8E(eeos!dZrNRmObA*5=}W*OJKYyK1qm#mui7RSn-?Mb|9 z2divwUVBeEpWBy9%B)kyqsCs=O8d}DN=jXJ0V1*e%}+gPhC7WsG|nAp%2nYgO_9Q6Ra z)Qf zp1e;Rz_%$X8Av_(=H$IbL^_umr(BNu=>mVZC^&?drG`rY0un>S_vctecZlf~)3#TL z)VgfYDb9Ziwrj$pANbh$f`ADp83oLpp5-u>{)8us=dw2I?R^?@G?OqvH_+Zv)@uMH z-HEQ6OGLTid3b$|>R)-0pyp3!Vr^Nh$B~s(#PWSe)!vX@%HAP_w7sy%96XdPZRhe# z@ZB+NwsN`NE01`uYnV?-Af~K@fRV5ZhV3(0k(5rp2%YhVaq0|Gr@>(!y~{)?wY&&m z7b+AZ2B~_->Dk~i8nh2G<{1_i%?!t?&!`iIg_=J+)NsK7(&CaQNZ$QdRiAHPNLf|w z5&sJ5R(rBaA&HD@WfeUQv#ETE^&>zA-)C$dUoo4i#jlrCtDZAqF~)<=Zc(Y6u9N)3 zRP}`_!qS0jl_Cg;{M4sNZvr@1Wn|8BOn#*AA9)zC*K}F%k*Wq#jM5m=)O4BA`r)H- zPw5+PAoc_eB|BEJTxgWA(9xJw8UU{v(E1g_N*%{o#FgOa8kJZ58*lZ~W48^druWeR}L?v7!DqJ#ZXk z?Er3vWG1X)sU3@{*4KDO)YQZ)mxmQxl77*ixozmdOeEsC>0_DIv-yO#Cdh_Q>v@JA}R&L?gosc z)r;iVH-e9r?b8)gKdaBzlk4nRzpr?EjJ@8VJACa0SL0pLzP=>Z-{JCnx^K!?La&Nk zyt*BCSZS5u4VE@n-)9or*>f6P9Rjd1Q!u$og^)}sJ z2pRL&>k7~JpP$ipmp1Nl6rt#_btZ4<$Gct1*VAy{(A}<%$vElDdW23MF~6@ z)37CGBC80~8&s9ai7$T)kk#Ej+v3~P#dq*}KPG7KleW5u4zduj_)B!^G3C zCwsL-Kev(@YKE_`Pm%@Fk@g=V&(%pN+}=FWJ~V4{TT#4CLq(B-qs{ z9GO^KON|h1*>*gt`JBzTHhtV|BYc_a(W{(`9+&TJ`{GCRM2=E(|E|hoi#rbkTi8cC z;V`03ZW(Ucj%x|2{vWqOKf@VOcIfgTRy=%7~!>qYYz0&Snn5!m!J=WR3m^ zZt~5BX^J}a>T=Iyl}oI#(epe*niZ_=>*oZgmGXA( zUwYQAE55`W`d`?H#-xuxklbF2*9bOBjr(p)J2C>Ns&G+x3WHtx2s6QrrY?O|lG>a33@C1I6_d8R z{2O@miakVl<`Q!$Q={yhza`2(8kRga5ujX48Z4*PGyCc7gwT-kTJy;!vmNvG5(k+R z!^^(a(IO)Xti!A0(Ye`Um(EauueF_X;_cXl75ET2z38!6WvP|wez}`7!+ybelS$KTr>;waG^&wZH-9#YR`E>w6T_; zYP!{Zn#2ZyAL%PX`kcEyyw2&d6|I?}yT`H6jOY^QtEK^+qv}@~yYT!QHyua~dux~Y z2T$r;9Ufm`MOxa*_~MAnr@_-xL)hUpkle$5?$wse);2;2&Jt{G!2BykyMw4LH^&g+ zjFa74riTq15blTc*L+Y)a!+m8x+*=Qpho|*$^55!7&Z4S0Uh=}M1zya- zG;HO}omo7^BD80ObS3oFjs^O>3PYb-Z*36KV&q^nNQLt7))aZ~ov91Wi&99!A;-_k4wmRbH)eIea;M9ne7`m&{ zRbHw3*lS4c5rfId9?@!eG{h3{DI8s-ur z`u!^p`XXfc}Ci&E=`knmCS#9Id;z9P7w7P(a3eke|b#nip=h9n zA1|9WY^)7#Ky@t(K1nzZSE@G}5s>`8Dh4|{Yz{YL*y3_zZu(=ty*Ku4KH>ODj;<-8 zgw<)J5h`2g z-kGA>&tAD2VmyX42UeDZ3qKEWkk75yJQQ8QqQM8%#P>GX_hK89a*3& zj;CHrC$Oor&76mGeDy8fE3(^mCX(qkUQe!UfT8*OxvlqT&#rAi!;@HzTBwic5jFw^2EV zsDaL7lTGv!VM=4#n!bW8_iMmGdqdo@5hzdBsJw58+l2lh%08|o$+7FO^RX+-*YT;3 z(!6V%;~q}qiQOyk$)~5NcOG7AE~*h>_QiFpvs+*1zj;7HtqQD_<+1Fwt)>&r_DF6g z?%EL!lVpoCR%hXov0IN$YY$@jYCAomBeX=XBIFljo%omdnPERT3^mvYF2w$?GJbnqu z;X_<3lt*b<{bJwyv_|7qH$O6pY)zphT6guc4iPSX>`1xTP48)JDTfrNRsWudR?Hu> zQqOb)?dmVtT>8JLv9l7KDU=>QR3+lqGmcq^B4iYs z3}ZAk5Mh{J9g!~Cf!u`@Iqj1r44Tsy?x9%bBmqj_d z+r`!G;m32!tx7vzN*vXkf&}WtPUz1?jUmFyL?Wjp?}i zLeE`nUuzQ1tt>KwY>yA3z?`mwafsHgQQdyTpfXpCHDOBN*M&kBJ4lx~GMf#AS&kKb z`cNTNntz}uG7&7u4Dz-hJZh-pA_0Y?WJi7!pF8S`%CTj|K9b;wgm*Q1`u2dYT0oU> zawVE1((1S?L7c;Ng+8)m6;qn9ZJ96SWs%KG7k@oPWX%`)QWW^vZ$;9Lcrf`fxEK;- zRT*r0+VvUs+O(AWY?NIdn%ckBgg!+8oJEuz%390S+diqK^}hJ*=`)9J%J_!W7!q8x zop%=QHnY82NgUx=R|#6mApZxoPtWGqY-(S2Rnq2^tBh{Fa)bykaKl+MyzQYdV8C<; z)?^r3dCVMNv$|BR)zICZtaTF?+6g_ft-YYf9q=!3diAB@GzLwSbfwj5st@JtLpIw- zN;a$~Umkrt%@$rq^1X#0h0yk81f!x)CYkQM*^I8LlnUf0aThr=n#3xhGLj4A$2;@i zL7s>;mg`E3;>UAl*{RXzC{3sf2r_3Zo0FYMZr^9yi%pI4)B?4CdUNbc0LM$>&L0g~ zqEwgDQ)Avy8PjaPeRCtbEHJU%z0Ca_$BufeL9dhh!Q(PhiR~eZCR6_b9052!n-+_A z8b5>6F$LkgsxI&jS(nJ{ET7T|S_QHbdzDR($9kRwt)8PPR@s7zRl?p5r?&M}W#X*7S>o*(TXwt8>0{j#K&xCU7h+MHDSS z%D;4XatH4A498kf&C>960Ud0DT zGB*y2EYY($7Ta3#SgCrVmxq|8JnC*F0GPAy)?`RL1oA*xDM`W4rXw(uEA+`3d1ppl zsBnhPac1 zfp1x2gIN=6=&``Rj^s-du`i6$Sk{f(zLT?FcV#wV8>sceuX;*!W#$=q#B7S4SfL~m zyV>GdQw|wDW{NE_ek=_=0-(v`OWMM!?u!X&Qs5BIc=sjCVbq$TnRL7U3md8SMCAs_ z_SO{6OAb)qkqGcyjr3yYo6!G2lm7$2QSdEcpFlR7g{;tW1hsOk95lsgF zT8uT|mytb5Z$OonSx>-)RN>g<)77HnFjN|{wC?uQs7*t&KHyhq$Yd}tGcI_VE7_jm z)jT**E9rX&we75tk&hOtyKPdnR`1s>7RuOaqcX{kon;1FsKl+xx+#+zjANOhN17b^ zJsnns5uBNCX{fQ~SH+^-u7?X zFY8oligD%^saLGj1aOduTX?4JVfHUk*-V&L91OLH`$xhMp?N4@1OdSPQKaVDChVN% zyU2qY;q*D+iTy|vdbty>qMyjxYCELRPa}ZH{9$nb5#S3_V_7f_O~)iUA6@M{$=K7T@t}bj@ZEg`7(U|E7~Rt| zpB=l=(RFjeD1Kshrk&;zM5D+qLV9<2;04&pp9cz>`r+01+rp&-?s3&;%DMcN+J-^B z1A?coNLp!QkRBHdy9`b z3-7WUvvv&9G*0kndLY%1amYAlvqCiN6r-L^l*(X0WT38$pUgk zMDA5jEZ6UEN{LqQ1Ea=qp9oBll{mqy5hu*VwTUPC8KZaMf8c()2Ga`*0Oz<#l5$PB z#7p&Vf{0SlcczP}0WM&=&NHp1MvTGZ0u<)aIv2_ILC(Ar8Khee95+|7r!NhtPn70_ zQ9Rul^de7=@n;6RQ|Z`sxaq1 zJO5OsKhTx-o?r7UYzJ?$Tz?>tpE29`t?xCm`^N_04u@aMLv;nzL`df@1n3F?S^*t` zaW4VX2CfXTRlvCdQNqUsW}mJ>^d0!1&M?2h58eauqJN3E%_XS#PA0pY*gL*K=bDwM zV4QV%o7VT~W8Q4tBXq@$dcCXD-jl0*1Nq83(N|JL{|Xfv4CpVPXk-iXZt{1Unc$BEIVEA4Ya9rT%P^-cZ<_gWj9MU|057IOPe z)y+$VBwOR0VZ?kx3E?X9y!SsYgz7u*zc66h9Iy7oFaMONY~Lg#9YYY-tLy^#5NoMN zhh_^MWr4NH^ievd09iu~=m{z>2`??jacsiJEQ4`3wA6%kBIDdx{PX$K_mxGK@cVM;Ujh}S%G5EYeH04m?Iwm zL=x=6KJGd#lkAV;C3cbcI-LdB5V6baJ=9t_Kzl9bvKRwrjw8nS8+154h3&nBp9(Kg zt^iK(g)5dy-}@BZd-Lcr>n4k7l^NOYz+q`1MI~iczA#%{{a(Vo2uhqwI!=E2D-6xnBkQie{fUppifQ zkGF+d>*Rq?Xtq?G00}=dzIc`aYKt`ph&W0B763+@$beio9W|l%=OJ(czq*^on^tms z*_+PtrC+Xt6K??PvL5@6A;FuU^;;u!$3}M)`^4|(VZW8%$X>ETZ{oGh84SV&-a&c$ zKu|iS?%8Vv7x@Q71EdGriHoNy?HLv`V3fdAmkNE%U&@OAawq3kAZ-Ey>C1cP$lJOX zrM;iDtv*SBUMcEqI+3b>9%}yPqBZpTovJg`pr4(8wGckj@PTY;JMcfMhkouetg}!4 z5LG=}k%{&4k{+nDs{yg!`&A%2q4#(RWG8@MRlf1RKt70VmkUbA(7gqp#Ch*pw6^xV zb?2vT6kmEGYLIg>cduN4-DXl{o(DE6i|XbN<3h~7ntqB`gJ&jp77@%EjCW8#i##SS zB^nT6gqqT}pwV9vCAhExe8PZ4`g;M*tFRNi&Dbm=%_Kl$u?Fv#%@{{hS|uPKM9cui z2@rbq~ofqG8QH{xxbw)84k5KgONnJa7iCR@~mUONo6Yn6rE@IwYlL91tUI3-M#o_vt{O zSxlB#5==w*JFJQ?M8*k{{u5TgI|{q%hxd{_QS!Nt`oZe%0}n(Pr~(6`qAvym z#fG3C5-jkB?qkf8H1g^tKZCkcf+pTa`xt(EvBLh-{OjjMnhSWS@KsesCA`uQmbc z$zr}__Yu_!kg(AG*L-mND+iwhXf~5qtLq?yIIvB26Y2%4-BBmhxJK^P|H@@kPIO-` z>)a0L{QaxDKmr>5ToUWaPPESN45>7SU+4w@47+C)psB3?cvaRxQ>pZ50W!!H2#=26 z)}j@jAz9$fjn4)COM~2-<|D)vP!kcIx6qvr0C;=$qvpF$n|uECsrOpzUUlZPYm4%r zx1XurAVC|}BxhV&Eh%;Mg&6P*a!N0;4`J@W)s zv5s9V?3&49a%mp-J?;H*1r6mED2}I8_AfAH7*zj90+dcSbxqlZ#{V}|ha&Gim z10oE?5~LD^A7<16xAj}DQ8Rc)Y*l&S*CYc%Y4olSkMM*ID1L`m5rOmot>21m*6kYl zj8e-S3;Te4RC6lkg4(%a0<)A%Pdt>U` zG^zAteE~^T3j;Y)Q_2T!yfye<)d$jsa5&s+Cp1sRmFzl0%Y1k$ad1 zlZKIo>cNHP!38VOgvOlmQ0G1bquc-nQYJm^0DJvF4aN07cO-Eb*nxe#u^9KX3>sdd z1*B@_p}9HU2H+HdaHBH|)NlgC{4?01B`2_(a8OrB=LvHK1NDmcE)r6H(%_B;%E{RP z94h)Rhnkw@BDC)oZ=kyv#ZdeLaJzF9PekPqHjuxDDx?0DRD{kjC*>|gUi_{B&NdLK zo50hgVxdHsf}~!2dZXx0hzJLm3txcj8%Pvqz(;|zWV~8<-G8}4vU)^k+e!X1ccb&J zJ=!w&x$kuW#cow+bwvTF#YlcZxBi~Fywt|FOYrAd7Leb?Q#a7w1JeTe_OEu|M6AA? zC0~3y@*cGR=Np-^Cpz@LS{YC_7fCrBK$;k7nOk|#3hIGJ*@Zvw*qYDcMe~mRzQ4e; zDci~jTu1^nz#B-~R)Gt7ul&xrSv2n|3xHtLX`S=Ot3m~Z@Zi0K-x%`nk^btwEr5~Yymrh%QLjNRQtNaOQ8&bsU-jJYSm+{Ae7DP(im+L4 zbamHzvYohj3azpTMi^ZYX-*tfKj{ug7yr&FDoz>-&|Kp6jgFW{x1P~rQzpZgA8H^`X z#`i)0OID;1P}^!;1)Ur=nTi6{tEd|^C(Cl-nn8yq#c^|th5Ad8)OXA3hre!AeedYO z`hy#FTOgCX_|+gdfL5|MGDtr?aJ+t39oJsjKovu_AbzjKjXQCl_cCAvU_HtXkQcF9 zLa*hG?xQ~81jP8fs~=iM$p)rIrTV1O0reN~aOa8UPi;y&`C6M6pbZ%A?;VyA53l%@kY3HPRP ztStHZ{R$!iKyDbrsW6J)b@@x}d*f2h%NL_h2l>-K@{=(_s~V501H8rZ7E`!%SOGEs zGy}2(17+MJA`Agyj}icbMJK2EAMVK2M0Nerv`wgm)>y`r12QBS=9Su~gTMh6+ds^k z2{=Vm2$B0FT;{NQjpdcWUri=JT`%F?GXcM0kncpqqTx2z7byON_1r`CDyRvXAVDSj zx0r$6RW#w(q+ey*zv?=?#|-4uPttXvt*UQQYM>^UCkF&GY})Mi#p{IJ2Dr{o@twJAh|w1vCoIy$Y&cWmK(za&lJa3%jkQ4Sbgk>iI`s zfA^Y@Cy^&^T_3oswag)u1OL@He%~1A75G?I-_ABMyJ&3GQC@am?bU!jx@&-W+Adx`CmuqU22?u(Q{e2T>&X|679ab^XQvHAGU*gd_yj<%V!%X<1_sS?Dj$30bs8=EJ zi+)5Cel)j+iEX0iz90XM@AsR+6~bR03KDRRwC~Qjq>FU$+b7VC9&rBa0q&cVH$Yu} z)yG082H@)ZfRI|(h6kvFlR-AQP@{7>HjDCwl+gn&m}S`<*< zpn3|RdB(m6v1(&5PCz?mfA^BKnj5!*g>+Sd@)qvEAQuNt@9TK)#>+_sAxs8cGPch}q$Hv)xo>~8r5GjyYd?!*YAH6g{YGAUiPM{3#EV*&%mK^kVE zdFd5zbluPN1)TR_y&|x-opt2MAL2bnb#-Md&vF`kW>cP}&{^K!nrJpIj{5Xd`|vmp z-}0+xT#E-_s^fqhGjkPFwv1SW#;@J|8;^~hESthOwsFQcPfuB-2nz;2ta%FPLE010 zABpFX z(M0c-4*FE;ZQgua&`Cuy3c9LJdQ~OQh8=rvr z(3+|s8qE2J1Fuxn^fYX$kG9x&HIO}um5zt9#;NpUdnFa-rLrM2K5~LLb8p|jK}-1% zHQG{ri!K);WfDkDyzb~|}p13s4{yu4|j~}g*QL7W>G2NST79&Vd!eqKNf$uK=!{hrn zLG4(Lb! zNd^ILno;ugz;LaVySB}9K0-$aRllkxgKwi{!sE@$&0jnho`yIxYhZKJ?$N*5PSXJ= zbw=#E2&|lOE?t{ zpl{*Xw|i78_4bjy<%Qa#m{5%BgCV1>OP}k^t_pTmM%(l_LG(m+?mnTd>q(UE_@x1o zWsR|Od)!X@v4E6s)CubKc&ttV9~eWvjTxh$;~vF6Hl$tSs+2z{?#bFUF3cZ?9K7P- z*;Kj+PqlIy*)~S)thV1=PvpXXmomRi#XVs(zmwBWzPmn>s(MA^32Qu)IJZIVT=M|< zHiAb`Mx0xyx1OMq7t>cKPlYoBU8kPH1W%_>K#++m~ldwbWo@w5^ zphRSzwm4&bw^yfT-R_h1{I1F{-rk$6_}R_FOtGcsGZxWC^>4nGCfu5A=FlgI@_8LE zcjo(8+gdqX0{{8%<#b&?9qD~{(Mj}E@V#z^(SO51`tJx}W)8OhV8i&YQ-J05O#wCo zd3}3h8%N?_rizcF-w9DmjWsKx>OXFY&`8<>3gXMZfv{c--;A3%Wpd!XvC z^#E390ROcfbbp+Gtq0xT{q)G+=R@~*KTh#ChVJiv!r*TV-QWFKzF!#NW(Dw{^#F!c zU{J-M{Q(rD0RFQ-R_K22Cq?~!KXgC$176f2|L2F9GaM08|gaf7S!2Uw{b;fA$Bkz5w{odH@p&fdA|dP)z{;wH|bTf9W@% zssR1TU+Y2lcTXkr>+=Ar3*g`857;L#H5$Obn1Z1845%_Q^Y2;B_ZYgr`zgV{-VdlY zfd8de0frYdiUNKGa8wS^g??=mc=lhC<@;;>ugQM?WitG049EYO7yGx_{>Q-Of5_-v zLu=kmaF#HVu4yp%hZ#j%_A%jhW18TRWES@GDg1wA^g#d_y{h9Z({D2R-G9jFbG1i= z|0SbWWjE0_QH4^O*Lj+(j&vR$393(Wb9&iX%k-swcCV{8?Jd*{?po!2_tM-YhBJMz zMhnev*srI(SW>a+@)VK|QcUH%{F;0vaO>5bV7zg%8I8rUXqAg{j=cITx;XGwcv6j+ zr4;MA!sJiP(5lW1ZDnwRL2yV?_U32ZeF_6h_o10|Q9K;%j_ToCst4jJ;E$YcUym|X zbSfnymxm8wpECcqZ1ne1g5EHezlNIrQj!1lZTy#a@vqzXe;u*#+u8odU4$~UF8-8A zAPU0UdJp_e{7H1z1cPl`B6#x?4tT{o;l0JP_ZP4j-gb8&Y?v+BMUz}FV5DzSi*WXT z6RQ86n$GcOr0*}I_}@wZ;Q#%vgNOdliu^mi{D%ns9f=6%j+B2t5)mId64B`2k%%c( zzeXau5rw>x@<~}B-5{-1FGowWnNUJ-L{O#Zs{0whMI}e;3{j~6C>tLQ4qv*2>$=D@ z>E#`0AYn-?s_|SMZKY+|7IbCj0yPF!eZ-XI=4<6;F~N~~**~y=V@&8@&ySl&Efy`s6j7?-Z;kv+-MgBbk?UA#-$$a_h#*A{M;Vme^?%r;3&ajN}sT*}~g zsy4#^ZXhD_y?}n{@ZWdvA6BXV4R1N;zqFBm>G1#k4*u&X|L|`E6BVrCNicRWL23Sj z!EZ>}oZI{=-b5pjED*(Me5jv1bfX{&f34+Ymx1Vmj)eJ8VP~dRq=kUis0laYT|n8C zZi(mWW!UO|evx@@PgtyJ%mwUA4>i+12VUF9)+TZ-x~`Xt#)+5d4OS_k`!drbY&m9W zYu0$Y+b>A%iw7w_hC~Am{A_eu!#u~01CvhWn9eWNwMC3s&uouns!z)RFZ3?1FsKy$ zhnVnU+2@5mgpxU$8zJ?FHtQ>@G*z;BGW=b2T4K{aZRnFfopih_=Os`#5t!Zebtx~8 zZ*jI1YU`r|b!3$fkjE1Qb^bf6gUaOorU49Z|HhB|So6O&JC=XU?r*|Zz!v{6{NcZ| zw*RoYKm6fy|I;*O=6{F9|20jS`9913=cE14gl4}p#Q(VVu9}qEEZ5_?W7>)YZm^@T z!DO73DK4=i3l+hJf0VkB10n;i+s30>A*$Pb@iMylo&1E6Wh<&*3UA=es-nU|8$wAX4{0LF zBr)cp=1cvY5h8+<8OhengB(b zl~yxnZGCd8zEWn~A)mHdW#sd9CwqEyRVj+`*4GN_lFegu~@9wCqupN&sopG$(>^+*GSHMVSEcK)P!7aC>V6}vlEkrfhG@BE@@z^RFmuh~3 ze#0W|)#npIP+rHrtl(z5`|;@ysZE;3{Rg#FV;GZX-H;fqvfcS6xWjD1Fj@?2CiX`w zJQn8-;Zt8ahz3osH{1$&q@};Rp^evGQhk?E#Zso)nLU{#)Xz^cp{|h7Rqr9b6PG@p z^c|$vw(caD*BewlUj1+-(16G>w}|e}On^Btd%dTh$cPRE-KEnR6FuGNQoTj9yNeR?0?j!N5u&aWF^e znx0gnjUVzbeM-8u^nSIzri;((CQ)ir_gWR)A?myu-Z}xIPtvAlGK*7>`(}0nFMI{o zk3o$M&1wB)X@LQENhe_s6IV}5f=X8STto@jXzJ}wOH&(g8DAEn7uBmpn^T;dG#E2RKwsocH|c_lC4I<0Dbg zYWKYTG^M%mns@rtv_LySr!eIQVw=SCoG{0cwSmgAv8-M`@*GLuX~{2F{O#b!@);H( zA|cqyFG5Mn1VDDC5eE=>f*4n`RNZ!}PmVgKa?i)fMMe=SYPm6q+J;wi_y5-G?&SC`FN)N?_DtN@{zW?k+rQO*W!r<0rH(gk6X~VuP zE1eQf;-rf39d~y-qd@X{MYyOc+?uZzg6ZEawA(FFQ9m)_9yn6+%B1H@+(Phh5mjgN zj3O%bZpIT!^*C9rpSJWIT*(;`)s|z{e2hc{89!M3dF|`=&HW=tQP2+XTkZq_FWn3y zyR5c0&&!#H`JvKeLmg*Q=qhBTE%yEC8ROV~F0C`;1LwKSK`G+3w;x;=do^h)gF2Uy zoo?MlQ4&-eVCzR$LJtu6Je~O=DmIYWuG7(H^DQ}5OEgF>JMT6^1b;~1ES(? zQnv9vC-e9<{{Fro{wQYp-QI|%K@8H8OjwtS2OYPU2ie0@rvo-;muF%;jX|1%=s^TE z87=Ql)Cv0zf+<*gK@I(G&z+6n_A$rbNK1?$xmxiowBIF>In)lS>>;U>{-Y}+=27Z| z*^0!<-z8ejr*s^1p6jP|7v@;(%opZAQ7y7i#r{emEUmsdLG`?(URt9noxmL*zE>hS z!OZ;NwG^lIGuj?SC&jKZ9m7U0^Oyw#Gv%L<_vf0#`62e(!)Ir%ue(zxF&DgWT zv2DJPR}2*|#me&(D*+2wdHLr*GG}!p6$r}eQFJF=G1nG?JA-c{H?AlsRUNHHlY~_4 zoyu>8>AtD>sbsMH^31$d(@V>%cg@SW&8_ICSEEK>-K<0udKsU?gRjN%t^!}N*iha~ zqCK-#xDukvI)`Hb5|?fk=0Ys9gpYRkVe%G8 z2_H*#LQw}gQZ+S9MDqkq$G&WebxC?shgH1omVi6`E=6xG@6CRy2oi^23qy`r98M$T ziy7pUa&ftCMTzlOGzF`SY5)ok=l9y;oChFQxp{G^Uool3AwT&;9V<=^+QeQ)7evAA9c{ zquJB7jkY~)+qT_3ZB5&@Z5z|>p0;hrU=634Pn?fWnu>v!(~2Gn4O$^SU-l+gO8%fUgs~ z^Cf5kY&QUD(EfEqwQ1hjqd-|DJp=drO8$#l&Cl?1I=%e!!$|+sY}6e$6KTa@;o(<8 zP^GF*1Se?)U><5GaeSl&2i+${p=7&(d^l)f`<}w#m3POLw^!Jo8`L5XuG(2AwE`(T zxYcBR$jqdEHjcSLvks$Ae_m;7&Nf!%!zt#yYj?&nDRwv4rR$Q*6&nJc?|TNHjXO{BeU|v zcYd~)lu#aB^G|Q$jBx_=+TkMG0PyW9GWl`HUIWH}MV-K^iD|@Aqg$$wW!qd6zpBEs z-g^)_PqUl!%t2Iui1OFF1Jv+ODIPr+9H~2oY4$z+^NX-49OZ>BxSXjKs1P@nf@XRQ zY}%(+6S&Igf&4ZB+aV}03hq#wg70b9MDjdP7yYJ~a{XR#eOz)Wi`m*8BP1=~xce8~ zzHOYcmF*m~+WJ0_^y*FppNH`rbn<^r^2;g2mrC$M((8mE$fViXC%<}FJ=o93AYc2QH+%UC%Q!yZr(&kY+}%ID_a6}Fe-MHHBb<5H{SFlmpFPc6xGJN; zpfBO~5%H%sDYa?L@1Q45JmjAYyag6oSJRX=d7li~munhhP`d*m4Y6nKyZp=sXT5AHh@K8FX`wkV%~=+Wqqe8K+GN<6Vuub!;nw`H(IMOD zW26ZcEs_oxM}N8mty;O931zWbYnh9rpE6ujxX{iIEG@xPiKM53V)Mfy?Rhlkq)nP*SS&Gz+IQ7)kl zgCNAw&ByD(3qoswrP;@AmN;?(vZA$YiI8qK$~`F`d*j)v+A|! zff^kJ?*gvm^6jC!!Qg5pc@;AiLa@i5lrzs72w07<*#NXh;FoFV6dfK#9p5g!0uV5G zV(jw(r!xh@9eHqGrSPS)3pcHX`jU)=wkMGL-42Q5A(Qg3>w{JPNz58{|EKw6aiUtl zy)0p8n?C#1)`*$W*l0R+V$?Knd{kHQ<2zj+)diMTUoYkJm|Xg=&sV*fs&3U;TU>z? zd9Gv}EIZ>+-sGZ4576<1`2@%nLj^#d3Ar1(1!%hT7hrn~4FKBrY@*#fERcGh|dY=7b2fA2dL-E58UzqzLW_ZX-DJ=H|Tfd4PV`M=Xnf06C~ z;068%1NFV<{qGR-e^FEaf(ZU%+5fXK{}5et3Qqct|Flif-pS~1E1~G5^{l?zD)No! z2P0|-)j|N6to^6%^U9q=_6zqjH{ z-{I4k*_iQJzJd6^!p_ct&-TsyFn$vSf8YHh-#V6WqUPWBf75S)`gflHUHb2G{+97? zVP#-|;`jzc{?_&1h4mX`|9p$=RKL72Xf4zhHw+++Z z{`oHF-}(OW_g{YheP*Gj$Ny`?_)UiWWBa!szQ5vc`J4Wq%KxAL|Ge-1Kkxrl$9rY~D41QXhSQ_Df1CWAxw*QK_#6kZ(s{C{?_{;JC^ZeI=zkg^L|AyTDTg5jf zX!MtFWB<=Em;cp>zp8&X;{O>t{5O02AJGy1@_Fq4ai-vZpo#S^Zk~z@FHamtmuur0 zUSo`L;-q5$kR!wd@FSoCs`?Pan2yBf;n0DjyI^v$zo=AEWNWgOWa|+hK?-sktUn&e z7JpPIujUrBB&_}}9?y89l(kveW7aOK|zovb4!Q^kBHB17F(} zAUnr(XDpM2(sl5vLm2OgxGBd1C(W)>+~xNInS31XzhV|JhRq{HU*La0|9=l60)52wTT(Yo^0Y^Fps&gcJ@7moUQ4)*EGj+k>|i*cb- z@A{Za5UXbUb^ifz0=-9L}XDfS)Jz1s`_#tH{sk`C?&XJ4EEy3+8(FDn4G@T z5VmXt)BP_mz^^VJYED=WToIVT;F&PTRikdzcxjC#2F*Ng!fiHGyTuM5#MnT?d;nf% zLqX?!B5->`G*>hNxZB6hwUBpmUw`tzT3Oc7pDl|k^kLcD$igqSn!ftQ@F+R@ia>Sj zmR`DNYj2)>ieaO&K8jJ4vOr3?lzVA+_=@IM3^jv1NJ7YKlV{1lv_SBwtM18X(_ zvD{X@q#vzq%pebs?17Sf-G7-#&*BxYnu+y<6frhw+}zT>hjGV4+o4|#@d2Qk+y%Id z7V-Po@KydB6WnL37xPl^DQ|0AW7cG+%-WHw zy$bal`;Rw+7p$ifTU#s_Ee`)v?h$=fW=ba9=7ivxIQNJ4E7G+Y^ec)x*dp?f=t}JN zmBn<1DKsp7Rrd_=v7MoQ0&$AoI`nmcoGD@}E{)*X4DCIb^KQ+$-KFE};XTFgdT4zn zoC#5#ZHaZEOx=b1?fZ~piTNOHV2_h6xkm|SGM56iByZvm#QsnC#GAWk;mY(jGAE7K znfp55SoHpD#%d45RKH7py4#`JHvrsld|_PIknbq5Vz_3c;lrf2ATKOT!7WK{u@#0P zwM*4Lx_tY}4la;$pm*!Acf5B?hZ-k>Crtoctgb#VvYdDuV8yOL9lo2vO+LQ7B0dGr zeot_{3O5Va30XT0&))AA?_BSwpNQo`i#oU%LZ>@r&?EZSa~J!(_I#cnzn-~7c!}}i zy5ejwqDBl#lUWbt3Ik3IqZqPkII#@gYCcwsohj3ThvOZHns3(Q*5}qG*UdT;vj*sP z`OvJ#bLeSd*aX(~KZy@J^4ZP4bi19)N))vs|{^{0Ctan>NDsR9cfoTZ%NMz7g1O1UVKxRYx@Q3 z+MyWn3$|h(68IyC$M11BdKmJtX`k_))_MTYnaqLb2`n_bC+O*j{&#ONd%x_Gng!_1otPhg6CP$}MIzx0r40ps^Yux#5 zo9zaJ1cm$c<;cQuoyFt zGV{4h+cv~3yhl%!BEn4f|mu%~J&!5{DO2>Nq z_d0+RjH>iV9YLK!TY3okNWR+fz7Mdz4KhEM{-7R0V1l*lA?#Zs%-`g6B)-FJ+H<+u zQ0?C#3`fq%l2re=AJ_jlR$vNc9kygh2hYKV+3R=ntlzq`V!kzW>^Kx1G?I$-L>!i7y zHLKLp;XmZp*kkY!uQ1W9^}$^F6*!jEoc4w~oYB%DNON?YGph)}3O|xI=MbzLtf6(> zivV3FUz)fg$1?_lxN2}Kg&Vxy0z*XmaD0lRgSl?z?G(13j~-?cZAF`$)(vrN>Z4fL zqlC8ou5G{CUv0syf52Uts&d+VTrax2Zr}>zqT;Y>T&{s%#G-TaPJ^iBs0mG=#@` z!#`Lp>ts8`31ZQt_pXHHxbixaS9ED>qa+Wb!p=$Aaq+&a(2;&lS=e-Z9!3U2P?^}(VSM|9{{%d>glrH1-8&NVfKsj1c$KvpMK`Z7B& zj1G}Yqtr>aOD|d9JfgYMVCTxr&b`!`zikLI4rWG^_fM)o(&kQJEjt548+ud60-5aX z>+4rYh1}SvR@r(ZcdtcUHg%tY$TuJncH5JLPOrz~s0f3nBN;*yXc7YfvaS5V#4wa{K^ znwpiCN72d;(F1tOso7pTp#hfc`G|%1ajlgBmI@db^=PNSct@X#uo@mQ>naIuR-h7< z*|nP@R#l(XWxsQ?NRIE;MdHYfqkrDprS;SrJcYIF*YP!Wu#$2XxtABYS11h$r?a(s z_I}Db)w{!U zqydba|7?j(sPD&_#*)mHRMXV&;D_T^+S<5t%`Q{dvln0ILbxrIQ`6=)(1_#^a3EDk z%vQ3nrKmN7?S{P~>66)?U*#F}Msz)fs%O)QJTJCZ5@@mreVmfC=>>K4895>_{9BSj zXY$2k{KXrsqv~YkFXPe?G~PO#oyC8=$L*rJDaHUat;^p?Vp888SW z5yUtg14Qg_hB(1Igilzt_jC_C^csVRjd7N%N3Oe=KAL=S=h6?3XB)+p(p3uz7_+!w-N!h;kiuhu!l?{&u{E`0AA>L^Nv$h1iY@PXl=+dy((tPeVNJK5 zJ>sMTw;2sC5qynAlgujSH}bI8IjWCm#Aci&G;_xNQ-6S;q6{PMeG!nOSWil~_2MobgJ^lSN#MOYrjda_U*gZ zK!MM-38JC>FuwvM!GO!;vn?!pxD0oHGa zWQ}w${B0ct?DUV3hV42MV>E9dg+)ylenb>)R8G4ngx#iHz%XjMK?Z`YD0?~d1i%KE zVuvK-2y@O9e^(Z#r~K+Xupa-nq!vP4wkhZ!C8dR#HoI)Yk@?e5q7ij$*N?(1I;gD} z76Zb_8Tj_#ak4ePibSkfMoWN}Qjy;?Df9}1)lxh~8e96ZzFxcPuN844q#t#}lo!&y zWY`Ep@bVzF#JZWeHf?auQ{AT+@iwl*0K{?2!evHu<*dR>t6k+lnO)JNT4yJYlwM&l zK<)IVzOg?bnZoF;1<23zVj{kz{ju*{T*tf?Io(rRhmK8ByH8hJS6MW>o-1C*KE^;a z{V+Ty)($%WFU#=OeXW@(yuupnMEx>?X1ql9?A%(Cno+oLrnT=WmT71|}A5v$-K zlE4xv2~6!!Y%@vy2_7V1P5M!XLp=tz-{(q3{#)wVJZ*Bm(41!IiMXwz{!nozV|+$K7ajAKE4<{!*&K|#J0sQmUE3Wr^L~hM5+xg zyW%3uDl|%=5(Y(=wsy02RcK;dB0ZXKc3v2e`{{7H(I`EutEB2!3O9{$P7NilwH1py zfv>U%G6Iqp0xKtZ9ylzHKRhlLb(ilkmFF$kX8`l^NUcBAAFmCU11W+DgMbJS?e$9C zcZ9FAEOUlUvDpqFpa2iX{Aswn^t*ilX_|kW!Z{0-rpwxuQXyY9NjdJ_zusNWyxk@>ka zXQ7QRvXEjb%jd>^JUwLws;b)Q042j?PQ7`bj^13CFDh=lGnYk!gsf6 z)s-~3Y;G&NRHc{>XmnZMXOFN4q=G8nE+TCF4vt8N-dT;pX;XYTsloNKap=C)5jJAbd2(IWFjzG$`{1U`6J8r7A)qw zNO^AnHelk7b89j45R^sy zb8r@-diFUq1BHaHKLdUn?Eub)7M&ll@?#bF*`D^Y?tuCs_&;*iBC^YMJEQAFiK?r4 z)&39@L)vHkbGi98&*m=Ka;r0)9E_fZFM~odWyb_)8)bC5S$p?$AZ$gnF`P@VI8&@x>b&P zwb#7Cq1i!k`{zYcfg48FeG|=GH*>*jJHrc-PV%Ko zClj1`a~e*sG)WuQ1{a*&H_32Vyywt|tDJWIw&r8kcSG>3)2#JrtRv}7ZP&jy8O3|t z5c$n2z6E4u_i;!72yPuFdrx5VKKRyQ>70ggJl$1*(R-5Z@LGekoYD z+Py|rkg@$yDDk;(aUXO@&gklS_rl!4sw<*dueO^=wIKXn_PZ>x`*v3qb*+;RJss2EHj^+Y>`C26NU=(g7gM>Z5NJfq+W=jGzPYzcrf!4NDr-1%} z{FA2t654xDt<6n6lfL}G*z0pK3U8v$=5ytpiuPq_lCJ<)i@|!lT^MPQMt|r?3cZcT zDSYK_QfGXw8MLbJX-91;<4u19m~EqBXEMQeb|j|Do4>?g*@b}BtM}N(h1ig!7{(BM zn5ad3l=gsa6fYhQnIl&>2tl?|zBER@K-x?hJxIMAyO0=~&*3-xI8-jBfcYgAO7*n3 z`VTBKV|t`{diqvvy7^gY;Fsju)$&cNpWs=_GjDQHO1 z@CMd>s2N1lDwAw6)INE1?LA+a7Qt^G^E?%U8-R`UovjHMQ+O>jp~0&-wVgVqI_Rn; z?+Y%KIFSTN%uz7&S+mC$&Q?+gs|lxSds%|g8fZR;8j9X!Kwb9Fsn=(YN@^>&bFjA< zm&}*UpHc;!nfmRR4&FZ+yX7=wWQVDZjvqQT{0&nFQsX~F{oLvZFoNMxHJZ!>44wf zs<48YqRH|R^}}>?^Z6zeY`_qB!i2OiM%lIv@NxfIpt-PfzrX;H&~g#!11PX>msWy+ zrN_jX`Z#OPO$m0cO#)^)oLLB-bWq*)TxX8z(Mu!x$(e&lZYw(xy$z``;kf${+^^Ed z=$aX{LMh15yw(Q-9$2WCQV$E3+WOORu@_1gu*6y-6EI|?@jD#A1!7%NmIK2^iQfLO zwC6Tf`_y~dih;LyEF;1ezwq(;7$YtUYiM&mWZDTmm!rg(UeGCft~5OtRo_kds&uI@(_>Nh3!i?0hAn^PJ!xu z$Bhqd@A_MAk0QL7;e*#CgjXPp;SwtnamJ;GK?C(% zQLo?H_4Yt}Yp6wXPwznemSouCHC@3~H(?W9{&)(GCfKIT(;}SEkBCyQ69oNy0EsU% z$xO-v?51b7^f4d5UU}HY#msD7EwsLtqOg5$CZS(if4xoh_U43ex4KA8(wV%@{*V`# zMI@Jolr;!p?5rU&SyzMyl3HD-s=eK*hs@+`3NZnPIX<|?0EG{wzYZay4c^hF_nFJ& zbj^E#!U(jG*mMPDOL=SVJ6ephJp~bCtB2&~1LnR0qL~B7VLMGs*mi3?AjzsRQB@9e zCGISi$?rZJe_-|yOEGB*apk@=)%Kes3ElujkCoja?93qZG?3e|E}l{Z6PExoo!Jn{ zFVC8>vFuv(4u5TUT3fd9;A2>?f`Mw}qKoLQWX!(I*st{RdW+_x`;^D`{bOaai*fs7 zQet6tg0d+QJXhnyuk{wU_IAQ}8; zpD@2_f{Mz_sRnvDW0cqxG3thp2;bX%Y6)~v#b&an^{N+5(({s5pB}^esYdV!n9>p zChvgOL`S6mF^|Exxmkush6~neZx>}pUwfYCm>8&hta?65TX=_LGs&#EG_t1u)Y0ur zm^h?DH>B`-z`F5?)1eELN=TYtq;?LGCs0Q4eh#h6GtweCur}w7V&Bo#SQu;Hq0};F z(F%i=b?{IYfRei5KF8Z=#D~Qi@jXkeHVSn>@Cn5_t!`TkRXkvH$ngbq1-g%)Rn$xl z>JwrS?lv^po?||^xVkYtN;LMANMAjSXfJOSonSULCr!U4&Locc=ML2!kpoR9Bw6+2 ze!2afOb=P&=jl_Gl}>ATU?ukl=%#Pc?%56STIHpwYWu0=9r)c?73<8ZEg!xkC%&R+ zi)n$J9LNc8FI!qhg*{0p=9ZXExJzbI*^N@rVj%85D}`odK=14Ub@iEkwk;cp0EU!& z8H|btNC=V9bThUze~xw`QbsQQ`&RBX5J*XaRdVm}(E}%iT%pel%x^HHFf9I=58^l3 zGpmdWud*ZN4Tim&`L*WbJ&jUP>9UFr=l5;k^_}u%$-|N_Zrh>9lt_}6bIBu0t4Z;$ zwT828?4n5heZX!1q8@orD`r|G4txjDcBZ60qjhUj#`OMbP!J?FO4%6l>qr<33L^ZV zo@(Vn4E$MD3K){g2mv5!dAi17DE#V9bxGQH4|Tg3nH3i7eWZL87jbn4Y{mRfBb06^ zqK-9S%Z(Pg;ZViol5N;5maMl)%Qsl%E)3-^C}p~w0TGIx0gtKvWIYHIm3)T6QpfM3&JT)A7OVLp8$}(DvlN?g zya_x}64-!@dX;$9=l29T{F?M}cyU_YjJBWp01P|q5C!;QzS7b#cQ)#X`?N$;(}U&} zm~~;aC|=a}vPcMv)++P$NwJSTLQeb%5-^ z*b*&{q-`~6mquxJr5!A}z!T!=#fZnj(Q{xOffz*1cnU>u0x-fWP2RHL4tKQ`PR&b( z%{5@k3eMWF(Ck8dOnsfWfmZH7o~U=HjYMqA`L`wnHbe=>KtX#o)6qS@D#MZb@~^BU z9y(WRSMMN}J^Vc$hvc^8?ggO$GWa4CciE7GLPHsX^azY&j)UV_=m|o=VI{=)Ii4P( z2KUrHwyDou#pAz7y-0x3VvNv6^qnYFqWtg`Wa1=8V*o zp^|M6F0Ch_vL@~^GiaTN#=_#1CaP?G)k3ic_QBl*eoOR}lD{c|=aBm~u^OH9t?;U0 z9_cZ3drn6U!K6EHkPtOnbRnpOsd3dH<=ArrQ4$>g00tx38g@qx`=-gd(H1CNV@4VW zZvxAcS1x@Dg6CEht;$<9{Op1SL6klwThqO1O(3mamBcWj^Kgs|X-AkNdnDJBK#5zN z>ea&@SR=QjFHG^zDcA_l>SIK`zB6umVHghN1J=7|%KDn_-u&EyY6UE8zlu_;#Zej~6#`$dnKV079f_9}GfG!Ncq^;~GR!g`dlv*^to*5# z3ewY9h{uA<9p8gcK}iM!DN7K{gwEkEmRnpy&R#+LF&3=m(txK(IpAC{%XnU^+ZD); z>x{i;G>Q?Rhn38gvtotz6h?;eX>|DOMlcqVsX*T!gP1kEOSds}EoDO={La7FF#ngZ zkv}?0Vm*hRX~6*`Vj)+L7NiL)mVCaBzm#u=lZ&73#9@1-!fF67a{2;tw zbC?t&F&iZ0FT>{RnCtp$k6XZ(^mN2dG6@0-L=ot*poU(iz#Eu7>Y7aMcFyNkh&AZeqpER+byHC8+MbLmo-cwD%@~^U z2i9B9TFrh+H3GiL05lcxFbK*ZIL{?QQ5B**aMz8n+O>f9S`D{Kk~9EoI7za@yYkjj<}#U1bpaY%=0~JUDxt9 z1_?Q`zq0E;A)mHnMV_TNsv(+r(^)QNV{0p4;-%GS($PGqqb12ldp4zec?pdZ==QaJ z8cj82=I^f8R=NZDL~7Kk(O-&~FhFhp+%;%Rf^Bm*JaE3OX1l$)WXZV0$((;Xmo&EM z!VnysoHKl;zqpfS6Ur=qG=jC^ zpZ@8ya#-7NPpBB>?d|M$&iiaEr%p-)Pc*JdSOilxx%;z3Q{uelx`wz$x%Sbhv%;>S zs<4q-xT+L=c!%2T$Y$+iGz{_u`SnD58gra4Rx2X z9CApWksM;AEA6AY_SZKAHPI_j^`|~;Po{pR6i62EPou^|Qca!;2T-iJqv@#Ptl{y6>YH9OfJ zEC|xx?VzyExCg^%<3WS4%~%`FYf^ic&`Yys?!nQ4s71X{y`1&@>0PienrU4gn>pJ- z#oXf2MU0EN%wY?$+UzqoFJ=sIDx1u3_$X$$SKPY@e!A-M5cJ2|d%sWexClY9044ku zJnVxLlPPhbk(nZWI(Z)$Zn(ycNIQBwc zwT|_Ua|iIe3eo3kETnGs6`lvf7Q6Q-t|5%6S?&y0UX~w2XL8t7qpJ*~HzN~mR92vM z5``8j%{Z`6U@dq6U(`dC&)Qk(2=G%kK;6<4=gE1pp39=Co=K#om9zV$LtkMpM}^4a z+=LQR*Ynb>Bnvn2fuFjOHp#PHJS%k-L93uQrHsf(;@xd^_t^w;qQF@dquc`$0-9^| z+K~~BVa*IZW@KNFl?Cg%$I3xyrB8jO+RKB&a-^5P+q%tXIn{zLjs|Y9qLi8g0Tavo2Sd2P1py*#LQrE!RPZu9*d`8aJZKbHG5`%o&zGFEqsBmY z?oQ=zV1eBRc`Wx#YoeKU^vE@@W-&XfW+Pz_c(jBK=l2yp@9N%+AHS~ah=2>V*<9x8 zq~6obGCyn;#_mJND%pXxWr}j_r2*btE!RTOse#Tw>ecog1Z;J8i=T15qdmL5yY1qS zX^&X04TaQkV~qN2o(__yQ12aWNp&BH&a)w5s50e{g0Umubwo(Nr)y7fD6C{ zcLa*iu%jSlA3Atona7#20wn-O;<-5K-sdHBdF`9L^b3*!XRFMVZ2>TU94SDGw7O7e z;tX+^fi5b7R0;{HL@7924_}|dP4|3Pb06PlVh2nh9KImGSaWzOQaWq89qD990#p#F zC?yzs6gb>vKx~WYI#w~rr^{%J{|Y1r0N2Ai%nXL;nO-;>S5L4WnXm5l0{*SoKrugJ zXN*kAmiX4#R>^wV`q^#SX~;3oG}%>&POc2eiP@vd`rIwjslz$%CC7{JD<;*0!CkXF zM>+5K<`54xUv?PA-c$H;;Z1$g8X-m)RS)2BIE2>iq8G+N&BC=T4rBNva^_D}fEofd zbzs@&xW(#KInysmsIeffIiok%7|e_l`#nmqlAUmP{5x!w%3*8aSQ2gc-rRju;ZP;T z29@}3QFIi9@lqHvDu3eJTzuOO{F;48W~JeGd0D)S=JA-mcZ7rAauN&pQVaC)fYX_P z7u>l1euavR$1(-}eu}evV2Gb}(8bdpU*!vZU45%W;0gG@(Dn{y3Hl0XAZHiH_)aP# z1qMK6?bKp=>L!M4Pli;3qWr)7CC4icsSZUChhlKa3ulXpd@e(_B>AtW0YMvPis74tzkJ}~dvOV{3A{~NCB-2y*I{OvVgCIlh8Y0L58MC6< zLu>w+jl!4nj(XnS;J(2Dct8}%UhoXL2AyRv$r8#a5cGmPCi@v@1Xdj0fy?RehjUnM zuUd2OSjm(S9uECu5wN;=l_mF+(Fr;e8a>xuB{DAU_iX_vPgc{wHCuHYp28cn9h9Y}zLch(bG@t|L z+x*aaBcGp&XaS$eTTOr*a>5>~K_)zMU9+W)t)r>oVzEEpNfvv4DZikuy`{9SwWZTJ zn%|p0m%mnyNe=o?VVL%0(q_HaMQ1}Czi;}NEt@$>wJIeee& zeBa@Q=Wp0>FGZlCi5aV@!7+<&)l&Ka{p<%~P@G4M2DZ$m5^K*zT>&ntl0Q4{^jFz4VPlngq zaJH}OdE>wUja9l^Ma3&cho|84b_bnD5t>CTb1Qw@ zWave9op6qC6;sB@oNhIbsnsX=1Pv!qYaZYq><=Ho&7ShU`pRq?2+ry^O1?& z24w$tY!dk)0NiEJJrwKIGqpkunhW{O8tlW%y)tJ9(LN%~;13Yz<5 z{p7+P_g;b(IwvP^A{X>ButFfu1c(FDqMi$JRd1XS!}HLQeArhb7Vs%2EYf$bZ#qV` z8Tk=1IdcF(v2+HQW){Yf1|5;`lHXQhFyUAHAX!@L9?yo|_6^TSobR%t3^%MnFi(IC zO=kK}B_$TopCR3G0K$!3FD`52hc8W)?Z)TWaLz*>pVvVzF6S5CCknSpFVB!qmz=c^ zqd^5-ZjeLt;h>t;NI$X3e6I?hc8&99mR5S3hG`~Y)EfbqCnaWc(;u-JW5kPrc|yBQ z3$<}BO5nK3#jT-fp@bv#6hP8&1PI>3tkhu?@|Q2joBj032*GNL=C!M9m<&ma}M7S@r~FS6H&!^36=qtUA3s$Z&ff&sgbR!B;oISMQ3bJ*#Mmg>ak6n&Zaf z&a$4!*mE5m7qhi{hmd_jp#J;b~Ua%giYLrDTze|?_!hs1uYVHW#XP(>kV%~)@8MNCN z-4n(0;%J6Bsy;FoA+5JZE2Vp%UU~}iBWRwE_!cvps89B$TX9%M@epFB@&LkK zRRr68A9Dm%f+IFz6XWl@U<+G!@+KOBN0Cg-WgGwPEU(WPO#x`e>g3Fj3nt zET~5fV}ek^mqbZ?^fmXR=R#)(l<^BTkdNy z6+DUhOU-h5dyMkyS@dpRnU&S~q4eg3?u=y*laJTsH-^zcCr6Z31G*3euEDr!Vh3p& zyONdM*Mho-4{Jmo@o4-Glzb1mD>}xYrkRah6S5R#CP+@}p!cHP;sJKPk}Eih(!JUI zX-A~+gO_v}MV2Sry`}I%Jfad+y_;8NXX@!)?WbodAb2@UwXd~wdxh^IY*I6}0Ah z6w$3VC$K@f_evb-05qPap7+D5qf65akEvC+x+_z1?Cp_I*Ei8B@8=X?tjz%^*w0r# z2ck^A_Uy(pT&$M85>ecb1Ee*)oN+XQM$pq%vQV9`uz8Z~QGf2s8V~9{TI=-5jt_>N~ z7n!$_CGT_5%j6}41e#`-Ht?)RU72o`Bsc4%76mQOpr zZcyOM0m&>=AMB}-AI}6rrZ_^TnB}rRzfJ|DUiZ9G^P+OLnpvjV2ClRg)KdDk|_AHhmwRd1YgJZfZO5k(*zT{qRb)WOwhw1hl|1cnH4 zBstyMu}&sjlL&;Wma^1Fro*Hw{vnY&Gz{HQ3o>x4Mx+QMAC91=vLtJngs?Br|3xd7 zyMigF-;A@PscOq#(bkfruh0hkn!?Iw7;im-LiOibGyzM=LjU5$Qh&DUB7qRnQG2>E zXP~>70(zXBy{85i{Fx+!mdS=s_D-fEEzj}D4@h(KRqWN3$pH) zGmR_?^i4LcB8&{#j>dG5BHWb{l9YhdGt!JZqbBxcRdlIdVZCeny!yI!d&RSzcTu$< zPdA<{S6DPk7I|aN?(A-j?M~3HOxTM)QF20YfLohWED~Wk{CJ_2K^3)7`DG7OYo8qY z=x7+qC;(3zt^;R8NkRo|JG}3_I3|n-J@VM3zXNogtp)0L`Ub6EBQVLYgJB{wDmRo;4(JKRm;h|@(=Rptv)fZ$GbRy75FMHp#dPhj9 zfVh{UuI2&u%2S`uWF^j-E~B*}vDIo#9;Ivr;zJuX*BH20`8(v4D68O)r#4jrG+q9! zj9Lc}lM7BpT}k;)O<5whGDu5?7Q6LyyZ2#9dTS}c-D_&m@^XjFuVi++-uklBrhN6` zdY#8I9JeLXxR~IOqDM2;2i;Tb{&Q{h3w&ek`iX03+ANDwb{Ru1HJF*%vjO)vG|nN7 zf(e3UW^E

    5MjMPofz>M-XNS7AqB6Be4PaZD>c6B5}7o?kFi>%Bb z&{(-SltB|BHq)AH3K+vi4ZQ>cS%L78UqSt^fk6SyH%KLlOo?12`LBAn(9GkdD@SZBm2VhVnzj0SW_lJu|>3~VIGgb;Vs^F50ADVh`KoR3Erg{ z^wo)@YzhB0p8y;8wC{JUOfJ-f2Ll_knzB19O*6AehGhC6HBUvXuzJ9&iXRGQ38t|W z9Ow{N8aOow9ybd`br@%9GPq_W`rxp-#I%6w@ve#lV;)q0_m>rO z34IVR-cfbky|ZR3qFEB444?)i@Xdn{n`ncs-eQ1<8uYN7<$uCt^hxxgciqUmx3;{X z<7@x1R*m|JiQK9m60^b#DjTv6VfAKFnRO`JHk|L}%I&VKNX;%Ogfi!Z2#HYg5Cq9RwKB(71@*M zsBF5LqyEX;f zL@+3>kK`xgOEEw3bF;6NDXQe>VJ`c}aK6L$z};R8Vq6$UR#;ukye&2@7A9=s@NBA}LSe%sU}q4&&Gy&9wNz#>c5ryd9!8+J2WHuK zR_Yj{zz5V{B#K=Sv@T>-9M_M17tviLhkX6E{V^Wf_z2~Q!-f= zEeVBaYBXILof$eQB_`m8ne3AW7uaF$<_e7h7v%SI)Tk-%s>`I13rM1-v|q9k?`Q@c zLuYMjiWhoeYL412LAFZtUr0sNnG4Q!MqO&aVUrAM7Q({4tmI)n7&<5rK0x{2JdKn% zC)`Pm(=wo=?%P&83BLCU_wXWp%PDi^f%>h@}Ma6HIUdskoWS*<1AAmvc*?4B=<_vJdipFS3A2 zGsfmZrOjdu>Wj%Rfp4ypvZaUfK_dX_LAk^wGxB>L4yW(B7$+i^m`#jzOpH}*n?IrW z=4aAjxb8q~k#e!TR-S*M%kW47Zik1HKVo>Iq#CdO)=M!v5ky;?1VV4!2e z);ajU-COD%m!0V{x*L{M6>vyT>lAS4iGuDGN5?lj@wq2T+q2+Zn*D?^>*Nftlc%2b z%0+TXb#9K)ZIk2jKdw{WVeCP;o-lkp!Pm=thxEM9avnYUkwelqUajR6#H58XXHUGj z2@%Ixg|A+2Z=AAija5m-@vn;~aE@`F#iN$fzDlodh5?%~14lpM-@GII*gR&;nKRKJc}r|jAs)#fkb62#Zv zXtyIU6vIP3qv!E2Hx%YjOvy|oq%@7iqT>vv%cJEAgnOUlj()3~wOqh%I$HzA$kzH+ zLHtni#zlytkX?X|qWcSAwC> zir&))C;g>MjvLF3(Nc0qncxwDolVO^Lg95{pWu8Zp%Q9X4rSKbT&nTVq85q3Cw^4@ zsEUG`hPYbg^;@q}%3eC`uVnn(ZlD|q8eICTLL=u+kwG&(+bU39&)Tg99Y$tRkUH3i zm89imZ&|X|s;quFh#DK>J)2g(gG$|77+hIUFkiY=0OLagKGdrgCa81J z4rN;lIrym%;cM`h2So0G*x4^7+>2so8!>$V%{feq^tiqZE);B?gkon3Ms!rz6qyU) zP?R-zAj2vj2QkRD9wXLCYpBV(E>28&d>N*Kf9euI${6*B;$V^p-oyo1Lk!9ML24aR z!9b&5D!6YN0(d)#3f3)5uu~-1L4>cqCiA~4*qp>49HvTCu$BD>PepH6R2x{N0Gf4rR>^YKF4kK*$tFgoB5a$ozw7-~|-d z^jnA&07M3L7~GzyH@<$d10LciZ3%_?2<*Ner#DEv`tz9=$~35t;M3b`^d+?E0Rw48 z_zoT~Om)VCwSW0{iQW2_xnK5ZDZAXj0+tIv0~Gj3V*$(SUj}(RU9kMLK-R=ccoVZ( zkHdq<$BxcLN*GAa=tN3*h{fWlQe7q-6v`3Nm#GozDciJM7@iQ{TW^4z`dk^!| zZIS);aahwA`@(F>m0dMBBjzb-@aSa#2dC69(h~U8Uj2LTRN5lk!ByI`CaM36>PB^H zv*~W0rPew{Bbi=`mQ!;?8x(FI@my%Fnf-!T)6hYsg z&Q@-$J{}H{BL4_5Az}Z@UIvkBGmsj z1_%>P=4o?y16Z)`HpY8Rsc|U$^4f;Mc#pYLmjM_H!PnoS-%*V!@eV21LA(%-lSdf=wWZK?K5Mt2`3*qQpiAxb3yd1$W4Z zdQoDdpAWil7#>(t6Tq92Wqm@in(|!$q8B1m(PQxJW5H4tLIe(m9<`=6x1d_|6nkR` z10saLmemC;goPk<(OE$ULj=(bkf|vQ)Mf>IPp0Is0ABlg8jFWeJe$H;La<88{pf_^ zN@hG>2{w|Bz@*HWx{0}|lEWimdqw}fC=(tJTzfU$8L9*_I{jF0ghuM=-XswCssug^ zG?14;Xb(Y^j}X^G+9sQ#k41n^6!pHhNe1SysG!R@nBq~LD6^=* zY6_GB0fGwb5Gw`!iG;fO?ajwLP z-i8C2Sm+Rf1$H2;ft?vVYDWE5ppy^8>P|ou=9j*+#`K*6;rMR+QiQ1B&jYh6k?2r^ zKHP~5J#awd_dBW~Ny-ghd?E7WJCx$F?F{JtQsRa zSnsmfDnTiSg*fGCv#8y8C<>1qCIY44FcF-2r~wX= zx(v~@644aSHt>ZQ_3eMFTRQ^bPafXZg$t)>$nA!+L^M%`zy}Mf`9N4r2yxmy5LSot zaKaZj_>^V!p}>Q+CEC&uLlPZYxsg30Zu@#4aB7oPWzVy0i7R!`JvUuFXj(q zviex8{NS0+tbB;2O!dG_on=4Vtk76Y(e!774y;7x%Qwoia!AW&Q+P|#EW z`kn!iAQ<#ZNWp-JVQ}S0d`DMq63`RQ-nP0+qj=!&=wH$QIr>*W@@BXY%#!FcWzq!5 z@kb_vzHzECUTAoG=(1YB|=Jp@0ok z_$^JG9JO}A?rZ+L1iK}N1hDtv!DgW>4vE_IFN9DeeEbP96!4HOCldm-s7~LbePvje znx9s0+lc!E8jQSlX+}2y;iPDb_@pS_rFj%*3^xEFSeP2Dkt6NvH6#yhsGc9b5Jf#R8cQki>_idjf=vQdy zgh|AJ12iSa030BH(Dt?ia9qGHpS@q2T6e^@1q|C~y^6BdF3pi(6xZQu0%Wi=J2+f4 zuc*!50)7Yz3eJ`y1a41L0=IN-TpE5#%>sz%DI=udL3h0}uhR(C34rPW*vU@VRI%xW z@DBoPCgf5@P-DjE_Y2h0vf(iz14KK)q-sop{!@ZkwhTrL_SXNJ71j($GWvb>K$l!9 zM=pgpAIQ}hil(i~%k@(d3>uQqbGgek0BXoF=t@a6YJ>x@oG$rhy>FgBBxePns^P4l zTBv;I|2^`DAizcNugD)5l>djwpIBM{6Zr$%eDr@G`GdKxZThA_fYwn92CF281)Uva zT|y~^%0NdY9hm;ps3e0JFyMT(OP7%P?iupyk^aRMLKFs07MoSk#RKmDfD8P^j1~!8 zFu|xJp%5h(!0Ni`I?PlUW4w3&epX2=JLA zCyt1zzgrmyuU<}LwKYNzPp3mdE6NbE(w7kkJ;>+iK_~#X4@?T#J;75 z0*CO|XT>p^K~bG(h`=G10w?l>bu?IS_E^6b3HLGKqJr~^${jp>I5cE@=z%n4M=faT zZ|W!1(76~~r}zMoEm5Rw5*B^|C+ILX(geK}PWDUuyiG`SxH$rCJ>Vi>o1r9B)+CU$ z^^l;2i(3%{0y(Iy$6l~~kdjouh-6QuPlDjfbabXMQwIM2* z5`FAHz-5<>m_vxpr-0+>h&ZQu!9cLxy*_sPs()lo<>~*&xF7N|yRf+5=+1qOoa~U; zKP>MEGZsK(0*Ob8A_$*ejA0J%uae=!T4S8F2 z(WNRZ_%aK8?H?&!OWt5k5;&b0t$K9{o6rpqES?7kis-6HCg$m1SPQE!rv+1Nce0!nr5X zWdIVOqST+<2)um+x}$=MGJgYsJ1&S8p#z$71wB&&tv z-x_x{bZ5~aJ~)p=zv9G-%s)ZEkX`H9J+{`)ksKOn~>>zhXvPEAPx053F{?E&@K8n0aa5 z$`h?Q7o6)}#_O&>xG%cmbXckLnXW{QzvC*GHdbGRUe~>K4?fTN)O>N@>=kd`_uqIh zVRp%XCF?ZVdPUvKO}fmZpT-L5r*pigLDsB^2SHZ zW;kl?`P<{|l@P-1342CN!mPyNThV8px)oP@zee|N;o;ez0~>5gx82*gr)1Z``mPRH zI?CK>%R*Pfb09%?Wl%?V!baf?LCx%CRTb;_c8?TDa0$Z@KL?K5HI<=m*!>n;^2*zvWJh7N_NHTU1*AmY}t@ z8SmftqEtB6B-*CHO>Q_|=1>4O`zCAafQ=;rrj zZzem;6q0RO57dZoUmUopNvEW+za$ebiQ7g%v|TwseD_-jSyt27W{=mwZFk6xLIYPG zJJ4T}3Ii#xQ5J!TO#haLTN@fhKEHE+H{!E^s3ViDcx7;zJYtm`N`+InMjt}i2E7EL zo-91|lo6IRir2$2VxP1|Ny2exJh#{X3 z_r6uo#p4}tL7QB79Bu@P&MbyJUqPfXEs{!ee+{YaO(u? z9a0a0nxjg&{UJ^8Z}XR+%h4H9G@chqpMb0AyBY_HXPwyoR_KK{W*b@MS<`sc>#fl& zL*UCF3)Y?XozNvdQ6PHV%b&wZhqTG?1(5s8CbPe_h&Er$86bWRXw?@x+I?-(w7A8dwvC|u+5v4p>E^_s|f zXzdTyG#+<8{Y@8U|2In2V$s9Mx=;=IX z@GEntb33H;LZT{1l?I`}-Q@~dKG^yVT{TkNJYnenCHr?XHc|spYsoxF>a|V3qe+*U z5pCZIHXEdFd~<*_UAe3($m}mO4O;-&zw^JODZwjm7)Vn4$b+sZ&BO~bg|7w6^w-=; z4u)cx0p3yR!KLdKwD4>lARp($k#1Gu%5q0><_^487VeR|SV^;KpH~A@+Q$SsvuXEq zl>d5Jm2exfI%dSk&^qQpq6rLz6ix?ucH|wSBN{ZP*Og;NM@Y1y*L%5hKCZBGn-I88 z1k2F6VXSgWOPC=fSwW_jTvkPO1TX-a?ZU}$>s+Xk z66b{5+bLkR(~e+iPBwJ54U?UF7cS9=~0 zfK(P_DGxvq14yTWEKHV-JfIE_9z4+{UdB?DKkX9@77CXJKze2AwiLH$Z0u!m{*FGD zh}kF_#L{X@y*X}(s}mrtc&9q;M=&H+i3U*}ha>ppmZ)2AfstnLOZ9Qq9Je{}lT2U} zO7ja+B?cksOOpbCeg~Na?;tA*%ko;FN?ah>fCN?AMQa))@5N#XB2z>Np{;4a*t(Fw z2C1>JoR&e!1WMJ=b4UfHI3Q{LAdP_kD}~k^%;qh|a(hjhn82ezEvYPuB9RHo2gl}# zk%EY&%tC5yE|ywr*2FZ!pdz^ilK)h(bZN*(#PWCm%{90!o4G9tc&HMf20zIJ$OtUB zBpMe9AtdqunBOK4KQh^E4D9pzO-wt<=OHl|p3hW<%qoZp#qm zYX~W(k{KAtBBclkp&KMwG6;PkVYo?@FV0!kf{b7>y_gn_OeH=uJo*2W3Cy&YyfikY z#RgkeI9k?qYy#HY^c{GW@Cn10QD;jZ@0$_Y{&o3_PU5n<#6|8$E=GMl*_CSX4QIC* zuiMa--1RjpFK-d$c)Rou-L$50;{(gAYVZEMWOw6Vo!;t@e9lnh@z7&_0k%3%^3i(D{vYeLgcHIUQ;FO`tft26}9{=}LV1!Tu7i z$cN58Nul{Ztde7&RHrS|B?ijSmH`W$2LL~QyF!DTK82g^mC}+YrBbM%0^-ygA0bVI z3IO)l(AXC!-#Y>2d(TbVD-3~(A=9t%m74fUeDb-+0mz*dl(9c@@dH;0(ySl^`YQz;FLFX6B!N?AH0C`k?*yk}#BYvrQBcCIz zvAxEZ?H}PBRk%ieu){utoll`fs?w0=!ju=bCMab9kLz&keBhtW0OGDky+iRTf%vSY z3dF!kG=?*q*CbjLRj=JoQEKFCV>?xaud}*)(`T~KnbbZFYMbaaGCh)8!W2;2VCCDp z@UO^h-c3;-NQK3<=ZbSGrLw|44p~5+AfIW5^W(Jmz>n*;u>oYFMArjy4I!}}*i5KB zwO=jD;iwgj3le0+j#L!s$_Ob?))j44i!N$LmQ^K9M1w#w6O%+A?%0i01fwl48$E#x#c}y- z%+EB`?)m*b(J|Eu9|G|fM%z3REl2W+d)pt zQp*l=g{*7`XK6?n_Isc}^o0b!JyNuNf2uA~ARc>rS9|weHDbr-g%MI&rTqn8JWSr| zn1o5G?Az*ou%C28mFTAA3igm+#~mr+Up*DDBQZN6+qhZhc;mGQ>C#6Mm-9HrU5-AL6;FIOu?w6fYrJY!zuwurw=O;NW ze!{~KHbpf(C+gK~gk`LPDQsvCfaww=q-k@TDWFgvC=$<+X&c?xz3pOYSUSJ4)DGjY z2Z$RX*9|1+o-T1Y{1l0IAPp9N5^?xbo%W{{60&7r5eQ8tA!hK>CB|9NhNmHBI0=d1 zrebDrE0oOwvLpIA&npkB-3kYGKs0#$`o7TTn2mUG{ zS_dehQYS@>>ylqjp#?D6wfh?FpSVMMKa?5(fozfqo4W2?vncGmr3cCgesp{iO|SZu z!Sh@teyKSy`S}B2RDm`G788=7CW%c5+vZVTmb-YiVdslta{YhINSN5h6oQ zA1u+{=?UXja^0d~VM0a%mNWs}cfAokFvZy7gEB z9`;qQG+*X=aoyI+s+hB9`>GOsF>*ai^_R_ZfX~MdI7qN#uoj#dYwz{!MF=~-8Y@FC zFD7C%g>YGwO1w%*)vAp}zFo)4=U5JEKGTHKI|^u5)zmoY3K(74vc|G1LohINl|4el zAt{v-t-|AIrh;q1wOOrBY=LB=!3a1MqHck^W%|fPn&|R+-i^6X`-Zf)pH!RK>cSSR zldMRM#-h?Vb?XnKk>GN2?#6&Wan6cXt4_R zc;OC{$n29)Z^>>0at${f2UiL$r@K|unbsI)*pnveynzwZ@LCgin z2Y}sg4%H%1{iYzO-^4e;-nc{dKz~T8Fr=395KR+&&5+vTkhyFRX3a7MyD&q2uy!oO zAD1e1IlWYum|C|!5NYhYli2tG$TUVE(WMBFYr52krI^Z2OSiIbWP-tSEDB4RN5(}e z&}ggs=Xy_GxonoR{{0oo@7nIf>;$8Qw!1_&YxB;ouuvkle%XFX*kV|J?A3bBD0Lbx ziWNw3jrqK~7;*DDbz0Nsg{4*7d9?M%8W)MzwYq{#zwIK*uiblFZ_d^!Q?!aIJLVq9 zyShq&DE#5l)lAct;6&<**gabZ!bjq_15kt+K6E$o&zkWy80yER$y?38uGF&IHaxHh z3X@%Lm@g7bRK}cJOhAfmySh7^RNLyuK`@QUDj^n)8RU9=779`BVs! zC=d_W{aqzREGc^J3Xi2<8L>I9_cG>xM8yL(t&_@HjFD`wum-ej7o$$=8hP3Ia`e)5 zS}AVluY}dwb{uTfZVn*q(T-KxVp56Ex}!kc8(*`Nc8%PNq8@wmuEGWyhVDM6d3gcisGrtcqodXzo^*voQaJ zBGA2s4i!e={!LEP|G0Gjt5}ZPqGG9)v7iMr`%BgbpK-3If&?$<0Oz#O;q^6thG_0Z zr^@jr^B+IGVO0!6buv5bFuZlLaLcqOt&@-g3fdv=N9|;!2WuCqG7<62#1>=j-`B47$Wx{X%876 zeZF@5oA*TD=hqeQum17%`B+QR_;;0ws?nC>q|q$Ln;lOFel8um=eTjCbfn?I*wTr6 z%Rh__Mi+5f9rYi_&^yKh+hmMZImwf%9_Tewb@x+t@nS1a5jtPGJ)H*@wL3Dl0rtecmpT6IHb^TMOLGvD+3IDRN- z-^AMD@q(Xof-dxw4O?#PSoM60< zVk%=NWl|(dWhq;h7MT`hn^CeBB}HRNB%;u&veSl=dZe;EMN~#<)ApYGOV985{r~^> zeXnaSm!{kOJ?Gr#e9k%d_de%d_M;<;vtVM^frMvDJ2sR>o+WIv`*3;h%N2DgUzT~F z^4UIR-V#+h)c4!L?`5>f^^qlWn|7Q&Y5Y=rwTM;4&4`WfP9JD$-5K&tXd?P)-Q=Zj z3qy-Xh_KsDE^zlE@yypK-eO7aIu-EkCt&PFE>O>Drb-uJS9}Kj3Lufai zTDsG@@LO`y-wUFDJ^Pa2{P&)wg?l{y=6+OV(49mo*J>ZK{@PR4Z`lvS(*3qKB&un>e@@3=Oj+~v1P$?~_l-|mro&}!Qoz1;Uey4J1AW%grL z8gIX)9yxT*;XTQ-^@HH&$FNze6*j&JlR4a&@xhH-xUc+cW{b;&!7RJOac*jl;+RN@`f_;5)bstTZ+!Ys?gaHKe%s{Y+h2Y;s!Y5a8O_QooNqNQEEK$P zL*~@w?>mexbEFzioK-$|-Q#P?gL&6)ZGZfl)cWbr^+RmNN2t%$3>4xLjr1)26PfA;QI&*Q7?3qNo64E=aIJN4L8g;aM%_2Lifu7AI? z?=xOz<;4%?zvpLGEo%Ffvs_6rq_L}}xgcWM!MTxnaph{u&b;iwH7tK~yDeaFMPt{^ zpj@Te6n7o3Pw?=r;CeRu`F>NU{tE+h{VV*Ua0XHL`ebIE-={4o9k-<2`WdkO+V)B> zmG2^fb=qGP^(?HdN6^I29+BrQ z+WRb@Xymp=r)3 z66X$e?Q6stEG+bX%UhY2SW$W4_7BDZ)0M@Cy@%e^FL>=eXYlXuzKP-cPl;~$o^5J& zxab>sn*lWe-DHM zZqNNal=iXkr?lS7v|lIpE!SIq;Jfngr7BC!mN9u^^{qsnhLn~{B0*Pbuv%GTKV*UMX%HzjY(zI1`MG0v#j;H&a4m7naN zKXV@3{ir7L)Bk|!oucuhw{D$oqW-qEsrh=bnDABN*ADL34IQf9uk5-VS00?5zoS$B z(Zd68?kB}@F8%tQ)}OkDmAEX8Xu169tqW1>JK|F0ZnsW#?LU_JGvjavd0*J?6w>Qk z6K{SkmXSU6?b#O-k^3*5Nq27E;x4*#^Y^cJ&wl^(TRyfw_Cdgp@dIfcoM-o5D4qG< zA+|&`bg$T+4;P%n46iK|**D|VLnY($J=jBnV?&D9yXz`>6;oaj-Y2^K~LAk?n8J}++ z%c$UFSnkibad)^uqO~B`fUF*QOPcg}V%dY2vt>qgNRNkv?s=a4GJe)p|I4KNFe&#u z^JUF=vR)pmt>gLieT?L7j`Loqr=8iaL0j==mHzv`&A;kI)o>(U+7mi%JEyqIg^#Ap z6P(Li?(>oOZC-r(>FfP=@xG@X%8qBW=G>C@TY2p$HR^-PkId^2I1(Rw8Wv{XlJ-sE ztP4LMyI`zM9#32LFsidvSmabgGe6yu|5Pr_PY-8vZj3sWDxdo@dw;N~hiKAGfsHqg zptPV{m~DL=_8SO6M8X@&xuKM;Vto5o`DStIX5l4y84Vp8@4`>+b2uYR_qs8p7o&b{ zUquUF#)o}Sj$WJaUB-r8w0)fo+j>~VO_n@BtrFev&_IqnKQ9(XFSx5MkLOb2E%C9I z_~-4`F!ISE{=o<4Z!Opf0Zoj3uF@6*gH#Hdli|m1^JNFBvA0S_T~vrse;g?tZ3mKf zUvA=SAl!URaIu0|WBBln#o=X&hgtbqto#&l6$to)>Wa=1T;K=;d9QJbAFRx7AW##)-+DDu=qOqnAB=KHYU zK0j`eA8(Og?eoS0(HhHV_l@jSr8g|}rQR&U^CKpRLVaeLab! zwND-s;w-n7J>v2^9r$do{gJ}-opSWNAHH1|R~kLg&tmzcSollT`{ z4M_FXC)$|zxTBF*Wym6zuU4r()MOLA_O{~K$t*tS!^dKilj?6DuBVVM$Mr5vThuT~<$$Du{Mh$a@w2NpuVf)TWwD+5Mz`3p@7eOR zul#s`=Vzx_O#D5(>h2;)`tR_w;cFNQ>$H8H6&hNsMlXg(Roq(hQM9?yWSXf6L;7n? z_SPlyPHM-gXYE~uG|EUj&Ucn@bJOFfS-9po(~_!zmSx^tR+Vmo$EVAiOI&5ir4_^H zB$7sc*m|32lv@G`pC5?vvkwCaN{7&T=_|+kMuM{Ak@aOIj>6a*@T;$haC1XVlnJgm zczWhbum%eFW*6b+i!X(%h^&k|-qI}D+$eV&w!Ru$-)sMI9Q~LKXkcH^U&WNOI}PbQ zn(XuAb1&=$iCrDDM%KDZo!+AgV-Gx;28`p1p@ay6Qf$HTIKC-^wQX&jaQE=H$;A)G zn0_s#vVpR6#fqCDLi8SW5N>mU>q*~J>y=@2YQ9;_V9Bg}v)I8P(qnn@mwU6{e0y$R zce{6Q(>;0JIQ3VyLq6? z``=&LvI7!D(WDLdxPs%7eA9E5=Nzx^`qocfx8ZqEf;OvqRWG@HqJTjU)&PXz zmq>%mL`=}iSx8$&bV zmjkojPvDoX-HF~3NoJB{Pc8bWD7zb&{czYsmP{VH=Rzfy%hGE_+0{HS-YR9l<6AzS zR_tm={!<34D>#omA;`I@z+wrl@(ExYBjn@<#=+3MIE1mqs&o%+_SO^pKoyHFSe*8b zIt@C#MaKkhGn*2uO7BGw*Aa_`j>g#A@&gIj#}V0?o2Zx#Y=W`66k~l?;A}jRNnZOy zEPzI+FufP(Ld5PkEkQ`CTCvxMJ?Y3Fk!E$xjsxs|ht%<9Wt}>G572djKO$z)C56$T zif91*m@k@SAVl_-A(!^KVlyj<+Kj_@^5nW$Qk2ph^nL)Stp7mP1oG!*<1sDg5wWhSE5(%6~J^m?=oV`~jZy~Bb-13 zm&k%1w4Rb6P%!~8aAbxA0%j2w3*H1P;<;`TXB1fZh}J#97_H}el8d+&YEsw-!;c^} zV5};TNO*@4&Nk5h)_evoBsurSG%OX&i9Tx|?wm$3@_ zun=3Ug*Yx1DZz6Yq%ZUF$fbc27GlP@8np16v^gOTJSHNxd^+5a0=^!QvsgyTNDZ3< zVcP$M8>=z9wH0G0BVu=40AjZRu|{Mooj6}Qat;6}X5%Gv$@k zFLAb;LiUqE2A&*?*b+e)^E8%Eu|@W6M6@tUMj#W0O^UpuaI?Nd(t5C%iZS>FRBOIy zb2g?ie!}z?O$5t1Xz-R&V$xqA8)5pEnTP|~;w3fumU+k)vrU{!IGmL)llXkx*~*3V zVvGhSUMotYN(RV(AL;$#HVo!-CEfFH`5Jv73LK$aJuBT_p4C!w3*ynkCh>XA% zD|oQF;ZMO?mH}N(?DN8WcP^5{25ixG;hW`<;UvvN>pN|XggDG;N+v!2n2?(IW%8W_ z0X$h2t120zfr{1vq33uuJOl&JDzZgYCYltf7Z!RL5Nl+}A!;a`vP3e7WEDB^-9;>_ z@G-v92*AHsu-OUAf2X@hkX>}a4T(0dmL#xGk3D*6f8ir>_SqNi9UoiFKEw_lJQhF} zwYk$#hohUe=!A+AbW~VsHaN1K>%n=VNoS)q9oS8!vSn)Y)H&3$pAFP^$BDfQt}Moh zfzNT|rvq|mi1|`ugfp)z#|%ck@ImfLEAjA|9FmT4!Z5G}pS2PLiG4j76kWp23_rFsXUqkw+ zFS{D0EEZh^@FbtMiXl&u4Nx+w&hF+e7!_uB+kmkht{I81qL9mt=pL z*R|W~Q*t*1CiT5~<-&9iOe=?S?#r$!HKgZYDNEpgp-7GlUHN>SohBWA0c_lM|1||! zW~dCkx5ylW=RYWtv_&*&%hz+tF$L2oGE(S6Osb`j>p)Qx%-@J1_5F-|Z}?MC)=*h` zZ^QA(%_ZCnH@wGWl{+zM1C?9{9zEe1_{sl-AFM9D*n*+aXW-4&VWC2KFQ5}4zCe%X zawDSx>3J!#ph8`1IboaDn#)l*F_ZK#{ol- zV>1|7RRl({8_W~fau1Rql!_ASKdqYyKB@!P#H@KrC99*6i@-&e_5pZ+FC&X`cM5a+ zNA*-J)G!2DRfZz$7Jhnceqiengm-x&EVV25+fnEtFxzZ z99Iz&slhW4=`K8%5NjE9*JUOZfRVZq;4cZypVsZo>zoke-NYCwAreer%Kc|h+?B_J zBybqquXoR1qKom9o1^ z74TeFVQv?1GZt@n*g(d%6Vhcj2{JF_f&z}KFum}90hNpJ+(;ODY%>bwD&qKteWT|! z=;tB1JH9alM+m`u9hQZ;;=!FY1m0!L3YZ2=AwTx~9- zHQO9NOzyQJ$Y&LAt5#~Z6;m*S?tSSFF`)~xorK=q6hV*6#*mW51OLG z6h&<~wyIvyt-|bN3@U7p@?EOtBr@Gv+0}lb^QQM`J`9)NzkYhD2KTgG4rF2ke)gv? zr{5EA1l=!|IJ9^3uG-LCfh`Q4VyjUiKm1@|FY(PjtAiZ*A+=O|bRL26|&0iIcy&&iXO zaC@nt*)bxKlnMD#FXT(gv6gplv*W}3amdTzke7p8g~vC&=%4$mK@z=%!@sbdOVD7$ zTR4J&6bs(M!K%k>e zKG<7KRh%k`JfKG(r7qs-d28%gUdyij;l%yFe|=oPAO1VoZU0N5Z7n}7Ie4{K+Bv@S ziB)>xD|n*{>Yt`OFGZI9Qa^JgwIX^>_>K5H4UP0?bru_Ui%yNqjeWnaUW)9pzd)zB z0oR)U{K?;9qx%(Tr9+`xO&Aa5X{D{NE_mid9Uw*LS8Pt`y%_MS^_S_;epzOG`Um-y zMoK)>EV%{gi7vNhkTv`<8*@AuM4?h%e%I6d}w_1y)h)Nc99pvSy! zkU6`(N$>Cc*Wr!qqhbCnDH4P)rzUVc2HfwbZ%JFGa8`tu#9nxVZ4%_Y| zVi5*>R$Mt;E=SU-C=8j~GJ8DzOQ%wREUh+S-A*A|MVr0eME^?JU?W-)eEf=1zf`#n zs&VZ`4~mCR+AkHk>MeSNaA8>SP@>+4uQ?n+arQYQnl*(~kdO$Tq}GvH6ya>cAexRD{ru^-5jpXg6nHfq7V@=9v+*CWdP z14+h}P4SDHy;?Zw&74k44(x#Xmo-@>jlJm=|IlJS3bOD(PcreQ2Kn$m4eC#s>bJzZ zwZt!KXgn67P zZ?bt8&Vr=uMOH95Ywm4M7SBx<_f8N$6McsON3cFs$8p$wFLtWYcFNJn>%4Mf#B&o8 zwLzEEyZxE&o0;w!Ov<}8aFS7nxz>tF2@CI$A-PGCcq=L%GxG-rKK=EOv2y72wFewS zH6|t0MGHNheo(xz?!M^6rd+|MEBzkgOm}T2Q)hV>c}HYRJawoZZ(9(02j8#8H3td@ zdbWrNQwQoJu9}E3v*l=cnWqDjN|Prx9i7;8Sg@&j#b3g(N*!E|VPD@?HQH7=8u7U2 z$r$mI(`5Qqv~wuj2}9ihpU!0_aCuAfr#aFSh7o&bD@+)I_Vg#26Z(C~4UI>U;;!>y zCO_a%EIE{FXK5j{`Ya}~?*&hlW~@3fWx;##o56O+7=(?Jx5M<^@{rhj{UZ!0X9shzP>Fs%zKuc>??f_ggMz{$3%9x1gZWKA#VKk|trF={6Ck7dc^RK`e-X8U7Rm?|2Z8;-n{EB9AOwR=rt>Q?i zWb7FnFn=h*%@rRNJN8UtOCDR6q=Rtv9E`M)Al1T6K!$TC7Z3tW>Z`Baxa7TOdF*lOa8pASr+p|~^N~6oO6(CLmCH{f!&S4PeCklX zbh5DwDHM@AgvceCsiw=)a)hzZe=wv|Ybf6UW{63wMYHYz0=n$H4<;@G{nw)PiZZJm zW(+7NIdKDpR3}Hz+nZI6wRPb zHP}l{bSfdlnU7@4ml9stU^O=;aT$&jEi;3TTHqLmSYX;KZpW{^4gN+4v&*%m2gP+T zVqI_~mp_Zfols4gP|X#n#&Kk3AP?5JSC;fp0!g7rh*l&!BU|MmR_27NE%-5kDhOd9 z5Ba9Kk7Y1_DNicc9XB{IwN+ul0uaBRlkR{Glt=2NJy<_Gy6q4royB>|m{1iFsQwE@ zJSZd&wIgm#@otFL5{y=MIn^GHnk)8WpBWLHGo)1;(W>QXwW7>Gab}UgUIo;aR;od( z2AA)|3>0AoYRs&G3FaKL1biNcErO67)(i9F3TO$$6>i59{s@*rGJ_ASxiD>~Fw$^q zOv7bKW|#za{w*m&n%l8Ul@|D8&1DM74Zanood@OeveSd*x?$zPVvfM+`V*W^F;1t= zw8~f-w8R4qk;&b%B+ySCri&fSYBx%4Qy!?-?Z-ZU*fOFyQAov@x)dWNfOQI=T&*y% z2|@?)D97}Str9lc-CKzV{R>*gP#0*2wTZyTydV{eo%Oi6q47nI*5YTYV2}l#| zSNNEZyk~r=_7sx^3b|bh; z6jb?EG;rK=C&0O(m5@X;WAv_NG0$W4R_;MN1i!YLW1QXLxMRjxNkld#jUc>8jdl!t zp%YVI3^~wgtvU)r5PBH2|l3sq?@$fkkHIj##c zWoVYI7DqS&tBoZ*j_G#)lFw2B)f*Xsw}M{aNOK0)>JG4yz{!y%HXjm~c^?F>Y;v`< zK<3zjOfA~<-eN#mj5zIgw{$~%<{_BD^3(}%r>XJ+nUrOJi!u{l9bPC$!uA%YU2g@8 zlkOowa?!Isry#)G`k1?3znbzmzh(>HLx$wyHaKwbM6BYV+8KzNoFHndF`@-)Bi$)c z0kLUBg6`}oO68P*`UB!Fx{W;O^kRTN11IUo0ai->gLkg7Bv%X=&f#e1W+3-!!US7< zD1Q|OQc!!Lhe1ap!NRws9A{1=P_+HwMU3rdA(Isbu?PQ{^orG~~O>L>St zf4GuYr;7P$sX_3aR-RvTDw3-WJNIl;jGhAn*R@!M1CR~O-vgj4Fhx2^JF7<9Gmp8` zD`Kx0bMjAAd=4CBa$*I=VPe@e1iFPNY!i2t&`d~7y&F0nllTG*h!)~;M(1{^af{Vl zm~>*%f1yZcilB4b)pvN#$e%Em(PDKvIq@cFgTS^3;j^<2hNMb^`OXbitqPZ&Z(E(7 zUR;#E*l%XqBM@1v{x1+Yqed%|qlq5(Dn*+GW0%fs&cQH56{T)me4*2eEM9NpotHJJ2Jm*APG zqq%OS^aS6L!}f}RsP)_oL-RK?NBo&14ZzTw8RTI1^2-_ni%gO z?!=}nl##6$Lu9(il6WUix;DitG{g^V4-pe=`WKl!6jF~Q$@Y8ZAB>CGdnuJuY0EM6 z+6J{==l^J*@=$%|#3uN<_v}3sNP%DCJv&Jqtj~nh@$a11l1YhwmM9)lLU_8vU!q9x zhaew(wpE?BRfa}X@|qnZKKsuS)lcVx*2>i@Q&5hjVrPT$G28nVg)%h!{L2su)Dhe zMCLxGr$=!R#2n7`rk7-BTA?nNTHr0#*hp{Ui7S`y$8jR=Dv2xgRpCf}f8c^LnEY_? z(*#>Zqia!3mVc2p(>Xz(VvNb?~)B1+-|Pd@6+@0z_qICV%HquQ;vt$wTkEr z_&l6n^Z4G5(-F=ochT_ufE@-K>0V)HakSz;7-!g2ItP+N3DVKerD)3qrCN}2?ogd! z5HdLB83scPX|H{m=PfzzAdD;&FMEHtkh*-?74|W{Sq>aAUuWw<39K`%c6x-x9_|3X zfk!mOUl0@pZENk+_}eH?R02nTv<$*|*rGDrwN zKK+X`KjwKBJbwjx{y?6{L}kPdPmziKV++XhFW<$H?*0jYTR85ACn^;OSyJ!U*ezwo zd}Ug_j4eQk0NV43X!e#DRQ?G)Y9vS=;KEi|G2y8Hxp_1TCSIq`k zhh=Hi#AM@G9EtdOB+6<$Hmyg_Dg2Gn(D7tX+7^>UMv5ZDu2F4D$f~?h<91et$82* zr3@c@EBL@Kp=&RCFMRyIDA{lMM8LKEQx}}|$QwU@U!vBhS=49AePeiMe%+Uhu07mM zb$O2pBg^vYUA#NGKlSvS`ebhG%N;r#IoG-A+T<5^HKv>WRj$;QMoR17zn-70y7uy* z_!vjqQ(EG4rug1#FFZIB``;dZT_GDfHmmuI`RxwL0WGyxio3FB6`pcAw79LX^ktIW znrljj_kNqT|BmV!G_EgbQI;k$TkJOl|c`zdi`df*KJ6T~vu%+ai#`Zf=Bk zbbk^0;T@U6fNbuva;&6BeqX@lnX$g&H8 z>l+n1(^3Kll)I8^x0LO6w29AoQ4N>V!#h8k7FN?GIsm7m#GsVx$2Vy85&-33_j<4@ zLU9hId<2T#lp7oI_`+zo5K<#+@2rHxuF)?lQ@(H$VxZ@unOa>#RM4f93uVwSW#~Oc zBzZ{TyQYR)Zw4NPxecC)qB1dgC=)|hVPa)sajpE6t;Pv<=f-lTl8pn!CjB%#uGm(y z%sVwOP${Yc0M|ZDxL^XSe*ySV`&wy)g9G4Rms2_?NEbn_rJ_vfUaQe#japQb$3Qk zOh>uCQ<2wtUNp@Cnp0u2kF2W^$ozPEP!WvlVJ#D`N0(fz1GQOL%@f?^Z4M=0wu{6- zrru%$MnS;T#%j26wR&L(wxY^NpRd6ecLdqC@O8#YD-JrThzh=bK2=&tr5&w(UAjA| zAaQ@KhY@#J7_ zpyvjxIu+{93O=2Jl1m?s{yYxaPP~uA1!cNjZywFmv7I=MLsjrXPzd&Lx{l36lIBUU zJ_!WC*&Q@;)l6~Pg^aUAW6GPAQf8>IzrSk*i!SPI~T zBF#=&bWMynoKTEu9h(eNK!seWIyZQCsw&gvj=@`0L0w0wFFX!yFg6RLl4__Iw0Pp_ zsoHCSwH=9iUD!Y8`#kyYT*(NBVJIn-W$rQfQ>$&^{RBW+i#hmIDO8r3S|Jm;AKl?Zjj zXtm&gK-$RUdIdkp#=^nceQO(_RD1-NmNd2mxM~rU#P>rRgu)r5fRRDsSRvt`nq#kxQ8 ztz3;+@Nv~xt8H~eO5pdoxHPwqnMrt)Iz4D50_U31EznQp`nzV(0^s+o4AR0;D4Cu? z3slZcr9sVpD7w=U9A4QGzSU|KD1G zXgvPp1d+$>#+h&;CUHLUeOr;33JrLPCcMN*A~Z#SPbt&g4lGZ6Oh#{x#xd7~M6Bw1 zHB$XcFif(s5jw~~UlB5T`oE(ID49l!^oLGEKMpkfcxsJ4sPq9X(k`UQs1C`rhrC85W=qQYETJ5KT}6d;*l)&!&cILWAjzuySC>FWR# z|Aal$t#1BlRgz{zrTNKXy+8gBj4;wdYySr${M>l0(Ch=+C|pv55UrXgfODJWb7yxo zm=uIUTO1ST(m?j3>*~my-V)QG+XoDV8_m%nBBXqn-Ib&YvQf8zlhADddT)T=20tkd zS4*cYK_hplf$7XWV+4coaYJLLH9PN zmy)N=d6l^+E-^Dzkgg8iPZzp(iNjziYoKy?ssKv)S4XV+KJVyfDS6`8);n6VM z?>;A3>s)K zn};w>OCn7dp`SGd=->`#@lcNsP^?IGCMtaZyLL90gheQj-VS{m@|yeD7$!HUrW(j8w81Z9CL@sT&O{wG+tHHNPDz`|OE{;r6n z!*C>=LR$$zO+&6RU?mhvq34%jod+U3m+20GVoN~FuRRY|Ls1X2SCv!k@Pz>g1ZRjq z3C^luBa>fvLBqKLEj+XUo2vlMuURmHNbOs(06_JL2?{G!e?UM1GZaKcO$QVnlSb=_ zsIf<#EVDLY_8SDMRwgJ?E3pNrlz1HE_a1r6B3hPN)L&=M z0!L8+j-pu{ng@aKyB_rYi6?UZq#i-AP6Hc?{ufqAhTeCX4kvt~_~D-@@n zg%rtO7J7Ratw$VPgOOvW0ex5U5<6@4XM%~u&OOiS2 zN52nPc@~F`>N8Y2dJ(_(|C5SK6mM>3TKF9Nez%5_dyqr+}#ogOJrkO z`KW=!!)-~by_zs_8yq1iWZ(xf+2#b@F-H+D3S`QxR#&wYcSoWL6iDDj; zV`_!^d9E~tV$kUS?87Wj4!yeui49tyX<=P-AgzObaSqVV1cwYz7E(Bi<)3Y46Vn|2 zzZ!l#j$8==5K{t%LTexg&yQZyaYZ0lRlpJOLMi=Kfl8hzrL&4mXf1fvk(R>#|7rMv zZBiU|yEWda1dYWG*S>oEZ>~&ut;(WlHqV|;6DmVH+_M~>4!*e{boWdEG@T|sY=)H{ z$QN6D5ngxlm#u?54eJbqb!gduOwjHmF3kotG5NSQF7X&oVCtgkA;O9I5D4j}+4$Y@ z);-n3qg|(O{WA@j}o(VsGh%J+&43bkheqqJmo~b@+x|_X?YI{feblCC> z9j!N}|3G@r%2sp#{t1m-@%?i){a90bkm!<5^n7KqRmk5y@}0}&(@&zrCl_waY4(4r zys<0GpY!{(wN#so_u@zD40{cReWJBWg|C#Vm9BGlEU|0&klEjomw%@TYX7P+c#<_a z^^JTfD6008Rffxx14|oI%)--e8{BRi?f$-4UwXBFQ)viATzrY|0+;&EIUhZ}7No7+ zxAZcB(R69{TGhs}Yw;%P@e&y<{1KnB8wU+yh^2iIIO>MeX-f_gJB)zYJaq>iXP1-^ z<3@#fjttfM5YgA3SKiOiI--^}dlk&^(I}-&Fh}F)!xiVSkz&|LIjX-b)t1HBnsvNN zEM4ufiPQ7_T~V!*3pF13GPb5%c#~u;_3^yZCBaxF+*&0^wY6d-z;-auWRB{pKhB> zM_6Yp@xs_FEo)xBHP6SM7kl@Sp{AAYVq?`tzU%#tWT!hw&dw`A1fKNtfyn1wnQewz z89w@&jkm=drH&wCqj~v}Xz0;)!@w$)h3zsOc|pQdd_@;!@Se*dVsAPzNh)&@%-Yc% zLv$R=IsJ{XwDq_{P|aiI#I?+u#S1GsO@HZ(S>qKn;|E8J;{5Gj?GpB9dvyP}XaDE~ z(J`d_v!)gEx{Wx4J|E^J>t?W|wI!@y)Lsl#u-AtHwV(_d39~xE=l^C{l|$_?LlJI%`{Oi_G;-LPovrk@|?(i!f=V z^qO&>^q?L|b5qdcy2z zePB%uEpaFF=GkeEW?SReXvPnW`X?bm3I2u{M+>uwwjt#yh@)m4gMx9iN+?+2&V|`8ql}qtf6sW-m0=N*#jCZi=KWBO+fnqsTvu{B@?T2ff%CKF|= zQw?zEOp<^06^oTNjH2yUVhksbCqM4B8D|rZx;%1jwzEY+xpnzwTmNkN_R7bZ zfv4A!vgQp_f&$BP5v`XEVa|EV&IOwYxOi6BBTtRzR2|-fh+z}35+=z{$TRhQ0&T3=N2FTL13WYVxD;5ICItv^`>Q=7mRwf`=2VO8z z1X!9UKq@9!ub?ypnSdAh4Z?_2O-47@KTxc#lYrp`#?^fF#vRE{^6l&ZP}!xAL;(m- z2FX!9<}s>y{!ew=JAv)2fMA#w0pZ5t;jfHPY5zczS*4tPD~AdGwAnA_Hw+15#vqo(ImVb*8V^np*`(s{cx+dJ_` z@-b7a#~~!nmZSD+GOD>40gBV0fk0ac@l|}tKM;Xim=S`^)J2Dgbu!dFHjGKU|A=&Z z`lxa-sLXZ0sS^tx?bvss7SEVGg3N)A1ZJma&3kc(=$%gVjzK>$+yJjf#-yOq-nnKowd3BS{zu3hn84-jUk`Q~NCDfp*(3OeaQbBHY4bP>j#WcUHIBm>wuZ zow7vhC5Ek61M_Hmr*wO#0U~x2wq7T#@jBM{QgB8`h%WydIYLz9Gckz8JeP+W=1J`s z2XR#E|IqptS@{X&BPfJ+5O4}fIEpdRsYpX1B9 zapsZ`H3ExKJRnAyN3B++x_Dpj1~7=SSibO~zE)$81+VG|DB>YSv|vt$+xRJMd=d)VJ--q;+8|{xn%z0J@cae$do1u}h=9Wwt<{eHhH8wb5NEV)5nB1m1F4iby4@e7eu;pcRi@lQ;oPIba;7Ms&}9^R89!o64ES!K@I3dF)QoT`5vr$eJe*WXcxhRNSW5+l@9d7IpXY_wP zyH$pI46`qN)QL|AQTQ0zJ@2F~)zx&a)N<~c%3tkN}?=a*LNLLLz z{2BUI41HuUvnlBD^;$<*jx2_KsRgevj%cSzwTR~N!Oz?@dU+yGXU@_`T@JiLk2xSs zo#nre_(P-PN;PWHPStp_17pr=wWBgri_04)zh$em%cN$M3Q<$<`1Q`c7z5Q!TFMYaz$DR@2JVwpa+vc^Ww~{P}OC4ba-?y&Ons$r-13KCwx|0Dw(m&k2bc9ImH#Jtb zdWT_RjRw_acfl-xq`VS&YTnS@YLoQ*S_~3JkYn)BV60VRbjSLa5d2I2XUw5#&--t4 zJSR&XwMLdxA`j*ut&Pkf`zRLpcZCFRJ2)_L>;Z41xCW!!2HYaD#(V`$t8*d8ul+0- zpEZKT93_W0Kz1`7b3_g(`N~p(hU!^|%)D&IkQXljgF3A}nm&BBjw!v)Bgh1)BcQLe zVjvX0bKAhPp(JGyTHt>tDFcps*P;}`G6ow8@4Ue?ws&f6XhEplh@o<^EI3hrmpu;H zqyU!1U$fZiR-V?p4Ja4eJPH05f@D}*WMpmC*~HMUkZbB)4NSd9`ajI{)vYdoyTj79 z$^VHRE6>){KEVVl#@OjqV1$JnbJGKFyG|mQ+l9egTkP(H_RgR)@0KF2AVpD83M4`~ ze;c^9N(HY3H89+Kq_t^5m{STo^DYPZTDGcHs~8Gr9sEbYPl(O8`UmBP{iY+1>ZhOo zK!?f`6v~xa;~^F*|G+4MS$7xXK?kCoWB)m0-uis^z@^wz%yAHK_@cCJ8TM%;k3R6Xa`zk3rHAZrhKjn%=3dr<7;>R#9 zUh&y#fZ#2n```GXMgLs7^dq$UDjmYEZ6N`yE_kdWh)9QujLDG81c1s*9twZS&gw zDb){W&7g))KT1>b71iV2AesA61QE>t2#g?0Gr*>W6c4hrCY`O;_;-+{i8%ty-;ow; z<5yRFJCRXpM9oz648=x5j^|YfBj;G-U13(?Gcy**{*$CQmX)WPV!H+yPY`MBRHu^H zX=CmXaumnIkf8-7xAUue&do#d6S0ktSn>|!wrw(zr&c(-p`gecOQ-&h8oY}fa$%O+ zIux6B2t$_ENkM249|`%~2Y(eHR33bI7nV-8Kw=kEj!mna4k;u^KjMduJVP4B3fI`g z?o=34@2OJODsyWs0GtL)cOGqed*=^)I(pDH|VsIf}Ub|%)F0NP( z0m9$POW&fX+1T_rs3%I=akTf$i+Cq2sT@kp;PrTTg0#?X$R@w}S<6_WJhClfQ&_!3KU^;GEx1s}B*&)F5SB1v#M0?wnbWbCrBM zvEcrWeL;%lHDy?`uAA;NfEkwzwI{~<%Cb<{5<-Ac8MyNMs$@t~+#ylS^FKIzg%JTT zAacrb9_G-z@@jE_ZB`--eUHbG)fPGNZ1mne#1=uAs)(h^01n^|S8s|nQ*XzOE)@M& zj#B-!;tyg-O(TXqZ#6_1^mZrdHr$hjo>Dh&4uWr!HBVP&(eT& zwbRdi!I?se0%P4Y8#7dH+IqH}rrF(oLVp~I?JW)OOT4+ZY~~T?=Q!WAbxxQ%G@;bIxp<9;_`!0=k27{rEQsf?~&&l zxam#kq)9!PuMx8dUT!7KMrQ>__Y}j(WpSoGR_IOqS9lF*CxKf3|FtN5Uj6?%f!Ow7 z0o)q$hU4eZ9JQ+~!A|0G2`+8x_bqS;Lzb5a_a7aL&_<^fuR`x@|A4w0iL{-c0^`Qd z!;K;6HK4|`*X5d=gA8U9yxtl_jsJC{awXE<{wOwyv%9v(_{`#ULNv8LYoVFP!=Xqr znsWh~6OI+Z%>&}Hunpb}$8}ylpYG-z-1WpCUYu;^B-_IK{V;#M7&~Z_B18LYeYin` zhIe>L`9R%s-2vH#m2g-Rdp;Z*NQT=rUfjXL+My3nmNCrkV~r<7Xv7{kpLDdXpbnU?6t+{Mrrj&U=WhQu#oz&WTtXAt4Gij%}N zIIx$EOOruo5U~S$_uvjUI4$Wo`@|yPKdncG=BT%6&fdZ^V=2YeCLz;Th7^nCV#kWu zYS3lhR~Nmw(t#6@uNI_!V&_8pd!rC>PC^9Sy2ZwBGH`_#Y;XqA3B3YcDh>ZX^(~(U z*AqEDyEqFL6mHdk)01$K!9(b$Zv)- z-9ZE=715hwH~<4D6@jDPP4L$_!)x)KiE; zw%>K%^Hx32=lehBf6knqj_2L|zPD=|*LA&M?EObx!7r;poElL2A>2_ux;)MlRpNNq zfj9|NYoK#l0Z-PgJK1C763tlGcaQ$G>TwP4*P9BE`UhR)X)U{*N(5^=#2$WV2(hMo zK{?7e`%pbZ1W*N5u&jlB20_;IAW;C)AEpKQLH@;lZ{;YMU@@} z00chD<@bJk^vhX|uEmf!x8R|r!BS`=FT0dw_AdWS@Dqp7ShYw=K&}OB07^SVr`61#Zshbda%gt>UX&?`<_ftYB|WKv!IB}?(~#)>r6aU_ zozzNL0ZY8 zqP3D9nw%6^r82szdZ6`xz5r?qBu+!-CgiDPhr*cYkkyy?qfU)p^E$;2|B_PL2Mz`s zh!lk|>${Us+F=3w#vW^E0Z9B*)^YPi*>45t`Yq*WG2u|*DZr)SY^8^U{N01&4}puC z{h!Q3Kpv&zj1~a1;9tKIy4nTN!0Ju)R*aaz$s zD*Yi6lTd#8y{{L5MtN12esv03lg)&p5Mn*aG;)2|=mq&tkWBq&qEg#PQeBgz5Nid= zi8$J+ZHtQ4-Ar69SvG=5?+O#=L{p?(!85&r4*%Al!-q6I=HH~Q(w-Qc&qqc1d?t|3 zx9Ce6OyqVrEhJnK>0S5(8z(kS7>I)hND-ngc#6Y(PC;Zc;M4AyB53DL1q za6S=xBAX%4J`}8nChYvakAHH4)V6TcjW&;rptqCqVtO;^t-Aocx>0yMH;8`-= zu(ES_O&&x((QiWzDdX`s6qVNaBYqxqUhb*FSz^gTzh?@@ITab_W<4}hq$Tj%5ho3l zL`e94I3_93X>W&wAoXyXuXdWKR2sT_ka`Gr4;U<3Bq>MT=H+68o0KsAS*wRIK zouW7NFawemN)gDw3c?+U9m*x*X~=rz?a)SGHQLad#GpXl%s_}PjxdrY$S2%?1b&%5 z6DVt17h-}~=e$_AwC5b;@++f3%XYxUL&?d+HsRa+|Aid`5rO1OLg_;* zIZBowy7nT3eBLBQZ&Q3OO?icO9{vEgXggvYLI&a|KDhNK`Qg_4&fmg%Ufy#KrzU&M zMJ5MQlP9d+PBp|F0|A*(WdBV&vp+m}%rl2f5lu*R%F#3W3LYXA^z>eyW zK$A4>INeOW1^_Rokg`~@Xd*x3E99_$e=|=h??`pj3YN<2?-xPCZp+neDTb6Hb(T$+ zsFbEa5A^Q^{d?3WH^&Ur7@tdY9UsNIc;Q#6^Vz1l=7I=LW(*09Q(K^Olz|ZI=pGj# zmKk`)k|~ndK1E{QPB(uKP!+1+K@F74k*om;iUBK*itMXfcU!SSlwvIP zv_rUppeyjbN(HkQ+`Lk*1wPpEiA`*R>L4Uk(v;Q6^MbTPp5})f#TD4@L3R#K=KC$E zKu!J*P}F6jC)kZFyWvj8}EExfJXhBGB#atM{^oLMZrL$i8kF4M0E_Wa^5cj z`Cqqhf-<&+wGWK`@dJ>g`Y%r+GN(PAP-rV~Aq}MbD->IbH=ZPNsXw@msLCT{uJrZ! zVZxF4U&h$K9SJ)x@A!lpP6nw&$w^`h6&;h$5~74*Vm~dbOSOSZSYl`V*wPE-=s}X) zW|VWNhfJ;kG?+oYx%L)>7=v0HDoXZ8;4o-93MFL4mR`!I2`_@0J@zz{0=Afk7{gu! zz{tQiPJ!5KCQ49aK!PCQ#j63G9K3ktZ2jm7FMcA}2$M$iDodoMpnd^bdn~9+@dGMW z+QI(6k`BR(005Txqc;v{qE3GlpOtbSPzK@kK+htg4G(P+WBAP)wC@klh^D5s9(I_s zg^cq$#m6Y^4)tQ>Atb zWEWBD}KE=hoALdUd3^4%jaY-j~pTJ_lo5q(uBL`dFf~4^yoT0#jhUg z#3o6!7h50^Jv!ar<62uJ4>2aA_HFVAPU3ZHeAJc+J`WEuhQ36BeXxvx#pOY0ga~6e zG~y*3LTCig(He{mL!nXGRXJU75pZdmy%1P5D0X4KGfLE>f7;BtV*y;S*qx|=({0rs zCE-z1N9QSt*$4}F{wj^`tj^MIcrtT{s2xC}DXcH*HG9`jAhEx85 zFm@!hYzj^z1TQ`!Z-SeKGw!t;N>QaHDvSfdSnC>uTC{ri-4U6-l|)$@FW*p4923UO z4yQv1^;BxJ4D#ZuU*>DTwf;Nbuxz#@vQXK=kVFWCF+pQg@pANw!q-mWr@Sf#Wrcl! z#Dx02sZf}-K9W^XunROUJg7V|nmMx`+;yx~f)XEy4}!*v`y4Ls8#%VBG${~Ra4x@2%`WzcTiTR#&WJAG*Piae7Y*#Ug^?{KSI}-yxsR(V%bgG{Z;ogvo!o>j2wQfn2Y-rmDcrmck z(uI(0*j+T%cDL>y$4_k)f$x50lo8+e&54=xnM88*>8f8)d2(pQ=#!>xzq$e!n_M0o zIT5k4u#Hc;YxGd572?*?wq@p-N9e5;an?R`zc=_8(n4wbqVX{Hhu zi5n-&d_J!H`R2hd^2@`hQPzv@l0rT=9bR%L%IMx1^E(bkP>p=8hkx*<%yYYq8EK2A zCT*15{ZjUGq|Ru;Gf$4{4X1C3-MPUlsIBFo2z+$_}7w*p&RZQOLJ*Wc7Y<{53f_(<6FaZ#|=nZyAywG zV)&-DYYKO)GgdxqqFbW5o=wsWVe7Ok&Jkc<{iVICLMQagz$*2c&CDHa`9~$+NEuiR{49%#mHC`$c&sRRIA{|Jmo5e#z5|Wh4WFiQ_?nD*gPt1-VQxl zPHFD`Eq8>Hof)qEKi06>E6L;(85%HJ-k_;6wxid_DpA|c7uu}jC_h@QrXJ$&q1NU% zwBt;yUP!E-aH$fMf%C)rpB+Q*?|SS|!d0BsR0qj~)O1S`*np(vieyVNnIlRL{+aF` z!FH;zl_m$*<-3QlJ(Y^%8l8nEwkpYvO!lDBO4XR8hJtKWn&aW?nnlOFUMruB(bG`( zsM<=`P=)uauh-UTo%)fzicD@0CEGqaJAVn|mhv4cEza3wX9y{cO}h4BjrS$TmXU;) z*&(Y!pz@fk6+*g}woE8C_Oq+Fn&r0gRaB;zCv*1Krw#mMYOS&?+17i35a21f4xBaF z2X9hgLCY+ev(s4H6>Z66+eMj^%@`41bot1(a~6aG<{&otWK44Qfi4T?)rZ$5Vw1B! zb*V8snK0rLW>VxVjDOG(MU|s!@oVAC|x-r6j%~#mKZ8 zE2_fxiZ`U8zCL}$ z$2*|Yuq0G+%)BF>>1?v%uHS03p-6YcXF7*E+}4GMpX}wQS-f|Uh(U9eE=$p)InQG5 zxG8;Go#yyq&9vBFB@_6;ol@?aY}vbbuIJ#dK`>b@Hrr5?yli}`=yhVYcdI?8g|KI` z*;W^Nr%Z%e(8$Y{QYHfzU?wpRvWXEQD8Et@Q{-ST! z@?{IGpyW6PN{*Q-=eY~CV);L>35r{nz-8TFTzv}fRJbdIwhFyIY*mc@3Uy{bXb2Ie z%4@2#HS=iPx&~F}N(cAOWdGvC!PN6NoXi-v4t|+JR%wkBr$QMqcY!0dj*oft;dQ|n znaIUo%$OnDCUM}=_zqFf8~J|Ig2bpP3NxAgn1Y=i< zpgX97)XC||B-qsxi+WdrGOJG(q-mu$!?IvdC920VGX@eAZ>)H-l&C52;t&B}mh9CNT|=0LBL)b#_gf z=IV)`oW>IZP|`Usxn31qudqx~Y&8if6eV;8u7)MY#a0WerAQKr;_kCqs~C(6Y<7+) zd7S{QfzTAaN{8!U@~2}n4OLU@(7h^MQu^ilTMhLT1qS182)j|5TmW;$2OvQ6hZ8K} zzEO&)mcXiSPysFLT4HQ9Qs}BF60ie?a!X3R``3oXR4-EZ@HS&~AG8hc3ot&gL>Mk% zaBTG%{Nx;T08Z#h%`-98BKXPaM}M0WNd_Z{%`Vifvtagz!o}86z^jt5v|})gmN1Oa zsx}=3!*kk>5jK?(=7cT)35hn=7x32*k|sU?M?zrlKJ%QzCT(Mrx{RJBAF&erpchs zU+UtCB6*!4?a80F>oZDcgGHm(rADwW3cX$w8j7KW-GYc6fxRd_9nN}G+{2_1Y>T|uabsk(}i zJ-|i_Guec;V7XDiXoC*U_P7(pt%`z!^6*1vx@MZiHV>v3$`AV6n{aSvb|PVf^c-6M1znmGnY=xOlo$aYP}lp^VEL8sgVl`Ex}&7kyn}MVm+xe( zJHC9JKjOy`r&=oDBTZ-DSFNqEk%%>yd8v9P);$t!DMtwoKN8IT-D-71i!k1pxBpze z)nNM6Ip9k~kd)Ee_o%|#?TvNgbZK|gX?Fx^7VE<&qj{bO+eapE#rw1sJ&DKkgfTVo z+clAp@cfesZbmsb!-{)OC{_m1y_m*FFzftr+~Cy>3Y2TRJ8jKMag?< z==7h9@oWWbz&j@MP6>Anh_sTL2hx<42G`y@nw8!PVXwv))tMh%)Jfy`_GRnS;;~B8 zCVUFyAxhJnu}WJ5tqFD=vG0gNG6haWJie#e=tjrm8+~mGt!@*#(QClQAP)d3zhdqh z&|Lt1j({)3koZ|3YrW4swVb;qjJpPm>h5VI?8`3YLg6vl5d@jLq!jWs9DXG_@sq0u zU;J9}W0U*m;;0p1?Y>_W;My4ax~T;RU#wwpV`^l`j+0_`JB4lv;__+cW}9V1aYbK= z*71??SAhQ6rp--!WR;I`lP$ugS}~!o({g_D<@B2Zv@6w~tCuj&F*5kMZ?t&Yf}h(A z+5+aF0do+G)le0zy?^m2+pAx5mYVu<^;7(`s8jSZKJv{^Y0A>i;W-Ja<@V4u_XTKC zw#=j0rMF|g*WZ{5a_A@zHuQY5JT|V!Nt1WemDE%A^Q<7~79S8tu!6T`Y1=)}mZeJm z*|Jh@Y6&+rIA*0>EPQ_JHuym(wgTcj%`Tx9jJ=E6rQp-Ytb{4PHUwD&_kV>2!;rx+ z^!L`W*IUq3^S1MgJDdGbk(`FlHam!G^JDGxxOL)Ouh5v43hF6y{XGvb}=TE5`^91k7Q`?Q)Xcgr?2`zyGeND$v!`@*9}j+St{qH9IT1vHxR z*QW*8wrkLg(^|k`7FSP^VjK3X_=RQ~k7rtQEIA~$dfTjTV6Iz@_n9$n$X&F!=(vTs zPo25rxDoh5e^ds;g~7Oym^X*msaN7Fuxrt8#f=HdRYReIzMW?7RMQYEZs%zjRR5bS zI#Zds@$$RH_mtiQ+lDsE`RZSuMGagOGTGu$W-a|%$&ew>VF$-z3HSgdha-^73@#xE z3`j-|JQ$D(b3`scSd|jI{w9s~L|1<220YIiX|lzfONwERjIgQ|+8~0h#w4?_D)ALm z3{Whaf~W?chC8G7Uytl(~FjL|D-NE@T14$ie&XRwJ|W3M^oYrQ(?w zJ#idQ5m)b(8oYd)CzC`)kyjYu*^^v&jKe7n@$4kKc7-mpC{Nv#B0c zb%w}NyK1R9DM_Fw=PoI|Ea$6DG9<%8x+a57c%Gb3>Eg&Yl^uJGvS|axP^`#0ubBVm$ays;Ad$HGI zS5mi}MYY`ar3Tq(omnV8e6^J8;DO@9?K~?*x@LGW=pRRLXl_eC^Xj{IVnXK5`pQ{= z_n`Ro$wR`O&~+`=eA4U1CVdGZr4&3fMyBsI)a!X)^0NptWQ0|(*g+@fs~B`f8RlHpbs6@24_V}SQIC>RaPTqwOaILbc-w|`nv*2_>?|vE zo=Uk-K{0>Ihd1yoAgBl484V1dh}}wsK<(ky!v_wH>Am(m|6Osp>v#AW{Z+)0fJ`0Y znpDHZd%56ip|Q*z5ABBhoGB4-Z~*lou>5 zRrM!79L!3uqLtM^R0KN0YoGyr@qi_7amZ@kpgwe$QdjBiTV}YHXqJ$#(rC`=Oznn; z5GY-J7;CKNQGF_x_Z7v-pRKEj==&s&fI-0uLj>$S2f`=sh0^4c&iRJOtC0WtLOkRzFYueW^0LT)E$1B83Z2?sWsi{c9N36PWgxTEg%FRp6g2% zmT3MH!d^KkcK64SPyAdyKOGTOb*00$<`C2^DJd9)JPKoMcf_;&EJ|nFauuKI##bjQ zB6|idv;hu2Vs`I4R?{o8f*d&5`q-`OSu%{MLG_3Wmc!FW7op?IO|&D!XoyLI0AoI)sEE}68ba-CWBG%N9O9My7pB0ThOMg?u)p8ju%GVq(JJBa0w`aq7 z%gbZFfh|VEBmJMx^^A9y4V>P0SbVHLaP&vfNYZHTnD*%C7t^s@!vl?%HrHn9jeiLo zKhsn+Ha2QM{%(9^xPH8^e$4RR@VkL|;{&5-yNAZNCk>j8$tBsW?;PdUL@wrx|I;x( zWGyb|R@AOzI;DQdwWsJ~xUk&#^y%KtgVx{0Up8&NzMypY>|oVc*@^LgRy|D}|M~rg z+xg-`y>-K{#>%d)br@qe4UY$o-!0nE{&?HI;l{WP!%2R>ewckuvi~xYJrd>B`~KyP z?$E$-zw8gwzqMJsO-f(u-K1bl|5W_6H%9+`b>9VRp(jS~T@D(T4J&1D6kX(Ev9NIW z&#a5o6{-FkBaWmGEBZtn+H=vPu!w4QX2^D6VfcrR8}F!;%1A~_NACfD_TG)-hkjM~ ztwKIE#!y>toiAAa<0Z;#w?b6<<&#lE2<B1I8r4BzgrRoP}1;+>MdSFmXp5IsCx;S`z7t>|N;Jfg6ZVe?Vy+>mF z%2f|s<+vv1Q1O($C%4m9dFsgQ3H>!`E}d_UbQ zetl43O1tzwN%j=~THm87&db_Ym0$Z%{k^=eV@1TzwqASp;pRQ#x(j!I`<9;@>Tfq^ ztRPP&pgHN*m)j?*4o^lGZ(} z&?@z0=u2elKQ*K4nn(7H|Lh)+9iN}Ive2YE$v$vdX5!jw4WoMvoZ>E}6o#k#P-qRV zyFK#q*CM;R^X&=m=cshl{y5BclM!(3z=lWGX~U~rI=Y=I_B3|%<)jbqKXNg4UPWHh z^sWPd3i=Q@UiWBf6N%${GjMpzkn_W-`VylGPYpA=`+xehQyz;^BPtFR~q_@7%sQCfbk6UsCw!bGH3mhgssH!}mY< zj-2NPw2Vs4c`&c{q3nkCzT-vRqdu*}sRsi)KOf3aY|ne|c3*{iiRtfSUzgi8+Sk=r zn%2H?x)$|!f4r0b zMZzR-_e)PXjkZkAte&LO{=Tx6W02#wXG`{|n;-MRrS7c3#(Tag2XkDuWhoHKseAadV|W>1gqy#v&d8+t`WuCc-;hDYXgFO%#NFFf1iJuN-7 zaoPBJ`*l49`QaAXrQKV$^=ycb>TX{xoz@o66{*;MsH>uTq`PRme&eG+vB=lm4{PSX zw;1ay?@KIt_@RgvJ!R^TLqW-=HjK93!0<~Qt2*PY;s3^-*)Q7vGEa?kDQ=^|(0awq zE=q+%*7psnQidbO^xDhH)d$prN=)EZ!mpXWH34kvV?l~h+~Z{rg)UM9xy?(5tWH;k zQde9naN$})YPqG|!`LC8B@THVho(P%cx~5spM9{y&&C?%iXOxJ^x~df66;d#{sw26 zi#I++*r@v6u0_wiN5c9oH(s=2bxLfk7Gmv^iG{ZLh6huhvSI*U6$SIhh$)2H*w7YP zt6!DXXXe=QBTgJ1EvtXR@&0}j;2>fE)Rxi-7-fsa@jQ;3=SESSfnJ`-j$7Aaa%>!Z*C<;>q@P zEvoV!Vf+BT0KzY9c!ePrGM?4-?s^o)7TlOyvU{@uOaMVF?)H{$g9&tDbe7ZO;FG$k zcm}IWInt#ZMa6B+hj*a%&{2ZDJ%9`lM4hDfu}pGp=y?&q&Vng8m9P|njRF0>vzJLi z5+VYLKo9URVzU1KnyljKUR`-an!1J=7TYmBs?>tchDP6ctx;ku#nU~~jz_9LCIg~n zPMf)Mi}|*s8iW=|R%$ih9q=lQRfSrx@45d;Oit>qJC9mq+-44$Z`n(4BAyMP7p4x( zmwOVtza+Rd==QXp%Za=p5j;z)&0G?iV_!ofMgjQbeM7Bk41l}NuA6pL1Q=atfXSJB`e(+k1Rkf5QimvbjjkaR(wqmW8Vy~oo zFy?NPG%AIZYjit8WKM_(N3LGHxmdh~dUfPVd50ETCxSGHUVfx z01r6l_twJs3fz2bEoBLKqDociD4gKh@N`C03zUVV#C$&om zJ^L!7Qz^}RwsIy=u;O~EP6A8f4>oHtV6*ZqH_o=At863Njz1><3Mcj3C$w3-psr|# zsQ~}OT7wcLPCuG=2~zH^^eW$%NA1@gGCummBKnPt$k}a_anee%)O{cbO`_K#$pP+7 zi(%sege9TeCL33v&oIvt@Y+_EZTsCrk1sU=OpM zPnO!rn*hPCfV&Rxn<@p!J`6-;1^6IG*-Sfc^*(N1oxJi3Wp*-ZrAKv9XbgP^dQ^JbDqpD&( zVvFR*_rKgM-iEo;Z9M2Uv*;13lqG~7xeNHRe{-{ViVRF>F-v+TT9@=6vAm^5VM-%Z z4;ND{OL%}OPqxT;V4VN}1W{ymBh4^vj~e9(CeA$JliExy3y4W6x)esJ4S-V^Sc|}4 zkQPZLdrd6~)_#mX#F+v0CI!qRrrszL)Eka>eynk;y8#9~RYOh~u?y*?EJd(+py8(W z>Q3cpJ#@Z+ZGxKwxFXE4;3@qnfItMgA)c?tA4~pu37v#_REqJl6e&LL-Vq{72Yu&c>Z8f+0|q&#*YQx0f4(9WGl%`8|Mlko>2gV=Qp z>D^R;kzQg5>4kj`o`bX;lj)Z8BU%`~-9Uu{X#`+NmMUc{#`C~mU|9gp0u&}(t~ZnD zZ)RY7b}+RZjAXB_GUCEd8{8FzO7`CBg52 zVI8HB>D($pe?FOY?vLZaaVq5)u$d9z0CYkOJ0xI+qE|!07xGE%<)eI3zFB#~h?V>-QSsQ*e_53ySuZ zqXqf?6SmKte&;1?4TALaWFSZnuy4?<4FaB4&#uW_2NU~F7E9_~6brgJfCB<-ERe=E z0Dx(UI)Sc?jTOR$z}fO}SiFmX$dWWl9b)cf$RhKxgN3aBse#%=)#D-xME#B2PDE)Nk4$Y9gC9GOl)XkqVmuOZJ&hJ@dW2)~8S`Q}6{ zpoL{^H6KD~;f*`1O9AHYXMnr`kc(hZfstA{t2J2(yyC*^gbL~R(=D}h1LKFUq$|4W zQEBK-8vPfX#dAb9)3ZxiUenb5@}apq55JdRs(ka`;4JFL%+l2ff){Pw>Dh===aV9d z9OB=R3m_+j!3#N#*pobQQo+EBh=_w084_6v|_~s8L8pW#dg92>0ip~%xnD#7WuEx1>y_@?#z=x@=j=xTLw>p zol1h6Pt7s3Pi3(rd2TT%5j8mSmbutQ0=M&S?__{-b%lr&3%M9Kn;R2u`DeDsO5iC2 z`PY_!*{SB;KW?r%6XUS>q-bzmh&8P!4c0CRu9`bj0*N_GeKa1SrfY zMEFq78Vr0e_w0;!dp?By^q#sfh%{=FV;wQDfe?nU(j%b31vcZrqCG!?E z)5X8As<)StgQ!Lw7j-F1#H1`P#LY&Bqy^LTm9?TrNF!vJ%;R8DVo13EOd5shKBM6} zlb{2O`s}=?T7^Min~#d~0$fy_t0%_?J@<#5oPA!&P%2WZe7e1R_fVj0^nR zY%q0ENqHal$^k;U6h(+Ni?0cyT@u03WfZH50gvtmI4l4&d5;>FB8W7`i`_&P{DvB3 zYOc}hCxFK^-(9}CTw&7mv%D~%gWY@iG>SvJ2*Q@b`*-`)kVQQqk*?Hi4#K}@iui(5Y`qm(HPP*jd zU~p0K!*fZyS9~*d6bAqjW4P8iA=x7DhWB*QT%!y4%ZQd!-tqGk7yIzS>z7|mSF-gb zAjs1&{4%T~eB#Ss|Fo^Gjq|}lSzEXuuem`00W(8XOm~-Cx<}~S1_Umq-130)%26U~ zhFE{@4&ON7HTEsb^Rj2hF^##oSpt-;qoaK%uVE3qsM2j;CJ0|EV8Ye`%e));(*r-G z47~%iG#voGXWtb!4s zCdPgLfTv!n5P+Mi)rRQGSM0WrM?XPdjmSuKw??92YudEe?{X2M-?3$hE$~rXWd*)ND_AjC0B#u;%RVBt3~ZydApObHMXBbh5I?T{esQEk;`?xi!ZPn`wAnBu zpfJ})gsb<~uR*BF2Ygby!BYX2@{onyNZ-Zd;j>CM2~%Lh;FdXJ%+_l7RhP%d)H{wR zynpp4cDjvhJ$%<6VYVCrvt`j23z#j~VMkKf(ZMFuv=8HTAKsly+>~~B*Msjx_TQxH z;Y;Vh4}se+|4eEq8b6vo@Xvw07Xvv1|I8Y*tG6E?*mjIFeYj~6>M7AinF<`Ne^N%r zTzr>&kqi3azah|t=yc)M{Wc-%NOa+vO1;f#-ANr!e|3&;HfhPcq%zPP^j5c2@4-02 zZH=o@?zH(r?t6vlfAD!F8fzpzGqf^bMA*RaJ?+vd=jy(I?rqGBwWT*_NIstMk<>y!!ykiQ*nbJn(5WFseoBzHUEf62NS#g~wh1p$k_k_off+3vSViL-eK0nPYPaqDR|@ zekfP%g?{BV8z;)p8V!?H0DXdJ(9yI7dRYMd>0x75k5o$~1Gl{x-#<}@X1Eq$DkLe~ zQ(gh}@<0WvWska&m5SRQ6gsTqG$Hbqw2vb{r8~jig-n5BWi`d+JudiJ6QPz~pI>)^ zGKB%NLQEFWTQJ!j!7bE^9%*$b=<*;c(WH`pRKf{XKxOavR$y?9oB^|E5 z1eg}o_QFL=sg_q06bub6f^ngf8PvE`9)lhiV$%T-6;d=R1ADvbbXg(4j=3ybhP1>m7w6s>Cb*q!67iE{Qa~h5>m3 zm_zz$@@un6Sp<|VttSf7P$gE|IfJmW&rkp^7vx9Oig#ZZ`2sTa>xxc8ybDo%zXp}q z?cJwKkC&#$kI6>>B?_0{A9!^`E~sp8q*u27U7}GyCaN0jNY$g)&0y_VsM>0|J_X@X;!Cyr3Sq*OJ=(sU4G|tD4TsS(TZb>uFZ(=u~C(s{vC) zE=UZ)N5mhi`}_h3m1uoL*t_p5b(+Yc(Q$2*f24Ox)BRUMPmQpDp|=8Z%nU3MD1?(Z zG@E4|SiU6C9e2N2_z+NC^1sWL5&MZZ-~T`8El_Q@s6dMey_Kgo-SB%NR8uefR*c$T zSc`B{#D!%;tmRPcGu`Zm-8<5m&Sap5X7zz1E` zxNY>U!q*3ds9l3APg|smN{R2Dyg$rZ8FvhTo(pgj&G1cBMK|%a3?+@Ia0i9$2Awjt zu%IR_tj7yv8xio}5;$|#RP=ZS^?0G;O?iPHR{bHUaED3#2fhV23mT1pX4k+~_@YLj z+z!0B0sVb8C{hZh(EXuZ2~T5yA%b-kdR6|gg8J%e30T;LsYlBmeR{>CZ!N|P`-OB{ z{V_C!26J=|)70tyl`h6g{r%tYvcx$lbMVHx@XA-=2=;2Bjh$`I+D9l2SXH9#|2Dxm zK(KZGYJvfl1#~h4Iv?4h1}J)3C8!gETldZQ1%!?pqSbhaay+1p584r+T3hHr1Cs>=9=ZkQEK<44B*_^C zLQuK?(j1H??t`I+TF?YQoh7Ua%E4C1ND%|)qW+Mv2g}BtWfQsyBnUn{ogqLk zwBC59LlGKbcxZzeK$Y&@36;)@6lj-I0|*+R2&?l*nJgm&)}iBNg=1|5jWFQ2pidPU zT2%#w&n~ef*j8W#p*|fB0JZEuHKD-nfPMY2i4~zoXX2J2-2dtf0R3&oIwO|~Xs3n1 z^mN6Cij5#3NiPYp2C>S>5oU1(SRp-|04|t*5Da)#X-`!Ykbf)}!TM2!`vHK3Mf_0N z_;)7^SQJ#k8$&Y;e6O{Ta)Up9D>uQGWI<$vh4J=-0YB4{%;>2)jy_NeFN-XDHUq8c zuT~hadgw$kH!K_!WIUe~w4uRV9Z!DB*p4Mo`3~(`9@5^FteIn}6Cx72;ItC}!|;>d z@Fda`jdoJ6MJbg(LK^_ai=6;1{C+LqUvfB;g2hTWMCtg1W5F>l;Q)XORk*|T&w`3( zO%4Y+L612EVCCz578!{~^_Xa=)%_{4j^|pSMksZMu3a&=>plS;J~}pevYwmwESN97{r^xF6YVf~ z%3}Ez)^emQ&izMOyfNaV0Ih`j-U44gD7{?>Ju+~=oaaQPJ6hIBHtd!6dfQ~5OUoL_(FudXi9WjP} zcf@#U?*5;S7@$^G%tShHrfBp`w6Q?Qf{lfiW(lO|`?_x|Sa;A|FG*l8Py!XZ(?ZH< zAXT}#_^|AP%4UZ)>)BfUrcmw`0{1_pETAqW^tSaPV`vWb?0|TQdV8J-B5|qgoD{v% zxWprlAGO5DHt*qsrv<8^k;8g*pqQu#b!Ts+Z7brn#MtX3N=cawR+b-_hy2iBt69eA zu&Wg^aI#0soQFi(2Zzs$GFwLH#X=pok7vgUr@q zO$68#IJ3x>mB<(tr)ZVH2lviFiZB!(uNkYBa5K=O!7VG{=~-B7C*l*6!6zn$PK-SI z)wK|J;d7FOm;VooD~nGGd_o<>;yUmMt{44{0ISyOudWzHwxBLBU=Sp+j#{_(P^Oa4 zvy|27%%BTj7(!tpyDbLqAGCwEKDftOqg|3SzA+PRF#vJM`EfA?`OaR99+xt zjmQTs$a#kjIxA4?Ff>;QVcC(@xE&M}k;7D1>(*@|a9q&qV{#9IY_a!3$B7T1Ut4`A z8e@Pa1l@$(Je>nXasEEk3=R1hfa78mH*Fz0V>D(BN&$}x_8;@Ocs|C8W$^kwr?4`( zT{+S%9&6*sKsvP?qMf!j-?i~(ojGB+r=28j_}+ei$z&e(Y9*xNhPD!3n|dZBN*^tvE_t^ zAr0uJ=S;X4gVsH~9o5|V%oVqj z;G@T(b`nwZ5jGQH#t82M`eXEas{bGTF`{7c|LKo`qAW3p-MpQ7z6I(o*l`&^&{ODf z`}MHs0o~K~8N_(WY}76BHtGd-92#yo0|_`9vBN?)F^12r<~8C&@pTUlM--lUt*b*F zCo<)s;{<@y5R~hVEbzbdCWMb&2eI1~Lx}Nmb`VYwdJ;m65nytKK$sT`nej#v?di#1 z2sznXAHi6ZC5cW68WVvU=D^d5mWZm$o_{ZG>Xpzv^;JJEJq?T?B9g6HhkkeCo1EUa zA}j$^@iv7EKStF$T7E;hDjBm6L;@1-9pPcP^MaCqKvU?V1Lu{aB&{7MA^}d`pvWNQ zaOS19lM_8Mju7o6Qrbqpvb3qFf#T2cb3MCeACN?k!=b4sJYqnX4CwFx28kcHC5+n( zTs*)#o`yN2?>hkC2OSha4*>1zYT`jH``+Hg`7aU3I*7f`a9HQ6mXLR+9Q_W z69K?aW9N&#Jb>R7)Z`N3K0%nTxb46JANcGiAv*pU>YqNZ78uS*y&;uhMW_r9G3X1q z12viq5HIln*0zZfe1+jros$oq#*&f!&Gyh2a)I@kmP~Loyp+-F5y>qR%NCH_pnu{r zqQ~e-d=KY_mAOJEr@Yu{XL|U4JP3lBj#+9?1d+&iS8F_mqK)3BaR^AvOu| z4k?KoN{#K_7h+wpTS{wry%@zYu9^B&_gwW|qE$w8URRb53 zb$kl_>Tj#BzLxsEKazCXQOxKD)QD?|KhjW4BL-57n+kuV8_sb`Zzx!@k zd1Gw2_Q8*G^}5j_&6~TIo99l?bNRONaO=i>+VxM42u%(gA1_Wi*yPiDwQ=vM6!VC~ z8E2cs&-=evQgEc`O+k)T>bbV7{{C z!-2D7Zwz{|xKWR1W9~Zo&4Klm)v8Yx zE@*6e_F~4^y7h~Sr&~5fCtrQ9+fRmi_h_SM)2%BFdZM$Vn+|^hb-{_~ zp7&{MLXjlRZba|XuwESM0FB=vsUMo+N&BULpY^2Y;4)8eRtG!YsL|L#(4jvt40V7; zU9({YCeV}H+CHn(ejjwgekZG=YT5Wupj;R5JNs;ETW-7&;NqUymW{(x{J5umj4D$H zJ01Y6s_?^Q0rK}cnMkg#aICv1G_4<@^4c}?(>@mLiB==mRO?HQ^dVYRlaJm}(!np{ zGdK=v99^JD^mr^sP=t$SVfh1*&FtI!JG7qx=N6OfNJ7e|BYp+OOBLF)aS zf!U|1(@b7nF@42rLA}*|8a$>IYp#TzB%>$48%T0vc&jSAu+@7|_5*(Z%47Kb!6e{- z&6qN%iD$Y9&D7VXm>yiNI&GYecwxE9`{4b?n+XP(aB=%m66$U)$yLq1_4Gn}bc(0r zufEzDmWLjNqUFok2%ldfyw(Pq!v6);(rMHVR56j&$)N!lq95oqc3zo7kYqSHTkHTL5Fr|sU3Wpds(9Vavr@4 zHG$^{0ZQvNdUv&cwe4-7Le1KgKE*c*{FY4RO4GD%^!H#EVo*Zn~$^>RaRFS}6g zm3bdnE55+S5#}KLR4^d51j{$&nY}ebqw+&Xb-W@Nb-T9-rEBnzJ?_B27Xd_UggLLv zr=odIVHLD^-=5dj!o*c=TV4P z_2L+!d&_cb)PpLQ@wihS1W-$5_iogH|HGu;xBgX?>moq-H2Jujg&kjpcGQJRyOVI-d30y2 z*XkKOUc}>q@6$r*U7rqmT}uQkP7}i7Aov&RR?qniumS^FKM)Z_nmGt6!zOHB{(1Sd z?Au64b(El?xmI@4;0K+o2 z!k-v5Lk!!$JiB4;No!J4E)Nt|CVwjVtN?R?7I)W@Q~b4_A`eF&6XFaDd*lp*np6wW zv=IX0{52}szbhtn7CEXj2KXCha0UZ2Kc5$QUvdBOnV+fTXr~#LwgnwQ!0b=~bk>GR z++A^7)%c5Cf zl^|4c1Daxj4ho-6Zp9c5P=11BE$u2;meYT6TKQl~;1>F8@NinW6F9Ax#Y>r~FkA5$ z9yA2H>OU^fPF)#I4#+ckCTX zcqxaVQyu`xLdcLAmdfa0jd-hq`_gX!cq(A{6n?lOh&5Fh;BL0YaF3E+Ahg6wc(7Z! zMi=;d3994-AO*aWoWzaEjdD=4ys#uJ2a|Rh2xY+yXr}<2CdCsj&wQlQ1ye9pvNM0Hd#L0gtsMK{+iA61nO_su7ro{x@MHLF*Sf zE+3OT4~`TFd|*!`F%V~;C2b#|Eph>fL-n1ecO0XstPtBpkw9BuGl}I2kY8*q>yj zPA`SW7rkq*}X&OOqjDsDme@fN#bp(4N$yZEO9)><9+drG~s60 zU?|nsgYvMZ!~tmSpcHEk?4#Q=g7^JbSHPNV(E5QCi0!FrFjqB*DJ?{c_^+mroDs}M z_6(qgAJgWttwP0lSXTYC;eiZ+6 z^4nC&`_LuT5$28QFq4)_CGQhJ#1J?mq<(#utD`&WI3svFy7oY`Kt4zCT(w|;Spd0e z4z_20!^b9Wv#X7;8;`dqkFMP+Fs4}QgpuV#ygg#+tXDfEnHktU0rD8SBE`b7#gnFp z#S~9MW(wqHppYumN76u`ksoL`%|&20)#0f)?xry2f&u+lf_?LQH>Z|w`rF~zgbZJ~ zu0)Kx{BKG6qaCo&fg^#p^V}J(F6IJqcA$9zplM>B`heOyXGpm@NTBvAGgmOr0daBl zDfh`pK$KzjgnCKOZByuyb9^)vX2`u=d$Cghk&+w<$^bQf2JrAHrVwuYzoC(6;v$j{a37d)D4w|4!O3&Ve8L%(#Y{tay*hbNhEVo=YR_Wmo5&NRufiFlxOt>$d5DE zp9X7q>#06aZXCNGs0xNI5P{8)o6J<)GJ_nI8nX@2vcT>|zKul&3IHRihpvyFvKkf| zk4L8DvMQOe5+GRW`kFEPamw3I@qarLknOHPrKz}4PxCyAWv7mnnwF8ubt@*(R1EcB zOacq}=sfc%T-4ReJ(h%}2-U2Kp%#58IYrv_MO>AWDpaofZ(0_3$4x82KSzE^_3)1)52B{Mg zPn|eKavf%2t205a`|VCJ0J zxHmOlKW)paiE>7EM_7(qwdvS(K4hRVL(+7KEL?10q)x2LL^eGe;F?%bBo_n5@}>Dq znt>%P`?%=@DGQAGIR?_^SNp92=L`2mh-UEAc6MyCj+*5>xZ2;#Itg^$gpuf9esXNN z%M90Zo?8+^{nh|b3n-GnjjKpPhVQpCfy~J^XMDqvI}ORYiJBl0)Gij6%5x7A=3#`)^BaWBW2<62$QnFPp(n`^30$f z@P~=`Xt;De4yAagEMG*~n?PlSRU@ibv>wPIhI0~HpmBMy^ShO8u_r3)3GdH1)|~{( za!8+zJY|6N{1z@t@2{O$xZ&m_PSIXq)Nv3ii$k~*!F2|KnIpUV=s=Z1c3)XE22-Vw z?h>5Bcb~ahy&V~$$B?| z$vTYi$lEn&>ysd$!-PwbZ)dt^e_Wb-q0H;rYv2$0R%Y?ysRffGxtpdzC`SkM(bexu zra_2C1iV+y)VX3ek{^OXphHcrIH;vBhM>z0SBkqa_zI~%P2%n~5PBlGMG4*g!-D#D z7^oF$aoxd3@lP~?LPVaT;KjUOP3>*;B~H1wem3|rOpdF)zpOyy{9j?>MC5_V%g<{X zH%>sZNE2VJbT6x=+CTh2N8umbs%fU=JSZ^x;VJgzE|M z7Z4%wHx#mXb@0sxe4WrLa35j15&ZkA-|u*S6~3(EM5wq+hU{z(kUj6$HHoX3ORiG;n_3>Yjtci&JkpWr~001#|Sp;xiIskWEa~UCrf05Al zT?3I1B9Q0pmFb3}e+2%<+~wy$nRP@qEEX&of1Ky`rNZdDF;&3@@!mnVzdHBYJnir{ z=7>Xfq{p;!RRl;*I0zDX`TYv8P2I}7RLPI4Up2c1)JAw3pQ2uC+?$XBKG9SwnvI?f zb8wX+4Ek;@*ucY`YuLa1k!f7Xa}nSFmgt4oDg$?_>l-9CSQBloN_++ zI>%TS;y{(iz)Skv7&xob*bD~Oo?tGxXBnBp9`9cuus!axF%kVu3y8a9wEF4mpR*$m zh~Gn#SS!x%?KT}BzF{~n_3qxP`}@y3=DZ!s`FXtW!O!s{f-(WJ^H(N1q`scCf_cz9G*m!C~;O*&6NhYVSKGe8b zIqArY@I{qYlZ`4=z8o-*itd?LCVQ!E=_8SPWo?FOOzI2JjC&U{ zwpDuMW_R%UE%~Nam9(Dd%;=3Q;@=G1NNP*6{5g6U{!P?{En5eNj=b!?=HMgy>wo z(gCZ$6B0_(OHONhCmr|mW3RW(?xzBIaXSXL>i;`F{9`5Ob48h_lC!qw9nBm10* zlxmTeQ|hiSELJi&Lh>4k*)cM9qfU;OOLJ0-^V>maQGU7i z$XDf^W^LgXZQ)zm1`dQIy%}`!(a(e*M|#$>jGk%R2C~IrSPk*W0q-U+@yO{CO7rl1 z2l0G&Iwp}v5~2@Gf3AH}xyW#AVfylWy8n;2cY%g_i~s(+N=z=f4kedTLKwG_a;GFJ zMG=z8HR(c43KfPj6G|mQQc+0dRuKmoQgW$?WYQc_Dkh0spU>Vix}0;K_5A+-^{i(t zt85TP-wRB`NLpTKUSRzVv%r zbXJEYZbox6&#b2q>nX8o3KI@;(RZvyQyuk&fG4s+zyaz1dUwxKR{Hof^A^ti|0 z6?&{KhlZOTWU%#cOKa5QMd-0or-~VoWys2QXQ@ie^MQ40Rvfrk=9zTYl3rL&*L7H) zZOAgYxAr*0@mArA@f9yp**bUG=lM_1*?n|rA@LO@Eue-+yIoJW-Lo?odAD}hgr9M_kqy^8AdFRI%vE1{a^5u5|7hU@~msE=_zz+1Fw!tb71$chrRqh zFcHqyG~veE9iE=bS1;aA^rL$RyykSlI>S9qv>mVxBDLGJMic-`+z%ZaByC* zE1J{eM~snt-B(u`HZ1rjy6Hyn`6uCH(WF-A@o5FWyg+`*e81KXjh1Ui9L4r$mBU!P zpUs3_vO*k&08>lplGX|yfJ4=E;i?QuVR8M@1`qq8`7yfBL74Xoz33^j-4&Bx%;o`oj&+usj$#Tc=gpE7!T*>|nbYF&Iq zDgDk!d@;1EZOBZy>n{GfZl(R}d86~TwG261YK|<%eM4qT+G?L z`>18ntcPs%;T2lYdJXj5vrfLAvSE)x{m`M$^u@1+JkD?1`%?dA=gR5QDabh9rR_ed zmO$^VyuyC?w0Wq1o7|JDjL>oS_qU7xN6FEr8&cW%V2lQRj{3qb zPrl*`$9`SIZFZf?rp?=RqosaW!}H8LQZFqCdt$nj%c3)Dv`YBE6eMCB*D>P&Vb9S@ znA~pIl->11Qc&9V(F1m| z98WR|Pk>3rCazW5ZzHScZ-(C-dX5Ne%3QE4V3Msok7m{nHQi;8jA)l=!_w6?-ew2a zR{7ASm`inoec-QuSek_a5mjf8F>)a>vD92 z!eLMP6`Rrh&VoVKDp}7pAf?~wI;rf3hO8sCj6rdF?;LCqIm=-0eN?S&C>#GZKAX^U z-ZXkIjMoB>cMy$N2alHm<6Viz+smmCT7{W)9ow4p;|M3bI4lD;4u%`I3I%}uEu4h!AxGCR$PWl_h77N#$NeOlwogB_lpcCgl-(I)Vl zsOPIj$b~qBG6at^1Z&~IH4mjCkC%oIoUQk$5N<2SDG@Sdag2!@GA2Hw@u(#U&rIhA zyW$?((S#MjH73w&f)VSQA?sSn!cA%HP4EzIt^KHZ+@-(csp zpQlTy@z5_^#0vok0%j#)*7vqyt zycB$7;u5X)oS-x%EoTX>c1ye!9m}{r1&&#+F}=BxZm=L`*RC_+E9|asZ5Wz|?FZ*X zwoAbVOZP-;yGhHtcnexv3Y=G{Lgkz?V;00d>*Y_HveqM?W(sHb^PI);ZhKkTZY(&1 zFs%qYt*La~a7H3FO2tG@(1D^Unv`D+ohc>d_eY2X2eR-SJ!O%U0l&`bm-%ah-23o> zEPRaH{$V~wv+9Rhu=xnq`DH#5=~4#D=y{E1{b@c7ILEQ>5A$JwkK^d4Kg>tEF)JOJ z51W6O51TTE%^&8YZZJaG5Vv$}x92f0&O_Z0<(61%{L6!-aFu*ZpBW7U6E!{b4?Qj9Jade3Xj+!+bos z&3^Km`EX}hD76f2^#09RP7kc>yY+@WT6UrH;zJb+4_37I4{O*@lg>m{+Q2fJ5lwOi ze;KY43jQ+D)sQt-_OvmBZ6QteD($l#S#tv!a}pa-mDR(0|KebUlwc)eqTmTp%3zn?7fTheos)WQlnnb!r=W&~391 z*}XF}={d?1eG*zF+MY*yVy{|!Yl0!@udRafb>XVX>85+^Irg;;=+HYt3?Ee~Zf+34 zqgApN4dE?5p62Ly(qXtdxNREB3CM;lN9?n&)(^S24C!c&K0-e5B=Uj!X$)QPm)8u; z!%-L;&d{wj2m*gOlith8V4UI5-&+4sGt{M@9hk}}tfc!zjnA`J+!pV)>(sfB@BC6s zWv!AzltkS*0_W(f=p1DDPMOnt&q*`A-h2{6;ptMXlCJ$IJe@AZgiyq^7lMXP#S>@* z%gg44Lc^Dz=0ihOJ!pu6NE1GK?|E1;;WlJ+7#QO#j zidrQ(uyurkMbU#jJN)!5tU;6%bFps8^YRwR>%u%P)(<83_?`|?ya7HAtwQ($IGZ)O zDB0>~o2N0NYv>E|_McA3K`<^($nWntD%mnLHnubRPeTF+v;M&fJ+x(@Ni{c#F;F@4 zcc3N#I$ckYHt?iNQCGyle_zg<2H~#wH2Q-3uikfe)rT>n&%yt<(ZbPo;fEIIq@tt@ z6rs9lu6r+fu zH1ozL)|Pv0OXYOS5_wNq?h;9AIeX|hAINV&hfh%i+O7F;m65rRb*^bWoFHCZ4bS5D zuZTk9!LDs?Oj>U2Ge2X+^C z?suCHAC6uhG%VefB;`k!_RI5zpa>7M5T|8pf}hY-&d>|D@BQu(>aqyG`M4ZVgI^zn zi(5-0UjuIs&ZC_t@Y$gJDJd6n&1d7AtLN7E8i#1s>+L#=UNwZc;c{^JvzjPv5vpb+WgYZhm=`+e^^ zwlGzHZMWL&?2Wl50=lQ>owcjomdciUS2b+{M*Qu7=g|;}WRtsW%?pWRD>^vuU5?w` zP{MC9Adj1haI>*PWiHcwF2`5n&Cxz|>^N3RBWqZbn-e$`91&~2M(DqFJ| zEv-ZS5SUD5iAz7olyHlAca*gM2>c zZ3iS~ThOAx89ny|J+e8Tqi5Ef>8TwL19Js*CN+n&^E*zL;Ve>sE+}juJ~U}7Tu`5F zpKLr~|7+|Xy|TrdhzFXTu#P)WWxNMrp!rwWn|7A1NNJ&LNSV{!Z@`yr>$4G$wER9g zfyh{#;~0w;ijpcgq^c*4m)V!~*M%}5i_{P4+jZDo?McHNfo6`VVXLoe-+`+htZOvS z(I3gZCk$Ce_fHyP=k*tIUdMahZ&YA@z~1PibT%~f)Nwv#Hup(44}DgrA`d;JxqUB~ z3#kzQ<7r>{bE`gv8AjE#(&``e$pe4G7wlA~%{)*QI8j;BcdV)4oo9%l_08Nj6@C zZ%0^lQzpjyOG6y`C)9nrLPkOyT{a&DnX#CA1;1nZ zk9`YC5nq0k_yxaYe0F5R4n5l4=z|k}FD0_=d7FCtYBL=N2Jyec3UY&Ce+k> zVyi@#Owa7-fxnAqNj#-$oIW|>;q&Ohl9M3tJ)2E95&m^YY84de@qjW&NVi9k6#(Q&QO#D%^@Lr zBhG6tQ^$#4+T-yMz-I< z%rK4`;83lH4WndR*>RP<(P2h^EQ%7{!r$pkW@@ujHk z{oe!uYpS?|y)qpQ)>mEM6d05<1XF#jxH=+0OP=J8HSv$Jnt;R?K`0fTbC8}P3JrWq zqTb4#?U2jUd;&%GeV!sv=6)5aId@S!6(~!5$*rKw(Fft-lI&xxyfO|TJ9f> z-L)Veu)~&!tC$7F_@KA;SePbOzqG6Z6vmz&1zZ57E1J)NJWc)GLQr5%lIDts%#|f+^7tcx3`e`bZDPl>va-)f3pe z@b9rSGP;RtDT)&hwSrzb8#m751oFVH2RZpC4yJgTe(N8?Ax}{DY5$cLfW!?Suq331 zfFPdkh`$Z$Qq14(yQ2QEU=Qdk~mo#pgq0hSp9+f>$S3%C~2I-JwWGnI;GZ>(G2G zIRzSfI~HC357fzN{CETsXj;P9TgGCvb zj@f|c+5&@zgPegCuW8_dz__tMM+FPts0*~@kCO)C_bdm zE&v&GS>FaZ^sF{OulwzdknBENNFVNGfCJObl?_4D2heU8B|})>UmpGZ7FvLJg!ymg zs&U|sl5~Qg6G0FzP*I&KV+V%-dyZ-PSn_Uf zIeoYJkQ)}m0?uSC(35PA4u|g0?Yo(w!$yx!w-_==^-I=3#gdmI$X_>D%2He zes7^@CCEX65|7VyJaWV*r=bW0E@^=?SimcjE35C$(L|z$dfTk;HonckRRnrXTaVTs z9ZEZD)owhgTr^Zr&r@`J^Kymt-SJrCwOwv_Zg^fKuMbjkh%FA_wR*~>g~PVK6#7nPof}@jSl2_3B>ngDXsP14 z@dXM4CCc2a(XNVKffj&_=d}lj#jcbr%f79kn3BR8K8Qt+Ro$Esy#;Tp|Cv5HQxmOL z7AN_CgY6(3Z4fk_pAvly?Q8Jghn{zV>juCvjNt#8KzY@d{}Lz{|8E4!(E9(4Kp7I2 z{}d?W;etTFfeGWs%-urWRe##pckNr}-#D8LnmQ{&AI zjL-pthM+tSf4fnv1AZWY6vGz)7^7b!FC&2L7Dsp}O^Eg;MC)N=`0ngqkV z@$1$kxW!;jVP^wU20CuW)=(QR>mWoc!fEKx^+Lz-7Cw&fL3w3;p7&H2a4$`2*t@C= zM3N+Z5O%j-{YOp$GQQs~O-k6bC9VT9qcjPelYHX@`Zy;Egl<7-9X0;@rAg7w;1$7r zhPhnTMNbxl_`TO7yy4y#qD+#`duOcXou;ps-3_7Hd_wdxbZ63sf0&L2FUK!j(~lCPg3Ww_XW1u58A<`xp2vz|XYA4NOOv z3z>k@jd}Qt&6^S3K$HpnKZ}(8BpI79@SYbf7B2^QkHR9!sJ9xP($ZYZ;Z{GaD_-Vi zg_~NTjRp6h7{Rn!EUu~RU!AKTrbF_ABi^h%a1U-IG6vN$I7&~C^W4+~r&=gAAq%0V zaO(}e*T7i$GT}soKQ*GhN#)OrlT1JynHO~uIS#luDeSz##uE{|(4(6;_YM?ZyiQ33 z!EGqh+BRWBDf;xWpl40s*qCVUwmpC6?YWw9%WqW$T|YzOm7$dS!GMor(&wp5NIQ2C z&Efz;$J362wb$8zrsYh%*0FjIdb?; zw$YCK1}E%JM2J%(8Vj_@!}@t5`f)lWh@kNFER`>V))^GfjnA^Unqd=k z-BLPaG&k{`Sln})nm6s{Tz&X0q@0$?=w%~LXu{8;3qCfqR=(hdh9GU0Dl=J(GtQsa zS3$<>~w=lZ9Bv>9%YdVRBOC6YE*Wrr`=$%k)vX}$PMBn)|{ ztzO+!p<0egn4@fFHN6vb#dqg;`Xp=GT=Z0bo+$3mw~ueoJ}MQQJMExGX0LWisI61o zi!MF$Cn;k1LYO>_I;6xd69-B0DNx@AwT=bLgO$mP_SKf%x%Pku#=Ky44Gc6FZZqrfhm%y zodP0jxRdP*q|=+E@I4$-a4VIC&y?uy;*q^@fcFk~TIephbr{!1+`D`r()JZJE!kT$J41=y-98;D#>{ zUo?C;lq9)swv2_ul5;N0&4MRjRykS=E&F2NEshd@$G(LnKLyQ6!LPBP+5KCsL%BkD z;?{D7*3pCJeK8L3?+?wplii=P!lEql+Jk}{RoS&60hRaFwr7V4-ngf|;M(C0Mn|va zIr3H*yN-_oc+1;2c)~Jn-}wAtX2JNY1DysbZ4nPvd%rPw!!*w5KlGFtay-s)So?eb z9_Eddp_S_mfEhLORB5Sv`wE+Q-P7L&mz7HMv|M|5DT2_X{OIFAp?iH0rQ6JQp9KOILn9Pg*9z-JE92x1`I-gl>C$e*3@R?s0 zLgmfsvsH*sEZO0BXvz4vH>FG8wf$8ivuJdWnIBxr;?KQBg$~cz{m|@ zFF^M))D8lYJRTEaXF1XkO*jqEy}e{*Lx!yy5bOYN`BLtM=FwF1%A9~qQ(*mVm`cof z;@1S=V09Ak7Yo94?~2K?Zg?z3EYL$@m`XRjv?+ToQSK>#>vNv;>PQkzh?q0{s1VSR z>B#`&c1~jkU@oBTu)A1{aCx!t=s9y!Xr)2AiaISsohs?B2Yy{JdKRj{`5k)6rT0cL zP%{$gsxY)1yn{Yo0{3a*9Y*sQmiL|G^2rY9-S6oqfe3vllSUhvQZ~bFb_rbDNVHjn z5tpSmc4Pp%S+FlZxT;cVer!k0o0S4^4brum>X^0FLajw)c@lXpX%i3(7wq7U)B%qa zfo4bd)Km+(aVVG0Ktu)tt5PX{!_CD}F>(y}*R)EYEk2d*sB8pu<+FgL3MSG(a2#x; zR@a+Xufdb@4I^aJ2-n_h^-12;F`Do!FU&T~_7#z+$4j(yydYpo6)-lpynn54I6#O5 zpxn6cJ3j;F5T=A#j|dvt{b+06VSpBPFC<@$b3COMvUABUU_w4x3hkPWrVs%~3G-o8 z*PB&uXf5)WBLPF5Gaq3RkPj_a-jR}32s`WsK*^cuvPJ&l=8UW`+S;P#-I25wm{47~ zVs>EeT#~yha2jbIK<-udn-Svh2rz@6X*3`z0yq(%g$IOCui*<^1u$iJZ4vtuhw%M; zuC=grsygZVT#}-%ldUk(b~X{t(YqddV}__X3uoOs5ZPh zJ}M>_wsgs8cq%Pc!)tLepiSTDSpka?c&QxBC5Bfnm9JPTr*N%p6S#%Bq%3t3?ch#j z?o&z=L)b<}^=<2F_11^GWl70_fQxm_`?zf}d8->)(w70$URa~D6vRul43BlSL=CNk ziPytua7Ne`8;lY5*;7~NvF(g!7yPd;x+H(8{BgB9uv+0}#W}IAcqw0)w*yvHhe$L( zatA@1`+=51eO5iTR8y{D3Bc7Uza z2jV!u6VLPA0bpbRUU~RmIX7){#+4+BHm6_46+9b0toD^8Jea5kVM;Q`U#?(+j{8q4W*W2BfMs(17BH~6bQU%1regf zB9gJwIxt)!#NHQ?d6GncR_5aeB|^l|%3DCp1SHGLsYHu_NC1b*cAnRXe5tuvGBCq= z@8Z=pU*q_yDK&5Q&Fm6N_ZK1s3Y#)w`R>e!5&n1)mRoA<)(J#RrmkdfRIMW(qoubk zu-_}N-x8qjPfW?*b{?apErsFoths+}=85%)n5^DLi#~DSo5ZSb5~KTsyJXX08waZX z9PE8BnASm8ID9Bql|O0duMm?cFCWM-6##F&(PdAlGX}ay7T-rlD7#t z>_gP%eQK+{|6U;$!;TwaNj(F$?hf+ivaKhoGvLPMc&+YGTIV7e=G84z!g_3X`7onh0*MUh}p6v2RXD< zeAO9IF&pWatc;JJLh)7;@`jiLNj24;2rVp|2Hb2PyalHcvxP|xyAZJ1Q`_bS&^WtJ zAsRLids{I!-E(?u0}nm`h6sxcNN+&QJIvyYpmWd78 zmJwMCj`Tf&X+SOy)`VZUk@L(D+qpqpK1~(xmSeO6UScfQ%BJwwC zb@!au25IgHa>8iNxNRWERQ!Z|597gh!(eA|n=S~Wwd27Ca=^X!6%b=y)Z83Q!}(*h zTamO|+_5^%COY9xA558aRLu2~#5a<}AlIz$>Tdqn1_^GbE|N9yTY1v(3^XkfpnQ+I zGpZ%SV>Ond6dsYG+EdL-^pIA%&hKaVh@z zrI7?N?#GK6Qw4CR^M7`FNSa32VotR-rb@}1%!y6qKGf8+F{w3Z_NhSkc5UY!hrT zY1@FF%Z+I4h{OZn*LDE6-U@!W2Rr^mDvyHOK~u&j7-@6rbP_DoZfHg#yoJ%c4HL#D?{=tS#C?C>f6uIvvLI#`Q%PXigm52zKyI! z1^VHx>UzR88bQRAIvaS%0YZk1p09~Y3Kg_i*C@3972@(0;@p<1##AEO)KntJucJdn zi@gKqYKt)gsKqaZh?zJ9nMuyF1fDW7f^K5Sx0&~;MH0XZuT*fGgDrrz5YY$670}eE zdTSDHI-q~8;s;!YARj7!$=DV7K3LYR;9D&@_WDj-%$+dY62^)G3p4=u1&jewwMaxp z_L=;A6j{JT-N0o>_Ne2S7HIJsAyAGxRlS@PyKl3+!VB%0N`+dnZ0ms?t{=-zTa)L# z{CWw@Wz87BY-s4EM)PXPYYHTD@M7Szq$3I7HTh@sMAFph08`#4dg*y^L)zGhkm=;T zF}AR;H;Mv5`PdHFoC8)6Nz#Mu&48dhL&T6_clgv_Kj2D)>bKyE=aS4dz-RKr62NC} z10NwZcAg48Gg1p&E?wVa8U*nZv#a0A7UhW>Gcv?>zT~T3zBE?2M%A`Pbv?OF(Vrh;t)j(g8!cPj-q954#!V*DluPyPV7cH4%=kYq~y}9&CQd3%R{Qj z7 zFXCDasp!h;?sLckqV(#a94U}<5OYd(jm>jtH0vY#a=6dc+6S@WLt`6eqC8|-ByAaf z0v(-)$~M4ny%v9ggZNI*-_SfAVq6s7e_IQWm01lz(OSH{LyoPj?yjls&J#HV$Zr&5 z_+#WZ#27BHx%#qcC&1a^6&UA@ifN!jubN-DHsd@Ba&OrZiD@WjDkMqCyJ=wq+owQ- zZG0|P!&6s=V>CPv#$4$Wjiv#%uzW;vdaMQ~#-NP336ab_$b{A?3vP@-aHxQ6V2e2; zUNTB2M?)B8c__uWV4x94BJq5FWCEe%teX|ZsycD)CL&8_*lCG+g!gSn}I7?*{g`Q~=__r9j zyd#ed$<-X|W^jUFO!YUX*6&eSW%FTgunlKQqUO{kaweWVbHNdEhS{7GMi7Q}&MMQ8 zX^pQI!t>EKr>fA*5-+HjQdRIn8u}_hB|$rD6>cIzt>?3rJ9tAJe3&t{vHhA@Y$}fL zl}4vH%qBYES*E&6%S3y*fAxw%ZSf*`CS(Ks2 zYOIuVYi!qEDercWvp=RkwXTkb-$NG04JtHHx|qH>ILcLB4l=`s1>Sv(?W>-nmmL=I z#?>6w{0g-2Ra3}TIA46@qB2v{m~r)uk8=2qTghG!-47{9%CRn*tGCj-p4uv2GI)OR z{N7W0`3471#bybSXa&nMdxI{8roj7(E|U4WWHIz&VNISy-Av9(#ph9NA!zsF{Gjwz*MZNkf`xoTmZ(F?L6<^b676x4-{rx#9U))Lwo+Yva+x3 zKeXqdOniz6erJ&<@*1a3R%A)otAEE?n^V_A+b{X}?S^+GA#F>YB4@<3^~eB4Oe4{7 z>L{V$2BW(IbAy*AdlDdV9p%jwA|-pxNPF|jj^QBWy=x&lQ}@^GB4?RbZ_NwHK3z@u zX!bFZX11=K^U6ZCHOiiQs#WkF1go|PovFz;7Ag+x^XQGm);y6Mf!`)|gG6dt2#H@& z!8Ee|fYoAow}ym5?l@0g;BEwQJfmgi-~Ti!Lc#DuPXJ>;8R9TEX+jS8WB{^32 zv}<4a)2r1=m+!Tg5?DlD12Jb@c=d8Hf8Z7Zufry#2RDbK#L@j*zJt6%_+htoA!!}z z#?%*<)yp5SJOKkAvx3jON9ho}GSZsZB34!6nLk(S>bpHh ziPfd0Guu;&+CuDu{05mn3_ck!!@E`)9iO;xsB&WazVDg+?Jkwi_stp>WHLM0#-#=& z!%pmpu4ty(;Q^%~m+=AnHzCcB$D{j4mro2cgU6pt3>%D%_DuZnnaIBL^}|Od60AHOMmag_?C&@iBr)E z!J{LGI}=lTKZktlnplwHV(0%b%KfOpt$vaB6LVc8MMnio{~GNd40r^f>zB*L)Y#+e zj_#Pa|89aM5|$A{uw)*azq!G2#CKwamw24ZkHZs#%!%F<=c-wa17qPO(%uFJxhggX zzum7GUY(WZaO!)}w{zoT%?-Ih7UxFNZ+*8A%1^0fdi9UZr|e#Kv}v&L?MA%~LH48G zHaSO2d-XytQa!u$t^1w4JY2e>t@8q1l9Mv$)$JdD*SbIX*+^aT=1S)etGh-6G)vOH zFS!0{2feYbvv+UH#NLGF&JmCD;I#vn97~y_H@<)T^4h1+roY+c=g}xqs_{yby(v^Fb4IwNoD}p4lIH_Fy8T?Lpy!4d<`n@#zcP_&(y*wRxm{ z*f!Xp|4A^DIcU$D;chVR@6q)KR=4+tP6Ss@eDC;lkpnm4@ zd-h+|9tOFzHT0J(vG2N2+rvC^>1yK60mcvK=%>A!Ke`ed`>nf*Lk~}MCVaeFlpiuN zz&O}C_T41td2fD79kau&(qJ%fLbY(J>cj)6HxS9koVRm*TSnAbHTnfaGF z`(&^3kHwGf?Jhqqv!X}W?s&_@*{ip9FBf{bUvBE>oU1}YCfgHde~SBbYDNfiUC8KH zts|eFI!h(iy&p+!u2lS%MVFu zwW0M!msmWx65#c*tM2Rk@z0qaV|&s)j@~}i%0n7EglwSeM#L zTvl$$wLj9UGDt28`RF|%*wvCh;uqFyQ@8YB@V+9Q&*MkFb@xhnES^6wa&ePs#|n@3 zk&qA<>TvylWppLeOT+qc{%xD&ngHgw2=ir0`H`20CvF#{Y+zci)p(YEboGk>gQrEc zAxbr4iN4>89(nz64x0F0B)Y}rgU)B>sT)k|3-5}X?YE6(R~;GdI?&5LcsgOu%S~#l ze8+0rh{rc~MO+gaB#j7GKI`amEbh5*Ddh1b8*)%x7rn6P%{9H!ANGeU%CF%7>>&?)iEsAtR*QF@?G2o7+>TG?Q=Nm-hO09xO-^ z+CP=Ys3d3ak>KawgOVmx^WW6{y|yZ)IJHU8*?ZZt&Uv$j`%{R+N=3})XHz;2GD_|D z*R3@U4ylZrb^1u?!s{;WF7D<(R`!mU5w6f@sWguTgtQEY$3*n>s@QaeeANAJZO?ks zFwiw3`0=GYli?VCA!E?*-o5Y%uZ2T;8iIdkmWt-Il?J7pA4vSrzIl6dCh5({^Ko~c z@^gpE#(9$OzU()M{an!-^U?e zc6RU?=EaL29bmJrUaK*>FsEimuMO*K-`e&Ab;V<96T>|r;|9ZZx-}ES(WNzYKSCzP zCdOkQ1p9Zd_~9}E{$hS(VNe^#YvhmG7)%U@9Q;rkG_NgW)MeZ!XyU_2;j=;T4!1r| z{4k*R8jQUzoNL%W=u%f%GC+3uG{OuS8CU#1vh~f@Rf9hULndxc42F!oY#mfHnSbz5 zc9XzLr}6&BV{eBu0w(+y%=oCF(jDki`m9&A#4U&|Xg^U^*)XlLt2bsjx@Tj5oAL42 zu5nJSrGl%|2KdT`2XnHUA8e`Hff*h?KJ5c5IXChrr7Yf=42t z;u2#a5~3`#zWZVK5jAERVuu}CRJNcz8h~Sf;R?PVG+Mp_bIrudGd94t(@fpJ8$K1f zYNZYC?0tB2Rs_0w8@{04$N2|}K}DQzsE;(p?})SovPYT_+$RrTYT?R!CqLEE8Ns{5 z90<`R-9O1;&WRQP&_ET5ZnsbSA!-+{*U90cJ)kf@5m&maLZy5Dk2mnWJJjgSBQ7b0v9+__Eh4K6C{dlfP;SO3`uV4WS&fD#3S*y;K98Z%Qo zEq{{3oD-S9k;4Y@aDndv!xakV;62!{ort?#v(BZ8(;x4otl33bqd-|xp(~FrzenJ( zo^Klvj?5(^yW>|~e*TZoODo(ap2xhEg918cjolO|Xi(yfZvJpcj0UC5jgvEj3Obk> zPla1f>kKGMM5XzN@KR(Q7EwRk1?vZ;ZggfA_j_v2XrX{{8?>Zb@#9LfF{hJuh6XxU zptjP}LmcXx`aF5y8OYQEU4qd#Hb-!xl(4pljt- ze_ksO^%N+xqCB;P3iH@n&Mo~Z%Io3k{a2XnarWT*pJnf;<~!~nwF+u;)=IZPoer)M zIg2MBfNR;m>;Jr_UkNJbpiu6r({2QxIImOEO<`fB)U2vtnHY$(s0=_o_4{UO!#G?!C zNw#R4ZV8KM;OmBkcZDj9TE)W%>46DbqEK%^EOT2Y-Le~v6KV~bKMZPdD?VOxu?Om? zHh-BD6#g!q;W$vZ>-Ho#%*T;uL;$X`cYAcjw?+Ifg|I3I`1pZKJ5jydR;ZV|W_ z?2hn%aKjFynD=#GbGt17q!bev;uI%8-``8~Rcvk`7?`-C{&I#AlwUi~=-7AFSRIvJ z*yFMbsEzHAYS`V+%F-tzKHh+PNVpE}9Bp?ukJiN^kH{apP57?NU_rhljp5AXc>mS&#o^M6}i4C(n z_fJkr&U3$&n3FQeDdAS;qx1Jso&u;eT!siFfkMD*!M<{%Y&M~6{=-Z_{XJCcLY*rB z!(waFkWnix9B{)#58pX`=u5N7LR+PO7v?7g*I}Z^O$B|2S;ztlU<>@L&l3(`77Yq*!BqXDFkf%10E2)MtEC`pZ?ckREu6h^gpO!P$lz=8fN>G8papi(QpnIjRJuKSqoOc@TzkNRTC$x|A1>P zkoA?6c_S$k}%_4<2dNrzn+&N7jTEdjl)b-65;i`C4Q#QE* zlS9?ugHwnAXLzVpp5O>)%ecv+(tHl!42Fu1p>VdGzWHR;DtI=C;sL%ggX@C)jG%zsb~#a|f>&l_>RSyY_gV!sIK8oCR8A%AEw9hunhfME0Y+Kg z7sFR}RbC%{HbFhvKod~IO#Vp?%cDTQox%*aV_vtprR09RGYuRAI%>Mlkh-AJ5q7| zJe2zT2CoE1xs&n~aZcZAa6C1H6@OJgycC7Xi%qyZ(r_f8P(0V6Aq~d;SQRqvh#Kac zj!J90hK=C>#-pLG$3YMZD+H)jq69_WXox5N2r6*@00YAU?DzX+T(pEOty{3+`D zc9%SWq1pAvp)19d%R`MXl|SpdZG?3JU~X$x&BDiO7An4j+CH%3pfvz=Dhwd0!?mXG z_Q^8E@(L9GAiy1%Kx-NxNkzgUX2X_((pnTLfzW_~^a4n0Fj)1ywXJv%V%BU0bf0#cz`cReyzkT#UfB5D3 zE>T22;YZA{I2~v_zeA&D3T56FxmH;qXciV2=AA}7lcp&$;ITemuxeFnyF68Yd5scO zg)TYOw|u{$)=cCHXQBc`J7MGrXS}_$1sBVzP7xH?#|MHPQ4`8MFQJ+#GMC$)Pl577 z5MNr{GtZdaw;YN*K-+_|6wkA7HN530GzYn@*;As^=E__~XkZ@^nZbIAo)zXcls-|rR!O84QZ zVACdbs|%J3bZ_fVSZD?UoRp)E0n?5vW!Hb0R0vzxN{InJv$C%nS)t+!&Kwy}pif6c zpPNGKPD-BHPl2$f6%_5;Q!V_E8i4-ox99@d_J0Gzpj5VDX6WpabIbl=FrdP|9qmGp z2kYVTUl^zjq*p`M+#KXr#`F(hm=fp_TmT!__mEqQ-|QG=@JJrVcPkI*!ZVF_hq8SX z2@c)>&xT6zkNo6?{faIyFUb(N=@3vwHFcfsHoY?gHkOEsAn<>jWj@3Ka87);8J{> zpA2KL7IKyVdaeX*+Ko1#0~e{Ak$#dT6Z)8ZJ3=O^Gx ztq#2|@)G44f@w}qKORx&dW&FT_fI$Alo7+i{#jE$5{#5PKWplte%_ucG=v z%nJKeRNssw5ReN4q#a;rTqG%mp``;eZa0T+hDc!$1%db+S}G!$x(*lFCy5hbs8)v> zdNb)3^lpKZi=w9hQ4g)a-I4Rk!V~e`Ahx&z!k30+1<0sHeB_NbgDp5>4Ded-21fBx z6t8VQR&@my?NVb|-_{dlo(nMk3sC2GIGYgiK2Jwy(Cx^#&mTgv9qSt;#D!LAWi)Zs zP+RlHf7}sD|mA)=0VTw*H`wrF&{Eyks^DEl{db4RcLZhp!D5 zgyie=md-uYV%^+oR=08DsJG4qi>wh3OYhR6(urwrf-mQ^Z3=ql2beJOIG-r9bio&m z-WJv57mkY$YxCaWKk_3x=0>yCi=M-7?Y}5tL7mE&^aGc6=ntxeCw8qmlDv4JDZ5y5 z#&Utr!AsO^1_uEWMiF+j;@)IkZ@=fmUsfFyP2V@EL*u@CqYu|17TJSj`%t0uy~Vwq z?sG#VnyveGbsr&G*32pGJ_dc&C3}WP7=C9*S z8Ubw*%u>27Nsn?DI~YBuRlg;8FV@@ z7cq^Lf)FCi10lk2%cyGEnZULvp<$dEx`chQQ`O<0m-Vx5M-n!jBs8K=_rah39FQpA z&p84$3KPOi5%((>?%&3p#u$->0Q{4)lV28i86wa!=z{1|&3Hm18>7tX_S7FIv;;ho zB}L99lI4jrE-VIG3I-|}XF6QkHog~7W+~}#|9^|BXmyMeFlrt}67I$jPVmQZz#hZf zsZIHu#qzu(28@ytfUSkH2i_JAe;Zr_Lq%8_RD`!I%`F zR4vK&3f}pnqPD-@G868p_s|uBhQJ=M22O;(98vVbVkgw)J?L_BK6#DkR{0iutGuCG zq|)$ z_!F~qtN;=A^lL<#$CZ}{zc0VaVy{BC+V`Z8rru4yVgb}$_0?16O0*Q=E~XU7Mkc6nN1~00(a}3sE#~>w{%R~g_vt|W&wGO^X!vPiaYw? zDe;iix2I`5QUXSS61x7L1W2U)CNoKr**Lh~nlv5w~7Glz7HfS-Qa! zJyAfad{Qn1WXb7dYLi1E?r}EsctzvUvhCs3x4jh|1`5rtY(YQ)ME#jG8=lwl;i7(z z-!JOFtq0xKnojaOCO5NJgJlui)Svw3%2c8_o|Axl#oUDzjm$F_5h?}YiGrBg80c|Q z{IxbvT|S9VufC1obWn|ls3-+e7at>>13Gs0dz27v5AL$}VaSO@r*LPby3j;zX7%39 zF&&W$r)Sg&_>UYf*}o29oV+}-6L}co=)G>>G0O_NL-~H za7H%yImH~GcB%Y0x!dd*!NN;%uI%(Bx^WAqe>>+0*S^b==Dm@7&P)0`*O9hxy1$cL z{MuN;Ln~Pmwe#fVSBw=E5FV@^OoTjKJg?cY^T=kIv{G_v>03b`t?=dH|HgxXarLUO zimKl0A8w^QSM_NwX--zX|JZ@3f8oK<6Ns5Q&oAMW&*zN_rvV5T7uW+Vvv;Bb@+M+y z78+8QqJ;&Z$ET5H80fK-!yaitK#%fi>)}w%C4Mx;@D&lX0E@v!)~I5VRypv|WMTgS zMi7C--ib=ZrY>7(qHIXDLpUXD&6wH}v9JPX<%swIY7)Vk0(2|SlI+O=nP~%ir0&Jf zwZcS~Y=)dzbk2wE#|e}%+oqEQUs+;-B`NPX7w5q>k?)G2X8g@=C-cvlB zE*}aJ1I1&k$s%-5O7W{2>*lT^v#;wjX_0#2!med{p$6G0x>Y! zL{EnK{Qh)e-*7sh1f60Dj*Ey7xOU<$=ST-i8Ik;fJCf_j%jwcz;U8=;Sf%^w(Hg{I zQ_{DK$n?d-r|6Y~%M&7+|H%e}O@cPR-}SL6WJzp%fN{|BpKLHP^HA;YY_Jx<^WiBG zel7P5ZsWB?pFafmel`WXfW=1#(Sg@ zFB6b5k$)ls9wmlw#gJzsdh*~0zXvso%7eqdew-W=iyW>bJSTAYvS+Jhsfb|)f5L=d zl_w{pc*e+m9*I44@tH{Vv1Wr^QPr1`XFg-Z4`%m|7$Te;gRGfN9_MiyNyi$kT=>zD z=QEt7=e`1{elMFU_lBCw~%*Q)H04QJ!=o)5Dh2LA z8Wv-u#K#)VN70Ow@w;R87bdzJk)DF5n#qODaF>16b7NBXDO3LbEGxjHP_S)?$0yDe zJRYX~Og_y7*vPrKQxxjJMt*8UjtAIJwL0{P$zVauMTiVm@o5dU1y5)`nh-%A0knKAdA21ENmLYI@|IG z!3@Y?+^vLZd|Cgn3_5tz?M8n2&6J;?4%omQ00yf=U@)}l%79=dGIzaf=z5rXVIpjW zk!34102^o(L-@lms4I|O86#VFI~;8f{$DX3@l2Tt>JfmLXdm6?i*?qDtT=;QeK@yEl6-|j4EU%fWANWaGhrL|p5gD8JnIVlvIMk~LV;g)O960PmPRBR zkobTn^^=^^4L-bj%IVGoQDAzho>uzh6m%9=ESX=eUb6;cD`mNUDOUmcC2eSY*lJ`^ z)OY@I)yIYqmi>zgHe93X8^0E4nD*5R_eH*CpLuqMe7Ps(F4%xd@Qd57Yk*%Y%*B53 zX1x1c;%V_UnxTXrpTspEPjRxJLNo^&-$ozBo7#^txs!A3j$Q-Ko_^gl(!5<9C|EW> zX~QvAeIy}Y0r`a&r(vyd?2i2saEY~JQVVckG#%`{*cz%Hl;_3}wj3v*$OKV|ARf2H z>=>Risi#p(AO~_`2=g;2*;Wnsl%on9))<3I#q^efd6KqGaO#ON@&gQ*Z} z|H%XU8A2$gR@(h;DMJ0_LkSoi#tq<&eg^P%jvw}|sbU>L`~g!P<7!vwe}PwKBcV;8dda}e42IAcoD z25`8_khmRgM2;D;h>@9qP#+RE%go960pXRGhyNE2jFS&l+BtHgchP3xZ1MdE4vdpv z=C$5@BzK|;lZatHfObY$=_G)YVy^3Nx!^~X4mnzJa>0WgQ0Ko*v*FQzmmNynE<6}B zbopV)Wo9|xgQpK>8wCaKTw=0*E!RM}Hr~W39^?agxi}q^iUCR%$}lgbk2t%s znx=wL2|B6AWu4`NKkcIIdV3ns(aXbGK}x#OvP8m#bXCGLLMIiqO9(BFATRG80D9Q`luFNIc zA#zue9YU>X&9A-;;Q_n|+9EaS4i?K%>glp)VvmF5}tue0C9 zoT_T}r-~)q3aQaMV^lK*+)N9=!8hz#yq2eXWZ81WZ1&oly~_KM24t(7{F2_kgm$M8 z=4*`>dy5rvX^FTggcRV?mTg#CdN^`)9H!;8Pg3-;Y-J2#lXy(W5{fW?^^}@9_GhMo zpPV2682luw%gcy*^dLWssaedB=Z4thz2pduR?_%~eKTkqZ}tF;7!7uQ*OFC!H&11L z-YC^2N(LNtzzR`GR&VJzE2rs;!R{l>8xAA!cT*;QBzufJI=sEBw9pnylE>JLkVf8iQpAJvm`Wnby(#7>1sk61!ZZ$R+k@coJP%=t51@)t;M zV~mKddh!>7fpLly+sg+(bPd=v6f>)8zs6SNZlx9u>Xn`~zQ4Y^SHL`{HCWpHVz1jb zm9wj>E~Z;)#eZ1UpBo*Oa%QH8fu;3maPXZ$1uM=)`lSU&Gz`=?sU#0&|1ZYg1f0t4 z?H^Anks>>?384tdOxTfxQ>ID?We6c-W!O;&(bkqU${30yX)qNsY#}mMl-X7hVGEi0 z-|N}C?>XoFU%&VJUYG0Cd0N(UueI)JJ?nF?&$!8PS?qFbQjq&1%hMo_7F()b^tL^z zV|uYblk=dzvQ*i)MHo^0=)P_A%1VGMx)0k?Z8}~Z3nd9Liv|9Lx-Lgu11(3wOxyQ1 zT-=NkkHwn6aI0^Xh>Zxh+&;%~e0h_E!9uL+_`GTbzY)508fzP`2n0MYc3dR0;o#Ey zO=3%VQ$mGH5|*;#N6u2GC)Sk;*-;Ti%fJSh`9==%&a2{qt_%OUO+R@tgmjk;CnGH$ zs0n;v8^sVa$L{f*dJ{zu;`b4^^~qE-RdlC%MP+IJ5_6lT4zrl`Hn>l}Ct4P9b9hm0 z;%yKi80qdcpf5vBQz_8&+;b+J40Nm@ZhiJu3<(Ffs*4#FH%V^4DYkS^hv#AAAv-E? z`~0WZH8>e5XjhtOCZ*N(q(}OQ^D^ZKX~=lhB)E~ z0V4&AMZ7+CRHeHe#Y+-LVu^_{+uHaOcf+V0sFlD9rY0Bh3;v9gg!S#*XG?`U<%{Pe z(0TvAL z1a#W9s3}PqF6<S^H^rhG>vMu4`3#Du5{PxHtJg4O)g8X&6GwC4<4$5)byrHXq6vIJI~=c~@|Q+~~`Bw25^Wi2TizmP;zdtuD>c}ELGc}rj76YBwZKHj4sG*7h59CS0+~{z(w$^gCj!jJ#V`&#he_6 z#wu+gB#yoVJO&H3-;k2GoTUc*hfS{b=k=J90enGnjQohJ*7W z=ukkCyQ$RI=i$nz|A-CKS5|3G+Qx;mSq-`ozt(8Mb)t^-J1=3J+q^#QENG`r1S#kF z=Za6pF%^|<_i&}jJ(XE` zy{#yf=Akgt7VHdH`Rc%Bk|*Z=NS^CK3?~;@#1ZQprMMZh^VEWHk|zT|SXDXj*7!gK z$rG$pvy|k?jAUj=?3!3Cf?WiCjq7F>$cnmKnK#Z}Y#)Cq8;X>&# zru3@+CDIspmgy4G7|4vsjIbr~e@Gg;4E{ZK#+u<<=rJi0#1aAnm^Hj0gd{oDi;S!L zK4~lwYu!C@>)M(@H(Fz<4qEn#MHLF|nb@|uUS5t_u!?7}9`?-ER&*vda432$)0 zCdT1y<@6u_0xKwu{L4jZcY@4G_T^Z>TZxldFJ=ug z2L|F~1VB5)f1ti^g7vy!bEp4rnZcEasE((~je`dV)}J#dk{UZx9cf4o8D`{g*+D&8 zgL*MXbM;&0*nrA7$P4T=SlDfZ#+cADjOqnUMc;*x+Q5NV%F-g+QuzN3h^J;`|%}PEYDE$klVe=25lSOg3sR& zOT3M#hBY$S4$NSOSq+OfU`ygt_CK53;$9PtD;bHlaU)}4D|@cblof;zB)TP!di6C+R=x=u<(p~AQsLghD1 zkIXPVf)tCcM@%?P;QujL*o4Fo!B!i%#Aua`*KnHZ$OZ>tu}6-(l~o#FCM7Fi#+{5q z<1_e^VVPhuC;vOel8>Evb(Wf4S!so)8R!Vr0b$vlN=mN6l`0u5C?d^xyJS<*;n?=# zgkHnwSVm2H7wv^d@uOj@MlZ_zdam7T$WN}RFAp!baBkO4kfiKLXjeFULqyx2W|bg2 zu9CeR^4m|GTddp4XkqSxcsEKSXf0k;&vutae#2t(q?w0y)RONK-0MC*Inh(;)UW=4 zQaN8kvPmARoG&98bL*@zS}?p?wZ^d6kKUG2sku={&0xWBxb*&_zysNFk491n$&Z5C zvWqXJ>zoVMxFz1b8h*rGA#?RZl{7Jnf^(`20D8g{4>=$B-1W{}ip|&F|4mec!m($yg0k zdcwUQkes#{*%}aXOG7P<7IrKeF6`(xT39+(UU#3wvN$DcOC7t^sGmmaX)n{CzjUsh zgWE;3NvjCQF*3IG9Z5*1r*f>Z-~Vtd@z7yiP*BU<4A95V6C$$X`rjl%R&R5yOC&m9 zh&Tp)FNp;P{T{BcqiX4Fj35QMG{?eD;$-9H@=8yoUt3_wT*MDO-CRc!%KKUwZJBe^ za;$PJT6O%sQOw7p(0JdtEba>*_u({a!$>`~AF3-+ds#6-%bsc#h|u)K%z{P1ZIamhl;k;tgn^k7jZV+XmKDK#Pf`>8eR~ex?G%Fal?sI7>B-ooThDS_K)+z3f(lW%mLvzFF0SX6_#255*i*si4#&=<&kjjJ5SJYGGvL(cGQ4f`CsNX9EqLrP#qthQ1C!1 zO-8*sLl`LfRq1D`RD|YCXOkG>GXsT-AdP`HyX6#!JYR;vP#4AU>TfZ_3*Zcl);amX zoK;+KNstgEK^NbA*F)aGnh!jO`bd;6PE*o&;r{J&9|;dnUW&^Ds^u!b zzamINkON(OlVANWFS9U67!Pf&2U(M^hzN)Uem#q%fR2ABAfhr*pG}Y@Yq@@uolJq2 zX7%7-jQ6u%A^}s`sbTdRJ8JcN_M!C*9|yL$moBY zUq@kC74eTLGR79#q_+LGm^ExxnkpnP?**B}_BJ%TKCwhR))tbrqlY2)S(j}h?@WC- z0e?wofz)TUe=FqZ{5`@D!Q*g}K=7ENwU~I}WC)w0VmFTHW_$By-D*+z*wm{qUFFe+;u2 zSeId5FOkgaNo~iyjv|kYe~X$Ph3HCz{ixAxg3hyGhmqs%FUJK=%8tX{CoU@rS{q`jci3o|*;2Eu#qSJbJHU*;hPo~_^Eq-~IEHPhe2{W! zh#4R<>FhDsQeiv5Z&iN`GRjP1SaH0SNCn<$S2R~KYhbtb`P97nUxAUDGB@CVdY?qE z_xP5%V`8$6Iom``alGL3#J+VIp#9>hHNm6;q=^E#))kXf*oUrbn0wUwpT^(mjioXy zl1?5gd*S;u|I5q3v=ktM(Rzt8@<1qTJ z@zi|LP2cXQ{)d|4uezT^Gba$ZjgpoUn)tp6T*YtvP4Z- zZxOnI8++CHBzlAR9&X2^CI9=TwU@<~cmiONC;vFUq^s`vrJ?L%-O?aOxdr;#qJys{ z`B`RkVp0Gr8@K}T)GHO00Z$8HjpJm;Uw(z%xmPRtK7^SikeuAJKb9|5EMep&%?v&UY|XQ<-RM_x8p_MB{c`@rWMK0e?gm9oiNL=moh$Y zbhd;p0yvT6TwOygp-}O_mdC0nLXW+;ANa?IxTqpRwki1BV|oj0@<7%{_}qg8Fg+)U zG;+Tk)d|x`koMdg(%oM?35#g&XTD}~u2CO(kp=1BU}>tzJJG1Jh?LDO$nVHG>cd~kDmQx03HWA{&u6twSyWM!aIAMZ(>b}D6EiQ|xr zgs`m-$%@f})bHcHuU~zF%Y}_D98|yLZ#Aqsene5+I&zQro!0eYf=5APXYTUUtT*KcBwO>2yKy{8KqS zDQ&IUGj8?Ctoa%VHdf|g*;1NTF+3$HQ767G)EHO^9c(#Pt!`0U_SwYl=Z`Zd`-Cf> zH(DMoX}vSbxzN0rbWUf}t6#%gTT<0?UuajK4^7I8-sNIAXgz8D+mB{)c>Sw3Z@`O88)4nSS zNo(zKy=OjDv_nHE{St`sDZc#>u)!6_^oX7BWn0Tzvx)9ekz+rPutq}!Urp@H9RzrH zl`WASXp~s}UTi88H9g85R$Wi_xZHatOT(49=gUJbLGUh2v_h9=C}?7LB(jSVcao;5 z0MtJGX``Tu!fU0F>g>5E5+$#omPsUXM_GTmjvpZIf&L5X6Sj5M9RbjB#_1uLKP{L) z5N>DG*rZl z{fkgXbF<$Y`0``1eO=P;6C-2yUY4X z&%UqVNZFqF0n*Oh$_FfBt3T?}nradBJ&(RQfFkL8pD+EhNIEi(87i3< zerzQ=I{|F|eLEAH(hY!zZ+=(1!8AOAk1y?_0n{Byo<==RnP^*~4)2(*fOf1*h?dO3 zK0=M6EsoudPl8vQiem(32WW>-k99*UU_q}i6K=Jhd+}l)qx4u=9;gh^c)D&hvpL`H zwY%JBm#bjKgoe%rG-3zDrPTjLV0IWv6Ub(J`B!lavvZ_nfAOd91#<7-Bnj+b=K#X8 z=3S?tIFDP28+ux}$3-xJ5@r6EtT078M4(2P8>R>Z`n=yhH~I^Jy(8mr&~Wa{eyaxH zQ@aCKqQiKY(Vg%P_Lu$bh{Wy0-R}0*P?})$>0^Iog*NRVjaEVPYQF=dNwDavd;4;e zZr&CEK8|iyK_mWqz?t;;ks7CL*YeW_r|= zVRmvX6#JG20t=c`sCvA4$NR+#Z6I5Ny6dkiT%kCT+f<R*96FT+-iw=N(CNGjls&8Q z4X=pKR^uIB#R0BVS+?~77EtVzb!PzRFluv{k2ih+eFowypu&2~Bxq&&>^s&k&$CnXwu$GZcIyQ_ zy0WyFrSQSa+XBFnQo<8feTOv~h!XE(N+3oU1t$RvQ76jV=}zMEI<@%EiATfr++k*! z1oNOY=W=E^t?-^5s(t+A3MJCT5WkSLZA?!G-5et}gzIrDLe--rvV0RxSOkCWT)0Ys zyWktV$9O+!1MN{^(#t4;$H3KsbqduJnW@m7$0=_pB!$P39ly+wm%Or)Ao+9v`c$K& z8HJ#-FvOaKNxG6Sw2gSwiMVq;?Gcnwiab#T&vRMHiI@w;%usH&tqjVe^&UTiyA)I= zygT^H(@evwTUJD)s>ju*Tc57wkblmsPDxuIQhj4ZG!(gJrheax6cMVJEU|8#q2h7> zCMaWy=jrkZ*E0#%Q&5DNgrB2_KVZXB%6-Tl?W0zfhS{JnYFQ#dNmE5tWLU3YTdBZ8 z1HA%oRh$Ch&Kja33-SP4Fz4q)oUEV?-tKJ%qA*};d2FHtQDsH6HLQy)YCE|S`SeY} z)rMC`*(hm#;d(;Yd|iY)HFQ2*f<v_Q<{|$Ha+$*(2S${x4AxfoE7Rlt^=;d3}t{D_pDK07cPT z3BYy;UXvLMl@KTGiC3^*uOJ_1iut%!R^T*4lXa4wScKEI;?%w55qTNB9+8)+DVap$ z=;USZ(5edKKnHn&@GhRG6=F3i660f#m)QYDqsJ-UVOxE8DpjE^g?!dwCP5Wxe^+)6 z0d@{(F}N!pGjzICn*G+Hfj$*&O(2x^~^u}~rjY7HI*B4&J4*x*`dp!yn<|wc5(jpcjHQ`!` zQo49~LMQaeiuDQQ{5qdVm`!gZ7Gl1lkQr&z2GlfBfpCg``^tJKK!>8o%sBsqW5LyQ z&QX140+5zqnFY%KF83iiwGEgLLZqXqLzrjt?2 zkoVqe&K7}KNwH`d9>cLSO)d$KVyAQW{om z4VJY7#aUF}VaPNfbU^{2=}{jnDM{=_kE6Oz)S0laJJzbqhqEVsd`ELe={?Yu{l~pU z!}Ur)<~j7>Kbw)m;_GW2h`CiXXKXWqn9KgN88HLN!aIIB1B2lHTaQ*mhYzcEd`^M- zW4Ja(qbyMod{N}%5TuRZ-`Z`7ik)}h)+S8Wv_Ag^GhaG$S=@(`-G7T3)!Ph2Ww*MI zGrUIgEnOYFpu0Q)Xv%i7PPd@T6)?Rea+W}^Alrd|?L`>1(%buV{k6drn;^TP0GaY+ zEp0GYZW`O+91YgXK$$MEGY*DSt@3;botpk*E25X-{>l&eH+ro!r0$YH#0lZ07zceu zK1QiaGtfT2mM5sW*PTF{fsLz)-dIuyQ9c>fN?Yq9S8NKd_$tRq2%8E7wUPH-&@@bm z1)8?Js~yddC+NafjCC5(mYE)N1zR}(S|F-d4z7J@Y9*XbI`VvPeLI%CgWCYwvO8h? zc(_|Z^7IC@sv0ci_TE4-hH9lj)(6alHQ9p>jv%W&FKkELc+sE~!>aFp^5kv*Lt6$~ z1vv(Ak$w4`0`=EW-4C>7pp}x3;TDGbQ=SGP)m|8F8QL}NpkhreEK*P?WEI@Ue@_wV z3Q93(TY<;yfh-}+_ml*d6*CdnO8i9>sRl%<9ULF&2SnGkF`T@LMlAXg8YM^z~(Z0zPt`hk-``EF)FO;PR9Zs_FQM41` zSFUC<_9Hu_gN9adC;^>W)e|fM;4Ra3(Gi!D`Uo`ClDrD-)la}#CdOq}lP+kcMQl(quuIs&=*T3PQZs?TGb(X-At8-Qi@PkO=4$N{Rn$o8V$6P~|b^V>sB} z>E<5|RS%GStO1!7VJ!~Z*f%#o*)gP{cs zWEXG=3*0Uyjo8Pq>_V_|C%tL*7kYLfVcGPqa`b^b;gl%szTToDbT$)IggzS|1>a1p zO>QcGx4<^|Y|s-@K=89BO}r!a*y|0oVEeb2em@(oT*;Mggpf_`68M+_3iuQ99Rt*7 z)t!}eHxZk=WGG6X*E$o1o1pI<6WOC7Pd?%$+9BD;G?c{#qrbp;6Z>S7t6+@s`_?T$ zB?mkFqGVtIYk@7{hG!7R?pIg>3-0@zjz4j5eF$(NAwN-g|0Sds82^~PeuM*DgcTVF zx&OW9fxX1y$Bmmj-dn-N!l0ai0=<#Q`GWcK@+rLLW=1VRL+>?brAWgSMklr&4eZ@C zJDX7yI(JYz6}ZQYXxHJ&EGufFK`_gsN1&oI3a{aQ8Q43X^LN_Q7b6l$tmuEJ;|J}) z+^m3q?BRq{gPH8fOrtLl1i$Az^&3?(m+I4oLTM{{p>!#am!XqF>GE8)P4<^*spsuL zKPICe^i%a$qj+0NNmbC>m~ zHFGiCB`Go7?R87ntNd46l`MTUIPo|mJ1f=UplXVe`eH+Ix<|Rw=_Lc%sl_ve=}&j3 zUt=?g;Z95;ok+WwV-PoVM#wI!KWe9=ZB~!EW`5Ew92>`0ql;CkgG%|{5xrx^lsyA% z(Uo_mM5X+-Mb*7KRiwxVIuG#TUUQcq?y>1^<7V;F@Kr+l66VNJ^Ht%`FO9hgX?itq z3z7~6^T!J7RSfk)B2DLZ=Ar!ef`YK)R$E?yrD62Vb|ev zUJYvOaahZtvvLp!3kn7mlxglzFFYQmcT%MP6nuG$k-HTGY%9;Vbw`TIKYgtu5OW5X zW-jW`nc5iJq7HZdixap~-n3lVA!=`+8;%Jpg$bLAgw?)clKlK#%`v{7_Ivqav2iXg zEktN`R5x7lKf`)`hfkzmEc~HvO`%TC!-suQ|9t;#jC>^|f7O_S#NH~?f(KjWJx+Q{ zI-dptm;R2|M@9NcCcqTpVdf1iX{lsHw$jwSJ-mh!5eeWTIm)m>rBCKdZtZ7{uH0W$ zAK85?M!11aQdW|?RX!JJG6L5F_1Mkp@EB2#G2xAg+bR(Cn69t-?UnXVbF4_*x zSf6YhTEImnC93VBf-X|rU3$vVvf^FEhM#11fiU)m+4?LZ+AMJ4e!`)`KzJ|$9AzcP z)ah>z2cj-rNOj~tHo=waa3{JF+!3#uLYxgxzXcc6)xJdrRGiC;% z*XuuoUi-%9ZeO>n0FJM#hQI=cUQTX>mumy-3C_LwyCW{f?k2D&811lL+HcYT?MU^| zE`e=LjjUz)yGpjHiW=I%8n5=EViEab#2>(VnWgvk^}fq7eYv;*Cb}+rLDQNI?qv3{ zF!qeDIu;QM%;mQ>&TRi~R(v-v-YEXL%HAp*SQOBX!OP*7QJ*EpH{vnIqkZGTJA^5y zOa3D4wtutq?Fl=7?zML)#L3^8jCpsh#tU(G;D$tty!VCki&9)haqwsAcxB(yzRYESDPDl^RmEhR(#2({Z)5JWS|Q)PQ0=8 zTbP*qDCQpw+OG~U`~zI|e>0}bNYr7nrP++d!R=Ey5EBO9!nK!~8JpzT#tyCaqh=F~ zGr<+4sy*#>I~f7gc`_k2pO2K^0fl_6v-Hk^c%!+(ke4w6 zkAbv`J*N38vwZ$zBrk}-BYWx!*?baDsVAZjgwMj4_Fg<*`K4w|VZ>v_sclEzmJqXI29AO^ zI4soWrTjvhMKq1Aool}?(4uf-_7qIU9E2pux*Zvm+SW8GTZ0%fyvG~mTUqw%D(%;$ zn_e&(_yZo3$&u>gAQSj993m-$P7@JHSsHXHb%l&E34s8Jq|C`-Cos5dz*cKl>qfkT zLjkaY0MFQ+RSvn~h=L560FaRF(*@qdhd@CF2MG;17OzC)Ymk#5gVpJ;lyBebkgJ7s zVAFMAAWQSnt!fD-w=#^{!)y|$$PDrL`ldTTw8G$8VFkh#Z4=;D38`@glcpX8E}{C! zhFdYs2{FxL!uBv(@mI(&m!L23f^80pn5@p2ta35n`Bj`8CM$q&<$5!}NSexiT~9 zZO9VYY6fwJs-kHi6BrE1q($#SwV+OP7I-(*2?n9WY!we?` zlVt|1n{x8H;tu3XHGk+<5)SP}RAfNNsn0C0i&^;EDSnYqGMp+nuy1!@*TH@ep9e_5 zd$5ML0>adFxoyT9ciX&pu@$Wru$g&b6VP{s+{c(J3M-z1^%)LiptQdX96Fy20-@Ux z_$j}0bbmPdp(`PKrtLwXZZgyY;golfmA?aJ(kqbLS~~?aLNF+Mhb!PR zRykY|m9J!|0Q`9pQ$hGVSU!8__WBqt9yoV&a27^yZwjetLOgw-fxtv2d=q=S*q^tv z+24e~7n363?z2DK7k(IH8nHbHbYxN@+EQq#QdXkK+sccl6OV0Y!b@Jkh{se@g5gg@ zJO*VxKs<&SmWq@=op_8-SX&?_C0&XVSUtx2RHCrLU0)j41 zG^Ar%4#yCM5UkU)eTbq3)+t57-B4EPL(6`L>zBKL7X%o*U`)@#Yk`+Z>2m*v}t951_|jQnD=ADs3J{Ce@KiW(T8#dFtlGcVppq zrF`*5`C@GJM5w<=uz7h_2gU>+2k{!1r?{|H{sP1JX0|#Iu}*1!+jK!gjhCZCVT!c2 zL!q#IC!^2KCZG>G&WYazQ*X4I2`Q-o9zRNEPsZM^u@SGqP?8H=@|}2OBb|RcpYLR{ z1uqJHh{r7)*lXw(ZEv=(YA2>pFCi?Tbpn1qETwo46k}Z1}{g`7-8I|d}J zW=ZK_hmU2InDA~V+nmYdc5Kd0>p6U6xQoN;!fDEw7i|CA3xXN?$jSrDSSu-*{P+qP zA~QB?kk`X=Sc;E~9D`&38a(WyYaSV)RXZ#Yb2yRVvekss_(aqAO7Z}1nBZyg#hE~c zFesV^^gIv-fKxA(v0}7zk}!()2!A$L=?{!mA9lD_uU?~ z*wgUXOI$D;=5RN+(;h&!7~O5AqR* z2uaa2yl|Ro7tC-R4yn!C2-`3+@cpGvHelwx{}G*b>?TG#cA)UKH7C9Vll5{$(>mca zLtWkY$<~HkrSgvk`ZufW<>mc>APl&KxJLgDgne@XkR#OsL)hkN;9#u~l>-K__2S@( zWaVGqmo8WT5mGZqcN)7&*TwMxKbiWOF=SzvH^48UlpQh&53sOtT}X-OR->^()WO@) z!MmMQggG}XU_fZ^!s9OjQ(d_g9%vQW=-K^R;8sh-SjQOo1+b1`PJ`SGuAm~D9A3jq z@0Ab+Ptp)WSXCN5zn~}W!2ib%Yvm;b{F_yX%nQfXrnyGg-dh(~!-(FDK&<7u8x)`x z2sQJaC-|UKy3ds9L0*GSItF?B${JqAHiRt4iVyw$^bb85>suCCh=O>0s4umT;oC#8 zeaJ)Dm2t_3`O3IlVRymQuTS_dpd+$#SnFPO1XI<07cDCx!-s7V9-}8)Bci1^X`6NBH_`qev14oaDa&w>6-5ny@w=gZSF6ENn&x_v|@O&*}SJi~=subiM zQl;CA|L{(;zO74ku7&>8pM$5s(u#djyYC%K0d_Iq7&{nn*9`6pz}yvWMJ|_ouB`km z-;>ge@c{QTOc4aYM6~()vDaacKARj`>cWVS(?y|m%|A1CS^1;TXVG0r5p8<@qp@nc z!z0wCJs=8uFTA5C?9OC%A0RwyefTH%MYh342l&!jte;^0$-y=dvUTp$u>In8!@FCX zZN!^luDarz)oK&Sbb$HT2-dOs<0?e7<$xRn`*0Y0T1g!WVf3k7(aMSkcK}V5=u6HH zY|fA*%t~CV3cGHX8zB9uw`yNksxj=rB5e1;#yeTAo$QWwpGs@CQk*v;r7wBz@SYW~ zThw-hjnVdPL~j_`Y7!5$Tsw{BcK5uHm+o`0V|hBwEqz|tVYmAU>#>VJLv!Feg`a|v ze$wWBRnl`io2}g#K7!&5##EH(+mj{R+6?<&{q@g2ja?M7LQ>RCZ-B2euC=hi0@I^f zZ#jzXubR&EWXf)btze0(L`3Mf?pcYs2MLFWD}ekD$&vV(g`omKPzD=oITQemKw|8x zhMvRjY=1CE)Uy6F`0mO~NJ}zw&}QsiO)Pj}muXA3>t{?i8A28$Sh4fZ;GEjyY)_|e zN85T2ph-U^3M_4}Pw6=v_mTG5U-e+Sr!tJpU)r$!s?%!v))Cuc!M8!Y3EJ4B?;o$X zgI&A4jYr7^+6$_K4hEb#ln8e6TpQ>DAzrwQp|^jMK7ECJz_lAbh)B`mZ2713rJwf;|Rdm91P2VZJ*qaz5t0_$rF!(Kvq0d3caU>^q^2tN93Tl=@`-ery%kJQjF+zcrBgbgTS z0(6~I9h$vl@-;$c!(*xS5zuP4uy*Z!2RD+6 zJmeBxsj%!^X>Ft$B4}4e!6zJEg1}|f>c_W1+~Ke6Fmkr~wbqtd?Nd^`@Qv;?WDT=f zLjnQWpAI%%k1QHT(81s;)8-XVsczqZb!;X}X+Ch(Q`eD>Rcml5RrbZEhUwEcSJsWiml4OOS}_7 z2aASU4cz|+bnuNPTU#r)-Ehq_ZkJ~M_Rl)))2$D(1y#7J(3iC}RdXf3Wq;=;!sqET zKVR~g=Hxw8UlkO@GpcI7KSney^slRb?uGEL5h6QhbCKFgQ$ z-@}#C{MvqPqO81=7ge>&@MqaO8ZQRPs{u$}mPh?Z-;;eC0h0F-gXE2@g3rcPVhF>M z2MrN?f^V1zU*-r;{j1QKeE9PX`5tdwU61}j+QA_@Anl+baFVP=0KS_5;4?4z&!L^i zCnxt_^`fH{aLNKuCOC}bXOB){kG6v&bf5_AJM$@P2%X?|@iAa|e@^Y_uskwcHIML) zqmB~6)usifPpiYR5#Sx+G_UG`pI`cOQvRv9I7Kl48s6>`Mt~dmI0*wwVt|7-57E=)tJIn0BL`8Y})Ags|xy?Jsw69-)eDj01-M zCxnm#&S^guZBOHFk}Rjd;ghpdJ_8gK(TomF0Mqz+D>@DW1d}pd&QQ3?{TYJ%#i8$m z>n5MjF_9HC+3iH$k(23bIRMH8BcEA&tJe;mw#UM`Ih=wr0W=VuK>~U~49eQl(i5WKM1w^MTf>clpjn_KJkP{dMXT}(0vnDhnpA-9#$qRtr`e+cskEKt*txK3 z(;Q&n02ILRs%QWb9I%>zPlV5c9o^B{)`kSY1+rDx*M(IB0xly}%vl`)Cw?lx#m#WG zrHZuqIV=5atIHUU##@??u@K=CAt085^Kl(z$BeCVPJSL*4PZO?M7TCuDoq0rboLP& z02mlTgHMF90O{~IUEMn_pJt_nPM7#R>d+^`t%RrK4PJYkq|}cB{*1RGEk|@6Ldlrq zJZ46KLpb6_fW!Y4L}=Xg7$)Hlh;TB*YT}5CTWa>bbT2I@3>5STIf}A0U^#NfmH`74 z{u4#mUpu(M+&dpW5(3c*A3%Zt;J}Tk>xyX1Pe_8a2$Reu(0lmI9w&bFqj*7pD+7K7 z0ECY*Ke3t!n1Q2?#G`*O3!AW}QtBV^f<9aXOD&9SOL^fCv#|#LT zW9P#4vfzSwtXoTM1ff9Y+S~l!QG@_f0#CJ?n5#u|PDE&;@Pdd#r70G; zQs9RntRnIj&LK2sWY`CRJptT0JOEl2poDvd4fuai ztu*svmKdy;1B!%Uc>Mo`3~C0(kp`^tZV5mp~!v`twREsP=VfNcfAk5^;pNI60{2F+vFBeG*A4idm?F!YWb zJ35n=!*E<=0MYjebCVC~5dVT7hOpP!@&P+hwVz04g#~kiV|2r--E4rOr)UG@@j!5d zcUXis{rwz2R&jud1L6A?en&Yx!YnMp4C!m? zN(AHr4lIa$aC!N5CPB%y91J@IU?E_9k)#6uk`xT_^ePUYun2$>Cen>dJE}LO7Ga`* zZsi}<2@w9Cq1*zaF=5ytg$+wX#47%uI6^ymbS2;>3~I+y@h2vHG@$prPeAHyb^ zgh!}CEG(z2tH6Hfh81BL0*}$_0db6q6A(5tp|1}Rf0%Ql@K;b6HW;B25@C+@nnO=5 z%)?mjq3VP_;7-_9Mq#O-urNC12#N5+T8?1`fLZoa1;TN>pocSv>9LkWg)t@-03CB| z4vWC-?+@mf0)1lMf+Ai)5oh!X3=vQoqFO=0fXrtwl}_lBTSu`s15o_h=r5dtFBv`v z(Yj$~ZT|;qgXWZ1@FgJrwjvi03pU7*716qV!6S6wDLN#mNR&`hxdH4Nz`)>FAn0T= zX7#`02$-l#)Bt0Dd4;-PnF3alPa!7^% z@Yk|YFpWL9+Kl_j`Vbaqz4{5j=(6UXD8Vx?qxlq(5f(LP1o;CNA-PBqLjD$8L(qDne6ZF^p>Mn;wV;Cb2#|g1$Y!HSJHeiZKSQRWU+!GCP*RDFk=M20Zb|= z-3ZqM0MiXGabUVKr01pD&iiQ2fH3s3>Xz)oAWaB$Xayg(XwJGcXCqYA^c_>2aV_5R zGyu~7UDcE(5fv$q5QCH0p5)=?Fg+QpX`dE6DKt&a`(KcRll1T{s0BPM)_B20sg>8Ze|h~iLULGM&3 zn=bv@cJVkjn)dI=Sq%9NF~w6b2p^2#QdwWr+pil~DeL%7EP2IYt0= z7-aw`Lb`h+asslq9Z-krFO{+I!bG@r2X+zKm-|uZKb9$%J>vWrF3XJ-`sTN) z?X!K=CWyYGtm7!B*Ffe<&ody>6le`8Jg=Y!>^Y;{&{qkxk4iAx3nmG1uDRhSBgvp3 z=p7tK5>CPHti1*ReaC&W(ov@;dFV}Be=0;7<|=*IgwUUUNAQ9#Hxk4~P6996Q~z`| z#6YUT4;E08F6RFJB?yZuAmtDM6pj`M>G$Q@t5K&@r(vVkGNghkAgdp^pZA639UHx` zffWowh5}`kEz0?u${@x;vBJ&PQmFaD5R&Jy0*KTV(FZVuv*l@1t*d`k1Y5% zdn-e6Q@M8SUZUHN>pNFOXIL9D0rYSX5jA~sVpI7JCtM#k3PRv4OnnKTFu;bxtH>U2 z!8l6(&v-)E8V;>Oz4~J4`}!Y=Q(=0MJE*MDcX_&0%e7AfAhGLA<(-CPRjcwVCw%H) z4dP2*cfV>oo*l-KS*Yas@cbdI3&-)&y>Shv=;g*Q$IMTjkg7e~<}iA7_G5DClK7A5 z5s6hc$w9|@vPR-~IhW<%n11bv9Q0R?;2+OTo?lE}o|KWQc%v!I>9r&rw_t8FHX^js z`kpkcG~t`PP{-zt%V*;kg7iA8&re>PF-G(*%T~)uLFr3#^Fl$NrXsxd{N?^)VrfaH zv^J+SXnD?WdA4VHZa!!^Z|TVsX5ffk?q8^zo-Ms=ywFy<{ORp)rI_x|F?kY)aFNy zGjH3^TiM(jYwX;m;CtiR7)O^`z>>+Up3jL=16&uZm`yu0zs&ku?$fZJ(*82jnsVZ~ zTSHWd>}9D{wEvdH&Fx^dIPqirMB`{ypp4ZO-?WD8yqk^# zN&B*wJ4cOiJ*7c5V=O^|4dmC$69XS?MqcFPZ04WkU^hT z#~1E7y*Jsv>yMkh5i{$3{3uTO!`V-|x4rXNp_B~4!)?AhVnB@Ha{g12_{FdsW@^WH?oOUV0v*HrPe@kqzw zO6groX0-XoLB*pzX`TB7KDnt(cJ?Gud~PkTd@dw2yL-g#!^NK}r{-J6=KM9+c8AXH zDGOXPvGDW2r>dyM#WsPChg0=5wL)TepktsDo}^NmB*dg2l<=i6NJQ-xar~~wK!<$b z9;M$;p2d${ezG#?qQ-bEF)YE_{^@*5VPr=9dpHYGo%a3cU`$ye@oL zkddf$W)}`i@o@hejI87|LQ|mW;CHGt@ z{KAGeb}5c-PnO?w4R_p}(_0L(uKG2f$Kl?RduFLPYSHdpV0ZF!4Y&IO?>+K3^z&xD zP6myAHhwazDJ1N$<$Cvr%{*@?)hySyS6^G)HIg~#8nLw0P8gV(9li32o&R&pNNC+0 zZemY=bHmBPD{61zoJ2K)1%@?S5BWqjT|6?o^f^(}(fDEzla2G9#y(S*fs!u&F(&;w zjlzo@YMtbqWQ5%=GThiJ!;TkFgdG%~L9N8L7+O4=p@&UJrL)KT&n9MensHRbbZb`NJtM(vonWA?9sJ)Zta%>}As zNx%Qf7#gWcy7uksH5=m#L871EPm3t4PALDLUB2L~Yrv;B zQQuqlNRD)Q8ds|*d@SA*o_towW-#vi(D^A!XWHr5tDb3#b5|1|yj1cZw+w&7eqPNz zN_*;=(x{f5)SLC9D}_8=7dI49g=$w&_I$S=%eK>GHhAmTeC$CA92U;_EOa}1nbLd+ zo)c1*Gd{&Vx&^Cks>hB!Yn}0VB4AV9KJG(cd+&2Wz*Fq?m;T?$OH0F=O9gonZi~~J zOOmC(m%+Q|<>ztiZCB<(9(qZ8R^rI!rKP3C;hx2TpylDQ<;BfQb;~nLjx6`2JAVev zjeVOhSe~0^y9Hi(cyMfNae2D#TZfW&f=&3Rx`^7eY3of))8;kn3pKf{_bxA4I+ZnZ za$ap)T#~jP_sQ%mZ^my`%iQa{)=M?MWBqQvl@IJ$^eWmGJ1+j3PZPc$Xe`Qntsz*h zQJJeph}9;b<9NeZbodITp{u2IdtWH@h9NmxDoqOfaidQZ?$|MIUSvpvJ5^%Jyf zw}cBOUI%&Sv+w3&p6lM&J)K;UqY^|Y)#PG6_;ACu%HTnlMY2>=|Bil*Hyt0Z?&cFU zvXbe&Idd_0YUQ=6D}%#2Th?zJV`^*peU^*IckqMF;D;WLn2XovGg>MBzpMLxw6@SX zzQ=x9ND4T~-#PN3=jo);oNt1;cwleIE^5c1tbB9h*w5wp+iRBEHGXlNW(w*tCYu)| z>P-7gy>Y*GFNM5t(P#O-GHrU1`@GNG?7jKdS3HxMT}wZ6%oM#Ze!XQ!=+hrLL6jxy zo9D-dyl?je3Y5M-l(?`uUds=DhZ?XnWD%QOI`dm~aAfoRfuLbivq?Yek;%4FA>YQH zrB}ayeu+*knm=*Qz|}LgrBh;#Wy;{(;`~FFyT_V47k}recgQHeTyAfh2vw|>nZlQ5 zHF8Qjs@iTg_FMSP@#0cXMX$BbpMU7O*$~{N=C|OndCW>E_FiD1)3V>f(>MKhEz5fF z&#b1e^^6Q%To)Q&Thk*@`f<(De_D5V*@AFPK}r@2Khy&=UFWVc6>UjAZ(fwOz_Uih zR6NLU;fNp2Z_UrSqJF={5>JYjXX$6-xq$w=bDtI`@1+fo@jrK!sTzA-)KmV^`kRGf z^;eFed*4r%{o;~}tMg3j`V#p3p65bqkJ-hb%S)>n!%#X~b~2#wLqUFL=By*-RmqT% z&5*)H^JM&M@x<9kdA}JCjxqiE(zvhR{d|KW#)i}{WUlT^9FKhA_uIpG+>w%L==gQC z!TL0_pVRBq_W~syl=&kQo?@?<{FJ7*T?|TDy8raYu5c-{C+g>$KP+HktJ^C+xMRmn$&ghN3Q}7mU93+obs+;+xm1-B{_TNpt$2-(;d`RJ(d2%x; zwBcx%q~CWBj`0x}nO|k^#@glt3a|ThCvB4T6&t!!Ji)VAETf|^E+J~~*LlQ>Po3E( ztMg3Jp2~uP^RA^e2l$F!^8C8iep4yxt!!7ay5C15&Dn2lr(ZR0Jt}VR*K%Z9(f8C3 zKDY!a>TN;6YAPyI5X1E zy!q4O?|iimnHS?xv24pv^)H7198%aR=^07g{FDFr!#=&PBeb;9$LjW(jpP#-Pkl@B zt{QB8rTsgP(}s0d+SjjszJU=xhtwT2zp1I)drNiWix!JL`v#6niaPn#9O2)se$IQi zQ)1roO3tdbLuXeujNUqNv3jS^dM7!(!{h5MCU5psaJ)L2n9wDm-&Otv|6us%wJ!rZ z-*6q@`|f~Rfc+h{NVd_?eeo<5I#F+3c|qS^QKwf!B-W;3$B^&!*ZH*;=XIjhW# zUp%jN8=6*m{w^?NVxiP0ds(x`{if)N=$$|6UAdihtXF$0lyNhG?S^vG=8{Y6@>ZlM zx{L^Mp1-yn>*&ZTbcp+omftz`a$-f#@_3L&X?M}`*-z8rzdkIpcYSMEHr8CypZl>q z?Up~1TAqDP-?BNN`f=XoO?Hm$0UhHuTu<8lg&LEe&(s&)+dCqanv=MYT|Vgb;9aRg zs!DV-u~g}Yqgs2%Y!Bbhs-Dd$T!A-+X<7LZ<`OPB{9|jj{7dniqyA{)nW1A1nDK6>E_bCp2TORl@ zOMk|sv}R`e;^6c1qe094%l_moLEUQpGWRx3H{{wVsW0h&e>=ZKn=VP4u8{OhvI;7w zw@M4iatfLm{@!Qh((l*!z(z%VLBHyo!s0+z+H}hfk7R!HuhX9Q+8UQ=10(Q6ax~ec z^sMi~-S_L9gJvd{ngd+8RhqKb1@3zCi>+N~sK)q5+lPRjgYo9+`ZtRX?(es0*-$N$ zVndt0EBnTH#(IgXYPE{M&c$oXH}3=ytrh$c_AlbU~^~t+-j8{D(xGVZ=5f8KQ|k2=Dx}~ z=}0LLwb9(fp6M3H{Zw*t4VSKluk#F=4M@4K@?qE0;va?m{~v2_9!+KU#t$1Z564&}cZO0Z z8A5T(a7-zYArjTeEQ%C&l*n+%*i)Jm$=E>3kVeB18VxCh%J7t-muBvo`t*`o!TvOa^~lwnwwJ-J}=+B3y-`#`sUoCtx-Q8<(ypj zcAa|7l*+*M?%}rvD{6lAjXknMLPY6bo!Fgqs4e)%=!!$zq9Sxg`#)!;J=!&RXlL~l zJQB?x7V_iuz1F6Qb@lIV)J*OF!Ma=V`O$7|joas@OU6HUyUi3y-}9Yu2+m2XQ32%> zJ@&b0=4p-8y|?m4)QXq9-@~81NnW_}87sN)R+P_l+^-W+28ZK2x6Sa|l#5ngO}rQO z`37%Z`jVN(#5cm53Qi9D?H)Yzq2gzlV`fy&mz>*`cjv9UI{Y%?3vt4|=+HW2`AtD@ z#(LjwdK>BgWw>HwylBu^JZhcsXk=RUOv#K-+qG|>cN{QSVR<>>ud(kdhKt5`RCjK3 zS@Xo8`r|1I9YsaVcuOhySk@QLQl(o4PfnAKXkqh_a>a6efwlM zv!&@r#g=#J$<4b9Ul)!02A&)nvG}>It7S0Z(&r}czx6|@zxI}c^Kg9#tG# z1J)2{_o%cb=Xk&Vz@9~g>kUs%P3=B+{!+`Yv8j8j+NRC4?w)KAl*kJE+y&01Y|Qaj z(!mHF<%HA2wRb@HnJ+Hy$ClXaR$1SlG9^pAt zIaN?M#3=tcRVf~q8nfo?0V?x-6<68R$((ZIko9Bl-to`>*^<@Pd8o#fD|uAkZ@PQ; zzUe)aEuHzh_f0vW2S=^`6+U!zn}5`^H5Y?NUUqJnezE=U8k0lw+p_j^GiKeF?#ov$ zy}Jz~bZWNId`TZ?FnZSS&@ZArQlRPkXvL$xn#?dc*ZviQd_FfOg?`Yy6DjJq7qH5w zUh|&t^RCf9G&X0V@K;K_W7EAb*(m>&*SEFSqz*lq+Aq4|_JX!I8jKacu0Cq2*<3R8 z3Kj z9ImF{qfG6icrGl9l=&I`_R--hzn0S$exL5WIPE&7lUbSORA`g{$E29~`bo_aKoYA#(cTibGLOQ-!h?`DvUV|K2T<^l@_L9O%*5rnt{zZn-&=huUUt`Oln7 zezX2|qK`rQOK|b+uP)7eE41DHzDBvf+uI;)E2tIYUeKW*hVo!oN1yJ^zcya8ukh!# znSq7xZqA&0x3}Y0^QG|XGvD84&+IXd4$HoOXliiW_}7ik#>Nnga`I-pU#Gvj^9w$i zym}~Ly68uF_qU?yt3`kRdUoidv3Fn2+nVVWGggQG_W7PZGoAizX6Dh)(d+v+8EJjO zXTH?jE1LN>^WDnO_$Xif^~r-aGc9c&mi%qJZU36x&s;{wULP~=_>oXLXgtH|_AcpR z*wx!N4&Fah_~G)*j}cD#V7k}Bc|M=lX4O6nG)UQ#_H|FWZ^c$c*Q+;TPh!t?PN{!e ze~|CE?z#v}GJsok| zUoX7PBlL^+!*@m3?nIwbY;;JJ-fj12jmG1@kLkr^zS=AE4wJ6gHaF;{<2Aq7?BvPN z{c@L$BSJ-frLAPfmQ{({PQiQLby<;86CpH}&)kyyQO-*r8X5db*j(}DnP%68!Ru2u z@1&lb{gaBJSXX0_JlmR^bA#GnpL^wfp>F-y+HI1;A(UMSbg9ElN15hnTtxMrmX7cR zSEt@rREY=Z;AxGKx8d98m%ZdAh|?C`!cfwt49i>@a6FpE43@4lfbO5=u5{N=L)Y^A z&l#!piPtYvt7SY2tZHPgs%e=+k)4yS+;_U!gs2wmg#I6!EVzgSzENA?&8yowUJDh8 zQxyVpY8FYo!<-Z7>kre{M`#H3^{KhfgL#9x)-r=T6ASl-O81J_ub1lW^VU13jeF_f zmWspzffjG6IJ$bc?LjNpcDJ2!ZF-D>Es;uHPq)i?jtW-}@4ODz7LhkKED*5!ducTN zGCwe}Tm5sPgzRC|(H6Wr-G+$MJSNCwYG;*d;|WmLuieg_^Kc^v#ib9fPTA^TE}F7f zIK8}x!CsjGTA3aV-Nl!(mMK$_nwo@e+dDcbpY)h-uyh-= zl>PULJD-zBcy;gk!*_*$gZ{K)G5BtHE$KMAAVc|!q3H5MYIC5P55h(945>hg z#PgOob_*&oZ;$%@dS=UPy$@B76Z<$}MGv^pZFo}e7Mz0{X4KKQwd={%dqN-Gh+%ua zbTCwvmq9<1;W!Y^Vm?p_g6q?c>`4zxXadd3PYndNoD@}}B-)W5ZIs{6Y@^%gyFQ>p zExwu$E+>L(pV~F_r2Dj>ATlXWKT&gWww($(pExkZ$8cwnO34stiidFeO)V&Hg(~Ck zeP$Q6dsj*&G+tG`gB~fx$2+)Snz3RciH~P`?9FFMQeUe7H$XINhTA zvl?5}Dg*5^+ruFgARV8>h<>;tF(zROnpgW%AQhM0&6Jtu0#m4Vu4} zL30e07nJZ5+PFiFa+_fF@!o6DjoJ3%j%ApvpJqGUl_3~H*^toW1{QGAi)BV!xev{6 zX`Y#SgG$5|=*+0lx+;KXm4sO>ik1!zX$Yr#D84K;ULHFNcif;|5dAyz?UmbRS3G3U zH?~(ILwvzYEOTp7ZPbO{lGg&5mD-#S{OPaJk!|1PUuS@HyQ?s0zkak8zm7jgy6uP6 z7B{V6ANcA#KiI|i!Nkzb^DE6OQxC2W3#1W&U8iqwAdTg~_0U(=V^9^o%a2(Jns4Dx zzYE2~w@0tE7kbP|HtC@LZ8N9s!Pn8!sIZgPuB*nmVX%>bITZ z=!$unaPc|%W{6Tcn@mRr9MzBj(TP@X?MIMuIu`L^fR(-m;{t~u4&p~oXp zzuI~z2n+RwILG@X_i|3k`*Y%Vg3KKcH$9iUFie?fTd3W(@D#_%y=i;WcOAC6%F`F( z4c(1#R!iBwqst9_BYj)&&NXVWK`E6wQW`@G`Zqvfs8SqHn?rOYpi=$ONfGcKa06cb zbE_kekNgAh ziMGt*w)(WqWxxe@R&afuGACA|h`U=2Ob<3UW!Sp#KcY^XJHE_aVg@=Af)7ABKzfpb&2>46R*3 zN~i!24&)4fx}Fy3e3uDP!HHJJjodO^X4SQWsIXPOB=J^`omT|vlkk~;2@}i$m2>y(@(zI3ms#reTwIL6%WH*sYD`l*wrWt)I)0+ zG>E}2&{xh{CE8r*7=sJtj`xNvs-;SyD^tNJ^PwY*>;!9rPOw+r=j*J;VwmT}zQO&o z%}PjXGUAyTq!%d{!>;pV+On7xH|~W3&VxDRx@K?ci{Fm=>nw;Am~}QQ*{Jve?h$>( zPk9CBFn1?6L?<70;q*2cEKd?Cc}f~n2Ku%pMWA5{KIwU$CojU35kYZxJ_>rb;8t6> z*)O(NOR5k394C)K99?b%aTAVAm=j{DBqtJL2{bCewMV4!q{O382S?_wh&L(`&{)1| zey^5K*l9n_XOT+y(gveySq$1^thjJ?1NYmIJD;;0h3Y&56PnsM(yy_d$ZYa~O7=2P z2(M5EB`-^Zd_w4j;y=A>R{Z6~NO51m-a>6YmfYLf;y&1!32N#tCWS3#J3I){k_m9{ z61v`XUOo9knxq*qw1@yxg>@DGH=5ITB{adMG@uFP3g}9<{Cmp#-|3Gon?rd`YS=BE zmyNE5)Sx)N`08U$2~B~sV--;caefq4Hx7NWnLIQfp8w_^g_`(#$UUIVs@@a2XFwTa zpzF$0fZ-Vy*YpvY4s^zE^(luBptigLay1Tg4NWK+du-#Hpm7-5u0Y4(vKqz5(7^^~ zrpoZtNNDPrlkO~rT~@$1&5B!Kds_et)j~^q%mY@|HW!X}85r*s=+%R3bQaZm>c-J8 zC&Ja4pyaoqjO|RGgLC;hi`X-7r29-2Kh?pdlcYgjo6~nK4xO%*7`BcdyA0_fFL6Z_ z8LvEPyl~^3H*qDY4!TP~$}`B>m`L_rQ-KtuX+D3tE{f|rIFK?zs^lh|UI_t>3x8or zTzP`*p+X$nDT%+>K`anqx}scZJ(4!?)_vwnh-i?6=*Knfg6IcPinPhw@XiY7EcCIh=$!k>=XN5)n` zz_ek$j7662NkQfdw=seFLa1Mn(DX(oP#OPfNqj`&ih{V2o)G0tVRt?wxJ*&o6kKV^&}C&N<8s_Lr23=CitK1@|1= zSP|CZ(B&X~L7_{&SocN(`A{mNMTGe%&3ZERjo9(3ARKs8^`+%ej! zIM_Mb%Bid32$R1v5n`v6_sJMu<-2^fvDBVecq_tN5ifNY3xIqsevpeWFL-hjg}z*P z^+fH#8p*!Cc9l~cl(ao*(8-qJrigCDL-O|Me35Tfui3ma-n9}I5(sjsdj>(YPKJzR z!N8f7309+|rdKNC0nk$$6}vAEKB0tv@dNi1z>PGWm&~%Y@JpKbhyvkcLH-~YZRHSW zB|j$}LMW&&+`A0wOGXLkE8dV`1$hTp+df5nWCt4S#-DzRebe1-!`V#a*gbd+WurbL zPntZpO=1{a!t6kLk#gz7R$!R7hTrUhgA=NPiJr~#*1_F8iHfv3gEg7p>`Im3if*ES z=Y!Z~-2^K}&}KJen8|`nKZwC8kxnvLkQkm8=iAO(pC>{_wU~uwa&u7Nge&x%1;8|+ z4;p&e;M_9%+EnWDmLmuQQ4huT3+M{DGurr>_9t*K{5l(>tsEyWEoGnpxdN8fcXQ$} za|p$>G|2QS(8YK@5Jtnpealj>g(Y-N=)QtT2~FPMOyiccLq9cif{y4rG1AZLLn;GZ zYI31h$t;!=JGTiX+=9^IEv(19I1$4fnoUJ|`C9oJA)zJj#se2Q#E}k{bKS2-;x4Hh zus)z73I8C)&8N`d#=GB_d0xB?BPvy%y4M05Wjl-z<>1 zhJcKxGT!(b$OIxF6I=C8i=Bb+l0fEpJ+l=xpfy$~q4$*>-bxgFu~V<33NfhuTVAlU zrQC{a$K#O|T6l#dG@NNmv%w(<`k$^g5*1Ji5Z=s-{j;kLLDNeTICMF|`%v;^`mx)s zRkgurl`IBU2W@Q{adgmxk?;j>(|g5nXtIL7wsUBkv^h~%bP1ordff@M|8QF~Pzh~MaUK*J=AdiW5IDPeLn;Vbx?rrT zxsG&cE?`-nv&p@ErQ)eo?^pj9zq~ynTqy|nP^0RS@zL&=nURH2V+zX;T}JbowKd}U zpn^QzAOx)K=J?7QkGUnDnq5s#X9VVam~LL~>K7VI*}gCFT^hEtt?G_it+F|8$b=i^ zcJ$=+>p$8da=7V2(8pq)RJw5(kTxe;CvWhgpff+{(K@!Hn}wbm5}MAC(37MdZi!*Z zn`(7QI>FC(s~`%ef&dru;dJ-v;9-h@*_uhFrn4hF-&Va>16*yYUxn_~b9H)+U9v|I z@>~M>z-xXiE9}~9 z$ynP6HOXFaHMo9VSuN;A(lto8_r>H5@ZCzu+14UDm3eQG4O7Nj9JhQ0FqU;X5Jk|} z9O=as{`zRvt_y~@B{0>;UW@Syj|;azJGy$^z)0G*YkA{2t6l0`9%ptJ-qV2;u=LxP z;7W-#miJ43s6DadVfD3J*6N;PObr@O|1@^X8mp0LsquTN^Zig-)T{9ahwkms>qLxW z!Ugy4*0Jzqf@9&2v)**hoIc?)J)Ws-ch8veWKToJ13iZb=J0Y2Iql(YtE0#oc*j z*77-x8+DZ9%dB57oSsa3Uw)$yd$??Q$5A7A5Z`|v6zMRA&=G=nuZKdwI;M zPP#}_2>t(dZuPvpBXVb9e$p4bqZq?yqWJMWcyJt5|e zH!s2$B-wcZq&qce2D9pqnB&z2bcZ|nf-ouX8G@;lwN93DU6zt3hC%4vHh6y7DiKz1{I;_RMDV)g zP_M0R$`TZn`tvH-o#ywKQs{~)bS=&H+QAT*Hh#4z$Q2bU%D*U%-u8Q0k!V?JG+fvl zPgzUIRIE!CAgpQGk}S>OP&r9f?+MI?6`=-uCF6%iYQV7jgiIDw(=Vr;i?C+x4=}}r zd)_o!;wct{Om%-64Ghii z1E!g*^ODMKdIgfqjQRyjV8)%#1Y(Xe&sX=1aNt7Q z7PmtGsmNWLSfK=_66D|rO7(c7I7RYX)x|{mYIt>MUe9U{N+^6`N!5}gfu(iCsbrR> zzU76WfL$Q$dTS?dS3JmY(?gC~rZ+1?p;`+4wG8F9B$nos*zq4TRK~)T@j&-o;oSH~OST%! zAD{^h&?xnc7dc$HQlJR9G?>BLVs2I$%o}q5H5c*Hj6F7vRkmb+wgOCsD|aq%Df=~$ z8RTg+FtX$5sp~#v&pM6SwW;9n?HUvp6|7Vck0#`)H4O7U`Sz@cv$n*`>fTQx#LFzU za_jZwp0p%}3Ow^giRw$f`JkaeM%`O3MqRM&{5 zu6xp(t>w5!6JsB(Og}^~PN8>!Q{=(|K+}Ia4_<4 z{6x9|GRY7H><&|IuZe;p?&P}bsx{uhHpz=|EPEKdq_5AqmU<59&T4eVsRrT{X90@z ziEecX^bb-cPikW$JMa!$!jFg5D%cC2?v-GFolwn2{r+4HIG`l@Ee^^)aZ-Jjb?m?^ z9uj`3%-}`6xw@cgLeIru;${kdQPFnCir_5OR^K=uBZ#+pHcfn0&UT!H>v0XXB; zM zXSL29$PI#LMboR04TmbDP1vW5bt&NsP7{9cB?@!d7UY&f-zr17D}hB&@dgT z2xfhF$5wI5U2yH|@d++s=wCNN9ZR7@nybu7@j%<_h?bVBmPmgiK(SK6B3eY{v%Zy$ zCi2an$(Bo@m(Gr4`;o2RMZiASz&Wa5lN|U2Ti%%|bqhzvm_t&IglC&Nol4Y5*aZ~0WC`M}F%j3y~% zUDm|%wXl3i%v_A3gbrAhjCmlOKZqqsffpLvfBPIyym>pGD1oKT!4tW_?G`Da9dj0^ zXp_=PrKPFEyXM&+PT*(*D#i8Xqm7`IA-srjcHIYo~3^r0s` z@qM|0Tv||L>|Anb%VE}>yt&|U?olC9rq~jzv{{;Zy|lpD=o8)+MNsbG1`qw1)>R>)Fg8*@ghndkoewGJZ=~!{O0=5@?@p^pd zDd|7T#VISNFqCw>lAw#1 zNZb%o+`VQBi}5R*gey0*jF%nK92yzm7b@XdT-Xa_ku9V}cBB%n$;@D{e%kU{h8x?; zc4}c^H2k9t@lu3UCWo~ArX14pjo}vfM>C{0WkS6)V2{b9#Tg={4pv1fLm(&2Y-ftH z%S#Ga*b<}+FO3ZM2EJibDPw+QB2q<~WFQZW1Q!n@^Odl#kjBDDke83`#O9~a@5)dj zJ@ZqEmvSh*(nsFeRDTW#X~ap1%zNtnfJpl zLP(o3^N=N~FLt*udNXriu-CEr=Ky{ zC|$VA?u>pdH@$iZU!Um_C9G76zaWWE>^;m0!O|H;wBn=0DkVeVz%}(@92fzMlfd$q zffPdhS0Jp0x}%W^@Vjhcl@2R7-YY$cErLp)X@DYbT_SdIQ!T?ehEFWVvjheo;49JP zetww9xDN62ig1NEMHOXF&NRHEktjY#MxQW<6Uc*X{cbUmNCr?96hJ%vO-FJC2XaO0 zsm={l5K?d)+$f|Nq777O(k?(#Aw46V={gz8K8S)4KLm(kA(XkyBO~Cv{@e}lN;_fe z8+PjDfrQcgs|+u^;|bvxPf8$-lD%uvq#vm|OcZA^?e({|iJ*VX=GuA#xw?(i4>A`A z#{J}BTBUtRgrgC>0g!rZznQs-lLB8lVzzasd%@~jBh?hT9+*r0Ge2%50v{;?AH>eS z+`2uH7U&Qln?#kNpfB4sZBgLTxzLT ze}^A!?3N}rS!>OmW3}*qJc)tEjl0}f1X$*s7Zo;+(UA_al!Kt^4MkdiIFefu7z~9J z)Z<^bH@O3!uq84M+nJM@h$?b>Z;Rzvt@HYF2aa^l>&;!k_R(%Bd>2#5VAq#qjq)aY z1iHqG+r=mj0+hq+N;^KSW8U)PYIKDa_7lU3?1NP32 zr0{KQj9s5%-hF&MI}NETu~nJlP#@d7N1dCg5X%(%?DkB}mh;nt`$UEEcm+G(IEbQ+TMCb?c(r>Kaos=1jZZWKxJnj+~2AoWNPtKxaaj~aZo(} zMKUtrp7jut2}FwmBonix`z1m$yc#z=xv)m?AG1dl>L3L05U}CNb}|78us{IKO`PIJ z0<^ic5JCU(bzL%)E&+M~}^s)l)GmtN;44BS?rypzQ0ceya#5!MnW7rHIT7)mv zs5aoF7_b$k(+htLaZFmtwyV$w+~@ZNz7~KEyL_IQKy4J@*)2}WEf{-Mx1?RF*I*^! zLjZ@jJ3hkWQR<9D_^m7=pCy!XSm7H}6dl zJy0*LKOIiHCTrbKYd5o1{PZAnt!jr70;p;2!qWA)ZI=A5-JyzDPdl~mFT+`nv3&Wn zmD5JyrAr$(0|fFr=Jq_tg34NbYdT?b^^KClzz?Ly3VrH{rr(@h*<3+t&&D&`779Jr zk_d9NT5BmtJ9^9b`xp5qgZCnM_ZSLQ06ddsmW{Mrg|CU7HLj@<*Yl=?YsEVG%ImuU z!b~$e!&m0~noqJH)jb+9V-FA@peg1Pt7zZ<*oTOc{Tmt>jZcE?8e+FZEOp;?gA0T3Nh%o7A0I(fIhLm2 zCr5;~?quh_jk!Q#0(2NhZqMrls7dN=Ja9J~)%s}16NqXD1*dg*H24jsSbVtC?#Xz; zM=Lrqm)pIVbsX-6`==sHB{55J6v&QP^>fCp7KL(s6czM+nUUzSY8UITLy7d`WI7Jx zHr6wiN-En%U^*-gAVGQ+1#3)~%mKKbxws{D;gDujl+m?{O_SP7;qgGrcq?P24Mo=^ zDGHB6xd9x??c>Jk+o{X%WUI4!m4r9Jx6K8X!njHatn|}&X9I&7tv$|BnGOdEOKXzu zFwZ@|?RLdYTr98tugG|xV~3+rOg~a5CN}eHVadUn7e+IG%a6|4IU4_H$;^+3uiUp) z)`as|+94NDo%}$(@6bIih&3T7ggqVKOK}~ww zw&Hm0;?d9CMt{?V-5)~ypO;X*q-dL>P-WEo1h)zE6UO4EwCqR5(ZA&52Wz6{$DN5< zS7R@Eb@;KUWL8Vrxru$Xtg)LsOhRe@hutzO4$vzKF<(feC!>*BtFTudx*s=3`pSj{vU|4fz;#s>n=s}Nz%?E#`ETJmI5$|f&h{TC9B1l z!-4G5nwUFG`R8XMiUFcXln%3#{^1X0Kuv06mMH0O=|_f?{0wFC_x-`>D^^*(mo`#j zZC4TwKup;tR-k!5{(S|Mg~A9)7u@VkgNWO zHP|(rD|NMq3tJx-L(-U#M7yTYuL)3Mzp9dOs%S15sRA1qu5t7j^-r$R^lO09-X$ps z0hi|{O9AKvp+XP*1ELI$bZ6-`#BQpO?f>htuAx)lR>p}F{s5~#r0_}C$F{2!K(0bd zJ51R3bUTTmFmptxG^{o@te$GUAE+^i)6R{t8&I6yfI=G31@}vA!PsEJJ(sze5L(1Y zwLH!`pUgU+#XMiJZkV0x+xPVBRmS#;V^Sx#ctrDgKt}WV{{!PlT8Q8PEv%6NpgjLz z06Y58`oHS&urv+(X#IZ~MurW&yhES${`xW$M*+$)y^D}9OT8}!8ZojPGV}#;^dzBA zfWm-!MB9|LQxki?1Am-))GzA{JI|-}ztAJ|{G>;tXJI7xW;8ND3)=)dq78ETC1ji~ zJpwG^%@X)M%i!@a%LMxr^Kqyk067Xo;&YNkBteua$s$6^{G6X6@q}ZWL_?w z_?0@s70qk69HA0B3w&A6n-fad3k7_c`<~7}Lp5zVDD}GQ1j4cdB#-{L8GdCgNYYy> zfT9d}1UFR%X!9BwrDFb_IVX;WkJNy~jpyTBA3wl#XH0-R^?H(vasEx`}dZU(y?DiFt@w-ID59g)2V zgvXZrY~s6HR80LRp$?&CH(aiKv=ib|khc;R0Zh#0fCNB$t$M9CIN?Ys0NH#K+7}Mx8hf2L_D>Vi z1#G6@m)m)msMcl$JNI%n3~m;J`15w-PPiJSfPQ%Kx5U|MMfLje@8O9LiQ-uX51Hd{ z*8%9PVwLpV9`!|$PHZ7ArO<%@HqdN0!8fYB#drnxrRr ztkzj538#fpXhgdLKWa$wqhqgfJ;$*sd6o&3Vq#gXnIz~`M@%ZB+G-S~S*?8JNI+mf zJpT3~2t%bF)$7mQjW(eNaVEU4QMNxh2cHlihS-LAaqfVr;DD(bT3M_Ug0hYzgSW9j z3CkzRQEN`z`d?u)8i|YzgqSIw$N}eDL{iU{%2+8H^YxqFlaV{*g1O&jbwC$ zoL`Ei`A5-0Gmd0~_|PB#Be=YLh>|Z!5j?rEMisV>!$?ouugO}Tb^Z^Qpxu&a6(oObNOuDj3)cC1qSX{F z?ED`jfhnkwSHCF_^E^~o*bdL$8zHA5z)xH#Ly0Ge$s~lt&d%8VrW=u8f?DS-SqdO0 zATb}4B*D{Jl3=qKf>FT9?e)!1jR|Xbh0aWLBaDSZhq0)B2t*_3B2+vz)sZeTu0+!} zv(MqOHdY8h4M+l(CLdB;QDl&_wa!<-N^)a9KoTHZfLiBzZ~QUrA`rQdIiqYJxdh1h zok-h-*m;p1s0&i)H)SZHlGr9w{0b>;DUx>$2_9MwS93;tLf-ExDqwj_Z?Ft`9sTxw1+Q%1(Ct z?c9NXggBMSER*Y}>64X2eb|P3d7q9TB!;-jd9@5EP>>1B|8=&JOcz8N8C!vH)M~fH zKZ>B0$&o9Q;{s{3qe;RMU>7pU=SsM>Y8;=cG%MJdT`vzZW6(}d8- z4ETKl^M#sW#z!r7{Dm55{@nW54#A@VJh;0w!NFSnLXb$T4{vqTv0n}>s39x({zDTv zRtA|n0(+>}NF623z4DJ#1UN=e2z$BSTs^izK#GMTB|inKD$a1n8~p)EHq(TS`X#hPF5&tQBCJ;lJ%+`>)Q6MqZ z*?t!?3ka(VW&C@`hmhA>KvJWod~+a4YI;`%oWmH{MCX}J{(`}FY6H2D(H;H1QsuvH zgo+rLC*VwtDy|k`&Cb^p9Wy1Rytg&ma+6-|BuKH*P2<(%S?m+$Ve5%BfB7jRO5o7XpZ`BV=r#5oN6i3h4h7D;gDWVfWxB4m<^_ zon+LBWogbGpalXj>v)NhrD|~s_l>G+imgg8a#zzF6e;=lm-uHEat!9rZA1vLU84X6 z^zn`(fcAPSl&}gh1kIL5^DX~Z(*Falk#sVi_|SG7>S@QnsyajVgE;^GuZ2fY%!KBa zeY0L;wz=gPKtsTVYll&EY>G!v6IJFUFsXSxgoELjtIXqu*meV3#itK8LpO?9{@U&i z2yRk216RmakSnr&bD_QD-6s8BQ?S9mD+`^^aJkbPQ4LMg|Ku5VC}%W02?}dq1OnW9 zG#VI9f5i?Am$k6>-&KXEA_zo|6rZ4|$L&8;6%!np1xYrb0hbmIFy67D(mBO#j<@nv24o4)ueE?r~Q z`BqctTa`2Lal;|Ob?4-mP^%-sVXkI_e8G<|*X-q?cW2*muY9q&7k|dSecN{6(`B;J zO6X)ac(cpX|8jEoucqsWh67>Io>Xf&8ON6Z={V z7DhfF;7eJ^&Ta%>Xajq08}`z^~VayE}#P|THZr#a? zc(%gHH7d6>aR2pL&(W{_1oc}nHIFD@rSA5)1h9f3sAWXXfrCOD4%trjfmq@BBy!k5 z$XLL%>r++^?|+O6Y(NeyCEF#$DJ~~){iySd??1jYw7U&IIuCs3Tp%1%iE4?Q#tnG1 zW{U`fyxkolD3Vq(BT5@$lQmsq=)n8IxDFel`qqHU@X%CKv-1yU8`kMt(<-0q!#9lu zTnn%;NfBK4N&1YfAeT}BzELVxQ2}*0{+uo`{MvG-&MmU<^Mgb8KHt$i_gFR~ zihPDQ8Yve{;#A{}Uu}PcO_=PO7xOhah%#X|BjR-x&sg_kL(nNfZg=|F+gs4!SU%g} zD5(^G-MTbqX}R^b7Jly&*a*B#y=|z*_G9LaaMiaLMWK%}(rIeiwV2kzZg2cMXu(5w z595tKp;1Q4$2VIpt&8k>*Oc{AefOBdJx69Hym&P>w5-p-2?sejOng3OGEN?&SYPj1c^jp=#Hz4pFb#PPnmZTrUs3FiE)OInz@4B4eo z|7;Z+>u%Ls_bBkGDG~gLe=$K#*T%oA?_LqjclFxMIF`agA_Ha6In8ExzILZH0k1ZP zN?<+OW~#3p_o0zj$&r8Xi~wT}mgeRx{rSEk8(#Uw(i*dFEk_l>0kR^de#yZE<#uKwC4#^rXO~@)W&wcgytm8IF=@_<^1n>8n{&L7I7rNp6v=WJS9zk zVkslG=JR`bX0NVZrY4r4jjB$hEmfOa_#vszVjqlLA(RuJ$W}(>N(6SVSk&b=6`0DqP4u-nfQQn=v0@_a)CX)g{ ze`AF`yk}dXgB3zeYt#|CkuE-V=As7w*OQC71^CHxQCH!6OLR{1@TBW^<&|4^Krw6g;9jID zYdGOKu&Oj*DO>@N_?>iBMU9XmdpE+xAfd;jffw85hzj2yw?Z5T3Ae(D23DCAoh>{faO zCnf8JKlJlMPuErh%Ml;~4a}kU1zhUp{Op+er(9nS{~UFG52$E;@&mOy}3-!dx> zI;zLpCChixADek*c!5j2|Ldz7+h5p+WWMKsSEsQN=-P(%3`w-DzT~#9McWz= z8<2pkOPYy>g4_PLnXw-n@%+goaD$S_4K4?Q5g8(MoYw99A8HW<5PHr<+4}W~zmd`L zEfK|ez!thCA1T>+YZK}oql7h5B|#6P=_<&)RFSL#@yHDoDPwNoq;7Lkwt9x{n{CdJ z@mIpSkZ)^|CEef-1?X>#A^b=~{J{af%@%xHl?>{_0B)ip751vsklE|4H>iSFd&jvY z(yNej>s~=RSD+w;22dNuZs}#Tq808?T*$c^_@!vK^w%0)3-Shl?VhD7o z2>GmW0Ti^r8Hq*-H~MC)>8n|FuFjuvp~|6TErWXOOHScJ?1u5&6te9_-Fz756y4 z8!4+KSqi^>21FM~J5g^&ymg)u2K^ZMf2JLJ{iapu`R7H*So{a62)k-Af^@+89OwWB zC&2I{Pe6`5fj>w^q_Dc=$ts`|kZ53tk=}(1O$)6@o)8Pk)*~6A|8W_*;uKG}q&~Dj7{VfD(_U{biJT&mHC0gUr z52&j;xu`{GQ4S5Ue?)D**AU_$Z{Wgw;8_1r0p$)Ke1QnjI_ocdQ6roo6^K9JQ-JuB zgI&Gvk9KucD%#aS*wriKuBwx}`rqzFWQ421UVR)zg%_pJUx`!7(fakr(E6eMSrgKc zB}lOu;Lx1j8;~x01L?A%RpgIsNORr*ZC5=*4H^)CTNXRR2vEe{?|}`V072r3w;LiB82N~j!~(E8vM$1uoEPux(q3{9a3!DrKA$d zv&wFfiY-biHjLB8UNl%sLjNM{!2YMm2#-s0s}9hhPjf!nfG2!t1Ju0nEB6W4EK~=e z1;M$7zDAq1TA#E5sJ*>I2}u+ucBk<@5T71UN|V6CsQ5>4#Q(VLh^2rSt+=r;@?^K6 zg`pbZrxZHCZK&RCK}rB=21GbPG_Mm>-gYfFsQNVAg_=!`AkEl98etXq@zX`T$hMr& zzMhRCUj9xqkZnQFq89eG(OQmGrjM5OiCmT(_wq(Yb|T$K9-Ld29dZIrKx6!;ZBc@9 zerqR0xVxbdc0$r8=8lXsFr1&9k@_9t8jPTn>|oGudV~Wjy(DJCPq~P)a>IVbWy((6 zxcfWXE=uKYoC`Dgbtv$pZCaRN+S$Qy>D7T0gA!whj*j4N zp`pY2!7+NNZm4fqjglUrIzjPME8+nGnjpjS{n5X-D_5csQ7v+>lSPhxA%r&==tVN`(4w|jo@x5KUBM*_w z;)9U|smC4NBx)d(yz$o4+bSSn!i+oj_oU91!EVUA*y*)T_dPPV1fn46v zn|YIoNAh;w0!FLJIQ|^KuRhwk>SQgj;hs=Z*kCa%+q)rGK74{ynQ(wg`_7o1b%0mK zlAzOIK1!#Ie0yoF55L-`m_VyY#aq$+XkFDD7%$3`L0HraQA> zUm?W7&`31&4ktNu$4MLV^#%S>M`*&lSh{m4$L}9m=tt8bVBgcv$2 zjptBBgV)`<3V?2$J%F&5VV!NozCX-VM{l@cNVC8-+5oCqRREFn91w+e!zLg=r#Jw^ z*9Uy!0st&7cj+dLOAdftZXu|v4CPWBU%^2ez)BB2bm5O~{dmj|9Y6&}F88hY(@r2v zlXggO$$)7p{O8(>5JYa0`j^v+44}U??ecgZG5|^V?+uQL9tHquHYKj~&pLzP*Q^mf z+}$rU@p0?=yOalwDMM|eK6!u-l7zLv#6NmjgliuCHucV76njboyt zTFre;zyN?Nx9C=v*ngUwu&em7I-bc~rYse7GZe?~6zNBCU@FTyKwqDJi)^II_R-qc zRA!nL{~nx54j#Q3I+>W1=gZc?M~CDh7O2PZ<=H+Qxt*+|{QQgXFUg>9ad2eSq#lwY zDnL}4;W9xt z3rRf$(xMI8I5!OMz^|AgiZTd#PXF*5+bagUK3fZMm!wc1t(2cRo2!j&QYL9t;Nd>6 zG6GcWKYn_})A9o$b4RN$uR&XOj|u>=9C0A@68<=RodUXzX7M<+en+GGAOkG@m^-0{i;&=?|ZXVtBL7 zN`GnTnhX6yXG)sFVK&A_(oa8-&ZsWo1t8zXV{_2=Y0;e(!DNG@=@D`A1%RW3oDj@88$aP)+c@ty z?FcFwssBcT(l#)t4dpnf!vf{#|6$7W@1@_>3T{>99=b4!O^6)4mOY&89MWQBbI``r zB!9sT!Fy6t11-kW1L-fOcE2u{pFa3V=w#mXWJle@CF!o;d~E_2IJS;1nSA);hW@zZ zp~8WpnaK(h7SD|Qc=qf52Q6=Je`-@`(D*n#Sn4~XEBR$|OI}cNc9Mg~?GE^>Pl`23 zD_TO6@ekpq*^j2O28|-Km(<{I_EC5_(_&`|etzCtL(eK^SOjV*Zd__7b?cXT&XQX? z%Et`vt=|&9^j>p~=bQ2^_>UivoksKHR>cq8U7>&MyGPIZ!zXjcw2vQ`OISk}zY~!o zd%8zUTjapnpWmNojlEi)JvGI5V6kR{>e;c>o2NhCFjZkZDhrpjc_>1?kux;z`R4S| z2lC3#@;mo7W+V;x8rV%4)UxbeDQTx1_Ijyyl_s@_dTHlL5azAX`IeNG$q&kO?_E%T zAl8!Y$0@tD^GQXbaGtoVCEZ-Ubcc`vRn4v=M)+!rnR0>|eP4cP#Wyn-C_k(1485#C#c$u|(7sa|Sz+oWW8)p2%x1CA<5!vSwPHoVVzTB6M{At}IlZjkg>iWi2cxX(@Yn|m^4nTU4hyqB^2#?e zZ+)ucJ!*QqSwZrFLN)%tTswacL%>VS?fC&8F9#$*M8IE)*{{vWO|VT8-eJzW*_yYG z$42Nc#pk-~4~kMhoM}l}-w1yac>gb$^t5oZLbau5V(1AQuhs-Fd-wAdum$@IdA;o2 zIuE>mG_hctO2P9@JB2Tu-E+Dc40zDN=_$Phkq`IzV;s@e@X6W#&~@XcqQ!e8vH zTq4{5YPms%X-HC|Sdl%9R1`0@GdL=Iv1b>T?C}>ByLbG(Q6;wB=JH=&iN5+`3bFlM zshk^f#bx&@%1WJF;$fZOw5vK=*yi%AnD%b|&ykpOK_2(>S`8X!B^BS zx=YdV_WmE~3Ci_r50Gid$uQx!MRmS{hY@j6v)@+1cKG=aNW6OBE3QAbv# zq^i6rBW)nkU_dan$=KV>>(mh#XkcprJ8HZ47&aDvdvgjMmW&D-T4Y_B$XWrB{B(@PU}-^VLpJ#XIq7j9_U z3!JjTok)QA=IuwkG~LgyN1F=TZb_bKJ^V$G?T*A=Vy&-xF6(4B;)W5-q7{ zN!;3Z))=1`2bIpxt$g8wX57IgD-27zCgh^1edSEj3v5BsCRqNhu#4Sx6R)XJlH0Ne z=kE6_sLX_;YAGir5Q}AftYD*Qjz)oxJhVm`K3XFQ)`P8%ZYrR~- zR06zIkhoM*+(IO-*M;X{Ph_-1rq;99r6?PrYLbw5SlDWjtjWQ!^C0ZOnXf*UXYPBs zR=g-h!rE0t3k#vsdfJ{Le0Q&P$1!0m_?;w-2JgR+y}$m+_{3rL(T#JdYJVxd8x$2< z$+Ie+`$20mhiqE=`b8$Z_Pds@O#q)I_?O}WWy#VCt4bN23}IE{L$2o`COa(xy0N)6 zd9iF-amIs0a@rqSOUSVrRaWn0ek~UI#dF9t55~G%Cu|kU=qpxWh_B_f@6_D)GwELB zdhnfdkM<1-p5C;`?Ub+geAnFJ?}JjA&GvGC(Jn8d27wu57a})QCa|UR^2IN>Ms;7K4Wz|4d9pU3fs6@@m@Z*y0Layz$0P=#( zN&AmKXr1$*brG@{1>}e+;D~+96RJoFy3CNt@SvfOExd@dqYpBKpRk<<;qlGS(rYNb zxmEqITzv)VZrIp=&ftf(QPWBPoeIak1W8;dslQBc5WjAE=hFt{E9lQO9v=Tdyhgc3;#7ZIxiLTWo;Y^WJ+rWt*3 z6$BaWfV9+gQlPeLT1YSV9S$qjBou2SBhyO;wT(8_jF#7omPM8efB#pkv6AxXFVGD< za8S3Ebk~e_T@bC7Y&{FvBQl)Hv{OiDPH7T0V5|kXfFgoFwqvA8AocQ5MXXK3LZd6- zzENnDY`qbKj&upez$v&+MAlk8$z@i;TMp`u2 zNMg23vJWEmoea`ilEjFdcJK3$XEL>|_ zmOh&Rck8pEc#2Xyt-*<~kP{gr&%uC(Msaom&iYY6IUk&3bGM)7Zl8q?R;dOQ_yq#P z|EGrEQlsgey!mVTOq|`2PnyS}}fMaZ!_HN@Xf{yV7hIjc6C-o6jSKiOWcH zI47sQO7aw<^*ESv+p;w9jPY^;>CN&@dU$j z7WWp=3L(_{W0!}Bulyc4zZ~A#uExFPh&g9$V{rHdt_)9cOk}f=$D4~OEnqc3C^*fZ z_~t!F*7VCGiBU)t1I!jZku4s?Y;h&B#Z_9XSPKy#%@jrQGJ$nklmdwa)np$-Vax&N z+MHIGCKsehXmtk0syidbN*OPxUL^6<$WekaSA}7&`BdbK@eD-nqmJdTjAOI?EG?gg zZb&2pxPKp6vRYDhk1TkbRCxw|=K|!&0u%@#{UfK` zqYMhJ3|pI0PKgm#-p|q|Tz<*92HuJ7ECw+yOZw#5{bv@4&znwl0XpDc*He;2VPWP% zScvmS3r&=`p)K)ba75onE&>5XGlQ%tY%k+=g{xUw|Hxgsu?35!le=op_TRU=AOU-5 zz65li{9dp?Tmpq2YppQU8=2eqpSgM>oxWEzwW)|3qrlnp4P zqk--(UvUKkakW(}OUylpV-O~plI5yNGsUz#5Fw2}CNvbLvz-EosTnIJD>l7ynVbl0Geo0xx;Wqi74D~Rg0phRUq*!f;7P}1cqa<*TnWPB=a z{&fLG^`BO>&;e8RG-8eyJ-JoIYhp%XmXZ(u4eLD`SOdR-Gu+O}1!b=?&E z{||V01(z)ZtTiSiXZ1o*->?ZV$prC=kS)wqm?#0m;_6qIULS11uitaTMG!0&C=qH` z0^GM@&hgzWbS!asBw3T<){}_XQXuxY6Nur=o>ygPLVMzS&u291j@g%n{>b0N)oV4P3Xp>8y~=P9ydRVbYV%eAm-Q; zTZ`dFye47BMxAvC4BRxL8!yAUBqKsht5*t!Tq1-S!c0YDCLCzNOpxfHYO=Mz%Y?Q) zL>$tE#S{$XmEhJZ>5*Hm#&R#IJiT;}8i2xo47uOa$Dg15Z1Kq1QNQpE+SN{!vIw%8 z9%VCdiVGlX%N0lNODfq~kW!HesjA)~n4X|iEgNL2tQoEMkM*A8>xBlms4xi9WSLXe z0e9UKNKw5DGqD5RUd>obqb$1NiW#5Z-*oaRN+%B>FKehRNGHoeRW+*%&iVI@n?Shr z`mPfO#oy2W{!T4D>jx<%_N>596idJ0y@Mw5X9>?tL*N)`X$#P0_t{SR!xGh>L2Rpyy2<*?lDD!Wup@ijMqccxHIBc}%c)Jbh-?f5Z z!~brkG0S=GidTe<{?sYmcQlw03>l;-Aaon=olv*{gX4_5Y1F5|IA+ z1q_AUKWB+j%S6!xo3P91`44qn9QEbkN3vHyA#lRthFr&<{DD2&HG*) zTlswLEdhXCFp;A%5P2&#nvT{T?eG`U2N_Sc|?b2mzULu%*-o0_IT z-j;_Rte{v!6%&~5DW4|CG9jffPZZfIJX-;UmNi(5<$x@d*8Pn&yn@dP;lhU}fQx^u z9mSE`aucUSes=~hakPuv<@{0^-Vc3}d}7MlFn8N4f`>mmpdVNzsVmM6P2HI-f*jWZ zla^8jW7SM zo;p7gbDnCkJL~ahqx!{zqq{reTlHrQ{4Dhy@K_d|`{2U0cZG#v9`nQLx!HAI6*G4n z{SZ-ff$zDd!TVl!FupgfW5qsWk5TpE?em6mMi1$1yS0{Ru)pjspH$;G+ ziftmh8&vpDWgTy}4}$ zJUe*8$H#UTE$rYflICn^Gd=*+DAsu5pardW@8L4&QA&Ly#$=p-?-+%K(>IYl>%8Ai zi!yV*Z=c6Y$=r5JzNmS)R!ybr?KPEndVA2yo6clc^6@tOH?zMn^dlYg4ynXA z9BlPY$XTC>6Vq7F2YrXsGF`LSwP-_=3gA+z~00rLyr8!?GU9Dt@pT9Jvc5a4N z%%MsWLIPltO1UWX?~XbAR<=9k%Y!SsN>!J~;|HMCGCk_@JFv?Y5nQ2HaNC z&~d+#?sPAuP=t7mx`D=I_?6$4=Ik%eDq)TO3SMiGH=AmMc%}?Ild7i8h?eQB_YeUR zV4%g<$p$@qkP$Vf*Q(6DN-M^Df22dnQ9pG#+&XMXO`{pKOiroa0)xB#|0EU>U7)>q zuT?%Y!rcXPy@T&~ujVVzQOADi5C+VafY)GOP;cE@PmV8iHqP862kmOJ36E)LmPkMK zG%qWuJF7S)fChZ^p9M4J#cJQE8*bTcl3Tbb$n~24+E>^t5m~Ehnp7n%V9u-K{8b;J zO>0*1gUWPY&|4x0$+50j9#@+upaes&*QQ2FpJ9etFr0}W^h)kzYRu; zV*1kpX1*BJcKUw~&vt1)=LgOILr;nE(@>9Ew{`*%I`cyRT^KkHuk}_koxMcq1r7ty z0@!=xt3__M^%|_Nu&y$z4!SMpYzfw@(mH(@Mzl14pF>}kY6SoGxf>{72Xq2Ig}Pch zCh^E~B(skOFOG508{I6;S)G3o_tUc$ZfN)tW~lH~m7w`Aw0|yZk*%)QgQ@oFcTVu( zRgPP!_ssb=?DD4OpZ3P>X9}V3|Gm|sOg!rUoNC63I}jDuWbZ4EPA^qe?JvPDA{LUV z?1R2ATwP&Tvk1}N3A!5RR`HD%GVvjdD@aGLOXITP?BOOpaOs7%g|7_0{=Ag^4Df}JTc$qmV1P^q_+w$-630d!mr zd8-P%uk(V%&`NmjDHuSL3ESGhyn|IAVd9b&Ad?+4XCSG>-+L<>(cq%?nBElb3UINV zX+UBc{4rE;Q6ygLa91S09n18PM%Dz4gi)VtuseDablr9R%wQU5F@r5M(n%nsd=mBc zoldV9hX>&%?dfgLb(LoMhQEq(CAso6nb3KW=%7tK>Bx*6r&^bKpXYOnh=F9PYRGf= zw%CSwO9Gk`Uv^8~cfp*5OIP)-irp^87x{QYj33z$9wcQCoz=6gY}I8r%DX7DiH*Lc>ldZZriP!B z=4_Sg-m@Xr-yYlcxW5ul^agR(p}y?xC#U{Nn5vQqUERMZ54uu^8%lGul%UN4b>)_} zmO=RJZf}7DwC>H;xAi6j`xKnc3bpQ6=wEP}w@w`pBGMrQgi5?FU_hkQz0S2NOZ&3z z8?H%nQb$y^spj>%^W->scxMG73{tq(;iiC?>TcA!ow)%H1tX+F6XmgqEo`^zFb774 z=q*P5V#|d0#0h*Z97++fKh%3U27Aig>-H=ILR;{}1Bz5HYi4oOUp?i=W2WaVPQ8w} zY9cPcJ%@Zb4$N_ZO}&Hwk&^kdRg(%D{Z6gBDG&pQGBip<7n*3BVJl%c3mE?Ke{Y`! zb5afn5JCV?{`Gu+=#CuYa2{ziOp<^j9oPZ08ceL2Cdim)iZU7R4w=CP=#_5=P!4lk zz(~u$0RKX{uQbOOS;-C|F^m9bBErER&dvozHGP~t2i;%y@7hdW z6AUB*?$1XASOQNs?KZ5^+OiA=GW@vUX8Cs@gDh-%8a&kFY<&Sn2$erSZgz|VwxuFq z^V`A&%>8uckJw7ps_Z2~i~tmWU~~qiyx1~-2r(ihr0Xr4N0zOo1MZv=;j9BI0dPJT zBuXUzvUh4z4+)B_Lw(43Ye?WtJd&DY4hnbV_5G2iM zLLikRO1=GJ*TPC@3r}B!&Q!M+C^+Q)A5OuX9TEl;-tbvdkFqPP&Ir4`4?24Q_?v=K zRbI*sVxT6qb_POH89vvn>@+mohru%n&U#fe0La%ro!{?*cjj@Oo}WJzsaDYqfT0}d zDf;$TCQLlYAyadds3kL)s~rBi=l^I4Nr(~xm&-6hZTBz3teL-b9?OGC2@k@HkMomZ zrVmeBygMc2puP;6FSBC?$552`uX7es3gdtneW?kO#^pLy(KaZKXhiuDgn|ke~;SJ(*emE{{^#(?eliz_9o@3@UqaHH$!Nn{_fEoa82^8 zIB3r96d5OrG!Om=a4+9MT^ctJ35g;UQdT{`aW#J}jd}GimW@~qEI?1DW%y}`P%MN%gu?g}AhhvxrE0Ag0h-Y*s=>(BZ%02VV!~a~OuggXvF5^I&9%9yYuMG!IM;v`O-i!C0vHo;#VIfaEg#TP*MoO=^Gn zgqdCSf8zrV@D;>qhIARc^Iy!rdXf*Q4T496BEesg!=1+mYf|C2{(iqLlhJ<&bx-H{ zMDdOO3m($Q)L=!VcF@)r5HI#$$Z3+}`M}IHp0=bwmpRDg&8B+Lm<2$lz%v8lE%kcP z=?{?SA&ej}z2`to6QqE4EkL2p4e-wb$a|fAAg1mM7C{W1guzI8Yw<)U3~4a)*E)7y97`OnI^g^1LtsGUiNQJkD zQPq1jM(XNI6^PMgICCfL#M_p%H_33ay&6E!e|%6A5PI-i;E>l@OKA%9g3@{UqD8AWvFtRrpL8 z)dKJI`1mK_bk$-gky49BO(LcCw|eK}17}lDs!E$G5p_El+SE&+dsXxn8$MJN-trO^ zc)XXG$LnlV*PpknRg5`zCm{%IqQN_j-;J*Wj0n_0nsODQ_j)v>MS$fP?m2`YETKD{Y`rS@f$_l~tBO~$l>pG-TU!1LBo0uh*D)1l^&j6{J(I*GUQpg zI1p+l1tU~YTn>a@1VbHm)ke&B{VS)99Q${BHzGd@gu<8!i1fkf+41obLof@|;rc-O zgZEyO8mdT4YJ<<;qx>yhlxm5_`enda7JCE}qZB}h)5v@M1+?}{g<&lj>zgiJS!(zR z{1eP<#KYZr_O<^zjPR!u=b<3d@-ZDvWpTX*$s3x=0%I^CBT<5pmOn?bh)x7Fz=VX< z3I15atQqrM7$26{P4-t;7iJpt#&HPs)5%oHkZ0A%i`Iw`qg(f#xb2h+zHBx%#*6}v zQ2=~=>l#5m1gVoOLUZNFxJDGi2KX_#8Ys!42z_&m$79F`Evsq%L1zR(#1)B5n+zKt zW;|?pkc=GO=muyyAj~sj%zPnykY1_?8)D}nQyD%m;DdquNI$gEC_J{j1wex4?|nuX zLXcp{3VX|S7%z4jlD4D(2*ic@K@y}xx*^ZJu&_XcnhFQn>!@B$vx2lu3rpJo%TRvj z$!Uco%jyoAa0d3837RG~oVfZ~U^W?4M&2ph+8Iz!W60~?iT9(#(H zWe>App0uD-zfvGNKHJ9rxfZS#lLv(1!&)?QaWOWjbH@2%&I^cJo2-%Q!$g?|OocC6XLn8N5w_f*j*ABdkH1U+# z?LxJVO^XiAg1F>}0=YFiHs2vTGfWHYx6XeI5>ma*%alW&?-L?KnjqKs z)j6Njil*my&yrTHkB&u814K{;)tj`l*w7oCX?&S@1ZGb2hTaduz%rxu+LEY>PwVdo zeL5J#qXG07Ze9knSYS>TsRM@curYB#5~mFbq}Drl$WXs!7S9J0ml=U*Z*@mk5KB~} z#61sU2@goN+PNiS|LTAMBaGV9Lw4SZE%IPpM(T$q!3Dy2m$ElsK&6S-wWz9w5#(Pi z$2RrArdl*MCg%Kx$hylYb#JcZdq!3I&&Te*OoCU0Srj~rPz`yO4;WGN{`d+s5hxWR z2^Tb8CK!9dk}1ux8vreUxZbnaaEUOIKyyOtb+^D+H3j1OP%SGxp6Hi-5+JQ(3W*5z zk`DIm1S=)J5FsX_Ax8~vGQ{<2RlQu%Cbh@nsSZIRxK9xDbc^2DR-CP_+$O*XG#yj< zU{qY{alU!;rA;ElOC6A`H;!dOK=JrBjaPf5g=+y0W^boW>?=sHl@~Hsox=w=7dj(f zmH~TCHdvC+fG=CTRKf>QcYa(|RPe?^4w7 zRTjHRM>Z{belcRQZvMs3(-Q$9$8MzzogMF*_V4FCt(f{fx?<{G*VONu)7jGnUAZeF z-i6Fr=jA%lH8t_Ff~fFoWU~Kd#pFc9*x0-2G5Ykgmdsz1&nn6z-b&pwoxXEq`p52w ziR{ml@2AZhB1{TzQd{?*nf}^8aBK(p@wXEqD%1YFab-34j)rY|scdM5#*sT?1ugjuJCj5f0k39R(Iu#bUV$YX~y0W11>o2=s8vQ;~Ua{O_*JavB zp@-8)zlp##`OM$LBNu+==PBgzrz-RP@|T^Pj1K4zjyO8r=u)vl*2|)9P18^J3)UaS zvMw6uDJ)t>jJQ%~K6-T7hq8MY_oY*MhOORgJb=?H9hlU4y*iq7Fks7Q+)p{Hp<7#` z_uiiT`T1DIYN@3Mj!myt$@Ob_=rDa%uKx0O<1u5GbgK?;tBd{1UEMcy@zO8u?c&Z!;eaPW*|=a0@n7*K>GzwDNGo#v@y&H}n@?!jGEw$8F2`b##Kol{wm(boYt5 zPVi_9sX^ua?UB82MmvMwe_OL9Q$6hNsNwgq@y^{kW0Rfj=Rck}q!&?MI=6JV^IW2_ zK|@5}%kw|zBTutO8`7tr-2N#wJpPE?<)<3>uz5n|MydPv!}^0q-`DLMGv30g==Oej zcw@iOgmb@D#`V&Thu0kGOj$PYaOzsaO2?7%sKL(A(6IoE_Yqmd(X`Ql$=J@C^@qRo z6qZhYUeWXF^n2W!pGQXVUf&qg2fiQe?)~#EZAkMO_oBU6MeFkszYEiA<$7MnU2oKS z^?vC2zQW!ATznewrN2ChG#Pn=^Lg7MJ7e$ZyCz`^ekyfbe9~kWv1nD_gRaMNCEE@} zZ}vVZ*SGCN&{zWdH3R)) z2jbqXzuB8z)v>t0|84~J+@Hnr4)aID%r0qpQMb+Rs#-B^9^N_s+n%i2tj#S%&WcyG zhIhZ(4#kXIcsU~VO|gH?bA>M6qxx526+_;o7ZrLp>wWxv^3KHpiyE1qe~5GMbllu= zvumi(@FV;FN>}%O=4SrbPv#r5?=UecM2F>knM%+;(ft-HnHa3g1`l z*?oNr=ctcD&xH@~_+K-6CJpySEZtJNgX1>wJ^cOx*PrXU@959@bl92~fqQhc(M7&mVA;p)#p(<4XhmiI6Eb*3kKug^*2@e>`Rqjw+9v#2RuurFg| z>_%Bo*TVa46B}E+|KXf0{~bTHck>5U$G{(nA0$G|!v`~erY-MGeDv)iVMYJvDX~8Z zN@E9)EWYyP-AU_Aoa&kg4zHr*(crzI3jQOjk-^_b7VewBJP?0cV-NfMUUXGlO8*mja>%gYyH9QhZgq0x#^S{6uyY$1@2OQ< zx`r@T-QCxQulM=v)ma`(JQR9gdc#chu%S~ID7UT&?VcWX z7_*q3sFGagd%?a=2?NhE(gD)>ejBWc^F*!Ln{k1vb&oQUL`P0v) zuMb^(w8EewB5->1>*=wJwWDjMyL)@=FqubuyU$}zv+9iA6g^20V zo8xd(hoF%^nWKdcg_&J3i>JpwWR1LbFgzNe$$Fr#zv_Oj@znNkw-v*F>Bq)zu9>O) zvMXiZ1*6?_KM!p=W+r#rY2pcU#(>I>pA$|k-iOO0ZoMyBbAPAf{an*E<1db6UGRx{ zP+_t4>d!ytcv%I0RMkM10utjf@Nu3j0@y)h;#qj{{;5a(MlE1K~BM7j5vMJp_pjcDV~5Jl(V{Ec)Sd~Q?=4EXu=iQ&UMJr8(Lh@-HoI^* zX-gzqp)V>efnMubyNDS&LX)}Jvd%YCJs)yv1;Rln!(JAEA6tXsn z?)*T7#-RRmo|Q7J_NU-f^02d3TC-I?MnU(@A1dqYYdzU=x-oLPOnr>jSBO>`|C(Yj znNm_)dT?ifM3#rW*N>mD>>67xAck$oTb@IL+oz~$_L_$0*mIdzmNd8WYZ0wJooQU= zmSZLL+~i8GLZxTaAx$9i%O+V^I=)(hHp`y1|A=?4IK^gjoIF~284a2GJ72e(iZ z2^1NE?#DAdQbsa_mnKoV<%P2U?rFA@5l^Nt6e%$Wml(#&8OE?vyN>(6K4r&MpfjXH zYIk5~3N0#*cT3)vQO|9(=dNupFD6ln6)EfM4P$ZE>Li-J7A;_DYr31>^P8WYoy_gb zV>45-qLZ_V4}4z9_@u$eN%fX(y9pQIa`BuXDMpOZZfC}#LZ0%6R6Q-4PVMCvPCA;s z*ZeFL36A;~T;+1va=DqF63L1^meLxu011d5TJVGi(gerDXBXSD7Tef^7XKDCuwkje zt#v%a|L)kW%sAUn#Z5b%Ob!@LpOr#ZCux1OXv}fn?O{`Ez-F&LKWre7(wanb35(p! z6tWbF-u%r}N|P>+?aC)Tx8|n7^?;=pmgVhF>w0zWOz4SF+1L~^+H@fO1UJo*yGplI z`Q|nmf*YQ2>Q|4hQ1w;^p*?H4X*20feWr6X=>kHTV>4+4Ejl!k*3rbPVo_txnjmbMw$_YeUN@C zL(^zmv*0*7TGi}i?2VKyi$K!K?O3lK=BUMZ!+?7~F%uQu8_x4KH{Xcj5$l;hRl>fj zr%4wOYDh1X&GNtqEdfGzU6tS(c~$Dx4EwX$uVo0{dNp8j@IRn-@V_za*LXtW!JgN` zGbMV2%EHT4ExHVe{`s8ZixXT=jMn0nMkGc-CD*fNnv*(({W#wM$HHaS%xO!kms%zaJra9~8HdbewMyj<(^DJ#4(^Y@}>x+=-Tsb#&g z4e%A12IGfjJX=d;hm3|+i?PZ|dz0}^5-EyT6y*-}z(YSmBs;HT{>D)Gy^g1oo1WP+ zet^|v>&-B>l?05Ih;p&DPqR1bU~{4@#uJI-GpFvw?@M+AZ7x@}txZW_XU`^Nb(rLK zG~3pmSF2Pd!85-~k6JHNio)4d~+ zqh^sO25=a$x!QsYpQN(&@Jt3gqJ;tU^g?RDK(`@yEZ+ZZKg1VC|Li?XOnSJ~cvBY2ZY z_KkS<4dKcyGK4L7g10#3fe6JWZK*b8>)DGgc*1);;iykREcesQl%_dC5p2WP!&Obn z_zXtOJ$a>M@H>oJE*{`V4;`!aYCL-#W>;bq`-Kd4759x=GFetbD<+Jt2`qRkEAV|W zY_bA@u0g34pf4AnnM?*(7qqh0Ux0sI3Isr3SiK0_cCBsgTA^lT#jr`>QAG)~y(b6oe&k1fa`~(=3Oj5B~ z?ZaTla`7`kS}da;P}{bpTYNu5}FkW&B9~lC{c1S%~~ad^@odBc+(l&?TmN@ zimf8ya=hF+0jY9|HY{-7t&wtIg5dnSS73*7wP)ecp;&lL8ap`!LJAG|FZk#;whS)3 z!R~vx|3bVRVEP;_+DvSrsx3=ZxTRr?+$QYww}oJJ^I5c^Jko4 zvcTtG^9w{liXU9SY(>s}rM>isJ%1xItK7sCGDc~wK|FhtP^*1Oj88j|rZ%1~QjPH< z1du@e5Li6Nz=Eu0%$D9Bi!|Htz~G?4}YjRajrlP(KCf&SGh%bDT{ceE(J z;HB@$&%^W&mYq%^>tKqK5zn>|GN5k(!+9qnAniZ9LOkJ2XFRv#-ipG0HUbDB&mqwT z>Sux->z*f#u@(y`Zehgw%u4Y|5{Rl=ja03NG?n!l9(*2i=|91}B%OE5m=df&DVf1| zBk+I_QE+crb8j^u{qy-Ru<)tp-byIa)~wbRh7>oO)>x8LDHLY~f;JMBwFu$nvo%-P za>n*7Lu9IQ-tltYLQw%`_+p~+#Z(H#lYYkbwR&u}y9^wS)KWAL#Hf6R>F>bd;9)xlBp8--NHF~eg zR!VA$=X5lSRa$ClIcs@}Gv-R{YhMyxyp6v*QVzUZY%=+TCgtQ@1!qx0)`e?gl*>&o zug9~ElD3O+-{|E@KR>%)b)}>OJcx#cB+5yXct#|9?aO8nLer-_6XXSRWVq&mA2MXP z%=$k%8qv{To4uq7*&gxk`fz_+`$eVBh}b=TkJjE*pxAE$H)=pLTv_Wz3>2l9W6=dz zA9$BQ2S$slfVJzaNZDo>Yuo>0T&;M*0VB$F1^B<)s}hV2Bt}am(wKEph`Cz7 zahP?TN?DhLc_KB_tECX>- zAh=-WT_x2RliVb!mCbWK0#ZbZkj;~Autt8neF5Vk;okl~y(^Zx(HIZY#}>tL{p-2@ zjza}v$h}kr=iZEmWjh!@Y#?mdl{<&Je+j1EJldz&bl$8Uj%R;w%69oUW38wZ&7C(`;GSYd71bA-BT9!yhf&sYckkT zr4&FSWx-OCfsOrASo-3gIwq^l28KP#ALiy!DE3+)f>Mf_Cfx*E$|teyxp+V*|D{CJ zybWpFgdw{Xq;`m_^Z(-q+g5-f?zgYKRLy_5-DVeFB&^dRW<2Ap)e1rHG(}enRo%C=#6lwgV&Vp zSsQFvjo%i|N+Air?TGYx8Nzxz!RCCCvH;%m?BMPYT_js`723-g8We_tFt3s%(JZxS z{!7vOs^>$(0Jn;(un^L+E&uvJ<{w9{f)C_*Pc#L1C6|Sr2mED~XK~n58wOVsWkSv^hKX-q?oNjq}a`Y;v^ z*6LZDVoqIB{)P@CDo3|Dy3BtduVwPJnG`i5Dd&HXt zjHIiiko7SGy*Igu)PfQ^tD?n#e(Hb^+J)W9Jxoaazs9gvA8z{^&weW~J1HY85*;8S zCzEL=6nc&d68e}St1<>ek6=xKSLIr$C?LIjDW{xN~4a-3uba~1G zxUMd1^N_9FyzEu-UZ-K2kuV8)`9G78iK9} zubA+w=e`goPPIZ7bn;c_VJO{$3^*uX6rQvUVNY7_9T`ygLU^>+-l_<~fcQgux?Le- zG|%lVcOyE&)`#0XB%?pRA2Xc=dFXNxzz&oPDZLXBWH@->#D`R1q>Bx!>Dyfu4J^8l z%XWXMP3k>oZ`96qL53P9Mq#V&flLgZtEkx2UVa~>4^X=+9Rj8!()kLM3js5--@g%N zB3@OxSa1Q(&$IYI;YoP@U83nadU&yX2bS1xAP|CPQ&b1H2%f$ur4;$U@WvjgKo;yI%tQqlBhYDR z%Tn$rKk3Mbd2mGoo@vD0l19%ontUJ1L0~Cqyt4K(vZ!7#yi^t!3My_v$p16S6mqs> zen;Jwd8UQm61=g3(83R;SGr%}F^zc2o*e5tAn;{Qq72*Yi+eRFRVJFet=JnYNCYQ( z)e!d1rsO!YO+ULrybCB1$@c$o3LaL(u(M~T)-Q2R+4jghbmREmMI_n@MM{nVBzH_L zhjwibpsZbfCt;k;^iA^LFi zS$0C#2?wPIbB>OFpVfNk=Qp)+tADzs_SE?n4|jV1k9zgqIj%g1kJ+eE^;Z7H; ziT&+KXZexn!7b%wEGQPA9|J|~W?kn8nzYNyjPsmL(mpTy925lwsXLf#RBN^iYRlvD z`Oby#-Z#q1j0Pne+kSK|hL_K{5)oqOugiw4|J1C@cJuV0-X-qPBPcezPp?5G>o9f` zD_MUym z221cmPhW`Q^BWF%RC-49Nb~-l3R*`EW`@8r0+Av^w0W22924iva)A5)COJpNmHC?F z9>S_|$mdK+12Ex8QX1jUmDN>sKP1u7ub;rLxC{u0Hm{9Cn9 z+i(ub&9EX3Ja#0ggHMG`Q_jJ7N+CzHX5f@y&(`37+-A&LP#$0n&s#$&)aOt#+|Ko- zF`wK?1 zf%*vYO<_eUy$Onb8p70I?a4~Z$GunOD=jOKnyADgWtFq^a zGv~UK(iN#I2MP@pplOkwc*dV#S_UXF>fs;(!-c!QQWyrMK(3LsEvDB5`q- zOsE~ynC~~DUf*tH&D;P#A55?KSEGs~tFwDbj%*Nq*7D?M1|5Ab(y|)Wx~|iy%67$I zLIf4EyAy~ia4dwAfDN(}j5wd=6*JcSD7W$l{G z;#j`_OdfnCBw!YN3gC&ea8qkCtv^-k~GBK5SSz`XhDgvANdD7vULDM{zWl*gm7L-T`^KWr_Oy*VRhN8R`h zd0vrLH+jY|Okmv5TBZtLM#1+{%&&4x_PRVd1EcG|R#LAf+zF)+X)>I7qMWSwy0x|0 zw>$4b9eEYqxt8wtnC|>ot6HBT$|*J6wUof|Vb_HQKUd`N;_E_%D?@{y;WYG=v4^JL<8GO<}2_QXLKFcZPbIFl;ca!U$2U4P$CwDSMigsk zGlms>sColiWqWv2JKP}&NljnB#L6{DrsUCWE<9 zt$VoS$$QJ*EEoc}fO>ESb-yM!KLMREn2O}V6r$du<=BCa#@CGtIXa+4Z6UzfaX$l}7a88XGI#irc7uyr zZ;>TZ&?3v8BFo-&n})m|(+^b9O)Mc9#2hdpbV20GNQ(4ZE6 zbit-@;S3p_=^4^yQ*lr{{}<5rjvWVtIXtem?D5GI2+1cCd>=9;&wMvERe$#~60xOb z6SSvQ!WPaLHWKP`ngY=b$sMPT*u)S)TEEMPcm+D%1_zUfB?>riw!_ZyAn1Mj20HPY zDdNtfg*(L1LKgzgxVAcjZ{$FIu87+w6s85w2fKBeD3P#L5fOPWlT4f<6JdJ#P<-5> znrbV-$*a|Qy!q(ly!lA$$1rw|l8MXlxH%Y^62qZFGVdwCRYd0e1ND!^vIB87(dp(h zb$WS@%&*eSdz!=>LMk^_RqJ3|Dj{Y%Kkf}leCJYIoirR;S37q&)PdR|RHScjfR&FF zaNDrsVAlRZ8aKAQiuQ=b%eQR;(Q(#V8M2;UA(wTzA)NAhK?CsOz+Y-S~=o)>71ar|-b< zobS3ldoHgGl4aUnzb?zHX}DLYiPoNvtaCk3Un6!W5L*78H=j9&N4iKN>ZqCQjC9Dk z9uVp9m3>&PN6|h1nglar!GQ)F>Q=V8!Q*J}w@&XIn5%*sJ>lb=)v*pa1)DYvwO92H zH3sJ&1=8f18I0Fww1`XWz2%vq>UpdDsO=iap4wMZ+qVl;su(`BYCwuoEDxqUE({|Tmv9W|XIFz5CK)N~`HeJ)7*V9+6GfGeI;g6H@m*mE$h$tDN{n@s?( z(%YnZv`h#Qb}LRjVVd|+#~qkor5bJT7gP>S>a~HvKLBLp0=P19N}IncStw`kF*ICwnbQ_neXKZPEdodU$HNB4;@! z-KzO8<|=z;Z_^&HNIePB#{|M{H|DKd^}H!#_?OckVPa}cE2sX>XiZJtNNdLO6@uho8Znyknn3u)c%9PEVW zSiky?$5au@y=(Uc_8Pd?(hY!sBRVsOVMING-YG>@9yt{@HN;6EJeOt4slB{*`c4a; z!vk^u-ui(?{UAJ*v<{U;CPw@A`5?ZgixQ(*`vX6A@n9k|v`lS#@mp`UAC%YDAtQ-IskDek z)`5jPE(qa*-W-qitYtda)%p|9pJY1w3A99{u7o|DW@7jfKDuTuRE64bYM$Az^?zVS z0hFVgTmj!Da6D7e(19B+=LT$Afz%WN3CCWGyL-=CEfp-_syz=q2kWf_+X#FP3>7%Sd zmnwRbZHZglp@VMjFF;EtNv#X0TVDqz`SvZuEJ}o9N3#S5d>cCBWsEI8WfQd2{q=Jo zcz%^MXX9P3>}z3#mOFipyWb61nWx=QRWa{%Dj-;sfv;gF*W!0>!KSgzb^gEaaQIC3 zeBJc4v*Msvba|$MTGO@D`z{i;J z4`tEgCKue){jSxV{n?%lo12uJs;o5LI+33azWuk>8v)fomIeR+pwoSC@?QCbiX8Jf2D7*h=cw>sMeNiOFP`4zMh^K48Z$AzbiG zF1zXbT{9vsFCwSc9TbC==}N<)YJZOG@UCK-yF6PCAUyW%(sInQpieIJ%nnd{C&Oo6 zyS})lI$(0dPH^jIHw3VT%Nx${vT({oKCmxN=`HdXS7m|9Nt!ut__{}siE$Y+!XQbe z`K-?^_4n6+y|pQFlqA5HIphQuiW7@QI)BYmFSL8@KlnjD(L1yG*2?^L^Go}b{CFDF zKArfw1j+L{-HO)&T_0;5tX(EC9Dn__vg>o5)tSZ$oH@Oc=9l-uk!BM|yx+XJTzXrC zv&wy+D6z=++k;z%3#qPC+dofA?tgE6Gz)A76aa@bhKHscxNL>(3Mx918i9uix;Z&r55$ zZ}qjY1%=VeKlDDiCwB642i#?3dg|50gK5XfiTl$LR@+WY^-NFod`VgJeWK!*?BL|Z z=_#q(?{g#657kwe|DaBnI$ro)QDGkR@z2X@E3K%y`gjX-Apz$p5EeLH4zbK?>4*ZQ;1`?*1YeyhWPHHn9-^1ou`CrsqW6%$W1>txHxun_q3(S-5&jN7sE;CjhVOj{L?$<{F%!i_scZK zeOJU;Rh7ND#*D{~;O|b}DyaUP)aU2Xa=`)J6KG|!;j9lN zv(7X2rph0;(we(RIj}>Xu;v7U!F%xk7%4?zESL~b$vpMDX>V1d&9hGnG&~% z;39M6f|W9kK>f84SM>S4Q<~JeK5NzCTUw74#fh2>7aDO#Z}}G+;$?dK;`Bm-`uquXLrIx(=N}=ZVFF6OE1d5v zPYp|}r8?^nwO_c6i{i$~xYN^%V_v=6|G`IX*l15prX-cYf3tCSs$^hb&*oe*u0262 zH_XwBe2aVY3KsA`Vr%ehw&y#>lSF{`ID`9LfQT2rze6GCkIc;Z|)4-o4 z*2Go~qN@D;pcWZ%-26>vSOE{FHZ46Dms?YPd3B&J|5hqGxbDL+J7VhsSa>f8=tK&V zSQ`-7Q()5PV^L!Js%3AtkHyH^x8K$?hva7wV1D8~l%4ZWZhKOFd0o2N8~s5#v~9-O z-$^y?u2e%So5M$2lcgr&rK)+Y%ezU@b&oz&`lli?8>nwIi0nRHrS8g@u&a}YNEw~M z8o1U3scOYGOM%km9Y@U68QJbg#lLX7@;F*oaP#RC)He%=a&eo_AE>IS_B)g7lYS$j z)v&LU&3x08W2VmEUW;_2Wx&5XnxtpXVM7DiEVE2Yk&!cfYJ`S;GB>COZh)dTzoW#TU zu2ggR(oR^3q@D*tGS`m{$H$YY~z1u-9R@ zF*LPLvcYjDv0amRa0anmjM%;dGf--AEY)NoQBAH?M~HZ{90Gt+lfEpA;l>povLYVS zrqht+sp4Lw#QD}#pL{|y#`H8in?+r#)UTHm_9>J3Xl!N8pMMJ#Bq_oI9}Gao%n!)JL=;! zGwjn$=BGpqa)uk{nB>&ZEV`P6x{o?xNktzW7?taEGx{Qda~f|Nz6Az z%ug7Mou$@XIkbdMtgVAk35FX|+45Ajkfr!pVfguoP$Plu=}nPv5XV}i#gWja)e109 zUa+Z;^mijkY^8ms5ucy3Qwlqh_J~m`Iuc%%Dz{U>Q8_ypmWYm2JL5k`Vh~wmTy@bx z2O>)xS1puMbrwmBSSyBpAf=7NfXs#Luw+hHGK}+0V0?k!Bo6>7*GciM;+vF5nco^Dcg5H~UI&5vC_$+$blxP1yZD>2+Y5!^l_!HN1- z!Z>-vCEtG?c-cljm_U!)Hyh`c5_j!!^#;uHpHydXtCf3v>Uw-&rY3T=b3PmgFqt!A z1VUD1rb$wrg_Jteh<%{n2E@MWN~*+1x4+sDKVjj+ID`*kIH9LQ{QN;9UctEZN`MhS zX!_nhYpan<;@)c9X!&kyDS_Cn9ZaD}p;40R506YA_IhJ7vK+ZoMg0Uao9H0?fu$98lVQ$!^fs0PyYz|;655S0fA&7{>`lO_?4tJZN+?68k_tJ-C=rgCW9rCMq=cdj zh0G-6AVcJk%tHtznJdbaN{Dn6nHwmX5|xY@%J5tJq29Om`~Ls$y1ZVOmi?@~_uBVb z_r2FS2NDw(RtE>&Qi7NqeFp8c7%_xw0IV(be-ld1sKd3*^l&9 z3yhhwpb1^aCUgW+9a#+GYc0MZfd1U_A_dA#n8U$3Moj$Y5WP&KUrMBRt_?gtPz|5w zw}M|i%;YhsS8TMn}l1HqeJ_ zoZF0q*A>R=YC|9&!S^+H9Zh(wlbT3OY5sZzM-K4}^Q)qPjNs9y`Xqoz6|Ab! zx|6)QZ#5)PGbt&5iZGkbkG|fuvKdPmYZlB{M=@jFK2@dtc-<{F+F=x?fI+d2oX4#iyTX$2* zh-#0YZ5ykC5Aq%1!%uH&ZdEE+PIat%DotZ3-UIeI7pQ?groMXZ-e@g} z(rf6of)LGTv0Q6`{|N{YRMsLm)+~q}D6g3dyttEa$51n}ex<&DemMCWs)mQcJcrbs zYyBxsSh(~qhz_Lh8E1E|;6xH!q%!#%gl_C)-X7Ypi$OcwaM+5~|o zk>UXq@nutQE*AF9@KN+G3Unw6l`m6NGbE*44234eFdh_6OT6+Ay9nNlqoH)AF9T1_ z4Xo4)CvVp!QYp@AN@ko;Ab}3?%Nzh+ZU8x$$f1PrzIBA;J{c zEQ`v=7ewmQ1e@d-S|SxIa~MW1sFJja>o$}TU_VD^j}zC0x3*UuhiA)6E32t>{ciZgs`TSGBoF1T!U?TJ(pMFHF$?Z8 z-(8P?&qsGqH6Dh{J{mx8u(FY?U2@r155XcS#YUQrR*{#fmW8y{_ zP&F6#-%swBl)7hhH`(NkvgQLcw(8%kmZ>lKiEiCV5GzCQAT}(>inCy!7I+w}zUzdnp+> z^_47_+LLR5C)3{YJSM3JEBa|sGj}&1B7q9FQa|>+Zb015kAx`wCDM0e_oq*5j8<6F z$e}G=_ki{!-@5(m(Gcs+Q=f`zT%3vkZDU$CYwC) zG5h#UvjZS)+?jF8V885IzylPIeJqOS*Vx60M`&H`reY3^} zkE^dAm4y=3>TMV(CM?UDZ-oy&BfjMYKA4+&nX>M9TNHiGaO2jAjX*PYoYes*3jDX? z)UgPZpX}ip?~yz-a+IhRl8nXbDA%&3YvVFVq$5HIB!hmmHn5HV6Ah>(BTNI;XPqV^ z;Ms2?`u)E$|C6V}$u1UnpHl}Ublu zqsNl(!#Iep8-OBF89_|h)qGSGPq5Sn5)}S9@9dDH(1GqO-ESrLJJvJfoR4p%*ggTq z9zvO0KjCt-dhj^W5*!8FnHbpldK-GW_bj(wZKslIO?Es-4CiQ{g)gIt@syGwP`o{R z5ycDJYoi%WVW~8hS*l(?`EiMS+Q4}D`Hk9|`754@*MX$*oO zQ~e+JFGct;?=QEZHci+JMb6s{1v~7zIq%x`h*jD}=)K=pLsEamepA+vl@Yl1B?;c-Wku~VeS&*yctkxgCF4#!FA2)VBef{VX zD1}-+8D+ZzBHgIUvZTese>^;1-pAsl^R6;N2m0B=WO%om>Vzr#f6N?P95JJ08Tw?F z3xX9)MkM`J)wdye!p7WbasOAhsjWT4tz zev%t%_gN(mA-oVsm>`llA6PziwwOxxIO=&Brjof2ss@pxw4gq7KAqi{{xtu8N`Io3 zt9K)Qc~uN|vmsCzeDL9;BOdjXVkU=#&*U+pvme)|kylm2cw7ZJ@I4bU$s|e#8lHTZ zw~f@9ec)~0|Ed0^Kef;1!`&N48sM(E&Ug2eS~xFLw%u3RgFG-gjZvag2UtEn2Tk#k z(OF)wHFZOWuVMA|&pW^c^87B042$9PlPKjO6s@9mNDG&Y&hg+_1k1{!kfgcWH;sY# zIEuE|6VZ|vh%x~IiXGg&0PopXmgP&WsU3Edp8!ggDBGt?gU{N8WBYXVnyG#r5#C7( z(t`G6oJSA%n#YH`+;+`lK$TlNe5@Hn5dHa}6vND=k?s zrR!)EJ!frTpMO4$C&+-7ab`|lGwcn4LX$TbO>Ww1ZyVF=H8?>H>}$_LMZD_S2OaAX zu_C+>m8mesIKKub#^J{bXs9#*zVD3(|O8QZhOKn}7 z2P~NTEPA6Jt+4y$iX)bMOdCYSTM27$tfu?-6Q|G!KVtl6iYI#I%k*HL!QU znweaP32P%X_;0@_b)g~9eXyEt++6YyycaF2sg5e1DO-kv8t4vsU2Vw*;xWOM>4TZT z9|;i6C>rI4E#yKi;`R;Y(*H@)ph{+FIZDG`=G#z74p6}ZnHo2j?Ez89VR_fPE4D%k z`IiI;A#zg&#Pr3J?IuzKTwwh^nFcqwGX4BW^4KflEL8AEZETUNApeDgmt_VJJq7VQ zC?=y-f#>^pG7#hd!#|Nof4w&FYb7rE2=XBp`Cybv4P+eek?ni93b%J*sh2 zZ=a{j-qFg9CsZb=kGn)ZX0|HtYMwQJpjiDSD42K@#cJ6X5DkMow|`W_>%!c&;x?K# zt|t!Ec|({&PGJb{V)07lp_2BaC=lhr*JXqK)(J5s8oaJuN8Bb{(#K1;Jwr$tyMbVx z=!jbk{Qr~#{ax%!foz8R898z-i-)e~a}suNOgPY^%vP>;8gGp8Sz{Do9`Xp^6#fcY?1$=?L zvIbW{1HCppK{7TYin?%0C4suhT2D>DdI@Zp&+L9du3zE+kt~UPuM}; zz5(GTa$x2rx;+rvI);6%AlHjT`ng1UTTI52grjq3H_AqSM)AQ!DtzkqF(Q>7{jdzO zKNGRLus+qbWLwkuQxG3#BRd!sDTGDHOqQM0l?(W zt&_lxV6#F{xa`L+!a$n*Ee}GbTt4P+PT>ci6C3D68iV0GgbV8#WczXdnf%~E4Ppq; zttwz%%ZU61xYZf_ASM6|u7YJq~i zkzig!D7IODV9xG@|NRjOhkpmR1gM$FmaJ>nCr#AP({3+oR~=)54$T+hUk_k{T$4CUt{> zn6nu}FLZCGcLv>QfvdN1o;fb|cY%jM44mYexPhr9IYtK}n8~I6NL4#=QyiC%9m9Nd z3>8phm8C|Yi!z9o+rYlmSJvuprI6M1StvS&E$f*5?;;JAMj!+*hr4~XGgaY|o;0w|Hf3QO|_yCftP7%H;5K4nwz=e+XRrvdsk{w|bmYyq%kqlFQ29IfQ@8NRY;;!I7hyz=_-Jt^>Y0poX*wS}cEW`+dN~a3_-} zu;Wfs>P-J2+_s74c+a8BT)As-Cx2u73!>W@d>z6KXG_wZG8+ZgXQcD< z2P=$S9jHZ|+1CRla2evb48V=2kG6Bdu$|DB;P7|^dIKau67*MKhxVf1D=Us8B~rxi zWrmKPK8TP@7Ud36xJu>0(`_g_y$W}FBaZEmEq0fGB#Cklbk=c4VPpxk1o|x=Mp4ph zYpQxZc8$S3+A_uizK9K4fG)@NWYZkRPLQvUjob$;fM2`Ra?%l*{=to?IxM9H$?zgy zlfPio$^)vvKF%#Wp#2|y!V9=DwpR_+4>!3HkvbAZ&sH1Q%?%JALWIG0w;EQc<{~IQ98C#5*9DnT7gR}q1;H`U5|>W^ z-2JpAQik7d*3pLxFPJ+YjwQQvxH)PQj)l@y?vGgGm26DH`Ab6tg5n75Y#@u7+#HFT zE`HG9r~U^38InCew=o2g*%<>)@3 zlFO2u#`~RBHJewC-!|#9hTkLO;0-Ym?~FCnXESZQ`tg#Bmr3xle)qoQ3wF)0tdDkG z-&J}VsFS4D@v!qe+c7yK_}sM%NT2j@sXDoVD7>;>S%snJ;_=s;?Cs2v@P%(kKIwLiW6(qa=w{z@R$W>2yw*9Nu-m&A6IgC}gFA4j3LL>g;o z>UFV)i#0fk7}giUeXuyLG=x5bY=;RT&;-&uWsRvCTOuByHj>|QBaRzv2=oJukXWKW z4(aZSkLZAG#T;dMf+r@IJDO~eJP+5B)e0axYR#b<&VvrLzcR80{^o%F^Rrby=!l-b z<3>ab%Y>`1jG2xn83Ck%?8k1=fR=jGr)mf+StOyBuRZE5(kE9O#Ma z#D)UU^B5qt(XT7W-2jQ^T!-wGbo@?deZgttcfbHCWx`=Kl4fu>3Hsk!$YAD^!YG6N zZ<->;{|Z!z4TnN0b0QA5Ex(REqX{xPyBb#xT8%2{GBIVg+>S_96)m1!*fVw}fazbh$6l`|R2 zYzDkD-p-zXBm1MIgME!S2UEGA4d(>UMwHDbPOYn5`gLg3Z|ODXwHkN!uM0gBNHp~I zoa3)t`nuT8J#uKIaw&KIrK4ZJS<2kDMU$ON6HAK|LC@$Hy{X=p`}tm+lw_0 zW}Hvj*gQTzZp=O_?P1(}BQ7cgzG=TDb8}pMal@;rJoUv-rRSTxt)+ixTkBu^I2`47cEvkd<@I)b!Y9XR zE3&?sJRUpMCCXSGVG5(!2IONjf4wkGxSh)!UMvxA&)9&yOa!XB8 zZb%kaNED@3Ln3(~e-TZJe(VNzLzW9&7KLy_=Ej4IH*Jh3?h1GC6SOA10LwD@K(MS4 z1WS~$bHyPu1hxuiMxgaqBf_ZobVGLUD!Qi%hx?*KcfjB=0YzCNhKCY4had9#~UZDEPx5!iR%63CYeQjA*Nt{OL2$-RuE{N#IIi$=L^2TvgBLQjoX?9kDHO_KIlOCp619NeQ~p zZ-H4xqe%(imMxRPGR1z9Q+#~rxB(`VUJ4_N!NHQu1-=iiVo@Ee4oSj+Vtv8{TPQ|P zG4|}-(292D!D^1;fsq~CO)6lsoEjS{-+MUEoj)bH<g-oPxhuzA?RDhiHo z+*!0c+4UqAEwr94>^bnd3%5VI;)LF?LCFA5X@xiYvgNr86?|Lq6?sCKqTL)y8NWcS z34K(c&)z_u%2@x)sF;(@BbHndyU-1;nh;06MEE@+I|Ye}CF z;Dy`mdRY-%N0}VanvMXub$@yOWqPL`VOFqZ0sfSpxt^%vWxxlq_2{!2up;S8V7kD` zi<#0%?gTwC4)n);__{MNFf$Yz=@X)572bOXcn(uirS_Iuf zEi3(yeXCpVBm)|LSNsU^&4Lq@v3X&J#DS7Pl-Ay-i{tKK;=mm~I5P^0fJ6l#4uGtg z-FwA5<}rzVLhtbRyuN^IvIj1Kln8I!NV*2QV02CZ;N^qsDbiD-WQy}4FIa>fa0wWU zxJ!c2Brqi;ylq8GdlJFs%!yi3Ttk-26GaR)AFsh-Er!BUe_9M-R1>L5G%a~-7fASI zTG(2UeK&fe8;B|RLe0`dVB1H%bbi2weeixFk&z1*8zW4_DOg%hxBNUzPrMXJUeOa( z5xm6^YfI0Qcz`^WN<>kVRzL$GAv7aG-v!(NQb}gmw4m7=rey)3F%?RjV#B5tKzTd) zx1NY%%L^S^#Z(Nnd2k=FL76>66i1=ZzXBp5G+98e1Hjuqs4dC5Gb1-OfHICI_8gij zzmaF4%S-%Eqv1e$YrYV+TwD5hAl=cBxA$|3j}09*z#0u11%EdhUPdQO3gwMpZFIuU z@ZwIdzy^Y1ok5H3lVUqeTn8*C!o+e#0Q}z zT@pnp@7}~1X=(sM7${WDN%RZYycQ4~TO&A@@i}9y@QV12iFgn_-`Eu;&a4!2Gf0nB zpm^S(fye(4`8%nB+F0&dg@YY{ z7c3YIBF+>{%t86k0A3vdmb}O^lNxA;S+2n>ljz+CEiPbc-p_w$4r_hP)tpLn17Y_Z zwT70HPU8(Rh0ag|rtg6cfvEyI1TC*#Rn^#GD8GCP!pX;;5^sl!=nPmuZ&qu*Tr6yW zliWQX3;b&pJbXglziW(t8V~=A7+c84*ij4=U|V%$&;l}Fif18?3|}ip>--@>G%G7= z&3#n8?M4-uJqYFYaCwHwY4R|rR0ll&-;r%T!r3x5WN3hOB{R}bnq!iFA~&p+juu+0 zbd+Mc*r;%VUVl_~>v>MuDFJT+`YwRPHPgN=~3y1oJpZt*X;pyz6UYch!I zo{Ini20O9haUTK!J8qm(_a>T1yn#D63P2YE?rv?3?<)KW6e?L5V(X!DY~9}AaINgc?d;lmoy6U9{#5b@gIDf zebwNR0*#1fxv0_+ksE7H@!j}uEfGcR0X~$uGgqS)kDPv=JryWqD4;0z?`mXD$$Uzj z!de|N4xy7Mk51xuIjk`6_3>_9p(gSXtsv^=HIU3|Nitr1|3B2kE%SO1G~Fjr#xZKb z#`W*0b$F3P`9Ad*HMs&A5vA_YQ*QA5zo-dQ@>vb6FmodxOYzC+`EB!yp44WsME1*B);ee=(WlQDT4S?Xiw{CN6;wp3DV`gXGi$MOTM)PJG%CyqgQ_-9 zSh1Co=}|?m4VrF6*>DrIZ{)rM)4%KeWXVsjL=hM*(%=P{+u_v=@_*z+*erv1U~xdW z8nWmn#cW@tt`r%|Wqd3-Q5#6ytt?&yg*x!}jzEvk5OA^9@8wX6?FUiOHU(AN&_9Cu z9dr=DC8rS&vcFr@Z3wNjp^0go!Y`sF#%h7TWSz1#73o%g4B+ud09a|Pi7 zTcOc1B{LO!xmcsV5Gu(G;N@a1BdJL`?t`kfFFH`Uz2jp5J=QmR;E$q+S;nqd>VV~| zj=6|L&#BvaSexb3XT+RMIPKUtsAq>eiU(9}ZM-2BhmrM?&$?p|7wu6+b3!jl#^tLX z2+PXt_>;EF<`u-Dcdw=S=A0oaa%`vpl>IO*7a_Mn)G-2j+i$?wkL?yz6gTHQke1Ll z3z8nN7~mU=znHEig8~V9+Xm-7zg3Fg=V#z^JNi++dOQffgpa>R^Gx3XBeMul6MRr4agTvK^8t z{zXtEaO&so&Mk`?n4YNcM^99N5c5!n#zA2J8L1#@$TmLc#)@uG^_J7IDN*kY2R|+&8y0_YVzOLX#AXq{q zz9K&0!%fzZzuW>QW!CYc^8NI8xCI6#WAnltoyKGWFi*t&b=d!ODAMD(E3$Zj&3#nt zZ+LSw!AB?cy~WuT;MkEh2PH2zeozo7CA=_sY}Ihb6||_q7lC#;(4To9JHSbZqFi=0 z+Wp>sBW_avcZ=fIKc=YO=<6N8B|J$gPy2E~)hd%Ka5HWaZmfKvM>52@=AZoOhUHIn zv!QEAUw228_3_L+_GbILL2>hZoY05+NUSlH+z?)R)26t?<&~n=$K70O4j=w5G<#T& zV`-rYX^Ar*A9_dJ`(QNc_h3GAX(6-XdmYRCqVum8Q3G2pz4t43PF-475?NQ2M8C1h z(|vRCA+nDQGf z&6LOIe}>AA$`^#G(qunfxau@5(e3?!li72Vg7DC;wy(R+Eq!|OrbSW0+lw_LJC$B| zk#C8UrKv!zmi#9CA-m++t=c}KW!ZDx&qi$fUN(EO`JJ)$qLsM*@}SR;(S~os1`TOz zm0Nk1z9f43?&N*-=&tYPxA4vdePQK_?JGIZ2=?*i zl%sBZirK@-S+~wbWjg54)|XpN(z?Fx~rV zdMBDmUo%)PJ!5^rDf+1N);%(+!Ea~w%H89#U>mGzI+q>CbKNXpHF8#*hb=3H>0CM! z3njZ;FIc;vXAPS`xE<_=*74xf@yYfWFkMf}cIpjKcBVGX;Ne|0wX3z2K#+z_Afn}MZTSH{w&Dz?%QSHwVEQ#TkV(70Q$fP(^5vG; zR-1egoUNx%{tMZC{Xd%Ss}gcx)7*xC=%J?qut zL>nMay&;(g0;S+-wm5a;5^PE|Y+LAU98QUz;HocVe^Kb-Zw#hxjE3D;k4xSKILpr2 zt|-Fp@WzNRFu7+ufQj+B4T#}8_-i)DS@fYza4+7&WppcR{|?RSn)B2-AO*BwTazo<}3{Aap%Jc*o6qp+(J_!JnEp zMI3s07?wXJkSVOLxRRxa>onWe@M8OTG%MjK9*+-UZ1v_`_2$f0Vms1qr!&PnRliuc z`s=&Y$D|hhqgT?ds2l&%MvxEnVlIA&JFs_qxK7HYSWB_}$Wk9!=KQu40L#wK29f|?IiC>G?72|n5Y1%0t7fh; zhJzWV|AGz0HEpx@nvBmuB5wu-A+=2br#KJ6HNNn??58m1!(`FT9 zYRgYL+K^^b{9_q4mbWV@gQ@?QN^tCvU>0pUO}|gvGiKj^Ju**8`XKwVNAmT!$=tw;ToRbdM^B!+$#h)Y`)Ty_t+eOsUg(|ecF*vFxbnG7xZ)hZ)L#T6(sA_Khf|mHkL_H zW~jc1@44^ehXI>@E;&DPaOHm#&HuPb|8b^Q`WKDQOQkKXJ8n$Ug+_~oCx`o&FEtPQ z4H_`3ZWamDJ?C9L`;@Pw>13Q*@IKApjEhW{qL|VpnOC#j)@|DuQ_U%&Q++SNVDdCW z43Fj23%bEuF3Oau1-J4XrYFQjSgy^ zK9p&2C~0d<`fcvO0;#q&gk$lR#-U6{H?xIAF=^1E@9B~($konv36WSi_D zvl=1j$fNa^h4PNBr_!S9RXFQa6sa()Ar-p(m^yV{nmcNAo!Fqo(J-hB4Gj9n)c`{@ z=skZl=pD7-p8|yTicz-6UQ#i9oS)eO(eQ{hob&|Li-oWQR0)}bF>8WUytty650bWOM0;EWJZ>O ze8^HqgV2t*94j$);|?^?vR8~wzpEDfkf#M67LIm{1MSv89dS==x-LH{Rh7;xzZ$kB zUb1vETjw=uGeb;o4mW9!X0YC=?aBCpSm_@JUs^^a4BK#%yaSn@7=~@5nj5qt%U#jw z2K*%WG>X?~X$#TPcHPD{Y+ZfxE;KQ2%!N2=vllOk16wdhZWIQqurSz+!oZ!M^wn4M zdB3;pd2X80rY>t+_NduyHFOx@>PU!0zfCMS)1* zWVRgIf);EevX#=d91@96KhIC%QVCW<6LVRGCiV@R7<){58AM{oO_;2-@P>}Z8*t8pr%D7_?}`*9Z{jx0c{xq4lQhHW6^0` z1`a*mKsn=NIE+PWVH>v6qL?w(vP=YTJv7_l*L<+MC+X4dz7s$6(lU{?Ud$dH96fXv z!b{YG@oMCi~Oltfd-jCgC_YX<*V z!}dDt_aSpUCrC)lIAg3CTz--1$?JoR;6aS-PQvXNYT-I;8#cijSo6Y$X-EzUh-EO4&)pau=wGzZ$U$0%rCcdG@T z1Y;6fvA4`<#W=7POF%1@%gg5RgCvAEt0`Z@R(PTNH4EFc3T8#0Yc#V>)Qbq0i-KL z;Xhhx)r=)rgI$iU~vUb&Itd*x$U7k;bV7mWONsz=)&9T0|xtqb##+i{& zxGO4mC&3@AiyJRnx@a`1sn8joWx8-+>lE|+ZfexNvAPILo6%K-Lc3_&RctD`z3T{` zkVm4Jz7HkINA>PfzSTdjnBpD9Q_|EW06s8MtL*kC(^{^|^nmqr@9fz}c#x5u1fw=a zLOO~%IsJnw8O?MDPNJbUztB!9@>l!YJ{05wiY*g~*FL`kM&Hse`hu z_g&FBV~+|j_t)j|?ANrs5o&qk67Dp@=3a!&E6F_EO-lovUU7dW$uU0h&1^Q1pOCY} zD?k5ab4byOZLchEq~0B#R^yobrdc%JU&z8{r-gE(tPq~G6XvBuLT5)Z4#GAeE9+iq z0(Q)R+HGjrjujrAJclV7hZW%PcDf_9jpdvyJ{X*=-f4^lBZFlQl#Q#pWjC{Z0r;TB zor358Rd{4ZmqwsLPoY7ZVNjGGqnSo7QCiT#iZj8&+Fnz@vM#&BR|Z%C2@wQ~S@G0n zZC(-|K*G2fJ1l{%09u0I2T~-w^v)~~ZKP=L6+TJGWOlqHdkC05@#0{VcbQS%H9ue} zrg12?uG0`pr4as5J+DK~b_S`*DwTvHOBQN#Yof=YDI#BNIyn0SIeUYKjUAlzN6wZ; zGci-L;X77(7_)aw9c(Hluo<)4b|Gg)wnnA*t_tUQRksMq>7wP3tcv^pKo3Rc(gkK@ zY|2e~F3wIGt4pRfbHt?guj*JSJxGW8lL7DT1W1Q691DCkpY_|z_OA;cnJi7CHq(RU zQ2R>hK{^x&mbPACdD?LlrRe?i1h*YG#n(EYfDB3E-EsY=Ix49k(b^t-Bwg*j935@C%p}mi*$rcw8a*FXhF5z@_QG41G8kSrb0Jbg+5vW(~cW3?k%<8!_iDM z^f@i)fI;b@&i)2gK`n`ikGV7u0N;>t4VY$tDdfV_+-!6k^x!*IdR&pbJDil3dxmgD zvNPg4#f|ucA`#L2R>}DqSn{yZMd)JT{qNG_);*5~EM(TeKrP$*98#QlYlNe1`er5n zTY9{dXwy{ifSDzq0cwo4jB{7Cg&}`UZLh5%itnQVOcLRCURh^u9t=)W@6Q$wkz%Y(K$3GpN696Z7WsR<*lOwCWt?i7Y>!7O&oE z0LweALH8XyW^cb`X{JS39+M?<-s?GNWH$t5O`EhgN+ns6t#`Mg1SQE`^K>-|u_S7t z064MgnNpOk6(I=2dn}{xW-hhI>I52Uq05!JjgQX?625J#FN9@SL;X+np`9_(nPzi3 zjnmd8sBXGE4D$JUuypHWh5XcZl)FY3qS4m2p{4bkMU>WsH=*VMth5Y!ba@IM_N zUdIhd`m0KCYF)AS&Cj+;ObX$}kLcKZMk1NsgPySJ@mYStw@}NsFss#YB7<3|g>5-m zXS}ka>kHd7AN__p4hY<8j`O@V-73M0Pggzrlk|!kQ&HN5X?fE^`IEF2azB5YI$^4e zo9*WT$Sfj+O;M}ktZ#A$zWE?Ma^$;!1Pwg>J)WrUgeZ-NT>& zrk#KSZ)^jZ>w6;7=$;!x9)n~Ac`V%K4lmnJg(#+l)F_+2j6@YWJv*5|Cev&k-3E!x zY{x1KOZ>t}Kg+oAY!7hrVodxbzilz;l^oP627E0Y!T(4N6rS>q=lIy(w@BP^Q@k3> z^V;s-MJCgocSQI}qO|o@_n$r=(p(y@UZbR*UMnuCXexN2GdeT9cn&N@c@Y-U&0`qRJho-%Xl*S{yEGiu7`7EH4}>E-v_y zB>I50<(tmw`#Rr_&2a2EZ~mxTvGLd4l&fU7#d5qUMOlr0+{ZYiNf^4ow0s4RCDFr2g?Pa|i7Q(KNPj0YnkDSFh z&PT1CF$*KK?thtZ{(1Qunwt#Dy^Vv{jfdBDEJ~KI`M6h+rvg7(>ifROY9a9I(t~RG zb{gM_C2`eGKbfQ2qXxRQ3f;~N_xzTA!Yg%sFCBXPEBC{%c>+UIp~?^4^&01d%jx&# zyn7|uO@7wr^hGh!eB1TKPua1L&j_15O3v>r)7e|Rr}cQyPnma`k$1v;3U6HKKJRBz z8u(gECW6>ALm2wiG5YY~q?V{NjR9rkQ<3Rh&TYYjnbmLW>?c?Su6<+_?~NX|+2CgU z@J(}vc0P}<5m5k2fP{Yy53EN2yuO@K6Iu#n?-wioJT)- zbfWG7`@Qf3m3^~3H0R4RK9c2A28)l-<#oM{Vk;X;c$OU0!=q6;{^6MGx$nn$9y+rIib|OG z4NGmysytDaDdBZH_vXwms=)q}rbi9x0v9I7CnK1Dl~$4Il#9K5i50HB&z~*L9a@rPqts1 z=X8I+zv87G)6&>;khmH;-g&*eelDb&68uwJEF^ZI2F8U#KcEIau z^w7_WmtxP>`erw*xtka6<}tpp zIVxjbnN6h_3Y^xXnXb1Sq$98wIW%Tj814xw703`ju<+_rlj<%n*AVaZ2P)Sc|7!gu zQg`6v$!13u<$4jNftaC7xCPwo(H4rUi*OO+>$J~zasB%@b{Z3{R~2c5$}D9rnA#nW znVeVDl!&}`i@}axtAs`}^hr*mU3z}pKxcJX@Tns>cGt{jpDt5RiB9KCEQ%bwm;ZW{ z@+dZ&{K;ND;{MAE7u3|)z3+8$lNnFi9_mo4+H+xj>q2mu>{Ekq(sY{LjtloR;su*! zKi{ttiG4ZuVgGwV`L%?s&nDKQ+J45Lc|Q>Dg^7(mHSvf$Q~ovNrs$7d~_wZl?XpDV>a6J)NgTN zan7gmyYJqb&u=DQF1duFdC=;bPm;yR7}69S1Atf9SvS^FCfubp5(C zv*S#5o!g!zD~pRCm6o^$x`w}6Ia!`_bG5Q?WPqQXPuVdL#3Z@JxUrw)qzRJJ|NLHR z<@ZD)gXmG%O>R*=i!)XX@ZHtQ$&FhA{`m-lsE(DTt;IfPPi_-2_=S|56t}FT_(=xQ zBUa~}-Ca*xont_MujlG~THnfz+eB1PObXr&X(Z+K`W;-ZZ`0@f5u#15oQL>&=I2p=Q!kIp8PU$;q%}lDx50!-&gU|+GHf; z!`mn+k#}vBa=k4gUatk-Wxe|_Il3+9X}%B_?UAEhMdI6N4O9ds7B0+vnSK{0<0|mw zc3e>xu4&@95=)UNuIPTl3p>Mn`F!iLt3~9rPk9dQ-#p~JeJ8WujeNX5Eb6%X+IQ%h zdUhgaAN9Nb$%oGS%AHvs8!onA@E*@f3nl1>i{IRPU%e~+`URz;>}R(d?VK%~gj3GH z88qmyR)3Qj-OTXu*O^SApE8E8O?epKd5=BgIHGmf{rb4W2K(-ak2W z-08^FHBnBh#fACXNB4cMU;H)vuCd4{Yq0iq{g)T~#kVCE%tgBP{<@IcaX;tgQ^GSN zqY8ts@rQJKUpNmsvATErm3Kc(*<&zMx-@7|qP^c;JhH^^>{WI_I`@yB;?9Gs6U)0) zC6vn#3+8_Vvgmy}BRLl|o zxLK1&B2(YW;?L`py1q>gx!di(z{7CYEziegQuhAOrJFmagsZnRTKuSAB*e&7T~)p~ z<-^IAvN?^GGxV{?r~5Zk_U+rW=lMR|h1Bd%SB5hVzkMLQx9nPlGsm#cB9jjH&9H24 z&&P7*07=bwfpm^CD&~M>r;OSf5dAc36J`=rf*@hNq z<(m@lsWlynrvrXX1SFZ+(3i%Ks936dhP`ontfmzivScy#_RL)C$r!0S!)fa`Zhkf_ ztj}FSzo~K8ubAiySMpLa!ft7sUmSgADt>a?WtyJ{nCW(8Y&63+Zq(&?Q60!AL_A>| zBppEG9~yp5v)2B^X097$*UeuY5jbxb=C|kjR@d{@29IaRH9wZxvbV5LRs9H(2}!Q- z3_g^e658;E<3~@AIvsDSwA7|oBoVLdrpB|Z%oi&yVx*G?QqnrC&t8rB)}i=1FQW&y z{rbWY&5awZw;j`q#ecr)-^yKZDQYBwJKd90d@K%u(9kkiK_2J}7P@ZgJTdM; zva2rTAUH-||47c-VpV^NbRwx|oW9dkZ%`x8w4lmsZob1K>|hRGi0=3Y%hMrQL1%3_ zOrq;|yx^qClH`2qE?AfzYC#s_;JtFHXf|%3xTo6TT+{xu4`;1*Gsopf?@>@m(iPrt zzCnL)8~JtBjfmHWeb%oZKPAIb|D-?taTmAic?$S2vyXpRN0P zcaPNU#a(^dsS6C&4ivE)zgRDR*f@FC_nFbweWtJ1=iFCRk)wWpvx+nuU8H*|fpUR; z^`)yyt7f9lsyy5EPS4ErO?Qx(U&I%U2HmA$sVUEzTUS?y-=Y=0Ulsq1x%Xw2|2Y5n zImRf5^zEI@FT*>X`ri~qZMmBD#zZ}yb6>vMhRERuJms3l4n!HL!6!Zk7V)Pg=V+=u zpYcvSBOCX9yP(D|=AS+M87i3%!oT_@z2Uum=)r)A&Q#h$+Qnuqg?HAfK{}S~d_7M4 z5B+-Xs54ehw6bS663e3-6PhyIe1EOR=7*;@e$H-6WP2mUyl2RmW3YQ8;q1xhpKIbA zQ&Fefew5#kd zS5vPXNXU*XYtB+oS0GfFpPJ8Pmu#P0NWQk4)U7Dc92>To=e!W}Q&-BnDUJKw>7t4W zw5dZ3jaOu=-oI}MKJyuSYZY)&Xb%VOCN@L+GK`)k$fw%o^u`l-uPN}8d2nuMK zQ!Sx=cw^hP58L9k68hh>l)aKQJDSz=aA;JrILRaGcDYj|h1ti3mqL9-Tcj4b>ENc4 z)xt@~4t^K69SPhReLBGFV}E0q%&FJbgWZ%f=Z zG3C^v`;?HboPC$KYz#6P-5x+^7@GBh;k1F3*|&8OTRL_XKNV0u)-U>Q)v;Hrd^TTd zxYa5m9N#v2VE56`!TbxNHFpEP4s4EVH5yvdxX~4n^LA;Um4U>|P`7pOXtRsg*Hl|s z(!8>H{M;=4xwm<|Z|Q2o1-Z9UqAzZLd8xMhsfSgB7wekC>5^;T_4}Q+q?K>p7jE%d zs!m$yR`hXl-toP{LVE^O#v{v`f}*lq@^4BdwT|2TR5mk|NL4;Or1Gfd$acw4-91)M z4~7_K&e`Ftc|$$zf1~cYko5sOZkgb;6)jxDmaIPHSTxdhStUtH zv?QxUQrA3XFsmk4eE4M@9H?|#XRUv)ei^&2P|zh6l@bEI?klBNy|JBQx7}uXKMjpeIZ(;CtJl?4YIM>BX+=Jt__l zE!Wmds4ZPdk|t79tL{cTStV^#1+qEHBTisX; zlf~(U2$5W#U-|l;oNza@O!$0aS8C$SjHAo*5l!drRirR^Jx9x9Q_pD#)1n6=%Os6e z)jl{n&N8%e9oe&PubolUVwAC}-r=%~Q7R_S=lP4-zk zTx!8$@l5=YZ@Pz{UEu$g9u}lf63xBkm;S+f;jxNtXI-@58& z=50}2>6czgNk|ZHRGiwO#d`}MUfR=2+0t|A-pO+Z8#sK!kB#l3`;odOtIdrx8}q_p z{_2~qrt90T{aRERa2JsGy7Sps#h<7Wt0CH}pgg+uM7khJV_n+E;KnAJMozH}uBLkn z7LS}hZ4sR7>$^9s;r)ns+a5pqfoUy+bAemdHt=pXYHA|B>>_?!U7Bzy)JeDYo{+rc zu&(G%?)Cdzp5FXM=P4>2vE{X@s8?JeX-J}$(y6a|sl*FfddZR|a?nvE!|CU?C z(VjbUVm}ILtXUVN_a#b+9*kq+Jj^i2G^DZJy~k|hSVb1?|D)}lgJt=;Ezy0pZQHhO zTW9-h+qP}n_Sv>=+qP}={(bj;@Aiwn(f#5@^dD6fE2}bBX0DoZ%{9gxGiMCvIS1J9 ziSUl3kH-u(GFDagCzW$B04}G6jVwcDAK_=lQD`Y1i!12X`&p;UPikfws?5Ph1q<45 z%#$7>bbU0AKo`Lkywt#E*KER^?=1;!hVrejMo)bMiHcIe)B1CjzY9R~|6Uk#)0XcF zQb;yXYY6#|beKjcYo_(CdeM$~+qPBin6Taq=;3MX)xhC;n-U3QKQZ*c{Y`n%WBUR! zp|()!ET@nbS&_Z7*wLJs*83H2i~A&V4`*EOr#bJs-+%aFfQJ!*en>TQX)Cc#|G5o2 zJT&lD2X#O69^aJg{^#@kz2AB`6@D0ttqkNw7p0`dZl(IFw*hIa5~9BqyIkU^IQxCx zn{O6iZcI;bpY2U*jyblQxToN^QIp)A!l7z;i}IGXzf}g;p2`dpn(N0*Fe+a|uMpgyz#AbfpobZ^Fx+(1 z8e8=wEYJPJm9y}LTsl=>eunRBnwu%s- zdqcGnMSk8&bD`K_y(%_O3H(F&WHH9jQM@#A2Ke@s4W?z$w&2r4Qingz0j(FlBdD9M zIfvvhozt>2ZE#}0m7$Uu$Vu-hKp&@*Y>yZQ=+fCm4k}ZVjG-}j2_h;>P4gEVE8^0k zjs(3a1qx_Kr4M%$clh)yNAlq=u&T?dlpjP?)?n0(ZLQzLpEt_o*XEI-I_NqxNx>*v zz*>_Nc`!Aaeuoz~KV7zK!W-r>qNo+J-SnY3URD6{65D@IaeJT4p%s$33uP~dN1G}4 z5vv-;gOT`j2K1R_mxKv8x&ih%S4^f(oVqPY`cFKXAg2kD;G~_yK`@3$axyOCDH_6} zH5yKZBmR7{7*37n8Nwzx{AauIXWJul$jHy#ujKwU=dzdiGjb;@aWIt5h5^CYtu4A@ zOEw21uR++S=}pSgCY{_=C>cG%@fo}JxZ_&^Qgo>hkR=Y0F2Ig3diRm8Y=Fx(AjP@| zzt&NLv{{|ZDNHL8@iNU?l6{t2P!HUD38x`XCYWnZ!HNWZClNr^O^Z!2d;9od0X5M{ zPp9_5Xk(T0eV1G}pm$gVtBZxGiXMnn>-&D{^>=M}EsrAI6mhalUOVAj{M_oR!_ijFFx2*!-aaad> zgrgDLSU*3DG?e#=pj_tK31SJW?@JjJlASq`Uk<0q?Yysrv9worjmohR3Ju#^!pY-D zcFko~-~Gc0n^?=HMKOVYlwbI`u5)^09kfl%%o%J1q$ z)L^~_mLLn55c!I~Y|0f>Y#oJt1T*EwvC1-N_Z|1!(&afS@GN|pLo6y8B>59S;oo=e z@2}Cn?ipPCs7(c}+gCMTkT{3l);h4Z^k|Qdq|TRd;q<>p6<9*Nnk`ORhRgV%_>PKX z^9GZB!01V3;@|pt_76hwU~PLNMw`$wgld6^L}!`8L*uX`^ovO)rkbWp;*L>l@1XKH zTNAk#yw`{%EZX&!$d33@D|b;~{|;cDG|&nG9`kiR(HsqEYeTtSLY}mVn=j{%zCI&z zqjw)$|H*mTk`hgdN$T)#qm_kLne$jay&klWsL^<9>fmE36OmM8V*7abQWzl;%LOK25vg z@xyNlYJ9}<UeH#uM32CQ-ZppWZo5WtX6}zUKX$1&+_M#4 zn-e<@UA6lJJsu#eZ6*Ws(swBm_O3PDW5B%5TME$W!sR1Tb6lyeyW0O}d5~@;gd~#J z_Q(?B9NjAacNO_q5Ir0NHc|^eb*-iK1eG^pMeq7Xjtg3+emyy28U`9eupzEzsy86y z&se~994=&><6mED4yc4zup@B6gOcV$e9tP2;3 z(i%5*r!FS@VM}WhZK_s=+Mr*4FvH1V^TNpzC)T7du@aF+x%=P}feKOkQ*d#Mbf-2j zeO;QHN?~HD*t>j4=T80z*kp!MOIwVw%Z~T7>A&?Z7WwNc$8t6X^GU|MB+__qY(fqt zw_{o4xw7mxupwQnSc>6P@yTOuNX)5~n~`k&$TQXGryL8(&> ze`ZFVv8*({fMZ%;HM{gwPMONWfd?{$xhWBTZbK;~fwDe;yvaMqa=BT zm_A%W#HmX-Xv3n}6Z)$ykB@3#sou9L%{(4H5(n5jCl41e$!OZ z^T0&9Rviy1nYYV(l!FU{M_u2g>C#MiIuT_tJ(|nFHPRDbOassgc=}KAwamG9zr)Ko zjiDiN(@5QZr1XA)A!Y{!K%fvev#ZW;)rkDIe#{>{1Z?tOn5j2+ZMt{hRJ3_gc7i|(P_ zr8xS4Vfl#a6hQA(BJ)%o4>OyglwWgl`iY|Efn&qCZomEgy@H|wHz-uEi7A~x%~PVB^{Kij;maxq{DX8AUyT#cKM%1i(@0_bb0VykYMN#t|U zYO9i+<#C#y^p)tM3*B%4P0e3#TW2zk#ZmN5#|a>KbwBLAMlI(RUOaB$a}!Q$gx!G` zV($dh%?N69$EHZ#$b%VVi@G)w+fos!XX28HsIa)!jKiy`9`G^!%ojLYZO|YgABM1l zu#u@AmtaGEH5Ha|kD$@)s9~i5)M)L4j5w|kq{q7m4BGUpkz62+e~x@+?kK9_@mCov z7tuj+Pt^B_Zcyv5N8Th1f=l5TJVw>dl13|#l?u!V$A*g~w0{a+;O|QO3SRK_WAO28 z%KD-L%nH8sAKFH;2Rf|wgP^O9D4_fqaJk-krlvA(18IgA-Z|_f+$}R-9+MHdLii|L zQyBnrxobKeTi4j;-t!}XK59uOJO*RG3(}u~G-nI@>-rAcRWK3LLv1(q+58749eX*htTwiU@BwAVG?RSLd>;zyiT7^tqV z$9tSUXh>88R%4vqj+hXR*A-e>FQU=2k+I#>OF#%g!bl(?zL)$P#j1154@8=DkNu#> zK|w{mgFD+Rsqqcc4f)-kn{ohiHM=9A|IkPu;x>Y=+N&3Rs=gcI=@SJLqW*bDS~)y# zEl2lLX00WEvBrjA9F&Mvrc%!ktabAW11VN5nzk0f*0Hi;PyVe-kDeCLR8&4h0Y`8# zS4~_wz=(ZM!R*~CX_&~kVS8%7?0yM}=^13zHSUuYFY*`Z@q|Csf~`viWg7@MP4k59 zpJq}IT%>?DJ!^wEo?pRd>;(eCLwZ=k8TI%ig!!1yk_jdHuG0jR4onsreR?16(yO>fa zu{&92B|#K{*tL2xM%^dQwoimFHMln+T6Tz&VWDpy@Dya8fJ!dS7a5PMp{KCuevPAByDOxxYiv>o4s2YYpP*_4y>A0b7}I2#PJQT9X>AhR9l0ATvb<87RWE*Rs5hGGx%lK z=KlF_<0i&{vEmeQNr+ANlQ4p-ca=#dd6o=(^}-C^r4c54h{VcSt9M8uR>wKV#eV!k z{`dFH0aEB8RBA%8EE9e!dSE)=zjlq*CyiN{A%Vi;Hpd68Yq=qRF=z-YsaPjLYT^?e z2|0-kAPsTb?Q@Mcktm{dd=<=TMnl$QynzcA(<$mV`Kmd~jSQw-wdlDhRyue;TO97E z@R{C&rUtObOkiTfl!wOWj_amMYLhji5~hM0M6!#-OS8==bF9Q7Qb~~}T|0*~PJnby z=N+QJjrna`qyyrQBQuT(?s~%!3FvQ{C&8dU$GJlZ(=nNY@^2c7Jc`|3S%s$|6whi- zJ$=o8Mo^7J~9jA zR~1duE0Cs+3)S&}zsX#A#F|vH)~tzCEzg5exHxtaiLWA6xdix1z~eTbj&e^E4sA+| z=BKoYtYw$w68)f6TXU%GB^7mG6D)?j0i^E($`=RV!!#ktn?uf7xyH>V_JOP7NYKR< zpr9~*;CEutJoW`?4uw^3QZ|aE1O0x!TVW)yLw~0%r^D1O+8t=6%+|!F&!r#MMd+UQ zFYCJ}zThx*r{@0~4)-4_^B>Zajfsinzo^iEsMLQ`q5roTTq=eiMwR0~3ETgc&;9wu zzrX+g#_j&Yns^m*{zVGspazc-htJAp54Z`4G7U6$vh%3(AUYgG% zO8CxXgZ|EMK2D=~ExVMrcVA|%b8drRHFdRjU9f&OHCxwgK2~|$&HFemf4BK2&7Kle zX$_+&&qm4!R1xQd&8#^>z~PHQw1#h)D7(f4XUU%5o{~x3Cb*q$M5|%L?4b zaj?2-pa*gQq4cpb8=Q1Cokm=HlkQadsT<@%2JjZ$_df=meL;mE>HLXNeGrix&UbCG zRq5r4Yw3PD-Lp}1kfcpqh}v8`8;cTyId6)c6OGd2g1#+o_S(^35RvS@#g#^Pax&~5 z`>P?<>^=+{aHT|YEh3T3TN^*nQO2{WB57kBpO)HLHF0HJAKA^PqJ93bh5YvX=*?*s zLVhJIq}4@*b3yr?gN2&Yg3jv*REV3g;2yemiu<(TEy@uaafWmI4X2%$1{4$P51P5 zQQigp=syJ{Ix2epS9>>?o7;)RDnaqyT73QYm^Dv?2SQ#2X~y%AfljD5q6prb^r)y< zV-Qpyi;Y|4covUpyu|e`J~=Xbu+^%>K|`z-o|+dTM2w^FQcQ~HRv0^{k--hEM_n?r z4(gIBUq@^vOz_D^k3@at4ZD0F7AQnMc|nuAu9=J99sNNyrp!Qm;R%|Pl$%8Q3FWq3 zBE3Fkrck^yS|)){(q?HG^5@q_in5JPn~mAByvrCq-7Wn~R5S`5BQh8=_XoCZkU1X& zJcx1B)HZfBAOqrPjd^lPq2Mx+qaAz84)&kdhpD&p?-C4HBFfTft`EnU5^M+}vtYTuK?cXv$Lf??i*y+b|VVltV#W?e)H_=N7v z`uTHlZ+4}Xw5p#!_5tN`&>xLF4TwxO9n12kHXN+ruOV&cSVXwxTUi1xisb(liz*ka zjcT6Z0O2A9*`1ci!(SPOREkkHad(W9N9@j?%BVYgp~(M;eQHj&tIz0rP+zTsVVl!P ztb@0K;bTPDHcKAWy{`E}B{(D3>w4^Yw?k0^PXVyCMTKJ1|s02zg+)#~eTuLzy zt2InGOq_-Tz9h0}{;gFaMexjVAo+h`0{cji$unE-q%9ta$Vy}pMF;hI53`Qgky}e=7gb{8Z z|6;S}mRF`ClN*WCMyAlIC^Li{to!_Z2kLq<CVw;stnQ zMW1lhvJl{$K0gXoS^9G#;n=qDBA4$29eg#d1L!=y$X4ba)pb3!m;1K8mFp-=(p9&s z>o83=emOmz3#Qkl40p;k_Ja+PW^IyqSUAaic%{10HI+=JZMr>cgG1`%^`(E#Ve|l{ zzP@x%$_)_j&B#EpvRh)k;4VrGT!-DDLvaE%2&jZ9J^;js*(U#~;ns%`f#JdDT&KtX zGmd%C$8WOg$9EmG@H{dJ!z(_{oN(c*iP(XDo{wHD!aL6zbxxEevHe_R?+7=-l%5(7 zFpV@hQwh~!Hn}wnG}rCOJb#~gapBAqI^$iX9eM*5K2ORnZ7W*A^Mt%h;peb!x!$P0WMCE510cAL3#%P z5(=ZDD+>8@3KFQ`!(;_3Jx`W*lUI^yLN`3vO>v@hPQU^oS5m+=b4 z`AOR@4uBy=gKIh;ed|!r0`LdCh0UFFmNdpxfzfwwGQzZP|L)>rqvo{}@HqhugsC(~ z-*{maDF%Sk3{h?4a+KS~*-8GF^MC)x`BQIT!=r~#16wRU3K1CO#fkzD9)wg1*h?9l zA+h8tR$|otthx-NOV020=clX6WvFlq=xf<9&#E!0O0czV5fsd~V9eT^mRDwpuj{cR zI#)8;ZZ{KY;xlO~MN*3<)ANRDdqwh1r%_6DMbWy8;CJK)@r=YZBxvFE86fnmpm%q; z#eA;(S{fP{;;csYWoZNPf+b%G{%WDX`iMX4Q7@(5d9}?9mK;5>48I!cTJO|}eu!@z z4D46T8amP5lEiK_w#3`9qZhTIrcD(~e;PGn=ySHN0S-8GF2jz#Q&VML88!a$P3`kP zu>94pZblnqPo(Tt8q2<3I2|1kODKhqoHipFPAtM6H6en|y!gr?VoD~`A~Br;hLZnF z`5=SKpz1`wircXA#JsX+uoyyoIr)H_7_pg}cCB}C?VrQWwgWdO)Xs6`mqyjb3m()e z1{NkAFvcWd_dO}JrbZLTu)ETe>=yv1&?GQQa?P-q66??i#;L_4f96|L&`AVYhb}}E z085M5ht6FIG8R@R@~9_!tP}X0))sU-ZqHSXS3Tw(9g|URi4T(Hk2~?vCo7l(>0yi$ zd{KaHPyjsHZQw5QP{TUdrVAdl(Fx8;+NYg=kpsg5oTC6eVd8p(bJF%N4X3YtVa@Fm zh4SdPUK$FY8vD_WkF~EFP;z(L)Y&q)YI=N<(7uAx(gS;VATE!0Hn0OOASBYhD0-ua zvB%t4`ql%f0i*`aBOi=P>0=;`0n@R+eQZ8IVyF+zCHC1g`rzQkb%(>nysujWNu#El z7MSyQW|o~gTa3;{NS+=#{ZZe{yiap3-!_wRan$OjRW4h(SnhzcF_&wmK)bXBx1I~r zMul-&prSbLzc{bO1k))Z+aA9-&QMXS@df1dPZA2ZieNL0x9gb;hq1O$2%r`hh3QKm zNGANc4bni~CkF%aTn@)eCi)w!>zy4)by*?*jmyQjm`2cXDuze^`4fwbi$TkwmF0W}QD z#{?7NT1;jwyp3ykzbGCO$ls=lnw2&v8qhbw?Qp%63xAK~p7{~jliNbC*CmXY5w!Bw zyb&X2Q)t!1uz75SwTuQd+xe2X(ujGdWia55BkMonzb9 zAXJ;q621gH&R4Jb+d`7kw|ZBGO@yEO30Hwtz<^S70r4AA|I_;z6KT)iWQY=tI?DJg zrAA@B*5onjIYG$&M&>BVMrJkrNRx&5r05{xRxY`x23mKGs)NYVwllL9;4DpM$+R*aF@!tz=r(hlBSgN@ zcjT_L92pfy!_@7AqM>0Z3gUA8gin;Y21|{kq5LHDXw7!w!@B5}9U)0r{s_xL`SmiC z9gCm4!>kmgJrsk2avOUgs_VoglQ&rk$3&M**<$;2Jdx!3u@ zz>Zb_Ii204*j+iY&Lspd*%6H~_@HHN;7M5fNyVrc+s#HFSadHhd&HlyFT=2xqd-Qi z6EK2h(!zvy+I9D===1mVbF|@i%`6md=p^mY_5Jp{pGmw0w{;(M%Az!M{ZP;ePgPg% zDSvL13@Mm_qb=KI!E!*fq*L8nNqOjU?sJ}%t5@BIV%p76O{D`6vsJr{Rdcuhd00~vA(8)FI3{3iyrBT2Is7_CKWe#p6p#S? zDG7%@!w#Q*ge#$2p%|L^K=bKJfl(emEpiQGsnc6mPWd$gtdmWwmIQcwBWQVo^Zava z5h$;Y_wnV~mIy8)-*w3v0|0&;tI$CnphyDNol!y+`5l;`B9?5I_ah&Cfd)Ib)k3g9 z)`Y@|4N`%*npwU|&%{z5D$D^Q32K}<4z~>D_j#|2<&1eoFDzg3u|vn?85w#r4Sr*3~QXf5K}ytve`Co;eA-_%)3d)`NRqV6GeqzXnRgFKMvWoln0~p@JkQg`%5x2jf z?o%y!>6+dn-Ae9+*4BP^`V8K~4?Tz)C|9-~C!N;!*^N)4;9(Y73d&(mz^v#IUyD3p zmZ{d7SSIB)h}$>W#u?sIpvSlvWQwtgt=woyu+sKXivVqJ8So{~nvuBig$^>Wq4%q$ zf>Nwe0LK2ZM)9VK0{LAVawseJ4f%904xF%}OO)}KbkjSSj1#_(2}-HQ!F*EDbGmkCUXDOB6!-+29l zSzT=5N$V}4GE}oJSNae|e|n&(hvG+Y!7K-Sko1GbM#AT=0Eep^Uo9@kYmp&7NH?kJ zB-EEpBd-6^!!#)sXRq^d?wtObmA>9@BQoO6<4>lMeU zG&C!qBsi3KUo-Q;w#K`qfHc}_&PC07D?HE-E`W!$|jy1$h_N* z%PTdgUQRh$9c zG7zh}30HVtFf)Vlg7Qw;5CavYi^Dn_Ie}m-#oDco480)_v z#ecv@76w+f|AHhL|F2@KbP`rSnj4}2zhW6WH938ABZL1?*_c_eFyb>Z{HJc`r^WQs z`bXsRFO2p7t*iM@>COL)z5cV`zp>Z<0F(cHa5^O?eaC+Zg#LB5kaV(oRzGT?fBJ)@ zQ#CXE5!?LSF*FR zESLq=3bIpVhiSjxswA&<+M`(EN+F#T$RX8{Q_F2DS{(eiNT8F0jRkR2ORt zU)z8p5kOTJXA2+rvYZ3y+uHX)W@OG4CQSd<1HFKZ=$ixQ!nfbFA#xwJRzWzg;Qph!xqTm)?H@;4?;h7@4C^O}wEUZ&VHE;XB*^-w)SF$F0 z>!6?J$+7&V!F#N}l{H_BZ*X8vWkzPJF6LZ`Yk`*g?Wn#35v;g=8=dp;m3m{HP|ton zmhgCJ5Dg=1AH)2$C+<9TTJ9!{6%^)PozmhJXMY34rP^REGW<-dauL$*gXRhh{j?dG zsYfHMW*n*GiofCCFrU3+FGn>6qqko{n&>#r`Ue}Ewgt{s4hAzw{9c4iiMfgzWqQ}o z?2K=*SvlPmg>aGIC3o8#Nz1`Fy(K;ztHrZ#HXw(9cOb-I7&F-A{YUcH@d42MkP5)2 z@`83FcYqSh&5mvo38q^*QX`Ii8G7DsT-{RvY1ke*; zzc4bS#nA&~W&(M@8n;{WH4ZPQr}K;bCf4>ZE(&k!|Hjw+Ta(8|&-`Dm=6@CB{huw6 z>HmfW{y)Ube`kU5Y~s8BSfDL2tZ%5vU_6m6vL~{X7XC#?sO8zWeUPXOkpB`mL8Zd9 zREa@~kC$rEv)ra=2AFaXCUUqSYEU75-P!O4T--{AbZ0oVJImvrf2=U#k5wS_Q1KW;~rV%d-lSX-6I$G7kZk|DbIgB1@iyI_QOHrFMg61$qo*1(D1& zHuN|UpfoUWli(bKR7*Cg!8X!p}-x^aN2*2fQI~7oXT{9#; zxjtX6A#}hjlGXNGJD}q05v z{{cRh%5n8Cb`hxk*?u(ew?#t)$$rN4}JL zu{dK%`ips+_x#;>-{*I3)EyTpKgDA*e&@~&IHAb@hV>U!$jexKl`pkz^{1ZoV~KjK z!JL3q*)W`6&FHXqg$l(4xN`#9d)9k}ywu#$u*0iB+JToYg&~JSCmprU*z2KrkSe{Z zH4v|5X~xsy7wVH+QlZtNhdEX1P@?UGG^%Yy03&AD4A`voP-(h=zj?t1on_S5i-?S} zop|8N8D=IxYM9LP5fIXHE?@g&lFFJ#SHYeP2&j=--hjB2)2HX_wiJ--wWMJsl0IV& z72inm6_)cnYc7&>N``E$*RU2A=it&GwbnHm6_E{ahLn~EoHQp2cU8e5IeG2(k9kK-J&7mIBOpcq;&7l0TpluRbx)>SmF zlrDV#rIjpA)`5PMlG1-q&k&9R^-J%H8G4H?z87%Dyl3>4#pA`lvxgqxvDclw6Y!XL zESFU-`w%R|1r`sRck5{g?Njr=wZ4B#NZIJ=|I7ORO|kwTb)^jdpDtWXdH1VcnW5-f>)<$7{S5!Rb*(DtBv}p0;ppD@>fLzNu1!g# znlZlkI!;zGIc@SZUfOc2!}=A`K7g_RHJ>}jMmonJzws|LEtz1s1YTPYcMYK!!J0-;5@8wMpwD*hZ8MTU=_Td3?Xja69K#h zw=NEYif*-;kB+lHp}c^Og+$v~6r+}zgl-N`cNdx=98HXyxqtWp4 z0h58Ya|2YCxxk~vZ#0WE^-ua!B8WPj609+JK^=Y+cM70any#Rf7o$Zu^zlGqkd?P3 zXb-DjU3tm^AT>uow}Py2yq!Avi!KLq>!4&ar**ln>u?+#O4Q38^(7X??OVf$4l;R$ zoOV%phD}e>znfD0Bf0Xg!1|0_r1siKQ?6_(^55W(bVf6XC>$u%I&yxV4uW@7Z<88E zi{m^H{d}h#D+)cJjk0H>WceAtc zJ9vPWtIe18Y-Rnu{E{KiW!8Fi9@>;16n$!YSL%CpgkM%<4tSXYjL{9z|RCfi84~Hgya?tA!ZC|x1-`N?D0(Xj#xx6 zHe4=3+&hU#K;+i@3Z`%;Tg^^KwPzwiK&r?<#B;VH&kTOlrh*iF!&nQ2pp_nKfw-hS z)g{3Baq#GmFRpdowxAPC;~t{ZoAzl~g(8QaL;&2pgn_z1ZS#N{o`UwAf`O14jHD6| z3I#WvuCkJFu4r#+jl|2;=Os;?kB91g@4(K*gc(!Tv^3d^B^(Ra#}qw|`c1!35sUQ2 z-)GeUQ>nqthD7&Rw&!IGUImm>3<;%l~^PD+_?>w7_BwQJBza^iN_H6 z%vSla(#)i?xzZ-cB}zZ$XxvZh{31p3ap7RTr=+q=1)F*IO%!HOb)ntFCc!gX_h|1g zXt|W{Z2Dei7`;`*NbxxkwL?}SJtRzYT$_rf=eRlNI!hHsU|~AtOmy>h=D-tW%xu>- z7g2`adm;YDz^Ko&1e^|~3l#h@lBS`#Vt3RLm_A9IT9~n8D+<9WWG{kZD;~b@YceKl zr!W4FZv5KS+d4j4DWwYfbXE}V3PzD-f6haRF4!sYdsHes3W+Krl_BVxs2B~DFl&uK zqiqg(Ly5g_s~Mks5ssgFNgF3f6IKOkOEMBIGaPE&t2;w$Xwwq$I^~SBOI-6bD=Mw; zl66LD%1;v=45DIMlfCqJim9Y}oz&AY@wDHhq*WbwvQ$YAuz*7U^LYgkvw$G(kTH?O znNr}YlTcT|4&8MM>sI6_IGesv8$iFC_^@cmI^v?3*pS^KAdy(#asJ+FvJZj|Ner#Z zhF1ztt~(S9oZximJc`CK8ZTp>Ivln}e2C5TI#o;9RjA+a7@zv~^mzfgcx5G$lAKwX zadvD(ckUy_?Lh1T+b*<;RJU}*<-c>UdfY$|Y<)~xtOvf*3ZNaH*7GGY2G{by?d8YC zR|R>w5FLz7oOyM9$h(p%V3Dv8_D8?F@Z7o@+<_CtxKnRWx|ecAGiwy`Ty|qjmK@^K zvujE*ORmjs)-vLf5}MB9u9A*FL0b`_GWun{9=NasI(GmWh&szhB$kxZ48Rosq+L~R zPo@czXm43jd>vZF;%I1f6nkU_`^4r%c8nR?Ka3$_X$5IpUarHvPLTBzE!EN_0sOU3 zG|prfxe^XTSL;Lq&n)-|&{A@~7FP7J4D(^&j2$QBzD`?r3uN(JyrCt}x-4c8#qV@H z0w<}3OuMuCL|%Yvk&e-`(Of6*0J4=ZVupt`vpW=g8eh0}ON z812#r`Ni9s`K9)=;t7)Ses?G(=2>evGx7PNvIF9?({_DLs~ugJRruw;&01W2&UTq* z&UqzGKC&GC6ku1DNw zJDc~40H}>Z-$_Sgqh~Hm2Jv3Jxd8{h|)~!FEiNUctLp-@K3APw@({!-TE? zsn5(#`E0H+>9sh8bFTeR@8C5aGOL4h`JC*HLxHY`-W(sY4P6!CC`n@rzB_t4wU&Fa zIBw75UaYD5%?e7?kcQAjcEc(J|Yz2eWU;=g5sGY{)&14K&A~VscW(F4e9J1V& z5@@xSBZ>?En1StcV}I%7g`rZ4ZlBUsU`#xXw>;ywvLnFLCqayTiXAE@4T8)@+*7xl zqeNtWzqtU^M@FwBCoZuPBK>W573IVo%}R35&BS(NY*f~$#ORA<<-=!l3)~g+6n?=LAWXAh8z_TQ$wLMA-S(qA%!TToh^YJhirq zA@X)~ak$!?!88Phrp%H$aA+3VvvcKMV1TI6WEmU!hqw~R8e4DH&|ZNQp3ZZB*>Rw+dF4aF2O{7)C%*aljF~!pvVQ8e+*V z;HoVQ&f$*2kJt^3Nal@}YL)D-KS+OS!(3Cp!5UViMDMLbny|x#Oe}U5O!}a%?-7Hd zt{QlOa5oS4*2lX+$G7+`>|sx8E>&Ux6uFepaeL3qE%y@!%GvTglt$-LC7#ytiG}Bz z`?%lb&x7|k*-}z5D!bL4BN)$gR=rBxsH5Z>_sX5Fe4xW(#0~@- zmu;gPD%#hbmUl?Hq@UigX+~>Ap;EYgm;guO(TcsZkiGM>LxwLuG4lzyjo3M~7p)Qb zVJI#~S5{Tpb&=SLNsSnmRWY_z&3v|R88c}G+7zB`h+W{{{b=cQ<8~DzvhsHNl#Kw zK;X6oDNBGzhXTonUuPs6REcRuoW6$C>$SeG9=|N44XPuTXCL%f52=u(c1jlMB zGgX`9#zZvV)KV+UtUG%fc2c9OPU|ZU0{L=AO(eV*=z>Yh;NlG2B|X$yvTxBZ_{3|V zI(wHCmwA#G=0ci4kG#^xPrv!g>Vl-J3hgtuvqozWfagv}5^b#J)~u5n-m_4rG)9MX zQ4$K6G&CwYl;+&3_aqUf22)Y1d*oL3&PyPPd!x5ydxY`Z;B$Il^rX$}P9xAaeR2!o zId^C&^h8D~3v6!(hkM1;#n4*A&;l>-*YHvN`8|m`M%gOyI&v;x&H+7$)9m`T-Pqyy z+p#*(ri@38GSOPkmE#`JGM6`znt|8uyIcUEv+s|W@@GMWfaO}>QD3O5Yxo7 zP;3huw`aXLQLj8hM<+$aUUYcV<4@^-Gw@lnH#USdBxb{23kq8y%*Gk|9Z+1>&EDn`~KZo0aZ^;tFO-)cg z?9}9_17n)S1CYNSBsw0VoTn7oRZu9UU|1Y)$q=E=mU%Vy(u$1i=Z(C-iyX z#;Zxh4ht3iLah?E&D{)o5kZGLzk|_LY zG-U*ym6+{i5HN@TpknP1uM#XF$pTlldET)Y1eK)EGMo8U3YAnW>TfKLn5c%LE}7ce zn6&#;4!B9|<{ekQd=&CIQfO>xj%F@JQAuFMY13*PC{ZXLP^Z*8kNAR4&gkT9X2y7T z(f8hb7nPg}!g$#rW&R`N$>07f{n#Y(}JVKtp7LGL*8=Tn~ zQwFYU81L$YDt@Ve!Qmc%cg{!_0T&cSBxt40e~L9=yoiwg30MaNOi*f+PKV>|<39uN z1ve3sVk%la@D9Z&ZWNvq91utX2bX676qUIJ2^PidfS&=E4c7%B)2DwQx(U4&^ZXv) z!y0o*_wZ#_=bonF3J*w*HkB7EXeHH101eiqDrQc%$S=@E42#a3L4;vtfDgrO8+|00 z01Az6`}N{c83hqV_mf=<%w~Cn&J4sBsiy+K7L_L!fJVeK36kmvKgm#*pm*CUn+}vU z#~PYb4BGIK+b(HlIp+!Q6y*#AP@rkgIIt>$b@7}3-PZ&yG)y}Al==6lg!Ha=Bu9SZ zz@BnQl7d+cCelYkZ&S}mNClm}F#kO>S1g_a?atnJDo)JmZ7RF4ZU80mx4=J(3vh1L0hcMChqgV@XP$BC?I**1eN3+eAw3)=bLi z9@er#;|BGjQ@S_cq2wJ#W?haDSd6kEY4K_7Nq!`41aL~ZjWyjrgt4ThfJlJgCHPaY zJ@7*$-w2B_rC+K7kK^?=Y$ns*@>D~aq8V%^ME6$Zs?nQA?~7|!F@l<8R^YQZBt(EwU67W^i-so$Cd8K zXkQsjy&yf9J9dlj^~bO97Y4k)J~)m(&#+JJIey=}iZ|?d)m*}AcJW*$g(=wHFB7%7 zGac89g|tKFvcBpfZAtNj~6}1p*>_pWt-53PaW2`&yeQ2;>OM>9}25^@qnB-%7?)mUI8o zb*ZS2{=reJ*!qoWBJWAc;<6n@#r}Fu)6Xlm0k)(riQAW2? zCT-g9KSr1RE&f7(8cQE%ony;!Q%3c`tRT}?lTYf6@7+Sn;a~Gc5rFUY7R~OqIfHP3 z18!4}q-YlEUIfdIkX-GjS3 z1a}&5oZ#;6?yij!+}#}-x^b7r8BR@|bMH*un#XxtwQJShPy4sNTA!gr{l`QDMXL@U zonwfcM#a~r?8aM6tX$#q@C!Y}NhZ^QwE>KAyS?P1q_y9t_CXVHyl8}pGwrroWlDxW zy>+Iuoa3Hzq^sn(O?uF3*ipPa`bseC{snYOH|iv=sPMv@!dE*m9ei``^e z*^`t71Ib#As-d;`C!bGcVptJ=wvQ_fOASF<>#u?BNlEqt<%nT#(^K)Al^gL zr!w2$Z3tzT23~$>KQ~NfN*oN+dW_Q9P&v3NmzW**MQH$2Wvxbd&a7(xw}hB=TV zC^G?z$811f+~!d!Ei$Zin~7MPzA=8kdtGIXHF5UDZ+{&)&-%quRIq|6D4Gc==;fD~ z{~INZr-WDei}tcYZhTdf4v9hu0nJStkygufmn9(2xhb8#@F3>mYh*!{myQJ%8wePJ z+D{7y6tvPuAFl$vn4lR437O|OL`(l^HiE#F>lH;ecCUvFh$JJ|1<>SEv9K=;^FXZADSY! z@kf2_5T<6L!bNOV8S^;r{5`tDdFIAv;>~#eCa~!tl+?(TQHkdKux;g zXC@J@3JSmDENvo8M?GDj&%z%!*i@MSe7)}d`xsVOEVjzU2Yewss<+`&Mhi&hN#z>MOt^x`o2+#oZ?9EO+r?HmcWS|z zh+EFudj#G%->fHTS>;2>yGEMg05c%d>YdVl)(3vVMM8s}ba|Ob@6#!=X5}n;nsIJ- zo%j>Bpr( zlwLE2h$BAclZlV4jk@-ScWwyB7RKgY(?=SyoY|{60&}({=&DSHZAyG-LQ5#LmLSH+ z@6$s-6#|Bl@>mW2RD=V4d%pQTPE$UO7Rc3ZyxUd@Bi{sD&=32tlr6=@kTG#4d?9#X zW3N+FyKNvDYK8{VCnBnnm-x);!-tr^TMNy8-xm=+x|traWRRX!xnC3Oi#GS#!6d&wgr6-{tGAiFk*_OFk?q;k~-ry8M(Ie{f{Hxn~^l)|N6g&W7Jg zr|IzM5g}$Y=hqS;2>uX*nl>-_06Mitibh0j*RtlA{D$^?+B4S%q%q8Q`Zry`EBSt3 z=k}G+)Oez-(yEA4rWpG}qy|oGT{-I@pg`2Ta7-P;bs~GO(VqX?WH)j+iVNt;zpIgo zo$0q(Pw)J?UN(PWs__tfJ|qe<^gB- zKSsnO4K>GCtpE7~qgoGda`!BC!)Ep9tT0H&tcxcgPKAujFIpL4AFLk@GDeVR*h10bT;*&4X+7@JoKWZKRLR?B4Q~jqaUPxF17(UF4gL=GR&+WUv|dZSCKNCdwse zC}qaAK4*Pa)~e2`M7dx4c{M}-ogS%>}eV5&c$5n*17rOa@qbIZWfbTB$-?sAA-K#; z@B3L;{>Rw({}Sx{Pnhk0n>t-oIh^0hA|MEWMD%7tbJl%p-zF7I#P`*@u5igK&_$YM~SB7E(o+0}@nhS163 zcv#&~`lKGD)BRBOYPt9U)TP}yIOkFNfc|(@OfB`LJ3Wl&T%+tv%&xuLVi9;`CSBtN zgnT7s*LsY2q&yoB@)?F+O|4|Uac9g4nqL=WT@ZIC&XlcMD$%T2=Vae>xWb-arVL)s z#@-$vFjeokk+r{bU7$B&gV$Xg=b>(aak0xBTi`T8{x$Q_8e*Y}4Uz+u zS_z)*MYgt`dLFLSFN;VCyU(ukcKPD|OoVyA1z=pifnqn^y0sHC-l~nI-n{$cU+3Fo zyO?1vOxEkQF+#p43dQ~NuZ)1N4~+SH{HcQR+Xk4IzTA1fRt?V}+%dsAt=Fe0v7`9K zhVvbMH+9|G&U>6nI-is~DiP3q?oKcnOd+?sR&JBw1coppbq=zQzZ9NA)0rZ!go(v~ z89C18U%u};DO%X@d9w(BE(Hf3XJDeo6(==u0vC1u!gU9f!%uR#zK?48(*pWv4}IL8 z01eK=Y@7}+VEI2Vumo}A$>DtgD-J(THO+a<&MA5Jrjvf_)b8G8TI|}dIV{o_@Yt|= zk!R9;lBe5pSgPu39ov|$B)CYMfrSm22Rx~(#_9F*d*@fZRaMm+X5+)&+Cv&g0c%K> zi?`D@29pS+*S;R@)UUa|T5bDYg{kRp`!BmtpkQ}ImN{e1NteOPV2&^B73C&o>yiZb znOy&6=S!5iIBNA;eBg=lTlXg0%d72LdsB|@pHr&GiT2hS!HYwLa-Y`_Vz+47*FHx3 zC(iD-423375G>=I>WQrEsZWr(ZR;hW0BPdp8kl~ez=wnVjOuYk>}&G!6mt#mc>@vi zJpz62vPxc^C?qn_9+w2s>IGjGGS0TTY@eQ6ZVD=)yeBZIEA*J1kiHoMnvncz*6=^nVF!wl(A`^7Y}G%%b0^ani0y-scz?}OLZ_TwA# z&JA+NTBmxwOJf$Ki3DIF|E_&5J2oG$Ew^}6pPzgmuTi^9UjO*BzV(HCZIE{wq&DU@I8R=%+7sdP+tEcNqSEMk~&Ay}fWM-Q$@^jF|z3OWm{RANH?{Ur_NQ$i` zF`>0@TasWsBq8awMCXA_XLXIBB`4~<+fVa(li=Q=qRX%TmKU%MtgM%5V#E)-KX>Xn z8;~lPHTN~WPx#(mNdhvCb7bZC+b<-gcgn1qpj$pP%Q81ZQu2u1&nwUZ{ZzTHVjTvI#C!BX{d!Iq~kPmb;cN~C>GK->HO+5Ia!$2WGG?1od&q6<~- z_4Rw-NH_YB45NWhlRUz6oTIOgC*6(jGkKUd>h@UoGWktG`&|0XSbMSWTS?~rK0?gq zRQE$h_tQ@pzk+XO+uIWYa0#-=*eO57{UD~$2Xw^w++pC{_jVd1;;!-#Oh9}3FexN%X(66&0UY4_1!u6jsSeA0lsCADaQe4c}Q=ex1gv#hWxWv9@A?d zUth{vyuqSx>G*{%qB)xA{iCc$h$`eF@W3U%BHZw?)NPY2)K* z$-vwG%t6`HA6e-+BNp*P?jywrdGa%! z%twShV)}*5Y+gv;h*Kr-#KgyfEkQYMKccYYod$K>i*|8KaQAD04C{JP<~R#p2wPDo zo0k!$yBkkNnQhim_1$X2-C18xTz~U2F1v7+QkdW9Hn@8IPY|^zd0RH&nRP0f9TGsV zFT#@{t(ABqquhg(`*$>_42qq&90ys66OXPlU*-+({v$RFn#{&_7LqM#n=KW2OZazc z+sv&w?c0u-bDtSc5Nl_(DcjJ_o=X9HH1Y5uG@h*8-{zfxcKl(-FA0-b=`f}cr06w* zxqd5cr;hxnlX!^w3B*1-o*aRO;TR1~*w)v$R6AqoWE>7=sd=relg%n+s)4yOJykf&iuCHluHoiN}OsM!E>fVl>~qo{VrE7JsN48G-(=8!<=cAkDx&-~?< z7K$}RU8AR3xPt!sWc|<%YY`mkvE(Jd^LMH~y33E3$GPb;Ml@6m7>~v?) zI9!Rh4>kBCsx282F zKzJkmV~EAbkM(m}C0ExQW7}aPBvc450<2TIIO1S+I0sS8<`nRmN1eC@akgxAxTf8htq0w= ze4kG4=OXKw)~(!JxHT=)zTB9jrikZL)Fzi>RX1e&SOL=G-9_V(_sb(}gp)&*t8Uzm ztGZ1-<)oad#7@Jj9$LskF>w);`Nv2jCcw$#u(m0`ks0|4j`IxM;~XM}bF()kl)9=* z)_k2LxqM8yC(Y|quCl1O95Cmp>>vDjmNsTYXZ+yy%5H0Vv7QaasX`N5F>CD zb|mFwb`+P^+!S`~pqX0@9pZzZ%mn_x^=0hJbcnwzdk(*Ej;Am^KpQHniEgm+mp;Is zw2f!oP99(<5z8;RjSWL7G~N>BSAgt#+16n@6VC2L49HmB4lT)SgF7YZCyC8ysrk+~ z0Y?GQR>)FNJXc~-k!FA20w8A=jzolIQp4Vz2oZQeRxj*~>htR(+)g+NjaOlWG=QL= z>RsdJpDeRE|Jz`hQCyhU_dITiBoL*cPC*J$aww5>RJnGdNTNES710Qb2$6z19kC>( zy8i4JXn&8@cUH9EERya)h$AUM)sDmDEz7;$>8fcn&*!B~0B-k2H#Y0zy61%W{m>H;Q~h9+dg6^tBSMN-ZI?HI2=*r}V0(1@p)YCFa{0(L_c2 zMK+VEi8X>Ri(WF%kfu4+d!*c7518daf;a-qR+{(M{#?0U!)f=^(P`gkq8tPJN>1Tm zM~<0$a1z$B@9`2$Q&iauF81`l<*U_ZW#UYL%F2Cna_{4ST1L);{Tfpta_rYN?%U)& zDf?wWrJR7`g65KVHDk_hIeAMh?bIQyna${LqULY=yeNB8OI(P=1>>ByQfjM~*FmTy zL4E*-)d*3?7IDK&VYRB|CJcwi1&kr)T|HXI>*2WLrtTY%}z-sP|3QrBM(wo3P zgDWxE-ggTU+WC@0d{~qd%sGvuKU{HtxbLNmMs#6~b6=AN5P8wqgjP-Dv2b?r!ZzPK&PL%-|45<5}~1?Wt&9st)CJJc_tl8Hd%7)&s~A6 z7E8`0z+mYnf&l#eM$@@8xNs|Jo+A4Y+dbKb2ZED4LlC^X%Dbr(;Zck@an zq>?0tY`Q%DO%zV-{^v@CqP8AMV+Vck&Oab{*tL;9r(*O2&*iqI5Qa{~f8IU2wqL#j z6?k=)Ke|83Wpdab@P^W;9LGMY;n8j3L26h+dCtli=p?dd^~&X))J^T02nFstvK*=F zY7p28TBbQxxA7vpwKxg-< ztk?YcdtTy=8}YlGTO;b;J?z;h(&CK6z>kJUahE}uLg_RLFD-4Yx>ssRBl{}AY~4idE&{=}TdS?p_qneW)p_gS%S&jmxtC3I{ERg3>LfpXS?AEIc9b{u*^=-yXqQl@Q zxIANyRW~6Qpk?Jt=LHnAV&<-O!{KUHGA%2KBT@sZhjG=!SvmVZ`6OxuEW%X<($ zMM)Up?CoJ4%TiIVdiEbG&$PYRTzqmy9Ea{6WmU_80^YZMs@G30V*1r+)RzIY`G45P zWjq)P%tIgioyN@>3x+};E;e+h6DSO(`}(hQSp}1fDTw9R&gS|q1oT{(8TiBZW%Nb? zGYLSgf}Ib{3Wo{(wxXJFm*mOQ#)Eh%2o6WY+*4G(4dI-s`DFm|Ct35V@d;&W;{j+@ zh~kLu03?=43rZ4om8-9e z!j>(`t1Bsd6xYDR8V4ZU=8uy39MvFGn|H!tIb%n+=v~_X{6oC!y3?Q)=L&z8AgRRv zpQbqNS9wd(q{WUhj<(vr1|3uAgsevfg(Fr|09AvwfR9|iQp`cttb1Q=f8sl{m zQ4z@@?l&V85_KL$7pE+%rie-|L?@TA+~%-wEbdnciDx6MV`CfQOd;eRI-R<)nQZN_ z@uEP~Hidc>zKT8WP`B_CUYAkLx{s>y$U%Ng9j=_Dz5JCK`zuS_d>U`nLEYmG-iHUc zo5`{<`{rGSD+~9-`hwtJerKZL6H5&}#A{sgpe)qCiYtHm70?37MlfGsu~>IZL~ZMj zKqGOF>mI&u>B}m$6)F4LIuprzRv5YXGkaJ9oA3d{af|!V)zZ30doTcbiY`UV3D~eF zuuDBXi(Af6rF+b5#iF}y0j6d`aaI+PK)Z{0_$GZoHRKhCaqS@8f=dXa5@2vqS&$29 zkc3M<(Q_fBQDiInP~|g7c7na+MKqic958h%<{l^RG2KP*Ai_5coDX351r300uk#Y| zk4_yfko#G6XWSGPHs~GNYCL8n%ZwHf26j?h{~Ky=1?pm1BITOrz zLNaEYdXM9hu^#$X0`M?)$%-pb<#1-*aSzYPRCj;MKcFk5@DS#p9Ygv5%>H1(K}{^n zV}<@UXR{mSE{fuq72_zmIf44$F7VfAp!xRY^Tk5TtJy9v6X|HB(PM9#b;Tz0%hG!i z4@ZI1fzNm%h8K-#G>^sxDhDZ}QHPg1bW9i4?g5Q^hK-e{iOJXl@iy!gt-g&&4hSHL z1cH_LHw%YI(Nmj;`0AAA*)2zr$xD7QsqHd$teY9aS&5JtV8$Vv&vy0IznR@T^l>eU zxuliKw0=E3R+dAb3i1t}z5fJB4mx*3(VQ6ahI5BoXXbwNIHIXZy+MH^%9! zJ8U)XmEp;y!byT4(#*+O3}MDyL1M)O2A>*~IH+W)?GrwlTY~zK5273Gv+>jSx3B7H zsg7n#)-D1Fw=1D{kD>AMxzyIf)w>w*?z0ZH1i`b3h0AK;Ip5E4hcv}AzH%IrCfUuJ zN@8#6cxK(e`WBn?@P*$?&eGB?3-4;Fk0vXXvjLai1-UlicaP%B%~i9y6di?z%}^&~ zXu^pGiY7?Y&?}j>BlKD6Fsoeldp5f4KsQ=RcOffrF;gZM5vp44HXL*lQWQVz_cgJHEMF7 zqH4PDb>?{$xCllzn-Vnfq@apgV(tSTxw8S4X5Jp%ymr}mHdd0fz9I+An7|y5?49kG z!7>E_gHepF+Q_{pwuhISwl3EvI-so#9bkj^c`8H4*|C@jhY>fnSu z0rr!A%~!#mxV(*0wN@tUJM{BBzGT;p!A6OnqHccH*Oz4}sS@Kzxlmv>z`oZ8gG!8Zt=5YU+{ z3V-lmN5`@_gR?NM$wA|gzyvgHXJ&))c%D564r5NN;y?9c^u#f=_=ooww!T z6#__95FRk=jr7i@!|f>_(bvo}l97f*%{G-t|8~%5vw(~}$<29d`4k{j++5dz>L&m8 zd0QfCYcGy@3K|EYknno5Qk65$>t^y?;x;x1}?nGe9QP(GQ-?T3E(B)wcgu*8A-qt&cGNi8!KA+liNzrb-m zhv?;rlDT>)o3+le2UVO=d*PF(g}r<^6LT8JX0}_EFvq`wYm%4~hOf`w7hwbF2{lSt z`7PAkRsw|{F47BK-*?KrHORC>$=r@aDTqoH;sMd-LINZ9Z^*+03Rr`MGN=iAlK<%B z&c%lZ6^SDgrY1vw%r_bTZtM1kR{tQ5g6_$jG7zfc(Dn{G#^Rq z6|_Sl4up}<>4?QfeHtF!G>tUz>%D#YT{ARz5pzg|^|LPXc4U}DGs)z%)az0&2UBaS zM~AX=541M?boaljICjP%t5UydzRI<)R3 zb*exhYhW&;Ob^VMs6Xok_bts+kJywBeG6HKAM;w6jpyk4$6ib;{$YPN=Fb=VuFGmV$R5aY@#^%Xw4DGle;|@eUFRRWix0|}O32m9 zz+Xi^qEmw7><(mUG+OVfBY9|?E*fs3Jt8J)SY<3g8pNPG)HDfXuta6N6@z% zm+~8z)`Mj(KDF@FNVmWZr7QKm1CE4_>T8Y{A~M+v&6SDy_)T_6IB_Fa1x-yGUDp>J z-8*bxD}KI(U|Pq;?Cn{V=YALgk$G1NRVo8m^OQ;7%G7WP+Q(sco(cMGWF zPv=k(+IL0eh8d!v@|6WOD`~1MF{|xC{B`v&RXNGM2URXE^`n=N=S7Q#7QPHV#Mj8L z*S?W zpQfnxd=kX)xHzt@l}&#taWu(gVKz*N4n*0iRckC9FJM8A@Qn{?c8)kR%mu!Kqa{GI zLBHcrxKvDYxgy>BG==!GXy~%TDf8F)PHPBLavuEHi>gI2qnBd6c4xQpNweLIX^W5R zRSEkL}7!f0Hkk;%^DC;h=^(0YulJa;{jQL(0n!+g?8Mn$on^lBrXZMYgjnd=)tSj75|x!wOwvOl(b2iBK2=R5{S5HIvc? zS^hc-Z4TAx70YhqYKbClwx|KpQ7g|3Mm$wX-)UL@8(_eP{Wnfag z^&qZ!@f|g-*i+5HqJs2C*{8&qnHQR4(bQD$K+?j}_hix8&ppOx;k;z`IMCe^| z6t&;6!jb8G)i_N2>SLlexLxo5D1?=#Q$)|QTh^`<%62%wKmR!F&^d`}a~BzLcD|`a zjO@^}D=Yk}+t&OrRW*D$A_@k$K01#-&J&JSi`hsFxB!I+qePACFR zcpYbyd8|)NXs5_6Hoq|DPCt|!ZsgyPzvyO{i4Pu9GY!(#&UuO#hSk9>&^MDu^ zS z$7AA1s)KkvrUz1mvN}#0D+x5RZHr#9a0>Q`RL%(C(ZO~a)B;8D>{1qvj?0uE9rv0K zj#t0NS^q{l`#SmbCyclA2(t62a`EgS6bbU31xfykS6goos?rD5EfZO_FAd8j@slL{ z0E7oGHVt8_M9&_E+eB1Y3&?_{+J7-1dWO}Gb7dSq566cTRfp9D#f^&S9aRMKG*L&Y z#F~G5h5VU%YIo;%_D0_&Fd|PfcOeiXBwK~*3pyUgiFKZa@J4j~`IW@vBC=H-SY9*K z)bF96vPOOn>HE1U`fYcwyfS|*Rf_}oI}FeK`lj9X0b03c9mBaW#f5J7}%LQPwD@Ve>|-@aETPrm*S zRfAiMJ0Waoq^`&5P~H@J!&d{iSt)V!^go_^W#DfU%hN)3L^O<(&gN@i#rc87t(k|7 zPD)DTe5sl5Mym!goW_%yGMof8l~;ZP%ipKem+cJYt7;rz;Om7>$2ixHbq}BKa4}Z| zs%}PdrcVP}_HAJ3{>;@zCVUaj=m0n@%qg9)!UZ)bd-m!AP9d9M+Nj7$wwG1{Tc*cm zhJsaoqdl#Ohcm*v%SoghfrS8;SesS$|RcVib$8r56$*S=GTFKqvY>0-H77>I9<@j2x+ zjxmtT+_P<-pFQ1kzGsfAEhT_;DSf%#?$haan#8)c|Yi z@H&i0-c&c<|AND4lia!6kMdy7j96IVC6@cL;DW~`k~=ED=s0gUT6a%RZRaL9e(u^N zl3(pioeS_a4#&OR1(K zW(~XJF`y1zp<{w~gYZbz0r{7E**)gC07kgcSl|H8gRY{69LVUK-vn?ters8D_lViJ zVhH&*+#bB4NyN7+Cjc+q>zFWO;&k`0tlPF`H}+!Gl7BS65YNX~bF!;!u>PoG>`^2~ zF29>p@I3ndrF|TcG2J6&XFReoGuM(4%;wg|4Ivf%dG`&vE+B;R7sYN}ZU5d<&{U+m z?hBa?o;s|nZTbssdH*>>(CVMgk@@;o(q??6Ms^q1GPi&Dp1D?0wp!Yyh|nWj&#h2i zyKQkf*{*LJ(VVTw8vxysQH04heGx00?%I`vwmVIzkBeVj>AA)dt_~NeuTu?R=evUJ z*HCXmbXp|NE_ge-^rf*Sdp#d{`iF^n&n|&{cQq08@iCO!9h{c2dp!)3_DEE8_-<;Nus;!Tm-es(gU$1)2WcPlAKq3jRZ)EGRIvDhdL$vLKfN31x#(d(I zIu`QJzMAVUVCyfYa|?qK~3j^O>0gB(~Y&DX6C!_(Ox_O+x9L*5G|Dy8ft}gJxpIeUKP1!qTD1 zx-&|mRLB>83Tt8k-RrU%qXtEE5K3cw`wy))&U6dyTEz3>FQHnw$KG%o;gg3|72u3$ zVbvi~mXgm!R2-bFiaX_+fWFFA!31ab1jL5)rn4LZKP?bd6t0;K#^VC>QTpB6L6fwCh*4C!w_x1VOc+vmwLe@dr-CW)radHj)81}C^bKU zf?`Xic!0aXkJ;#Atbl| z(-!A$cW|+*uE;|PzIB^m5N4DH)ztJ^E#!Ts2xrv|^j@h9#-kBj_GWRLrk=7q$;-mM zC^?8>e7@pVHXXIn?I)iQ*JI9(z#_107pnA+>DVeOZUFny zoi-&MKcpjh87&y9ee149_%h)D4%TpRz)a0a;X5OE8X)^^x$dm@%yEZjTZ862=W%33 z=i9#8xBOhKL0D-wko)EH=8)KJ(N9WO2KW8;@xJIfEvWy*9Hk+cUXy4%f|@xxcho-> zzv1Ga=pW&TifG15{CT7dYc$Rw@9lmhh&Bsaj0C8mIf~SWWH5B1L0o@x)sV~hNL>$)BNDfXcpO%WKY82V(tErFat3J2i2nOgzYcWiDrl<2E<&J zO{i~@t?{}0;qJm@X{NN+sKDXw#ImAB!!f(M#4dTe4^ zhkYDwr39q|(SghVD7=2ZplY}N9m$sAc{>`F^AnK9x_G)msjS=Z+x3)UH$K3vm9%1k zcb}9zlTlG(TLx&{m?{G#fR$bOB~*iAfpf6ZR&l+uU_g# ze+Zq*pqY>Ae-;p^X^V$7k#Ssfo=015*bge8{&@->G`-B zbjFLz!_lT`a_U3JMr$q`0S_PazIkqk!+PSCn=m3JqOV>sq(}W2s?iBy4&PBf_w$+1 z>L0MH&bzt9eG6?hk>j&2UeTn>@CrZrG&r(#ap`Qy;YT!UN5`Rd&%~qRO*r`$q{BP* zsXO@$;#bJ0@ShI5c%&g4$sjn%Wf?uRloHo?hv9f|OJPvwoC!^5?KlPxNd%58DP)AE z%fm$M5X>XC7OrGDVu$@e=^Uzq<21?J>#vbY`TeT7s~)T|`0<;?=t0K%A zHvAG^BH2;&@q~4s47_vuWybnNxuN^=QsBm1iTF+8pZi%!JGj>X)jgT;pvIBN zh7`dL2KQZzABPGpX0*XBtyQ6{&q*;~hV%yf$UI#%efo$JwQ3L51oI>*ZIPUsJmxl? z!2X~VO2_cRBagnjaEaWma)~(xa5P-mBG6=PK3+(I)65+G&R)35U>HD?eCTHdvq@{+|(WYTS z=PI|UiM$j#TTD`zm(;Bl7~(38WwVmOa>gDWBeRhS;Qp!a=0RX~5^tIdNJR>D?>64t zViHfxv{Rh;XfFyX%P3#$)vUp*3t`Y%75|=8CpOcmK|;fl;&99%yV6e@<405elSaNo|=3z1xbkN|uw-kB*Nq$vfa8@W?+ftoAd^p2XKo=ao)NZ&(*LukFIj&ES z=~E5Wpsc1*(ny!P475x;xiS~{(PFg1>EDygy2Hv9?E@-1&E>3Jc_3Jk*eZSc4_U>^K$4JclaKaiALOq^&M~q)X&40^Y)>f&B4) za%E#NZg}q1@9!;80SvyRb%hpoV~f@0g)z_{ScXIFd_( z5`?(H-y+_#>$PKaE0zFs?^R(QCn?oGjKJijoch9>>$mT?sADIfTzH2uY3R!1R;V7& zc*1aGqLZ2JDW@-MCgR%lW}0%U^qr^^v`V&XtNmR6PyAvXLHgZmg)C3P>GmOpcQciwVa!lz zZr*f23$7T=_gc|-rs6KpsD^ot|K1wRYLUT!`^c^sD~0pIX|~A$`B201_`UOFM zRLr-CiaCVQ9d}LjFoT)Z1}d36j+yb~yjt?Pt=DyIc3w#0V$k6vC+~&yG5Ahq4?{P) zE_u5$Cd+LnTaN_*lK>ghEYn>LZa~a-hvheR2n(I&Z%eD)9Mw<69-JF!@HrXJYCX@S z#(Hs#r%0pH^36|8jaT^LCEfz_*9ym)bY@f#H-NReeDFG`7D{N?KK86z1DI+>$3*uF zB%i-*U$&Jq>|*EpU#W~cVu3msE|>8KStVus@nWn6(7=O?2WA5CoyRPNyawA3aatnx zROd&n3=zBG8&4~ZshqUI@)jBee>-aYX3V-Pq* z=+s(+m1d)}uwBwwsEYE4s$VX+xDqUpq2!$7Zl|ash~Bt4bLziNkovMx1jQ|LPL=V# zgji$NDCwx5?nqWv%v_+m92wj6$ezo7iJmvdBylKAEq971G5V7)sC%Ea+WXh*g`n+GqD{J6*63RX z4Z*|;gFyO<`mN0C9yFkjA*V3~ktn2&PxZMsUzcpO9#;c9RrXFG|AHK`-j>v$cf z*|#d=7XQX1p~NzC2I=0%kA~PeC;*A%G~;XM-e#Kepb0hsmS}UiU9{?!6Z%;E_B^Xj zvJCFs{55O)#Q~9JW<(Rmcq4+gAFG43v%@rmxO0n8txiYd%Yi*C>*2(EqqHLpU*}Vb z1VGOZVx-H&HoBW5f48^~Mn(|f92X0erulWJTu6>u8e5DxdSP)^jJI2ulgpmUc_F9Qx6Y%)rz`e{`Z7t{WT zjie>{%+qkZ#nF5DajSlGldjI>Hu(BC-5GL{0!d3DdzaQ-$($lWbOALkFd759W9UX+ zneevgCaH|1mWM3D4)40+KgeOL*t&GuUBfgdzC+ou?6*R&uz*R=-eAgR4z z;rg|wy7!--qI%3b)78eZ;QY>XrC1N5-)Vu&8T@~>2nHfb&wyL4+k z{&Nf7h*S4L3U>qhpE@5<4Z|<-i2ui^Ymis`lkq|qTRipZuh?or!mRgO5nOF^EuuR5 zy@12s1RqIW{T>zK73lLe-YK6v#;EJ~mxr0tUMY}9&$Hj}Ca}t``i{h|E_Xdoa>Y>W zCSEyZjvjV{!?&xWVx%-CYkFSgST+0raRwS6*3T&ENY;C^SsprFOnR$Cx6m?X>)ABY z|6&$iiBKE{ocOpJgC$HZ!i7>S1MsH-jiv)bAtY8+i?=R@78s&ZdD2sO-oFl7L_?6H zo)8RRqM!Zy-d#--=Xu$9=3D>r_4$vr>2xO99qF1cE_%`D8Ho`t`!TqOe-1RM5}m)8 z#SL-QwmzNu3#$r|rj|>P#_B4pL$Q0j^is_+WAsRJAd3dA`PFbq*yrVlPhXiPx_vr1-hun~)CkC&D&>3j*)Di73Z!`z7U%f(FU z!h`FF3Xmz3=t}W1?>JH?2sxg#kQyjb0O%grAGFRjztGyvP!~8^y5SegqKv*jJ|fVk zKq(HpD{r^{B43%-Fxb55Xkvgx?$jB?qS_eVdL~MC)}mS>J0w=TPp#mH*S4j3XUwuP zt}y5Y&vq?poF<%QDm`w^#Lp(YRGwjPLt@xO4~C|rM!A8LvKk{q^k`yMOd5ubAGw|n zJigeL0ekZ|J2Es9WNi@>h=utD%Ghwpue}57aY3)xYtVGu7Efzst#M>_OHP6@{ksCQ z4$buBKFD_s%+v4@2{q!=n2d%$7A1Wz-*M!j2LRvn=bls?gY7M!^oXOXn%AM5h0p*ZX*zKg{P1T7}v8HGs@H;&RS&k9Wcz7f1p*iyv~YohGssQb+{2C|B`A@I8|+z=PY{@ zs%KHH(!@ttNZ)s0GPLfqFKL<9)wh?9RQ=E5)(S=E%bYo~}YdQjnfhZK7F0jqOZBU^{Syp5-?q^xDjg`H5|-GM`Z zy*)CZ;%Xhe-E6yE?zH}sD{h4=W>d^92g+*hX?xz0 zs&RQa@znwi%2d4tHpx=E`ds+^Ac6Mn-Pd(Vk4-PD+8=B9epdupGBW@Z5_G;RM=j9| zrEe&RLs~NiVIf-Z1XrtUgPaa|cRO>7)(mRh+aI1-W{M#}u(+ah#m+g5mG{N;wTnX6 z0iQ9h69)IV&)(LBB3#XxSN6uNEss5{6Ar5Gw2@@Mx^p%sTztmlb6e>&SNM26Wv@C6 z$9P;35uHHUBU*^#V){;$ni+Y04X+MzR|cnCj0oxoj;Q17&#>d^^%RIWwtZ3-Tl{$_+jAMM$Pv(m#)x+@t9Lj4GE8tc*ZHUEOiMk z*G(GWM-s!CrS%j5o4k%My1J<>8|D!3QYtJo?Ur|uZJipouF^2U!@SZ|$U*jX( zdRX)aQx55fw81IMVJWJosmHYSv0E;~#F3pvUfywNoA4*vq%(&svdmVZu}4SVBE$^~ z>nPGSgTK#&6~-BkC?wP?lH}j%b@~e1viI*NO$nl_pEuNuM*_rWNG>7Rzz=En#Juz; z$8jU5WvJRBVI5_t5hv*~IOO8mekCqF&Y7FChazUza+HQOa94=9(4UOg(xP%q^I?{kbCt1(wmB{H)I#9m-U-D5ktyzpz*LE>Dg)gbcBHQwJlTt}**5K4jh+8lZBnRf$< zOS{#^Bdxb(M|M-!r3)ExOtY#KKx);Hl zvxt2SZbm96v!JhOv%@f9;~_g`*7J|fQN?Eo@0nXOO0aj}=eB>5&xzl*+RK7$;ZMx_ znJ`S658ElJY2TnLdmmV0?x@ zj3~*5_VSw&Dl7Qp;W_uL;YysCJRuXl&j7p`Nz^ydBbVu%D7Ie{x6cs5h|P`<%+lT{ zd%I+D;eo?X{hpudwb7a|z^~;}8=KoGH@G)d&QcfsQKjOgw**!O_dlT|W^9MCx&;yl zOOP9UUet@j)5RF0%@_&vXoYy}i|cCId2(+3F5$vcnC2!LtZ%|Zwz@D@s&K4y;D{$i zFt`jI;WHoCPGZ&766#`k_+@H+C6%Yq9z?4pTi}?K$GT}P^BM?X#li?mIG57Bk(rS= zL{O9%8zD7G^;Y2M`gPVbqv8I8q|{E3x|;SVue-z6kC>M&a+=^5g#;Q0sC+$TSN-MS zzPEh2#TL(0VjSgFE*Tz%(PevmXB)Cu3Qy-7)ZIjOXEZk_Y6#HtBfJuIiXIYXjBmDl ze2D*af)F|3Y_A0S)ePW4g~lO(;#<6o8nBEh%HDIF{sH6%#4O7LwKXJ;cU%ir>mFQD z7n@OQ8w0h*PcYfXP=R(-S*Hry^Opdc;mSm={5cq5>@L`=1^>M#lC?o;-F# zQpeA)mea2Q|Mbg!h3AOKI4-44hNIzDt`kZIBYz%rx%=fi25Of6`?Lw+m>EbCH98Q# zn>3TX99gSBZ^r@{USe;G5jWb@-TQ7X>2Y4Le;ImK(IEUoPOOVD#6Ui!cO{D#%Yr6^ zX+c;7#SHCtiNwzE83qoEq|(~JKTmEMJ7o%6U5|Az`r80{W!t z|7>^-ql$9;g;9!zCRZvuthLGL8J`(PEcc?F=J$3g>J+dgT)XJGgSOXR04FfnzIWyi>IU4@X86AmUWM%K)EsTzE zc(TCfFbDU;)(`sib}XB`BdeZazKkZMVV#T37cwWG4?nfZt0%dFy0lbX>+IN)Z=%DJ zZ@x{)r=N#scsLiz#bFC_B2SS9buN<2>FuS*UlICRa46-xJHp=*=iK*VVEUdkT-LYT zGUMwgfo(@J!d=OTLJwG@$}#}NEDD5E7z$v?;9v4VAws|3Ci0v?>UI7;%SmEb9RRV~ z=m6eUp>UK9FL44Hr;8X3x!8~tTwIqiS(Yi~x*n}qKdzfzZS)Z%5@wCcc3*8rp6kEq zMq@;*XBc|aH$+X*elkkqWBBi~^>U*I2u5+k#hPsGpQ+~w0f&B*q4R#|ircWb1t;3I zW*H~0(++*)8cm;wr03@uLT!9s#aZRoP#Bj3Jgb;ZYOfdYUF~3RgH_8$O!obgrrVN^ zd!r9YKdR9s-%L~X!E3YyjZ{pldxfJPH}-6@+V#UpHIw08H~$GSkG-c(T5>w@hItJW zWH7Ky@M)3htkI~u@{y_ZkCzPUXTzZbL4l#>oE(kzoK@sQ_2U3tyu-Nr#5_J_+@uwv zP<5uX#*0*M-$+-G+z?vR5=7W+yE9Lj#9cgST-Y5m70^2bX29Qbsu+*9-JB(!Tcz%5 zQCz`4ks1j)y)|z?YK2+ zfi12#l^(+r%kghA4Hq528EFh?wVplNSHQ~B6SzoT`+2#D2Ews@3nPc?&WLRbrvo!w z%1_RlocFeE@T~(q^m-&!Yf9pZYF3%~`)}kyb^M}?={`kX!QT!tr!HO2OFX++K~AU_ zN~__&Ybmb4e~0&IZqi-(jLpHT;AL8t12nCKE-U$ zdk#wS$Nma7>X=hd>wdYAOjq}z_x(|?G2pJ@yDe!yzTi(e9u(HMqykLJD2bS0-`dhw zaWILCqHSj2>4_B{JrrJe&A8nZ2|K9(MF~%Lx7jGZDqTT+iT%Y+#sal9-KRbJsj@K| zsPi7AONXi7A4xMV3VOvkd|360DfL|bOpn*5LngAkj zj;=;Uy)%*)i%OZwBd+FC=0G!@9Z|}cyZ1NT+63Q_qofjoGqe}Fe-wK^@?|tFj4|mX zgT15n;U?W%$*-(tYef2!P_#m()xW>|ruh_bul7sukVRC=R?@~qiAj>vL62!kW0Vh` zro!Y@^ZOB`(q6=xl+!oE)L6DpicS91_{RvlXqPzVOb{kF5H+!`eW90GM%n1BByi*i zS^1On*x?_c2u352R6p$UQnxU>XyY;_1)GA)JnnusmNMQ$oJaJFy>OY&P_KD*ePD;Q zlNy^XJleaTKsyU^nLYK@lruN~>4O-GAgj#a3Z86Xi42^w$uAuLj;;~R!J4U6S_tJY ztTZUWP0E?uR_uySe2QfPBmQ<6b7eH?;L3G1^YLPr6G+$=TXLozVdhK8QnHVLkIb2{ z%74c@DkNDIP{B0$<>}FT4{dMdtT6eNgNGN?NLF+G#dZWZBO$e0mxmz~C9TdBUY!Z?i#Py&3+Mh=eth5*njL>9u9HTcin*1f3b>it@{4_0e6>rCnwG9o{1ig(Z?Ps3) zqimMW5L!=-+Kr>S429!bU_$MLqb?Pj=uG7s{oxJqX>J9j`X#m;(-HjRRK7N%bLRmq zna4g~h(*MD>LD02Fn(Y~=LLOv0L|ydTXR|Pg4cFNLvDD)(Y8dEld%8aFRQF(PNadW za^YHq!pd9Q-$$p)AE*H3nuS z5BB@xmhr1^Io$?FS4Lmc^wtFV_S6p>_{ZsnKTfZdum|k`tL`$OHUkts&pz?I%8G%RjZ0 z?}X8w{jj((9j#OfnwkU=t650OD`oLma_QW#lf6yk+sPd#)Ma1GJeJ^4l-TOj1|z3~ zY7Kow1MGB=v)ll$gEGyJ_PvTLz7ojhnDYg}A@ zeWs%i_#D|LgLDagm29e%t|f)ns+o2PlO#Sm^?9xKmIykXMmlzEE+lw{P;Ix-Sah0> zyl@?kxU75T(yb3oXpO&5ioc4g!S~x{k6@JG`cws)0u##rsvBt{d6hboo6oP{BY`w$ zh)aC%(%12aj+cqVZ_E2WmDcf}Qou_vNnL#g2*6q;Nx^VjmFw=C*<6v#@5C2%IA))oY`Yxw5EnRh0g?4N9qmmP}>fYxW)3> zap|&E5uLa6Xa8m$T@-;Mte(DhMEL8vJ&$!s+@OnF2PLb-;J4&|azfiGZx19m=XR3b zhx}ZAHLLB65)6v(*f^&8DF*@X%UI@VyR57zrx<3b4Rb2u-`-82Zj{HK_nKQC;88R+{(N= zp3W)3vl<*^+l*)y5V;7G2SU9v$1IPO2B^ye6jG5yTbW0|Tt51X#G*&RJ8&Nhp>Lt- z>$djU)nSN&Bklu`I1yJaF8g~}o{ahG`%JIut1l4v;@Dg$$p~ljgA}hp?)=@MlU=f zOt4W5X$LF)&XR_=|3WOo@&$&y_pox1;bkNSKG09Pa~tv z%{ldMzyb2c=-cMQvx11uCZD<=dFa7xR_A?-s9UCFioM3#!s{d#&46vs%N_nFpI=uM z`ku7o@6pVChMCDaf@;=~Cmc|E1o-Puy@tYh z6Zl;p`q>9C-cl+J8|hKX2pJxzqR+8$@280A)f==`Yw_Ka)kpcr$bFNkN$BU3_eA*z zNA-Gtn_@Apy~#%Xl{ftl9i*i!!=q)!%wi!U3y2~!R-GfsQTgt*@y_06Cm#pSY-`K( za{Ak0xluB!wk3n(rN@#uA%PV(nxER7qrb>h~@*5)J1 z!uSpQ|BTZIRsX#I0H_ofI5byrtGjFE=HQF0I+WW+vC=%?L5Y@M%y5W};|gxA#5oa< z@S$=-corKAcU~d1z%>OS9Pc!bQ`mo5im+zVnwa%A31_K#LN55!?On$>IB&&(OP+cW z^+n;3(6!ikJb{PTM>1={{y4es;Ywotd|G>?8VNSbntJo+%X1`*W10Df1ciI$w~2%V&0 zTw(tr$MXB3ti;|qrg3Ax15cDhe*9hQDx7!!#4(r+55bxLm^cvDAi!iTOHbbSRorKblihQV9u3jnwQJdr|Jw$50 z2)G-#xWf4>d!}tYMfddql40NLs)PJU z(JMJ5WKIfQuHYX`nny6UD9G>e5)?^z8+_RdOc1u42-N~Wys0w-Ls@Iz2#m(cNT41pidstW4NJY2%bJ+? zFHtSZX65glJOr9jGc3EF`1j+JC=vMeoVQ8bcP-2GeDqd@9L;8fFornqLeGE`{K)BC z83VG5dZIa&eEWFy9bDrrUR(UO{@hi3y6nRIp~vvH=)~qJLW&a~Gf1$WnN=VxgK4l_ zM1I-U{K`i5LHU*rpqXCM!nd_hVh3t4@WgfNgtijQe<7PKyHDLBh$AHYPOLfF8P&%onvHF2;t42yK(Y_y=T~ubYHoxYQ&FeGn_c>ooxKHl5XA z1KzP#-F#Y(ExDO6Pj!;y`bB|GpVws@$enyX@3BC}L|Gq=Aq6?t;ec2)nZD*tQclkz z!{);m18T!PHHi!_^@)}C>dOLYpt5CuRDeU+!(aQuFGh+OQy1fUPR5PPh7p9zE&5>M zrQv?!@x&4ve)nZuXYIb?IMuhqP5q|jf~0?dY~JF7QN>Jt*RRD{ZaP4iNHzR(64P5K zm)ykY=Unt`ME{x3&OTegdY@i0DFtG}0?g^g6Yop>I<{s6vkJ?$Qc|@Cg}r7k=y71Z z@bDvEW&Q8OmwAwj)XK-UBy3Knb1aYye6ESF*NJd*U9|qf&Gaw8-l}x3S3TSG zAwlMt^!{@!J?n6}ho;SM8eJ=;??UGHH>=+aL@!qBV^N-mET((NOhau8-hA$!CzzOj zowxiof!3vy`2oUOSf(WA9lPHp9Ya;pPLaMo$OStS$Ukonf{8^bGy5;G$M?URn=C(# z-wUf6`+T7xOLE%=fba|H#vKY-6)&u@rvvV~tBaVie;p?y_g*oTy)vp>Rojf=_|6Q0 zIa_g=cf@lKc+7ynp}TjA2;m94jIXPSOe)jb*ZT=C*j?vdd#kTYE$AaHpeDLh$PBKm zbz9t8{TF-)$v|u!Of}v$SQhekn&lj|zON8J!9!MhKVUePo-m#`E+sl-<+pvuw|c$s{A>o{nw)+rClhSBL((&VY-{-0+KN2tknA|2c}HAJ74Wa7>+JI@Ch(& zfh5MSgfnJ4n$=d)%$FT%gRXUh89VuFvX&`Gvxic_cMX~b?35e z_9gXaj7}CLYNvJyce#W0maCzsn~Z0JhUV^FgE%8rfLjZ7zU(2XE=0&{MY!rGGTyqD zw}@Y{CBQ_7CHgLdW3{vH&9Q!N%G%V}r@qjZ$!L$uQeNOWjdC{3)y&=c=Jnr42A$sq z$6%Eyy&2DVVH>qe5r^*9o^@!^kM=%^vM|H5Fk+H=H06%Zg+pjF8Aaqw=Nc(uF}?>6 zd&#F3mxes%+JlNho`7%veZafi=w?N!mC}?LQOD>MmzJ}eV(m>94*VUtM5nI)$Mihn zTivhpF$PK(ZL%}C6GR->2^Q#WBle%5uNG$?Qh{^l5^Ol7O`BFA7sNMhPpzbtnGrY) zj+h5_N>KOPHjA1Qg+BbdHsDouTWNmVHSwwl$dzvQU#>jaPH6y+mNet!OKY<WjPxzszDpRfatgA!NQJO$EE3Z(iXRAxG2lO)Uz_5JK207XQSAR@mv7f#T2Rf`T1)0Wp=| zD6X_E`+{vyGI-#0=#A>E9_U!VC+i7LVgT#6Yni#;U@M?%CBAdRgPlgONrXZ4t-vN# z#w6bO28Jd0Yeu!^>}HQz$ zqdY^?G4a6G+o_nCy`_L@cLPQGazaK0?(Quse9a67_@XU*h=mND741g5(mr zuGC%QmA&TS;J(<}7BYTSq5Jb`vlJ1NfGv95lo>SWl2Cu3{&Aj$(oxCeVSqeVGfm@C zGrc!+kZdH~VP3lLN3M5x(3Fi`yuh${lQ1FwcM`sn3fWeGExBj$WP`=>Fi_z}@OIsi zK^?l$LJ;>t7`AyS`rHllY$?YML0^^xk?dVS4!R9A45&RmCSjfo1V0F<=`N>yU2ZxZ zioG<>9A%6PoR9KJZGX#bssHFIeySNdoI#>nw^^}ZqSK{HUO^bw!C@!Luj?cS&tW}t z|G?I$5y4IRX<2|um*ygq1Nl+bg;lftVc2y+Ft#KM)cAcHD>|Utkv%Pkl_rZwa5`pj zz>@}{u!?~gTT*43)?{w32vPS%5rXZw3re9< zonHZpu~D(Jy(UdkZo5OS5P_Iqp46EZ%M*M~Jr+XwpGMbt;NM@phv3c$@a#JRiA*VS zbOJ7ErX#))0h7O6_wS%mD7H8z&t!D5P_dipc^HQaG~xIn^&P_j4rJ&Jz6(NI4&E1x zJehBailH(bIw2#mj8%B7rV7cYM`vDc2F2QB0nEIWQdBJwPB$qjKt>mRzXI#u{0id% zJTopt$&I=(|hgHhjtd)WBzG#Q|9fBP>Vjg!^) zT~;E_%U#?Q6h*%|TTr&41#SLqp0q>?9&y^DPf^xMYKsYRBqrgbghpLP>5`-`mZhmmi_jfZ_S} zNLr$e*2#hLzITNcmT7sE{rA7JeJMnVe-)rmp8Ec15*`cuxlKpiaiZ@*QMx%u#tV%B z*dhGGKwMOC&H}`Qi|CAN3OPJm5l|fySxq^9j-R{)y$O^40tM~Ne;_bOh7K67gdtYV zB8PrC&n-t$$sHv*bM*O3g9AA#9bzI|<5ogF?)qhuT04U81qjHK+9UKaTIPbZbekUj za2Q}v)>TP`|(ciFxaAu=yYQoI}d(An#H_E~Q?R<2T2f8@nS0JyOhRpC9~#Q55i* z5|Z_!*k?3R=1SdR2_9=^fC!fPa?c%1{_ zUy}CWsPvFN$8zx;JOSe=&4ohedM2LoG+|$QE~e}9sNu1mwFn1CBfDybUELhYSC$ak zP!I0*!u0AFewzNoDy2alU8@U&1l+^pd%F7C59o!#Su20Pbi@_$zYo+%Lk@NzKcD=YN6XoI&-*&9t8J&omn8XFtf1 znhC!I?1x3fQC`uFYiQj-wf0G##%Tjj)&*KjG~#6q7vCB5E@Xx(C}D4ZhY@Q^u8F)7 z@hUGZ2PVsOD$;4BI$lMt)TYpw2>O>&)c+G8VtwP zYW4;eas@Y`?IQ~i>mWZmHWbx@^#0m#VnMMhNAr>L`fqRcuLf`iaQx-SN|}D_t1`>5 zR66p1Y_o9r;FSf3N4WBtP88J`>+`-kjOgYy4~&T8*#e)X*Bq_@9fIyNKQU2YB-ggF zto~3x^b{(tW+G4f(}t=jIf~`#YuirXNPET|iM5zj@Sj{>2;Oj=9I1`Tg{_YvSg64{ zH>2bO3bFfgH$mZe79_Y0^aHDi-E~aUWA%%!MOROPTuWq)zdQEo*Nb4Gx6)hY(i1<$ zeG1awBME;unB)m=A=o!faC$_9Ixc+a>E|E7F&i1w*%@O7nN;!b?Fi9p&G)2%p+JvDD(&l$5%BNADKr0)5?aa$DP&xsjaQUTxQ*jWl1T8HaKyfc3770+_C% zC9cQv1Jib?U2GU=x}4ef)C$^jiMLuZrmTCpJ6%?L6tq;IlEF#iz+Nf-@G@HxDke{7>)q-XwK zke+rUDSjL5G55`#XO^&90Ofn-tr=0X-kUz3Ilv}$DZ#p)!Aig_j!~mB;rf@NjiCtP zZ~wU2<%HZOWdgUA49msG^lL5&GxA)UoK8+${`yLJ9KO+u?^G+`U~S|x51*5JBI7mJ zENwdm14PiXQzTcD@+5v}?R*!Ik@lEDxW_Rc3%N#iJ@b{f{Vf>M8zYn*lDIle9$k6X zcms~SBOCu~o6}hoKOP(uKfF**y-YQwg7;{=n0mPZ!$kxc zoYzOTYbV(LT?wbF%T*NA>^O9<3ZnjWC{1F4Sb*NvRHH4!TM;RvZBKeQ2AMuV$qUzA zGyR_QY4cbBpUEJqRoHN%gOadwKFULw(2l$73MNp?LPcs;<62-}`Ng4cFXb0N3#`OtT+wk?Db5 zct+evx1h!zsg2~vYV*wp*yD}8;0aspS~e?_E%zx*THRdxU^jlV(b@9s=XY8fvHqd9 z!7!tPA9$YL3li8KCa0}6{)x60|1@=%b&pB`+qNLdn5Gflb&vB(|L)m(wUwZoiIqGz z*T6h`oluV1wSMb|BD_57i@F6rE61A6S?2XRQd_4+U!4xSY@$Q=a-;8jP85q%1$oT8 zXll!2+zPcV#?$N-N{0rv9b3@@tmV>^mB|)X)uGdqjo+hSsB>D$KLc#F>reBF)ocZr z*YtA~qkjmN_Y6b;>wG4fxRl-(_F0=mD9*L3B*Wr)W1@zMP3mAfjru&Dbjv0@ycOTJ z&{)me#{c{41_}!X-)NRjT5V&NDZ2^c%*U(s&mZeMB!y3Oq(Vk53n_8k`1j0()SHn^ ze7C6j(1`w|hUBnLtX$W%o12g|>hwK;qi3sGb?pMZskN*+fZ3tv`IW?@QCa4Pws;n3 zOxJ#OZBeu(^BIX%6@7od$JCvDjf$2XuMkayuY4R`wo=@=?&Ep-ks_f|w`pXP`0d8t znm}GdfM{!UI1IlGV)Me@v=DFP30qnUG3xWHTe(l&`Tg-`)s8(!d&G!nOuHs$ZMNBAanz|Nh5f4O$~p`Oyny4j?T<1Sadh4xsnTc zz1h8k6*IbZ(gT*%;bU<>4!LR(I`}2c{yP$+y|`iNw8~6>SbzR(jBZ%0us0#S{FnK* zb?RaJ_||eVQb6tc*3M#)K3-mE2hC{5 z^wFtr~A5BRqAOn&p$wmsQg7?b7UydR&n3jLoi zdzu>Jfb2zmndzB`_nHCtkcWFiyrYDdu9oYkv}N4DlHSqYKyxRbwO6|mVFW2?7Wkw7zgWHfnv!n_d z+DWJpJXDoh%UKD!{Qe{OSxS!rSqAP4W-!|j=2HVnlwZbME-U@)a$_m+wV&vTYtq_6 zUN{`a#)<1nWC_f<+Bj|r_-b7Pi^@syOwUCUYIs;}&h252QWlK$soG}N%A~T2JoleG zGfT_rDHL(uwSC+#ME&T7wqgr>@@F7zudij^%YhJ#gNu`6>&mHVjSQK`+9IyRsuY6i zPHrykPRLv8hg3@iSKmvYiw6yWdsG3r7Xct8qd5_z%W*1}l#tjh3QFIr{>20hVI#Nz zGwFp#OjnmfS#`%Hj~GJpzlX-vl9i>n$5RJG=IqtAfA@u}EmWdsY)!=%3`T>!`?cccfbZr9SvQwWqPsGs@`u>STmQ7u=-hcN9Ub@e*sl(C zDIAim`3Y6$M0R8~_@@MsX+Ixl_L(P2%*?)US9thG>Fg}FCZPJYpHi0O?zdydX1;mi zeEb(LTxeM7>^C@KgZ_i9R#doDYznt|SqnrdrZ2VL`tPbCdqCt=^xF2(OZAksf@>++ z-Z|K-p@VE)k3Y*-bZGh%W77|Fa`09;YYzh7)q{1;%G?h`0#3=?PP@h7BJIz1SZAd+ z7iWEyo`^-+tidR#s*E((qKI!-;Yc_$Ub$WeOm1&0B^wK8*SiJRZz=AMHO>c4HdKFq zd%l#4tsHPT^l3G#yATC%l$YpsXW;vH@en@L2D3!94+51>A0D3FmdW8#)1{}4kz`F+ zstmSiVNi_aYm8@~z)q3S!dv08JRXNa9tZmZs%8@2Th*B%`MjjeCOC}#$*302rVA3u zhPCW{UBCB{%_l#oihuveN;U|AbX>Pq$hID4YMkOd*c;xa<0~*S>2KifQkgQW%qM|) z;x~Uyzqd>>ol}$_IXl(gP&ytMEg|^FeM{iL25igo+x(5`lH)Z~_Ko4eS!Pd}jkR5A zsaVw4&9pDgYIU>&(VNpap|$d5`EfXeXGewZaY>ZKQm}YS)Zh~(S@iBzO2ysz9s&Wz zOfdR($#@A9mc`3X+AX}H)H!*%JM>nqScD|g$Yt5u|Ig)`;_@*!yh!^?$;bnS;ZTLO za?lDB`HE~-cvrf;evAVheP`Su;KZ>E<@lUQi}cR#WW|VFSb;Q;(ueA;mym(0K5~d! zeVee6VwiL<{wQR>V)N>h`nH)XjkwYV%TnoTK9p+|^U+KWLYhOW=s;HzecC1Ztv>LB z?+T&1IW-ymjspbi>PP3}`B!}&@f77bfEPOY1fCA@i(d}(sVn1hN5y6BZ+LvCJ%L~` zv2#lYs*Ligak>wj?S zTJ^g!3q|x%u*!36U;XmjrnA^x@AT#v(pHyB?%ydQd-9|C>&xk=@4C3d-6c;6Z~EoV z0KK4Q3(r5#**ZS=kf!u_-)6dbx2nFKd_w&D;{q+wN$@ETjs9NROM}gY?1jvAR%MjS zFscQC>2!c^)~yf2E=E3dwH-dQ zOu?U?*vdNj5^6K%)t>NRmua|NWVp+>Jsk0}MGtrJcZZ-rC#fIW=}xwKoNoS}Tn)tM zHUU$wnljZNsedC5-NbFf*!ivU=orbpA*M*;`^DxW7ik7wesEtD1b zwN^C9*y_i~**XSgI&KFxQB`f|N|J#I=S`qyRGQa~0 z&S;P+de(}czaO7rNtV>2;snd6yxsU_PC6&s)&-BkZ0gjlN%x-2{XnI;=dw zeLArZBDLEcrVCW$Ru5l1U?qd09vO4iM?n zxbSR)ez6=-YQMzD(#`#3(pT&63#t2l#X$Ti{!+L6{+$QqL{`}9VPA%qG{U0~{bx4) z>zv)eyogSwgHhwgZsxEj{%4OwFI zX|zwv%0B76vlGOa*f)%RcaZD0WZuGBQD4X-5WdULd}(uJe~3)AWMJ~(v%4~EMqBq+ z8K5LeTjlove}RkI|HHq6NB%#c)&7eN_8*8ECm%1@|7NRka{vFxR{Ib2jQu|_xBoEH zI4J&`bH*mkN%0@-+kdWc{eL5^@lo*oFIL+B%~1O&5@YP~-VOKIs2DLyh;p z-p%X1|C^z94AcdYHpTkwR;3)-k0k88R_cg#4Sr$FfW!*L#;=nX5($WM0=XGsp;%TA zstuxklW$rl34Vk~49n7@8xBneO6R?vnxaAi!VgbOi{UkVwSvCB+%6LIt!06WF&pB-OT zL6uSr1RaglJ1+h1OrqQBOIu6Ghx^_NtspdHHmG4VlJ%iGoDq^THAGk(#dm#WB&2Sp zk}1dG^@*UDBF;59S#$;~D5zCIId*~^fDNc0)yy6Hc5VB#9AeJFPDg`qLg(V!PqwS9 zB+bp@c8e4XA(X9`+gIOQ{vC^7CfonSdKUXLNnt=j$l{Y3cXzY5KS|x4v8N}h^QG8D z;t#Me^gyyaN>w|AS!_K&PuGI&EPmR=<&b68h6K!Fot4-+5*Mm?XD;n%M<3!BxA(?Q zu%z*LVxK=4|L?Ngp#W2qg!)4zuWRBN3(~p>8ksZ6bBvLh_hEc7jU`%#^7xzN9qaXu zo5(!A@W`)wew(9H>$XdKqIgVaf-ECFU!^W;d9l^=JMe|$IGa()!oLst(6QdL*dkXn z0xcFWP5R?fD0)4uI`e%XyztA5YKFt~`bv|V%;QW4E;rB!&#)HAik@q#;~pp`#njoRP_GGt-KnW45LWZTCl%TFKpc<*KP@*(4Q!@bZ~f)3RK75#U{YpYsQ3LuPAbul5milNX@*E3RGpS-j(p&oQ{@i^tPmY zJrm59{u~S8Quy-;wSI(r!-b=_-zR9mb>gFjuS~JgbLYj$vcxQUyV^IIr=nCIW!Gb| zY-`r7&HC)=ElWR|{zVS|o{ zj0cad105KyH^khJM7q$&@lPn#b`AT#S+0n>p9>!g1u42?spATjb!Bn>Ep(g;N!;QO z1kv{%}LkpQbOMdr|1_98+IW18eweIwH)M%edtB zGn8E{5)UrxOjF;OLV^TYqx+p=F;e)Js$0#4pzQpB)Y=o_l}T|8X&B+8vw~DbwT~Coo)u+01nrF32Ev zR-U?S>bZsl^R>^}l}-<`SP`8h6$V8<=csg~1!h-lAEQSX(Q@%@7!Xw93i`?Dn#qCC84solbOth;3wwcA)A$7q981Xr-eRA}8lB9Z~f+_i~Ub z*k&`BH;eO0!Qds&dUla%Eq3B%Y_NgQlt5p#+Xcg65cy6Y@N}6^?TfJGZS-IxUe}TK z;$&y_@LJjIlLPUJ{!PUaNkn4% zs|bnkhbD9cS^QLF`+W3epxoajbdE1*eO>+2m15cOe8st~V&u|zJUQ`^Ye7LQJ}}WR zwCyGVNxbi8&oUsTfGlaL7+FZ!&tcL12wxc2^WXaxHtkoPX9a=Pg{IooLMF`k#K( zUH0RNBL*HJ;Xmiv-b!=!3byHY38KuPCc}u_{;oyiQdiPaoTm-vfoq-wU;Vam2WvX> z^r2j>wc)`hCG?Ml6G{X(c=@OxokickYZtU%s-i&PYt!Oz;444T_0XK-<9%VP2SYkl z-U<0gk(4GAsl`WD-zog#95;q;?KHB#1giv*;S6|w6Ro<#s)0)(K z`BB}`>q{D0cYg0x*je6wjzD^tQW}|62ekU?F_c>yi*6i!6nXVRPeHb8<;Tvz%iI#r z1Js@2*!Y9)J*}Ec5VzHSCGODH)Ua^u9S4&h?uf|DtHK&FBf^`=Cdj;W56 zf`Yb&GjN5jJB-|%rVX-%e*EmbvCYE-rc&ea14cVhMJ8kwA^X1sBeT_(^aOV4hPSz? zY$itro8p8V+z!Y&ZELOjvgGEl6<>>t%^09IN9H_}{gcV#c_b#r|5wyNSS+LWay_z^ zb1!1oBB$5_xUt{2v#2s)2|TQXRXFYVEA2I&u5-E(^9$SHn8tPVMEEQfBPBgFDv%je z&`?Gj5#mXN73}|UZ9iwlDS)yE7dgLe0f-X*%?7|xH_Y4jk7od3v!bQZ@YE< zz4>#9jQXS)7{|ltN{^)jns<)lJ7VSiW(u2vk8XK`3+6X>a->bmlg~1bZ{F0F^h@b3 z*G|E%@hV1J52~hl^$kZF@=ubRR?#6}tD;vhVQ_rznW%onUI!^J!qC#Cl zzA9_Bf2u&UD2$fGcWee3H=SPXJZscUi$eZ&v}`xL$%dc?3uSRRtO?vO)M&}HX2 zXGH^%Pr>ZRrHQF~aO?YLyLSD9jEb{6mzg#e#S7aL2O(J^WOrp{vAbTh1be@_Ad}C$ zMH1>Bo}OB7F!J3BF!CV9_KvPab?D}l(S?2Y6T&3(LZuV_{&nK}vlZHULt1DJ^*Nm$ zu3)r$yOitG;(wpR_7yqY9cXh8)7>>->ns*2h>PWB0FT|7{O~lEl40TMDJzP6@!p+n zr@8!%yN_Qi@0<=f3TWD~?!;F5<$=WyJ21d>8Pw3)F`-RppE&R345bHJ%%8sbvidII zxpFBys>kndar&t)y_-eq!sL)ZRsEmFvisR3&?(GMel8j8tu9Nb@lvH(BF=;MCN;Vz z;fSYGU>;J4d`GA|?j^F?<05$gkn+tbElSCH7#1<$Hs=7pC|D7EdWs!%Y-b$p}B zyrPzFMQGO+xh^`jHgAOH9F^SlH$y8V&mo8I=lU6K!#T|ZI!~?YDY~3{N1tm53Rdc4 zM))DyIgK4$k%Y%_B+aQZC)87o&n>IGJkXQfStMP5)~ZNw%IlTzZ6uob=%nm}zJ@@k58~ylov;fKKJRzDF}jZ5Bs5LbbhFdBmdeKJD*)(& zUVs07$XPjWl|vXY zQy>K`{Px3}&CYn&s&^|vUmo%)UHsv#hZ9Z4FLlZDVFdhH7+a=-MvEoAl{wnJ`?KVp7c!!Gj%bxirKv=&J&kau8T zZgMOsa+SfvQV1RoQQD`QSLOKwD$T4Z_|$0V?`61ZXz$u5mE4D`f<$d26qnJZ} zHa^y}M@qW;M{w|j>~ap_^h?SYM)r|auOyQ~!I{op;`uwdT`&n=cue zC*nk8?u-?2&i*~|?EW-h34L%4M+L%FiDqjjNz4^mI5egaMUGJi|7gyUgY~;hs`rR$ zdYz|1jU3Y!i2R76qn z)s}Hw3DaAea!|tmLAWRUe<_=jmHZrnXQP`VEV91Z=c9~@g58Cf@@V2HgmwQUa3F-Rj zJxeb*JL~+D0xApCoR}EKorEN?xyU$fGEs_CDFwGkF?K+`tPjwZJz&losjx{o#e&hLt^2>N+Pz&lejOkO{=iqbE5bTNi#;()+@*lPo94|TLD z!R7Jju=BTB7Jq8wHMz54QrDctNrc(>$`b}ciVS$V$cSC$X6y%x6*IC)z`X&&He4H7 z*V_->6E}`BKT&O0qd`hquDDIyzI5!8{znM6R`Qtfvs`b19u?5Bc_Ip!R-iCRHdY|6 zF$E$NohbiNifj3(*?MK9xx9BJL4MW3Uq1pVq!fs9QEqux8})kOLZ2jeZccQ6b_g2qa6uY?61PtiLU9f0rLhv`M@P zDiIrJ`lUxwBnV4q$|a~6&V$~7qhJ)oOq98gQZR0xNXz{JRKsv55%M`mGYXVxO)$O= z$te_)f5S9~9x$9Q8YGHzN~N)xX34V|AAvNoE&@YHvHuGx-5V*<+TT+*{4cX(wvrkF z^tjpNm5d`jVoxt_T_K{D*djiMpE$=}Uy-t)J(@|pG71Z&5R!oxF(PB`oQneO1X-*Q z7KjG8LObzl8n@^}t0zp447Ym_C(12(n{8x(benE+e*8Kj`Uk0)jx+XNYW^0=A*ib( zS}_7x5qWrXi$nldxQbDItTroRax0gMAGPF&9P6?9t@MbiM05$9fd7f^ZEM4?qJ1~X z^l*rxOv)g^a_|J9E^)r(gb9s%*gD2BLFsG~IoNjAo%VhI{M6f6dCS^&?4i7c4Ujk7 z}{uHrb^V{uGw7|$;VZFqm+TqEusudj5=0xJ_r_v+&$ zz&0;TBF?VuuN}RLM;SN=j3*aH+}P8wZth#pudnwbBT5Qt;MBdN2SxPA=kSFLNwuF2 zK;09IDs?ENbS}|@c|xZ;_<^urpq`v1+2~%Xi-lK|%<-`@gy{CkDV6b{R$9vN^Fe!?GDQ(9N*!qv&J3G7yOR*uqKlNkb*`MKp$I!~h40>f32g_+8*9e9YoJp16Ax z_&(9~VK%tNZkZCuIHr#F2*&33x}q*_1N_VFi6Y85g16gQ1PRz8NAVD!P8g=~*aG$- z@8Kk&zk!Lv0kPs7qJR9(nNvi_g%{BoWJxo;;`wU|uynIqwPZt>6;X7X(?!w_Qh$;e z{i2Z24a!%9Yru9oN1@_Jm~(;*R=Bm{L`xW}XIF7bQHCQJQ@x8-21N_5qz_6&!tfV= ziSODwNxa!Xw+aSUWjHa6n^o=y$__#hkV6nWwn)aQ#)3lL3F8>87d-%dQb=|buf1A* zV%z-`UEhL`w8uphH%jHch4!209us$pXJdX(Xc2}>J$I}fq&B3D{aq-HNFxzOyb7#A zDw!ZDKUyr0c4N$pMkQ?~0%R;l$Uizujbti@YX*u}L|_nC587LVLMp&TiXb`9s*vjN zODTw1)=Sud5L7zxJ)1CxU!G`-U)~8LH^}Q}hG?>pl7ut3Cmb?Kfh2?{EtZeRAP+jY z=wE~*6zOEdm5>r(hp@77c2w+>#8cp-A?nu9PoDd)q+B@}y>$6GXj&{&L}RsZWT9?m z(1UHd(RLJ?4EuNn{4XqT=rmxBmCP|}&-K7w{b>=U(r`EG1hTQ{aD=$beq+3biB{og z`g|rce88Xc%uZs2mLCTCks_y%wJM`9xA>HC3O8pPq||?VvrDtfyNJAeYuR4^+H$#a^Eef-czKh#>^c#r=OVM4Rm} z4Pp}B*J+CHAOucSq=wNS@1EZ6t%fZDe!P#~-B?b3;rmPvcz0xPHr(;pvKGM8W!z~6 z{p~KjKEYwrFgXj&*KK(nk#f1S{itm@Sj-u1t&es3Iw9bDzraSLt2lPMS4#=Cv)h>Q z*82&638n1|7$Eq)V*#y4+v+)uCrs`>1!_aOK1f3T@`Xq(m!m4bN|9T}Rk1U-VX1F^ zu7=jzsRW1pV%C{N$19-#87_%&;khV_(Q3XeE9M{C0qzgIowGrEuTryHbv8RJ=^cC| zBg%Qmz|I0k+HM~$=`q(%-S({$xKb%fn9rYaRil7Z5JM?_u0@Hw2fC(T3l@`F#8^%# zi7M!+Fmh`d68Ltpw3CwFgXs~U7!oPEtCqZ5qj`CilWf2>*~!hWW#9Vy;Gwj}ORXtr ze7Hwa&g|vY7QccK^xQr0qF5ccrzk=uiyq%0Pn1`rHP)$~A`%daZXW)&18qEhz<@9yD zqOVrrrNEJALOE+SpXWG7H-rT_t#Re$&926=1lJ=i_c$G*Y^Hhv6HmD<)4Y8d0Hc z?j9It~LIdj}FyB#wD3j1LF`3dFU6FcqXn~7_*I=Vn_qq9o z21c^^p&c64tZFv8W6S%Z@$qu-AO$|^LY(Md?25Zd`IyCT;qvf!ID0T_xXnUZ*nbWDDuaz#xE6`! zLB;i7(NFGjvJ0%-`@zdjD%TY&@yOs`Deqe^5S{;hlaeJ zoGgv;5d58hy_)s}NL#uw)TD@-(&h52G&Xa?rOvLHXAI5n$sPmZ-&td%)dl3#$U?ZO zDD}dgMo1FU6vYKfkTXo>>bDujVP|Fi?I=QMy1pJUVV=^7sSr9v$G-(DWaw|2lr0 zSRz)!Z{Xrdf*3uWOS;W{ae&l2hUtgT5^*!6Cmzv9T-Rl8MtI2=Hm4e!8z0=AGfyEG~6xGP>#j@9m)nDpk^lM^1?FMrr#??|HrY;*{q_RahMy!);y($Fck?2VSrr zvKyl>&^}3L*tRK4hcxDDiZ;qm+0+%|AYR83e2cYSiZRs$a1@^ctOHMoK!Rv0HP)epoH9;JztxfkvrYbN9nedN@iNJd8pJ}OI^W*CkFoG`rTQs)cQ zWbN%Izp^7V%@Kr->@U#`A8U)Y>=#5D|A%^nm|nrEo2S^GJPi{k`&O>I=r#6Cnb(k@ ztWz_^QT*p#^3$JIG2xbe>L$Cy76iawIJ3dqyPCmk`PgbZ!B5Z~ta%^<7ej1)6o`TW zck~ShwUzHeC(lb~kX(WTD4L=p&5nw|9{Yt<{vc$N2Hys0Fecv#X#%=QUQkoaD9|-_ z4#)+ITBOsx-1X0SlN5%C*AV%q)#Lyg_w*z^NuateGLTC;A6OLi5;pTkr-GqZ2IUlpi5 z5+YK&50RWH!Nq4TFsZ*7<#@g)THnISAhfWO1u$z(jJfdB{yU&(wngwJB}#MN;qJD; zkW&^{e}ki|Yy(*Y^G`yV{2QTHI2>n8WTp=uRs|jbu6sRNrV`Pj3Q3EcCc~$XZdHrr z7nr8ZkiyPFb1xn6_j@6e)5*`+D2$V3S=+OY;o%~0tL&Ve6v(H}BAz|{-R6v7zq4($ z_=sjbx+7w$4HD+?^MgrAimc3iMTawUc<3?R($V^h4Aiwk=TfL^n<}SG``oxfB4zIg7CNeX(6ds!VHR@d0;f_xW@1;C4xUm_i@F}>aks4Kf;T&0AW-mn$ zx1Rlkpif5qtx_wU6!KRmZz}GZGw69>6`gqiEc#?6c23`OhUQtrgw)VX=wavlV$QEKq>GU}!_P@BwmvTfN7-beRGDx`(xmYihu|$lY?@ zP_5@Jxv9=q_fCwjIlg|hZ_*-c6=%TLv7TH#=grGa!c&8i?J}dQDS;^9%@B0^!1^f9 znU74l{P_S87SDu;&~+l);}Zxuy=Gu43Kv2s9~hfmPj_mn!}fmmi3LonA&P!0m49|d z4tC$R6^+i8P04Pxr-x&0*Jz`V4&KVfFJ!KuGAPG2iKcC6p<$pR=Yn75AmJgTEM~(2 z^xLx^?wO3ASBLDYFOYtw9|$=wel`I;XM5(lp;z=f1B=G@s#b#ZS?^{^w!M&-2JC&L)+&%#J{!&8-^Q^$g)M!nW5P4H^x)>H;U!4Q-`cpw4@(|;5U@wQOT*J z#k19T!Y?l~@v_@K_bY#3c>W766ObZQ&Cqon=aDbgY1*~9p&nhCFv#}0SYSE>s#J8T zKH{4Q(F~z08m+ZolE+rZNYEk(A9f?V%5Gi|ePtiNvJJD9$*1S<}gw z7+V+!+PUFt(SJ9vaIoOBGc)Kw(J7iZ*||6xnK=Eczr3TJk&=lsz80Oluqd6fiJSBH zCZcw>&i`8b|CG$riE{iy{Tcs5_&L7c|MS)Ur2wG+*D8j8ef-Al{~N;p2iX62g#V9p z;6E|=zao65e;?w%BmCdr2)`}vkjr=IhO?7O!@Jyp3dPdsW82n6?p%1nI#L(_PsAsW zMAGCfUM^B*A~q4DN9-qUj3z&1sAo#%@gSI817z&uE?~M_ao0fC!vf9&TfmXo!>a`D zG86h_2e-!_`AOeqaUFU$5?X+nh!x0{*~884_IhpqxzzA%f-57gH#LvGY~09tGS9rr zc5$6y*AgW+*Fx8m1?PdS4TO|{Be;*az{{Sf;+Yy6_KQ;AO?oYQa~fpS1BNWW*Q{`n zJ;OyCt$S5HfyPZvxO0}*dpx}!W`h>qnnSDj$h~%6*{eThEjd6eCCY7E%2nSIKDE*) zJ*2E+p!6})@XQKI4StyioS7?^G0Sdh`hF+JXzu+X7hgDs+y%S0qG9&rR|&A3ncQ?Y zr`!+NfqjBplMWoG;<%&{cOXKB>cIMh@vuj+@W`R{H^0Ha446A5--HaE=1ZG|!L5Z- zGA~cMK4^y6J=3X?YRrR_sAD?K*-*HXNBsj7`K^Y-%vJW}sE<4jCnY*6Tuv54-kD;F zD2+K0qLWFqv;1t?IMgexk1dvbI$h>ZzA87Z*GF%v(^nZgBeSgvp0#Q_)l3oA9&7I> z*9`^Hof5e-3b9o#6t?PKQ#95QUF~(a)G$uAR~K!@!MAe=tF7kr4?XMkGu6MYDyVAbu5un-Z4Ch} zKiaHyoW1FY%JG(BPFB>X5A$=1^jR_*t$xE+x=-m!%co;jGwkh@F6hI`y3XTv3+R@6 z>d9S%2j+X~&v03?9Z%d~IADjyiuNM5ilVv$lYc~D8H9>sER`@|&YKs1zR|&UTvbQ( za)t;i=1FJW%nPQo1eUydn(JWRBalg{6r-}akE~-%+N1G2#QcsrWPcj|!HN^@)C&Ei z#6vZ=hN(U9{G@sTdO2;mw|{YIaRUljOdt5?K1ZB|Gp~#MAziWW!#-kQ|0z^K!!7C= zA;dg}&zz@q;0-XaHjo3q zgl1|b&Q|B|rvLJ%#D$o49qmPhRYCd^JSi*hr;13*qdwtMYrW=RbtNt7>2d3^D&K*a z4&=oSVsCg(wsUA*adH^bSNo>**BP9)hT9Z(`HWFXG@F=B$H~m##Y^B} z<`!owOOkdq%e3v;ZkLYs`4PMtcV)qLRs6hp&SR^@#k=1&qp&C4k*?Z=kY zgC!-U&8yT-uA*7V>(C^Q%;qkb3!A?;^H;^C<;I9vQiYlC4ySIy; zf~svjQBCFQEIL3{s}2B38Kz+A)Ph zS*h3E)0AJkLaq4co9NzyNX7Nv^>7`c*#jG@@+=`+EH>}bD$pEvW{TOA<`tL5rl@^G z0Jx`a!~KC=OG*~vY40mEPxRMoxDmf8LX z8{g8h*URA^SQ%WftWV2fQ{6=^q03iC7bxG8%Px$n82#tL*f9G-8|PU}_EeB3-}Hue zkMN(b=LVfx->#M1?j#dm%d)!)O|m+j`8*B2v9(u)=^GXV&wlX+rC$ur>dRUAp{Szs zpznzZvSRK4j^(z4w^&HaeQh+3O_~GhrcCbQE!Oo)^HtZy3cy;*Mc-ArH=Sg%jZ}x*Ec?k}==azqg2!i#;K-;Y z!P`Fp(i4_`qeeFmy9)*a5X#mud3-?;_u(Hu${b=#PA0Y_Q{wqtqM`~C^1E+*!b(x8 zdMRaOIw=jk<*XYkZlVMbvSl&E)U|8G&Euu{;k$N_UhRoxMzhgi^V)%xM%?lj=BSt- zq3t139W!^&%Al;%HP!W3tA#D#+bIZOaAbZIw^UUcWn_vG;U0Kkg=D;V6nF-3k#KqU zSYUsunJkXU`mr-W>A(u(oXze$6~U}1BY3|qiah@?&cKnNt54^vd}M9I4YNY|^Y%%m zfla|!cm2@WVI#gDH}3`;tu~%rvR<=H}ro)yhYe_EYkF#hiJfGyk8X$^XK{h)b z)LQA~E#{)lb*X|-$CC@Rwfdm$!SP}hXYAPbzTR=IJ%UAPw?v_)M~Un1^bHkV*@utW zkX*8xsi6j^-2%lWn;J)HOWT!tz^uB+%+@|os%Bl04D~|2)A6o-hBFt8tL4!d*V&Q2 z>r9)BV`)D6h@UUjeFPA(sq?Zsg4C|f)v6edK0U$(x7moT`;{+UVYOPJ%ht6n|0~&O zH9EN#aVBM`l^!+@-sKL@Q&0Rx44ZjfluWy$Y7Jyy1ePg6fhy@VTG741!={<*b!dyW zD|!R==M>}wo+MSkzzXHsr7wQw{-6%!J1v3 zSO4}r;H#Q;#jWKIy%zTV?WNfk*F}l^ch&g*W}_pjxQE_>;t>5DAgHmHY{sPy?@~P> z)I{T18q`FS@*p(dJSh)0^9V-^yOm09M}Xte*m&i&k5y#FY;?%;kUIm?sQ#X{VYjB;_MO8jI6-m(q1!BTUDJ@Zn> zX7}{G_j=pB*OI3;LvFShvgv8|Wm#?mueA_yfucCMCAn9t8@i=y+uBjKNaJ85y?Kjw zkt|E`H}Hg49xbW1@`-ZCycWf_j1)R^3inOV$}<&Ifh&h)UAi$~{JK;~_0#uLc|%Z}9kQtr;@z2;Ho;^Vf>Y6f3us}i&P;4PsyZ_|*OJKeURK9vpEw=nA#yM|; z4(r<(TS-7B<}P|yr@xEbV@DV%P2j4fS&1#ythl*p;4isAcvu5$FYk-HX*@K}_Oj2a zyzVrf(`>b>Oj*toi|bU%gnC{r!!@^%KKYEh+bne#-FP0U3@y56Q_Bj+>bgNXW)%v( zN)L-dH!sWmZku=4Hq6t5Y%XpLn8@=eGA=eE-R;xc-c{tKl@r;b@LyTVxJ}_g$c<^5 zd#i%2UdS_(U(1Uv#fr^W6@XQ-D_fBdf1iSdt#gh?54nWzycdGYQ$qa}MOrwH5KQrm z%Gq}wY@`a3H<9z@pye~JnyG;0?+@Jf>I5D3NSALLwe-W%U>WzDmw`O$vjOcX+^pNc zs5CQWOq>;JcD#ESDSo-3aHJ!Nf>7q4?s)SX=xp4+^5a<8X`$Rama#b;`h4z+UU>~f z)*&ZP1w-i^gbEMh1ry&xy>3pvc?C>uByT@1WUj^IdK$G*@E)BxeKEKfyLD6j)|#U~ zx{n*Pn!g^RH3Ns-Lt2LB$Rg`~8bkBH%?Kh{Vd!tpOG-h6L8f^~T^(95wb{KmkLd?u zX5HxzPbbu2JE3{Acw`JqAhkI;wJ;w8Cti=Onk`VQGtG99Qu#k1`O%mJc#W;^JUZnt z$Y|&N*2tD|S2}_cu>G^7)Q|Q~LVF9?bCAMz(oWKykPwnE%23Oh6qWq<22(HO+6MRC z6`2DZhYdj;BS{80YYO57a*Z8>DX$xbj9@x?UC={4abfe%+jywKb-zBHl=C`Elg)1a;z=rS50~^EuaM0O z#9Kud-=}iDg+_}kW81%FsYsOwJca|kU}={J-nV3H(BDgc%J$7MwR3}idrCBc1+dWl z!X7%wR7IWER+$>^Cn~WdNmFXWRJaC(Gk7CQl}@V_hG&rp+@a=n1{;ny$XWsc*uo`@ z!Bq6dpr$k-Z;Kk8I?~>Yrt-*YAn!4qGj$YL6WG#7^Qq5OiW557e+C#_?H`;$&Bs>7;_{>3l4^nWnw|N zQaHd3IorV#vd|I9sO=5=?Mu!`4mDqy1R7pcpSS;2M<~s(Mv>#>Ly5~D=OGpNcO<+> zO2l-}6?xIB*c&`;VKq?FJzEE5S#%P!WuH?AjKz@>d4j-1T7MzNu9(n)&IJhIsTo@RLS;smpJ z$|-Lz>;e`_wi^d&O*55rx4}iS%nY|djHyMZ&)aCg?lV*Pvs7W;y$opV>jp zy=9<XlvF*r_v2oDtGH9KyHq%KP?A3tbvEE)R!x>c-2G{9&&1t=FfoY(!)JoQcNVc zhHqG!DY%M2%G@-}QK45J5vK;aR2nKe4>ti|_gj}0HN$s(x{4Dj59UfP+?KF;Wjcy{ zs{>SzTgNzFK<7tEq4}@&{HzWw(PP!Xp2X@PY=M(N9zhl(B3#u|nJpAD^Dgclrirp) zsNeyO)`{Q&w8M@;+H+2_!Uup0sr*N+Yj z0!#>ijG@V9>!s7da%CJ&7;3ARjS8*i&^hMv1+TQb(g%r<_qc zjre|04_-bvNa_cJ1ZHGbwZ1&M1HUyRR>shovJzo7;=rwTc}hSXVg4981c91*&ab;$ zTnP{+j!u2_`L&}|trVZt+=hXJutuTGHqFdYF2%gOiTc@<*k3Fh2G}9{E*y0TlrWT% z*F{wMpmIs5?h23X%5Kj;NA)cCmwvku7`cm}vx!%yr|uVAnI6t16#>(W9lY`rZr6hc z)n@Yzf_#X8vp}jL7Lwuinj`fls`wd&EbHTAFoF4sS|efKEzk{t*8%`}y%coQtvK8m zBPvNno(RzDZvg}z*fG2Y$WYm^BgodqZza|+1G>i<+Fc6VKdSBSp;AM%n^LebL@R$hwzMaa~yC)Lzfqn(Z~cY zO}jfkcj3=Srs(BZY$c6gMt1KLFZ9{%O_WS!+41lCnep!oB}OO4=I-AOvs2qr80}4p zm`@mW5f6Kl9VoII4-V9T5T&7HNKzrvB$iUKBpSsv z4Xvlb9{IN^m>ZtvqNZvNiBE9f|1dRmzz9q>WAVt`K|x&ABOI-DRKX$jD?3pfRp_o| zE;*+xMxw3G-KVZLbYuPrt|kQzcvoKF+X|Xy+qsh|rRkd>Vh*Q@=0OH`W5xDV&N&8h zMe-0E2FmnmT9{Ds@iS2anwaN*Ozb7CF)EKC6}WWs7uuWxA*(%Fb74)Y9a~q(z<@b2 zjBT%=5KT^mA(oN~i6@c-E68#5NkB)ew-R9}i`B^|F5k>AgcPGR7F?o4Zra8&4HYq5 zk;bl=fpoQB7js6Gg80Si?;8wz2`1rCXw9hN@mGhnPdOqCQd9N{} z{P^_zoqjiXAa0k4dnNAN;_|9Q+gMH=8)vIzgQMQ_Qi|~*d zXKyiNxBR~Av~Z)H#!kk}KE4+@WBxjZ92HxH&n}wYmW7`U|CF}lPLdwyt<(x10ZFYy z6Xo-*-M{t#NQQ^Ra46{vR(UlUD!+aV+`dmLu7!c_roaQ3(CrqLa&L%nJz8+#l<&(J zF1>{gYvYmJ_KgY-b#f$(99?Jl>}OZK-W9g?bYi~6@&K0PMO3cwM()A-0L+z>VY%W}%CTn??$r+FS2PFJv^OG+$wIghQP9Q!Je_kmNKCWRua z_sbzSOJyq{FUFVH812Vu^|m=kKPO zk>C4FE+gvMbaK;`k}LWjFdt->Gbdtw5+MpR5($Nd zi|-BJXpu(X{E1_%sM+4oVd6H3QQ`-jv!T_f6){XzI7CNA;ao1hsIj5Jwf)o|@v@kXA=r?|q% z>U#9!)gBXgMZt4r_S}oiwz0Lyv5D#V@UQYOv?(ldk4h#@!(wr6_&m(H=!zSAn|) z*5(s6#cZVkg-(MBn@HT_XCDo!WKRf7wWY6)JH}7g`YHVhr_hU=(1w6lltM*&3r%Xv zD;8!DmutH__-&;K@Wf9Aa;4U)K}x2TQ%vg&kkD9>lNODO1_fL)B=L?crA|Vpl-7!( z)J|qa{mBRhI3-o>LX%RO@G&$E07n~o_7P8wd-kpXEm9}=XKA+T7_d<7qNon!%Nnpy zJ3G^2!hqN58O2fDHc~SN$PK#UvUfe}BTQAXM?|n!>_wDz>`u^(s|pyVO+Y22S*v7n zU0ylJoU-3Yyl%*w#QRx$wdwT-4h zVuDd27^L~AvWgF?EE6t8olmPaL_;+G?XQwdtOAzEz$Dh{5@+u>>A^i6noFG!Y1I9m zPj_k2N3loOCoef77Y+~|K2`D?2Xw?)B$C$8Pk_X@`V(6xx6!r&WvkZ%v(a_o-VAPp zr~Y+c=_=^N-=S`ntItcm&|&oxOKw+#l$wsN7ajtik@ki`mv|!2a2YftWG5ixFb>d8;2lGdwBl&?m z9GNa89GZ*h3|7sT(=%>(cC17!0oo)v%AfK%vAB7K_?#ruVKMk30ho#jE^nyOR~Zs? z#4iO4eI_qc@-7i7Cn@HkzLdrx2A@`j4-*7V{2m8k6 z8_Zf)i;NFXoewyY)pP;C`E`QSupC9-^`K1EvH!Sx5jjs(LzxY7H-*zji{bW!dl$SV zhP)`)-!S4Mddh}uN2(hOp&Vrm?rtiduRQW*D5W0;?wyOCsB$rC5G^r{+lz3&p*eAl z7eUGz(zVd(haOVMoJh_zLzieN7!#f6jY0g%bIXt!SN{Oq!uqU3&V=Ql$)wXiwSVN_l}M%`#Rb(9btAzQe6_!)1JSo|m^ zU@6iZ5*4j4*{bQJ+@887JPCbzchb@#b8gT4u#3)+D8(I~Uh>`#O6nLAoJ?-|SrK`n z$vSh^+8sF(TaEJ3vBQp9;;Io5SpSfLGAcQWA{8T>z44FHxu@Gb(i{FX9YgbFm~4WwUo#irU<6gr`CF`cXVnWftH(kFY`8hKtrC?I%<707O3<) z3ziU~K1CB7cc^;dHI&eifw5CZr~W^=)DVsio#VtFi8l9cuS5rk$!rJ zFGAqlPu|}=3)2r=Y(~lmc_lV#L#X~ony_94MKJCd(dlT(WDS9%i0pLG-F-)fEuZZI z*wbtKR$b(mAbdU!4LLDc^&p_Irq#epl5R2$YrAj_Qgd+C`R179Q6O8-@NuHbeo$D9 z+&C)rNMwnf+N(lvt6Iq;KfQN?nK2xU)RGjCTTX)8HOM=97bFtC(&rK@#-2_~+YX^c z6iugZbV$LYfYYKmHbbT1LWHK$vfD2_R<8RUC&9Q$k85C=e#@xe&S4R@{fpoIy|*qQ zF1YFGga?s_z%-VL(9+L5OjTou_M>VAZ^ImQq<=R(^lV+#65cRJ- z;cFBMqN7i#PSJlKjjiy<=_+f@T!iwV;Fu-01A4SBKi>WhiRdVm3voV4VhcZfKJ)Pq zNx^)SO3A%fQ|0`y8fA=SQ2vD2xd*)!>2V;pbShb9nn*^~4ACQxy7Hu9b9rb=E5mK# zW`&nElWtJg_s>Il2!}?c%Mj)18H8|`6r+9riJWKOPYnHgNaTqanhA$&R8Mc+*3Ss1 zuNvvEqsl$d9$LBBiqPqeq3K=5dY%k=0#}psZ)nWdw4B{VbqvPx zB8F?b1|o`UKZcJr6x)IGGu2`mH^P)AU*zxRO91v6JNZP3!Aim}6z@*XhgQ^%%=COJ z;F*ZuUd|d6%Ct@IciwMy`gZ;qvpNLwfSMya49uE~4;%S0`_pU{(>T(to9B7IlMta?<Hsp-kt{=VW}P+jPU-sk%IH#KpK@W?;$fNVtcrx(G*Q}di^Q0IcX!`>WDq5-^#|C zp*3C9F?Vy}9Z!Jgz7n;i>C?Q?DRe8|@4HM>oO58~wAH@!W;r8Qj_~0`Gg%d9W|h92 zN@J(#vJ};Rh1U1MK+wK|lYjAI*HC9xj;yrf^cf^=Nuk zbyAA_EX&#~1eE2u&S_yS>|JLD=61fSqi6kK{C?kBH~ncHKM~U?@?{O9Oa=qbhIzKA zyos@U-vx7C*AD2`J6>L`&M_Ph32YIzkv@6L4rMoU;{`K6-|n#q@mt+gv(|!P7c;`f z<~V&$mSChIDa~5aadMCeOiAOEBj)qlaUgeKW)g6R5_wCo`z*_=#yOfQtR}l&+#72& zz)*GUtf}q}Sp2&H=dvc=tudXDZLzB?W)#-Sbe$i4DNew*56^bxdFf%YfCpkS`JjZOzO`v1{Ar|7WnT|N~$IRx^=>8}$n}CKuNa$BT z!J$QejD=gEjFW`?UcsfI0PRM5>$}8k9tc*c-&^K2(clCB)U}@tn8+zV*KO9vA$N9! z-Lf2bRj~mxI1Ws0E9bl`ZayB=cY>Pd?eyI`8w~47`YyKtL*rp~X-#9i1Csuy60+eZ zbD-+z?h2l!nvB5=Lo4afD?`)QsB#SEqjESZh>#yI@iF4`N4Ws&;81)Qdq<`VuM2pufPrTxsHfhTkP zVGasH_L&vH$f-1zmnLq;^iY$$l$$!x0BLoohlQ4M?GZhO-!uOK?J^yj8;rauP`YlqmHm)Ao-X42o zEYxl(HB%#V5oD=2%D4cQ)XcQP2@ORHYMh~{i)5d;q7by45<^H@Qj}me-p*J|R?LqU zqSOjELFt;F6V{|aNr8V7iv?j`4mH+lkswWcfT6tIi# zgB-@urc%TcbZo2haI&nxv6s@sHjRVK4IMAG9HJ?Uxs5LWoa8>dfBPKSq1 zMdSHQOuoe<+c(^GmA%`W^SLQth$@>6tH7PnV3f> z7lV3qQg1soPc}9_V6l*Bx+F;)(;OYswE)_`dN*mhoe#4-#+=4U($TqQpA8neU>F** zt2Lq@!a*F=8S?(K$9*SpkP;qy zs`{zh;M-&w3n|F_)_TU#>wc)SmjZSktfVzwg?-;(Zs&Vm5{7IvWRY9B#zIO0*RQRH zp&Ax33{X7MW(>%E^s2xJDvXCH9o<&0WH5pyvalzoWlh8$tV=PPL`wBCJk>w5ijNml z;PAk1Ghm0oa~`8dllZKC!CuwVFjv!KW^R2a>oy-pKCMQd97d2LIsji~&Z}&Rssub} zaN)VX)G;l!?D^*XUDA{2w%sHyc{mDDAU~bYE}6)P?l!xKkQ};Uib>ZuB1it(8o_N^~XbmI`%X)8;;nXQ;ct<(er({niBb z+v;L>I858-3M^?s1aZUj9QWxhLiWY|C0<9ie0H*1jj#F7&)*+f;O6Xa;1$Upv+dL_c`Ut%^K~2>B*SoxQ-mYt0s^RMLy+c#-yb(w!>M6XKp9=k5N&s@dIn zKfT`Wp@FPN4t-~{ZE%o|$U-V+K~@jMA2($)nLIn-9XIj_L)&;dtW-|BPtLsHJkoGv zwYKPlKg!Q=s2-}5^9WaZ5D4YQTPj=NN}b%BDaU?Xf`q{&(bZm~+Xm!PAyrCTe|N^)EA@<|5Qa0t4&e&(KX zgQtBe90W`)&zkm{R=hK?l|(;252Y$jSF7GWMjM>CP`$RBtw+ZdV`W_CHt}?6pS#&~ zRq2*%bRJwp4Q_I(0<5)CFIBjj<2jot&ncBXA7HBZ>u7;0oZJVo?`{>oEtcHn&MCiK zpL?bej2-?@jpo1fh5slK8R(hl>Hnullj$ER<9`H-|9^-y|Lx}VEwucP&k_^k{}O}$ zyGZljn$`a<(p0mtTNjQ0tk&xTLE@KXX6{(=N&|V6+I`*?LNcSNa_V*Gi!>{Z`%N;n zTu`_4bXJpLtd^joGKo6|=?eceR2!nQ@bLOqX-g-I$D0;ep(t3ox_Y{vSW5WTAOl52 zGf|?byivM3n22ep&#~Eb!j4ro^*j{!L}X}$thGWi;P0}BDRX1r(siY>`ozV95$*~h&nmX-#XL(3ww@rzb`8j^RwqQc>HM}r`wPRY*nS_7cr zN_sl1P_|+YMR`$!EC;=;Om@pEX#${NmUgLCzFD=P^`=zyz0@jIOF}&;Jd`CDNEV*` zYDSQ<(6l2+{9Jw5ILo^dRxET`N(^>THGsR9%Wl#xHUZ-!8O_j4wRRmR-7i<37f@-N{CmXXp&^%#TPCYP6j(0j z>5Oz(hhEOKS%RDA?t2MXk+Wc&6QbgMe(chTGM|PFa{<5?lGta@o*;I@E8(&zkkCtP!h zb6O%vrECRN$i`Z$bJ*rbQyn8*#X+MsD7kc@Z5#?XRDzFta8%3Mu;G;R zklesM#9WX&dlx5%5tk~v*45XaWUIwOIFlrXKy)$;$)qC!0F>5_DK?f z$e&QbyBIGT9v<=QAJg|4WYQtQtk{BB_a3MT#pjL8u->zc!VkRHfr9&!p0+=R9WXhV zjM9wEy9BZ2T8|EpY-;KrI7yR)y9+pJl>_((qjK1)*9h07l>QEY1+_{gk7~eSSiEeR;lW6E1H!*lDG$qC) zv+{lDPyydnNpI-T`(-+M{`ra3@+L89`}Cx@yfMvF);?MpZmamERBSF5Y8P41I6Et5 zy(rA!wUeJ)70qi{!OL`Z8DCF3SN|9-r6tg{C1M)!B;!YuTdgPs9o4fVBPGCTD`qGn z_AP@VfN51pv{3S@+?U|kC%mc#dBY*=&$Q+*YTNjMP1YD-uUtWc2&!uj3+DrwU~i#SC`HQ6e{S!sVR^SA5~6xvx9KhMD?3EE_L5HNw*`+M)a--aQZUMW9@Iyi zpP}!YPlMg~an|d(xg#(FPXoCy?CdphXMw`uQad{J8xV0cdU;`GT?%V50Bwcz2Df6F z1~tU2TUstgX9A9W3O3{s*SQDc`6o25*fzt(i9IscloNmIVLA^od!VEio{HEhC#aPM z#gPU5%6nI0d#c@RAa%2K5?}2PB+kd}nMh&0=K7D=bzBE3`!i4w5*|$4bo~ zuL^$13(N+28@%BcLF$;BRdBysI-&h1Ti5J$7&W*ER)ci#rFnwZp}l9f75!&;%YTB@ zUZMKmdz#ncIJ9PpCU$B-af@Cgx`R7ZIn=3L9Qy5vgKL?BpIDXkxD?ioy>Qe9y!3`0 zv=8Wy>LDy#)D9gB!0Eolan6>CwpW7@O(jskbt$* zCCSw(QL$(gNgLPw4c2Lk3H+xOH~n_9_j;!-0PPcw%v@|{mpqY#jmMU^oj*Zln*4jf zVL9_dNmo!=Wku{^{XpgL;JCzr5sX`Blv^r^=rN)$&kl^H=pAyrEXfS7gAfz=Z0B;K z$b#9N{&-5Q6;-MMgj1rqocMOnfq)WpW0}!SKtY<1sKJCpMH}Ygl%^$#%rOJPs3X|{ zYpYG!V51OuZ-tQu>9>pYy|x&Nh0gCk1;XVSl53b#Q!s2Y-^M%3SFK9Ml%hN={I5ia zndyI!Er!t`4JNjuS4x%q221iI3CN;_xDf>F@=$#)9}UW29NtgdMB-7w2H-nAl{uc5 zu}GiTXO(crMGMIDpq{P)t38I%Nuey7 zWjov9A?eJeG8XwP*?}C8-WC+Nev>ock_vc>S@{vqm#|T#9h-gaW$DL;!|NtD0jI%e zZn-dJVF7gerIgm(7DR05@Kj34Iucu}K`v)Ku#}rY>Hnbaoq{}xx^~ZUb=kIU+qP}n zc2$?VY}>YN<6pLIyQkl8;+zu`^UaC5o0zM;BQG*DGIH-+xt{f`-{8>&)2DE;SviDzoNMk1=0BhtxEPlJcd2oTAuzuZM|2!FD7;;-+e;u_Qcrf)PQOl8&mb|^p0aIde?h4ErtB3CB;2rNTaCC5{%T?Y4 z7~n1YT|^%sOwpBPV9bB)N_BNqOr<*(#^7BxK>KRdA<;a}?`O`X0#uPLhXB$m3iWv7 z#Jwwg4YMZe)oPk2__kg>$R+vW6MOM1)=1*Zh$TjOHMQr-x=1f%;*@98LVp5RKC41q zr$jI2c(6v)J4>GsIlcB%N2CbpnOLuKstxmPTzJ|;N7z>v-@5qZvte`{Ig@uDV16i# zy>K7az2RCnGjHu1|`!Y29dyr60BWM$pY)u?oh(O=i@W%_*Z(vZ@#Gi~GGZ z^43f~KHcTheSo3(*h@32ZZ{!zoLv!VE zrIrhQjv4SeD{%yi56feGlS|eKyuOYsq$NV!J}g$rY%tQ(9H%AHHM6iF8lH+XT0&Lq zADf^J{u?Bgtw4`QUU&&wFQ5B^F~S9Fao<|N1-H6&%j79ID~`BcRtlf_cWSii5Uy?0 z#BcyKDSv&YJ&1L>b)GoJdy#eZD=|b5JxHo<&KAh=`5rYYY`QlNSb*<X8RdFfsHv7h+BwWgQ2N9gR9QC} zH838+DpxId2Uq4O?bJqj9^^`~@N$2S-;=0eLzK)|qgshU3TfES&Xy|O0>+Sd#`lk? zYd(dfy7l%3EED8k#dQ0u5QblVhG!!GKEAixq`&8C!}1Pa=wfK~YU zchEx<9$FFy$xki(hvhFD^bA=e?j-7$zsaA;D#r}Ywzol*;r9cur%|0dlie^|{w7bB zQXylTe0@+JV{EK<2)Sdg$n#UB0qUWqT?mlwrsQoxeb;mMqMLkUt~3Kw_di8EBMRfu zmVgthOP@*c5{sN*Ej*X)vq1CACQh%&6|=YUa&bHF;ITG1aE{KPrCKf_xZDOT^3%N; z^^`)ANvhsP3n0TW+X;2}^WlkBniCzdbLGvVm_^NCf56IN=LF4lSHjHr%+a~b(Z#e^ zXPs`{v-Zx($DE=i*tkEd1rItuSKm!O^7B{ zsWxNprsK|xFa*h5;uI-k>`>0|x9RgCB%f)Nn`-FuEd(04JmyeTfhP&CO#}|d*x}ra zo+c>8F(7zdN8~b6sX-~`cNR>>$I5_?iEQCeoVIS2Bsz%j6_m!O3FcD@T-SU;-GS;o z;vU!fx435|bkTs(WzWIqN0!?VDG4Q)$?&1JsMVAXFk$M=YWkpgIaLqBCU!{G!UORz zb}kr=G5p3r|IuAzbA0G1SZ`mP6rl-HbF{3fHAUp@`b4Bcj1n{EIMhak9&7mo38eGx z-eIF}k_3U>+t=t&woI>fvJX$TjT#V;a$TwtWTpFKA*8cI0#4Q z)jtljs?61PNIvt(WPQ7iQ$*Tc2zQnFI?)ybC%5D;jQ00O>kq#8i<(UH8XYoE{r>xU zHm3J!pr5p2xyf`8l79er>%csusdsd=MciCwzKCdhzoI&)2M#-xD&_q2=~@LSG^S!T z##^3}98hj9A>B=F&Y2Th`U=z-#HExeDBlQL6n0%C2h7n7f37$3F$W}hSko?mophX8 zxG?2Ofx2z^XBrEJK8_QGq~-a-(~{V^F|v{$XM=>d1;1OM_Sa?X;>JS9>C7jOZRw%M zp*g*GV~bU*?!MI?6i`r+)k+QOKgQ;VgC}KHs^WfF+Ausq+F8@|v1!j}0Wckjx*kw3 zy)Z(s23YwviHg&&Fcf)5!usDg`UUe&7qHuh5nK?A_(tZ1)l+oKkLXMUOEZ};a+2CL zVi2XF6N${2I{{yj^YqG}&%45vAwJA$VNNj|Aw_=$i_ETHtD_(B64y5tllhhIG+s5$ zTP&Ckp^@epiQaVKHZaqmcj}N5?;ei|=JVxtUs`0W{<5zviKcq}OA=(d< z-7TZ`Q3FTToZy8@k*>IS!ugOp#e^BdI_}b>&-}WY$VYdX_T_8JhD2j#bN&5CS;$!A zYLbLqI`$J2QosXcRF5&nduV7>v~0c1wnLL%OO~mtrx_?oZPo|o0^la7NQJcnml4{F zZN|Fyx_gFIUqgs4fM77K*IsiFS$7x5Jd}KL+MXJ=Z+Z9L@<{Og zaqemZ%>4k>f8jk#OYxH?fHL%8sx33~?rm7V~TvLTN>!J~NM-tM4 z?dFrF?TL!cNc%nJ4Bw`HMM9l2Z5$D32K>@E_N)QWdD2pB0Dg*)?=fxSbxpC0wec8a z=8ygeg-4u-;t6Ru8F#TX?opjOb@j=%|guU*cCrQsqd65`E z|J$ChxhHa>e`mnQkSXWPGVs^c8ur{sH}~-HW2+My$q~6ssJ@2c0L*O7`Zw-FAR+QB zdPCBn3Z6Jbv^jsSCeG!FW4+5wEGZ|(2{{31zE1?C;B>o$;wXv={>B)+`3nQ zsI}%e_b`jECD}0XSorTQF~VKn2hUD?HwYpH&4{0IR>?Oa!Y}(u0j4m@)``*w$1Rue z%#gFW;XjCiZ0TQPCW@Y&*^DPUvpkz9gJpBk#VbYex_WtZrbID^FhqdvzKcX5$-wv} zymB&WKI5EWw6~&DE7=nBvs~LlG?C9)vaIh9~<3$fB;Xmw~$RJgp+*_zZ(`5ZQ@bAkxB z^_pD+$$18Y_R;zzDzWR_x)k!rILk3pRGstlweV3?>zaVNc*@IPF2O!lIvQqf>IPtyqDw&do1Yr?B ztv{ByOSxL751Uqz#P)v1=}9@ajQ?E6U5e-mZM-Qi9Y**Vmc7x-6e6eWDWe?aNEA8- zpITTy-zR7#)>GGS;-rEAdajYh8gMFAHUlk!LW1)>L|GsR4OvaUeTHTTpH{FT$nUy& z;7mieULfoesbtCfj`IDaJjYZ}EuU2S|G_9Md%)rX3;4#OsQ%S}I{Ttgs^bFXa2GZG zYTjvq)btB-+GLqw0u1n=r*zw~a5P19k}!I;&h!M zE0Ky3RPRD_YOzU|Xp>!b-qO(Q@t3*r`F=eojJL*XrKFmKkwVirpC`1$!JSv0^oFn) zkSILpSd633JfNd_l*Vin;mEGA$)>Lv|G)>0GzniLcX%!q+`^oFhE`9i zE>8$!x@$2Z63GUl8Sgp3Ued*7cX>)%`DLUmL$)?(Ha@#IBCCMrO z$%}h9``q5%jyjrHtC=BJ)J)4A0$vuOcY#!CM~>d-={L+cVa9}P2;9k58CZu<2lPhs z;EwiqGRZi=-?!v&(ZGwkDqIB#B4Ml=s`blJgt{ma_vuFMmsyN29~fC*7+HI%IxA69%29VYiLNIlz7fjy`LI4xIftYt&M*ZjKKI&e2QeebZ&9Y$%NXijB+$@Aa# zk1p?wZD>{H9w|VSi6t!WU{2!7n4i_e>>;T&MUvjJ0EQD5Nv& zHGKL-L}zg7=D*+QYff>8aKNv;vOa+vL}@p48EJsL3+grBtM21zusy)S<)&fUjgq^^ zSV7r1;QIX0*!4d3BUT1|&~qgRO`RMPi;Ct^fI_4U>Q?>E!sqwzHO_y_E;Ido4)RsB zQ8s4jSxf)?U8XVNk-L1by%TW|?3Dk@(XG^(rB&|`x3 z+1D&bqM5Ea6Vh_AgZZN_P9T~BHI04!_kv*J_^nD=-UT-zp+?2XH1ypYvhxFYov%uk zyG23#YXzl`uj>Z2S+L-bh{v6U`9T-n_j=i2vD9B8ABky^Z-XAdq95(Fk2=B+rZeYP zjjnZP9}G_Z)ld8l+~XMb1=#LI12WhG1sO*$F`WdLk{;AYaryq`wd$Jbuxs3pjv4tv zXNGgRu9ExA)9FzFFjp4h^?##a{3rSTAE|Mbe z8UVra-vb~Va5+-_Zw-{{Uoa~)4|P09c@?{yr7Ubr3lx9vTYmx`p?B2 z88vi~@i-@H{emz2i3&gUKg3AuDx2Fj1$4KcKRnOMnl}Qjv#hjE$mFStx#ZI@06Wv< zv|O@jyRr)Kd2(q2S9?>P?Xm{+=P<}zHh6y0GVkUh@8}YZ$7Fs!AG~tz86x6jiFqt% zz{Yv9&KG5F@@gU>*f){31c%%tbuit)uyvK17`#)SWFOk6csh77Nb1kIb$dw3i@}i9fr%`Ll?eY z9Zi4$k;N}YEZ7AGHaC#LtZii4VX3|6oBB@>msxo)c_h%zVk|K7HsvR-WoJp+JF^Aq zYNB#O;sk}TrrT$%!uUdXFNvoYoRR9{2i3ESGz*f2*HB6>0uHQ{>A}3-3gl>bfi#BY z-dhP9e{1Yy1%scD7U!>-{?{?3q05D>*Ot_%%U64dhn|=wqSdP5x<4mEr-cTZ(ItQJ zfBU^!KaFiBWpDK4?e=}V$jVZ0uht}?nlB%;ybj=H@4#vI@VnF?f3t49Zb!26S~D4V z2}FHZ9X%YTlYTC^2<(tuWi43j?%GTG<7dBpW1&?Q_vJdT_cs3I0dfT}>KE_~`nc3v zBql#+ET=Wm3y6_D1xuO~k#Q`UH6U3-$$g zh)TTx)t$$`(a;?eke~Gqxu@c;{Zdg|c}aBMWd3<}g>OgR$qG6dL%HEcYIo{i`uZ@d z-eEe_UPQ2auWqmELWc> zs6O^scgpKt=jAe7W3fn+JsG>}fOApa`ypFN8BalH+KS@PO2rh5?2!oom5=>77^_nu z;HUI|}`JDjmvF_`FMi#=B2}H9tHc;W3kH;1s>(AHW9wRe{yW?<^pC4LM~W93Im{vFFE@y2QvDIbZb8gR=$2m&d0$NZB;K>;T!k<0LvTt+-3By@6a^ z5YL_gw3;q)Y(-Yi)D*7sz$!QlMnB$a6wUYNHbxri3^)KTnHA-S#P0xBC~ikZTsQfY z0NKY|uV?2S+q^m5lEFm}rQx^|j%@N@2FoXM;<8AaN zr_H(ZSGDr%(%Fz&T2mmr-^)QSQU0MC8{O8tho51z*f!%#%u29yDKkxB*ew!ac=_ir z$#J=pKOJ(5VqrPPpDznSA(f}d@8?Hm`32oNUa?D&yBydRKJ7`5L{DU@VY-8r> zNRf?C8uD1uG|ghdx6}{yY|)Fm(!N+{c2URxN)}@d0RFI&^F<2>Tvbtki&@Za<%yEmv7FGTM9&1xoeFiXy}>ZB#+y(3(}HJpO$n9^SFJ5KXQQ5>SJBBf_M*D2SauU^&=Uci7kxNO8v9>97A&9PLp z&*+fv@!{UDUY8Duttpog)dP64chAf@yiqUKdNEnB1Ep!eRQFU9u+3-C>_!)ktJv=T znYvk6=nF4GIF{kK1pi#)B!=%8Yp5tP@{_Pi7jbDCwDg4R0knx|=@~y(E{fJ4p6Zc# zyg{g4;nG{kWgecWy5bnZZq!c7PUAbx&NSrf{_~u*y8635Qn<2^vQ(ehrSDo!FBUxG z0c=pqZnYFh`3ND|=TB(Mj}%J4361l~ zmsALdUklJ#*DP&h6&ueW8m=w_t=5h*WY$Il-*jpYU4@GqIIWIkwnw?4zA1!v(T^(g zY)QTP;e-h2;Q-d2k4!%!S{$+*uh(mZ@pHZ_a<-AXdR%C3Iv0#T?ZGtBGKF&sR-ZQ+ zadalu(PG*R0Uj9rdLF>IJ`eRBJ8u6q-*QVEv0RR6oP4@2VybMnA&^752GExfY=50^ z`R3y&LJ(~sn?@X!hv!dcp&f|A`O5X^D;$jN;Z$-^}fUzEzO zGpG>^(EMR_T5E1tLpIqQ8%&Map+P*yl|?r52UWQBVBF+)>@s;pw=}97rs~ zz^@Dt=e6WN)I=HkQN$DQMs#?OvaQ4lql5<|&*>fal8~0H5IY%!Oe`5WYlb%wEUSHk z^pslUHu0PaQH42Zyi!L=uWMPE=k#+OOJ{?{Z4553-<1p|?A42);j0n#u)&k(_9XyOMwgpXr` z2O~XwAFz2L+IKGI^eDKbz}HhO+HVD_qQ859nog$WLqj~4Zff3((4ioHKd6E9yWQ(7 zDnW<=UdXZFfsE1&7fs-{y3$o1{+dJjBUvU3U%mRR-W|8;+Rx3^uGe(%4Gr_bfa2k( zl2|K-3a43{gTm+mvD!DFNn@u;x*URa8=r$V1IDq0vWLaxGifK?y00B&ir&1=zhA4z z=`__MGwQl2i<8p4HUmvx$1Wx6<}Lca5<(Sha{`!-UO*jN{=Sjww$vh=0KZDPy2)u! z&`CbFGlxQfqe8yq%p4w1_~siq$L%<=3%ufQl%&xwoqHD#>El^NYW$41DauUC$xzN{ zPD|Wl`S3=B}T#18eOM<#YAq|$hfbb)7t z1SFgB?E_g2UeKP4x49M}LKaUH@tyI?({v*@1|J(jK|W7Z;X^y%Sh&7jA7)frp*)U^ zI?A#&6_$5#1-TdSw8Wbpe9Ia&DuRl)r1@6jVM!A;hr~ct1n#4Mf;2X7q8Wpj#2!IK zz*{#*IXQ+OUVRS0W#gfaMB?$Mq1J6bo)<2R9Xjb$8N?=5!!5H{TYd;1{`^`kUU#i-+Cf59%*e0i$GJHX9+!GqTVP-HMSaGm^u?HJ={ z7g)two@H|^H%uxfq!O-UDJegcSAI%P2qF?TAq1&>MZ`nLF}6mCa5-ZlP~i|d6Ap)Bq>1)mWyPcFA>_g3mJ&y; znm4T}Zw+aZy>)V{aq&uZ#=sTA<27?LTtCtTa?fx?9535q13sy4AnB)DN`zs9-PRjd zffGT(1uIO;#Fvw4ghn^bBkq7!zv)D3lhE^9Y**bOor~plnVr8*`@bH8opMHrO&2|lyYyIdQ~yJDMf)ZppOx2@v6e(v|@3)@N4iUSS@OELl9 z>;YOx)|-x9rhd{Z`GMiIV9Ub4^(ix0Pp|nXx(s-e_0D|-q&oo{9T?G}2+h@MdJ#DOr!6*^OfZyNii^WG7#Y3FaxNYqMKdXPsS5JhSSkoQe;mvd^oZz4 zwD}aAmP<2FR{r$|)@GvHwS`R4%CMj(`C-h?ZgL5hlUa$=(``*?m;r`2+yzpJfv_3*U?OZL$8Bm_lpy)R``7V?SRj);@C9>@B7D9&ihn!XxSlSGJ z-(GDUl(G_7^eSsu_qKp;lABiYxu_sGq z(YTSfj$c~PM@~)UwjC9oZ2x*!YFb6;A@~g>bBUKq{K4xVjZK$;+l%+pfPa6Qng;IZ>VW$02iC9f9L2*s5vgu13Y82tw+c$Kfq}W?sZP8MH$>m zRs~V%i;d@iG|F(7z!>!AG`zmf8hpH}OEj}+gZ&KN7Cb@;{ta-CMv5)PMA{XW6EG=k zJQY&tSDnJKwG2mRAHopTFj$5(OAx8d@?FAq-PAYGEtQR~Ec(aWMK}UGF?3j)-bzjy zJ%J`?P8u^tYRlC?EjJ_Oz4`-Tj2%VoRWGIBKJ8XoP8!!lugmb9uZ)!!tB7oH9da@l z+|`jIz|dvoqH1d`;iVNX0cmS-MDH%YkLs&<+7jq;8uS}b1i+{NC0G6THFD#OW}Usa zQB;Qa;m(}+`=!kmeBR{!+>iKtPC2{|C);|8_B%XM@l%?luy)hl##4CSK7#{90;H+{ z24`(FWh+7sLkvmWeiUWoYtvwHdF;0{>P4yUU))lJhr&PI6`bI;p(3A& z<~WhWMh}GG$Ee0{L2KfJPv)17rrwiW!#mGwcU(;*F>K9vY*Z`zB}rkg+?GY^>NqOQ ztZyyl$(+xlciyz`Neg}-Tk3+K*~vSQAc8}>HrTE-eg zF*Nvl_y&H}+BwVZe%Kp+TOR?u$*(ErXOL@N_VC$1Be6d4+*ZByRkeh7`439{@@-lt z#h3XYzT+%;-rn4s@8u?T$?VV~vRt|A7Q0XuV@HitXiT1F$1c=L*0tS#&smO)E*@q$ zXFgWFf)?F=mZJwF%5UD?NJdTqX)@OaS0=ail(^@;zFI*z?8d0RT1g6U+_XFyz^R(B zq-Ui3$26CTHdEF@#Ol#bIiNFEI~Bj`F9OvoNvI0fx70=7 zy_XMyR-JsN_wTq(?FPFRf-M#W)XxWk1vtaP03Mmdjnv|N{gZ8joBp8*`M2AbnIqYL zlS}pKV_T+Uyx{YfWw1G6{+FpJg~oqB?RTg&ciQ>7y*tm-wH$4A9=B}>ye#_y$|MJe zgNHbWM<@`{H9a5<^x4!}Cv6mkJ@J%B6|Ld&{4KOqRI7vBv;KQ8)o!gvFb#T>bao-$mmAmC!Lo6B+ouX@=;Zk;1q>?& zThNHRP4EV^CK{gn;0T?=M58?;7q_x>>`b^A0?0M(^qlrbsM9rdmV#J&7NsM@E!tv2 zH*<)uo$F1+lmU{UDUMc+`!B&+cC_al!xG_qM*zwXrk1%e;L3L*LIf^ADx$9nMggG= zJL{Eo*1>(nPzA-ahvy2{-C%nItnBxISky|+B$BIjcrg+Sieq*{n$8)|;4+l>AuD_k zXBOd_X$%WFkg=rQ=!#XgqLr8ewfmae!&%{A!&zFWU(<>A>jy)ROJ*HyDw?-2zn}qR zuV=0WB!m$>k;E`RGI=Nx3+XpvEuKEnYdqgX8#=iv!a}Ds9A=F}dYCE-gy!#PW@(K^ zcmP5~ek@<9OK;aA1AQ24D^WgDG?8yGaI()B5DK$*^As14Nh+G91}TIciM*l(OIb;% zH^?0Kw4WRFWh?*FaqXS+aibxR3rHHm9`@vB)I>-MpY1Ps7>|Dq`7cPLFV2GlGFdTB z;JMY|i%(PN1vei^`6#9-1{_HwNZs^XL1CtT3wbN_o2V`q9OI9NhuN#k$4`>8`ch_v zC-%%Lq3og6WLehzimK~A`Vv$!6_h6{)tulToeqI+QX(Dlr1RF$&5t?y%LdJk8+YVQ zyRWl;tOaUikizNY34MgGC?-aKUnw;#zigCR47Z=U@W5^Lmou&hu4ebj8?(6|F#A~V zf9^Hi#d?i5QB+qek1b<@-nU-R2Ps0Gqpf?~?*1Cj!k*C>$y^<6J$L2pqJzH54Ilhe z=h9Vq0Vc7k%GqaPSAi>ET`?$xo(8!kN8nB4cjot0z_GAv0$DS_+Zpmw!0QvRRd?i1 zlOLBl_u+wVus-ltp>_)?>pQ_=Kljr)PzdFwfdQkl>*ZM5W2{&AZ1!d<58 zjbBi=0#Xgn$Y@A_4g1-l3Q2W*r6TJ>HnlmhP$8fIS#|0J*OXLUVHkynaX?e%Ku zr7!6z50{)hiL93WcfG)7#^(`7>^n2WR%h@yc}Bu1iY_f#2N1 z^GTk$_iHxI9P4*BjY2nIuA>%;D|6m$ilLp3bVlS@QXK{Ik@blC9?81}k+4x$PQyfq51DaoU+*$B&v%|LvDg z^>t5CnTaYe42pTNX~l$tBB z+h3iN*Br#FWq?Z9E zxIg`z&CZr4>h;%~*n71#z&9J%hIkO*Sah8%mbj=)pHc&BQvXs`+Ga%Mr=>R9Kq6z? zu5vJjtIa@FwZ-vQ#Cj^$-NRHQ|C$P_$$fTLg^e+OIT=}w%&+uBb>)I_zSLhz1^%08 zzLLT@A%<;vBhO03=t(HlE;>4CWBYVksW`1RP2N<_>IE3E#Ocj}q!+5o87cFnK8wn# zw3A?rQT}@zHJq)l&Z~gT9d1iKHonn&0nXTPJuka=+Bufd?Mv$c3PQxY%PQSFf4fvNI7&w+mwLk<7fH$oK zWLj3vTm_KnB%|?l3d@iKIB$`dBpwVt74#$K0{%!}gaVB<6)~pj{kqZbsSHwIlbu+s zIMkGm2#2p|Pfp7fmhuCW(G}&{ua#DJTF>H$p68eVq&`kFz7T4Et>owE^^KKS@Ho`k zw%&V;`&q?sA^at8FqAmVt}`UU$M~#c#YOHwO<}!!3ukRe#PBT>Uij`;!s&uB8xKCC zShqDQBk&QB9zdnKEo;YN!h+$yUGs3Us*+N+vXhHi1RFYQ%HL%joKCh|(=AeaZcQ5e z_OMr2L0}$Aixso1d6L~s+*wQuoVsq8p3{s=gizWx&UJLr~ z<}SE__O$nHuAEMmtK{d`hUz^29c{Ab%Y zYgp+13Jz?xZQU`VN9+bmkKaFsWgEjW@aL5)t+^FYM^z&-qL#QOgm>Vf4y zyomqdr2OBz2>+)8@&Dv#z(Gq!UTgeAJ+#63uhy-V#R6Wc({$-Q+8koc=9-hpt%|7` z{JBzNYg}EUZAYvE{Gb9c9KE=FOu6hVzKC-ipn?g3KnQyI`MiIm*^O->*Ry;1E;4J8 zX1=@3F*k%bim-LIv++5yYOj|VD^8xez1$zvTt4PV9?kHNejCW&!~BvWBK60He3heo zl`AtILU_A=uWCRNsUl}IzS^8vGm70hhxhy4pZVQq~T>l+B@y|~EIscktg z6OM|6rGPu(B7j@Q*#_ArcHaz+cj_;`*C=thD19~A!lAjO)mN3ZLtKx(wMRt#T%OOe zNjX5%w_MxAy+-7;-9=+oh;Ayjl=cqBi(?PMt;hL2##1);`YiK0HZzqRN6N>`vxY*h z-u)<>k*7-Z88K@@CBj4v2b$bZcb0T>ciJs=#@?$Rt*J170D^ohpMO_}pYBFhb?2{V zdBvpU?X^tfiqZi|_Cv53N$G{k6aUq8_2o?W#|5dF9Q&BYOA@PLi|f0TA@%5i1ogO{ zFCPsd$dzWEMhkm6yoDs7(0PG5-@jKn)vb33I#pDc#)X@?*`8Eo&Y;dXM5|}#;#rcr zUUln~KZni5?G8e}D|>LO;|$urzfHSt@-ZsF$v&I}ad7Nq5_11yI`M1`+bUnBJHMEU+YaUan_M>$c2|Qw1z7Nt0HWlBZKZ zDVNf_#w>+p$j97xlQysBGBJRKc@2lu;swcr=NlCCr(>j-wo<@}2=#jg+0J_fC7iiv z4VBM-uXX?}jEIStfPS$#E6>)j9!uvVs{hIh3xHDF@*}PhZX3E%ek4^jeLZ)eXZ!9w zr6}>P-d|L-3SFq=gSepz$Ku7dZWxI-8J0JTTZKnPXNmmBjR=+c9c;^<1>>m{wm{gQ zn2f`WYve+1geFpCk*o(s82EeOPaxXYxFL*bv6c|=aXp)?5IxHfpL#>Pt}O;Pf33|QLR$KF-pG~dJ;+dWa!(f5H%819 z3aU$!?aJ?dV%Wwr=l-HUOms441*%Bm^EP1EaHs9jzah&70iQ(cU9)P@=RLxRn1zepBfo-nld zbwEEYiHaquU>!ixQ;^#Z{HVkjSDfnQp z=6wBkUnZTvw!PJlk8hFRH=DNuWarkm8JgXry=G*jn+v+pmhbL5^ z_|zTkQ6Z@DEZP=ZuvxN4ik^R-nsUDL!`YZm`#`s3Fx^Tm`QzKLnCv8#>v5cP*p4gc zC=FL_4iPG)=Pw1SNO=V}f*eCl!X%SZQYdEUcMDntJA;h;JAlhAL>0*cPf)P*RzZ?X z#~ZS^w{}_NFMMInROJIYBPJBgn8rx!o+|rq%*Vzh{q=akP2(dSp1fvU8&b+NPo#sO z)X%E17ugGI!SRJs zpMMo{!JjN&e$y^z)6u$3D9+%MV~Pm(^Mt{g5S}wQM|ol?>j#C<_CBoH3gzkCgS#%8 zHLhoUm;|#u6}sh2X(X_zvY30wkGB=$E^l#D?M~GqAIpVZZj*mHgEfhIs9a}zJLkSD z9%OhiYn$Y@uC1@8`NU*jO2zyu?j6EBS~2nImiF;AJvVuVf0CO9UCN>`eB0Pevxsu8>k#$i1?3mE+dyh90jAJpI8TGDtWMxmC$To&r$lJD z2hJAK7XxBGgTB5&HLjpdRrzC;Ax&gv+ziWtFa|)aqL3X4(-9-w2(^&VwDgx&Xp&ce zLApU5dr9=~8(Z`;H7;Yh^}9b+`2-*Wvl+SE$we@lnBR#}i<9HxU$vmM8jGQYFt+|3 z=v0!ciQO07jFc|VC?^_9jGhw}Rkl^g3IZ4NoZsS(MjK9?s8V-woAjQ_t*vIMxrVKb zl-aB*Tk{(9wvT5L-xX#LaJsQ@rhn1nGU>qQ_Js|ay6<(#Zhb#wGd*@kgOh465><)d zb3Pca$ii|l^2e6B0=Qxx)aps=Ik}NIW;Pc0zXDkHdFpin+{u$_zpkqPZKhvgr-9}7 z&%rXeX1E=*Nk<&Z7ZvMgt>bIkEh;N`UO+x|NP)a-WmZDlC0JT=os`*Bb#a1`RE_8& z^6v~RN7PA*@#myLVT@4GDo`F#xq}6ShBaqCl~6Z-dOb3(`z#fQNx^ymj^gq4oGFfF z@o4*qHlbJfIlozcxzNapAL3rrr=Jaku>^zd|M|@mTKy|rlV*B1GEXR7hp3a2czb^I z1|H-$3UC0#I$~@+J8aYA@Gk*caX4?Vx`qgCUSt|pY$VB-t~Q`Djo1?5>g16gabC-4 z3baG5u?KV=Kh3`+v>8;tS-T<0VHM)f7ngW2Z%A}7@uhNHTg$0Nzm5BJuP~Uo<2DDc zpLTLp|J(y-w0@8g{*?iSLLf!OiB175>mXkTwjt7I>p z^*?h!hsoSg%mEf=*|P&7;e^0}JBeEr3^ZBZ!EV7 zWFHcg5Q95}2dmx<;>c@5uYmu2v9P;u8f-EplN6+M&dhl+1R>#Auw*_%5!p{#|?wia z$z}sK2yB8?Vq^-=1p*0h;?F`oV?XtCG?0}}0Oz^Y;7Xci^GCY7+hQju@V}~7@gNcn z$u>S#=|=cX8PP{N<{%Q*E~gPWKQt?LcPgIlAZ?;EBsjg7^r`2v{|Z58GAB7&KUT z2Ik1$*R;A&NPDBg;ya%AE1E<=SybBsj!K&`mA{tF(5bHvF@}cMrCjW3M$w~aH2_`ep@l!zghO$!Q#!14;N{&zXKmC>wXz(~ z>mj52H+>=M6SVI^#}XORX*8=J&ot!noMN6JagXxJPKAsAr_U?Nk>dBkzV>-nPP+lV zeo5qhZ>R;=G%a9;;D^>~hL54`jCuR|%B`6)`tu5BJohZOWS5$_<|XJTrX>0av>j3) z%Uxy`CK_6Hb~kZFy3N%(hE(NJqh@Cb61-*w(#z!AdRF_CsE+fpAlSGqQ{_(&=hKQ& z;FmHokA!3sypQ?Q(OHsus5s1&-r1@3(i66S-@!=4baX}c+{E*79bm_=*UvN0YFMuD zMnoHheo8gpd?cd`smX5kw*qhtCfSUO4?D7+k~HYL+K#i#giZ~ zi@8~{nlm|^{0J0AONY7K^(0!LHIR+|ov{OY$WkA^#MwVgZkWJ=Z)VbPpUn-W!9F{h z_a~P9eo3!aLg~eQ6s%{H4qBUsjct2*@v*Si-7P~!fWwM_Ty7FY9F1bv4gUU` z00{v_MbPX zGJ>0fH2XBdFPjdeGSr=^ts1Wfo6Kj2CFQT@K87|w^QHHjZ|W5ZHQ z3Ntnqbnoqk4+BnDzO8p%+XpKXuO`^atO)dy`mq`ps-c{@CzgG6>nwew9c!F@e0K0w zz9-?=dwj|ny+91iqLdQSsT0XQY zUWZIh-jLhvjBB9*7L^NWvA16`ZBsp%hBr+vXgYLP!r0WHwB2H^yWuC8%JUp6{B{}fV%S} zg;59SVnV0CMqj1UBHL*gR?JfmEIUISucG&bwk>c6)a-q9xq4=jH1;W0UmP^8eo3Q0 zVh3l3Z1|m3&)<_Ym1Hx)(Pl~P06=98zu#z{a>8Sjvbz3spaJ!vJYAA~8%9ginf~Ub z#T0ugUWhV_MvQ%0TgskUp&ECfW#Ap^8_iN7w7QnL$9yiIw?i z%eSvd8##>oX=HAS$ZFYQe6#MGT?ujbEuOti19gX$+Cg{48)=d(Oo8^v%}$aKXtTzy z$E#xP5cx3lvI6L*ON<^v=Q_1{o)>Ai8y;pvh`!_O*0UP9*|?Z;L!SCA6Bp0HG4+|v zR6tMy+%+pO2}+OUOeaz{YGUhbwCCcA^m6-i2*HY7rtSdr_8^DJ$P%>F)?LOVCS#|V z&Vw;=XQ`s^Kd=Luz}wa`DIkyLwl=jTD0lL^&eo@mua0*OdbQSV=OEg33?`-CsqT&F zHIb!8fQVTEy#xEbP2(|?6p!Wwf37<(^`wiHXg2Att}x}_!k0kHR*v~&-0Y2s>K*7| z$DKq+AD8nBuzG2SXxd+gMxAI>e!K)vXL~DJpW45a`K3mrz)vOzYSS&J_s(Q$zM&gU z_194&i;*Uoa5LiT(i1WI$!TH0Dw>|@me+iJd$rXkrX!tiL0I%kFUG=jtBQ1x zXsz`u!{u>VV&nclStQ?&G z;$STQMxp)*l>Yaqx&8+x_U{u@|C3pZA`R2NPRV({WfAt@B#0 z-Vs(LRU?7)w<)56*BzJaI2@ppN?)iPgO>5jbHR;cl;Pge`vU}g=gYYnNk}{V#8(O` z`*eRl%vttec+aW9?wLbZ)oqr+ZvJ2Fqtgj{V`quWmj-p86?5wTP6z9{tN~W%%J^ylFMIz0S-qi${5=jMz&xzfM z59Jewli!72Y2GX$$v7K%nWrDYFtbh@TbmP{6pLgN*BCSL09Rr{cdr18-UxOM0pt*4 zK!-!_YHHyiTW0><1F4zx)l`$*;_G9dW?EUP_;b72q}k-l)I(OLtb}IG@5p#TTb*oP z_@P~uI=;actK6!q?l@0#v52G8x;vE5xT~YgWvZ3wva4&@Uv6d{+iL`HGX-FrY@;|D zn!s5*6IM|riz24-Ys!6iqmM6XeO5$O@O1rygGx2Uo!xyhMSDM3?!M_H%3SlB^>gDr zs|K2(eeQSb=)O`s<41tjl0x1)%G%pRAcA9Z&Wq?*Hp%?u0KTnK z=dTTzu}{2nw)cw|GmFMDnaJ*XovPa-9gS%7Z2@Ewg+jtBPJ>L5$^EwMZdA)rd06r8 z!2$IQ?)NW24ui4}H2d%WaopA1MMrBUYyUPMpRd+HJAZhUg{%W~WVHY%xdZpKaCW%z zle|+5T$*RXt-#_)o;I?~S{moG90p?vvc2)OS_mVp0ZR3}^Be%ylbN?P&<-QCIWqOa zkoJsRICv00S3l>R{bsD@9BmBwE%t?tzWwCt-Q& zmQ#ki&(XUyw@^kqc#yaBZUSl$id3%EC%&6vw?}o!2Tl24HOXgsMUsFLAFtnR$Zz5UhHv^SFT6mgo@hEKWuSIT=UWIdl;E7QCRt4#t+0~ z;8<;6OOpv-Phed&k=xM7A0A1w+{sV`+88>{6m%m-uUhZ1GWwPLu-0KrzTmI@V$=o4 zLG4B$wtnEMDy;``kPezD-QpOW{@jbaCfhfouEaFF^wMeGu9NC%IdGx(z-6-hU}N)( zTZu3zH%5SWdctJ^FU1Y9I)kdBy3OYpaeYlr1d>=ge3bnoX&6cSgsrFRO*#RVG;R`yi0$=VJRCjtE_$h!#c+dxX^^9Bq}T+=km8tOfaFCNd` zae*YPc?Xmd(ZTTBcs6xY-(u@NxG1#8+8Kf&8xPtz&j`D^mXy-W{cb!tPVxueMS|vB z*QBs?s`N&;EwRk{>g|@iv4j=%rp^{{dOLfG$|T2XI(m*1>2>gyFXpV z>xn{djgauSZX!Q7-_rmpi(k(f3{zAh`|m+4h@DiN=sBoB%! zQut-%y=*gzL{4sv<4#kz(Q(O(leW2xk>TcJo$jJvoalviM*n2{ySh8U+r2UoudidK z`Bwxs86MNo288^X32b0*`>9WJwMmKwTTaI{?&|Q_`0eF3?!g(X7lF(>gnBl%1Nfy3 z4td!LCI7;}##>k^vhiWY$qn;FbA2(^Px6`SCm z)xpVE&&M;NZu4<+Ii)w1kMU>pa)hJ&;2V5e{n%(7shCIP?x%*`d8LGN*&h6MFw;I* z#BC^v{25@T=m4N%BxVkylyciJW|D3?q0P&n=gtQBNAye=sRsT}pJHz?Mq7{YCq4B^ zhKYOm#}7*~R;5iXV4M4)Rxv~onwX3X%n_b}Qun4E(m;AvGYvkfXNa!ktjm{y;mj01 zmbCFRMol`w`Zz_{`}km|gRw(Mml>(QRD@$py+YgIpzb(8Epyei3Y+av3j=qnK_~*S7Q5Hj5fIIx@7uKoeUh#$lPKhy_1T* zchJ49FZPjz(hPoLe$>!i!b``1IRcOmsg0Wb{JzJDpeg*=8om()ccQ*@M+e%Lb;ISI zpkte297(AS8b2&?Jwq(U@-11Z=B`Y!pBHa6XZe@Ow#LK>!@u~0hzQAV5%{IUAhE_} z&pXL?y!!!%S+mqaFy^s&6b5s@!vkZa!d@kf6fzOE7%fE#a{;Ciy@+ zn&(O(asm-uH=VN(pUZrASXxL^@ zEM=D%3lVkxFwIeBj%;G_|itDi3Tge0jEC zr_-yc^)8G6@QF@n*Zd6oMV1who#x`HXu_oElUz)gxf)n7S5kNC*g-1>yv5v@yBe;! zBZ?glI!FwN0dUNTzIc7fm`wO2m=s_Y=Hh?7wSE>fq@R6C83K<*raC*$KFJ~aTbz;4 zM^SCl_=m(TbnBA7zTW%3lZf#M-{#|u$VDu=4see#Ek4Y^dtQdtWuZ9*F`hCb{^9MQ zDKNA<*BV@HLESpk+D+{Re0++d-6};*oebQVVGgbQe)qs&_Vgc={XQ2jC4%%#1pMF<`}p zZQerKYPIYC1jlox$K}V zAL$T%XsfGhyS6H`WZO4aHU>V3iYkDK`Z|B*>|=TV!u}C;gAX>u_P6yDBWb}Sq?v44 zE{=^%v9r!&=~H^{me}el`X@aP<92Y4R3|}8d`g&QS@AJDa4t+j*+#Jh;)F%-RK{AG zPVXZy5#2Cw!=1t;|7Q$P!+GjD!~$l36#%~SJ1iTagOMELKGfzO1oH%Ffp-y-qXn&$ zCvNRun9NDC2^<~;h8N@ayx7Nna*~;)6kI6TezcGXH-tOSq=^jaJ@YODotUkV%en;* z6UV3ZtZ@rUj3e1-%UW*7B@K}PkY@gjtTCwYbLXQVB|$)p40-#p+wO7tXY~fk1N=ak zs>hprVE;sS`BK+3BtEgGseR7*XuP}_ZRQ?hOVJ~G24-r)=U`|Rt*k9+%p5r6`8@p% ze}6rBIOhzc%6879Ki$fxhNS0dqQEWYTE{hbpl>c>q5kExVZaIuh&{^49}$J(vE>N1 z*nnvWZ(S{&R~639+_&Qy3mxZ={~!YU1ov^luBS%?gWm|~HWF64emyL{U$-u<4Dx*x zW8Uj}b}?5%cAzCBWjXuSB)(tHL!Jqw$`4TqDW5HXY#~}hy;q~#aZ&H`w@6pq)pc6w z=L;P2BNLA$C}bTOd0|s!q2D7DVds0YZ8i@ZOD$UK-(q8RlOP~lJ(sDek{^dZ1#$W^(&qS*>>$>>yh9Aj= zi9`aFha@N|4;>?_O}-<`yRIM}{MUJaVFmPrge)-{4J;r(Z1+ry|C6`^|3iLJguxI*IUx16eX-TM-6TUPi@}%;mo+=8uQ~@K7AV{iQrccLDdip3f&XMg zeRJ8eDHF&Y-UW5|{k8hdrm)Kg?YE2d?^*o~K5ssm-qYAnRDWq>-j`f+Ul!O37 z*)`Z>dhIF!X588V7vgD~YY_POmW6j*9eJ+|LOW7p=s-dkU?HX&9>i@P)k zB3TnL`@0I5p>OT_6~mu_EzzAsVQ66d33->7qo#-fjo<)^3;k##HGkb6!1{7@T1L9ib%e00_pLj>Zqex-)nVxzGRm0k~;3)FT^Pv28g|(pmn(r z4AU$4n%s~mHEdsGnnZ1;h>tEZQC~5QxR^~R%4W^Jm|Q;+OBl{_ zgSL*85B41@_e$W(bSzj`4T#|oT860^XI-s(A*|aKa$Ny|3`{(6{OA?4NWJR9pRY3q zi`kUBI&(sBvQNaZCz9h38Jk^TOp#+KU~HcWwg(fpzWAMz7HC9KpnjuqI=Guy z>pN4CGC^{|T!9}?JWfxsoIv$i#dlfl?p zscB-7A`WHIv_aJK6r*H}ggvY*MrO(!6?kH+%EkNa8G~u*RIPE;bjuA`oCZ86Tu^hD zDK~%_+|b);-j!X#-1bdO!lT8qY&io80cbq}B(td|G~RRho6z6*jq5heegbz}tZ~?B z07MB7>?*3cyuig&%fi0e6hT!2YT z+hYA3{i#=W>YVTwxCZ>6%x7@}fb*r{_Svk|)f>alO z++;P_>bJk%X)(w|@n?RDOAF^n&PGUe%a#ezt{}eYy6jaWWI*OE+in@O0ow`h%<$bqn+oWAYH8vOq}D5(}WcO$ex7mdC3pq^Wa=V_|a1914c(gi=0S=;qi# zv{QIZDD3Yj19DO++`uM%XeBE{$hxKj*%SZZbsUN$MCPx98E7;;!1=NNZKWY=h1LJN z33EB*@$HKQjp<3N=>SN<;XI=#jF}ru&F~!XgR&sJo$H3giLbY0VM{z2e)k?3<;0)$ z8p=<&vX@Eay&oZ3g#7L5u1XON%zU_ebDu2JM#?Re38QyQvh!mqfoN_S(Ye>N7d)I^ zf<969gzmuj;P#ypZJT0Na z@!exU*APEkrP<>q`-gZU_4CqjVA4LOk(56hL_vao%Re`^Jw$=f>78ZIxTCQAE}N+D z`8s*OEsOMoK_-3gwG~S8P(dfJ&LBC$vcN*tVd!&CJkS*D1q)|5B6Z_4eV&f`WgPMt z&h(;S4;PVpBFIfs9B{vYAtpuh$x|YN))u~Kgw;5eWRhG;m1l0B%3?KTj9cDi1#d$EZ$&$-ZnNIpoYhQZ4hq=B zWlYtLxUZ}G6In^COw}EMfwi`?x>^*C6OmO)#JQ-S!~EkStDUpz9+>oQe|!De0d_@e za+^|_aNyB*TXugjwdn5Z%4o>E?0{tS;h0W9W!-^MQFb=5RT9;Xu{(U2M6R?&@FJiR zD1j@;*GgTXr>CQ&j?hrG53j{Br-1;^F$HUdYa>5 z3w2?pvu5S)l57QWGB`g$5QnRRoR{O2Fj_1j(TE;31yg@i+Wj=JJG;h0WJndURqfRq=;;aO%wxfYnB_fN7 zS4um2PbYBNZEy$6pP$kxA*PSuo52291WAI^s@JXLw$QDhDxKTBqIfVO9_l9aybR!-DY=HV4)QmqPHa_bpe2x-L$&{uNLH z8pt9n$$X}A%BGWzwYZ8BWX%q=xSVg#-bw!it99h)fIVurK-Zn&G}4RMu-BtFOwh=; zl#p`8m_>~drq=f<%uKb{CD?V$))htSpNJ&L4u#RPTAF!kPgn@JpA#^`?Eu-!*~sn1X}Q0umbgX zbuw}049P~=cgYF3CVl>qzdxrsLP(l3|`>_ZG;nv=$}2FpC@$>}Xd;vdygYn}g!HGqz8422%*0_D~8* z5GQR9NAaI1xp;7Kg=uu5cmi24f`6bJognbmHwK*g&G&lD)%`Q$rae$MsG#6CO@3b@ z97xMq!W?Xo4K1Ps)kByjWH(#2Ti_`LQDIjusL*pM8!rnyKpEa9>{->XURp!Wm=H-v zG6tZgZxQ_fr!?OI+3~s#6SY`#{hNm#uQ6`C#%02M*mScA1A;!~enS(|%K^sEl=oQ+ zBEE%4h)8^kAN6h&_yrt4S&emQf2?KC8x8i>Z2qhdM2{K(o5A@*ms1da)S`OR;wO0d>9+}ut3OnAoX--vzAa-4DE z9UFs`cHwFILX1&=#S5AV-=!8%XMa!<6B+}sZDC~c1k@}r_8JV1HO@zUr?EpO(hxF& zk3R$-*mK{>M)`^SKzjoZ=sg7=x$I~y$+z;6-aL9Dgck5@84M98FPcI_V0F3e$Y^n= zYz)3f=J73Q$3vq!-wM(VKqWTWiDvGV2wIDJ_KS9XV9D4(N?%_lA49U>Hix1zCN+O> zLs6CW7UqSRYTm!RPgZCXeGE-ykZ%>U)deRAth4$9z!KkQd3hV$B8PePbI3t z3ZG}kYl}CW(L0lm?V101Am_)6W%V=^!elQ}BAbFLe;DHc0$Ww8%V^kX5WNbZ!HvS$ zY>vOXHAz}@D@5$%LsCg)PDCw=#rxW!d;XQR+{pV*PE>h2H~J|)s>u$Zb-=aWY)vlv z_{5kd<-#lrGhU|x}X;}anUI@PEoi|Xd>6VteFG|PEZ-q$(w?gu=+ww4#WH{ z@{cr3{deu(&xEk68kVzDOg)i0{+zE(Ut>7;#{%M&ynnq);y|$6_PuDY7w$-@Gw^X-p@3M|i@|?%NuJnff7aoT@o0ju1;_cSHJ=7OZ=pCX)e|g|hWT3>( z3q}88FfwCQm{Ozo6!?@1QUbq>UHw_#6f4Y$!y&HP=X2Q>;We{{+N^oSVdc?q8I;q7 zzdu8Z?n(csR(`vWH5a^grg-{+Mz5cgC>Qblr)jJis+pOp(sA+|IZvSBh|7}B3$m_n zG^LPJ2_(he;@bjP5K1hP@JHITh5*pB{*64}lcqE+6k_a%>BWw&#<0z><5w&;mV_M& z;S$FV2$bLmQ|yUf#}jO)uv~Nz5}?WT6A)ewcb6^czmRRWlbw+8tNKtPwDX@SLMfJh zJYtwZ1jh>nM*V1EL|dhg-rs5sca=NV5XocwVTG;`v4lNat*XqWuOYm2==gj^=s^N# zUp_K7Sl1qDrLYmql>XzJep)lRqb=jO+o&?RApg*lhrI2)kqo`!EQ=iB>4I~x(ah|6 zR@_onvrLRmMKj@w zEc{+#V;3eLK5}^{J@3#428zTXV3zB*r|MGQ7$W#QJsb9DiI+IV&Rj%yY(Bw5kEtFw z9bFJ+v5xIuZhl7wi+ccoovB|QJ+AFYH6d6U2L6N11)kEJp#!qogYh0)i!z8z;3khe zbRV4H#cxn$85)R3b&LuEYHDGJ53h(Y6{vjqDMD%*X*4YiSOg&?yi|EZL^(~GlfOmd zecXZ*mJ-ZhEaX=*sC4RvCphlm4BSXn)sRs>c6taTf{Av*75K^zFMXNdDZlR*>tDcy`X!T0Z=ij-?wv43M{e?pL!f)S%$b!7+@l#!$@IN+RJ ze(lrP)un2_uqn?WF<9rq1HuRlW6Wa$?!SLmSqyN))^bz>R&!(UzluMc?dd$ER8ys_ zpYWRl120T_Q}F5lP5M;qt1Fd4`C#>5hj3VX^}5RDEE!7x&`cr)E|Kg~m?y>$_L1@J zoQ+ViRgG&K{X;j~z~oG@zut1NkaoNQ=AH$UNBdHFu181)j2^HO_>|!HfiZAKDVY5@ zm!GDd#Zu3wq3 z+#sE_L75FS-ssVb<*Os8Y2V{hwjQsV(V21S$EA-Z8rx=QsV9B~^W_b%dzJl`yk#1A zSH>fvApklB&x15eO4e=TIWY4MVc-U|_yCay29BuEhrjdPg4YP!7&&%eAE}e0vGpKL z(MHjqKQFT@F5i~SP18jeOh{!(tTs95gg#~mleM#%G;%X}>8V+87)c(Er;sSA&~0E= z7knbZ1?~u5iOYw~5tzYSSVx>;4j5PM4PlAFEU!*yz|x9DRZxzEdO>lz*>R3wFWwd( zP$lbhU)A|9zD~L_pWQ~QwJE=orl_vj@*TvAkh1<_lENPre=r-Hvzsd@o2}`;zn=X$ z4mD6ma@U<#)Je~%4w`3`ECSj!7?*ydc17g09-jgl*>=!+YeglTw^SO^nS-oN9yT9c zE%m3@=MLLexE{?n-t=yBKfngawi@f1v9Ufk>YSSsR=YfMl><2`t-_ATQZA1tWK;vo zGMb1cB$N}$a+(MSgK^$92fLyifeN7WLpp(ZZR zrU7U+B;+H468D}{WT>pI21drZ5ULdWVs{|5OufW*AUJlPl@a)Jmvb&}Qv6EaSb(1T zE)=(ef8;GRA&3d>(&jVrR?C{8h;6o29vPha&HO|KCMWwy8mwSoKw+~C6vLT>Yd3}0 zK9l?nMKpOv%Qh0}o{b<*_8c=MiyX%J_TCiIYL^Z%kh>_CSOI#SG*3j?tsPFmm(-jg z{f#01U+|oqK&iiwsx0CPevK!-wv*^fSQs%L&>dekSOf7a*3DxRI}PWe&%;My*APki zJ@G`*4O$FmCW<2B12NFGfPmVZ*Fl3+iQ7F^P_rzu6k5Pt&k`=eZ6S#t^4zF524{lBJS8gy|p>(*h6E85z-0Bm@T~(P&IU zfbJ73mx(87-`kQudn~kgzeXW~RfQ{AQPs-zcZAB=MWfP#66BbT;pCShxOJ!}q~WY> zKOs2zb2T#*F$hYWnYe3l8Z;IV{BWS!#ofV6Ic1ew$6(MDtgm6;Mx@^r#T^eJgpIRA zYeGyC@W!Zt5+^ws*aW)aQ4UP8X&4ok-h((7;k~F4e4S_CdsC?IWCKd-W`ZQ3fY2naX;?fBr@=o;$dFX^(W-c zq1y!Z$LAc1}oN5=>D2pIJG|5g^+M5+#G z75Y;ulgwT4uSegW^=W8*ieCv_bbhIP%H%cMSwfvmRSnm2hVJX#+qx5u9W=~l@{7e@ znZ>9_wZizb`xksF%%pCZhTc^0h-kJChQ?L@O<|G3ll=o0h&qf}}!P|6u0A5cU zj!C{znug^s#sKStPFAfZKVGzZ@~AfZVGI_7U?YU*hNR&e>gYbK@y$9I7O?hW=qDA<>tSwLH%Jn!6LSQ-M7h@)XWE?657pO$j&r8)p?ZkD4I`q!vPB%}0RG@Ny=fJwY z8bnJOjO8C;OODGaWJQ$=vc{SB4VB}b9jTnVCH`}OZCNuNga^Seo^ckn3R~O<(pv z+`V^dkD4j%;FK?yt_QBdz{hF5Za4xqx07_Gz=~xOK9>)d+7kX+MNaS+*Dn6mW-{KN%Eh&{MQ#MVMN%Z> z2THeuVmow`P4|P6kG!oNeOz$+c>NZs7X?i+2aqR2K7b6Sco~0h>k1_o&`)j@U3deH63Y+T}MrX9Kn-{xTz(1tLx-MODNcd)mHn8Y@dhlmH}%OK)wX!s-Nu(K~D#PnXkEbP&TC^ z)}fY7pGwa0x@GG=5-=nFM|E-;~^37jUO#FGBW=J^G_cNw<=HJiLOQ| zm=+_MPmh)aRnvI7pZmhx1>&qN%K7_?+;r5}Apx7YPXmf~@*fX^QdCIib9!+Qdd&qU ze|@R$6pa;|{qg3X4g*h^6a?0k;D;Fjj!7JHi0~(^rt|g5y&eaq+|}UFInOi@r)D<{ ziOOReg2Qo_6kN$Uan1}UQ$+DUsg6bCFBzE92O?ObP{xzUCQOX$>|E8;k8^aL#T4l6 z8b49yEf|ldh%k1{HwlXtffjH@e}wty!!tHsERgm$QEBBvtA)|&eBw*P!VCO2X!@UK zdsY@srvE_G|MUU;??Th81dRWlPNocuEE?!71J4TxF zby>W2T?GGL_{GhlhCXSw?A(^^=-{;Jd2>2`bXrY*G`=xD>eXtmM-z`C)F$@}zxyX{ zH*8F0x8wGdZdBy47Ow`m=%VZp{IADwGI|raP4fmRTIFYbk{E$ssS}cw z9g$wwYT~3`p!o5`{wV%$2efXuz;Wvl=fuc&8)bGdoTG!Rj)Fr84+=Xp$QYDBj8PXM zYcaS9Crqyb7$jiIkv=1!Tvt=!<;b(|?UZTVY?)qpdWt8eZ&z~UooGjyece}bx|yC< z7P2f#+;ESAZ?&x8Vw~;EPlaqJv)J!s?uEm>9!}ym`Gyaj5Yo}9+5AAKUhi=eEDELP zd&Ld}&JOX?#WwiJ%M~BVAN?TrHKCT~=kLjCZ-La_Zw<;G(o?0Dkzq=V4LXuj6pJxV zI@a&jW;GbY(XpfBwo`6VXTtA#W2etcP|tXV_yx)JyHI7@4ft{K-Q-TwK!aQ51!9hJd*?~_I^pjIpN z4=;@RqcKZ)g|}-YIHW9dVY;I<-i5-PQr6A@f5}VZw-PACp9>w{HZsJSOx`p;!nP8@ z#sY;$OB1i+yX@Xy2-*b2M1{5HYpn7K%qmi1&$WJN9lIgC0@eZ_aTffRFbQ-+oz$C7 zAGHo1QniS+X|V$YouQR8{~@Ef z2;;t{CR2(l4$Z`oEXUb5l6^>BBp-&8(;qFp@!$*Xmp&5>p^h58(!QGuLXwDgAmtuT zY(UbA-mYTg5`j{eEpTH;?eQn$G9iasGd}GE$X3{+wK2QgmWDmo+F9CHVDXG0Y}Nj! z?gbC58X~_}I_$T7#8;4w@kRadGnVH z5*+H35;?ru_%ip%ZI(Eh;>3!Qeei^n%3esW&pzdmWF7%Ln>a!R$7B5RJA}Rz19o@b z9{bjfjY_Mh%LcJBZCSxbKOwg@20ekcU!)pq4@W-v- zca*QEhxnGoD10nd&`A95!n%Z{|L@w&AWUV!G0jV>(0j`zm2wxTezueWxfAT6d=Rmaf-yJ-LO%hpXvNxS--27^Pe0ya~pI`Ua+1a^};nxjzhHdyi7ZZ z1o0zRo34j~;X~xu6WDNGth0k#HwxnPp@2@-XM-Jljb-WGz7pcI`W;L z7|yPa?cHwi4iHWtjP`yMxu}#mE5r8ILbjLGN$?L#7P1L4CgY{7c4)SRes7?EuMyP1)Oy4jrUWhbj$cd zew&NMKdd9qo;ataO?6G9cZb7-(jeEmrxVE*(r@FKGa<86HT$;U6mao&`>Hq_J=M(; zR3|0`;{74PE2@~Ru1Q9$!`&Ny&vHx6fAjB9&LP8r>#H)c4#$ln#Zs+w)?!QP5T>t^ z^|l7EW5-W2gs8F!bF(;bpB(LXwOZ)1KauO{Ws<-xLY*+B41CSKZ(u~#Cu@`yvcPFs z55E$bpxRr?o(bkWv$HQ632qs6{s~C z1}QYGB}8Ps!xMXX%$+TI1zl%E!5*y8Le>}b|#;ECrWbjpe}R*;~HtMdUFxRl6;rCH}O zJzx)(*uD%L;&nHPnB{K!@L+a0BN=6O(wvKZRyBb6qHI#uocD7qF6{6(8ZK-T#ym*# z6etc>u5hJ%DUQX7bvyfu+32J$=?%}F@vWohhGw7&@=rIl371?e;Rk0oHtV7O7d&-< zz{30Ykg`S5F57G%NC!6s*PMer-*8NK1ocxM$ZnfhH}O>{aW;p-I*BkNpUy zodT4nLG=|paBe)0J8|Vb5V3_pEj%)IT-$MB1GiJppo)_zNx}Kp!l}mtA3}q6ddulG zSTnlw5k(UZys-%MLGN4#g;g229L6DJsYE- z)qd3_WT{Lm*2V+>@V2%GlhgIGL2kTt+3#n{YEAs~EI+YW447WO&-=|V;ka>IF8eXNkmi<$Dj94twY(BV?#0uT2 zazb$BZW@>K)Djz}Ys&3+yQu|ho5eR?OS$M#Fj=##rrWF@)cS*58;&P~b&>4%l7fXV_3-$%W1uX}1{j$!0P3 z&XbIxWHujJQHj~twY=y8cg&uoSUxO*MxLHA3iL$OaeF=bD3&qt0GN@mVNfk0qyI__ z#yg`)SZuLAzaT8$?!qyWY$V^VGIaRFiwQSiU1{*QUEu!5KDCRhIm#$_Qh~mXbl((j zDkBOmeE~mXCm0MfIJjo_V>20|FP-C!d?_89;NDU z2dig+7~WdOIeJ;<^e=V;42jjo-t=3V22$dT;wlDJAEMICTO(NJ?>DgcZGGfMSCRNP zl5*K@rxFZpZH*z>j1K4<#&j72Lsb4zG}cLaEv6ht?~);cw=%?&3PR#>WRgO{5;h{F zr65cpp)^3i$u9gphZwI>c};npc0|9wIS<&Yv7X?CjsB4tzCA)(4DGf#dc6@x-oydl zdl;g0V!v5Tz-<#KUPvZ+A1A477QXxheOK@ zL~w4^rOM;=s7n-twQhgZ8Eq-Wtm^HL3MmDr3am2)R zUVmp}Sq60D^8BZ=tZ2FJH7b3?hg0LQ|5nKRjB5NAX+q0{Wj9v96qn{IZ>x1|Vkk2b zb197@vQQ!@dk+&Pg>{TUKBQwLp%9Ok z-~(}^_3Dx+`8Z!6q-AS}-r?Ydky7rFPo${#<83B@mx#=3)G( z2wo3PGJ88ci37(2%fS6OHdI-$jkF%?Vf`phTug^u=Yh#Ycyv19p^Y9@7iS*D#$6lX zB9=Zk32ew^Vq=1gpk81XUM9A_7x9&lVM1JyHUp9m_Q3WCiKlnflL5$91|iOU$M4AILFvK#x6BmxfDT!vxS735hh>A_tec zXX;D(U#i;J4HzhHbO+3PyVUUoe@Ib4j>j(|s4-LtrLE4|a3H!-pt4CJXNQgmmof~N zv9q|MN&22xRkHU%@+3gbx0_QV`v%kHw#1^rSrWTpul-J3lZXhdiKjp|*acu?0euSt zW<~oHi6RCP`@!PS(9KAyNXNw0xhi5?+DwTJ7!^d{w+yTTHbv4Q%R`wE(cmqJtLWFn z)n%cBdy0Ur&vBflQwn=g_EEj=1mDD zev+}fa>;ChxHAVM{tJ67Cj(T4%qB33;8}gpROefiYC;{vQqSy6@aH5c*@nR z*Q!m1SBE^MD_eSZj)uw8>?Sn+A^N!Q9{UW;VC-izFcRmOAj=&v7KE!2Qqnk)N5%rc z6B6NX*i_vkg3mQP52Iu;2o2$JYGv=w81dqp_TU!1aocJQ-0$s97abHJFEPm5U*MRt zGtW5TK*c@%;8{l$fqmE#DD=%fNeD77qQ_Zh-o!Q%<2tEJU#=MT^^bX)Pg6nAu!S{H zcfdk|&h4Exr-Kd+oZ0!!T25e#aO&h^2cBJ{_H|YvMsDka0;>>9^$UZ8>PJ( z*TtekzL{9FpbloXZ107FtB`7-=%R*%Rsq8P4ZG2hOQJ@(R?pnu+fk59fSnxLXJ-F~ z9l7uAe>+a?Ush@>Si?pHZPkyDX@qm>7eaQ7?O)H}(o~EFS3iGmc{)F(oXb^{6VUW0 z2YZamur5ju2Xyv|JOg71Sr%sfC;8sES(+8o=pjp_b!^>wsC=wd@qj{YW`~G3uY&B` zVgDG|5ej=w4o4L;&poRwz$HY)vTz zu{V4z8!)sLQ3cf)U`4nhazq&WXM0#lMN5b}acnRfQWC21Ky{v}|ElWuYgQtOwN?(e z6T1B>KdhNC;;`6&mz%GRhLwr_ZBEnBG9q7yiD-_R*{%knfbqtuaLh?lNx|As0}mDkTm8jliKGw^ zGFjuFTc?q$k;C)Htj$ZsjsNa~5mJ&~R40VpsFnFXs+HB=H=|s%bIc>m>Z)5yI)>N+ z#p1kH#0ivu^S~OF?4QS?T016bpjL^PD0Lsqmsk^gsBEggYGJ6Jm({47N zE<0ruQiybqT)g?jsol)cZ2lfSm16G1mm;(G=y6`YkCQ(QGDT+2TJm2&lC}RF>b3C` zqj-!NKq8ToKAAV3Lvb1Nc0vB7J{79OUSWxVj97TO`HS-unqa${zJy4SZcs-O?wZz% z(<3tgH=t&CwPhu+Om4D0)tVRevhEt(h)ZFLd#A)#J%Xzgon6Hy!&z2@eLi^{x}>={ z(Rq}lX!+yg^>(DEaeI=j7LS>v5uF&#(enpjab~Edy9FaEfz^YM_g&-*$KV6U-~q?r z1;^k9XBUo-3&DH$cZ^O~r|ccIunU~DTO7k&o`48YXHFbYr)wI{8!(1BEqdonfs+{L z`vsqrNi##JlD}q#X^~adaPMTo76S|yH&MVUZyk>7i{qX2T;JmWi9lL##nCPUi>+uN zdtD@}b60KGrvYZQS=U$c+wW|gU zMUvl6u%yD&Zkm>b;@akTtY({tT{yIpavy#xdRC+z+17lX0xbWDUR+>_b4jxxxiA?+W^qp@n1qc z{T=b3%J;)TG2D~n^U*#h$oooI()_^imo^xOd@*OZ${#-|)*Nh8V%zr{m`m4}$qN}E z?B4NuzM?nYL}l>NiqvWFf#!GJzaNC}U}h>^#1?`6#3j(_@Do-2skph-@rp&Q-B9b8 z=pxI0;!g2@>hXUH9ZmmgJmQ6yqXsGP#1=xHqXQ5wu{fDd2_NgfgC!;TRlE6A-`+#@ z8>>D%UIiuI0CQv#Ly5?G^dqUOlY_HZ|)6u)CFP%6q!`56^ zWR3|=cN+Z$P_i3%Mno{FLd9!gFvA2i;HDr?u-3rxaPq7lGc_E}2N^qXsm=GG1d;r< z6d*&X(_Hs=g4jgj&>neoOz-ri z5R=n~rHfZehDP9~W!gr#~gFK@KU9bF$rDU*T(dr18s=DsmTl& z-4yKJKp9$TNuywNir@ySVO{E!qxyl}Gj3HsUrDE?Nqcz#S zWob3?Sjm7i((&Uz@t`H=SPo-#_%0lBOm%|Rn5EyUH1(sIc8*eTMzlyLB3U|;w9Peoe1^2T;e)wMgI{fer0g4Kcd2m zn;jhHf`%2(Jk2e+%XxAbT_}#oSp1$>JKk_f{m^hRW{yR~N~s;lX$nqZ*`g?|m6w5_ z>k7T~U4a4|6iJ{m>`94mg`s_<&Tx&Od>VFZv*4wpbzP%?g6$rj30(j9XO@H+lEIk4$e<9*Nw* zi5h-?)`jUZS=lUBf>hAp+wG@sUDdk9!mD(^cqtgV*%~)-j2~xQm8fRav$ZOaCaEm5 zBjikvj<7&M&ry*w;Y`$;+by>Sas1leyyh3^7V-*6k9v{?EmnMwAZV~Jp}%Zsnq*J{TPa2`ds2_x6}qB=~=tE#3m4Zl_j zN7QPwy_m|=XnoFlXU0WO_nZ_vG7yA-X=$n|wpgTF!&-6xe|?6vPpIDvGbzJrKrX44 zSSO7k2~UDkyzhutEG7U`ocKg7^V^jZm>e%$h-=4}6Ka>Vh7k!dnGmEF`M3WKqatE9 zoHpIes=G~v^yui<*le@0J5g&BA`(xC8?r1n|3jWf?>ph=Gs} zKX^(T-mtvN`Y>LLUytko3dn{9UtbA4F#o_$P5U7VUO0Ua#EEC`c-gm6^2RP3Y9)~$O^y6i$$ z&k=3YVwCYG$lr4?YwaTlwLw&dD@2e;>VOJ^d$icGi$y-_6_dCp_*hmy@TVHaIK6oTW*VQ*tASTg3wq0oZg$=hAi!W)Gj zuSOgf(DQiSi?8FOO#ird054DcvcJ1`RWBgi@Z9)6gb!+GsrC}@9H zq`M()XAg!r2D-35FWF&?G=Ki(`?L6WBsDGVkfCD+5Ti6_u8rUb5y`Fx?ThM^$CZ0d za*3;ct{h_OTw>}R;*DN2@V+0A`mI}~A-?cWPrItz*YL29la+-MNrbJd6f@%Bj8<1` z5k@HM?7>Ql4{b$ro=A{TmnE!x_RwE6c)xSx(16 z!}N*pLP2Ab7#Don%#un^Z1vr8LP?$gDLG&jJz&)kI2rAErprMIc6=e}F+H*5H1d1X zhjCk;5eo|LF(9i@%*O@=_^;EbhFG8}JjJ z00ga0qe*J@7?_AToc+2=RUB{~#0Wsr5;7p0P++P;ha=OS^%Mv@;y$V=9{)xwHq=;M z@GUXFHATu=dtSGM({6Kw1-|41D(T+`mIvj0 zjG)tKZcckiNAoq#+LBh>odXw~7uCw@JN$xXuxBG(f=%2k<&Pr*rCIKx*t^!hyhwM` z=qz|X#!4ny3^uP3q+MP5t!)`Pd%FxnIAD{Ki;uZE{0Ml;zBVi67_TF`Yq&>Vo&Kps z_3oTh%|UkG_33AFI}ooq`|!A8Z-tHz4#(zsW&12|^l<4r&4I3IAjd892_xb14Fx7V z6=x<8c5+JNU5`VA*9-#pFz#p<|ACUTkMCc2McO6SUSish-%55A2MDHdXl@%FF;E44 zrJb`A_y-lLiuP*_HURtB6uyx}<1kf$mgVBLMSMz>sW%s5F`bjtpx?~4xbQp;KMlgZ zhb-+T0=R`z@l=}+PhZlu%?AG2Xa#=R0mJTLmJ4qDFF;}!*9%B5Rq?Ru`Xc9Pon|Eh zuiNkvb*?o~uc%D?!fugUrqXVk?Xjifw`LhTZ&;4el^(H7Ajw_%wKxgQ@hp9lQb7;v z+Me$;drnf%8=m8*)?!YXA2~#T157JSpw-kfu_XA>^R0m&@N2iHAqSlr*gMj-B{vrU zzAa|`w4R4H`QXqHReVNj&(eUe(}w4fa@QsuM2^6*7dv5`VfnTuwBRdO*D^xds6cKD zY80wP5-UgpjsYR_ELOhKY_rnS?ZqLGhO!+q_{bd)f6CU^6@&sui=&|a&M)!%bo_>& z81ql^1W~O;I7w$QJ7=zqJoF=X{&yyfgUQLN*iH_q!xcY)Njd7#?4WQb;r&s~G5VwoS$sHp06so7&C#Y0JiH}4M-)p%xMrNqCnx+Zk%Bow1ncKn z7XBs4_Dh446SA38{!4G`p#^I#YN z&Pjz_TLw%O2#~yGy5Xdx_hWk3Mf)sAz%7R#F?rMsSyE0Px$~;-1nABRU}+PFW7!^K zqUFp_%^#AAc9}jotsHSwRD;DQqODWwv|-%_RRKxXBgvSCwv}jzr4V_JXlNkSRGIwk zGVSw`fN<%hwEFMQZ@^}nTD1R*66PPh$3I1y?5r&RNeT0Bj)4DvDPjH};Z?HMr_0k;5_@mIa-w0V%#@aYdQ$B;tcm@j38=Vx$>z1So3 zB+eq_B$(vTWH8hQ=AurzdfD}7d@i#eU6#_3Ul7H_6Foh-61~nj8$G#GTiIQVEW;Ju zS4k3O7nap^RwgzHMpD1o3b0YTA5@#W7ia}-J-<)rz7$>*2gSU1<_l1R5E2{0t#iZK zMCF%9>XB@LGSNRV@Ia}kZcbXzMOb~ri9WbUt_vz^PLVHzpTCr%GftG(fKLl!XI5*| z!-XYONTqh?hw0>16{z{Zcx&x@#)Y{-jyBP{1sT1Af*)G&_jYbw+V`5#8s?NNA&Beu zt0lqoDW7Sl+AX{%mFvHGU(geN{NKwjKfgDIkKNQ!&NodpkfblMAl4KNNqYt6Foq8e zXZaauG1jXbzfTc|(v2rL@PV+QuBGBj;h!ZgK`pS06zm*bL)@Df~RRQN3~a_1a;!`Xj?G|Ku!=wPei_0;T4)>4m1zf1e&F_}eZ0BGkxLRs!a+ z$~3K=u1QoMvY}013H_VJ69iGb%lYHca3I1 zEVf!6`etcFq+}yMQ$s?SJ{oyJ1XsVxz=bAir0W9>e9QX`1(-uf`uwmK4h&!3T0sDM zKsze(?o$I04>Q1+FBmhm3|wKO6j%HV-WsW_H{+Xn8*q{qBw)_y&4`-76-b^L832Qn z+{UzRC2?$N(=}S1VhqYhO-k4pOD^tnpJbE~#$YI=E-wCH$Hc_N{NwT%FdBIlqM;x| zlQDzajiJfA9djGsW1?x#48<|+l*E)mHvhc2;X?S4`hBx|TAIFW_d>!%lRNEip5rFK zdLI~R*y3*`#y)k1mn4zY2u{0^V0$EZmI3*DYQagE4Z<_&JgVXHxIWD*rUH!OC(qxR zEFod5dA)gY@7~r07<&GiWseifxo5kKtvYM2Iy-xchOJlv!cv;2`#Qh}any3!4TsrH zSz{CXatd88;!Jz(2aLBO(|C~BL_aw8?aJhoYtfrH4ZGF}U9B=56T&kgSu#-i#6X80 z`^u{Z10w_+#e}6U>Izo^gk)hzyjx6yq>`hTr+mVz`^&&UGiL{C5pdZa_IZNu_?t82E)5pct<48ya zCS8hR%ULy@O*LsP4WCt2w?&s`E-x38WSHjNMgG5h*DS75FtZK5)x2tN%@>rvym(%- z2@zv@to?;F)$Gx4#f9Ajfu_2+cE=Avlc+56W#l79oBj0kN@P89i6S*%O^B_j?uRL}jvXOS`!GFS)J<22!cPOZI!6UOS}y4(Y%;7I?*#4ETuJ`)?QTDCRy zhiw{3x2b6gdRdA0F6u5&=S{Uw1E#&`F1f42YAtu~+8>sjadL-~($uZ2`>KcpL@k7n z45~5H3D^BHmg=0$TYJ@5vd1#3;S*@N+#>3-l_>-%)zcnYWr-=O%rDnr)rXo?A_<9hUNbJ+*U)g|ZETZq@W{8Ffo|U1Oas;MM+cSlD|X2_Bm zQg1lMOvA6zW^*>36ei!EjV1MrSLYK*a{wB#1pSthuDm<0)jA~vA4aE#q#Wr?w{Q=s z?v01nn+R|^i||{UI1HdS^VA>;1~wJzbSgh$UX6cXb8i(BPE)7l9-yP2d4N%tkHr6W zzy@4$&Qr{SiWgrF?|0Ha5g5!N^VT{o1sd;6o;DU!LYkt{H=cK5UZw8K9LR>|323j zthd^NYjDS>L)5TioE-vs$E&K?$V~(08Lz|!T(@m;9mAW~b}dAC#4AV@ZW8{J)8V~R`T^zj;8V- zV_6_PfX|Qld2#`_g5H;9h>l~guk39oU_oNo_P?}&^rnYj^X;bfDyLDA`*8FWEgByt zjf3fJ$~Y9SD$Xs<;F2H0VXxOu7xxCz8%rvSIQl)!q&9l#uy+!dP`O33kY)=Jww+c$ z(B*52LRbZUk-Fj3r>aL6LGA-GKq&w)7%HZ>D7r3@b1eiCqT`~GiXQ9Y6Kek3hSaSg zZsZQp$m-k3>6?%IM&e`GCTxAa zMRfMU(fsOmF=uHOhL2q}pB2SmbB=IzwPqSTVLlm+k4uvuqDq>adHXwp znrR1@FvXI^apo+d7eEJBTBxL0b=xAP@J`YbYQ7ap5CZD z;zFIObN)Ve2(0QrL8v;7xjds{Jhl|r{Db5*m^gz=eJSPL6USzD3(nheywBGyMzZ>Y zfY+4-zZ~8J1lX%eVc3*ra&{~f@4%vcG+H?dTQifL=khpByi_`bMvL%>Fe6Bt>%xAs z^V%is&qOri{T?G8CthIJME+#!+!%sg-Oez(EhrmWY~!ii-@YY){i^5fcKnWXSo*B8C(NzH)~WKWt+m$vzDBX4d{I(3FC4_Wc#?2l)NF{2sgs=~{dfAy2f|;t=4>U<&nKSJA#bGsr{Fe~Pzzim`S`;a!TAnqwT9hcHT3+>^ zdqg$4)o(HxHs5)c+HGm_F#x!Q;rQV9aEJ#K>AYuO62&cIL14(lGhim9(ZBjrUPz>_ zx>OBIg`zN<-iTyuVVEvdB``bAZdN57e0_vZ08{>6f1e;-i_u9m=}xq4$w3t8b}QxV z%?5AJGjHr>9k(h@`A4&vM<_tDJVs#B>i+r$ba#S+Wto+j)tydagJ=eG$M{?BouJme zz|pS6Hc}kwH38pPcsn5P4fm6ZslM7K#t$%I?BK8K2O0Y_jl4kweW%T09BlV1ZcPi` z@RLgoc24t?x1t<@(OlH{Aa2`$Eh|W!gfd$|hRteWj4H-8c#hSA2*Xh|0w+galpdy#AM*t={r#PeUAQeTG#ncCgU`-Jx`bNRy zV=Z+~8VcDej#xiL)yS9umS^v}d7FLO7sw1u0+*v-SbnO7XQwTia;;RS`h0?6ys+>= z*z$NTG_^R4diw&sfV45c7-@}2lmV%QLH~e}18e1M#qRI#2XI9eE>6cr+nkdQtt|dv zf#LcA#x)@=S79_I^fPHSAMn3XSUmk5;(&+t7csJo*YH@dfJnze*lJ)GvC=F@{6>gt zIk53r5$~AOYDD+pEM+@5Gb?B-_Y?QbrC1cP5Dg`Hw)ry{oD(V-OW7fbbn0v4T zli}Nq#Lg&Lj*!yad~Nu;x;fvQ-?SMBEr+OjHLBk#=1UlCOdn0OHdU4vuPzpoRhSk+ z-=Ct-!x9bXX%3yGL5H=8@bE=ND(H{DwDxlTW%nAk%(dO|Vvg<|3g zX@fk7`=oBXIA1EJ%vQhNDT+Ahi!E#&2ii8;NXmA+KLMtfhz(ucnJFeOt`~0VTq@Eu zkCm1<%jjk)+EM^Bk)n$GIh`0Qu#&c2MaCDwXKR*32fC3I?)c~%PwH>|8fx;HnsL35 zde``#QIcNgVn8kR^s=u|i&V1dAv0>LT+3$@8U%5#f}qiPe9$!+Ay${%^bQxvu7(Y? z-v^HTllUuWJ5OTDHhtKqhzk5Z{w+?7K5ZCFg~a=A#KzV@%mo0!^MI9!Rw>V5Q3VzF z6sOe&lCtnmVCToEpt}_R!an~Bh&WhU8f0(do*Z{PCfO4<{P6>~dj4&D9(-g(mpcg> z%+xR?`X0`M2wbrb=>?O23?Am`p}6qs{l^^|iq-T4{>zm91iaxV@hZk!$2NKnLfB2# zpbfMPL`PrZo^=jWn@m2WfgA{O--u}Re6Z`xiOt8D^P;rGZx^)N(Rhd!`SgHLNZc-0 z<}n9l>pTO);Z}AmR4i_woY07DI!>FKinW|fDMB<+$7A!QUf?vVgEiP^V=jNoU{oAT z3U}kFsFO;QsGLzY#q@Hg&sfIF3h;D=eufHv&ccC!}C6^hz0)uUa zo@pIV$2-4OVG1qL@+W`C;h!SK}|A#y~7 zL*7s9w%bb37P_>o^J_VDldE z{IS2z4#DTYf%?7Tqq4pqptu9Cs+`nh#22_oJYu)1!!6Z%YfHd=b$X#D2DqxfrGs!f z|1yFKu+f9%>6_8$MQ~`#rdZcZJqJ%h|NO?{tm1Aic1y z&_R^hKySXl;PIGrPP;cuCTl{B*-Rx9d^Gj-juSDh@g8f3VkcgV!nmjT=Sa_T3wE7H zE2R_p(ypimrEfRXps6rnzK&YsjAC^?1g=0;Cp9zPCu&8Y?G7Mj1px8bJfn>c5i{<@ zs|myW^b?so82*?ChKP2JlH0p`8}t5=OR|my7nY0geZ4ePU%YQ6f_=J48YQG->_$sF zHag+PH)^gY$#?uoNs?WHOpsfjp^TiyNNbQS(>~5}o=5PKq_7JcNaiRdrPM5+8wpab zh%gRzpN9QI%KpCe7gf+=J=`-d>Cf*f3)vd!NJ!bOU-icuYpJS|i8RDA`$Cmc?jI9t zo|>ZUvl_w3*^*f=XO?sK4fEGUz#iuPT9_$sSkf>SzHBIgI4}!X<*E8`S$glh|2vvV z3$Q14XvV_B%7!q!*0k5S7OvLo^}nZ|%4Yf6;GF+nCLY=Ut@hCXXlt%A=}k@Q%RXlu znF|cz?E!cwscDX^Z0LBoy_~8Z?undw2l0yG55Qo7o%9wlvsn2);;aUHlKNj0-nW>6i!)@D;e&9? zOe#K&6kW!#=JS)zNPV`>T;@G$U9^llOr?a(FTmnpa?&HsHk#jtv9}!*u4u19rnX=A7(B^XxW3}#0 zy#+lDH=bNrL=yWc@GOD4Ky%FU)|me!-tdG+XbT90<34Lo0}_tL03ecWid#f{wB13r z9ENcwQ|ICZqMII57DSa^{T1GTWmqb%y13#H(?|*I0wG(T-=Pdry3pEzm{bW!QCMYk z(ZQSLhLBY#jPI3oQV@mY^I=~Qg&_GL@Hb*gCg}uC`tNVeDBlXsAQfpI*(H*BCIPEi zzRBq2C3>rQZ!Hmn{d)rIt&GIsc5vy6z4OrwV;?g$p?cfzZgGxW%N)ZX(=vGZi`>-H z!?_yaK8r0zCiF3v_h*x_kk$Dj+toEma+S-RR14LhOCU)XvSCH?#mntf3jCEON;B~~ zF8+ES?V{#I8Zz@#t)dH zOty5{00gYt!!{HbM3k=UJ#lqsTDWT(?WLCP`s6(sh{^mslWEol(Nqr z<9%ejXhf`H!vt&loi@%P27|>ci1aht-I4^r+?l{F{C`W>${&c&n`u=l8ZIv-%nWl1 zI9PmE6SamqOnMDL#y7?|PtSM?6555G^k>!La!&}OSh7!&hm6sRY3GZY0~gofFJo8K z*#w8x_}4FvBp*bHW8Jk856yAcp(B_GiMsW9=v5!nAdfyc;VC~z8K&!HpN1KKdK z>DUK#VE}woS6Zp3uoTgu#rU!NEN%-oWX5MsI@$}I?Yt(RYzvz;6_TIE-~zT$m^R%B zuV+@pV(%Iq5-2~H7@Xx}EMbzQ0Itq7=9GyOHPSRPv4i^zJjKpSw_M020nhE;rb_I% zu>i7wRb2p_OJDx;M5+>$F6v~CsqHYWr9@sl!q~}+q2W3mO)b_!vog~0^HpCrWrCc^ z8q`Kg)qdK2P826umw&JFvsG~NYuE#f2KPh;X%-^^OrLG$_?N|Be99N0QmAZUc zjWteO++)0O*^0Mt0f<9YAR|U=2EvJ1F(Xjedo^%5A*;x_1vGeg3Dx(BMAgND1D!~% zupB+313NOT9fDn=KVLwq{G^asTgVUaB~XsULOh8m7xh4h5pzKLto;I2kUR8g?4(_l zE^04A2Q*j|DuSCc0c^V>uq7)AB^4_<06ZnBeu_`z1S@Gna~3Mx6EO3d?M@-?b&C*l zELhz93!474k71B$=+G5NJPT{rSS`ehvry75BRaIzLhD`GXSQ~>n`%o_eMjFL7=LikyILA=*zL?gLsvh0w|vE$e?EPSo;CXEfji1Sg8E0s z$`27c!{*RZq2pNW1c=>q;=*Mc^3mT zA|>wyZDM1fji7*#aDA1_sDcS~RZ3i$!06oDKj~N_$Q3sCBFy|kN$)f6j_6X$1SlXZ z#ulegPiiLer(^@2^hl2$SkT;U23^gi$_jQs1J;(IbLFB1{;D}+vmxTd zd^3#Z(^8cVnr0XD5w;jkaut1H2pRLy1Nu;?X^Q{?xI8!veCJyZbZ^^ijcK@L8<8Q+ z4H%`dDX%PATCq+;`|7!FScX0aFFjCjE=ubTRJ}P$-vr zvY>$GB%Y?h49~3G^&1X{g1Ppy1zn)2`}ajDKIYv8_}Vr#wF zq)5CuIr$m5gL{TVjJoYO@ls)*jw z6V7?AD0iHn>hl(HLflkh;Ta2YlvD6rQ5da*=~~5{ecH5ZtS^s+ZsfHIndqQdQX=a= zx;uS(5Ti;FpdDF&55jBcPBhuDqYY;vT^_RhXNzK-^f*@@hB?2Wntn?Nvm(bWL1m?XZa!AgZm~t~3sY4UuqtqFnF>o%XRkh#s zJT`eneOJ#8oF;!Ky-wgaB$S6!Wz4N*jmHm8kJv*Fj03UV5*~Oag0JgY-O>fRaa~_< zT4OHIO=Gn3JOG#&2X5``LB7>Q6?+Z;Vy2?^D|$li+QSF}1n!usGQpIXJZuv)!D$ry z^67q;-ec%sg0gO^J0fU&C6tC^dI6!n08e-3XTRQS<`QiIpQH;3LJhqbj+|A64q;TaB} zmDR~*?d`Qq0R?Xj)&D?pZck^Hdxq{cCA#bu|G?xWp9oz{zYIfCVPPPn;D~u6PUKgj zjtyAe=~Dk&Dq3daf-)|I5(pqTfKtoyU|LYmI?3odYhYmLe3s7qCVCda8JFJTvh8K+ z0oBMJ(#8{#p4&GeYhXJ!0c9!`)!a2&LqC{6gYwOr)Y~Lqv~bdDsF+qio2J>o*Tl>N zRaD*=&rF{A#c!0b2s}7KvW&)or8bd|(wxPGfh*7I^qX9@xnNQ=Ni?oRQhnv9ne`_I)C8020(W&*)dA%u+FGO(1?#zu*GN#4a%1i z=^kOqyHRZfN5NBI8i1)OjNUbCqd(saV49vbG-^w}A%_fm6~|aIBz}`+BE#6LD|{qS zn`a6Y!faP)tv=E6@Y4>MDf%Xpu4O`0l(kvNbRH zkq<4}?&5qu+NeUd|M)kc5(i`Q|H;b#i-!J(m1kpP`;U%%EdSU*{4@IhDJ%cuLh)aX zDE`H}|5qmdKf6Ew&rJM3Cd>bN_CJ{TNw#rE95Kh|*GS$K#05!nSDuddgE-;49S90) zfO;6Q3(z4LaSAX15riYlo~`6$6%7rYMHX0ot9Kx2*TeU0KJ6>rp2TbnFXE2z%*`3t z>=RzUljzgmm!dpRe>%M9vtd8;CW|`8-*)|XDxSmZ|9q;sLKhgOU1jWBCm~S&@ zZZm0SC)&QB-Zobt=g%RNFjV+Bc(PX1blg=oH)4x+0kCpBnwYGP@!Y!rp@wg2NmMOE z7~ur;2$O}Oc@v3Lq0ag8Ne&3dj&pblO$>9qSYmp~vb0kvPfi$-A$6Go>q+9sjd0%U zDTUyEP5#}wjQpzt)EvT39|*YU;njw`+0#+FVg}k+r^*_r0~_RqDeyI>fIiZkDNtPHBWav9u_bVTvIA0T5`I=|m_l z72jS0UvymE>`Z*t$tF0|Pdn29ER4)WyG>?-ho2$Q3qtTVJaa*!DT{5{423CggH*i$ zv}lH1x@$oqU%{P6JYRv_Oksu$NZ0!>i3`TB(fx$qF!J5m`bL=~rq6zg zkTB|iVPQ#8agk%7E98sNH-(Ii&nSJv5L&6zu6K38I7Bao;0J&pj*C+MWH2asLM3fY zjx{7BYOuSM%OTmD9Nq^%K9B{-D}%{}ZcBnS>$3jk+0%2=VOOL}1~)K|Dkk(Lw3MNq z-^Mc{eA}f3@Qg)2;0vIdrl1KZMiLW-EPPN!;SW6UG7oV32p7;6wIb=yL`)Z4n9HdSZh5XO68bYYoz4l!5Vno%2gbK5Um*Uak`D-~3s`_s)=ldWq`NRC_!k%^9YJ_c z4tI{29K9}RsqHr*kD{pb(sQ0bFx~*qQ%Uslr_N+lBxymd?>9CVU^8ECH%_=Zv=6nc zzFCCY@uHvg=rDX3(OH4~-A(ZoX$#rd3F$W%^91`m zk0KaIRdJ^9wT3!|aX#HtUjZZ^D`jk44CtJZhGq-soX+4!h7ok1&*&#d4Q+v^f`OtN zugv)1ULWZxgPs9B$itr>C**fWH6ogiYe~NE_PsvKY3Q&KA%XjP!^5xbGY(RkBtLOR z5W$pk3JgedOoZ7KQpposRap6!(vE&31(}vgj^>jili*V+8%dqZ<&3tF!(^6w03{}P z`Wgz~dIRin7j->SVF4gDt58p8|FT>)z0_6(mf-SS@D*?Xk-h0WC?MTIasT$?6f~M2 zsM&y!$;6JGPD|xV3BB+}5+QM+YHE7znxFO&7bgh}n45x;KT13q@YUJ~IIeo0Ni3Gybo%&q% zEeSM6>0?$BnFzS|-NKe3Dfldhfr{>@DRni9^`%rJGxz@ekrVV8)6?)ZVQqYb@D3Ie z^Sf*50~E3VC;ev7utc z5aV+(LpBC_rv#hNo|H#5aKbbxJ9b;pi`I6=;W@X5D8UwOvtrVNfuLIKjI3%0k~n*@ znA>k)N^yQT9A0qM#@Dxsw_4Ynit3O=(-RMwFS5~+<$t;Kp%)2>HITW!>f@ELk1g{5U(JAaxhtxXPoZ6^3o)C}uva4yEl(cWgy zBv}CVmQ~A-hS5uDFP70bO8H_6e|*c%FW^p86uNASJQu(z|9;CRAc1t+l~yq-*?$ra088;sX23s(Jd^y*GJ1HfC`!oOs@#?5h;8 z{prM1rpZEf_vY{`o6*2c%k*r~w`VjRUd~?7WbQ~)*}K^Su$6N48k*!yar8ojK#{Go zxv}{|Phzl~yt>G(Qj4~#vO}r)z^AGTO^da-G-S7}+KMAL%sbt?ejP5E+pekHH02t) z-8s@+v?XAq?=4T zvP`~W-2rr|$@A-s&&8ITn>$XpZRk1>b@&M-EvC-Fqtvt4aoyxfc`v?dnRo`(Hhi&= zFk_2hyzCLmKBEtZpVA%6ximPE#{Riq58ttr*?8kV%J>UFF&ekKJ7 zz7l~P@*(~R3JL(9lgD5`9JXbM=<&WAW-WYQPh?Skie<=#h?Mx6(<+&zL^;vHBK^8d#LB6DKRLHfweX z@IxwZ^)dA6!LXa#rIv71CI`-@Wpx zoER67X0i#iVbdP*cwu2OgIipxC;{hd`Bck_>KRFhrZ+3obIK(dAu9 zX*m`LOV4S2(}`DWfBQ593S(cZ1UO5phu_5>Zq6!t&gbafuJ0jPwtp*?I}O(H$%>XY zl&5Nz0+)79pc$9$xobm;qpglH+;2wV+Kww3rnPtH@`|*$%m$CA zq<*`>enrhU>P{qKWH#K)FNUSu=UC0=;w+&*<-T{MfF0DmKL(q-f6W^eN-t=WZ;e#H ze`=ysVk+KBQVCgZeNe$70&}rc4cO|o>#XGOB6uXriejT7h8X$%q2kK&M-)HC&A8nz zuK(RVOTS;?JR8OcE9Bf9>bs3v2RI$|AqYAl(lLWD>6(IZW=zHKrN6JUy@6lwpeJqY7%4FnY`^~uJ@I#-| z&1Myf$Fb1*OCq1w1#R3X8+_Hs&l>)HJtvf}%4>FP&()ATbm9*zKi*v!%$ME^df{|}> z8!wsM@G~po)=b-aSWE)v{y%ee?|`WO525g8S`^yYi#B|@B2tp@+puT$$hHZ2yW11@dp!|SH8ZWO9+93|7g}Irb7LxYh_F=$CU3o1%!7EW@qTYmA9Qo&yD43cC8*gV z9b&F>E<9-^QCdBkN(I_59#V8Xm*|knj2D$Dzn;5=YNoB{fablxiESq5h+MY`QR;Hwnf&C*vmf=uW$C7wbw1Ws={#c49(|J+9 ze(m61lOl%jHU@;-k}k8_A5$Q@ulu*DMXT1i6uC zci2H#XOYJq+T0fJvK747F3v30;-o~vqo60 zZLkmG!CBRmhj}{C(>jtzO@_^-h)QwloNHj@h&|OWIBNY-zA9=dPak~f3C~^lD4NhZ zr!ld}mP}EdCIfv7%`n_zoU3@^VHp?v+uq2L<(j0s{9KtWaxzDVGF~}H_)bKXGt+!# zV0Gc+_I&P|GFg3)ta0C3*4CdvQ<_jP8<^@6_n4%pn@ii;I?yM1AlGRffxGi)MRs^l z%Xav^+3qwARI&86?ci3QXq)RKm9FYb;e=rtii<+79Fs3Y$I%CoS|}zih$Dd%NX-+< zST_#{{d}F$*c2cp8iY|e;cgts0ps=*&AQJZv0&uSGiNXXd?%d&22&m25n7M1Cy{_) ze9Ex1mXW|lBnGhWRR912`c8Sg6Rb&VgqQz?1bz5)0CjrrhE-4@$UL5w5#d$m5gfqae6izEo zlYT`bK`e!1Buu-eulaL$9VehN9kCWn5rnlOpco6c5?AD@=}V~EznmCM#{1~aT$mvt z>=5WO4T@WYoiG~x83j{oM}c_G1SJw657?na%2$jxXd(!T#WQuVm#-uEio75$L!<%? zu!0F^gboC@5lrTWfP^9<0VPxfEjGprhT-*8m&M@{4e%v}q=t&8u1E6S$=>Q^))>&I~3jMB#c)hz5#$-p52pE*@?# zrYt~~sK^6J@Cn+F;AjQ2`1uLP(BWEH>NC57kS);G_WeIfjxTW zwvg#4B-3>Cu#ug<8PI78n4wfy$x}ao0N|H!9dSb5LMOz)&$VGTGKPenY(n|--b6qr zG%%V5diFTW-cM5narruac@!W-N>ofyXvUY5-2el46p*)c?SVjq(E?L$2+b1ajzapa9z(BXoi>t7Ab&TO6ShzvE)UUTa=aaL40*j#&SY zcP?|--WX9jnGG6jKNJBnvNgl(EZ}-w6A`(kxw;|Zu62jD7tTG!rTuS4>Odps=OPXi z2^y!9kqZC%VjH5~;nrXg+{u(mf;LwPw+)w}s;%Q-PBA^X3?YXA#EEn# zMQcNsI7kRpX$|R;98fkO1*Q}fB{YN@=9mv^0ytBdH34b@$jBmUf(UscOSHJ3MenX3 z!eWM)ejh%;O%y?Yk{U8#L!lv#Gtu6h`9)0$8Ho)WB}tUjYGV>Gs;^xaeXx?jWEk+1 zZgM9!P$}WM`BT$UMny%g@>#%%NG5isL;NZ*H89wTUuq4f`dq_$ML^kPvA7yvb-ZlI zGf(kvHNDMC8yKYjB9&Oupk}5DjP|M%c+Mamk6=pR_JhnakByRaNdC+*32vEr1b}5t zwLb+u%+K;jIRcq8EF8b!=<8UhVn3P=36I73k#(GpQ8I#1^aC7hS``YcT~ z1K5&2AOKG)st6E@Hs&O)DGGCmj}iLa8CSrP7|4xi1Si$#25Q8iDJV|jZ(xK5jwa1u z7nvfI`wFB>Z5ANK)qQ->1&BoqZDSCr^ja3+wE88 z)m_VG`a5YeG`=_2o7`1YPBy~J9Y!}qDnq!F*3JeZvEde~xZ1mqAU3%0yLKKf9$wtj zWw8@_Nkk=2w8jWlPZ%!8g|bukBP7cSZ98VZ)wQe1Yh@0GMlg=j0O-p9V(y)TGu^hm z(b#6kwr$(CZCf4NPCB-2+es(s*tV07^<}NS*WTxxy>H!f>fBrP{q;Vho~P!VHRgN= z=lG2YZpRsyq)~_r0hGWvG8wtTHZmhufb71eWx<77?G)bleSCSZ$SNK&zIF}%fRQxd zKYCH|CM!4^6PQ5MB-V&pJ{VUKxh1ZdS#7 zk_)MHwj1c1%jPw(&XK-lrA&mK9eIVYgoo72$Db_&HCfoE=ik8kO$bDhy`TPW(U~+c zzRjkdRpeM{2j8p&X{-1~SO~&PSCqG3UWrgGn+415vaSi!do^ol`;y98`OChl&KF{8 z@5+~7so3N}`r0lV=9yTrJKU2hL2SVmh$Oyo9E7IRn{_9%q}v|&A%+Q}qF8I0_KW4Y zNNMjO92=VxVM&LBV1~SbX(@}8Bem8Q%+DzhypcCrMlzzcKr{};4zsR_%QqdYt^J6a z%~k7y*}7OGB>b**r%~X;Yn&H#&p8(cCpM~ycm`;vwqK?{+~|(vxvw#&1Py5dI8k}) zrRle^eN9CXXi(Tz3d^o)js7SNul?FIC;ni{LIxej=SvtR7>gYu3=;*`nHzu-6o;l9 zR#VtrvOf%PxjjwPp#B1C4^W4;q!~y=FBFZJMya+uxt=FPFGw5R9z3Kv9gbkPjxf7#yP< z3=jg_p6ICJvBRW-w&P7TjexYF7;?#_cC8_~t;tc-BcPnbnn^g?L^_+%jAcG`PYLvm z)B3$nMXz`agxG%nCS~(iQ%F`u_J4M}Wcdqd{Qs_$&A*2fXJEi*WcdRF{m-<^e@W&1 zk+}KKshs~drZ~&L!4$vv15>;u`TJ4L@efJMB=r`^TtH{HO>3p{A7$*gO4KJLbSNGa zltLR);e{7(uYKLWIr#Sy6ba>?gQapVxL*6*%*00MG{i=9YcJ1F!<-8XHcH&G5W3s+TLiHRqFo#4R<=(x$-xBEApSk#GE6(LlzC(_u$2Vlu*iDZeSAQCUoqZFSsfI!y7r2Vi2aqnN`}De?YFNpy0Kl+aHl<4@s2pkBU~4+Ugy>8jFl$J zIw~wHWXdEpC=Zb2Rg9Ng%tPndi8FZp>AvT!GRK&HFJ)D^%jsmd}kM>q`;lH{}3mw7CNr; zH_W;%Uvt3snYe;AB&7^1DKX|)y1O{SWhm$LSk0Xr;4&<~wwl3#$JdFpA?#hr8yl>C z2nMie{gR>vV%XEWhX>I60SVf~Xt}hiGtxFH{Kwi3a$%#yMKWnC^M)dU<4Mw|SE}vS z;O1>x_N^>6LjY3&;8D~g*N=piNzX^K&`q`*M*SD^Cbw<8-eSY|{g}c2zS>NY+A0WO zy_9N}4Mfvu&!LibPq>fG^TnqQP?d&i}R!vLUoUm&-M{@wco0&S2Nq(65sFQ7z<*Rg+ox5 zm_vo2W4pi#?-p8?7~B7NB`^6ngp5v*NX4WTAIoeN2n{ATB3)@ER}vXVR)}wx0LL)m zzeSiakTwK}g8GGS1ErKll951mqZJ{UhubDnQ2rq@Tb_+-B4?&L%mvS}IY~~WXvfDb z{)4?JpHXG<8^xYU6sbEns*MV}h06~LWojKA_=2&xJc>CbT?z78BEj%YVoB{un4xkZ z(xQm+tZz4%Zz+WXqCZIsIM0+u8V_H{-OgnleA1Zq%8q2nelciAmyoSg;zie>rGz{9 zEOI@dsoTzjZyNbN!hA4$I7P^~MGpOzMU~pN0~A{DTsYu@Ywu1GTOCUSG%yX36tU*t zN$=X_gc)|x61jBV&l!ao!k2zqm3Kd6MHwjcD)kdICV#RXo*%_5C!%l3L#U(+2{6Oo z*I#R*K*?@{4Jyyh(?%Bp6Vj8Ja@k2=)0ZZm7KaNop*OXZYJ&_hvkhc$@_NbR$SWfz z>OV5>q6cz9hAI|iqw*gOp`3dZvJwSyLJjIENIONeEumzh6D+1RX!7vz%u4UENUKXw zNRQHyN+FyX+LvvcxW3K3B=;64zU=b*ffk7RRN}KF+l3PA*L&p46=VSLKub_M4JdGS zH*ZubL=3bGAT2r6>mC#3;Af5PWSo8x2uLWP1wDIvh*z|6zWzQMOBD4jhA7i~nneoR zUB6CwXAyyt(iqQNQH+iNPI+~ReBdGtxg@_3v$1eLk~CtT+ypYY!b&McxD6AaF)Vf3 zHaI`u33Ib(!CucWpVlhj#H-!@SH5r#OXl%y0Fuu!k3$^AtO$DY@0)>eT0!#J5dace zwY2YYJ|m;ha`C)oU14g_X`YGrV{xT(x5dnkaf+M?N0(7XhlTkC=gDEuq1(auw@ggF zvoW-OAy0B^5@8!+;h?Bbm*^_> z9^0YM8`h`gZD-DZKe4A^zS1uVO3WR%+?4w^RRUSmCauqmXCpR8CRO-#57m*^oP25s zw7_GDVbu^oa?RcQ5<^>G@nqXn67s!(Qv$YTNik!4e2bzfEsGp^uE@+rGHbeAW@N+A zC55!2HAmSdm*I)?G;F@5=tzu4DcL4V!Pcy+IwBcs`X++{WC3=txKt(Uh^}-GlDW+_ zOhvy8lL)-@_Pn+ED~j4i;i|5&HWjKemMF0tVGUdR^<9Qp!-y8}-im{bG#@h@d2z2^ zbc3b9z+_OC%i(W=jTMo{b33nr9t9ERn04fG-?(%0l@ zr~<7amw>O&4+iM?51PLR9V#D}T4DijGXplbh=-HB!-%J8#XQ?)aP?0@9GGroNLegK zQn&?)ieY!Cy9h5om(c#y7_Rlj+a4S1e`Nst48&0zp*vukC1x54t$WOg!LB^kOKHqk zhohMHcZ9Z`35@%~04$=b_0rSCK3Y$*EZBq7yKI}s%s%Dl!#krbZO>KZQVR2+?>*1Lte#=J)Z$0Q%Nh&I5AQ;43>?$jtfq_M^ z5(qZ_AXjW%6xuHT`ecx4O0X&J<95@x?(F>X%_h!J_jLH~I(==by$eP= zaA_W}x@BgyORGVdMyN`MLr|uNn9I(H&xU-#2e-$H;)-{!Fq%fJBY$kr6#CH@C{95W z-|I2h@#+`d49Ta7abWaNNkr_9l(#!r8&7RdN4r2&6hS9W{fX+*`y(uE*cEe7L!%YXR?#CPqUV>Auy&zw_yh?K@=; z^GNskHU>uZ0MT(>(Zh^Au0i{FU}kKq=wH83a-*9zzTXgzJNy33J*S*85Kx@BITHv* zUFQKe?6{Lm+kP_-)Nyb_A6{c~Sb+&-Udmk&@ddcE3!5HnV|=ho7ji|f9JjFXIDieA z-x;`|fx+F}rV1T=jJfOb)6#%$!wmxbx*x*{K4jsv4tTQ+ zX|P$0hDL-t*a8v~Dtd(Y$*#yZvi*yT$KO*#g94J2q3iI9twgk;+L8b=2c4C?aL!yQ7T4lg##z zm-=S@sRqhUX6_Ef{l76-R^*W9 z3^pb?cgOJ-I1=^+fu;CdEGkYRzq>F`*{2SMO_?T^2agTJ-3Pzpa9=!J3;1&bHRrBa zs*R)j2EG?L;(_(y3843QpWqK)f8u77Keim8%=HxFL7&zbM`$GE7-pwPgOVQ?rxgWL z9F~oZ`sLe3XlSMxA}XYjk!xR!e}U<$G=$t>)5+e)*_XFOC@r8E8UA7iMegEoL$lGr zMv!o+j*x#1k11TftAU+iq86K45M1AXV=*vOgOV|*-V-_aULl}i^z`(`B-$jN0(vIM>JzpC2UAYn6t?#r8hO(B;bC{offuP8iT%RCkT7H5uTu_eh zxJQfw2H-e$Z|Xa=1$tlkk9BBLTFRmio3fV3LYJ^b2?COU_$ddByhEj5K3>? zwg&wCUPrYpeyej+BoQgm6q({(-qvT7rOi` zApogj1RE2P$4p6&f_5}5*GV-){*I;_t1hl@0xIKRyKg3Rrh$x_CFH~w3w@vi>aH8i zGN1gdYL5cO)?HIY5E@-c?{eQ=3@>hNe)XU^0I3~`^4iBbQqel61~#|jqIptLVwMHu z7*)dfIyA&j?S0@9sD4Ap%pk!Pwhk7Szv_n`;D}Az(;TF>)umWKkRdIZ0gF$fwx;!> zFCvr9CqOaPoKspOb(LiIUX`ksrAqlOwkjxgk(L^o_qVC`toNVn@0O%DOE>#Y%~M;S z3Zkl!A8_B*JSe|q@CBohU4FzLIFU93EL?q?%A51!bq6!h z%?^118jW1m8`ZK{Y{JBZ!L8q!_zz;w(Kg$9p4&j|UbW~&UXiZ(55cF^y$bYd&I>{= zo3RHMHWHIqSMs55G8p)Twy8I6Yma*qD|RHP88e^{VHb*As+N?TppP_X_3_;)A~@2% zDxX7}0Aj&jVa$^Sox|jED8uA0!KYYmt#$gR;4{$Y<3ju|lJ6I_ljZp2=P%%VO=kbC zRDmY*R(#EBdFC6Ov)JAid;B1e&@dHNRqzhF9jGgH{i}Cg5V}_GM`&a3+iL z)}zGtynNT9FUw>qzHg>9*Ry{&K)ICO12a*1Emm39rXxT92RTo4xwBcmVOqI8OLQ2& z5i@vX9QU`N{b&MWC7e3bA`Q%e(z7XUD>=i5<4mwf$urwYmxJ7S0=~LHzGHk1JCxCPQkIjqHU%Fp(ZN zv>MsLJ&B)s2|cFPdP#3?q_swJv{$jwudd>ItoO!Pb4G{51yd!DX}oOnLq)WBs_UGV zN8@Em)ZcG{Blj0V-HU^|oRAffvSVq7wQ*c$hs=6U^tpc0xfqx3pqec+G3OC>E_-tZ zd&=AAa)8GTpVW+Y-9rlBZMb?Ul`TcKgUx1)CrGlPQXbnObpmzH*vQCGPuC2v!% z=HjZy5Qp;$GOp$d7};_v_Q_~l;2Ub%z+hLQ0BhUf8+zLKeLdz1B5)(lb+|j0=9Wt0 zfL%hPd2Ah9Zj^c;>tPTnxn)(?GF^|iZZ2*@cdP38we-m#V1?I?x8&y11$@iUxE)ZxH%?2mDqZOzr;iXy#9)&+A{!+gY_^QTMIe z`zZZsTj^Gg-SrZBTqzSL=);FVidK|f{HR09{O!s&;LaBrN|gx}dhx6)go+?3Ai2%y z6Yjdo5QFyN!}qX3#etuNQiC#|8={|=eY)!Xsu={rjWFn~+nhI`b7N~m#Tm4_#oh|- zOy7czic6jM)v?ux+8~J*Fy9xTF0_LYfI1B|X^G@&hCG<|V;*VUZHWfLb*-ZV48}_y zT+O6Rq{Z9KypygxJp~8zJ~C zbZqsSc2W$!9@k`k>HtV9tBXwAv5QL`Ck5Q~VBh!dPL3s|<@qj#Wj?%l5|VTul87cn zpU`h!IIM-7ep9dEa?+3|L+t5^ml%Lj&NEBKxv$`}#=5kpB8*>PxpTdxDl@=IUf^?Y zpk~eix%sCLc^+A&KdLni0ct|0*I>?)Lt5;I7N5D&S~T<2bj#MldZc6khh4iN!pB}C8&>#wI8`A82no#NHqBFyApoQyxoY@r1?MjLhTZb3lRf7vl zR;75I@;E>WwY~DCFh;FX5q`csh5Uh+`~1tLK1xLO)~B!8F#m293ubB)Ia~j7;?^ek zh&0GhtrBanY=Mo46d2d_+niy9@dz|^UH(qk5Sa@i61D7zlVX;$P*-f=g$tmbUA%s+FLq3iFI{oV200_X9V8WN6)zHQfgK-E zkxHeIb*GJ3Oo5FMfqlW7`1DEXjwIB#T=AvFEZcb`87FoJ@*D3L)OK}vtv-$yf^nmg zo^RHN6xuuGZjNY83%_=148wUzcY68 zPyKjn`P?Oui^*=7=h`vS+Z#3A-VSu>?~KT10c6-!Mi3> z>`LCM!Ls%MuzLzO3eiN|TwMm02VB46#1|J5X6OAGS~STnC4z&x0427$N?P0Vp!Sr* zg!oS&^h29ay*sbSyyvu<+#b=)m$3ZXtE5=`<`T&nH{i#ExXW#oEUFNz6)E}MkID2w zlFO}%2sfrVKJ}aU2N!|d@dGdYz8YT5H22@f>SC8YLAc40Xf{-fZEQMu-K3ekCVvYU z5W4i;icY1`TGIE$Nq==tn0$0Y6v(b;Lls39M3g7z~ z@!?*iEJhQn@zkLY-8;Tm=6>0=D(OaKXqIlPYMCmxzo*BVv!{A`Cpt38W#Ya*SWHZ+ zhu5yQN2ogP7llW!O1tZ}D!Q$QaAhwHz`E4!QgGFU&4lK8HPlDV`k;=8$6VLDM>9os z(fFptX7Q85@e5N>DI*sAO4e~=DYsGcm1$JtDczUlxx^tyQ?su{>Ba**a*FB*0;1i$b4HI%wvDDMn^P(-W)lzrKHO z#9@`mxYC{??kJAc`(=A7W^#8LHj!tBGkZ3UF*o0~YRVxev6S ztX!_Hf~sVIgmlgG>l6iAl|rd88~8+ME+!SF2A?>p#-bdu_}*9;XjICXKa|a?qTIfJ zzxB+w%v$#ddf$laYZQYA6oVHOg9OubB_(5LLY^GXYDLYO^xmrpd^${m7Xg)d>U(Mi z$|?9F8JCP1Pz#CE5?S9|1%cN#VsTKVFzjfF@;qV6lI930R`50W>|t|TL;{a!h%sRz zQJo*@Vhh#>rmKZct0C#KEsaDd!}Xao$@{k_V1lSk@E?XA`wvSgiVcx@hR4p-2La&cj=NgG*WKFqfb9)sGj}^Y@3Y2JVXL0?4!V4F zLZ}*3tBPS!tBaM)T)J%WMn>6yrwQA$GRxG}oL(<0ab@=W_Nw#LM=o&}cwFz8x94Z)u_naL5ih%58L7Ogc4JI^RN6OzzIPaO*UO2O!;u~39PDd1}7}C-`L8)qC zs7v6Qbp=lW7+8|B2~>@~sa!eSi3d+=k|uV`s5_?X)hBzkmU##M$6$&&LeZ+Zl(|}J zkl-ZCqvn$bgh)i@6JWz2C$-`ecknL(--F8S%ECgOOOjxcKStn!u*#pLRbfr7+@UFP zn&c!;$Ox^OaIO%$KikDY;Utp6q3vn9N<+==7~A5>Sa06D*Yh8{ddmN`Y!@@Zc8Y zj?O8mVw|r)bt!0(TiTbGQbj+fbTNTg6+$vQIb$Uf61;N_V%a_D{<488FTy;ljM1f6 zd{Qn;wpEb7IW7WK%y(P$<=2kd*0p4g=8lhbL+C^949v|CMyhFEf}9O44P;IfKK%U1 zyp}V+;$u8gDg!K;ab^%~1bcVk97WEaO5gKB#ZNn*d&3W*S(ZeSXzY;Xc!?vH^vmNe zZ1P+!M8d+z4hPH7JQi$p;y-=_PYgZW=1zP2F0}o+za6C`wmsE0N{SLd!ySO=%;1q< z5%exlLPJAQCk}UawjYJ+Q}%58o7$*y9;8H8G%7*8Om`k7`I|zmUje3%TKZaxU(ak0 zRY3CN%Z??0eqWN4{(5*;)z<-r2Sv^|?u@IS)32fF5G*5$7?E*M^CoZp`galm<9Kk@ zDUQ8Vxs#)~%uyO76R<^GkMq1T1w}8S7K#w2?QMMDoxIWeDVMh%f~NUQ#?Xf5l{q5H zk&z)=ux*X*K?Z=%FgBq{2?ZJ8vTDd{=sd4cfY;Dc;wWdTdzK47ImIx!`|a@Mo9TBL zCa#dShylv9F)E5PMKnPX<}BiD?R*Tv)|o28afH<3&ycFqE;XfgluF5y`AYrR*)@1- zzuhg}$l}>_+O^FvilOTq#zWC9m&@{0(&slEEA>q;ue1LwZ#kdZhl-$xnqsYPf?ZOH5`*U!ZNu^dkXD%~0XjP53pO(q;w^ZzIWXhh+5Sj~63irOCh`?0G zpCsr87+@stJ&}wJ;=o*GH##b;!wM|1U4|d9sH?%@`K3O9zWcD5pV6T%KN~t3jgCXX ziKn0V2xcb^&U0oxqsYNHJvXh$=T4Z5NJ9h0#^IxX*biLao~;fYCA*=mi+F*PU4o}# z`t!oo@Ehy){g98ha90*vap{|YgTU>PYV=WO?O?iI{{1ji7G^L{6td zLNbT~CS+7Y!6*E!Ov>z2@ovB?HRns33KZ%yfNDTMz|tOUSOpxyH4YrKeT)Xx42O9M z0k7aVK$G-3Tb0>NiNy@3}1ebc5HQqC*Y=SH|H(M4b%Y0JWC#bcF$Fd{C$qSR^E{z zBeDZKD`x}!rm7*U-aNp@|Z%SBJnt z_5nR@8g#(fbxs6co3?!l{FRlOJcWIApBh&9FVWF2BjsC_cuFW*i{#TQA>8R;bSu(A z?6norr%c$>X+{#N(F^w8g|FXt4{JV@$bQq+M4M~9i&n}^ums$!hBZ@lH0356<|8_qhvOJOZVb~jq7wDwHRdU8v#%?;Z+lT@H~vTOCdVr;0y_mQHqJ(4nWS<$km* zUNkCPBt`l!mr^v6ehfB+XE*;EtJRH~evH>P!ln8>y;rq6*AaDm8gSCDtggChQpi|L z6%lIaHy21`*;G&p5m`-{mfRz{EC#&o%BGc%nw96D~3fG%m6WvWGP}HQ8u(C;H49Mb+GB z^0EH4{bKgF>_*@E?K}aIkzNz)tot}gi&VvkS}PYy$m&EpdpRx_Ih^)Z2?Q_ua2}Lu z0xTTt^zV&$i)`p<3(u{z_{GS+?k#~=FW+s}t>GVZw$@=+j<-iAJCYX#dZ=7_cA^1g z@)P^kaXl8B;w-LWUMAjKBjN2jxZax`xzPvKJS7_^J2x7COz_fH-0a!Wigj4gxR^_y zfM>QSk4GA=wAGk}lT1&4t{r6tGng42AOJ{84Jn_ZPUyyd=Gwq$rfM%Vjl;t#Ho=u3 zDhk+#r}m5k(xK31YD8=TGB`5M?(7SVgd?*~RbWDUDGax8<3Na~WJ@(sjSlOtBiARbLaI@k)g;S)2#8nq z%p83VT(!Kbj5sslE6{nS-*KWqOwGO&(=zX4nIaW{FHrfNzTa_WBsdhlgj~ZX5bax% z=$b&PR1<4WUN&Rm78+Q;UF7IxI&arwwI0u!sAFp!%R~87!-ZjMPn&6r)SF?_z#pQK^R94nIC^f7$;Ie)h2UnJqbq>zdS7I_2$5V9bwCg1yf7!T845 zWj7AI$F6w^i~3Rj+zr2$4RQ*}+DqE0aic`{6~6g3mE58g;X(sOoG}^StOwas1z(q+ z`8z}`{K8$3nUjpu&A87Cl+1GLZ8b-i(PeW6Hs$fJj3AnD1-Ddp+w($YCm9(PN0d2u zId##U<$w|2-!nO56zs41M&9l}{ppsR^3-4Dd%;}T5%d6oFD*n8Dntms-_-lxug@!G z1kC{}*#G>#wtIgVzDTg~ODS*FCf=eoZ&xC9F=RYqa^ryO0eDtY%GvdMn(VwE&Qx5c zzOF6;-?Q9rSnOg0ckEP5Rw*19OyY$5w=u)L%^T@PvhlFEeNF9_P(v z^B#q%{LdhEe`-|56w$paD=X>uWPBmnxf-eI9wl$Wz7qz($KhkEN6%$F@Yni-q@EgC zou0Jizs`;#JPu3kq-eO`B;9IRepEJVWY%h&@0FbsgfVy~*7Wq%c3@AO%`$PYhI%mJ z+cJl684TCLqX6kWfJU}Gx$a*fK?nuh(wZ5fKoT=2^PaxVY%ANbTT9BB0ou}s=Qw5t zH9~{zD@0zWq6u`iehN7-B6l4SgJB5Xls;;ktlTNaeUXC&siRn!PN zZ)jjbhvQiX)TlSZ;b%6ODSMpQ=OP9s2xy-UUk8?k9^AHC?Ucw61)Jo*wV4ErOamfe z+V<|*aM%L4s598zasRrvA&XpVj>&ej+J53#19?NJUr*`Lq<54)lJE>n1IX>`6&zz4Yu>aQc?K+oG(&uuI5Muhta$f%#DBk4*6SDjqBPxikx$x z8Kq>KyPrmcFM&1$*Jusi@HSw@a6)|Pf!v4TX z1SrQT@*tz?^A)C}Cp-q0d_m`hmz$E(2Dg4^*VltD!&zsA@`epsm(nIxI%WMrm=}_c zUz3o=JQ=_I#Jq0<4p8rk|GSYy7=)gHoi|{;AlC03{lGP&3JeOD1hpz!+@{zOM+k8y zn7wk8uDg5i!pPO?!TA;;dFp+yyvs-`?{!BnY;zHv9n_bF1`oG4##)AFMJd(lxm|$# z7Xlq>P{-G5b5|!$ zJFL~qmgTWj%bnvi(#s<&ug?|GAQl6So}jpcw_yt*BsBvidN2-9e#vUsIF zI(j2}Qc7!Gg>VVFEJxfdJ%qgN;VWs>@GkJ!Xoh)0N$S{oQ{M)C#A=9uHkNH`jxMy(REIl9Qk#x$i$AEb=w~a z!(ZpfwSS_+fK_dZx#VSZqO-E^{Ia9YEh%ceByFzXY?vV=;K5hZ;kq;qrA_X^D|c*I z#eBLXjg=5+RX5Spt;*~CY=b`7eXK8FcHBZdz`jILO-9O4GEm}DeN`ups5_Qr&3!5A ze$B)aJsSkiv|fSwiQpNeOivrOTOCTmSKC3?v?1-glevmV`|D%U5bMDeG%hj{Fqmv54txmL5Qo%WT1Wur24()E47+!36fk038 zfZ@NoXaN*N&LPf}%`Roz#B`{H(uYwcZf*SgQSv}4V=+#{eJ{{o$j4-sW&PpabAGS0 zoV6a`-hV1_3}V@Pftm^vQ&GECbA=97A2i`Sb%@PGm{escN59W8n^a{e)nsX70KMnj z{*LZGTUViTQ3>H%q*wY*UVzz4sriQ&M}_c*7f1M~7k4%v6>*h>gi~c@lOfGiYhSE~ zK@qvd=@X{)O8(vAmfpB4>9AWdajcm(W34kf#7=)pA}|l9H0Ws^}z) zwaTa6eWyM{_LX1x0HLe4FfeBTQ*xoqM?$1{E}mgIcPUGMT|R&fho@r37AdjaV%~y! zpu*CRx#?7s;Ku_V5vq|-NuNNs;YSwCMo=856PS_n;eB<-8s=f2dkCjm8)t!jr#voZ_>$EkE!a`N#T)GUmh&G9)Hq3Fa-EX>TE@tMCK|KypBfs+-!v!ja%6rHe%k)5##z7{<`!yk;a zuy!_a#HSOrHgGoi+bbwKX%kzsuYnj@{|(HT%}iZ12muVJJQ0wfq&_rPY@ z>#(aJWDp42XE(U%vVJ&jy)`c{K=d~cewoA&bwkeZgeLYB?L-o1ejNBD{BPM4i) z{TTE5ofAJHE0|p@VOUlI}g?A?t2rZfEM~)`hvlH!wYvc|Za*s}J?~HfSp(dt3TXd z+Lo^j!T(p=@{cm4f5y{wrt4q_=wU$kF<~YZiO4hDQSA(|G^96!h)R_Sj)@cn{nDmf z-h9DO-rd`!Pfi#%aEEuOVAL9D)Qd7s5H3k0ZcnmN_D_+*iOsbrBXL(-+gP>}I$@>e zFU2qj=OJ&T#2(Yd>dADLxb@D4XV@q6CspgiDC<*xiiHz$g<M%KmShuQ?9c9gRAsR|70us|L(N^n@X&yR2j#8R+!*C za+dXA4byl`U1C&SlbASU=9PuWwiIPv{%ZajQw$Rp&Dt<-fTWiZo79fs$kKHi31VIa z{A)kck3OTK_ayt;4nCV^U(lSxmWDN>{A;MQSDeL!G%NRLk@>36HWMGOq=hh1uGiO; z`+HofeyW-}%2|E0j}(`Kwx5~>K9}{2b?J9I3T`s)nFk({SNb+Jh?8b+8ut9*ctet> z(~tGU%~6h_DvG|JvHCV%Zw!^51C~g{9AgKAD*4l{p)bI-MM~@H6^XBwzceCy^l4BK zUBBmTlcPyqFnIu|%CMTS>`U$-Q|C;`Gw4XOs^YfFpI1T_Lvz&fDvYD7UWrFzAQP9! z-L?>Rb5SL78~tL?p+us{($L731Xkx$BD}p|OZ%C|XIlySJgXt>>z~L{7TF3EjxtRh z5L+zX&)I0;aHUpW6_Udxg-WkHUA?~FpX9uf^|lwo7QIGQn{bS@7L2!^6IWN9 z1hXQ@#M%@{b*5(Fs(WN?kO=LZ9*}}n-*l%9u&$1gnv=?qXiC^oOTDZuONB?eE<-;W z$xFB_!pdBU z2%7mR?hER|dB^KfY7-LLER(xPP{R(x@bGg23;a!kzJ81ex5b`QxJ>O@Voo>JS^iFA zf;D*Ef&ul==pl4!x1oUWp~YUgNjWa)!!x|RhU!G99z&XpW$GjZzq{^O zzJ9}qC2Hy`7u9Gh&#{1T*wi$IsLcte39U*NZ|n-*{sevZ$b%Y8ZHopFd@$wr`DWg}~iEJm7@tXt^=w1<6x?6=*SI(O*?<6C%f#(pC=O=ur zMQ)eHxpYv6=Q-x1kRn@;7f;hFEUMB)xm|2;oauHLuB2qH3TM?C zs?rY<+B%o65@Jxw#~+84#TXNonCR18#WLJB)C>ZK@X{8L_mhT#CH|VwHR3t-?LX@^ zD@0~2ZNm9nQhu0^k=FXHvC%aTi;KAzq5xXu5 z|2ktgGKt7C=?Zu0xn-VwHZhWUAQE8i4mUohW=8tObhDq8lrpce$V4&WSuB~uwrFUy zdTER@hE46Ek>UK?F|xAYK@Vx&i-U_)=0~UAV|OpY1I5{KKK7!8?*f-pcuZ)qkqW#FJ# zVNwXMBz(4Ymz(-_=F3PB>!*1~cnNw6^+xT~_T6e0}bvyrL z*dMCp%S!vlcK&Ii{ZIAHmuC5!amB{=AJq8&PT#QoVJ!a_5Z8rNnV3KH&B?bgQ^cqi zjiIGc!f!exVrj@pIyd<>Bt+;6HT4U$aNFu0u}RvW66oz_h-~Gnv-?^3|s*wedJa_3IE+HLCI92!L)08e*teg;~PDEQ$pp0|%I+p#5p^o3ab zD0Oo^)qr%50BQR#*!W?;J#Rf>?T`9EYabpwfaqVAlL_V@aQzylQSoaoVf`GhKeUcB z0Btr`V}Ya}0b-)qfS(Eu`-ytJVVAXW?z8}F5BJ0X55fJOk!l&50*_3vRX2M|f9~nO zl`aDDA3}XdfJ*<|1qCp51s%n}C%9vEka)2;C%WxK20x?J0qu>rg2Z}YVWnC}pDF^< zf1~7krM`gk8=$NP020+KB;kJxo0%q8W7^HER@%sb`W750)-+TKFiiG5TSMqUN4+cS zr@#&kxXpG0bcMIgF;G$lpiafVPsJS|n*spS?~ax$Qn6lK(7ywMu>QCls|R=;3dZ)V z#Ai6a{WTp9RPT^peCb}ELN7nS-hhDjDNs%pDIm5hz-{%IK^X9E$ij}IJp<7}piOqT zs-53*37V6&elQJU?u}Rqe$JC|Bi2kFukm^#K1iFX<9DeaOMlpwK~D) zd`d`_lQu#oaB;4S+wb-c4l&?uH845=?7Lrk&;W8&$bO0QTT}p-bEeH{+<=+*V88ot z?ahA+*vFJE(g5(#YQpR|<6I91=bY?8=MJqy>C5w%c%Y&K(U-X6>o;phFN2)Q1KP{9 zepUd2QI7zyh5^M%qXSHq0U!)L0kf9p!1U6Tz%2oIlLEIw%|Q7jG65L5^{#FKS})h7 z`Vr6t&Vd{G5O=nd9^?Y-0Q9o>G%a~3aL-yiIm%P40dg3 z$X7l9cifaw^=`v*u&V3J2bcnNd@d`$mIWYoF#Q z7wT+StH$2~Oloru^$i*yt(O+!`ujjJUMY8{D_4Xly{65JcQ7Wo)-a)#eL<-pjDEey zR=jj`5H_VBX95vt*o!I2k{J3M-a@^~2R3s^MjJJgx=K^;F3XjnUKz0iu7d21vZBcvA+9T!q>u z-f|hEtUrm9yb*O@RErwSZTisE%u|j}D(v~5z)L}@`Go1*u1P)2E4M0jN5dZVBS8w4$mQ0cYb6Prjq8A?P4p*51 zo*jwCOt{eWRWezDw~hQ-qLuDZ*z{nsj=E9=oVRCRVLIpLbo8?>1-9z=EIvo?poFQL z>voL@;r{kBN9?;@l+}RJcN~MA7Q}I>X`>z6&0QBRudzMS>8EbguD+HZb~l?Bbk^LL zV?8-n(@*xp8LGb@xr_Zc_X;-jmfhWNe7rr_r}yrrJ-oKZl7k+rs+Azy*YibZD+|G% zN_)>3i>7`0lAt{giZ*D{rD+kCB3td;pSYHVi*MNlh`Fx!L%P?!;g{z6U#oAnlz`ZO zLr-52`sa-KiFF;z;6zJ%9uVa(Cz9NA@epX*0{7wICqwfw?`#Hr3hRxUhLi2I>Ez-i z_CdPOM*_R!7N@@?!-wtq!`wl-*bZ{XAH*Gyk!d|&9V25@D|n1taQjHN-t&H~Auw5e zP{@Vq3J1((5zytvBJja>(uaA{=vbLK`HFA~j72-+as4u(-PrpiQGkaV-dz^5Pj^x6 zY=Wd>@(tNi-FbVs(1X@J>8rB;$7`Y4z@1<|+J$6JpWN|~c>FW$fNhZ-1|TC7CzN|2 z6nFNwlt|aXT=VR>ZJS@oOOR~-Y?hio1-PVjuGLU>Nm~&fCm<7xg7Kupqv7F*PV6mg zy@mBW4p>0QxJ!l0&P?);Ja`^_5mHin7VuAT@T20AA* zr^8<9-kK*|_N+t(2YL8tI`<=ot**7{7Au8hFWk8Yh8vppJUYkKJtjI~ph^AR!idVb z1ylG+Z$|$QYwsAOTd=L^mc7chZQI5w+qP}nwr$(iDz36^+jVQ7-Mi1}=pDCj$GJb} z{JxkOF=ynPnPa@q7$W2z;JMSUW^BBKY0qAD*9TJ+e3{X*MX+98Y%H%0aKQ4 znlsbDdoEu0X552NRI%W=-}Wgjb{7XigM00Qi>UC3t5|)wZ?JI(LOpd-Gmw!TA~!{7 z3>2jD%bL4yD(=j8jbm@1?_c{mM+|>)+_l^>(Y2=H#Lkm#y8RyWDnEL^ zYouTysO3g<*pIglO!T7Twkf-7BN8^$dX>6WtB#AUX=7*8DIJ*!!GoAI-j^?=4cVxv zQE9-n=Q&DDXr^onXELFSBtVs-;m(nNsZyips-N93OvUV_4Uu2_)mYW6xYU4-`g$2y z4a%wZa+6O5qNZK%j;VFn*+;QzXnw`xfn9d{(R>%O?!FiHHft;hy~~j%wXpJ>HX*he zrgl_66$hG<3Pd&@Udgp3{2}++WhHDfbqQ2+FG_sRJ1VKLgCF6zHNZF~STQxF(^NFV@9!&|PL3khm>->Ghs%wkEOpVWaEHr&M}qsBwO4Pf=^r z`n}2UsX9v~=0jh*9y?~6e2q7Tmb^&hu3`Et6yuLO;rUg6(?&E;^r+zDP5sS>>kH^V zS0DIq)cRimFNPog>VNK)|Mv<#|Hq>b>(6=TpL=Cy`u~hXviux~{yS>@Z$e&|DLg5g z_%Ok@`FTY$@s2AJ;w}lsMNm(2e;6B*Zd?W~{1OI6GpNRWo-&`An4I?pSVLs-3AClH z^9EV4JiP3`&GvSsSavV-Jgl4^=tkVEKS>yNuJFxSPU{k^;bp;q2d$0di_jy}?U3jS z+6-#4E0THLge?_nhV3KNph2sPOg4ptR6dH@w zWf!WOhB3n~_@)O*PZWYS;0wf|44+v1X2g5ag1-e;PkfWT{{_q>s$w+O7Zwk4RSyv^ zzy$r?C-F&+mu^Ox+XQOIgTY*#Y3dNfVl zd!rT6mbWW;OZdpF!QoF=b!WcDN)i?_`>fBH1@_J>ga)MO`p^hdIDW!wK*okfSx_lX zd~-lS>`I0yep08VAe@H!8P>mt0{z3Y|%U>D|LKM)Qz=MFM)PlYFE=F(qF9w0Cd#jcd*|^*) zveLUYu`Yqe0EvL=1u)1ae?*z=oI+SGKXG(?CRPE*6MQ-{WG;Wh!Y=lP##q2d`yp@m zyA$|$u3($U6VDK%L2Ov3U>3fy5F=6gPpJx`(IOx7?h+LDt)gqz0`u1f0-oPm1XPUg zR3IdK!X!@CRpa(l49T|zU|A_@ZPW}B^u%OPtCyj{$ae?=1fCQ|n;zm+c#M>2N<2eN zBRKxz{Q63?ZF1>*g|H)?+i<)H#VFyFlFKh!f!fz@@$vr?$EwlEJ zadU(wt_Xi z)T$tvI60`Pzc-L^8OHBe6vAMudwDLso{Z(eDXo$_is7_gi2}cfz7g-Bcyi7i|ILK{ zSFDwdo$){C^FLA-|Jr^1zlQ$V{!K9Sl5N!ido=#~9_6#{JgzCrWO;6J)29)ppI|g0 z0b<2t4w@a^uL+z9pFeDV+tvg}U0HjSnSYGHmK|N-r^Sf(@$zwHYH63g(E9z+hs7d` z_FzWmdCB#<4oe`m#$r}3^Lfps5#Pj-*IzIDCu7I2SQM{x58gAn<2Pu+AHVh&^TQQZ z;#H>P+#B25`sCkyeQzo;B?I_N2oJv%I?Q*4srLQRpsqz>d(x+}q6xeG^T(`itSR;87Q^&vxj_ev%KCP+=Q#b7etP1NZSf2+ zmvPDcok=CoTgn1@@%+>TdVm6^3$I6B!x_DT^B;w$^uoF1&cp$1l{$=JiJ-2Pjf=}^ zj#U`z0{Z;#`Jn#5xlRu<713UM_7!ufvB;VE=Q38bl;Q)$C!V3iWaby^@afoYJ3C<) znuJ(M1nauA20pv>AvQ2gQ0_Po;k=tHu#s)Ot2%TD?z)L5aV;3(pnO45(+ah=inZ?+ z&i&f=s1Mpqi!R6;{?I5+(Q=)xJp%07W4}?b#=(!nNk>+&vHxTnu(5>~LF0)sps;V+ zBF%VFnc+Ct7`{SF&7FAJ2qMlU<%_5ndWXqCHPx@<*ts=Ne@G#0- z%jsyiu4uv`Q*aeSfG$e$8j@4xLx2-SsJAS*WS`(+%qBkNTWj7^VYrqm;(adj?b#vo zNWqdE!%}}+rxBKI%zk;Aalx~B6MNv*jFO_A%{d|o! z+*qW>*+*|sos1QlBvZt9z4W^BXcT!os`<;6G>Nf8Tgooj!Fn0oFnvsP3B z7ZpZPi$ahMN?DLUoK8uI^PQq=7=tiYw6X>2sEo9|eeP#A)vB{N=~h}GQlZtSK8%K`~0B+v>umBAsmsB!M16+14Cz75Zd5NA_eFisY8eKa^R=%wDH z@1pbV#@lhwz_km|Mqc`N5GRW$fUg&&K)Jjaug-do6x@`Z+mFGZ9<0?Pl6@4|0S=l+ z(DxKK+sXY1_=KgI+jht0hvC3v?ac#4H*RLrZVs_{IoKCGW*dFvjkRD472ScTVkwQlKkgDQv=+dWbA(`?;|{wQVLPtOfJIh;-bQ_ z3$0j$zdjn3>Qen-2;ca?5T<@K*M;$E&E3xxKlby4bZ;lZ##p9Y@^D2ku=t4=Rhf}@ zAkTBRhbH@zp(@lh`BR3&_`;?PwRebqRL^*=rD%@{6loJ_^zNz?A_|ccF{Ayt9m|Gg zcQXqIbkUMMAneiuMZZH!1&^*GQhUY;gnO@{zjgz<Q`3Q|IzYHB0 z8Zh2uaVjMOeWaTNP_@Ag+Z$`Z5U(=kUB~s^GjM%NpG+*5I+k56xonr3g@p~rh|-;CvE#)^wm~gV z!na0R;v!@c_+T+k#B^D)r7{bd`*d+!(N&qXh}W=@8fo21%Z1jF#MxQ=I-N8#bN0Ax zrUKL=d~|R;sUzvPQdhF2rvd-UHF*8jq}JXmVC_H6)%jg~1_{b*d3&Hpp~>Tru~7md zRHnlTn|ikEcG{o1nVkz?G*8{qFP>pR&F| zMANz|c25fa@a{!b(u%!mrpsS@Vvc@KZ1*5ha4Md~P$Lw3aC-CWN)d6yZG=kwGjKGD zi-UID9VsozV}A;90L!MxohxbHehhJ7eMl;(P>1tAXkRIB4<McV-e zjnGN@WnRi?zpPJSH&fl7Nhxst*fPZe-792D=-h!5Yi)-?6UM(Vc`z)3AooU_W50Ll zFg%=`vKji~#yiff*s0_7JJs`;z=rLdfwn~7NDYYvV zRoyuDlT=5u41VK)W88u*SMHk&ZN>BM2RRN^%I~O_ z#s)@tMV~DaOvKeM9SH>%VrCjGKj@d~U;CR`+hn9(+j^{KVQ1h0yb9d|e+;&Nmm|<; zd6XP4Pf7Bp7pI=?~Pq&{r-D`Q{qCAtJ~(9uP8m93lv*e0a!7k?(DgN^Da_8@)>5-*BtWQ1;Lu-u0l;Wv;v9tYwe}Pv0IH=& zpJ-G-*k8jxZj_V((b2;oUypqvDpjL{4p^r13xVg=VV% zBfIrJ*$u{amHYPrS}+`4PV?LxYnB4zIGNv*xoDYKbgNEaab0|+lZdN?8>yMdr>xHX zLN@KzxC9Jw$&zr<-QwyONZzzePk-YH0!}iZ3tH15$%xutM}_Y?tC1EeC-!o$hOf2! zlt}rLyi>*C{;ZQc7eB}5zd46rL<&FIsMfJ0IeUt;NLsq+-o``KM0Uj#&ACdjcGFg> zJ?tV@wxr`aCg-&)+_FKj5MK2ZopyFt9n7lP;9pbbJPA;>gp`_CP09A!5Aez=DJH1v z@K)N6NlU6&NceQgZ*$TVdGiFqrmibZp&4g~y%J$6_Kdl=>h+N<#_d`cvc`IuHyD?G z{>@4$=1Ngvxwf+0wDxy(XelA`M|P7}F-JWPo9h%_8|)^rT1s4>teM<`UFa%<$VztC zSXe|MA-Z5}J|uvhH<2jTEfuKv&9ogR6+e03K#BA8Tx3i_VM|r6Sbr2J(asunRQ7yY z_BSh460g!jZ7-p8!6)bS7>%!H(0jmDeX>gZ{c5i#AVtD8mozlOpwT})cX#NF@|R7A zr_!6jd`3fs=|$!HHLvn{EJAohSGU+MYLr?k9xZLx9ClU zUB~)fuguFHSxC>4ze&wn4%{>~)e{#Uv|=Rieo*_VWD`b(F=M-m4yMw-5eX&ZBK-o& ztC3*+BC9x$F&fbCTAR62$(hD`&XwXG0S=>`-rCuNmjxvcZO(mYyUyytBGEhXsHGG- zyuuFE@o~J~CL0N?N|6F4l)5Aqy2VE6-jzmL;?Jy?cog@0_9^G6x!W!YuTR4?<~!}B z^0y__>aQuP4#RI`(M?gj_C4BvoV*rt5@5T}JlgTC(VAB`O703SO7z%EIO5Y!bDQh* zw&>A1Rbj&mUcE5`dU+-e#Z(9PCk07GPn)u;)nB`wa=bP5AKTv_^y9%Yr=EIJx<>=u zg$IoLePR z;WFu3Oa;Ya6ieP)o#x>;Y)>LnTDp~i^pOo~y*v#Ly7Z1ih||eX-Df)DhtlO@PRnZ$ z32#pvnH=)-4jgS=sF;35nkA3u1^Sn|$ociJ7R`z24Qucs;%i*hu~tHCOr`yEHslEM_^Z`=?B@;zl4JS^|X^ z>cdcJm&g{QTo8Vu#qBqWENXqUl01*-;Q4$kO|0{&$a-kU!>oQ5r5}%*GIo)%CG-3c zf(Uov4nRF-;L8I{dhl&LKA$v_-T=9b$JX188h_}Zp1e~SxdCQ-&?LRCd`1+{0DDQs zGelq&acW=dc;}G78YEb(m!J`XX0_z90U*Qh4Rk6@x?h+6g1PAqPXBbK6?|ShIL>RH zH=d)AXAiG#H@9)s99WKS^~w;#i`G+GtlIpxA{V^il*aqe0{SzD;N7PI`kQ-UU{#cd zQx`r0oP}r9s5KqYaN`HH%pM zEMSg1me&?l3s!#O)P3p_5bp$&w# zdmtSS&^o5F4zK@Ky{%-Cm~|?3TaySup0=og`-gC>( zO0n#(bFNmB?JD>kBBsXOKYLr+mc*T5fC<zhT`MbVRjTw=GL&c(JKzG{R3Q23XR!AQ-6R7F^yZje!US0&0|R`_tpdzCcK1 zkI0gS8h5~3>eO)R*k8hdRkyC6fn^m6g8D1zNSaM3_lTcaK7*^Ts9E6A{C82#DfbkI zLqGZiShKk_Ya2CLbJuWpPI4EX*3uuQb%GN_p&;Em2nPp!PhEcW>@fE$E|9|tOI){5 ze0t9IgJuW=!Q6v}Wr8ScUbfB7p(Qz?ldK?OPyOOY8g zhvjCX)#E6nWWh@xaBkU=%y?Wd*qIt^_5P z$3SaUqRrOo((})D3=|Ix0z^g>EDsPH)dnM+%a>$xv1T*Tp%}PmWhw6lSIYCEdI%Kr zq7Q16Dj48-c9F+UkYj=^`Ls4%&Uv=L55ueg$LOkKOAIAv*@oCfJi8kFAXg?)M58+f zSTDh`>~w;?X+l%)!LlKtkI?W@2WZe{V(8p=kz~-Tq7^6mbAZ{*Qthfk*uQn+e@_yN zm+GkZ+W2W^X7|f`>K;#m_I&q*=`a(el1HvQ zr+~+K96FsAqzL$-P*Qu#hx0BS6rd*zYMSL8V#XCY4vfhBdGq8z3v!Tw+CIoBa1fM8 z86fm9B5by3v(>NvagewYHxAH8lZS0O)N{h`qZFrSD$AHwLw)Z@jjV>tXMf1_J-@q& zFZr_Cw9t=e3fBIs=po}8x7zP5gNK0C$+nt`Ap&(c$rTtI~c zoXXR~T+mR;ieors7he92*>7b{gw5eCaq``IRy(IY!JFEeaQ)X1N)DJSNXO^6{)~R4 z&4zx2fV7AGFUOavc_>qxadx_oJKmcK(!{;(60hfAmz0LbYDdMgI>Y?xSP*DP2DH0nd50-G${ue^YuOPq#26rss1736}R)GhV(}wU{{N@d)Gx}rt{UkNH znj7LY9Z?gR2P53h_o13~N!Kh@V?8%kGfZ}#?DW%V+T{i26B%c!8YXKnE+@mPGbg^l zVU?m0)a9s(Q-uB+W}b)=RgJV~Gv^)nU2DP*IcAD={NrwdI#1Nv<$Lti!I@$4Kx87? z^mey@D!iy28$Z@TK)Z@qN3N3QlJ_uCZemuf282nvUC>|aim7}(!;(v0N+XHR*BHsp zb1`*t9;C8N;y73rvFtcCV_c_$Kn_4t{nqBP8Ejb9xP)<~pKu4QuVkFpXh}~F7UE(9 z?EUVsh*17)Z%2ux+WisTtTCzg&0SBi^M{EsKQH6oj2Y^$&1R7%OB6zfXmYzmAX~v^ z`XG)k1g+hi13v_;Op)MXssPu@uv;7F$HfSiKjTI#Z1viLdZnm)01-fon=S1PsT8)z z!WgiM^G%jZ;@hW?gSW7|;*LK1bZr zmI4&$cox+{X97PS2(K0n&i zxTGSl01O8Bwelfp(MJg3t!|>#N16@f9qP@g3W5qqn(DmQ1%VAjg|5Fnyz^633xEcd zldfi0RShml=*YM4TuXAiN9-P531NBw$#iH^aV~1@S+(*X$y)8$wPlah^fD*#a+fhE+kY-!B{5rXl~ggFIC8xh!#`| zq+dcHIPE#1&&K4(cIPh;Ix#raor(KRQjhB>$Pr!k;%?h-2lg@DQm3>DZeCgmJ)z#i z+>E5f>#5}?PzQl2Mk=I|!cV=zqFvt?apX>9&;w5DEmk>*MLX66P3AAlLr2REw2mAM z{nXBuJwKLohfaz6*I}S*og&uj$ec<>%3_5Ur~&LQ9R-784_<}7YcDR%**r9q*D6@N zNmE}nLaWBrt!-ONT9U$ARB{*cA}Q7)S*l$f63ziIbM4=aegQY$8jHSC$YsPg_v8{R ztsF{ceJO{5!Rc9C3}(y8MOn?+MA5#oQp*QNJ0&gF*fNt{*6IEN8LFZJ_93jG-cZn> z&S{}jPYom0*jGZq)PIjm#CxE>yWDC*+2Phn_MX=xHBNFi<`KgmA@4A_0%;NhCU6N- z3(}*sl6*|k`kr_?6C`pWiyCyu8hx~@7@(|K9?Ry}wCZ+SY-#C3xoEQ8%NjGYsIc|=i7)e5 z=&I*~4X=*H94J4X38=dTBZ&58?#{&3pkz|Poy~xUo1&LoVUdE9u9a50g5+8*l4APP z>%i91^XxYGhK)MHaI4~KFZ{$;e$nW6G#cpCz5P??jP2Z5HC=0ys&Y!Mai!B~5|;z= z^xu;>$|UtsNiQhtGYp6Cu@-p@-el@_?=lbv-X%(P4>e+|_L#`un`1=2%egu^5u(j6 zmlh-;xW3NpFg@&Ch0pak$UU+&j98b^j)N{4XOs8!I!@f2NQBcPG5u50D2%C#UaVY~zIgKX5l0 zV>*#HB>Zq!q=pe6BeaYGIn$N zr$OZZ54`WcG;5+0Wnjc-WdEQ3k^dXm?|;Gj{tLqQgWLV^kBsd9^yB~I>K{Y=)6V^m zE2f{T|7kD$vFpRD{Xd@aubjNnf1rK;XO9iTzdSZ9 z3_nc4zi7Yzo*$k4-;`+^PLYXOr-uo~Fx$QAy8I3N0HkihfUa$+QV)!mCw8K2^Z>vk z$U;`uQ2|w^f+z86nh+D|qzE2{lKD|2cTgzFo%CKSeBMUZ8da)ty*eFY^Fh@2*GitW zphcSVs{{I_84#X@^F@U*w(;w&3Hqh|%}}MVknV*IUCi6c6_Ab!pmAxenS}LKPSANB z)T$u=cZjIVd+lY8a=i=}-_z9A5lo`tOyI zD6QB9BO~gI`BQpeJxuW@cS2$?4nP26Kcowy?om(n!C4~{ISDaEi>*FTfLZ{fCSw48 z6#%h6s9|If;_ErE0DWaraH1{(Py;}Hh5!awnqWpzAUAfb!?_qcI4E8Ke&JGhKFBga ztj2zcWumE?zYiBoK(Qx4b8-D}+kwyvP_&v556E|I90!|cA@H3P2mMaiCjgl3{4)2i z?L?6|tBR%4{Jo=X>H+cV@d4#1^Qf5m43Po&>1V2eByC9i8Ns2x4FT{It)LAk0D^`f z0B92dZgBAG6#!_{L;%8ak%u7sf_UsW$@7x*0sE%vWjvaI`mjKemju%8q>6w^DYsSo z!~j=l@~i+7HvHMzff8a=`P%_+lmSHnKSl}c>FyY3!RT!OvaW2Zf`>ujy)8Dd^Lc$uibb=nJ8~{9cjo>aurivt}##Hu9NZ-MjR|CO-Z&=G68M= zeT}VN3o9xZbu>w#qjQhAp}j^{&`1I%HQOY`6#qe8l8mJxf1c~w+RejBKnp7wW{~<} zkVMQmI)Kxx{u-+)Sk+YW2?l_QV6`X**`3x2C6CQ%+*nL-&eGGgUvu|!s8wP76D@#j z!Ljyfbyg-BNHAWfM_(Ep56qq)fj@&o->k*HvqPX}&d2CEo6`Lg^#3mH|1r(~p*I|? z|EcW%AzuHtBJ|_#`=`;5h2g(2PXA32`sW(*zc5b2OAIy}^zfjw2x{cn8m^3S&}~lo zY0D1A=Ka725baQC9O7wM^vdXda|bhV32j@!elm&LYN*7#)$G^~WA*#KFMsx3L|r;N zU=DqCu1P(6#+fjV=ovbL&31~lK7CHUnRyYFbHhER56_l`zB_MoZk7P)t`K*X4cy;v zXTQxpq?sM~snFgx?90w(TjTN1L(jx=uAihv%a8q*UzwhZa7o=%z`*7|>fQ?8y`PuRbQOFO%bU_CCe@TBF#EN_{<65rhSKu-fw7!9B? z$FB32^WRj9;O7obz4=YbXXwP^q-W53qd;O8Ob;m5ijQrc6Fs;$^)S^Q-D2KMnPVRS z;3oa0Q^ep)$rL*RT1C-`zxLus3SUGJ6+e)CsCM#AvAGT`!DB@gJvMke$XU7#sbPP z_%4K+pAL&r-3DLJK}<|AbP)j|Z5c@h7U6P5+xI#3e>9)9zlEz_+oSFvhz%+891}!G zUmJhU-twa_5@Cz-3>Dyxz62ly@$HIf#FqR9#w`*XKA$?v-vR$kSLm_P{s5~>H#o`X z`YrOg;$hQe{Q+qz0j81Q@#uBvJb`RW+m+hLAI-;x>#OE_riDm%|2ZH97stc-eP92~ z_IdD-;>P(9Z}Vz~v-~)S=kX^?leaweHCCVdZg-9B_UFqVjm3SY-WQ=Qm<<#D>@p+AX zclZ=mb5%2$bKI#Me;-+X_6c+e7u;TXeDV0+Fori7J35 z3G4`+TWk9cVLh(oDAk^)9#;EAib*8AItuR2Ke}r3iDhRs_;AA7t{9!Xse5no?TAPV zpUyqfJ)oVpzPM}mGM!B*E!*BYe+PspxXmVxueXa;cKd{FpM^4Ka$Ek4@g%#~c-0F7 zg{@OmuIs=xTdL4&_c$J+(7TZOiN#46j5Ka8N4z<|Bzx=mN$e4r+T8Qf;5!uFUx_c% zDZHP4>Rh|M_x#4=>K-L}-ul+ytDGyoPw{8H!&i;3NbCZSnOyW4?y&JX_xt{sPW|gz z|KF&|Kj!Oys0ls$e{O01WhVa5#-9J@EzJ+d{XY=9|3b?DH*Lf0|Fh)6e-e|6%M3OL ztjJ(`P?Tu~fGy<%*hJYi4Wr@+)GTQOKWKL$buco=2;z!?CJ+T7eo)%CZxOL15_H%u z1>vCKjNE;E!Ps+-!-a#RTlV%V`eI$LdeYkVpTS#O*w-)H0M!bNMJKBuvk6~aaf*j0 zSNHGN`S0@`w!(~gxQb2hJTpFlx$nzf%p*T#vbnr$eR*GYWxm`Eb3gQAzsw>qWp3*$ z9L`SZaGs^x6|xxC7iGIZ)X${MadApZURDfqJmbEqq!n=X5P9*qZViPEl`c35{oITT z8=zTzAUqy{f2S|X0u(ZnU4)Ngsn}-RJyd|oaYSX#@L(^v-iVpQp7;#l zk^Q{@zqK76?ezbuvNt^0Hc9ME?~&y3g;<#j*6m$*Y;#I2`wZ?TXe9 zQ@N@Qf|3TZf!P;oa=d*Uz2fK_b|&L^C5?|kvvVdy|bH_|rV zzEscw@oIR+aQo$XP#+0vZNesX1sdMrX?=lcCw#PMdX2|+|k!^Un^ZRaR6wx>4t53Ws?F@X> zj+RF2;z{ST_(ck7`wH%sz=wMnF9m`XRTl~jecrqMemM1Ia8>|szwNGZ=zB>v1Wmt7x%?FR}^UM%YLznM`fcq^{00J(T_^Wi!5cZGK*^K@qUH64a72ntGkTY$BVIc$jTVd2L!!>l>7*Ym`}4t;#l? zb^`wBOD+R>FT86^}rhqBZ@my1zeeZ=G&}<@rz+OoPEnEsHAt>8-b%y{ZeR` z&jX2TPVS)n9uxiG^BMSU>)VoqvF5pE60<)NgvqmfEn%V5=bs4wP1pH>4z@;!Jvp=` zGJ(P+QI?l`ou9G(SbE0{aC6!g@#=bmK=|lR74wdwC(gGoz_oMh-q{m?U-+p{HU@Cx zUCd-30t)8PxshrdKzll>8tA+C@ZYi1PTK)(|=iD{y+QJ+5er7T~*!j zfHlFVR<}Pi9b)~j2*S>16GOuWLLS)4}|X{9oy>-#nxKRm!VcNk^4 z_t2G8Wh6i8-3#OW)!;aGWTO=C;1r9aIJwDmND5|%KLol)XTd3BJ$M<^)Qu%2~*|)MR z?b*9~P3roRv1Ri4AZ(<^Ur#zrcRH0v*|-w27C)C2TXJzZL}WX7k8 zqlPs0=W|VC3D}Uoh zywa2)|2WJ#-RJkZQj_O4gaCN$Ipl@0GsQod(YdeP0fym(k3z_vRM`-4^Ul{248~x| zV~voiGJ{W`K_n(hP0He}1rQ+=a~yjedGQGA^DuBC$nR!K=~ZM7N>JCHxv)joM7N=5&L*9d zCBs1PRVE}S8*7;bNQ~xdvni8SZE?+6@8oof)Hcilp5Tuc-|Ya0?_bME&V95EH{WSsam-_Tu-nNwVu5wv75-SDW3E>E>Ui@@@Deg4a*&y27XFS2 zsjra?Q@P)9QbD4-xpnb!!mDIVf2h31PCVKT)Vv9ZJ^82huyGy2!a0%`LRXu0K;*I39k9u-m ze0!sB$yNpMu79ifyd z#6;@Y*(ZWKmvtzx42AkS{m|fVff3?{rN&4YA7<|#3(H9^kN|Prk`Mx)aLpIku<#i@ zCiY5YxFa9~((%5nblinZCVQUyDYb6Kf zn$^`Tn4iTFxk#r!hTqijFK}%SAD*C-uTN&y3>{DgSd*XnKH6NTf&3fWUdQD)7=W#?t5b? z337I}Ik;z9#po?b%?p_nj7s)UkR~2R6iEMi@V*V#wHW~K8qYjd>(1Ip8SR4&#|FLe z0R2!7S`?Uv-0=Eyw+k$6)-M=BghTI@4~X;Di9|oxG3b#Je9PzjlCE6alPpDo$4)5w zNGeb9EC3lyxx&^BZr&5;@knERCbd}i&z+& zfRwhaJQ^D2$eGo2G=t4Q3!h_;+PdzasY-|#4b735VP0*lyBxW;#-;t$#tnV1 z?s)Sn9Mr&-@k#`bQ{)!%rpJDJFI>lCo5+}Y@*gxyb;LDwAwxlLeL+EFm|@Zo$PB=q z>1af?0Q(qA-&(JK3IZXiQ0my4yzkd_!_eKZ5d4WTcZ0mCn$r16tBOo()?hFe@sY@t z(pTFHZ1pPuw9|A1Ir#?GN9Bc#Hn>I=9U{;JA5h^%ep>|#`vNBl2j`k1$@l@n>_b!K zY2*Zjxvu$9P# zcozH7okbq>c1H=AJ(y8XSJ?vmyu-+*`K@9vR=X49JOrjB??(1RAJS#)dz078+SZbr@@)5v$BP zpxt1hC1)&YABkKoa2YQ*z47j0BOM9$3^R^$H$6==4SC6)rkl^@^B)le*eOEvj>7Zt z;tJVV?{u`6%rmGd43*b z)7J#eMkx+VzyQ@i=qF~Aytu{vZny<{N}w{0|Ga~H_EArJ-X5qM9}dN;)T1nIp7ZpJ&vUtlZ{lqh%fqP< z%o7fu;sGCW30n#7KIGMI*Q9k>fIz48MG~y6yNrb7kPt`5Kx^TN8Y2Kfb-i&Pb0II_ z08UDQL_EYSFpe!FkmoQY)(TO=VeFxgAACU$o=iuHF1$RZ2^!#jd@T-i&%ylj()$c( z=i*zy$qt>ozXhxxpllS6@5)-Tf$x20+%^?zso0W==7DktJ;zoQuWsG=8DrVmnC2z` zj)uX*pempgI{25Wndj({=)OaYiPb%RFZlKl^V?l1vhw(;Zx^LpxFoz*@Xv!Ga~I?C z#tu>0*D48$`0Np4C!B>xB^T)et;xm?;55PEu-pQmbb5321hr1m@xyPM=+$7lBGZ|h zIbi`g&-u6hhJ*LI9{7K3?cR78s~I=9r${l{_c@nhE>I`GyeNdL9%E=wjLsMUSV>|-KYskjO!wk*=6k`!L=xV+c!}?6( z`nt<1L434Z{KDQn3!yGw-E}zb#NRX8=N3OtgqW0F2d2TOD<^3AUb95g7aZFX3Hb!z zSp}*@2pm(UxL}B3hHc@FoFi#--e@GyC*?2%ZrvBCXD6rd-5+3-(uOa4gU4<$Vu)&` zw&)_n8ahSy#P5HNXynXu{8J?~L$G5eV1S!0{m>rpnJv{Gn<-Wx|KRAhx*x-F9Sd@f zd7BB3rFn%q$e=E5S434N5M>EKNgkK^WXM0Uk^+Y=JvOs(s@JP`oG^B-W;oxLh0d zi~CL|UVu#ek<8}ZPsBtx!%W`NUBj*XVlZqJparKcXvC55Z9Ml|lY(X6ROxD9# z9K(dXH}NzUZB!T1{>=we@I(r#Cyg2YJ-qkut{4z39i3W7TrvkkP@3pX`=$4dG)Jnf zH1%FT6WIgF>_M*YpzvIGD^N$qub)IAxV|OyX`c}SX9(ctp++Cn+Fw5@tQDI=za3nT zSv!V34Oqxo;D-YUCEIfBU5wlI;7Vww>F!mo3h~+1=r+%3x5H|y^dddWS~_E)RJyH* zvY6(#O+uN(wuyqGbAx!Un!SeE(McthdPWzD2sNtq2vMtpc^*yFZtKAsi|xa=s6;P^ z7|z-n)u{^0EksNS)oVB!jr|;YDG-hwm;IDn|SFjATp(W5nwJIUAisgb3Wbe z-@)OmAuKB<`s183zRZL{(w~a{JZYUU;ppsQ_5SIztd(5wS~jb<55Qe$Ojqn4A8=-X z16b`j?lv6#EczwK*<+CC!o7EUe))kgVlGqEqlLRx!f`!s-r?<7$3=EsKztWMdq~32|dtS zpr*DGv28vQP9OHc7oUfo7#7(a8z&WuR5{LK5F))_=pv!R?{124Pjqp?nxHM=JRiP6 zolTh+hkGLaUH|;ve4M2?cgz?OLBSM{D<)$e?`>4Mcg$?Ta|X2otT$o>XbIk1&!#w)}sfL%o!~Ykk1*e z$R@Q{N%u`+U4(G>e`tHh@XESvTR5q(VpeS1wr!(g+qP}nww;QdRBYQ7JGtw9_uhB! zd(L_8pYMJ@#xv(L*IYl=T(kGldTVWr9^C&~M%!`U$rKO$fq{n7uKeS{v;koa#W&+S z$j`H>1!aGpM1yxi2O}0jv7gLd3z0SL#tllwX7!e1q?3X}DsxKf#EK~u;+w^T#WUdD z|0^Xv*qF?*O89fU)6LZ$2tN2iRo$6d|=A(P~IW_3)l+yB4D0z8B9n;3z=)U zv(cqjjjy#HW)5ix!x=>5>e}Z!C>57K8BB|)+V=V=lsBG`Mqn1y6|ac}*-B4^+np!? zPZ^`d$J>~kyOr_fhD)zB#e=6eRE{wT)&bRuPr|&!Q%^edGntX540Y(u)Vp24?VZUA zN2>gES#hPDugm9@InC9#zIQ%DMCyMRZD(yO1T<&gg->CT{oIWlL|RII z2ewcON6THiJk}5VK>rv$@HHcdz@Rpi9^%vUtIHLZMgK@dc7Q=LKgx_lU=WE7lX(w@ z#R~_t{-N%36bL`br9fspdUwjggFjapNI&@I2+@7cA~K;_>zRRy2fq%>%#5q8j9py_ zu3?SZEA*-dk}Us%je)`2hd5Nr(ucTsoEFGTd~6D`wGBu$?1#3$ijg6Z4UW%M!1R~3 z6?S-;ewJi|0k7(d`BY)p?zW8im2TPr^MY}YdcocVrR%WbW34gONL-e^7Y-h5IEXpT zt8NL1&`5tD0xaY4qAlbsPQUy9h58*8hL%yWR31uV_J_Zb5cIS)r%?WiO$}j%;fHl8 zSt5dm`yvwzZ405Q$tjiuYX`wbH1o2lgzGC#+|0vv5JGaZPa7A`O^v1Ab8vdn_9m@Q z7_TVdgaJVz^EnLNKBXeK$?QCC--9LvLaG&vndM%$`1YxukU&x7 zOJ%jMf>{0sbIlzMCz-Et%&W2!&4y&Fu^GiTp5-tTu+Yb02{@9F9A5C&(D~jF?2hf$ z3uD!}ViM#IT9wVyfl*0(<=BUPn|;vCGtGVt%47=G=pTHNffjx36`y|vZ-=8PA)39+ zcc=%JMnLRxwtg}rml)?2yw=s3y0gYppT##rc@bGYXi#RUQVh2ciY@)PDD$1fEg!j1 zfUwmC%utnz1pECL=DfNkCc?#Ar4X&jlc?b_(d*iyD9 zri-W#)m53&7o>QuYUxkLe%wRa&Z$(1o3DvFsnGKk`p_Te>BN(f+Kc9Zy@Z(>ypN&8 z7sk^tX|-kFfRAye4^YHu=Df+9T!NPqIiGjTx)guS6{1v?iZ8a4?;6&b2V4mKq1FS! zYj1Gw_aO01=%)(fnq}qLK{;;OexaMnIc?m5Qi;LDkhMY#HUt<1;=1ws&PE5L8R0C6 zaX7!7g8Z$Af7r`$AS0?_kv7Q3NE!^Tuzj~QWA3^Ry$ne|K#0W`;eA1Q7E`$+{37^` z{)LF}ro%#zFR&HM7}qA%z?%B%!h~!Wep|L{XuD%*j=r8zYBtXt!W_jDwU4xCHp5M7 z9me~s$-2cavVDmfe4qbRJ3=rPWl*h_CZitubz7 zn3%boNL0=fdK4CqTGyBtz%-y`4x0S-ycM^{-)pre z(kjn~PLB75Z}ttIqTiG$S+!xR6fPLbMVC-CvL^glj9Y=-9g~C=I2aB&oY|kb_^|Z(y&0JIZRaN82VI#ppK{;`0kN0P%oVx-H*7Bb@rX){zYP0JF{1#=X2I^sR+{;2G zU)Bs8Ul$6LMi5X>RM8L!KN{%OxHy!URLfru?ZR_puQfreA0B?6`##lO;5qk3iCmc) zRQ!U(R2%krN>eGnfYObCYXvWb166~8)b7u$mT9X-U;k|#p1B=+)hZL-&G%t_jRl>I zEGb$ayznP{0-;c+wrAMSm3Y*L;2hd_#@k(PEo!OJ5{FGI?+lTm-~Y{W5PK%KYU>)q zQ#+1T)OM}ULgUm(Mr$25>f#O*&t`D#m7y1hcSE`5skHafI~1O0nR2y$!o1X*rB3e# zhx>lr@9_Sq1s^}hTsHFytrFKYJR6U7(>-++-j7J5E$wdPyFQ@1lvW!`aSlA;@&JFn z@ibHPg<4ERF!LUO-!ZF%Y(=cxjc!+ z3X&=3Irc`R?whaqwW_GXnh18fj(UT_jjt3!rY;VZ1IhGDpY1jVy&iJd^!%cVq11*{ zc_sdXjN>&t^O47kDI3jdwEgW^uut1t*d=4d39d#oJmJZFFA=UUiYVxgP!pspemvQC4QQe{cB6{{OFM^#4IG zi2pE)0&GYBr(Ki%zu7f8{xW_3%PIAL-9m}ufACXIscAW`v;OdTs@)OdO1u`x*Qj!vZXs2(uHviVtk;ZGl;WH( zx!=2R!#gofM*C@-b~^lXl&KQgdk=sLzxUk{Nh#CV2CE*TuRao?#lWu(O$cTJ8AG%p8-R@f|h(8h( zy8d}oXjFI=5EiXt~Yo^ajLq1iW)kT}imO-_T7$aXJNRC#$MTJjy z-wTSULSnL1tPo!-;6rPR-O^*EOd!T%r=ilW%&v$?{^a(!VzPcgdXAmtwUN?Y(sEzR zEz4)3%Q!?MSsZncpe2TGZ{kZ#Prw|66&@C9=Oscb5M7fhU;8T=$(FIC_3LZufu0=A zQR8sDaFya#0*Im@;V@Vko3Iw6Y`Gz-ID9WyE&Jyx(?T(~LRvZNwO<#?G31?NP^4qf zDo6i4wmxGObtzAik;;kv%mawTx1l>O^eF!VOz(VM&1x6Wf=;&CJcV&(JOrqq5XFg} z=Nw_M#kB$hW%|Py0tSg@EdSAR?^S!xV0WMo(}z%nDeeaJQqE?3i`@7)_fcBf?1XHJ>nEmlV?~Vh%OK z&K?%R<Ueoc2NFz>xCl8WKT)kf%-S@k|74_%33u$WJ5Uo13o3`DtVFj~< zw{W!iZQfX;KQ^N5b~k4jK7;c24n8{8`7hlI=61J$>NkW+GlaN6fLl8;q&MN@ge0$4 zJLTh1>~i@(>zHBOw**SBOv3tJt=EO;bQPa$pYsSK@crgx3>4#oOlBTeLv59PvkB&_ zmcv2|$$X*bS4eVV<-X2WzlW<@L;aGE1AkrF6^uu5XMnlr`!VQqvD=Sv2idNpEIN@u zW5Ja!3bt|0@P&`0XwAH|Oz3vwc$ce>?U>TpxyRM!qB%~-AkeLYe*459_u@%>HUIok zB)IM*QxH6_X?-%59l!w1=~MMagsY!?w#~dOY6Kc+ZC~ZinBUX9$H=c| z&=u@2Rm*Lt5A}TatQs?`=1V8(rDv{cQtZqutY807FeyAjWY`D4gx?eSQ{)ruh)Z+N zaS(MIeSd(`rnn(B^mzN))*ew*{=xaZQRyHNNKu$%UM;_@DZ{)k#h6+OrM8Rnp)8Y6 z%DsS|>fOtu`M(ASH6S<|gf&dH7nxv@MlSH_hrmv{HtN7jr-R54(*6YdYovF6_2detiZ8wXKfRtFXvO&zIu)4HbYY9>^}6`7XIwyoI}KM zs4f6M;FbfU!ci+FVIqahmF0<)=jW3TSy}iB^JTowC|d|26AEOp5KpeQEdireH0PsZ zVoGY?_(7q{<-|JZnj>`0;S9wjO8CcK9AEFOEmjd^>WUDl)oM(A3ajoCW$u`kJ%9I$ ziv@0z?@c^U;bc)sq)GFh)jy5I=KHe{{`^5V4~2p(PExI*&sY~Ame>hSh%-o+p4*=h zX-NsD9YV5$wA|KhNAN59rO22&o%lQ+B0qU&9MS5zRFYOsm(ztC9so(Vx(Idr#xRVTyRQK>G#6LlXd9fVHj4Y zA!2Q-Oshnf{5ESYepsuxh2HD%vS9%pdrq#)W)b6WFX%~McNLQ2+0ew5%pBx$&W@rkY~%9&i_8bSsiUIV z6vbtyR`%tlYj~}PAa00#2E7t5M{ypcV{j?gcl&^EgK;2Jt9sQYJiwGTj~&H}Qhu~!mJ?3ctSW152ZlvUzzR!&V&J<%5=jZySG%8kBRCCJ&S$kX{9`Tr`+lOalO#vXUkx{Be;AK zlruKW-kJh*emAH$z(&P47M%4hHt`e-<>+_~Si!B1x9y5h-7U41=C_k&clEDviUSl3 z0So9PwlHOBremckfterSENA(tYnR?eUKuPWhJ!vF)~J0x5cgJgv7=4x@Ln*hrhynk z4&QXt=sz)38RAvB?|%gO97D5WnS@$L4v>gnA}{%eh7Z2+>O}WdxPitoS}fNL246Ej z@eg~~&+kxSD)#+B+bJ=ph$Sn~8&T3ulLf;w3uSk#7|@E7!In_ULAMF0=0abNN;HHIQVz7Vj+Lm%Uco;KG9w%3aJ1Ds#ofru?H8?zdtFxA#v z^>}S(6O77XjA_o2-lA3&4X^PFxa-Ne_`l=czj5AQh>($m{@<<8IR3T$`M*7||1Z}{ z{eQ;2{|)N?4Q&69He?+C^7j7q6aMc|_kXW;`nS*a(p4rEn=R&YtCuiUF_sGT9TI$i zt98M{bjy65CqeE>G_!${#63p2cs7*h^9i09*ax&vyolQQsSB4*`4s3EbOQd>5T5V* zS)ZY|{Dl2dD4wnt3r}I&c=ens^E7le0p&K%Uors*dWhQqy)& z7&;=YRL4t(>gNh!eqdi2`pqog_k&(2t)15TI6-u|(af7#>F*9C0_(X z`biMD)=p1aHZ*ER%k;W6``4w4YVN2$TneP#Y6BhWG3XKl=XlcGG@;<26+NAw*LPDi z+4D_&W1UqfclhAGoPlhc%iJti3(M;ueg^ZnE7Rq5NzF3gp~C6&;oagL?=QO*tQKAY zv9NqyO5l{2l9&L;iVrE5{ArXWa)+^J;pREi+~_(LlL{=BX)6VSxEuuiN^|TyRU}&V zg|S(HTxA*kktlrdhu1a!(nN`;;b-jmND?_v1!Ja#QArUUNbMaL7yK%PqQr8eas zibl71f$QvJFuzK{y=09M>kWHXMsn6E&+;ry0n6i625O8K_hVaUTu~-P4Vwb?TCPt? zji&Bs4Dgk|OceIVt<;zbI|b|{o*IxVwY zk!MO@!F%zFR^5HVM~ON|M{NqhDF~>??vZV1QZlzPD~?1rq`Vk2X>Xg=H!^oZS3$%Q z9jgpwPIK^K0p&lsda!i5ReW&TUDT;-)L3v#=YmQtVkO%CH zm$6~IVn<}xH1Kmqr{{PzQUR@dtbX$b$z2de`ik*FCAzh9ID`(I)D^KVeMu&RCOt%< zEbEbj6R?3%(_^ME8#k_mIF!s5qZxj2@s@Mm+#)Guc1sk*wX&xL=5LTQ38q!EIbtGb z*voJ@Dk;=Zr?hDCP-^^H{g``L!Z`xG2&b@o@lc~`Obt9qr!Rnn^Laf(!z5H^yd#&e_hK&ClyFm@^J%8Y< zNA4Dw<1orWJsld?)V?=F4P?qTd|sO73hPzedEqYCfm1yz7U_%|KHj${eKRJ@qRzpl zjo%&BLv>hZrVjW8x*o)EiKU6)(>#JC_gCsZ$EU~>&xHeqCe27)i-;*0V1LzI+Uq#N z-OQXjwpXK+D(o|ZnE8PQXJB@1^l_FkmXJ~kBt&ukNKf&g9bwr9)#w#`6Gb86Vc&|;$Yayf_ ze%4Lx)HU{YEj(e_AE+XqSu!b*5b{fLO&}rK>8C@o8~%mvoqe3t(#}1jT||=%vJiS z@|4peEaim@z!WW~8^vg*z8%fpOKGX23`3d4Qb14sEIU^sP86VWP8wMn9mH0SF%@IF zA<<48E9^IT{?Mn2A=Qf;SDnF2^9mEOSsTWq!{A5QIPI*KR*-W)6FXtBNO84t6}G>5 zY;~=1Z@!X5d-S^#9=^?jll9Ihgqp4GcEazw{@k;9g^NcWC|PIb+5dQx1rnNXaUu6x z#59TlQ~7ZrC&%S&yMh59kmxp4MXe^{JF`Ben}fo`Pxg7~`ekSRL?Y>cFf7C{xYlSN z(#fL|K!0*AmfvCPl28`uPSu;|=%`46fV-Bb6{x;p#sp>AgrQ!+ei$2#m@#t(?l)d- zPd^dgBM>wu5$gauT|UUo<{JJ=gFGwO;pHN&DcJKO;0 zzk)S2L!vc3>TFR)=7_{x2^cL-XKNI&rCg)01g*@F67g(3AJMElQt%!N*d$u&&W(X#?b$U@Q zALqd;lr(0Yzp<>U`%CIq@yiVR^BV(8Wm2o5h zj>J$4z~oTMyv*PKaYO>MVDuOY<6(^%#1(`a#MKol@41K?p8(5Mq zU?i0cU=YX@L1LlQcA7B?M}5F#!zg6{4o?{(CguzfRVB9aL#;1Ez}9dA#SK&FI$w>{ zj~9t#n#PCZV#vD7-_NR6oMy=2_PL^Xb{V0neK#1P@aO66 zx#XZv9C-MfErEEEKaWnEWvg3aiSR0Z9pSCAN(fhP5SD4~=aRm~glwPe>{+q!7xyq9G zSlzHpZ051sb^8#D$MtIWRrKl=4sRzNVikO^o777{;+PKAaY@2Kp&w7ik!H(FiZxW}q#{S6nX3m`DpXKd8wnc9#@Js`vV1nCXqdpmp^q$Me#@bQa=TWfS19W1J z2T403ud8FZ?)PtQ% z0XU2F8J0wBe!*%|ztSv7jCc)QqD;Yxr{@Tb7yP!cPCC`%kIPNCe#|no{pP1})dH64 zyc3^M%%UI=8ddKMnygS((lyKpp zr%P8;=<^2f!i#OS0M<&JRo3{V7cgr=sXN~Q*80NNFJEIW0kOIS$ybQh=5rM%F3@fN_gr6WXprSeMlt1JnmMpc zHoTN=^s=lImb(~8m{#dihGyvH`Y4jEMnPAVNhL$!i@e;ZNCvg?Esi)&>f9GsSg(0H zmvL@4%==BBT(9byHnYL&^GBI%FZYkaFXW$f>b19x@;in@fguj|`;-NneZ-cq30%$~ zNKip*f*mshj*+fRQ*#=8d5^n~EA^@@vb3yf1*b9Av+{>8=mvC-=}VNiDjt{SQ7YI2 zbFmP{eg;)Xb98v@d$VLyBq^}4@K7el!i68dn#-z(GX1_?pZYBLnPI+}Wd~xzf0u;p z(&w!E64wi({U%dE!@l9yisqNt3*!|t!TOY0OL7QY(_ttV(s3J|`x@iWxQ;hmZKgJP zS;?q#f34?@Ql5;Z;M%oyyNNLg>Vl0=x68U2`ZJ7rD3E#MaKzoz=KMt^JYeA-D?B6-_>DGXtc%(Prs zmP9zU$xzJaihFY1LX~G8NU(iQQ9zbIUYDm&8K`Aeq%vBOXH)Bxcwd>I?YY{|O#5)i zk^pX(mutUjq!_I+=%>U2HE02$B4w`ovD!SC5g@ukjwTw=4o!mY?S8*H3_E5;bmkSM zbUN++pz8{{Y0_bRBbz;V$C>pYz9-;F@UaYuqi7ZR{g778?M0FVs+PTVrECRKtKv`4 zO4*+XS1KR*2E;b`-LblSkHYuStv{@)lnuS!XW37ZzG6TJ89j(Igx#_JGIHywe?(kv z!!?g=O1UmBY}CFbhkl;^488`XIAN0zqe7x@?YHe+hs^u!TIvrZh(+e-fKKN3dlVWU z7XeH@`~dVfKJ#~cs6#LWyohg*(SLp`BWIut;WJZ2B+!?VKg%nlkR&Og1pY1}7cG-e zfDueA;NR!}`-rcsqW}X%fx5~F7NCy`mhL9f2cg)~m5j7kEut`K>4!ibaj46$u+Ztb z+`4q0sY6xf)&)|XSE>$cSWi#43w3Bfu$>}IjdC1P8~*-Xi}FX%3i*!+*G78--*0FU zU>L07!6hY*&DTAZMmR;jau%E;9Y7 zefI$Hi_V!R^l3NWH<0VhA8ND*K)^*-?th0~tQkva&Uc&qR3~d_P7dZD^6++av`z0H zEx}jr^_w-uR?31yojA3Vm`r3=&!%{p@5VcJ_`A|#Z#f@eojKj;F0+}$>pVgn!KH+v zq`nx>6~m+cJ+$rSw`c^J=9>qreptr)6Zm$mvDur(D{Hol*7ecf)eWp1QuT#&wa>hl zi5aZ97~ks)W3sHQ#7gK}h{*Qyh#LJC;vI?C<6q=2M$tJ>My<%552DsCL@iT6;jk)F z4YO@6o9>>inw>8Rn)9YZOz9zmYbrzY*tu@w0VAK8s{t@>U*;}GL-#J&`G;2H;fGck zFvJ>(@FI-D%Rm|q&Uw4P?>iy_E0kwYkD-hD&ODedu>u7vrpTAg^RcEgjh)uE+cPZUZf^i*c z$Dy`Y7dm;6#+tceG|Re-aGl6zuM)AkF(V5E2lfR$UfKJF)|5$Or~(ya+h{Wa@_b~Q@DUzQWtw>dr5;9*}+Vg|Y%0hwHZ!xSkEm`bN_2)6)aUEt)3!i}m%*W23dt!?q9E>d=EsI+i2VN$C3g+tX$rj>lx_Pqh~%mvOC6z5xBltNrQ2 zl>LJ)yZQl@BwvZIqC_vB*2cxIP}f!S^dl6vwWP)M&h^as%R42AVB02%6RbWL+b72P zq}5D;>idTU;y(}5Q-?Ga)2Er;BaN==z4wbuVU^;Bl~PkkF(@w>D=h_jP3USGj3AEs z>3$rBN4fy;Kg+qkpj|AuU5IIhtS?9oJ1i_gPkJ(i2?~s^|E)d+1M2}rIe*R{A4~|P z<9Ns={nrZlXN0SiAnD^l6l~qw$^jin=sdg_$J)~c-O9toP+jB}@#>X(xHO&EKi@V1 zL~V!p13E$0`Hrzh4r`EYZ|9w3QB}YTX4yN|Gz1>MUyYp!s95QnXdimchgq!Gk+|hE z(9hBdWu3-ahEEGK(o4@4q6=YOmYq;*P&j&;LuiE4}a4fuX zQslvp+v(qGNA8m1a0PCxN5uhUr->+_>{NI}BY+rpNp_?HDxu(Q1MSA1x#4SX9MvoZ zXCEpt>_5$#jXuG{^X|=ByqUCX<`3$u%zx8kC^eWbU7Sp3n*Iztd{3(3@4$Y6Pth`5 z9Zx0l_2B@?*3o(qG5YFrAVmrBVsSb~!!j$r_~{B1+&vhq1|97#J9ThcZp($onyqg; zS2X>cZ^;1&fVa<=QIR6E5dZ(rU(2LD$qgl%|Y= zo}i3kGC>{2RAS7FBYT(Lgh(WiT4a`Dv_c0we+rBx$W5tA47cGLM9&S&c9lHU#B)vysNsE7wPZNfBE{E7wlpWa1zZ zQ-a%y;W`1Sa9gx&<2n;fHVIZhQ)f9(&SR!MKd<0lYqoP%Eml$A)fx5fQNNKL(QP@m zX0&ue4u+nug9BhObxc+!Yp{S!>NlbAZ=3TgS#p}`z)Ci-OnNbQuo+K9+pqlBiT&aJ zmT~^xGY8)St!o&qGpk*8SDyL1u`8vuGP6UE%d0J8O2C|0leqC9Ka^vEym6sk*=?2Z zj=sS%>zTgrgE%Oh3Y3Ue{>g-2sMxRzH7j)(TIc6VzFT4q+sWBj;b%*=E@G%7<8A4X z@wlP&1>f_RIli4HUGX;VPrw8LW80!2HFM=$AtaN1;pXBi zws1ff>p}4DIzPV51$`afF19QXCJ7&rB+dakcSiBKAcw8#8M*{l$2l;PGi?ipvHbRZ{)b8Y^Lc>dy@+`*`OmCU;?#GbC zJSF4p`qE%|qG%TnFz&{_7%up(YM!lv`WbWk(K{12S<3jXh%KrUh*_!6D191z4ASix zO2l57&RV;F##XPCP&r_;uQ|sw`c;j7GYRrPYGD0 zzTa!omsrQZ*NQkNf147ExS$bOZkMcX)B(dDRb!`bh>YH$_!u8|z{;-kHc9JeoW6>= zeVS<2v*Q>FAFcGXe zmwRBh`J5F8*sK3$BFY+ffnfymr_Kn-!(`Y%A6Qw;SQn%@y^e&YP)dUZdcaN4xddT{ zoue#D&!$`R^{7K@tGoIma{;EY0QHu%{RrKVA}egu>Am{fMKZkn-1sG z9KsUCaT%oh>dE)R!S=E%c6$?^_3c<}@YCi=w7YZD-TAf2JsHCh&q?+?)hb_C>PpC2 zc3a%xY~Xc@=5~rfW%43s8%oIUWq!Uv-+C^@SG(iTl#Ne6rLz}xls4f;)v4e|Sc>W| zREpoBDw26@s)BwDB}V*ui3kO(F=KHe9j#JdO_N_~_{Qb;vd0a^fwP4(R%Pa~Yo~o;PS#o(Xu*zo2BK z4mJ-R2IDJqpBjrO9ID%xNMSF`s`R;zHaR)TEghhkPeD8U^5QLb zqJvv{ff1Y(s(`MOK3Ti&tG0arA7bd!o(x%cPJ3Y@cmBj2rZkZwycVz8IztD%Za6-u9GBh+QFR^^oaQ^py2AUIQ>kxpXKgESVq z+bO5z6(wYI=s}aw45CQ@%ie`bhXUaD)GOah;$sy(HVo7UPS%Qz(+|IgEdUVv<1FZ@ z-#Wl$uOFDP{$};5hMFT~!r>Pu>Ze;-*4({X);b>JH04bLX|aL=4weAeA;t#s%o^p~ zt1R8A&4717np6^q_kSqjmRVyFr!M+J0SvaxT+~O};Ig*|vb-=Z-}!Un0#Eu50wuBv z2A=rpt*T^LYl_Bqe5Z?$z?bGpnWO&p@TJ#z>obA}Cm?AkzLE%G%d;Rd*mAjj4n2om zj`3r^E{QR0`K(nAzq(>aKLjkm+SsXSU{KmD5Tg}#2$;v{ejSg416rYB4n0u7d50wc zZtY{!_X849X3k+~wBxUn0)^$s_%U5@+#|o)kbj&9Njv@m%5w7lmO2A0XqN>dx>I&O zv;%;6BOLgiY#kKf0hKn!8k-6e%5mAR7&}hgM8~{=N1pg;3|F^xb){aq2XYd>K9`JN z^oSc@#`1E>Zzb{4ZuBda{qap@qF~>(s1}WgiX}x2F3?T1Ez9@h%T0(VRer=JS^Om( zP^$2vBvXbID3Vwf6THeRR$w%*!cwU4GE*!9(v?E_ZG4$BL|&Ql%kQFp9L1F@yvQk3 zSd)?{bLjv#q9mUIp(az}MM$z>NR*pc&iY7`Q>qAy6l+RyK?{`t*wQpY7ST)xf)T|h zqkyn+VU^*3)r%VC87jfCNW~U($`$vA-{)yZDL$^>=0jyCG*MaE78>SfFU2+X_gTV< ztvJB^ld7{gC^GAV6;&g$i>wZ(BvxT)6B`6Ch>gYGWY?6v4o+x=Wfff@vzyA@mQQF0 z0q0@=j3F+G)m;%Xb4oE$UjlaVRRV{E5(lIERD!}J9REUQ5xe@NbG#L? zR)L&MMjb;EIZE_uNI^UZ(UiD-kATqFD0qQ^v_CoeSXz13cj9jGuqjq?+6I3K8bxhO zq59F`eANSB1xmmLid#Q&lvVbHa4Y;VVcIxl!c2;AVO9h{kF+qPcv#_JbZcUS#&}{h zw6Irtf&y-8)F_LnN}EK^Oz310LD&egR999KXK_;Masm7j&N>5L5GrQ!qIY9B2>OEe zp&$gbaS_26V}|dCv3cdzqxaoplz+4=4nT2zLN=oy?#d@ms;c|i)lgiS_w$L&TXj;5cfkm_{Mog zV995vP}%W0MO$)dS6v5i*H$jOp>v|bPOjQkytj0MYA|CEHXqdEM)n-5L?c&4)gBI5 zaPa2@gRQ5DgS2EtpG_JskDQj9o%(<)>=d7yy_ zM5NgZnD!7pmY?&r;B?AqD*LE5bzwIlA~{eqxvNd4u^k`rsEvgjENiiJVBscTL8PB?N zn^P@;CAN><&vzTAjt|*2{t!&WdIU6=Zj;_^NThC><4h?cvW|i27j;$?mynuv$J3zU zKY7pVlNj_6i`%B&PB}Uv@jSOm2eDN}S=mTebX>R}jOwvO_Oll!CUywNNblK0YNBn% z9cxWWRb4DDYN)2VX$3^S@)ct3lI-$KU?E@~HwF3- z+6YDq;%2$rF*|mP2J?VOIx}Fnp#S1^t{k1~(;o>)gtRC?qL+E+kV;3InDDP4_iB98Q zk-KPB`m6F;a#7<4;a49ONwCQPW_AHmh)e#Dh~O5?8`4L`#d1e z3_URc6e|idmIWzJO&UB)Vz}_sv1JofFGRkc_DGq0u1gryumeC%qgkFI@hZ!#+$1($ zmZS07EM?O-h?N@v<*u)|7(+TrvC79Z{FhpC=_uO>gK}6U(l|+&Y5a-V3s$7wUo+kYYo-c>NDxF7k!elEJ zlf7az%90iP&2A&TKPu*;s_-tr&q@`ZU z!LdF)E$6Gs@sOKwWqoirQ{l|Knm?13<&w(o(H`>B6l}muAofeRtB~E5Kzmk7Jpz$X zp9St*OYUNJ?>=SfjDMIO_I&WY~6 zDv#;TM9Fn~n{R$F6@M{0A+|Tl1vC_&3NJ%+4TK`5!;1tMNuoNeNupauPMq+jm%<|< zdw;^q0(TQ@e<^1p!<3v>>I~4NeiMeq)-ldsH~HtkD|&J-;SJ0LF{l$F%u(!3ZGc8A zpVw0{1bS9^d$gc7{HRLYTeKtL1KTH{Fy_kR$zYYBE3`sfdhnOp3Gu=rEbAZ{^Or2e zRGrC<^#@QZNp)XmG%lpn?}RHxUZYd3st*^? zr_?9d|5B&MXHbnX!iaR!Z* zKky`@hC+}GZ(cB~`>zGa(U%!2vMac@L;iABZR0-7{Q-KFt+25_qHarf*k?+$v`KtjMzSPqwd=a1Hg}r7uOA?bZf#wiJY416 z+ZY@PBXq8t)U&3~3VCY^jY8&v5Ys58ciNGgr&^6DFqpV`{mp?{@0H ziNf>J^)%Ge$e~)Z8R4*yj_RA$XG_b|;ez)m0{aK`Wxwk^511wW&=nFu#GV?`jBe2d z_K5{n=Ej5|d23}#)E)|76Z0Ayx_wvlT()oV zHwc}6I!Qx&^VOnx4HJNJv*dkk4j4iV3jVx=#BM6>s~l zn!Y|fOd!XfV+JW7#hxvFp;9*+-Tk|q{t;uvGO}B}Z&sAc(a@7tJ#K*1 zLb*k)h1il*9kgSI@!zO_It7Tazi@s}#Z5?{PRBvXm~N0&iF2YUh)q&7UEr@7^1ch& zfhC_rbH{_>G(VDIQdf*e?qJ=aP8;p{7OD2<(sT0N-2zy+%~fmY!n%@R|KbRx&lU9S z*yX-&lyRX;ssQ7|{b$)f>4v=Jx0>;P(hWwJdjRW?2glOOU-qaO)xYggP&|$p0fNhm zLh^VJ4FW~2<+_akB6c_8q%Kh}_l6GZ6B?Ey3q*FdMTR zLkNGq-Gw=z43gbO&kw{Jjh_iE-Y&k(G7FYGs8di}vS{!%R?;CI-5h*)2j3Ghcy67X zmvJB|&CPK2cM9!G!CwjJ64gF?20Y@jZ&`gt*VVPxhWAI9wS%Fl8yCSwrmk&$&0afk z+4y{|A<#I1^tPPV4tZatxSXMTxz$XsNyx^hR00KRsl6o6x!tPxMZt2>bXP}%m5P%w zgHeKcAUlF*O~yqON?^kf{7Fe%PiD(OE-V@cMUx$gB$Cwxx)JU@M1Lf7B5;P80*E!G zU(Cg-m~{Ye5=jie;f4LgFXs-<9&O7xZh6y`x|{&x38I&^38}$(K9*;{fW;rWE7P^V zDkWh58_RERgLIh07tsm*4!n)MIUKNqWPp?qF1(BomH@OVHwI&j8;BA1YSHKctzb*} zq0#a6E32=gMT392!T51r|MiE{sBTh>qd8|Zp$nJoz9^TKboZ53;> zK%_8;7m)lvT)_1P8bFVuG=dw4lcHGt;oBwrs4wV$g(xuo{a)DHLOtlD@ozQB!6B=p>LwGZN(+Z*@sR&If}s<6rQ)F;=xwAf~s(L$;J>Pr%`tBt)ZO^y4IR+P!p9ptTx8KA8%{$vA5TZx_WVh2p z|HsXAegW8Z*L2b$mL+4s&gof5u}+dyE8*QLad&_o9yv=R61;i)NL&Pxhn5I0?w0Uxlh@V(=NJscEd9`Rf)b|U|)%5>E|k(HyY zO9G&FGZ6MiI-#DyMi#(pQ^DZ8XLAL1~gmh zb`}m+LxW@aogGcz+YGo!`KvRD=~Gh1jeTg=R| znC&Nb?{@EVk3UY|eeQUFD{Iw=k)tYeX2gt{5w9z7Yrco93a zW5&vi>rOB{-|d~+RO0aaNmg@#R4S~mpW*o2wc)~N3&khHlT|+pxsHr_`&9*SU);Ng z;*BVN^qM7oam7)EtX|2Ogs%PqDR5>jPT%0Oa;-%2(fot$)@cYlWl63OLlVWCq;C9? zWEhj-6r5v%&HPPeK`^SX#k7f~sV_ERtzR>)Qbb#B_0bfTPM-b?)$F|K!Ip>RNqW8o za29Mn82oQ9{q`zDV{Bj&uq`fGk%&jDH$Pz6yz*$#UHvCk>)@nJjl2TH%Jyu56n^Hzb z$XZskJzhozf?h_3*`#_s(bbq8qv`vTC1Pc53PfxOSCs6qaz;1oP6s#mhF`(@FLTkSYFufdy}O>|E=X=$3FdhT%l zr?Fjsd1EF42}4JI3WhX{q>s`F;iJ4^1*D0%kWystO-Mt7@)95gB_LDcKN)|Jmjy2f zNHwNnNoH6LBUU*O)JQTURqFU?ooBcON-6O(zcNomDwCv&L}@`6mry%yk+{4XyQW!u zkMBu~=?0ifI6u5AC`jvF-&JI?(;^~`1W=Q{DK|)i7b!?1+1{SDDM(G;o*|w^Z76sg z9e)yQI0E^sN#{RB(G;X&i}l_5v-K}E3X(DU3Rn?;Iz|*9BJS~EO_4#@F`*bB`1&A zX|>y|`sK*r!1)mH@VRCnMoss)r9SOtZ+b08jWht0-#E3>n4U=-oY65b$yyuegg;N$S%SNl^r__iyI71nH^o6 zGZR6BbOM}=R@R{c%WOwJ_S(N>Xz6poum`{Lo;A*~BWqj|2fFxj=6KQ^2X@#~rkHT> z1bCikY*W0X8K^=rd4GVSSvePKS2iVxrI}HLPMROe@L(5|HlzC;NYy^MzKKieh?EkoD^j~it{cP9tKf7fA>w^Bj-8_1`WWURd=6j*H zMNTE(V5CE{(l^VLwqyvcPN4IxS1NYL86@kROaj32T+{92^6`{-_*i<;ByvvKMDwaO z=R*5t&(h&L8hQz(m3BoHx{3m7Ny`R`i_Uz7xw%rEp^E}dd0n21RHTYtrjD;>g|Ei9 zjHrbxw`|=3GcEuy7MW zyz$fgrH3s0?9UmQSQG~#0u;endFA(D2>tKBkhJ&6o*u@ zFlLTuU3z~>azKQvt<4~^R$P474j;@1N$%9wLf@l}!c)Xb5XLG!tuF@d8B}hmEumjXd%8^cm5cLNC=depa9qkPdm^{ zGvLQA3h6oTnGl{)jyS%=i^F}ZnUia2kv}+8NovWUaP0!m0@Bo5*VxjxqY~tr6cf^! z?-8^d!@tr4*S@^?N@e2T`b600fAW5OhHaBq!1!z(IM3IZ|$1LF#x`Lx@u0l79f=9ous6{C=vz(V zsE0wjoDJKKk+k2i-9{oxCqec4I9Ad))Z%UAvIz9b!<5vADT$&76mH8+7WBo4aXT>KzN+(%|? z3iB`u`27Byr5<ueH48+ekgp7ZY^C$#g@6XmSaS zk-V4R;WE+0c4vI*Y7M_bj3qHQK9;G2_|VJ&tYPO!bXD8OgxjnXLW<9jn>Bn^V7pd1 z+LU}kchYY;dgNTFlG1HkFr}-33L!SZe$wEXKu9KD15FR_^DbS zOVl)-iv8vX2D#q&ijX?&Dk1}@hNSpjCIgkga3&vAJ!YiiqF`JtHB-~?DkFj!O%lcD zwEW~IEDLFFKA$XhZ=z1v*PXw|zRF~RJEsFhvm9c91F@E@&=y39kb>MF&A}GxyN1HI zCroX8nT@0{zbn1#jx>N^9gJ_orWo-mYgCTSSLOxDa3{d8TFHEH9J7d6mfG|y#GR5_sseu zqJNNOEl$4UYv3T6-w$IOON?)mvLB2~qV{4b6$0cj%iIErcWC8XU@5dg%Crc0z~rQy z?X$?pHBv6{h;X;B38~))$b)4r?GADB!l*&Em6n!8gFl(`zrV4~0ul5kZaymLS3V5Q zY=bJPhndIA58 zuO2Be73~6Ado*Q$O1sI%p?T_{jhOUfosM=JwlWb7g*i3vS6o_)QA&oh5mE1AMRhY@ zL!lY^jy_i+yY`mvW4bKbrDmYBI1}I@!p|_s*NZ2C48S3a`F6-swlY$<)V%JWmA=u*4E6yup4_p=o3Ew#pb39?` zCW!c{Wp1or#_66$UZ8h79A7+k6U6#++8K;}oAWP5Ty)_spfX>>bVQRE&??Cr?7%h8 zHXTulY@tkvkVHd79SIUjFNRGOVSz^#h5RBMzc8N&m(JQGMM1dOwyx}-i~Jdsd(rWe z^o7DfE68ebqd40){NdbEmMz9aFakw&g+4e1NR+wvHb?>alQ_d2_G1=SvZl^h%SReY zv7gnNL3Zcm#ttG%+Eni#Y?#uXhOv!yf|0Mch({_6>S8I$fHbldgJC6tJs<>?@PL*y zAM9!Zk`)?(qF~80Ad8Qw$+E>s3{m6&(=PA7PK(Y}0EKvZvhL=`UF{nv5acN_Ql`19 zvH?`fkMP6-(!MWCSCD7&rn~gW%T0!O8?)daHx9##!B$ay^`)L>Zdm%{8gIXG>0GHz zCd&QE9Z`>gd6xuzo2ziMSDD#j;H&C15M#3+g0M-kve`Lr0^f}WL_U(=xSdK^C(_>R25+w_lG5GTeXs00L%i=IJosuUt3upS z^K}<*ZCYlA1de8APV(t!spet3>rP!uUlzoaG+c36=4R^&bM8R>RP$4yUak*Pu}NuV z-K2W@X2*9iW@$cAH>*exLdVnN41jntp@(()TjKE!P-}&MB9Ekt3dq)+uo(w+h3qm~ zrXXq1+ZkPtQ=^Bff?ABl)u%~FBquq%qU=oGK;>i-YH$tJ zIb8}8!1UGc)zDiutEfSX!=fXwK{Ch1zX5XJ__Y3Dc^vC386AkrL=VIegsB&^{wtvu z`@StCohlMEAi*DVYb36@{jff|jQ2#c#Mo~hLV38>tSso7xIGbpM6@NI5}s8)zz$i^ zBK5@vv?QkJtx}ZNOh{^d-3$X21h*b--uHyA*dC!>J1TbuOH?^Cd%%)Zmp1LhMnWDc zZ&ek#;U*GcYFK!48^uI~T;x~!OmK~KQUk*fT8}^+1$Ii6+Fd&ROPAplD!p!Y6TM6y zGRlF-u&Yu!TNHY7LEL@Mfv=LfkWDdEO&Nr}e!*!LyIBh ztZ)-@<+VY1!nWd9`Z@-kEgm62F!s=TaZw4|3+0pmGi2GGY)PJ5caT3e7($D7` z2#7(#v28n^>1T?}l!w_BsooDjAV^QIBw!gy00w;nX|EOq4KLT44eG#D_Y4{?z%EFx zHmGW1S(Zl^*cmiseKI3+pFhB_Wm`jr^EsbSte+4?UxLjuW=Ef2Bic(hQaHA{Je z7vz$sOp5^3`4CM)qTpURWUoCOu#Kb{K(oc|oO6`#Mv~u^h4ORsb!C`*#4|3vB_!DQ z6-2_OFoG8N0?&`ghZs?v4-28d@EM7Ce8p6jYK1!RrGjls{AH^&4CGBghSIkXzNyg^ zi6e2r1m&D{++un~0usk^yPu1YW2qXk{#lcig(d=ZafsFQ2Lb84td0b*&IR%-^{P}9) z1k<=1C6CpUzfmj4%6y-t%V`<*c<}$YmvrV=;|-p7s`ly@0Ogg_yV#-=RQTn7MEnVa zdp@({E8xq59MY0At(l)&^SaI+$@d1{rwaG6p{p7AYZKX(hZc0v{&y5>OzSWl3cepf z{8_9rYSxNO(*WD95_bG4o%?Sci#D#zKGdz>3XOfR-w2%TC1i$BrnK3KK{Fn;f~?sD z6>rG4210xXjAL*@uBrk8I&4EYHG_&uWOA}jEV1ha<(uSoU?-vQk+!>CceAXbHnrMv zDqAHbj~1JZLOk5UpeuAic5nIu^142PBA{;kQ)7J5u5fE4a3n3b0pIr|ub$Ee@rQf8 zb!Z|1)~#o{t(d)0EtC&d0>;^acV!;3>L8{#&m<6rZ#5Qt_k&HXJrfU!W}64boI?_O z$^qt2?_?(D9ydQr6u5;IGqp{e2cTd)c5HqQcR!x3@HqNRkNZ#v`oqs{^MZ!P-UW-s zv|X)a1h1Y`q1XNu?L+4^<0qP@Wp2O7RU~PNVPN@T+@-2K@$-oiD#$zD4vklc=`sb= z-_Slk>5rd83G*B6^Q-?N$6u8g|KG4a|KmR0zbAkGj}F|d%mhpvKZ`^vLjCNW%|ZZR z__J{0&k^g}=wDsM-$rb2qdz-3{(Q&&Hu{tO`8ncv8~w7v#y|jgE9>|#n{144qhD6o znBGRetgr#zM!&4EF~5!ew(>R?roXMc&4uZ2D{pgQ`rFFeT$uj0@-`QyUsm4wdjkN! zth{yK1pt0od27210Q|DT{x%oDFDq{y!~uZ6t-Q^J`EM(4b7B75%G+F+|F-fr7v{gM zyv>FAmzB2~KLD0rR^AF10a$)nc`H~1VEJX`jgteg{IbIFHW!vZt^D`8K|i^=|H|I| z{N`Wm-T$f5I@h>w@u_ts{noYJ|*0o1wSJKnXp-DX0$d9YI9 z-Gv=X#_qxFx`=1%RN2c`MqP&FHZU8C^Quw)C=gsEF8oEVVh|`{5xeDY*W% zL|0&$Rr_d>J(i1BCx!aE*oe#1>Jb=Gd!Cf}Dh~R!xd%KPfn??aJGP&Is3P*im~TV|if=_26ra*KIf+@F>{2}NV_qe@tRBcsbI4Gcb%M<1h$%!=Q7XQXV+ z6Ho0C7yEvQ{8?PN1%9{OC;dz3$Mc!M@}X@z;r7DQW9C@x$vj*uM0lDH#2vU7<>WB1 zfSnf6e*M6ZE)hiw#`A2btH#m1ug3Mtyx}Z(Vt{Q#mxoT}+)v>}6m+YAbD?3ZDos3& zW<`Z#1@CAtnq|`PR3+yzWGbiz=kmqD0mGxPa!{;E3^$}5Y@Q#6wZa)1`;h~9Y}}DP zXbwv!CEs>~s3WbiGbrfL^H$Xd0z|SEa|vbA1BNBRqo1d5QfL*)tD220hKG!jV` z-|7eto`}PQR5f>`VhULtM5_4%#>D=_Q~^7lFEBd&QAtK9u~B-cN@JN}fyPiVVNMS0 zsL#}S``I*At!8mAL*+Iz*7KIpQq7hi8cgf+#d!nXndU3#+MN)#rSQ@Dfw+(umZTTJeqq?l9}J zMM^(@-4W*gRwqB**6I6x4Z8dNY|d%0dS3^6v^(HV(7QYSOYbewyv%UkI1%QxuE<)o z(L>JpLUPm+CiQb;gHwl|8dl*&1O=UfwREu|xfxVOzjqM>_rhYh`X>6-5~yKEcPbZR zcU2y>C~D{U0iN*5QfqP1#p5dRLB0vkJ#%u{)ie1t{D_InwH9i4n$1AE?T^^qYG~Dm zdBd$y8<7a?-jG5@?7$AYA8LE(Uc;P=|LuVLa|{2(5)LM|zs|Qm4~TyXFf9Ks0On_r zw?DA&Z-6;_)30SPAcPFa%O^0Y+`xE%Tv2!gJC_n|v}{I5zeu`MXz!zLQp{{qQ86#S zv_lW-nOXoK!P@ zOXwun=o|o-)21#U^|R=1bcc@7BEq-L3>4Rvj?m_h)cNL$guL57zLmZQ2UZ9e^kfc4 z%0beHlD{^Hk>eH?^-X;1B&@RD8uet?qAl5Dxypy8)r;+}g=phL=Q%`8YG`t|u83T3 zfNNmBd0Jm|2NnjIJU4@;bHA%Y+z(6BV;Hxtfaf&rGkqXQLS=BGj~(_-ja{odQd+t+ zMGm6yOXv#dc`ncpSHBG>E7^@c;0$n}{1nC;9(#(u7}pk&uv2WIo|MkubQ z!`(Z={Y*iI63&aR(hX+9#vyCs&Q69>ojk;75A6itPT14rR>DRI9q-3SQp<(sC&R(F zFl8>0YDa8|X2|@)!x~(Wr_IVwN^0FOX7=|uXSSR7Q>qYHsGD~1v=IElePxqS=hn;n zeI;=2j9y_JB6>$(OGt;Uv~{8}HTz+jcPvrf`Ums5bdfxP)#m4v_bcX&Ir$=PbKhHw z;5*8d(=SDvIHY)y5gjOz-Sr_!_&OW;(um++?dSsEW>P#l$XXAS<6q_P+LJ?KTn`I> z#L*Q*GNk0V7Tz`HAZEpAOYUEXRydBzIEFb?rrS)e}q0n+(Cq#PzU@5DgG+B z$j-*}H&Xm`Z~iG#u>L{{W{&?gSLAmU66YCXRv6xjK0r~aGntezuo<*z6Z4-VT5k0g z#-f1HdBbvc0ewY$-!q&;XrU1ht@DmfQMi4%k@QNUfE_@zZIhc($-Zoj{uF6LZ0hxV zcB4M#>jfYBW80?hEYTyRW$k;U9TJ~9RLlS{If`a9drlph;EUAou0v-jW81l52&8A^6 z1>$~+^d1ighY-F}x-#G&4Pg-vNxk~^H4%wd0q`sRB|ZA}J^>hYx$?|s3BeBuI7bhy z6FYLq6s_^y`X%j$5s+P}t>gKFz+!w;Klm>*$Yh2g!o<8eXR_f2_?eebGh9#uBTp*qcsY8i@lp5Aw+0mE`Z_A z!CzxZ-9P&d7T1TF5_|T_I3rRI z;+MC4E;a0+J{RfOTqSZug-2lITDDL=G;N91w8QE5;^oHQkN1ZG&>XPLe% zrdvh>l)Lu^6pF9v@3zy?t?pCd9V_-^?uaugdRuGp$n26EIp_+H63NZQ|GBco!0y--*zEX8JYvJG%-Bc8xAg1mEyC+m)2cG8wJMQz<6a(eEqPaJ>sAUVDsB6i6P z$rx%47S-U%yrLmX7x`{YqO*Z|uT~o~m!*c78`D9Qw-h0g{YiMs%CMG=XaiKWmrA$5 zMCbA4(V5RQeG#Q=Q$=`n+bBAX3N5@>MEG9Znz2vE2~`W_DLR!TTZ37Jrrdp;2Yr}F zM;MeBw>{NvpfgN?3G51?VTWoz+WkBYB(bv^oyISWWk(c|gOA8B|FUZFyYW1Z?m!Cu z^yiuo7~N|l*Rx^sx0htfQD7!P)cs+dmD!CGH5dv}!ot)1h`q5gv^&dfo=}m%F(R~? zt!Ud}vY^qe1Jc#OVu*=e7DN#ytkX_BNxKTphLin>J5j#ElOIM#ZoSlIj$djQ6Z;@!z>14>A($`pntCA)?D@dONV-28DL8B}y~GjQF*yODRew zGwsW*S(v+?{^iqp;^5)zR2?R%Q<=i3q2C3e#|5OOF1WZUNM9l55hKAe$E$BKgqEd3 z%aSjTCZ@0V1WdSW%35s+pN-DQRuF;>TjY$} zc4PnJL`Rl;+D#TlggRm))%SKN{CxGp;Qoo0908&X>*e|sUSTII)rtYJX==CmuZt73 z$d7w7kTMOr(P10!`fXScw9IKU7;B+5zmeJ;fq^F+%7FpM6^kqR$+ao_s}-0KG^~U( z6LkQZo5KWsszeJB)N}<5O~_mu38ToW5|S%a4xOb?b<3yM02ybO_|hoSl}*HX{XrG3 zpz)1b+yNyY=FReQr*sI~I1#52)u0p%1ktYPgdMT+K8o)iY3dH(hQZ#Hyd#=dM$Jn@ zXpP21yq8V_jX(2HdjTylsN!N>VWdWCCEkQqfPh3boFocv3Q%7bGn^z&MKUpW1+9fp zhz?s>4FWZse1Jss8&5qv*=Xp~URA(UyZJ)|2jEA3C zN;c%h+{TFYxst>Q7Qm#=yynz}eBo1d3kR#K_Lrgg}dd zfbnOsXbWp+6GsAiQELNdlRsZU(My}ynmL=l5obU7!v73nmX|j|;{^6zv7UuXtkM0I&u@yUk3a^6Qk1~>8G`kJ2VjTtx)ELM z9+H=|bR5g1v)p<|GC|7dm-5xS+`GgC*XFybn??-l$0hHWTYPhPb1n?LN>ddX&}X8> z_zfTztp__&+X4>F14}&-)^g6wom?a@{c`cs&nY@Jv63|DiDy!gSO~6Vy&6{~P_+?S zg$s8AnCi^rUKE}py^D%H^Ei)%$BcSWr-xRxiHqO-x;?cEG4EZ><@;Trd)1grn1lsI(*>TB8KUaz2XSbKZ0~Ij9w(v+!?ww#J;ht zSsb*6{FqEyYt-PLkjS-o)O1_3lRS`=hkJF^cRaPUow#V8BjaYl^CV_Psge1kf?KD; z;wE`-pw08kM9l&0itx$TXIkn`qefdd63i=JZM~Vr%75e?w_4+_{F|8jT z{Zi}K>$$A1QY!0RxbB8uSU48cq`4;hJRZxXr>@ ztq=l>GnkH2+7aA%wKXtRn3H~`d9w)sP~*lQ2XGCE*@UoS|5vI~cYer}A|f zoxZ!tS|R1jA5p2H)39ES zt-Dwf2|f!$(^&jk;`fa zCfzPa7go>r3|X!Z6#DMW_J%k34;LWUiBEK1+Wl*ud7=kca?uY4WfbW(HlV0seD$wZ z*q-!A>g*A4{NW%UyD@9eFN;}}rDc}fzZYOg$+)B%eF`8{&Y=N~?lYtzG{U9l7DU5% zP#MSO#zd|wrKp8@?v;02#DL#~ulOqT5^ts#g=!MKM=fRwrawyZvD=vA16F%gzTEe; z?L>W|5YG@5NA}_iUm30_)fa^mzLqS>)q3hCF#Bi;pp)Lu+jV_s$hQr}jU5!e@6@ep zfmr9J!HG303qLYMkc8m5BFbq=gP4cw5qi}vp|c52^&qK2Bl`^nSgryUeS?Yz%H;xLldlf9VTC%yAV~v-}?-Sux$Wk40&Nm;M;u5!q6A_4ue?`l^rRXeA5@o zco^7xYjF!|vF(F@EBfNX$IoHrHZ(+2G{CTir{Fbg;Gd1@0&tRjT-a*NX>tM{J}YRf z!4|BK2c&_*5R#%vnTbQ24V77&eQxgGfXM8p1AVpG{9aSYVUH}5*$eDG3QzAZ#>Wjp zFKCD202jLLz@=#V*{Oiy+b!0EmcIoiI}MP-GbX~3RnpG*5NmJi7^~JWD`1mj1xVeL z|HVKKNxyh_iwPejOeljiREZa659%;dM78g-Xv%^YCkC_Kd{A}M6beTc6Z=sl|U+MMf>2OWE1~j{g5h0sX@RmhJ6S{TF7u z>8O8U#@n&`pJ2vsdBX0PIZl*89?5v{VS4{vHUF3iQg}zb58F^?ECcccSCRrsr$%vM zALbFG5mVqd+%iu|4E@_@#8KQjBkrPnUamK;aU);43iui#SAR?!Nj<&@dlP+E*)|*A zsuhOlmN|OIq9+X35Qx++>NwhDXq}f_(RN2R#GQ+Fw<#j(J*{eJN6M+pF+3QVTudm)%0#K&01rDQrpVVYh^}tRz?O z%6{1hTzQru60xzk6I%m6?QquiVDoxQ3A#C{(NJ6Avng&p7e-W z55qI)+Lk&b1CyL&_s}~E&X;$1eg*PWm+z4MQeSwm%$zT_Tw^h5gz?l!JsmidzazRy zgeS6&1%+`VFiQE7SCK4S@3SYrDI^K#bixb@GRSk;L7dIn|4B+IZw1-Lf=VG>3lR+1GHNRu^<@Jo+Jdg% zT-qy`9iyH!27H>MA$f5%>b*`YqXJc7$1d-PFTP20tfLG5QO%lI663eLJ@h;Q;709m z1F5KmFw)HXehD8%(k@77ht92T()s7qR~4dsgjF2pf%eoTgaw`lN>gE1#y&-U=`s{J z7Ow5rm_O}iRBye&$HF;eDkQ_st{1=u!z>tPC$i6Hb|XOe7;MV)a1~XFY@j@ZfKJSQyAxkH z%=mDDN3Vy!&NK5WwO4Y1H=Nwk<9j~A2lD)4^i9?zX6)RXhsgeuY~)b+2wxbXav=7eHSCgrFZVJ_QASDO}m@zUk z!Rcz-(j;Ej1}07hG(N~yb=yJX%7^EH^6M}LzF_$8(&>UA$&Op(JjGNM*GZFfGY`kV z`>HPMYT6v>t{d!j8#vjh+w?g+kMzc`=?oMFob8OI5PnULujlrNQ9S zqCdMiQv_`q%1N=1!2*TutA8dV!*KDy@pzACQZM_YpnPXw3*uR&vDjnH0B*uis?>7o zYRKwMnF=Orw%&ga0v3qb+gTd7QkPOC)8penmWt)qM8U}zK0cFEJ9vO>E4CEt0q2w* zR4!i$QK~h87#bFiC@UG z(y?*V{GRa?Zty&2$JuPGbu%u&*T3Q2dRQ>8^+#PPTAQxpTp=+%@hBdx-#h|X&)z;X zvqs|$g?XIKFkg)m@;=8IPgxGfyy8As0j)p3?l7YH5)(`4_w;DaTscf`%8Wl?8f?us zA@-n%NV>MZ>*2&;b)zUfX1)$%Ivu(&-GMn4tr9qV{jA?K6W)vrNZ$z5C`H(BZ84UHlTcSD|yK8ag&?(qH0Npg9D@WZ-wO#kUt(Ti;1)`KJK!7S#U<5CFC} zg6}VY_|HOp#^11djdP=we*gqAnyw-UZGpe|1f5GkAPU0pD(a|(MU^R|4MQ$)zBP?b zB;SuqXe1e1jOeO(T0H#4!7mUkN}l>GkKXdHJVYi-kMc=R`WvrOdDhwFCfzP|?zTd8wKlDHHC<{a0@3(BQ)axj(th{aN!Cf;Z!|kmiPT`( zMxD@}+=WniLElS)lw5af3INbQl#<8f1bRa1+*R(#FHB zdoFlg=3oWUd5gS#46R*}c$=d8gkR4VfD9wKG*v%I=L@UWgznBoh~hWAE-7tK;~ncJ z2VKw|e-@^1J5A5MgOC`@*l(Ai&*o?7wVl}NK1w#u=HbgFF1PLQS<892z3oQ3w#)tQ z=+3v1o%BNHvLfTHe0wJh;o~Rxr#IRVbPq8$*K17f%*fXU@0wi<8_o;8v-#rnM<*W= zJdM%imcQPy=WB$oF}IYrs@_ih6Aqu#%|eS_2d!`9kKHxB@4Y&#*S41>&(t2VNR!R% z8+Cr=)z55R$oEoF2kwik`w*9PJ8qAJ1Ac5vr{m?PR|$THokbg0auI%oaXUE?7b!2Q zFDV-{Kk%`V+~;pt{6=&xwZ2RH9?NgHqW=){=zAV`J$Ss{W8*OK?ETHM{fnVuVffX& z_fKWiKV7zfzm_dC`~Mml&G;LJ>TF&hX7=Z~rj|SuQ)3*Az6$DuLPGZ$(tetzZVYjs z0tIaAt(qjGmRLPw@70c!K^cdWI-je zeuT$Jc-5P0M`@i15nVj6iCjEYl?AWSi^uXCQSidBJ`2rTF?xJ+HZ5C;8xdNpqP&=~ zD07Z9kK|52Xt?oS4r~T(`tz`G^FAHqW{EJg(sFw7E`%ILYk!;Y6Eq*-aJLzY@`}Rf z8@hd9TTjWn8-bLmJ^_RN0i1h_z77fXp?KHzup61mfSROJvnKg_=SOI~eXFX9yDR7& zu440(0;38qz3VZv3LjJwp^jva%$j6bt~EeaAdE{%R@2B)3{@EuS(FMnP727D}}l&~!o9971cJ$KB+k;VR7qcCi@_s3g!e&!widx)~H;KENB z1s0eq&=0<_$lvV2nlUeeAE1eks`Q01(p0pYi?n)_tY`bEY_*K4Hgh}nEjG~A$GLvc z_>Q%34MuyLKfq=8D3Rsr9WYb_u2!aWd#a*p!iwKVrmEVHWbaDhM0_g{;FbK0W5BzU z+8n?~_Yn83q5QBItF{sPlqm)kR3N!l2HR+P5|V9nkiTq*eV(dfVg=;OYt*a(ILnhJ zp3(ZG>;)i31jyyE1J=5Kc0FRF{<-@?}a3#yCl zmwt{3@V};?WBQGLZf~|$wvPb;e6#$6GB^orXXM+xUbcA^9!u`ccesji+-8$>qr2p-p^JeewPRIaNN)sz|) znD>bRlqo;vZ@j0t_<$9rhwSPS-}Jnj!6`X*Bt65ujunaOFoAfah!F#fp-e`6=5qn} zW<94IWe0L8uwHYi@;ekmQt7!0MLyu**&MU&r02$1m|g>Qn%hmHW#1AKrOTz~-jlWI z>9<`iHmBc$8f6AdTr`lzvo1Vv+|D~J)0RCn~ekL0K14e}DH;l;FuROMW1aBz^ zB6v)W53zz?iFmn2#R*hGgE)k0xmTNvYWB&w)T(Gigxy{DH9h!{byO}CLPTS7WZ(P{ z{8cJJVL#1_Z&W6;#a`%;RyQ|ZJx3^3C+l+60xv@53cy_GN#88RStGcB@MXrm`qLv{ zF_&5}yYrW-v*ry+K%m08r%xQlc2oe9xZUeJU5Q?OUIS(C)JBB&+2YbnU~w~X=d`-S z9F1r<(bbjE_4J^xp`h>n_`UM;#k>QQE41nC=tXS-(oHo+nk8(pzHov|!WV`WWYMDK ztWR)cY{@F!IW##w;dM1P=2j{%SqBX{DsftIS#_j^QwC!ScuJyV;*bzY5t2RB4ak^| z&7`A9mPzUN0zwJoN$OG{qf6nssuTuL6-N6P`V&JaGudN@FC^Vx{76I*2n? ziPF@)zOY%R?dsKlqhciRqdDz#5|?GUbSpBO7lRva)BckTNrlzqbhsg5SHZPJX6H;v zX;z6L3ASaAkI86tj!6n!3C$!HTbN6-g*cX!^d^gPRg(fmtJTCF?=c<5;i)BC^$4=Y zsdgt0%oj z#cbwdwZo#hC!NgY`Moo`($2sU@)Es>R?!N*$PWSBXw-3muS1ETv=}Tp!8(oR#;w&Y zTeR?`CJfFj>GvTt-2`e1O5zNf!&axL{vRk%u7zFsSN7w~MRYBmjC)QBL)Jwoy61Eur$nKWb+GIyChIjy#Ks7*|=sk1@KY zC{Dj&`4G|P)t&p^ zbxxxuvCfb-3P2P=&()+9iOVrdNI;X#WwS4C*{VL_0WE*pnA6~GKRu$HMuqJWAE1iY zqvbHv)H)ST7vfmNlysJ0d8)irPo4L|N)Vc;Xb+TY=wstXyIhYmfN#v|2(Dqt99L{78_uQ3 zD)-u|AJNt%pE2kW=#=Z__+`O2fjQ&uxR6G`J7Af-( zu}~B@=sOs5#9BG^QDT-(5sz97M&_YWkzE?x@mg|2<8`Kmr8Jc6&8z5aC0vYmr}wtT zEa{q!$%ccjlzpC7LIuKd&;~3?a-EBFQhpB*wxODskN9vcKj)Zn89zU zcQ128qUkAY2RBt$j5fOjD!d!PdJ2=oXMij!{B+P7?Z-o(fk}-bd=yo#EBf2O6d*ZF?Fa7F`;}llz0x z^vN`Q3$5{1WJRuk!BYM&yH1`N*s=Xe>cdXNngE-0&lHtC&U|PcfJ|W@wj98-ptCE| zIoM;>zD5ebi7mFen5Ab?-9>4JWtHoJ+sjOui%sJc))jk#(1lm+0+s6lh6Dwv>Va!0 zTA}NCn_eszC38zP+u^x9-T4CT7?{`r-b?Ad$yr;1$1i1{s3td<3y{4uHz=O|EtyNc_rZ=9ApKf*uz1+*2c=f<8_VD9M z*Nol~`o?##XBxKO#It|k(ocY7WMuwT59iNII{y?l-vIJY9)_LqEw=p^5A*Yu%75bj z{3f97e9I#5u)k%I-GS_|`iQ?#bSzB?py?jJZ;gPV?>MC%nlfx+uFC~xwfbI0WJ76F znCQ?J%x)-A@nk+9Xp;A(s^g_h-hJxfRx)7ZL#?(RiWz>Pux5ew@%@;eU6+3XIz{zI z`uxlk${$_6m?qkA{*WdN8LZEKIuP%GMC!?y2IU#I?kxE>QRAsy>siWU6$Y1HSMl)E zW9pn zydSt~5!$a6ShgSQEKJ(OCF2$hxT5wW%t*qt!zwOW7lXBDx+EMKN{WFs?6D{!Nx}~{ zTY{h{RB#o%yr+0N!pn6x#@Aw39qB;uCfs`v7}?C#MhJq;0gm;|&LG;8NsQcz#>Lt2 z5*vc1@QDE#NA!TEEY!DHBaPEtgp1hXW5pWQTwo|H*L znc0-fmOCQYgK-~4{^E}umsr`Z$gF*#WbG>V=`=C_V7Klhe%P8&!!xF9`2S<=t%Ks) zw(a5IZUKTzAh6DU0$ft@x4Dagl1kVawln95j(e)CdJx;vlrE3LWzeVcSxwq zt@k6opaEG?tM*lJ*)n)hQ&<#%1a@Z6P)?zIp*+4Caxzdsk`TE!gIQGKb8(Z_DL_4jC3OYia{jF=Ii5@TfM#Z}=wUnz0YijI0*FEq(V zj_IN5mxa3kQ2r{kSn0C!R7t{#OI)!Jgvr}M0sO75_1Jd^Njyb}AR-?ziyCE#onS+s z{3Nldt6yf44(7-LfSR{~;3=*Gl&uRYAK?3&?xc_Us-*L@+RcZWbDr3TUFIBE#@{Xs zzW==CfvxCM%U*lRgq}iFU_hEuY54(ss5g_lzvA_ItDNA0*a;Rjo+`GGTFK#~GT{$w z=T5T=skK}Lz2@|}+0e6prUsUpP-m&ILjN=X{`gtHIM zBfMLTc45O%<4Jh}i;p{l_NL5(A70e3C-3OHNl``HF9OLgJVXZ*bwhkB81|JTX)#n8 zj>+{OtUjUSeoI*7ovsL7qMHXC*Rd{{DgQD^#Pug2!pGs|f_R@KWMMcX-wVD;{p7d(s<%S=(%2+vSpJkYVF-jD=yi=NU= zsa0mzeW~LzVVvCswUM0;c|inU53I>%PE!u8^3$x9%MqSrd#pSfC-5~7r%_?=*uGR3 z-44M9OU5GypyYZcxmFULbSpPOX6hyH^=%_^`>$!>M_-wdLy<^T`o5v$N=QlZ-~9i#!D1j^5%mv+m7v zpC58l&jVvgA+fUjIsItTg5$l3R;Bl`nqBWZL+`Zc{3W2=9V^-b99K3lgiGjBA%L7H z=8IkcT(%z|o(`7~%Umv9JE5B|1&`>IzMQfO(_}iupu8U|6!Nt(!`F*rjv^lqsl?jg zvXBeIDp5Z1(d<6IVpn)1*$S6vG4t=iA0w1f9D*I@{kY)HLM>XC7x3ZG=Xu<)9-QR9*Thp?73S*^u$0|(6l4&@ zbc}Gx)?yqA>=GG3!Jp345V;9y0BAZF7lXH~Ie|z@5WPDsUd(2?2k3>Y1+`54ZFfOn zDgqz5PuR99YP@jnKh0vHd4F98+cXy_i-^dbwJ%{MX*0W~Q0f_|%&iF{Ouo29(XOef z<>qyis&KjoQ#gkReGWipWXOy;WIv|U2$uyIBh7|L$iWpWdaU;53(HWd4&Ko;(_7G`ZnQ{Y+MJ4<++M0ZOh zSrWEgCT^Z7yv)il+Wr2whehv)up6h4a%uB8+p{=uSvhL9c6zXRT|vZPUCpG1?68(p zIeE`u9zqAI_zBw`c?gg;9GXI7*rHaEGn^wKLD}dLBc1hZt@_E#vo4@wNv?ch z6a1khoV?p}9$}$b$GJne_+5y{Q&~-t+ zC=QdkkkuLeI2lv;@fx+HmM8P_ z2dO$XBaEr@GnySOo}b??rNc*%)*aAsFR!Jk@(NVQ^RUM#JWPI7rAjX-;GC{!LSIwm z#i}Xn>WJCIvS9$H{YXqj8E|-Gr>1x?Sq{-WBoF6y{nn)Fs_;pM-4ohP>+ndB)f<|JJF3Xlg}3KNg4+&vJgfE)Y)OaKbSguMRik)k+XRwx zW;kFnc1Z@?3-A_*1>9X4a0E%oXV=i){h$KQcvX-&o<)M~!Sm^T~w998l$7WD9NhZ0!RM9%fp?T zqqG+=m*zwA|GCA!5%K@>k^@+n|1CTJnKba9)CU9 zi4j}>iNJ9sH=x^Mw;Z!q>Li7|chv=t3W7F(@a5}8j(I0SpsE6F8jr`}r!Dnin`E!7 za&dPsrWh%WtYxld@*OZKUx-&N3?2cK*1XztU=t=Z2cQ4SaZFjzJjkL?_&IbE$5kHT zg?ti4(2a&Aj6Ki4F(71X&|gFp5_>fe)wDO(>RC(PJ&RlEz*ZYE$CaazjnRyU@fn0mbK?x8p}1-xrKbHki_->`6+s`?L!PZ~hOhtWg6|dZrv% zk@fY#N`zd&K#ke@z6*;?t+xs{T;)kuTLDw$%e?6%vqHf?kx+*HjSVR(o+ zh*2}$Mvo{J;se{@9BVKr6sqq`V~ChfS?xL?WaBB$g_Wo4-%l_^Jskxmkj22OZm7Lg*ur zw6$2t^XZd$O#-+#Ly2Ka$QNg-pU`!$VoGTn9EQQBQ*&VErnwgA*v{CqskO5ewLZa* z;ol8vXmp^KtQY8iPm|O}(X}#iZ;FPtKS`l57rzs!vV~^bM+6bW1M5q+ z)146uf(7cbY}!LY8Fe-#?*j;_vdVZwKX!AfS##`eGo8U6m<45L(jeP?PH~Y4x`NP- zz>Ub+#L4FKc574Wvg1jF^WIl)uUoq)8G5Sry1|Kk!MxWknPBL21zx`mRXLD-7%1J< z%iGS){0%1l#hU{FjQ>7%{F%4%-(uq5RvdPw|4 zpDyAE0y~AG*NJ$y>X`^}2`=%)@@r>s14pK=1Ok>15}Xehj)gjE<}-7l^->DE?y4;+ zF;naLaF5)3nQ`f=q@seJ$sXWS;8}^0R?Z~(@fB#(2k?mDA+-D~;&Ei=MeVV5zjm17 z!k_Oiw_9MLgx(h*wuktTkWbf38{S%6sn6v_6?}Rxq?Ou9~96{7h8IJ zO|;0VtC8m^deOEmad^>4L-ClH`6PEF{lo%oRz8hto7~l=(Q9B_U81)$qKlJfWwN6e z(Q(B1O%>gn6z@(?1xm`QnQ^e!*KS;qr?WBqbo z!(CYAY+5_C0|L0Qq*7PcMGz|2|0b6Fp+2-WE&7kK69k2wo5gIU**q6>>3qOEvOxNpR6cp zE~B+N(pl$PHy(?)JaOclpSAyW%dHg{Wwv}`Ayttx1zk7BGISu)crRzRJe*+lEl_h^ z6F;_b;657GrZ_7~lV5sg{ln2di42TK2c88@8u+z1)2A_-13$JI+4n*SwOw}!8upxL zF-IXru2<1Mxegv*j%4fhqt;I>C}x;T%6=TSYZfnTk?`tDcm@~xMSDxyF?-6wIFk`@ z8qiJlh_)zY{4hTrWBPdnuJgm{DA$!GIRK~ayZ9F;{}&g@M8YqJfUF|B5+{}~hg-%v zM$WX;e7p!qB|mUq8Kw}vqj`=H9roB>=UBM2lh|6Y(@&}%-e$hIvGT%ZC6*fVSC2BQ9QU2*=0 z|KraLsQ(tB{&rpcAByzMzagoU=lE^Q-~1o;Rg~r=58!f+h1$d>5fl%MXSfRL76`-I z7HSw;?KFN(@0{r4C^n)(`Imyqg)y$_Ui`bFklcrtc|^ZJbrp|VE$a=+?+v935f;)Q zxh@}6d~qq@43cWKaaLxgJl~LErpAjA~B>nlM%aIYx^+KHb%r zqg?SSCaPbqA7A9{Pn_x^XIm+Rn}?G+#LJSk&*_Jf>~?n#((T-)l&Bt5>1zvC22X*U z{VI~}?!ek-hKeHsix(0r{GDc=e9MH{)_83x|FSUX6wsP!N~Rjs4Njr0mUgqSRjJ$< z-ViI%+n_?AvQFTYWChnyS&}=;xlVi9aa78Pv8ZJVw|K(Aepq&ZJ4iEahknj%`0%T< z#HH8SIo24Fj&P~6GnJjOm0R*aK(qzVPj1dg(-$;8B!Mb?wcRARH^@I(I) zcj@Q$3}RMagEgJ-c!uf}W3LS(z)*y9hbx!iDid}Cb3dIOf++dyPl(V=Q?lPY=YKJP ztp6TP@UL{U|Mofm+vmjgN2ktTV$44?qpx(_T7`StEQkX3mlN_y##-&=J0z<`GV!w|fCK6pmIl3RP>M8;Gm4Rz zmKgu5g{i&CF42HC&M%ulr})CiUZjb7T%92%y}KW-?OAA!BQzfoa1fZkBE>7VFy580 z-(E}haDA39PwTEDC8gZ!TwNcqPWH@7{mR%zKs)O4nWz@NVFdoJ;D*^K*ZkaXi?3J~ z8s1#uvG>HSYn)4K3XOTe88YION~mY`E2GtOAZON@q|v@dPqYj#^UYwVYiyCksVl&M z*PHoB{M4?dm4N2NU%J2T5*YtPF#3Y4RH=26C*OsuZfyzZ=u!Apa-Bh&0ME|2loJG} zGlkNmgtFm>?uUT20&Wi6M=`O#c?kMyX3EPfs@{-y=67AEq>BF`2;R0BNY3DKa};D@ zYTD85)!^xM78o8f#<56oxzSMI&6mN8bkqZuXu@qW{J@x)ID`zAXSNYG&aI2bSWT41 zZGCj^-fK8yCNm;W|1rN^x%G&=YWymRH4i*JKQ19&kU0$J2l{mDUWj zOYzz8`MStm#k_`Ao1Mzq!l&=(7j+AdUdau}Vyy0q9fe+_y-Gb0I9;QY&YozV97(Ai zh2#!dRZB$@b3C0oXZbO7j)p}(&>Osdmp{LfDna2w8lh#-@>%*`ba{OcpM{G1r3qp5 z8ZDN!5wI0AD>=Rb7K7my^DuOAp{?(N{<+cL@Y4a(9GU6!iMc(^C>8w;x)RQ)6k0sn zm(;DYA}qL88i%8}aH9bpNMhNLki*RN9`>Hnj`#e*a443N_4{PcIYuN*vsTia!&KwU z=yk=)$z3}7FXSV!+Ge+8MBzli7TLa{wZ?>xXnWKK!4{4_Ujj2yJAxlN7<(|^?f}+9 zn}m_im!{s)#wlac?dgQCv6h*s$y%u)#auyFc%1ylFXSndz9!~yc2E>$=kMMT zKX^yx_x^Z$8}BaVQcVMLdd_~2m+!M5EwAdz-*P{33XwUDAH%8I51Lc##-7)!cRVa6 z87`RuY8k!y^?TzbGVF()M2)TvdB?)U-+0`#m{HF-3LlZ{yR!_Bz4lC$=C;+oa~C!(P5kO?v&VfUAI3-7!d)3=N@D za5!HJxc2RveaG3-r%q$j_hgajfML|Dor~u-{%|(K=WvwRsqtf|tStWZ3uUZ%Ud{}r4MNtcg57W51f0Pw8d^8Q=670%WC4Tg_=Y@)FmLje`%a1w# z3584pX2>T=e6Znv*h!d?2fz!6gDulPAnwbWG*pNe2*jHwcB5M))CDm@1x1^Savu1% z$q?@sKt|BS6_s{CI!o)DEY~v+jtLgTrH!NW6&2~2cX4M)T5*S#oZu+PNY}(EGEh3S z&OC^(NzA^}e)$^Bxp_!tHba&<@Ri?#RNT|}CvVxHK1Y1B5~f5h`?aW`@pN+lLkc(B z_|9x~byAGt0-NGO`RLcm3PHl9$5f9&L@pWLLNA8o2IC@?pzj>N^}hw8zdes^oc|%0{=;JFH&pK2U-<{vAT}tcz;T7e^+MoaO_{jZ zhvCxp1VpGgR%5q#x@g-vVwNlz34pjJO@n-$BmQ})k9RTUxUe|@f1+s{Ac*g5W!M&7 zu;c4TP{!d{X1IY}VLyq_`wIts|7Szvd;ixglXOypHhn84x~AC)ayN9(%<7a~<58Lo z*2P4_AvVDL7o%qAhQvKg+8kzj)`Q0S9-C-s=?yb*Mk1Go)|&{v zV-+I^>n4&--RhpaIMz+M%hUeMdf`=v4{xu4j(oyhkz}Rf3Q_#_v)^|Ge%|38lxDN2 zDbRjS_CehPK73t3XVcY42B+@izyq(^wK%=n&=fEI{o%+2m0^Y(%s9rqv1Dx@@G88& z+!tH+1Ns4SpAQ?G!Q3dDT?6BkdU2>(dnlh_sHGJ96&SMmhHo88e$Frif2kwL$>tXp ziiOSGXakzdrU6#9GnG1={+p|;ujDw2+pF;WgU*EyA)PmdmOiIZ-zb|J5~HecC$d*0 z+={$7f2sUYwr`en9Hyt#3P#!B#$J~UUGdJt_yG)Hh3yxr_ODJ z@}ds>GLNJm)vt6ZHed*7G%3EgD~f5qXw<`FSikK>*{F8ka(oFKD)#cEY4D6Ls`~D{ zv9F%<4Nz(uRui`Nnd5}aUBRabhkJ=!pNb-gZwrHIF-nEE7?DkISYIY2iy50A{+2xo zs;x4yH_b>TmTJR8{Gnm+8(@RW9pmJT6A+*cG~;}u66c}_nz@uogO1-R#r#xq-qfgl z72tA;Qlb*28YulhJI7=PGpQ+tkYJ=lS6ey#k`h@ANq^HwOg&Ias6cZD$?7(ejFpiW zN0Dz@(dzVmc`{lm{EL@<6lU_dNedOcj$tel@$Rw~NR6w$Og1+bx7oFyAW+_k9=14Di7QpQkFK?ef0k8&-^- zOY~mKZ;G40xKK{Oe-txt@zfHt%iO_!^z*zoSS0c-AsL=XZK+Ri@Dzv^krFaQj z7CF2416O4dQ`SjdrzrVbL%Jtrqb2k4LX)6|GgNpVrzPHA!okRL9 zS6b2x_|knla2z-=#+X2_2lP8U{En-zGp-%Dk5ajc7v{9DoxeT+eaJ?fL4yOOo11bi z6FSrn$H#QYXv}0)5}3vl(IU@-9Vv zGc7>#@4!`W5Z-&yHLFQSkXiO9*gJZ(^}B70o%`|H!csoo#?YjMW2W$0k%FItNpp}z zR?I95U4P(r^jL+MC*#*Pu-0&l2ZjeYBUFAkNo(N1j1YE!oWU9uEAIyy!C#sgz4&(+ z8o*kvg5h_(ow*=5L<%A7sf%U?KV9(^TTaK!iZC=ONV`9a&6y(}7wQ@DCUx9BxLz{1 z#~XfaTSK2kXD`uS*9l-`dwczV#3lTOGu!*p@rNJ2zga%d$$I93aBG+b{BVpGhrVG|EulJi z*b~Y|xhZ**1X3vO!8D}srQBs=5Z3{XU_6DjXDfF4d`B82)VkiiptD~1{R&I5iF!@v zUuyRLN9^&a!e=#q>pC-!XaZ0GGJkuG1Y zB4IE5Oo>`sDi#w3t@Yfv&Ws->3?H;@L^~Lmp?|ie(9;MYf90^+{3b5$>H%K%LFLUuG7UFSS6IFu<>|$ur1PiFk+Ity zyhrDTNba$eQ}jaqXE(|r>#rZEhO4_T;jMV!c-l>tgwwJOf}xBOq4ctJ^0bP_FvKW9 zC$-K*RATsbAA%>M^~3i8 z6vC`zc;W-t=oQpOk<&;}q3F!FA6zI=F(Izg!6`=5=8U(mECl1}go`;yuR{V{jyh`{ zU6`QICfAVQ%^_%=8h= ziy(+--%PL6Rr>ZL!Y~YDk@^uim`TV1SBMA5hM}v%jq%cXV^g1xs+Kp|98!=Ng)gfk zK4&)`iF%Wdm}V%6amlR6K~Q-az~o1H_(@wntV}-BG(xG6!;X?Zty^>so`1s>zOB&j z)OsCCDXO(&9%H*D|Bz3_-(P6TnVk}pq<0i7zsO}dCdAOIa%~uDxF|2pESgGHcxwC; zvDQU)OOsmy=NR-I;b&%dc~;5lL16?6hSkrcVN21A+s_gPXG)pt;oS?alrhY~*QA1a zY#2MNeSTk?i7uRqm)(kE(hS{;r)wC$phrAN%V0Pay9l9eK*Xmyd`=(Q!l!b|k6TBS zn_%Hqx)wnz%QPy?tug)Meuq_R>xo3%6MkbviBQ)21YgO(!M%qMcXqhdBxe$Vu%K!7 z40M^^65!0B0*Q#aa8)wY1lK5yqj~5ZNOzG<;v9sDM%Nb#w5b&U3--6$T7~AjWm2KmR*?oDjfkKPtL0(; z2(UVzLKB^{EKjGl$;*}WEZ3E|plxe227~%8iR3eckz|d!3Q>3?5UpHje+X2bmMzLo z3!n}uMukTRFZKy~$(hu~(0z;-_h;*M$AkXzGU= z4fUrzE?^LjpFTmvE6X6onM^s%wD5T5th@h1^ zUEK=A=_bc2cnt?TK9-@`yWh+~<~aOhR{4;@^^*6M9);#Z9(%zeC2p6{wLtjoFnHLt~nuN|H+}3GJP)dR5qng4dxF-BH zJR6Hs(Nr)LZ2QXw`i-Ed#POtf+~BhU$-{a7!^xL9_Fhf-z_JE%y`na)&E~ z2hmWxb2O`YrF%Ji-PmaTld>u*9|!!8Q!bYrz?TYqXWize9#U1_B1?#c*;7iNI_hrqgH<}AP!sZIz3AO_vrHpb=sBi ztP||n(Z|&Ho)Gv*zV`lDKPVN3@4<-rks9QUx@D%xY^^po6SnL%Hql+a3zh@s^+Tu$ z4Gp3%EGbg!^kXW)?(WOF-bGbgH#xlj2UF!xV}D(e?T0fi(P zU1_|a*lTVfT%5Z1MBD3!JrLVF!FB<~bog%VQ@Wfmax^DXOX95nThwY^k89@s;a5?) z_HeKIUcnDkCfa7LgHGX*;8#Yr`W^l~FiYQTPSW9ktop&;Sr;WG4o(AbjisJZzf7VN zd8biVq*@p7?zbDy<5Y&y;YfMA7mfNem7vHyU$Kowpsl|&5na?xz}`WPKOxrn`wl!W zDg^SEND()p&>C#NcHZ4;tYXzA5~tNfv}+AJKSdMNO1{0D)o3-{1JAaXq1R_4 zzMTxQOAQ9}z$Sgh7;Op2SEr;2>P=hFrzWc`8VRLMT}4I9)J>w8ORA-5^_~QY`q^&~ z4oq%*n}vi~L$Pz8dmp@@2}?_4m(_%CqO8a1&&_$Fehn+_w)_m~qU^wVC$(1GrgTid zV578|OWp5f7{$?%sC{4G1-oAMAx;iaZL_fHdRT)j70bg7Z(C)j7Cy_{TkJH}Y%a>e zZa_b;<`>nAR#L8e*Gr>A(AsoIuS@+vBMYR&FUP79drZ4@)1})!slDimWL_T=gt-xK zTie%nTsGb6|8p(>xmf;Kwd`-4^V_fgc=@kcKmX-Y=KR}R&cXQ~Rq;P-cVhhwd%d>6 z8?(dqwv@S`x9O$Rs7KKf5D@PuJ)?dec40`O2>>GyF{uyWr&|_P#c=5b&i7skB_wuY zH72Z2NG@SXrC5APL@#;ci(0+&zFSWv72NXaf7>&^&k0yhF1V20Oe@H+HCOBG=r3-F zkv!^I3ILiFw3R-d?k%i4@|tTZ!Bswt9+=?2w=W@0c%v0kY%zS<2 z2(JSCPLu}VgVH4IU=OgJ-2QC<@+5PJ>aL7%=*^)$-s%iTV@W+b+{swtDIn)Th%p+W z#r0)sWwS|dXP;zorU|kPG^Gp8-sBg1@lMM_Qh)aT)1-F;;fxg!UjukR$H&EJF8_E0 z>m?@7bAw_xN~}i7 zB?xFSvcR6rnfw?9=%N%4ux$vy}*I~>vlsY`Zw9=j$ znNd74)8PBT1f}uVmRm&#GjxXm4$w{>JIgB>83Y#fF&wf~J$+8JHHTq>IZrSbUz8 zFA82CRC3OpY>vjX2p`6gk}nFTCl(3rawTa5pBiXKLp;y}Ise{lhe&xlZzA^-rI#@o zq3Jm#VX^KVPErnS7yMJAA83K>TY@yWeo|O0RxsRdyBMJzFYp(hT;H#rsr8Tp>+L&S+N|XyAZ1?;Z;jE$7&EF=S=VItpGwc-A_KtKi5uPMEO` z_^m@?XHq>>8Pg%!Aej~E&@y)2JE{5k+{aufkuHOXd!8qdg5+miOXCfaRB>JE+}$E@ zNnPC8SRBMmhEaE_&Os@oAt|%CG=pJ_55!FRC#nKNtx(rgU1AjC`!dHRi2Y6!)Mo2Y zv}t#Uj$H}@mgP|_jEMWL2)lm7_iI7|?v1GO>vSOZ!Of}fPz)ro99rvirl@qAkQ{bb zQ-)7Z*Rxk?h9z2=CLE0;tlv-&9Qe3g^?Ee zzX9pLUD`}I9txUXmNc zP8&I?ENA798_I7Gn_UP%)lo63#T|<_ys!BvA5eAnDt_G4aAILV3W82E7mwf%Cn3ri zl;>)nEQray(zs#l$?%$gsX{Wl(zxT;>0W9>Y4@CXWhLiRD2Zs){d(X_znZT&d|U0J z6c_3sUxuf1hZU9mba;|K6@fO()&46`@s2&l(CwEHSikMppT4Y<-egt1Y2=mtzl@nS zh7P~(Ux3Y+7-`?Xj;m*;(BbKJZE9df&pceJ8eM?yp*qbClBOuntY;6Ce#EMOdNMyb zvp#_dBs36TVZxakHJ9}1tkOPpH+eB`zSnn4!{u^GSDtFzRhv4LghMM`EYF&q-YVb( zI58ckRckOWUy7Kk?)vt!;u{1|9}RB#MI(h~a)`27JGe77rObXu4?M8o#QkmzsrzG! zgYt*(rWH>p*uZNHzQv-vc3$6R%3GA+re;+;H!Gs1X@P7A1D@^+U8CD^6fnvu#BR;{ zs49O;kuafx!NFuRMb~cTQJ{jkBNLksuL}FzIBA??=5pBj>-F-;HzjmmX_y|xE`G+Q zqFNay`IW7kQKXD0UKC3uY+Q+?*++Ul(gaj{VQj@Xc*ENuK)ME*QZ)1J#J^W0Gpi255A zTO+QRem1#EqzN(XnCQm_gHMQbICWDAry@IW001rx?PFHKgbR!sV)=N&h7enm1J(ik zGb7;Ep-c)$dd7sqy{gHA3Iw^_dO{T7BHD7`Ho+!(kcH?nA**)J>ZkI!_MKaw*x~VD zFGT4@U#HB&l#U}6%`ZfoM$;Ni-OK2)^5N>Nvt_new$8kV!iwq)X}6i|*98R7UyY|n zucZmTx2?p<>dK&gshZLZ_9FIzx- zqMjg%Uij+oOdm4QKg~tq-tbi+XU{KCVW%eT!uqvN{mX(;IQERQk7*D`eNFr&jYw(& z-xSe@A548Z6b`P8imRflwr2gbr*AjEc_Njf{}&i^0|_9e2FRHa-Rj$UXbQM+GflN7 z7Q{vjed0MsQG^F*hTjvI1WxlE(z?{O`sTVcp!A1RBo6i=aU(*XO9g04Ca=a~Q`FraiE+ISEZm(6bC;kr z&me>qdya8@;D(33q@lOFP$9c=9j%&_no=6C3fNVnaSYZ2lJ2WvA${@>^yi6Z*sR3G zTmTNb8?u?Y8v+0UyWvVb{jroR4Gzz}n_gdL)~Y@|R7P2jyG|iQWuCDG?|xr3V>&#) zg6i4Fv41e4$;A5Q{Z)tS_+E3sHO7eNN2T`T{tizHs!YVPC-%$=5mMHK?x{iDmk_V# zsEShqmVNuY+1*5jJ4XEJ2^b<>OceHS5$!`4C?>1HRKLO7zf=zZfb&19;{S#0@n+Bb z3vZeJeZc*PAxNyhkv-nJV&1Caw~k9xQh1wd>(we%OJD*H}M3-m$>zn>E`pkj20<1WDB#1W$xS6;F#!nq?Xk?CcLN%Hhe(y0vs{HTi9bDUce5r#=R;0(C zM_b2X`!t1eYC!zcq9;5t^OrrkDN63T5x%vrUstH<3IZe`PvDRhC(O<47J*sQTlA#M{V85qhq#TO4g+kxxEA4FGm~T6 z-U8{xK;x1yxJRzwztE>Pn2@hEy60xPkU0`X z(HeX7@&Z$0EQEhK6bDXw79slN^Zb&aXOG0mzUKFXRcM{hkc?~Z%y88Vyz)Jd4B3wT*P?9WOQ79L z9^P@TEm7pL0^iNheX$$BghCO-y7r3_i0~#kGi`Cf4wTwLkb`r2xTc%%tlP_+*#w%7mFzSH|5|t6hb_#n;bk*9i5C7;}I>-64;x(_zAy0W;vJ zivw}(DypM6C)j8IXK~X)*6b7nIHbdP?t1M}MVN3diR8X!B!XvZk zN7ALH{P-Fnqv0gD#xSdsUj4NLr;?yk<5RuumSn_{Ls@TQu34NclN~57kSo?vdLW?0 zHCY7K(LC=9Za2&H?Oy7XF7N)ewlIq?dr6Mr`Ig^~^77}$Pr=`#>y-Hl-BM3)N-qHf zj&B!1J;ONjxlMPbTKDrUf_IBZMzGi{0`Fo}wi%9{Cue{4*x^h?UAPkkN>D`e)JouL z8s^%>Qfhyr-Hd16ilG<`b>1az#pjk3s8LSuIJ32sAE+y^YgTzT(gVX3PR+>48wXApQVODGM=ou6GPsT~pI<+(ES+g-_63jCuW&$^Z1{?wOnVNmA_0HW8>^$$$ z5@G4qimxDMi!rr!|FxytE7i=_b=(X76-U`$58Tmp-#1)BPl%NUE3`ga9LUt^AUobB zP*k+kU8U@Q&~&|>4!gWL+28*{S41D<*8D{mw+fOAQc&)#9t=bT5*qrg^KrS0nNyKQUcfL{ksRc_b z-_~LLvU;`~M@%jILiH21a{9eTr<5trW>plA={hdw zX>^aI1Yq7s4$of7B*{f%IZZBxLh{$&Cm%yc?jEYPmC20f2~$9A&^{n>!HrE z3+X6XkftD5fviA^)11lWxlj_XV@m>+F6!yZOC-CIjVA{^SaJX;e8pV#WKScOhIeDF z%&~aCW$k29+5Zp+DucnETXxgN{SbnK#IU3MMJzP$KhwkcEpjnIUYkzf2f(!g74ZCsaDW2O)_#CEyS#xm7@zV&n;lU7{dQJ8B_br2taxCFJtk{%4io8;#s?<=H8>!1uA-^ zphq=;TRBbOg3YrCQFAL9=^Pd~4^FFX4^~}zl%s|H3u;eB-F`n8kOeQ3zcMsBmYmIRus1DhUOzbO@a6E9M&N!u7x@P-T9))qQyvm z6b%e#Addeq>}8@GxPAfK@xOe#uq;jqK2_L!(9$NC|B7%bDCxtrXI#JkI*smnTmjS%ex?6KM*15L%t9+8}vd|q^nws-k zLd|V*&;;^I@-)8R9P)2&54Hz0R?hBNU#nL1Q0mxz^XDA%|CSSd zgN*-&9&Z6Af1S3O-$ajp5CO&Z8+l`GuKJHbLeQI^p@K+>{Jqihm2^o3^zxNJoq=L) z2?2;cNKo!zmOBFHk3>|OHO6Jaq2SK?2l`Az1>Z?6u&ey;K7nO)E~q(4jnXO9XdhIB z(2Mc4Ww_A>R2lgP>iCI>044}t(@@b~i#B6|{hqCvacr5WE)>YE$cVLps-jymIxkWm zMS_br2U&vCom(t`zxVIx+Q&`@QCYhl9_ zTpcg4R1^L4{2AkDy2JV+{aingWVu;dprOj~#6>e0Ye0t)w?b(>8c3I32TFQ4O`~)n z@~}=D*G`I`Wd(-wPwHAaNkmVOA95fNt(trsV%IpoH0oA~bK53FS7sW5#FLRH7f%gF z4uQR24#!=ifH|;PM;8^~$}RTx572l2;PEmXz@w5LY~Cgn>8BoqJTVERkg831 zy~sQ78oF;KB2j?wFVk-xc*MqdYngUcY0|gWgqxw&_l6zCjb5yP%!XR(00xYsIO|cS zd3|{_%Nt2d;lbF_hI|%$P5$mdmRW1RpAdQIkrJ+DV6Sr6AY@)C8|%wxV&7dIT1W44Y@IZ>It0gf%6REI%fr;*qBK(lkp)+Q$?wTYlyCMeg3m! z4vbD|_{?81A+l@zfaNb%W>RMh)hy^cv;WfL!sE$xvbFfer6A`=4Q@Xo{aXp6jv726uON_)ao+GV^@z{F&$8U!0;(*QxH_yL#`E zwG?o(O`DJj29yst6p(VyS#6T-jV&!Jx&j%@t!?*k5EI{f8GgjT0rjyi7~eHE#MU4! ziV_RxB%_f+UEi3s0nn=9O39MnP9A}a?Z1tn-_ z?UX9zYiY}(D^5H^!pIQ@&SLCwWL^x3(rOmCUB`k!mCMjNi@`alU5DUewS{I&hh6BN z^fcM{wmufk*3N0VKZNvY=Rm+wh5#R<++CR);}+R1MULEAk5N=3cjwBk)rR+U&HmaK zoLlw+P*MAx*{L`Yf+-s3j?~u95IB?Q0|yGSt6duL(&}EZL${HJ@uAsn_JD`)m_sWl zvKJ(W&ppDo%dBJ4jqNh9K@`wqpB5X}@2xjX1!i1k8CJwt8-jsEie7o3?xkC0{lkNS zKD+iKkgu3fpSj0PEh%0(+g*%gg~pZotYXotLY_s%8bHrGo`(7f2BNYeV3@9wN z>D`Qhep9vCjEuE9mf$5Xs-^8P6?-4GFWNW(YQm=rGsZTo%G%zOfsa)#y{xC3Bl;>! zh4h@4j}yEOeXgz zw}eM811>_4A_eaS64+QTYa%=3lCi5F=ebPHh6sffysSP&#XN#M#kdy2+8tuNk8+E$ zaFjv!c_k=IVfMO;-K!bvY4^DJ!RZ3%hfh!!Jgg=zp?=^xgZQUe(`q1HL(upGr6wf7 zZt8C4U05+5~qE5#CKXrM*87BY5BV zjxUBkT(YQTb&|~PNHp&~nUDfO44mt5OPYXdNxUI$7ADT*U{*+GUURfFk@&?x7q^Z- zw68Y0R;)i#oFS)8z(x_OS*nj zV5Bf%I2|Z;7@JrUo34E&3EYxw$8oY?O!M_8C`gC%Khk#@%a=q*(xVj|)Rx&+{gfY1 z6v*MICXp34b=94gKDw^^`0ik|Lf3p83%k;KTq*VAi9XPq?k!t5(h3q9g;qYHcGaF= zqka?gUO?U)^j^UdcPKWIbrx{Jz;go{lODDc@GjCp(!6<%XUx~and=G|zT@k!A49XJ z;pFRN&7G<1i@*i(5Dp|p&n#~rlW&W5=eb5R5uzOyKWv(P(uL`@JOxvE%WO7nD$0_F z=< zf<&l*Ur?362Ad^<4yDz)lE>z@U_7HpaMe=+ri)8PYM{-~b>1j4#sCE|lO9+C@mtvB zdmPZwN$p@hb$I^w*&IMPpv`B}l)Gld?9W%6$OJ`t=FRR{KB_hadWWpu=-z@)=TD;k z#?tQx)#5;

    ()6$aqj?MhX|zI8)PB_)%XHTHFDFD78X470vua!*r9>$f<4DaQ=|f z;f#?G=J*$E`tI6npsL}07MX`k62dI`Z#*qCcQu&Hxj-BG8A>phpgE);$H{$m+qDp* z>II1sg}20#mePo<+SzK%H7sfi+?5G=nm9a4#XRdyTZn8_V+W+bZE%qz0?Lj*eo4SJ zZLvBh?;>rZx~o(_`{nx8eT3h-K^0X35QY3dHTESXPstT{85$?1ipU#h!4gM#pkVV^ zJtp2h31~i0)oyf~4Gat(NpJx2nz^Qf`u(3eXP>bEEH#@(?C4Pw>@ps-K@f)eA~-f_ zY7J2;%4h!1OJTs{I0^`qiWn;2Z{IZ_$7jdxmuM5Y-YH(0vfjqUEQve#iApBRS=bnP z$(5+aB>X`^{?iB!xkrpTh|Ccj)RX%P>#jfs!A1WIVWx9Z1@}dB0&0q1}21E7N^uiH-CJP@n_R*iHzuvN((d@A19 zj67|}TZc#Ygx%c$&PWwe#kvcM^vvVN4(idU*}m2TtOlSJ{@Xu^vIllGP}%~utAL4pE0w)i0q4)d8V)0^t_~rdUbU_ z`3xJ>P=ZsWP6}=a{cmU^tNLPfNg|@A(QMHFI_!Vs06#+RsK@?q$4!Omj!E(Yb|*2) zO%zv3m&BzR7x+}p0XGcLXe1P3+5JnN;Z@O04>>AHOzAK{)9~8_>CTjB?YtJcSx{{r zpP*KKCHbhte(BQ-0=1wLIU0nx20X##sXR<WWXr@>$X70uW zSD~9a>HC4L!LHtgfh!)nyPBr{hsJ!QZNL&P@byuzRz<6;TV@QX-A#2@;O8r*6)*k^ zF*y|ZQQUC12kClq6*XE`K{uBxqlE~#W?;9i^F48zfR=C&Ev1G2PsfsU#nlZ_w;VcfB$+YzM`AiZ2d0cCs8`W>RZIz-tnj6#Q;DyIYfOu zx(;wd6Df3R;5LqUnvHt+fcta(QD^+$S3okK$CHvzXn3<1e(--xOH!M<6TN77)&ab@ zFKG-X>Do_;C*lEZX9~Dlsm=c=_oKtF0|j9%eyT3t;bZj;|0xHOg78?JW!e!RO3)0w zn_Q{%)IHm@B1zMM_wA#l8FX}qeci}a*cs8f{eQY_CHYm4~ZIsig+Dcl37M{ zqw98|3uOs9H4zr@@GI>+v{rP5w=@NfIs=7v&A%6(abe@6uj2ot+U)6V>oMcORSXE~NfP;5=T@=EX#R}hrW)pb<97EI*tH>y*#dHQl2k!NsJth_P(eL!j3ENhagQIvB0XE z3@jPq;vfLTcHG5+RaEpG?Mu|21Gu>+@1O(My)XmMx5p{UNfMwM7KP`<)G@1QPvGHrn zPQuA4LKGAe>X%U1ws0s8Azgq*LQg6pqo|^W-nD$|T)#DF&y^)IPyYS%xUG#rs*taf zGzWJ_5=DM#mYn{|N)xP>@WT?GQ(VkyXBjU=J3J7gX!ttD#%LYjIhS;BL>mGlV25zA z@R=yo)vPx^gr;_`06v)Nh{|qJHlGwMt?YXao;6mkOqLg(7bl;zk4yKCa~rV?KAFvpF6U>4M*uGH(flw&7nq?J+%HNzsWiSNhB(b@#0BFDv|Hv z4&DSDRuYz|0Zf5M+fuFthTiS^;^JUM*;|QNb)*CQ1(Wm;%VEOUM0*`>fFLc`UZpVd zH(S3rfH*z8fG=>?k=L<}a{M-YJvRa9BDubgV5zy=6=0B@N0TSayvnB3 z>QVBf$4EVs`4^Xn$C_}8d%4*TmOR^KujZ^Qo#=cCi3o4{3a~DY(w)9P#NgUm9*wTu z3O^@7>E(~@(#&vvG3>qP#M@~(ZnVECXtFct9q#6}DA^$Q5s98`ho_jr>bUkd+yKw$ zp)Y@uZO#^UYrYXWlb8SIO}L@mn>rp2K!88e;%MVgvha*mP~9o*WHjgqSPQ@#wXXE1 z$gH^I#X!}YH$+i5vmnQ0s!Zi=+ZugkP^z_J$m#4P7XL}{!WzRx7n$wPkf_hfEjEj@ zgS@d5Q{U@W*OH8HDI$cg*1gfKRffpiR;nBodxQt-W7Mq7gKFKBZ4jX3v)f{Xwgcw- zpZ=`lhhWdU5Amd0?&?AmYcJnR{F zb3((S#cp{V`D8FS=pt(P(PZaCqbbwqzj+)`in_c?d+p6$q4TkJqE4zYK5oU8PM`Gi zRL~RIiHgs%i%-tC3hpDR)08@^;8BC9bRf@BnMyyx=HSwA$h6Ns%37UENh^LhF}6|x zp-Kc81QFAgN1*NLykysIeEMkwBOJ!6SxEPD4Ga+zeyBt}Mti`{06+9VdnllWn7WivX|;Cp zMK!l@py&hF4kh;kmF^;AsWp2)iKEdzt_X#=zlB}>t^I)3TLM%Ds-3ORR<13b6}(a4 z(Kb$wdh+PgezmsKY(&)~xFg>|xu{N#AF=+!7F1^<1kMgBz^B$80BzJ-;z4TVL zZ}!wFh6~|5_@zWI{}BgQl3bRgo}PLT6f*+>)9mXo2E^z4W`BDf7;4DE^8#@=oSG$S zlm%saM*hYR1sVq6IXMqFx_C0-*G(#B>18kRX~7jinUKD5X;-wwyfE`Ae({i_RG_Cg z?q*eiMCWuJZCpKCz~@wq9rUFd_Auo$F;C(a-6JJC;gVs8MWA7hrO&(6`Q_P$*@6wd zGwg7vqMVWaV)^6BI0=IYqbK@OjF_lv#^@v}j9;?=1&Y40Rk>Kgt_kr9_tn1}w=(b8K^h{FuW@#_Ye&W=*49 zW&&Ub1Yl+UkLE2>N;#pY$Kch>1p*C;QYfWU!q7dAB;rI$!0j zNRlSonQ*(qlDCZ#KDqg12eZarPUyCm=bVR%POXF^Bq~Dy_}A{$Zkiit`8zvEKMK|P zzWeSTA`0Z41%Lu$4G<6Yn+q;rJ zS=FHJl9X>UWveJBv;J`8x2yFO8z?f?0g_3IY=>k)>gf9IyQ zR(^;#b&1U3^bq~G-(~2ou-NaRj593M;N~1kKs8kAaaPv!eup7C-)bK#)ht{f`{r2S zbVpU3%HXHnnDM9-+gA9YdMMlwq!r!fEKZCpb7(5(O;1J$Ab82$0!Zjw%1`17cEf(Xj_ko~FJo&7W!h6TdQ`7N2!GmIzMup*%kBjz_&}}lf8)uY z8D(3!J6SQ#aGHNhEL|v%o3N7&J6I8!FbpT=$BvL%MGml>jXs18mkIzNl;1eWmiKfr zWO+A7?eEJAMyyrQyUUe>BJPGqkZVppqwfSd0%?Qzd;4~V-Y6)!pPxSkq|G0b`e6jt zAu>(J^8-3csfMRxF*u5e)%oLW4$y7}XPr->okuJsy4nU6_L?^9$P*nk)M`PlO-bHn zk`45db*0<6m2A#Ytfv@r3Gg^G!V5e>7Tx?kJ<7cGP~(Hv$6rgKM)~mokoI4zF*l!= z3_qq7_}aNhn8z2=Zz<8x?@}aOcOPdEnW42OQNvfZS(W7b&RFUCTAm*AM#(BIKZAcw z+GX^b6=S{jMM~bES_ZsJSH5V?kRA$;n$Nd~PCTUD%9HuCKi>{Wt{=(kminx+a2tjL0 z>y-`K>ZicJ$PDhW%7;Dffqt!*C;Q4o$vByf44CGuOBVUdM*1Jo`>Rdo=K^({*7klD z+Og}=Ocy4<5q>*hbF)p_h&S!dQ@53QVv%!RVPJBWzI2t$*>G|FTLGu;I-wTm-sT=t zKTOf_oVFv^O#%JegL);3jf-j9B+vB}RGiNe3svZ-$ZgkUxjLNz7(hE0X$oTO zO)^HAW*rl23p@DG**w+W7jwpNI;L@{sYm4pik5CZvSV_FkW|EY5nF#x>_xOXeP4na z9Hc799#a<@u6sD*mlr!xgSfMHpN zBI1qroyo4p#>E_?orkk}J~=-_rP|{^&(jvt7`zI)x8D_>YhlnVg;8{EBXXthX~j%{ z9auZ_$#Nnx%Es=~H^X;fkrLaS;BjrRtcssNokqP{+v;&ek*Yp2tErfF_|aYmRlBOT z2=Vt1IjK<52Gk%xDM+teF4i#wVaS*nbj{c(Z3>QR!LFe2aaK!nF(J zD~Jg!Es3jE5XpAH{*^}NodB}6Dao3d_Y@VP?l@?3I5VP-(meGL6$*CRf%8kY#gg$W zD53+Tg6x1@lNCzJMJnMdiit`!vK1Az2g^+{-7g`@4tY@HoQW~%u1=&yosO(JXcj`r zHBK0e3BDfX$>m10kCQ2-uSJ8AqzNq=#v_(XVt@EVvG-rvxfDISxVeUU4O~F#pDi1^ zInIiIm-&=zp=)F%6`Mx%C_Ur|fQ0zEDUhDe=^pZg5|B!W@#yx1&{h?qHcm!fAIQ3{ zsNxKs_?S3KQ6epRy6{VZr+5Nnh@^O?acugYwyh@vKscSQ?X#6)MeuGUG^qYG-O4Y_ z)&PS%Y^-TrZO0~`uX1dX&ytVJq@!N%>Cgh;)_Dg3(Nlc&#p8uPyZI||DW$vcc&ne(r7P^9es zJumKEJi)*8=%+p5lxSCm9`olQ5JpK0b$`lc7Oc2Ys`w*6t`H_s_=s`n@SPF#sYN#v zx|Ibs~Yp+B7bGWK=L2Ttit*W9ws*cJk;(ffj zZ#wZ;bNwiFa}{xJiz& zu_=b$l3!#|ba07Hw*hCXnebxeoO({Mze-;tr0wv1Oc~hRK~_aYDM`%SQYJZx^ai+& z{BH`Jt@xFq7MDPA&!}JW&mC8}?MBxKeU}*D*oM%a+S7xkDG%?PC94}nz;W=$nJH_k z`7(>?P&SZd>v=N~#GM1WS+>f@-9k&1u5GkH0~k* z!$ZTYOoy&ZR{k+R6w_j^p=eHPXa1zF@Sd`-ACG25$8v`hg|3C)*b^>Vnb39;<&s{@ zyPCXCW^e0!Ge?n7WZtbF=5;9UG*3bn&b*#us~%YHHV*9-UD@iuD6cQGNla#ZBO7Nr zOD__paJLLwP?>9I$l-=_=Pc9c9*Q^ioTvYU9o*Uj09L6q9KwpRvRSx)+|k>pJlSfE zPe`lGOslcJElhkRB`v1bdz6+cdM3_!D!pnNT>0%W96NtJDEmZEHa4Fa8><14+vRW` z8#rlcDu+u|Vu3uAjUzw8HIsV^yj?H$j9=*)`nh*FZ6gTPpSH7S5M}gpLs5Wg8Bbqt zIM%Mj%b`#lgNzFnNQ9HwPKwaEE&EV0p;a<1KdWO_L|5R0gtFvJAah1|+G54b&4}g8 zI2`C%K%e!Nk4`904kGh6F7B_#j+_w*)s2o-U34AIRMW~O0p(cdVu}Q(QNkA9O}1i< z)%M;3@2`kogI#O<9+{v*2N@n*=NMk*A7$^+uw*Pjq3bFUmyRm_MBY&3;geHt0`uST zR+4ZpxwUxfH2I~?3=$J!?>i8eKB@;fD!VV?L_aTFkRbkt_X}8U7tG1;t}>REr<}-S za=1bihZFe?D#)`Odf#NBsCu^O!%Il2&4IN&zu4aFz%bs~JR{qoh&}VPvvc1@{T;@I zMl_8sNH%D@6d!wSw8=}a(KX~j7{`}9E5jHoI{{l!YpFcm6LV;#1s4~(tQWS%JuYL< z|C}7mq#b)Dpr%yK+p+^MevuHEky$)GL^Uny!kuN050kQOi3|%FWT*Q2aL?W|0$<@i zt9{GW?8+_tVTdpGI!~yHtOt)e1r|(9ma4PT$+6&Ui7Md4eQzEELVB&|c}8ZuFwKg| zn{Nbo)58F(TI8p|4|&ci`yakyvpZ?e+Pyhxz4)s}H;~GaCt((8_TG#?og-Hxe$9BA= zbuqE-!XAtV7l=Gh`2OR4>M1rZ97R}yvQyW?74^zY%^9sF zk=<#+CG*#M<_4ziX!}A>b2hXV2FH2JOPs(!^KP*%MK@q+Mq{tb-BPJn&Qa{q6n4cS z`fnkPi9Y~NW}2Z!TJj^vuqBqWBa{=P^m{ELE6Q?E4(!YI!LOOQ!z&X?3XBCRpITb~ z96>b!c}S~*5Fj+#M(;n}%Z!*<7HBGUqZucKN=@9ngGE+G?Mq=E%+V@y(}`CiD*5@Y zj;>3GYN)46^Gxy>FX|3;;o^USD_6EmElkEHYSAYgZ+Xl5T<1;eNOX0T3Fe&c8<p<8<^`Ap)-p3dx6=0&=g<{*+GR~C~SEF-ArE2BD}Ec z5v|n7KKxna!{oU4TwvHxEql+ZAbGT`Re0KbAW?%@1V5?)@^F&K%EJGhM(+CA$tuhb%u+lxPnn|4A$Us61kH5K8BvLp4!jWiV}Hvf znJYRn)x&^VFYCJV$J!{+3H&m2V)UXpTn1K2>P+FS(vEvx$sV1QtTbd(U6UW0#W}q# za=rK^U5X_YK1EPjnbwu)N??!uj$Cbku^`@a*CZif16mXB)EJ*Zeb*TQ{Fw*v=b8Pv z(eEAKa#Et&!ek-Srwg56-%H(5`=6yYtiY2!ngwHY;OSnQj>?0I;aM;3KCv7$D$T-G zfQ!--wJG`p{~Yvzmud~Cbii?~3xMuuUTkPNx6SPf!iu+PX%#gH@ttV<3rKBeMw&t% ziV}WUA2`71K93|pah5WFGkAqrt0#Q7#M;M^&w2(P{4APFN`ONp{`T=WIbbdaq^hNejxlx77ogu*te%>}~K{tXEVfrRsvB5N|!} z?cQWJmFs^eSfq8I&c*i6S!bISWD$;crtOtCiu8)lOEm+TU(rf64KY6gX}`^8QDyvm zZ(b?+_W~Poq~Ub}Mf)O7+SFFj-dD|t@qnGMX5eAL8TbLh4{2i$Fhbr&+Gy&yOE~2N z@_O5j${hd-Xg`37!EkkSw7kJ>v0dh$b)y9hkwc9?3GYy8_F9I-83hJVO7OD1m<^Pp zOE?M()|%Su$_u6c5Y_wEcLDcK*~?uL9=#)6Xq@Iv%?LbG)c5$cAW|c^hwmhF=Du&F&B!<*>4JKmK8IWZ*a25x+9V$}=L7{#S?WsQ zg5?si%rR^!kYtt!dW#O$)z#VOadPknRP~3MG042l%`W$tS+ooJ3x{H^g&!kQB?R0C z$kUcqa*`Ibz-$ExN8~#dhqpfOSWnp%=+1sy+`iq>9fOJi?YGENEL+f^Cs&p@8yhXfzsM}@Q7^uXTGu8WcEZ?;hs zWj3^ze}&if4_{@X{Uxf`)~Vk8>qVoV6)hPfU+8O>_d9{PtjKVo{8K&TX$KEvb5=gH zIp(MuZ6obPhX~e+{*JTdmWLZl<$sUoc}0F?3-wx_%{llbTP;w}EZLyH)>+^dQhE4W zaw>0QNG1VY*>8G`?yw47Sc3SsctcyH_k+9*3Q%$3{rdr@AfCUD_R417N=fHo*`V-U zzC<{nKahTrOv#n&-A@m+@qO_994~Z7oD;R??ySaG**0Kr*+qRmg%Ek zKZwXjy~lU7cjV-f1YU;p5hqwh!1~X7=iG8^z(Hfc=lTzM(-V+-hrJVH^yGxXj}$@I zkYb!1kX}$d86EAO#$7Pe0cNgs0rnK)=J|ZBlq6-X%KSr40>hc#+Z}Df_VIj$Tu%r; zo{B@e`g$BjpMwyJOFnH~pEoA9B{}4o0;#CSj#!J*foH<;Y)5ghHREscmw)&yd2PJ* z-jhx5r0t2Z2ow=}2704*_{fimiO0VlOPvzzvSQFU9NQ~sPe%~kw#AyUvb>U_vls2> zmP%U_Ogbufacxg^D&I%O5No2#XPhT%)_P4rlv{FOEX2FuJ;zFK{5W9`x6=~|IQOc?CXESR>3RD1$^*3DEd z6y(fAnS9m-a_}!IYf>~Y=XS{qSnow`kz^{)H_7=yyP8NwEDI7g4B&A1^E6$;C@Fsf z_S*M;bjifRxJ!TZe6{SApNKkSjgU7k@%Ee?P~GY zdX|o?lc3ro$2a#~#5;9DV!^V|_D)u0C#`ei#7`g)arxuDkl>)9uvX>8o0gH_2EL~X zOf3=Ch@&yo&&br`J*`b!l{KL<0heFh-5NIQP;W)Lnw$p7JVGs*O%k>sA3Q z2pHfQ{J)!(bPz)oobUr**m|PLjJ|a;nZfU!Vsvc7c$J5G`43+%@5%n!j4RT>dz|a_ z9{mAO{h|H-eb-aiXo)=jzUNeo+Aur>riAyYkGHdBO~>xI=l13=Z`)j@ioWm^SFC(c?Hd zAYt}BR3pJTLDp|LO)py2sVh(pEi-uL^WI}4)f&de(3syK$`vM1jYigqzVFrxoL5SG zFdAtk!F73=q9TgLyT*6F=Y+c^eS|I&)A?n>+iatn{0hGHdBLQX| zT6xJ)Sr#;m{?M;dctBPcO}2SLwM&@*i;$e6AyWcDm%+6?D3ab<@8a<7BCv9V}p zd0Kh;6BF^GrX#nib$IV+4w4=YA;Iw27?1hSg7^tPL}f{o7NHf|70Ou3p_+=Kr<9Ei z^)AUcDPI?QQO7FU?Y#h%@2jcyTJ(Po{FjsE4?aa|)~+h;rRib1P-?EUb1<2Z+y~w+s0Lt4Df8d&cqLzOt?( zd8b6FdSWA$CZwf>aOLmfU;|NT2AIZr4edHT2cSGQ?B!9~R%`7bv{!oKyXCWcZ(8iV zYx`1pPkHvIG_!z;0?W*{hee~pb$2%cFL38YyD;qQ%P+**89r0A)luFPb)$ zo7T){3Q{62GGoTHW@e3c$T8}Ua~>Mo6!iyfXhc+u5obh4lW0v}rseLirgaJBwLDGz;tTmc> zG)H0FN&%W>3UBHSG&Li=qo;Z%Ita>ZS$+}Vv7By5GcmsUIj^sqE9HNg%ZVIp`fzQJ zfsK7}9I0opSwYQIGCq&v>w6SutwpRob|shiQy~w9^XUkf7zai)nP;OOi=^G=>G0I( z!AhH13s9lTOSGWoH8oN`w+UcxP{=(_Z_DrBGGE0Cc-qg-hC~eYbEN*sf>8mZ3=aW=bJ7eD|)GiQ`+gA6OJ4~*(TMh^_Orx<128aB z9^m9MD(9LBbI%?%cR)LSHM}08U;>rV23RvrLAOQYxE<=sngT3TjJv3%U)@Gm6F|SF zi)WvsA0Q+j#zfZ(B;?FqZGZ6Pm-H-D^zo9iF8+{icYD?~I3=X-K7Uc=6;XNlbyTWB z%vS@s$R~Ps^W6SKQK*?8Sko3MN+u4Y7ZP}n zobD3VB(O7L3@8PX3JyJaLuW89YQ>sh9268$@iI&zInWHBAht>-E9*GF18*xbe~6_F zBiBlqzg3n0l5>(p6xJ0)uFkRlv@58^C{qLP7C)30HP=PdQJqO@(Wk{EvxeHWx9-4a z`>$!uSkkevdZ^YC9qgH>jYilf6BW5QBivNo!Jf<43)W#B`yRQX!89)^nGj{r@WfZ^ zTh49;PxSm~>8WcS=`Z`+z*_FBnb>`U~&tjKyE{vuj= zGO^aNxJ$Wdt7Y!i8<%lZKLtl{2A3I-5Ah75DIm;t?5#7jc@GEQ;T=4>ld*RDNy$ri zhd>kITV#Wsy2$a6QZp&NAsJtn+$3ZR>gUGJ-p|s>=O%Un?zQEB^W2~1z=q)_t}%`Y z%-rU^jmyF(p98sO3&{16fFZaO$sh+KXJ6ZsTFcc}gT}|=(0IAN2hEDThhd6bG+H#c{oJs9+Wc2bai<@5ERk>s`!ZOSFj7uGC4-PQQ)?qXRZWTc}x>@+o~t* zp`+SufwD{Rtb0+Ke=PnO4yP|iG&~tCsNNZSeBmX(z$UxVdVfwR%Ds-~ixUt&XBMuwubR!e>FEOq+xT~#G~D(rLB z=^;_+1m7)%Pt1nybIr(0#{te-|}M z(GbSA^O`xQ-Ii?>p^UYwSugcFsuU}w$xPd}5Ke~HxwH)Nr$SG7QaETL+yvyB&?EfP z3dQT|_N^{>wx_Ty&C33m(sC*_My5O~x44sh!r9`X`5fFl&hEG}n?{{nX&ZI0o0pyl zfK}atbQD}t97~R^jNztG(E7Q>k4D*p6BXJba<_Q!`*C1l#mbk{iSE(V+TpeSq6&5! zdlEF$KZ_sHY9(=qFCCrY){Yoc73!>VM@ITr$@9m|+V29Xa<>%;v|+n5^SWut$y}WR z(v5+87XY;tXAMPOHWaP&WU~`{h>H_M3sDr&TJe>X2x+N9wI~|^P$qwG348`mC##|3 zRnGcSBrP@J$R1K>i7pEhxcQ@i!~9u8gv?eQDo5w3q(W3zb8eyK>dIv56WdRX)HNtR zH$tBrVt#%rezq>9J?^2=u#vY~Q}H0Xxy9AB0v_Ne5#KK1wBnhah4;Azdr;u31NKQ0 z9i7R|R{Ks%PMWLVhb0@Y3KYX)5?y;$Ps7fa6EAo~$%DQ*-y-IFHbt=iiUoqMi&YJ* zG1M8CpK=%U>=hKEnpSK}N%$$H$nP)ZGF0sOc{}QyGi!W;UvuYY2R5vw?Xw0g89xw$ z1op3So6T+;tM#0r=aPq2Op{%3c5`8(A}64|2+$Lm;?f%`PDD569R55ec_=&HzZoS| z$oXhVDU~D$PTpShf#HR|VnY*OC~H2j{M%UWc;p#uUjM@uOa$!iDOu`~b;~#fQBh%H zE0Pw;@<85WkH6`|0YZ4w4;S}*$MYnC5IkgE`U2^Zw5Z@{kp)(t+G2O1!N61LN6`&b zU$=^0WB0kz{*UmK6e8NGZrrq9Bzr%CaLlx}AGipkm0f3r|C&z?;3#6rNQ3xEygU9~ zlVt$Te=Vd}k9W#x_P=Pb%fUv-1_tx~haItf~KysKuSB6GT4X-y4oq5!{ zuP9C-xa&tXgkg`WswO}t8eSr8jz(P0DAYAHtx77^qn}s%R|<0_V&I7X zQGr!pB{LsU#+f5ymvV$zv zItB|(lw(71=PND}Uio7YL$kW|mCrHeA{D0D9^6vn1oe}1{w0z2%GT?aF^OijVs){V zWr5xk*=N29w@s)h&QN)5z1pHE5}4rl7_DlPDW z+&ee(eL;?Tmts9Uxc7vP%W%Ui{E*}^*zrj{fZwH0t=FUXh#tZ@E@y6G&T&7x1~|Th zby@9gFKAcT_a#)J$v6K=|91`UyA&@-y}&i;l`OSWZ@G8my0F{|MI z%tDrNOKK4oBV3X-eYWH|CJsS@MtdOgT+>7;NA;GuigF?lDPb>xe4C^_Ud$d&0XJD zkW$g`=(mae*LA~Gf2v=%k9>E)l_%pdkq_G+u)w#^XQ9s6NooO63*sN$>*~s?(;1j3 zNyPigm_`R4pb5Zyy4rZPb+h9R;}+kB*3?i3C)D1b?ycK%f6wj2M4jtskp6i(vp!KF zHS<8;d4&}}*q1fz3bRM%;ht{=U7eLPx)K595B-saK&DK0kJeOy@|LKUK?u*AixBkw|76NdaR zKZSZEnEIU?9tZ@>NlVz{YKM)8W&A*#f~6FOar%wm8r zGUjY9Fj92Y!K0Wn3w(%(mwduo{(St+XCQ9?!ym|-J%FB` zugdwfaIn_r5rA#!_phk55>KH_Cj%IT*A}=ww?}4p_;pH%Dh3!OjUx|8FN^8`F19Y! zc|{G4)KSS5SZvYRl-QDNP%u{(4D|Q64nsybIRz@QC>;eMQll|>9ub{~C_Qxlz-GHV zq<#C<$$*rMYb_h`$x%H6dvVIt7(?p80TbhIuYLzy`Qv+r5w|0CWAAx}M>)XU1Bd~c zI9olbi{X0o*-zbuoJK{&mVDG!bC2A;_QIe$uL3SNQCBYP&D_Y%kmM6Ma>W1c*ZK!? z=(iEUo2w4#LwiloB5BKDV*@yDeqGdX6rHE%Q5ADOXa7Kr6XG}N11IK#%>GK?r+v&RQi0Dv{_#MTpfJ5#1He3+C z<4iu;y954*5Ag10FTMYV@8!v+Z9p(e&;s~uAEDc@fB0C??Xr={)_so6-7=r*(+LgY zn*slN?vuYq0Od+{z!4{a8a*3wz(HQdA~p_q^wvHCC^7U8-!$tVzINbjEQ{V9fYE=u zPPcZaWK8rs3LuM20;gqX3Y6KqeBZrA03{w+n*qQHSJK}Br{6|B$XEhIfB!zY`xA5Y z>+!GBpIsJ#+YjKjj#|hl?(XxnciFw7H}9|a25k%&0BfVmS9=FQN1IOg{oe!MjiNDg z9>7Chv#0Gqc^QPQT0mF3`y2jWr7ddVA3l|B?_Gj&E%2-6|I(HJeYE?c|DIz<)CmBu z@&?Epn(LZ8dmwDyyAAybobTes?+xl!p|StLVql5_l&ZBTZ^!`vR_t4sG^UWalqx4l zpGqLJC291(GDymDP~2y|_I)1FG4Nyt&hYoLxVYihnEN|*1wIoS=4lyx~Hyz)x$S#Vkz7we}@^jz%e<-IrwQj~4GQe2?NtY)3g z;601X`KD|}FYiVc<_F`y{lh0(uSE7n3^@J6mo=5NaE()3f!c{CA^yTrzcx5{BN9{s~d1*%jP0n#@0ZWm~9)2o`W6i4_+X%5fVUK;`Lkw;!Zsf#qds}dRHHTywDI^scG=fgLBw}ZS!i@x+yk3DB>%Z>#jSM2@LhJI^3n!WA@ z4SFeD5DuP3g-g)=ULa#U+!)8IfpK!jOT0dXuSozhEr^4OJ7Tim>eB7)f8*z4cTcMtU6jQf)0A!cYP~y%u6pEsg zB!kegBTp4FA5H0gFRYQc3z@`-oYNuZd(^hyo+x$IUdbrSK!+$*iiZ`Tqo0V^tmq&O zojlx(d+WAUHU`>GvwZ#f%9P%X4^}UFegS{X363LX6Yu6kwKB`nvz4wV!(wyKSx-T% zn>)S0PTOutF{D{71Gh71gou5N$`8G9#X z$;{~iFc<;SGeriy4-II=ji2apTV1`;H*?)oMJ{3N`!9L8;ad{05}j7r?iXry!hs#( zHW-c^-uT;n5a(`PQe-!xE58hK2v+DkC3Xd{7VgxCIEjZ!QHWZTPEy3DG-X()gAfq1 zS(>61bI2Ixf%JU+8i@O&V%y^Bdjpqr(mG#yq-87G2sYl`p-WbIGzZ!&1WGRg1T(Rp8-+R~@>N&Ka(R zNuFKw`g8J+I+tkd);84MJaRZVrT`){cIswLLe8U!H&O13fVcW@`Dj(xM<*^;)3Mc~ z-LyO=KAVJb_Nf3sq3X0^!!ER17_BBQE|JOf{a$!cwKv-T5CwuggbsX{VhXOqoW&Ed zn7Xq)P*b>^L98nCr@i($8*Q%%efBS=Ybkv^=9_Y?{yoU+xEn@$PC~o8^~;cT$^yy# z_?gJ3F_fH-U_}g7TSHRKGq>1Xu>kKWp3Tk==$61@QVz1(=cC-!VP=+x^1sO-5hmr7 zvw4did3rP1wvvmH?fV`oikdlxk>qkN?N4n7k3Nroq6n`yA1R6^dvWmM_ifd4&*^;e zS9e*^*HM$MOP)Rqw^uH5Z1k>kW>@-nrbICB=fImrim4a1oL_Qn@*?jN4E+yr>e#*xYAC|o*XIgS5xWJpYFbh%oo&-ZMt2ueTJ zXH)fjdrh!iA5(hTu+3eIa94%2B$z2Yj%@0*i|n$%=@po~^m)SO5gSY@Pz3ITYeQrM z+D#1$l)rNeQjv8WR>}wdLq^SyPdt+TTc9P19U@YE^AbY=bdz)qjK=iQ9nKE; z!s0I~m0(hEj^i&(gMI1D9r}hOh3i3F0_JoN&yMoN6=#b)pIPd0Milqbyzd>@bA_fu z-9p&**E(up1s9QehL%<4elJa*AN8rp(qb&OBsRo)V^ZR~;r9?+4>(E2`xv1ekj%?e zeou4*!I$>B6!yc^vP?D?o(^v?8da9)9mao@&)5;?C?94FI0ANCA8l++@Gc(Gp&<9{ zC%$+5FjnT=^ImjrSX>KY7|Z(=Cg~-q=?Unv5t1Q@++6ceS1kmgrTUHt$oz1ud91Zk zJX-mlk)sn~&J_+ePJW(DvT~hL@NMbKNUw9yaMuEi5-wTx+@4DB8Vs8V)7TZ|n zL$-u01zgf14sxi|F09mVa^U}mPe`x$M7yzViV~~aD)~i=OpMGS9Xpozj!I?rw#XzC zu_?{$DC#?9ZmA8feCVGAzTc_Tf3jTtGChnvM)#*imP-IV(O&9=NtKRs`rIXq|9qT6 zS668zXFc?dAvfj|CsCR^#}30c<#b?<8rgu)uYQVYZFKyo z%Z%lqsoJ21eLDzyGV+hQO$DM*IpTE#?`Xg)AgDT2?YoF){y7@& z(g7m^U%MIv;00!Fo;cC9*y0In zDDL7=qJ3uaYl2*~K$9zF=9T<>e1Ckn=nH0*2snDWAlNEqQ>0oPbP?X4FYu_H%KQjB zi3(ToDTgQJH`OtR-=Tc!WSD?{3b3SE?)|&>2U_I}UZ63nW5J7;dNDaxli^oRi2-v{ z4m#W|sw1*S?RcxhX{lc_rhW=+f)TU|(NTdg48 zgY2E~gt~*dji+?xcx^kjh64?#EdmcE^oogBwz2+_Y zsWlte>X)WUWC41}Il$1$-QQyQ1}IQz+ALEOW*}B8>q%OK?*|fG{WG9ay_J|>Tw3e? zHeNcV|3UKO0;5x!i4lY0SZ{T}lt;=?`X9b?4;@T$n5&c1iALK1-)_-t{{!N%S8kpe z{`_zSlBf)>y_pbm?uEn$eU+gOkW#!rT8$b9Qh>T{k|&US?V-4Nez&yBzT>?m5qq}C zK-sWizkm3Qe)nIDOi&cT|QP zR;9%`uF{1%-BT?7AF}=hp2`3JAIIf9GaGYiGN(D8|2tB_*}p>kM-GBZQY zL{!?GOPGWZHgoJW9ULMysfaQWLcM;M*X#ZH-u}1S|9M-xX3cfEc3scs^YOet?vML@ zuGx9pBtxxaau9-5k|Y?KU_lpaDAE`^8LJPIdMhqq!}sH;ay%GYW~#c zg+ABcToS35t_{|`D+}J9>{!(n-0xMt@u`(@iej_+z?UOwCV#V`36MAWe|us7CLdIn zZaHdV6NHgw1QiF}g#`7gXhK9UNX~#d_g^%?5w}FI2$bW@t-!9$`bH(`C3~vQKqCU_8@wRN5RnqB zqRX%7j9H;?5JMd-*^0*k;s^5XQ&kV$<3#$qU!Tx5`-kfhw|-sfj9k7!2s^fS+IE&_ z^)61X@aNByv*Q9SF>)E*8nwxZ7kvXZd$0W+DeO`(|4*=l@DEp&S-{rLW#2$g!7-#X z!Sc7c)|<6R@e?l}ruf040wwPpzXBqYSCyl!DI{QR-am$*=o_(B{3N9Q0_qn7PjGvt z1OK&xGWlC_Ic)+(V*vH_PU=f`0&U*e=Nz+iHn;PI=#@-?F=0R1k&)$G-+(=xK?4K* z0LsC=l|EW{f^_ig+Frrso{94b7xy>tiXT@xFvI2Z^c4E-WB5_lURF7XV%D+&Jm zcNjHy9}?!q7-E+RjDL@#AZV@#91^JY1Fpv{4xNXCcqWORkxM>fE1I6ME&9gFV3{yi)LXuveR-;P-@Fzy za$j`^07Eqna4Godal?S}(VKB)t7_!Re*Y6Qa;JtmMKO+&W&vLyg-@&06JUP2J<6CX z6(WYdypGCmi})3Ohc`I$jm4a$4`)w<1t#An#~0%HvR|N=-Mb>2|20E%RONPAJLPy< zh>&GB)lBx}DIBe^vB6{xaA~(^4iM9%KlohIa|C*rP)6pu?pp|$<9>gfnc_FPCG{S$ zmp^)Gh(9k9x5}q>hPory^!}RCa!#}5(9Yf_X%&!Q%FL++#&*DhsXi|+O9RkPgBtPX zi2|zip?f#A^$cMy$_-5ie$y5PmT-;!SxvnOCC397urLBL> zf9j$k%JGsD1@mUrYc&oQZse#UBf`NGf<}IFUQ@k zu!Z?aLHQr1v$mq@Hh~p9a7ieX z9F9BrKLiH;EeU0V_M7qGKBWdT1fZs_>wpH^VzBcxJ8;e=ygwD(utR!D%EB7EBoAP`XI4YkYGU_b#Y+O$I(Xy4td-z z+%W7MSsHMMm}*4=r(WWMof`EK3~AMl4$OFcW?1gOKW+E&=RaS6N^^0ETy(#}`XuoJ z8e!q5CvbsvKW`RRrGC;@vgx!|6d3+yH4=L}Fam%CGc@TuytzO>bL4h7@;+A?d;3U! zdOhuNx4^FGFTAZ!eg|UfrH@#N?`QvQO#<(qfcUh7Z(k)Q=#=1mS8|3kI6 z%^dM=7^K@{cZmval-|{4-#+Pw-O#@D0Ph2FmoS@GGnNps6E}O|CIOk{L!akdNWd(C zyLIU}U)-7UnqPa-77%j%+cb?R#Hp`)V-|4q+|>VR-R%u0PM&K3^xJjfT+kSlzN_0~ zw!%<8w)09OQ&KJ~{-ytW+Ae%JK4mLD6+on~G}XI(Y3`~K+G4>=Op*ZWi-nJev?PJj zI!^a)%!!*ZCQhn`4XLzUWqh9u)aRS7aXfuRU~T-$^G*Pwq>olE~cAFslmH#+-vr8qg=?og>R&$1PI zKiT2?t<^Z1v3$yk$bI^tbkJ)z*@Gvs+cbkcxV?GT<_iSyB1KBA*EyY092)!@>HoU{ zG7~Ft8HeloP)9x&v_fCkm$Iu3m!(bz@aY72w7dC-XV~A;zhv3IEq8|VX&Lw;{|_`i ze){MC`+h6v>esuQFuzp+lNCR)E%1r-?{Y^DJ<|DJtI}n}{Y?h+l7YapXcvgkl7u+YUGfjL>SX8OFPvX&kE$^4 zso_FRB*rv2=Ac*pyEWGTaP8YI_2K|n;$FM1vv;BQ?z(@t<};yw9`Q6l4@;P!36H>m z5dl#}&2DiB(>aAlxNx=3Pqz1Hq5iC0U}%g@>+PJ|%Gm<+S-An1)ziQ=M+bUW8jvFh z$WB!BFdy7UTtdV~v|7JXen+9)hc6tVdSmO6U-(H##f%&yeg?VK@D#Wsd$xmAch_2l zgBy9US{OM+Bor4<3tVu_bZ}Nf^`k2tNS1@IqFrZ`L4D}v9>o}^9IO+In(pnIgQNA{ z9dt3WCwC4|$WNRID}VF>N64(%qKO!Darh1*(88V$ib5F`bb~9X6hz5K$nrHlLThZ( zVL;a!8DfH}>wgao`^>_x! z(bEL4RwQN-7!YUa^3>)WD@CO1=u-zb*<7~(nZRJx1>9*2)grTZ!*Iw>%ZNw^)cdQi ze^}b$`e~U~Y91`z#$RnF7(w*YIJ6^YA0e!ZyOkLwGLW45l7l{WIdEyP!pAY)+t$9q zPE#Vp+=Ql{#!9gNhs)(3u9oe;JhY4FTkY(uW2`9(T5-}*K`9I25y?dsK?ephe;5gx zHFcV0WL(852xNjazaA5K_=&iR=foH&Mk}G=%k8&(OoX&IYz{9$d&dCO)dN7_19*WU z8uETu0N?jTEPx%<3dsprcU1DSX;FdMzcUCNt&rDXJYnM!v*6{?RCifgX-HXej-Vo% zoQ{w^484*t#q4|Q(zAWykNxb=8upc{(E7qWgK&kAf*2R#i?F)^q4%EW#w@INB|TR) ztSd74lttYAH7jJtXcm>9Sj5vdi=W?LmkHunZA)eNiT5x8lXta5+?nL9Gc=uvwqD;W z*Kk+aoc7xZ_eRAtypOF!v4@Ww;3g-Kn zokPHif(%%)hHUO;^2_R$T<4`qsYHZ^b2N(+bVsGga^&)6i_h&|YmuO36_ES3(%-j# zF>qbmkRW5!ojT{+cBgJn+|FW7tMV>kVosiIIM^M9KlbGb&+HA~ODjYT2@!tQ`!i|) zgl%{I!}TGYx$-3=0Zo!dv~ylvdYQZlp;Iu7tw0LBbjUd3J@vKy`y21RY-#&lIL3P~ zxKMHADE=^6@1!!C`5ukU(|b>A_JG8VLz(*$D9U4m~->7|av>FiZn1dRhFO^l>WQT#IPQ$BG z1LL7c2zF6`uzcc{khryvc9!*;xfHW3LGBOuAFlhGgbfQp{n63on@$F7=>i#Qv(m(D z;QdKKw)CR2XxLDk#QpU9h0&!HuI`&{HrM2m1c-*=rN_|>Rd!-Phd6@10(xQG-;~Eu z)ic8SvVK?DvCjd62Kpba1u5KBT7c$|(2&BqMbo#u7$r9u#P{@dX+jWoL6rPLqwjXa zyT^3S)SaD|x$lx>793JsAtTCil5_Bwhn1jd{X-Y(n#`>`u9ex#_}Rq4NEcsh z;!kjUe(;)~D~q3^Wmw1@a9p5cHk(~C25 z*CFvGE>#qTO&Wk|iJnyy1a^kFV@m&rYpi?Me$`JfA!lees(`bxRuv8aWRIu?YI+Tf z2p1Gw{uaL+&NpD|#KO34PloTB@1?kZxH7H2J-M<^o%XQT&d#1AN*d(kG|K!$o{oJP zuJ9mWYJjSwauRy3<*M2jsSx$IJjYm1(%2gcSNg{SfCHxwC~7@%0Ji3j{Ps0|x7s@K z6{{tebS1jvs!dg#kC&;8(v=I-+8iY8_3aA%d=&G&TG-{Q%S4^#*P%F@(gTD#t3aWV zS1Cb=rrgWpS=D^wcNTZg{Tcj+3-qsWrd+CjQ<;+Ks>>t!$566bo{}x~JVK^@&QYed=rlQ~-hUwY1V1^ERgwZ1mwg7q8rj@p=nLEAPCZ{KpdTIWf?uef7=#cM8TRJRnCz~=%ixm-`|T&beJ4Sm`HGsm=ZS<<@6+&vi**KE2yp)e&?j^tRCGt_Av#%ZaixwoRjd0|J(~fzY=Eg*}ElhjtXLJ>Gb}f%Du<q40*3wtFcsNlH*)+$e=Kz3PujTM4@P;gMcH>V>xy`Yr!g`4u}qho z@uKZo{U6bJ?ztu>)=B)_Gv3;Fms#c4Ij^_%%2&ZuXrm}#Uybuk;_l4B4e;tPjAO+j z0#u}LLuAu(Y!5o2NI&iFPoV=e%;Wx={9nF*Z+l&I^B=C5rX(Br-&R0RlK;EAzsFt? z=cUsLKbVCJ zp@vtR%j|}Vse*g3&~lR-Tf;)CydL&mEM+afhl>jk4HmZpnzCFG07Jmaf9me=jf=sv zvxH-20jIAF>bv99!Dw424bwYHN}DOWFCeRlKXGTPI0N7|;v6jsIU#qWleYPKOx66f2FHVf5>7V5&uB(ihJQInTsM6Z%c9+@J4Uw_$ zQP)gX<8=0Y>DUUYS%ZGD`ZnG3&D?B$BeXWJS|FdsYaT?o87IcidZP2ADFnL#K7}y} zRD7pX_H%`ke>F~P!RcQb#ucYrr$AaF386G|25_8n6Pbn%+>x(MHY?3&fA>Eck;&@p zi|g-j*z4~Syg*J~_uRYQP#YK@7AP5EpqE+E8Xy;QNOY)LD?>9+gP5pbo+Yc-`>yY+ zpk+^LMgyPEnBx!!YuTSRCzSww)ye7pEA$s|!rp6u7q&I8|M=^D`vJQx;s}6{@4+?w zI#EC6k|mM9^Jq-VcKWnrJin|y3V!dk2VqHunaKXdKGz-j&U&?PxycuKodUS z!rm@Kb&~ApnGuzFHX~Z50b5X6AwunjIk6^By!K{J=Fz>*2{b~m(tO8XAP`MC0%Z5O z{tva-53Kb5`vCCl%~zy$o-GSxJQCO z?X8flc@tn<0{xq>cm5^Qj7VAlKmcy{OXYQ!D1;iNiA)W77W_X>MCSkaHm(jp&tNT6 zh|f`Q17Nugybs_R|9j9gG<$h=aYs~PgkeD~5j@xuWJ0Zr(w0oO@!x*_WjR^8k0Zz5 z)dpXV*V}L;IEPNsLcC;cgUIN35S@DP{<2++4lJcEJ?&xMVSIwUK6DfsV(U=r#28J# znvTjBR3h;P){_T=bB`4L%D1U+9#-A|lgRUy_Scd9bw5CR= zw^;Mp+KL}NYVrv%P~?T*5S-^)t%mrCE6O;3y1}*2$p-s>1Z2o5Q9 zm4K;BR=7fl#l}7^UY`%CPLx;w-IKo6ME+#3$KWwZJS^%J11B(J!wmj}nc5yUcMR`# zj>^1$>QgJooUh-GZAIzdp!vzL8}}g*_ht2Y3;|9s`n-QpsoW_w02Q+Va=cf>ysu|IN@$;5?x9vlQEMi*C7%@g<*ULZgAB6-vrB*XZ`8%Jv zoVnan^+)E9?&5Xl?K5xw7Ow+80zWwYeZGBSIhK?oxJ84woB!jGNI? zcP0QuUh2-h_BXjMXMWyhLkFy3k?H0DqfQW zmr1+wiiIcir`o*~Ii@oMdLgKpU(&a3h1rB#SnN@gjuz2)tdV?yWuun&}bD$_G0=H3>3bFbS1B}Vsc!6pG53VVHzAD02& z>zB=7AvJP~n#;OJU2@vNebSLH%)NyDujT+^13!&CLmC1=#jJH@x5Rv{Q(pt@yN6m_ ztAsQ&SVb&EDtwmT;-*)+kEEIS#ag3px)a?g>v_B&wH`7RRlr;s1-IBU->fGoPCb&l zvH)dV&r@7?AN>uA+GG0Y_7*SfQMyzYawtjZ+hTv@Zv4dq>+MRQm*oIHp9^?^6N0xS zZ7YX~u3O95Bm&QWc^k(G+)3%G3*}@K@O!}jiuKqeLQd?x0)C5cOX^-D3ddS=rAg3C#buGgKwO5^@K~Lcosq$U=!Q)ZM)U*|jS?%C+VOG%sI)S5y6T zOtN=PzvlAkNK`eLRQ1(;vmgDBO_l@j(9Z2KjjkuZdLwg9#&Yy8^e3=C`_DJJD=N`8 zw-8-C;{xdp8VKTNNpOCjF`Da5&7&Kvh&y71{Tq@Snp4BN6~_`js-51l9Z%Ll;4lpk zzayT@c}mft#7zFT)VYaJhf;^ZKx-Rxs+fb*pda;;;{je3iF}0N;fvRWx=GuHp$~vR z-P5zN!mdhV8z2+$J{Wp8CDVz|8anh$?ypdN+LruzCxb{dm`5U(_E%s&$$s8`lxKTx zw&Cc*pLe);dxHPu{nuqO5nTrW=+uWh+X_1w@-roH_$q+4TXD~Z0$jN*tD&Al`r1ky z?GIMN&ZCxTEO)Y;2f$(u$;z<8tOMuc%AxE4Vz(n5bLdll+v=q-TDhtEJPssVK^L?_ z<=#Xuzwy!#T_xdJ_;gGto!1oJYC2?F5iT&sJAF#ikFozvu;O#il|T!UrhN+{B?XjiSceKJjQAQF@fG!+A@h1xF zS<;kxn{3-9DaV)Gz^^u<6L{BuQsC@_YnL*4<4^*KdRyYg$^=1F*<<(otD$uh`zVq7 z1l1tF;lulkjl46Mm2J39Li@oVLMDA@QOJJy3xcUkhuG_(-JHIC^5f0q2ff9VoZiXN zhr#C4@0=$xDf-EP2>v)oOw1Z3O2~DCxv4uM@|98L())xxH|aXa+~mQ&8x!rGeJ0U8 zPnQIg6QSH)zE5aN{C6`Pj2O=#)f-M>iMDr`zg;n6nd`o~35u`A4nmSh&i9GDG*d)^H5iH`~(vk>GRJ%Ru6g zk$A5?4!iO7E?ZMZ1>#x6;DJG9{NzY&=tNFHF>DDF9_{QKYyB6vzbi{10J zES;e{(E3VLeA#^B+Rinzi@=44qYUs{;{ZqirFM5ngQR)?4mbLw4fu8 zU$uk+*VPjzt>?pIBXjUiAIDtV?;733N)`tS7)!+@FGK(~Plt4UXh2}gbLZF=S2$trKHhPaOROi8 zU&M0Xc(2!T@*hhzEpuJ?T0e#5%YLY6$xwq4DvH%GLKMf*vmY6MX29ztI1H<7MZxDf0EnpnWSX>q8*qI`UWnk|RH=J`k7 z?$^)}b3#o3DR??y-K(kYCl&Y%40G^G?>JKD@nOT`PkPMI}e5oDivd33H z`A(LG^+d<<;`@CL_#cu;AFb=VKZQ9EohVKrM`c_C)i8~HBGfn;|K7otvH>kVUSOO& zaPp3$`^Q*V{%TOY3b+9(3J*XU@47c?u9_Ga){m;4_~LtUmOHvC-Gj9$=%&mZT(pDQU#{VtcP#TWY5|z7GvaD5Tzo_yDKTFj ztUH|mTZNw(v?I8tn_k4!2_iphG->r;JRq}_zeq%B8{Cytk+4aZ@^1_Oq)&kvQ~6?+ zPXvgIn)S=rVl*^hk3Bs`SRH+vh!{Nt9%V$xmUa89I`2eSjx5r;(8kBaGTM_2zpu5l z9N$?DjUIX0ne{@wq%BWPl^;3c8B5!wHGh7ft#2`hhuvUg11FmoW47>!w?@!o-8$?* z%u!?gtgDhzm|66bS&k_t?O7J$j^tP(TZ1Owp6iWF>$d3fjP1kJMslREF|&jSC4IEYHzl9J4+seAO>S>m{?L={p@Z#b2S~ zju=3FGr>Y0_-4qU8MZO?uB}F{BGigiI0N~~k)O{ubeA;bO|CJSb1F4?@t0VW_Fm=S zW2{QS{A2Dm^Koiqi0(gD$(&YlZ z>l+3J%E_Sc>@0~<&Hgvp`UeAT%lK<&?@tAes+mL=!D5*orP}x#2r`=Z5pRiDW`USf z!i}tZ#Ept1j*n)HUSdF4M*e;i(fcgIQRA!*X=3UGA&vxZP|(s*i4X9bXxlFsxsb4VI6Naa`Z;R@P4T18z|+Qb^(= z&T)XJTH)eG>iwsvg{wM_(T;R_VwSg{CDiT>4V(qm58tw%!1_%f1zaqYtRM5>be^_4 z^TbZ;Yzd^%YV7b{Aejnv%fa7iB45o;$^5`f2PSL57t}8nDL3-aqZ1ET!|tBM`FzMz zPn|9F4Zx+*ez9ke+7`lqx05~gWUs0H zmmq5Xo$}(VFDojw6G1Z~1}^z-Plv-+0hV+^OXP(zI9(6kF#Wf68ddsLdC zp}}hYrcPRKZ54*i47GbNnjX-MUq(D6E+}7K7?QpU61k(QQoF-3uWGn>43;2;VxKk( zw(%Li@e~P}xzOdHjO)TWjAp4|D8dwv$ckVk*cj?Gbt>aBvasq@(1yJ@Z=jgwa^IjVD-&UpZ|1)bR@d3F}pw7za z`2S|}F7$lG(Ia_A(XEP+yMuHJQjzGF90yj@4yUlv(U1c-xu$aVGR0fC!CJ zGUnj%AU;ci@UVb0T+N6Ak!qX|H0>_y@0)}{-QYybwEs{!z$U)k1vuc{!4 zkXTOUmHQW1@RSR&RR;iu6T|y19d{bWp18?Xuhyu>_3z~WUx8^fw}UEt%7;(g$zU@@ zdCWP#Jyjj+IY{Dq5YAr2d*R;y$&LcG7^$aziih@#OPzbooRr;NY7`B1D%IrlM*6=W z4eZt5?9~!tvs#fDu-`uaYyNIOP4qBCd=}h`@tq^e=K2oYtgs3S8rsV(h~$Zd5yYtE z>fieabK)m%T;H*pynYUNikYQal2jK7Hw|UPJ@<+lwOqs?`PBoH?$pX6+Bcwp*O>Vc zV6Ffx7T_U?Eg|300kzthX2|E|EO>M?fYZs>1lSR3@8hhGQPQ5Lrkwr+bi{6L(E^p1 zgU6N8vUp_~L*i???QkiagiC!5V_i&v?&YQ?x(+2{|O@TWKi zACFjeg%RMXav{QRB?tJIc;t&KO#y~y)@bhIO1tLcC)ivcM=^EHDxq`*wFctcCB|+ z$CKxN*Id^{KqpXv_O$prcUKH!p|3P?J8l3HGZ^2h?+{xNxkm*kO8>0?g}8&LiY8YRro>)` z2y6TvuWdznngzuS+@qB2h^K$tvS8mY0*p`+(|uqjmvMf&Y)ha^zsksH8ZI7B=A7z! z>-weL$YWv0lHDwIrNY#b7)Gljh1Nfkpku@HbCz{@LBj2+l1`a3yoea4BOmtMM82L?NZiMgU;3n-! zKa|CE-1$k7BEMetdJY*L-! z{aI?G3aiW{5M_+uhYSH3mx!735?_JatV*4im3w3|BZx$c1I_M7<2}RCN8OKnx+X!b z+xr8hwE3D1RRZI@0NoPC^7)4TX8r0;<4}uFgXs8*cjd|7_@A6o054n(TC>uB*rI>c z^vjm&L5rr}I`G~%!Dw#^JYxx3uva}ZBj*#Y`6VBYUxK(nN~RE`w0N<27T0O~w7>(I zt6~`@BAofFb83DXK5qaXN713vYO@$oKccXU!4*{@BBx~}gCI!ZZ;FTt%pQ1J`_OXN zKDDpfY~C(gRy|P|-p$h{I6V{wZ}0~^w4>_Hg5L7YlA5ragj?cEeQg4%X|w@2eH|6bJjpGnIExn*)(R>w>HI0<;>&FPofrsR$&(FSOA893#WJ^)4#&;Y_IFa3uWlA60rtX38NHueD z-9*nQFz%F=A8oW!z74H-*wbdeib-r122&=waM1}J&ukFw@(z9YV$1YxsZXQb0%uDX zv6R0=XZmsyFV;6^0{We;)RWjB62;MFn0iNI9gnvRKxGYIwjf81V8T%)n)dbia8ODL zEAsCJW`J}$q3!kYRJUkg09I<_UP)Q)_SGv2sJ-L=j;)*g;rS&7gl{}KI!XD)L;hry zbJd_fH#JJ+xUf=K1BWl>*XEOSZl{I^#@=<7lb6jKkmSf-Ji_pe#v1?SUUo$kzB$M& zIgPRVt$yX0j*}-MqQd5sHg3d6&9F-FfLZjh9NZ%)-~I@P+>cFgYMiq8BMK5BV4Nn4 zxPOB)D%k!#Z59tZW{NTy_AlMC04i%Q>HL4&|`X$T;=0<^hhvN#k@3E~b zTvU=s{zF_xl0`D1DMao3z~VvwR_pY>kI>2_)=X0y+Vz_FH3T*Hs@gpU$%*+stIVWi z6&`^Aj1KUxPoE88Ki7);(VBdmJRVvtR#Ly5r%_=p@?|vPDk4WUIW*oF_n? zyK&Me<;*RvO8@PbF@~O z5PP$CH@$z}M9&;FEBYV|9+LX5Z(NnLBolqLRrw84K0{WCVXPz?LbVlc$PT=TQ*_;0 z?y9ljXFq19^f~R=V5{`} zX`z00(AJk)nhG(VZw$9GyytHQM?N>)J#O&N;lT@y#-Aa1*p$=A+Wpf zYYOD0knA730;_W9P+UKK$eJXd9F^;^+V6SG)CbddKf7G1L6KPl|9s4KBw!tdC(ypL zxAN3=65oXD;`^N+N2Yl!{{qT5nas!(=_2hSiOUy+&qzN>7sVQN5k4uoxY+u4(zHRg zX^~OgvU=ZB`hJ?5!mP(3RCO%ClL*Bk(~A4XkQ`jnxl93-`5c72cT2vba-N4ta!h0> zC!xImo8cs2TRZBxk&bG2QO5dB!S8|^aRzv)1Md<<0&dz;#S)3A6*0;o9 zx<&Y23in>uPMeYA%jTGk8Z6;g3^f+oZ%OfunI+)g(oTf9#JMaER}PNz(_QuN7v8_+ z5iEtZK?Wjqq?CVl$zkkJL6@f)G1i(F75pmBxAoj~+o-NG=U%j0{cTz$w$Cs^i224MZVw;20=|UfU>{`rOMmMUC z3wd=}zy%XCwRI7E&@L1~hji}@pZ{c5XiJ>+t}@KQ4Kj0F5Fziz#)0f9ytR`F{I!b; zIPE~xVWYV)k`Zv=tfF<)8K!XD<@;~8)O}2K51!x!ki~>w65?HFu&9NbN11mPJ$C3m z5EpYPKLs7R<1kMi?}DY?U-!PAk#jkd09>>LF>NuEsgy0}Y?!eTGZu8+UezmPR)-9$^*S9S8pjbv*uESclMO$Oo3mB^X? z?}CQu8ZwG9igL++($B~@lsK{8{e&i+`y`d*pCB*?J`ULwG;mdcrmRYx{1N*zO9Nn* zl*(L5WGf32vqq!H!I(8!aZPq4AT6hQ#SjCT6=kiS5SB(a(p89mpe}dBW1opHhZ!%}v?#Xo;_0gRWj>VndwC(J zJcfp~2%lf-m|PNnTz`W**A7RQ`?7k(Y1C!BH~up3Uo{^wW&VXOM~Z>DG4W)NU~OH7 z(cJrp;5XXBX{9D?^y|xwS?nn!=NG_;t`UXNOq~|Z3=p*5ISit z*@d`MXV?3Ye)JT)3m$VD676(ra9#5B!8nErX;ivT7@sa7A+zpi2oRgZ)U~q;-;@j+ z9>cYOdAyl*9k6R+RzU`DVMzw>zf|9C`aNpciKs@oUXF}05>ApI{FIQE`trzK74G4Dv{3)D`km0rmATyr!-H*R*03t<}e#4b$Dhn|x&q+NCz+;e?m| zis5A@ZxVl{bO8jJlvS%6fC)jmUsKp+JsLw1f!0CQ!APXD_nK5@ukX`P(M~^R_W_xE zO{ys`(}n3mVIW1L{Xe*YR2;MKz{M)wmNaB$c#b9!EOIR_WRn$uHF8NrR|JhAa72=IL|neleAAqEP*fe9@s_laLRFk zMu{|Oi{;#pKBqlXJYVRv>u{!UjJJ0s=0O+c{4PDnZ*5XUh~bXK=`vqleA~-;)_oo| zgMrc?!Gc!sOZX)|4iwxwm{uXwAoLKbb)K5Cmf;7IOOH*(f@I(^xvgzCDmlk!kZGiU zSe%p(33K8`0pGkiQo=TW%s6v ziK(D52-N^_3Z69amBdNCQq*>GiQPc*i%)KQF~Zoggo5$Qq-2UkDZC_5v8EXC1e33mtH`Zk3x85M)qN8EhqcPOK|4{{!F<0WFx1iXk#((a?HY}B zF?rcLj`T7X-@8F$#n9B$Wb(ib0&~|HNO*5PZak%?@YM_ug|c?}TA^JeKrafBE}L`3 ziO@F#?RL+-;Ktpz(r??#n*(ly*CcyUFcIJe$LNEhglD9JF)^0Y6+%DuIAr;x+X13M z#t(=e9DUX!5*lr3AEqoY%e{83Z$`wL`6jskJ6?p zy@XBl_$W5YJ*ejwG6UVWIK(S;W1l}xjMX_9NH#RsZ*C>DYciX>rNEcfov63#+}p|O z>{|kNX<0tCBB&T=96f4CA`}{$4Z0u&t!)VEWMzoCdKxpL-W@3G4?1p<<14ZCwq(D4 z?uMG6G$0U^|Cz`t1G zDVkt>uA9M$?uQ&q~TqD_$W<*3^1a0_Zib*tC{hvM!e%Gt9rM03x8V-+IHV8L0Hx zBOb+f3CHYz^BAZlI4AH;1|_p?lL-1H24(=2=Fv@P*`{fF2AneR`i7h8MYrjDMdDTb zY5qq-H_m@`A#hw>WYq5I0RWygFKE5@9oF*vT|tXmN0;;uE-drcq_y=Wsg52V@m(8z zlCt$o->yzYN+1&2qr@@b=FO5%rZAd7!C87$+%*}e>%7`!en`07D3FsNyA}7-iu;5`i1trIT!dnEhD0#P zXa?LBXPTutdCntGR}ZIyPd%h1Ywpi;7bbI&`=_qo!VpsHmv)hF%`5>p#wX z8KeCuCsRkqqwmPOYS53v_MXkAgI5E$VP%qG3W*d*hw;xx8U2_dI}u)KZyVKQ4UK3= zZRwhzdKbnty6*{Z&1vOB;VR(Xovo-wrK2~O@XJ?ctvs%AfKm0`aUDoARW}%H3!34r zZBb45rJkUs&<>LdA{(vn4_~h8$Hy#1c#m3ZE~JucnBPjY0%tL&CDyed&xDU)@%L_- z;z~9##=#=nGL0Cq(Peyhx{wc0h_wsZP^LOpV4BNt?j2ZTDQFE|CU)Weh;SXS#1y!3*p0^r$GgsIDl3Tu zFa^G!vwd+18%G`S^X-7wPqY)O@Tb5Th2P4CAPHD_*MXo$)l|a6-u0mib+&q&DMJAc z>J1}VQP4)X8B|guT470K;u=Q_Drp@$ijU7r4EWRl9-g*7jJGA%P04n-^STy7uUZHX zY=uT_pqzwfgDQjO0p4gMp0+NZB883*6yQ&h;TV>@r_50%Pmnv{I?v;h_@CnWT&zbQ zxC-_Z3e3W&mKVsnyx*?pTc&RVuB8 zw=~1pBkH5LM==gK8(9JB!Q-lr&k1-o1vK(3)P#T}!9!)0*0VN2Q|PgY;TFTu$Eym6 zn3KqXcQOTbF?KgeBzJZHZI)yS&4NAEHyugxZ;~N`VrX+KJSTb+uedkfNjTXMY?!JJ zTes%k<2xD=&~Ab$qGD%3!kgK5Bvai4`5x87aF!w^kEI^+%`f)eEh$kq^(bK6s!guJ*c}E`vB6yy zPz$#i`?UIanR_0CK{h5KmG<>=fxvRf7Gi1_F^Q?%qqG2zBX1;tjVNHRPz|yug6RF1 za)tLpnA&O{{f5BBap?CP76 zZReRy&ZIUWx#Iz?y#2>z0xtlwo*S44<@zG<)xZ$3~KUxCWEt6T$SL|;p3F85vuL;v&sxlEII)(LLi1ylSs zym=9<+}HF0?ok_sL*0gb#me8zWbn*yv)1)vS?_w^*J`|rQ~1o!%mAqqXXM~bA5uc` zB7HygkI7a^D$=FTo=B?}SqNO=Bj1kGk0%`T1;wF6Z&bJFxd4^?GmbBi^;qr9DISJ= z`iK zrxmEr?9^%!_DdIRpkbjD5`$zowD<4-uMN7U8+$a=s-(_g#Imkp%kBqcDBIQ_LPZ5K z=hsba#KUrELDmyrCF&F_y$Yw4qy%P^l%9vlfJOOLDGf%lw-6%Oy9Zij7oy@!Aq zSbePjl#r($7WW&*`q1FTRzaFYCzwVjvW2z%WVa;cl*jjt!LeF#EJG*#C(VeDYGL6o zmSg8HxX2|mAi<#H9_0c;>=og-jsr0RJMed%(%Uj+{a28nYD{0Vh`}?FlZdygC^GDh z3vu1}ut2^eC|9LrYWX|Wt*f$@bRMzao+oCJ_ywAv177yf*(yih14m@Y1jTC0L|jEb zj-M}a*#bt7tzm{zpl#}So|>_YptuSuGf)E zMDh$+=qC`4a1a#cuh5$=jQhnxq3;}yng9rVs^ESQZ&po)L6seSD#R$paXCc1;iZu6 zvrAPzp4IAm98>BJe8b^G&??*}FY3g%)xZ_l1de}C-F+|kpizGLzl%*`Rszr2;R2fAr$t z!aFrXLi%+xz`h`6$;qIzszNdbT~97qfW}!ym#nj>#$Izun9B+aZfwI&xQmSq8g?gs zf^~k9|20}y-hvIN|HFqJhDQEAzAiX4H0t0nDIVG>*6AaeK^z}(9{nw`ZUlzj-zAM~ zE2%kl=k)FlWHWyaWG#JB27nX;_~2AQ@1sg-NTFH~*1KlNEHwTe{{#N+oF+W-K;NnM zcFd7F^O5@Q-#Q{=V7AU~y)Qc+urG4!PzyOAcHlX#K%pWI7tSy~s&U(jEgq6846RI5 z`Ix;qaHHZ}i`i(8ja}vzWa50pdFG7rqR@mJ+^&j<7gy}Hk=ljgJWmQnpilZ&wt5hq zVD7vcQcq<2$8T&i9!f%_LKkUf3a$vfDRs(i@B9uKQ8EQd9?kO9DaXVTNluH9b&2Y! z16@KCX`;5>4}BY*%wrPku{_lTPf}m}qYWlmk6T1gcm`g{$2t2l2J2npPUfv3}N zjRKXa0UGC;Ro@^#7(#-pIkDcOnq-#4w>|FpzYVU?xjJ>uGyk2j3sB+FaVqWqBkL`I z+G^sy(E!1M1$UR?1lLj=3Y6jwg&@V6f1)1Z>{0NWUMl`N zS)9uhv?Bvy zA?tU1_cCJk)#ng<&R9HSS(oy(uC73=R3g7PD7J=MCS&aORJ5e_aan3@*3)!9CO7fG zQAAz0vZ2=teByILPRJ>TvA_*$UEyF_c_(5!`91r~3ob++c??$8N{2t*1GTONSDCGA zf-PgLI}z2y95iTuuIvQbbwWqcO=_EFBVy64Lc6L}m*r0rG`)WGS_|fZYrbU+IWdOguH7{Uscxnh-ur zx3cV}&-t1NTk$OW4NHtkpGKzpT#D`&*MCii2X{UY7)T}(g(ln@KHl{qkQ9{FlJl_bN`oUZnG|K-?Y0(*ZoTH~onwc$1qN?N< zvw&vupp%kSMJGK<`@P#Lm!NYh=rcM#Ce^a)Wqj4*>&zRH4>ZTAA=J~Vf->x%Tb;?I z3sv(bv`8Vleq)V47C_?r;auNhTi)3zEQqK+#pxqS(EZY~q(VzXMQzP_8nQCK;kiX8 ztdO9=Yg6+DlOMN{&H8d9L*sZ$hGN@mxVlg0eozu$Ux ze;_u<_G?gWAb#1048ebkG@7^a-|=VY2MCVzG|FU^amDn`qPOS;pgLxrkL1%!JdEAsvn8PCM2GwCO7Io zvBj)RHD_D|JyGp~xpFR&g^<3Xs!OW_*V8-QQ}oKXX)A?TnZQ_2!;}mifOKI5{*f_G zTCVhlwTDy-RT8r-MN0bfi>I2%x^UG{?%jwqev3jfT?Gy^Wnp zh(-PL82taH9TQMrAUJcGpzXKGr!d=+&^lZ+Mss-kGGl35EN_C6X^WoxDMtkjU6;a! zGVK$s0QnhLwiF8`RtyzMMg1hPHa*->5?{R?%(G$Z6;`1@EfU82e5%a8Pi~JCKd&!()or;G<$6E^h*sh!<8lR<#MdMBq zkG!8;pz_~BRSUf}_fPof_r)5yz%UL%GczMvt<|Kh{Ew|aI(T#}=`nw({~^xIbZBXK+w!v9aKyrVQfxWq1cCD5LG&Qvs3O)5bJxbO5F#A8Z z7FrU#3Vqt>-Igk}a)|2y0abG1UNxf~7o+F9hf#H$X0cutlPyM{`=4k|t<#(+f#1c& z(&&>YK{C6V#4mTmJwo;Z9D0_5$@D|QUS&`D-H=0VwvJN*#}_XD0SNzb9W89`FkQ1+ z%9ChxbGBPS)W0|v90d9V(2%A7Zvv~B+5aPLkb-57TEqU$X8$iH9*vA=1J+OkNVTRG zRXogXJiiG?KHctazd=(~{$<6HHef6shbEf(qQu5Z33AJ1rDmi<3o2G9!_c!Gr$pX2 zWKA6Iy^j&+;0(jHxMTb^jpx6@)px_$UZIh)Urql5kYA$dO0cg-k1Frgx5Kesp*=Lq zr}#S3Cp-ki#=m3_cxy3_kVJS8++iO4$nejo7fXXqR|_pe8!(sQ8DbEQ;D;{GQdjR35Og)mn! zC;om1A85a#ka>o&Tygn~{Qs9pr47@O|4R8s^q6=|vPQrB?+N@*;kC6=+~z%NF>Gw`2YB^*W^ZkA_QgM{Y2MVs67|5lO=cY@~lPEe+vCU5Y%2v z_}9O(aktUrD|8V5@8SPT$MOPXX|NytPaOUyXy`Lf4ta*b(ebaYVd?1bOgzTER|!Lh z49)F&SAfoUiSprMWXR~-uNt%t*#xH%_%yLq3t-8@N=GrElmP4!0ll^|`V6b&$vfN5Jw+xbMxL>fR$c+psL}7USCf=HXF; z=CW&bk5F3c+j`z8KEPooc<^APeaI8{%@GF$fQ724VlU%zc^zbvg;&-1nF&-(f8?SUc%1%)#cO@yZZ z1n*<^Yqap+owT#{Vl*!|#5lv(NY(fQTJk+J8IRw{M-Jb`H&H7}O~RW$GN{c&8Kwh zCe%d6J=mB^Q_8@Ka zJmjgfTozb)%Fx#&GSy-LGV^&qQPuDtV459O7!`G4cu*T%&C2Rf*)4~3*dx33X(&-c z_TnpNWt0>#G&N!<})& z=W!8^ijDLmK4>w2AEpKrNIBMvK?(60NK(UM-Pi{zFxPTv47Da5r6-030VN`2|uN z%~-d)Oh7F>Rugbq66iu&BRl86!N z%HD`&Zsi@nk0=bU!j-=@ut?Rqe({*qW%DVb5dA}0l{2ApK-;^AD?;#FwZDg+_g}qG zFJGO%yG=j0f4+nfK6fEkf}4PNMt&l}af6qZ5TGtI#Upep$^Lh9hA(JMJbd@pllq7r zb&b$>=dUZnpSzm&sYNn?Xtd0lHUrQ9Q=SI{E&Ov zi&pi7!(U=0|IV3MixM8b!+@7GFOpI)jA*>z3t&DM*NFHG-_&c27}k^Cwz!Xe$2)fo zzzKfATvzN-ym_C*Lv29Kkv66Z=bq0zijwWOap|LnbYbqqpV!d)NwBdfU&@FS_xzlU7 zKDm!2I%5Gb{?`wwc_K0!Q>LH2y|>a_76(yO)nt(p+MCHxBqhxT>nxKd0rqo=)$O3% zTa%3dp0|2k_f%kg4hd2GsM=dHxAo#Ok?d$p-Q!$GQykY2t53ZkaDE$+p{3%28J9U% z&PYCi;i2h!rtA}1LjIpgh(z;3VgH_bIbpo&CHb9}KnY)IPPENSGiX$4OY4S|`EZx0 z4g2YnVRRkDl7Cj}Db9ByB%Z*=sghPQ%^FY^TObu_Rjc6zh`hP+=RkL$!U zAEa~k?+=-8Pl7chD2&`w@N38C$acjGY-Pd@v#`Sp4c0AM7hti$?d{wB~S4|lA>9-174d1UB-r7N~3$gyF zW;&D%cOO3@AxN1urt~D3G7M0a z*M`7p-l}0rIC^gdjK;=q0VI~>R^P$d%(Ab_p3?sZ=#8NMttvp^^zmXtZ_Ol21XGy! zZ#6;TM-YRiCi;S(un>AwA=;RN{!SBiCe-7mDdeG2Xvm5ggGb@D^^~ zsKCECJ+I{x_wv;*UcFW}>7}qbc}XzROw<8?NK>{Bwz2*SUIFl)`u!Ct+rMz^-ujD3 zyvc~U#bTzh$>TtD+}VP4$GRSpYR~8fV!zS=!aL7HtYz!cNbci~t^gAond!x!Sx z-*=*5jA{B`{^)^CmDPsGaZYXf#h;nC(R;D0Xv>m0k%sq9M@AC}8XL(Id zjRDG7$^v!IJF#p!lC2H?Zorc!^>*klUsSR#|=-u-;c^sraB;(XP z`!$BN%M##Q(^Zg&a<-fNctJY>nU@XmGLfwR_*XDd!qb(hMN!hPFbGDFnjcU?O1lMt7g{JLLE~+ zf<&~n^Cgqw3bS;|m=f5!@d*Se1xOcgf$g0))FQD;5M73r=L?>NZ&VwVBePHxE?hGW zy{Og`Iu>u%54{hZNaa^2G^FC2($`*;cuYcQ|6n84KT{S%!-LIFCl!i7t(Jhr{6UYp z>)+ijSe9*HPX-)cQ;t}>_i_ybn&n6Ots%fL?c`Es6#oYzef}5yOuAP#>S!zF;-DeeAn5}4I__Ogj7TF4wyN@%wo(%b}-29bN3U) zIN$Wlk%A>6H7{G_7oQl7%*wEqdrY_p?_!GOdksCEprm_Z4v~IYi!);=-uH$Ti_X}V z47w1noMK!<23ILv(9yRvtA}Xy-uALc|6CRL9#7S@q2uUPk_}I*no*9TyBnGze_fK* zj#MaQQ>*pe*lBFo_`8eHTZ_~m*R-1mM-G^msxp`(=ZwcyEV-4FV$Ex7amG=7a;qt= zn5{M-jCqBy`R4Fr8i$~G@b69wp1<=iPslZyI|mzA9?PfkH3qL2qDV7YU6i7`^e}MfyPWNZ5s11vz9C(lr4wNQI+|cC?_UV?*ISM_N zVbX|G@^U9#oJ~!J=TT4aC%}W3Gt{e8-Llo7qf>i{~Zc(s z&M-lzi!{F3M zIWgf>tq1O0GE?(e*xR2YqV&hE^`j`Pt_87=Vn!C;GVEeB3#XJpCqNK*_8%oNL%(cJ<=~Harg>NBuEkv98E_Gcc zHoZa=G3z!BR%tED^>`B<_M=!sY6wAXi^Zbk1!p!$3LC9wMgB=S+#NthRsrKG8qm5m z`_-s6;U<=ze7{~|gWZF&E|TnboBmv9W$AZDd;73Vs31}8lEJ{iR2eh$>UCYrfFvHm z)n$B2ZeUw0mg(mbQ19ukcepL^%wLV=qpSV62^n7)yRfp31BI^2OsF=7D*Ymnq=??$ z#<<{Tu@Qgb(<`9m>M&j=Y;@6%`mONoip*Dt^>DPpNPUF2UqVD_@zmwA8QGE1#hcbSIy$Nle;nW{C1M zq;?n^6B0FYj={XfFNH@hUGj3Eq`_Xd7zqXu#AtCx1y&fulAZ1rOnD-HSVuX?TLHe0 zI8GTM&0lqhe2jwe5yud6*`Dz*;M8M6N(Td;kfp*VE=AVE1Pfja;{;ijw|Z2~3$PKF zE~%aYWJoJtumg;nF!}D>SFnAmK9Z0&;gV+H4-XD@R$Hlk zHo?>k5va>i-EV%)*7QO}n`cjM5BDMhs<<>rxhXgT?}dT7L}`I~sUa1QWOd^{ zWxMZ>|3EMssajqmWV^fkmR@9^E$1I1+X1WaAc*v#h$&Cu z$RA}5!0PN@v+QqRqUmrt;jIVOYY`u|^{!Xj6kim2=mJI6_L zIwoLRyZ+4IWlNs&5Q3>~lU+G*M!-dF$$PjIc#u8u7{425*X~#hwOFl`Qw-VqG5|F* zpBZes92mefwP1n0X_p_-4rY<VAwe^nz z1=s)iw#`w(@p=&X5W2if8*@yJ6$eDELvAMo^LNVcN_+5&V{Bf)mmU3)I(sZ$b-XF8 zjR|twSG|e$`^wi$%|uZQ$O}?#VbWgqKW1hMgQ6P%9%YF4!HN-H(O4owRxPBP(DxeL zR%+KLdO4?SJIzOtTyc*H8!8yDb-zRCSbEW;HbX7Xq3-=+mt>yaxDZp@nqfaSNbsE6 z4oiTAun$JXz|W( z+^aMeg2KwO6}Vg=zYz^=UEi&B&UXy4Y{kP=*~utw#zTjVWqV*+7^RDE(mi-D0{6DF<~W_Ch&BIRRML$gw8)c&OQ-M%mGfNx~dOEG37YyDZZj!$jqCNr6z81Oz| z@!v^DpNSDJnA6KXp-rC!albGLq=*K;IuZrXQsc`qvwh$5nR}DUHo&9V;8f>^6J7B! z_M^=ruaFxBt%R)Ff{d`M!?J)i4&zfG^0w&+Y+-J{N@RQNcVY% zai7}Jryr9%u)-N>HXB!u@Ab%G9l98o{(OQYWod7hLtZl4=Nq{U?7}Sq^o4;2Qh1z; z8*IV07UGsE029aj(%QN%(1*L28LLb7o)51#Gp#7P8weG8%r@sA5BdAX$s5}&$*W$2d;!4O(iF5$)IMg|`3J4}vGt@Pb2tSFTfjTu+Dy?z| zG8j1uE22n=bt4%*%-Fhr+u(XGDPrs4uAXC0w$~vXDUQ1~!)yNYiPc!^YtrXRg5|R@ z9a03IzDZ99ryWbC2)B}o;%qQxmWscL@;Jz8NyOCq3)1qwf-s%UP~BkfC)syNtm{G@ ziXely6HeNrOngNJJ2vSwG|I|)3jEjjQ-mS7+omnb+s~?UzSLr!vrGIgh~xoqQ|0b* zvEFF1yyFH?>%#f3s0;+p>4rW8#YI+2$0|U|w00p`xF$4$5_xoiyXV}XbU5N0iqb{u z&>SSl>h^XqWBTMZff&P;#dDZzn@F_Nt7^dj3Tm%rKK2bgY>(`H7v7z!7GDAg)b3K; zm!PQzfd$@a;}?HK1802IGDi;c;>E87nZc?e_ArWoW|4hz`;Ase;wGtK-#SFp!OCio zPtBt3+$zo%RF^lZWzw58SS-axrs&iw2#&)UJ7{^6rzv>ND`hnS<{#2rvuj{)wB>1? zKPTCu!;AA4JP3BIGL~lIyvTKfY+rd5Ib~lU^RV@j*=TIEX4BkVyqXCXq1e_Aztg%Y z!H0Op6te?%4Cz?j5YrB?&|!vHn2g307pJaoe&`TCWkFu0xwRW!dx-f`YWJadzJ;WU zI|tqsB^fYMPGK^Z90fMJGu&m7iq8-ib#T4(GUP_qE8waP{855b=e6wTu0|1GO~iP4 z2!I8`EcNpgoC8*AGg8~kh?Xf&Eve*SlVVjBC>D>F1CyDZ zJY9AZRpl=YWIwS6?Idy0(!V{(+9kH3(ipu>D8?j8$N!+Sse*9`&7RtQRC5;pWJgcM zXxWZH#HO%v+sSbLJ=pln1dhqe<^&-mFny&NIhfBUGuc`Z=HZ!*jndJg&x2UaGnGHL z>i%tUT?aWf5y|6{9Gj&z}Iqz$F8=)S_Qjyq0@&QchI0CQ`+o6eyZ_z-z@OXTB^A^=O|2X8sJf&m3b~9@F4^UiD*~!o^h^cX=R&8&Z@%15*S>dylSv`yb(eu1w z_h9~4{7`)?|HA3TC8j0;D6;dU;)O(K32b*_;T@q2SN$Y8O3XAaFm#t~I1c2{zpA@W ze;NDiJK|YhU!^zJ;>iG>z?)yBLDEqwFGhQAZ|`&V7rEps$OPNHwrV`j~k9xN{@E%!l@RM z!)+*Ax=x2%!CZkR7`q{9%mF7p70bLf7H{As_x4Q_mEj?6i+1_NYt&W%*6OhJ;e37C zPQW7pUp#c2)=nGsXVBe?(!oBw>O(Tr8)C6vI1 ztX(yV;Tc?J+?${OyKgind$Elzjl#Ol6}~%3Q3%>=91|{+IlU}JRT`!K{54G+*&}?p z!030Sp-Hol&7mbrL!PYChOjD$d{qRwM^o!Gk6&I=*EzRBQfduZVBPowtf-{Vn~Lj(+x~TdE)rzyg-?9dxniE06Z1 zldm3<>iMvkdt~}-!<6g7Q-k9d#S!E5+{g|Ewd(IS0;!xkEKlvPz-R|aR^uF03|4R+ z#R*z2t|@~`D>YwEJ7U?QcvX`NY6%NE~uiY_Gsm_MaM6QuV zA|RJ!hTnvH>Um2c{N6yHnTAwUxuy4Hu9k5N8_V(M#R%WgxNr&h4~+B`&Hn%fdgpcp z4wus?cjM1|ednMSTx!bAvrKeiBnYzxa&MZ6{&9a+)(YydJ4IRU(G__T((T}d)%ck&I+Z>cudnHK` zba{efk0R&C{2E=T2br!`oY-YJshJrux?+e*C0iy3(zd4B$P=0hF_uAowekws>3+!e zK+2Y>QWxe7xx3B~3MOqms)Mb2N$RYCeYJot*ScVa^(vF#iE6E+k>Xbw zPO$WuhS0Jy&ngwa8yK`;!%TiJcL_A3Gv({Gz5%)zz?{$1Kz_e9wHA z(pDqAzE$G?ky;9NE|894vDhbuPqI>X&_J8VDi2TipEkUjG1)96q_aU8R$#S6^!PKG zMj(RTs5}q##c_P~l^W)qm<7u(c*XWkDiM@wZHQUs&RrXyse9f zlY-D3_)Ov!6JF;Ns#WgLiVGZBYbjPsZV+XWaf_VtOgdakP=9dIL?o~ZUyHhed<7|( zbVu^ueny!OR!;Ft^GYg#%?JLLT|D{3j!SUvg*P8}&7UtsAgm2!gudi9qbqIvJTlwMJ-w7%c~_9b2+SGe_>^p!!)=b=-GAG1U_0{Ddo@qy_qCL`yqedMkXLVk?|FIA1q}e?(cl!`Oq4-=3A=Qa2+-n6mRik==G1rTa8QkAJbDgn z?w^+A8nHLr{$`%W40iH$8;{=;jCpD&Sxsrml5FpQOWMw*CrM{aOCb!g1&O*jx32J( zgd(@!rqH$VGuQSGbPIV=m@}0*@JWYF$>Efw7qN|&`-B?nQvVnd(zEQ<>?Yt-q$xll zi*9-MY@eG?5=2Aq)}@k&_td?I6c=sE?8I|bNNVAnq`P&Q$d=?@16Cs*KlRpKZNRqfEuGXIs#;1NOft=RXtNq34jHbwwuW?xL;R6KebW~wCU@;0l;}# zU@Vny4@_aaR0ft*X*&i-E@K&T{eZyS6J0&M;a5}shy3o-e&HUxra0t0J~{$H&uOm7XGfv3ZFY0yr2HEWX;a5dhHBi5 zhiqMoa$BtGZAS#tRI&CE=dADNV$Qjpl2cH&FI+37@+*zC=c^~OABGeA6{ zUsV;)I(}E;ROk>7n=wJ;vdwhi(72_%_wTH_59^CC1w@&eJe$c|9?zaErk8K>Efv`OdUTNUj}QQ^QNld3u~sI;#_@^7r;Nx4Va zZNLN+T<|@9%4!+@jh0U|X*+!I#d*YOjTgzYNLK@wqbteO4WiQ&I_GGS+0Pmc+S^Wp zst4-CRZEHrrlx@c1$XR@>Tpchp&0k}f%!8yy<&YOF_Y&7hc$BtGg6l;A1`i0s!8NK z0X%X6*;0PUV&lk0yvu8}1&z@;tUfRSIk)MCjj3z5(AG+f%8;|9oRTCVh&-f{W;wM1 zS3sk?B=v#~Aa)@OM6+F47*-rB|)z??KEma=b5|63;i zU>yRlL!z|uO3~v z)U4U;XJLG`pMz61l`VRnnL1QxJ+Ed}GGH9SUs&%6^Z5cJ{7FJX%bgEr90{&eIleU^ zrUa8lzM8m@V$Hi=pMxX}n8-FeSZS_PS z$*|%P46a{oQR6+aAV=I)iy7*p+t}audHc94R1L_0yI*oDtLf4R(-09b0oaQVcI~<- zrW7~s)p9E<(jM+mCtP(!*g{OOOMb^gWq{pkrFL`*x+Z2h5o{!DcU)0(yTX2FAW=PT z9|C#(NB15ppCFmE^~F?Fk0~ie(-Ir5pr0K^WS>@Q^i^$sUy?0SsiD3n$^tL8K4vsH z4N%(Ia!c@%Q(Gn_H=9P}WJQ`fv+D8-o9yfvuZ=oeRdgx57EHk*(B+mP1#&q~IZ$fch_L;D^Ee2MiGSklc zl!vD53x*181DBskKEw}m*26CKlR8)lzQHp{u+BK!jlKebrNa_W<<|!Ex>xUi)_C^2dctXl$WQ034S-eyVqH`vPF%|u5Ry@4&&H{v~b zxiNz_)V&7Ti8)CVq<4U3xn!oe)jl0fr?dJGAkv0CZ1Mnu@AJLvvbL%ftM&%wt9;uS zo8CUrH=fe7t1gXO{i&dbw&!UV6<|0Y2%U}qMojWprQhZa*Wc-WI~1>6FN#bvplO_P zc&+T~*GS;T-tG*4H0;_UwVlK!s$;_d6wbMxd%}sv$}KF4W>r57ny{GmDP8Z)@^t?W zdg>@cYFS{yvc03S?he~mpXsNs4rGwlucXBi@hCQ&1~y3ga?7o%nQBeeoD-yvynaZX z&kp}8@~4>$5ob8ui(ug~QSNc&-$XY~8L~1oq86vLK7jz=s3t??5;v|y&3N}qi9b1; zVsret1@N+1^NTg9?JbR9A>@`<&D;nk73neJ%VvHEYf(6TVV^0=kV7aX>&TFxy{WKH zS8iU|>nlLJ(6(YV`hpSlUgaQk49_qmvY6W%<`QrF*-dN{at{16odQ}hnj>5Cx2W~` z>f$1X?PYGvhbN(4FyNzmp4 zq_>VW{{layj$*L(iks3=bwLFTGG+2Lbjc@bTNQMYdP}cP`MuMkrV)rP2nFuxcNqwa z6A>y6@*me8wRq07M}fC<{{s*;*W2m$=2F_`amVseni8Qxf0Nt1*=Qdx+NdrX%VxBLg2taH!gl)L! z0WNdSHLOL5tLmZvZn|v%Cr)ukt8r7K;Y*Rp`8lwA2hgfpJbXL)-jvbO!kSxus$^OO zk=$R97Aus`tHe|?IxuX=4Fr)au>E9Tk%5LtzVxycphk>x1aMI^0<>UW22G{5I_CYc*iyRQ*m?h(0OM~+- z0@6{GT+kCWU3Oy!ZHO6__BsBq?lzMWA-Nf9sS2P;s~K#c!DV1a;RT3O<4ls-OJQzw z?>>qaq;~1zKsjcb5W7EU8cpsyT{+RS1aFty`-5y^uoT)Dkaj7@f4HJ0eRw)9F0viWiP7M2{^Bstjw&A-J^z< z7(&{?R`+wn_Qr4oPmY6jRLo5-qDFYL+Z@Iv;t2TtL}IR$vAORpgv zOqq0@A3e4(P9Qh6ud(lN6WDHB$uUHDpC5MRY$O*V^2LG0uw;LhMRfS=ujN z8%s>k?Pc6Dh>$j9A%`4I)sc?*XK)HxF}w0#dI^^ov4jwbOdpd^O-%giX`6TVwCyW=d-%1_hV^dQT?KlBg5>Co*(p# z=C||uQhK5fAx0jI>efxM%SsP9iPK14LWl>E;I}gt4UDLar>sxEXv&s~Hvdg-h3#`4v06L<1tcSr|N{-Q6;DTbISmUanVXAhiw2_Z%Uf11)8T|D)!7>A_K zEsLzx$%zD8tiX-d#EqU{Q>>M-XC-&i9x2T>xkwMTuNC|YcG`HRKD?>(`5>DS?LdC| z+C!N~uf0f`NWSD%nvw4ey_IibR17-UOa;X;t-8HQ^T|PRV#%6@l1{U^mx433#qrpg zo--1Xb%EMk0h}X_-1VU&)C{SJKmuw$oXJ=_Q~=|y0uQ6wEnD6kS}YHu&^4;kuMvsIZn z@!juAy!}sjH4t)Je(5x+jpoY$3&2DnO{J;U4FpJFh6eQ39QL#~MaqNs^ixqz z8Exm`s~TLd#*yuqX=b+Y`JEg_9%Y+HI9>(mya|RJu#CgZYi0Zsn>6zL;4kME4((-R=Z?=Nk4BH2<5$0 zT?iY1MpjS+Evk?Q=1ZVDMJmHUxXlCKu6ZRq)X^!4cx@hT0tC5IvJpe5@WW}so6jy%cx$HTK z&OW9>C_3#{Q7x6`Vi)O(n%jYV)Ylv<@4t3 zg1yar6GinGk+Fi)Y`zZ74pAQ$m;%xl#x*eFURn+!4+=o!DX)AYWl|Og?5MlQ?P}jY zYJE9~GtvK{dB{e7C9GL?%QR&4kmEECBXkbUS}igWP^X*}@+kkojO;rWIY5AZoU_he z(&JPcFF_{gEoZ6i^jk+4jU2IbuU+nw=pymzEIDs%wocP9>dZcZ8O)PVExba)0-af> zl^O{e86J#k4GA0UCLUx_?+VV-e8WqbXeE6zP1$@1*2G|=4i%!o^`Sa;*x4{ipNIG( zN}%hGw_~ffuq086$cK{q)XD7;Dz>0B&P~m26P-8emH$x} zn6Xu%i59+az%(sU>vf3^HyM}@^PybQx;Sc5S&uCx6v1i z8#B4k1EJ?dC|jOwn!L47c(uC4QzG3sBy}!ZrNY<=ffqSA@OLaJmh>BxT?pP!&$R^o zA%ahpNTzr-sRYGzb+E!z)Ychep=LgrTIe0nAWt}|8$2sXx99CT^6bd&cfoUf%_kTe zqu^B}USxBNMV+pgs-my3L2N3oZ$h-FyRA1Ns99D$qa!K!jMffMPKmv+f0$c#A`M0# z-QXY;I`~55+vv~>g;{lzU87cm#0OQ~E-V)XvpSas812R`7h6v*@pyrOT{cWSJ9d{YH9f$0#-Y5>Mtqg>Ga+{~seZRiB3o1&W+STs#66yx z+!=8YVD2@wt2qNUmGkG0#0pUHQg*bw!fdy**N@HTFka#3Um{Joyg6Wjy>Mw~5i+$J_U1mLuz>G6IR`up>gaq780}jxZ!WTgv zylREbWlCxO{2|%Tk!#<{6Z3oG^|#}z6EB&ZiwU(yX;R(07j28ZWqfC5iF;rYoR`wJ zSjv|m4&J@3;3P$4?i^;i-*@SKci4rO58X?A5S%aVfL;fl*r(02PR0N?2%EXy5D8AJ zXq@_$kI$pN^B{>c(v!v|6DYVE&KW-}j@4sILD-w;&xhJj+~s$@1?RufqC+`BDg~0> zozZKMS!)hqRS0X6W|*M(EMi15T~YPGTQ6$z)dMCB19p*EZ)~K8IF=iGNsCVSuKqF| zuR&s{#X4!t6MZMlmKJ?yECYr7GF>T~Gc)^SZK5k&FD5%9Ry;2m#I6z)^Wcou(J?V4 zKke8%YLSXtG0b3A6MAT5GfB0K_(Boc)#Po=Aad?O;Krc!buX?qzBOasSvpB#ew+Lj zxPf|OaY$I|9jZcw53jncV(Z&vUqo|LNeSDBGE}WBF{j(CwS9xree;ow$+lQl!@b>$ zoc!(8Z1ardI|7)Q6z*wwiBYLB!x&&EJrU&S1D1M9sG`Kd?)-6Yno`pFG1P)L%W?^uTf( z&}&lg|1fm!k4*nx9G~0VWo+(uxy{DreyiN(uI7GcuDNF}A=QVu&0LC@jV|uZHTO#> zmmw^Lk;^I;t5ix-x_-a@h4&Ay_c`Zzd8CU3iVK&y@nnLandjRS6xw^wG$jmUh5Z?B zBhxKv;zjKo+r@r9Q$36Mn0(!y~F(A6_2bWEW^^^q| zZuswrUt&<%%)HGh^Lf9zxbMQ~bmzm8W%Vb(-vc0}Z4HFLTcy7#Ir@}P_ZrR+oj&WY zW@8g{dT^#-@e5H*XKqe8CfEDC@qrG1whzhj4^7trMqUd_9D{@m=M=ZO$s)fGVOX`9 znncAC1vJk|6VicXM=6-&dB=PMr3Bi`dKXj z&&(}s=bi2GZ8}r7yVMPku3Q%ufKY;0fvy+x>UL~4Dg!+9Aum~)PKNA%c+%TkDs^k| z^SptgQk#VAM;M#?og?F2%mb}_>N;sb3J-}RbFEQ<+ z+~?$73Kwo!XSfo#kt07uQp*#@7}$mIn-K|Dkmn~2tbG)umFo`Co*QOVHD*ZePfHJ` zbmR{Z%4D9al@f7FcIpnw0gL#tp+-%PyXx3{F()3iPNnqWOqXiY6#VS;BOkgP!9pq; z-1Wip2}99F?)k8$d=id^p5HD}G*o>pn!4kDbnKL^zC&-5z0T%q2+=!~Z-p;vn+(-P zD8MGUN0e#{;sC(y$YDZmf~dGtdB6|bqDdcEx(tyRP2kI3XM z4{vs9(vS7jk35ZV&;>qFy=V{Z(#+iSB7t3!#&8((vfsIxPs?A`NXn_|+KCH7h^4pz zmPCY#J6-E*dM=*;l;2fi#{%<4S_c7EjcVXOBszV>?V+|o0cj<0jE!9CiI~;}T+2S}6 zf}0zYPL)d9B3y?}xbclPSemsr7#Nl1EeLW!qgMkgi-~*e$P44gVq!#(Y89_rRXg*% zi5;pueN}{ab8x!H_I0%)Oksj=-I*14RB&3HoF`uIFDkNGD}30&>kAkcymp{VVwG9jLH$q@FO0SyvQujYXC zwmIYO%oz=I^UMoBIolLjWDzSFDxM&wE0QFbl?p^h7#vfi%BOYdzAwfrhqLU5a%K^L z9gN4>(({hNg-Mau>ZL6_Q<%=O?Rncskw`n=2>}p1(%D8$G`$LgNzpyTrDc3fA z{J4>Pt*$YZEw5~6*MJng4?1PPQ;aNsdqF^tFK5|B(u!umnOt3BC(G$(fmg({XX~1e zyav5xFPiW87-0jy3 zi0#N_`OE@_$dzzy9nwIUrvbek;sJhDqgb+j0~AaB>kWOOyZi%~x5hk3INr}&%Pp3x z4>irS8{Y)JP=n}sj#9bQ%AfM{vtlk^n~_yO+8rj=&P!~!WKBlC%IO)@2IPH$%`TAG+MW*6B5eq7hb$+zC1ob`eJeP{ zrqI;~$SoWk$wQkst*0=qv{UHll9-##bVV01I>U5H82th9W248ZjRyX={;=86E7hV2#!LfO*Tq=02Li|=0 zRWx=yo=KIM4Sp-^tuw3;-m*1_2`cYkO%8u1n1qky@C zvVTGN02|}%CsU?5P1SDIDQwK&(H)U;ksj{tKmWctJUN{#7@}C-uF>SAQ(SW&yX~Xg z*1I3DlI^BYH03dg{Wfnk*bV%wv>yd)G1xurPi(o#Oa}pzv9w zH~NK|F62;Xm#YHtlN4WSJe1h|gDGXwQd!q9uQ)jJB;MGl`(Lz}D01;W*~b{y1*|D? z?3^!gT9ImFUS#-B*9<2C^sGH}rmCAsRwsThPf1?0I{x^NdjU|Wi=N@9 zbNFR~fAc=Ku4W5X0Q$fU*C!e5Ffuc-Uu)x?aFwb)I{(y)5aO;kj0~}s*ig4wY8BQm zEf0IuqzhE4hl#ULw?>}w>++0{3xE~#MqHRkyG%31{~~`#RLb;mrf2z!YiZoJEqo)k zb?U|h!E-Yt5+&mLZ6eoeNlnrJ?v9J~?Lccc;0@P;m~Iwq^pCd7*gvr!hGTgrUA3Wm zXL)X#RMkLcKV>KTf22X2@0^5VM&?{4h&-`bg~2lduRFKTsP;Ey*1O1Y zH#&t!6`1c-TD!6mjD#BZ*|X662-E#U0^c+S&9zJl`j63yO3USAxwI~F`gBbzvaxFw zPev4MSOjXtP3%kO)U3Tm8}G7l}FSg+b*MIG?9r zr6uUMgTKwlq#j>NGrXMT7k?TWQh`N627@Qm6d$;F44h?^Hs2sdo4t0)WJ?muFOOz9 ziX7l;3#<~rT$N||vMlZs`5zd)AbIP}6FS3ExjLl|cm$KXx$52$@*}U>abmKgQUuqx z#34eWxLEV_Nuj>XWX%3G}oTxnai#4FOB*j z476_~|H`epJ%7DM7+CZmR#EJH7l>7R6Z|8gP#GcJ9A#@~+a^(u@$w!Dxys{ZJ@m>$8)7<+mm<2Jw9m{ccSY$iv)#1}Ufm0oFKy@}|5$RCK4(*7^o z^6SB{^j{ll1$-e18mokk&~SCEKB0PSBfTxkJ<5Jbv^$Oaf%UL|C0d>%^s@b-cW#LW#aD!q9Cv-Sc{bGShq7-Kj-CQk^4 z8+q`6a$=_K?XP#n+AVHP`%Y0iwalE?qhqh#933ihGR`|Sm6f6iiWHekvl8rB>OySa zMNSB0zh|$RZY-LMEwt)yf-7|z@uiWtVkkKYkC$SH)_;2!Yo-KTR>!KXMc10xK4!!HKCQM<*bsG-)pV< z=~phxFf#YH?3jgfH$^9IY)nn@o~WH*v12!dT?0og0s440M8fL9HL9;dJiO=t>10$` z&tqYOhoLVMVyNBH{u(_}i8D#?2r21FRv4u?Q-~kuKf4!;$$Q0tr!NLfkN5L^z{}Xp zKa!R&ej4qfdT2%4-p35Z1mT1zZrv*8Md|iYFxL^3kwoFxpb*-A#349}B}>ufH+VW{ zt3o)WW>mfBx-rOOg@C2XBKn1K@K?&>dZ{9-lPBTWgvUus#5Xf&-bmV@nylkfZc47N zX>P)kByA%m;4=yN>gxQHJykvTR@eJ7KM%2{dgcAnvx95(>8j?we)?dV+-DqoZFu4a z`KD~!gY!=cAHNq(T@9b8jzrz;BRq#_%|7rs%erD>AkZ_6xqS5q`7jq1>gT+w2?$iv zDcoX%zTdLGD$r;P<$A*ihiJ9q6(n3+&kY`Q_0N?bwb!j#M(-wk9*C1rhVHbj(-U~0 zT-0X01n(nm2UK$buyz|PbI2KL`Kdstqmj0ZYkKfcwDVEtvG>DDW%Dc5BY2M=o#__$NvF9+CE3}#-eBKPO59|1@1yUInl*J% zhbM+R56gyK^0hnj2hkvu9}h1@`0kX&B~X2W03VPyU?%ZNNRz7kfv5T}x&o6d+D(`!`2OW46Xm}SzU6&7|{D>hSXPuRPUy_3B6_KZ9zPG3`3 zE)v}@OO=-JwVamT4bk+MX7MGu_YB$;&ja6LMl5ONE_-ZTjGl>o`6h`D(VailzZ}E6 zT{q~deA+JcfeQFN)tn6LwD2%HLobN&c0wkR2UyC!G4!6Or!Q47*y)8Fdc?eAZnp>4 zQ{L2_ok1^$-T*ZQDqsvD0#&x+l6Sc21NX{3VKVh(wu0CemqEeFtQZ*wN>Zo$1iV+) zGS6#2u!|;doY}F*WniCfLaIP)wp1!(_$Y_r#NFJb>l`*nxja!6LP~#kk=s!lr$=i} zxN5P&(u8WK(1cZRoz9(~f_uMCSyQB&BWSTm?b>?!aSpsx9-^>qZ{+35~gS?JFUCOSz>X5nKKj6=Kf+- zQPGdk7&|30tq&{H>vQ=egJatPmj8~T_A&*P{s-vR({GNbuSFiD3R+HJ_IQJz zBF&rDA~#87`{h40#U=y3HyjbtwC1@AV3%RYqRjIP!Z+C~4)oP^)m0fNZkrX+u77nq zCdY!Crg-t~!|m~G3-}5}WICuQoT6J+_3DQ`>k6U$1ETr6ADb!5P^v z`&>)eY_e0OQp|FjnUL{kPc3wycb<`Co%CMNg#X*#OW%7Dh;gQQu?I=Nyrw2)R7*=Q^?K|F{^-8SH`r2E|NdH%fc}5E&k8F3mQH*nmMyB#qe2fK>%@&u062=M#lq|3b!mbGGHC3N5W~|eLfM)vk7_pY-h=96yp~RtHvO+36ma&(Rd#x95 zfRgGCVe>fGEXV9WJg1Nk1X8ufc4Diz=OEjBR)UxvL0az+{aILYcWL+|W{b{z@8S!c zo!0L}fw?X>vs^t`$sn8%SAY>f(?Es69mAO}5ox)0(Mnr|i~OYr2s4TLTptdr#GCUO z3TJL^NLTnTam1KJIJF9bAj3rN#3L%C7xZhA+cizOaVXK(_$16@iB{ThuFweYwQ!CN zg?6hQh)D;2VkqMzR+ZaWG8!Bd-=GOe$>2vAvCPSIYv*BX0rAZQT$2GQg~$I7Ans&^ zU@3*f2P#++`BTxcSD?JXIqP{0+{gKf=`xl(@1rgLojv~Zuj$VSUHj=!)hQo_^~Qa$ zNZqfqH_?6m9Z2a-aSsb7`zeCRjB@gMNR@(g!GF@QIJF|Bj9Oq%2d_ za$8%%qLWbjVz;H~*>q>giWu<+Kl0n1Ibx3H5dwPyO(EkEU{DK2gv(HgD;n@mGJ>b6!+SvQfdX60-hCNAGn@ znD>VOigw8474$ZWn!DP&|En0}Lqujr=jmpC8~~|QA`Z9}n7S2ya1mN9NvPf;NrP5@ z!YI~muB@9LU)Ly-%{ZZTs2;{$-;IEkCN=Cyto#nzsU!+@ay3K~w$O`h&c}|zH~onH zP&W2kQHvA?=t+31Q(|B(m)1jxjtD7PJv`ukmZ*U&d@9_(+rL-R!|PquI!3;sMkV&8 z**KbQHRqYSGf<7As=p4|Bp2jc{COJoB|fveL;l&G4QI1u=%_9@dHGDYPtHX}uJ-OY zu|iydovcJUZmN*9NrZ)O&!4ic5P#)i57?@eAes`I-Peb4``OPg3G0)4Kb=B`0pIzk zcZ><<+VU|(-kq&+Jl&c3MbGih-Jd1Cnfb1Z%lvjrpI**bpvLL4Y=Y~LB0_4rf7v{7 z1KmhPywwt_P2|07lg^azYxlS$s{hxJN(DY;a6zbXJVPqnVOH8jms{M1(CaU&NRa0* zEyPA9M+^ITP>CyV(V;>r(Zcb=C~k}?h^t_O<6>Y4w=!+Yukfw#S{T7^vY;d=a?GI_ z6*W{5`^+BO9V2LSMYfv;fUR_5c=kc5}Ei>D0N6Z{3hWJ=X zVt|7fOM@AlPn$~cga+UG=r&!lYr6}#pbV`?^M>o!=tAqq#3kZYR3OISK_sw<7!38r z-Gd-R2HdI^^@^qvm!t{t16ODOp?YK0#ID4XB&2u=XYECj>h~GW-2Qehj!$$!BBl5c z>HIJJ?tx<5Rr8l2u5I!<)KPsnAziUb88$z=FCnk7^#_)K>R@`^t$7MXaCIDUel)Mf zi;z^a5vwO>jIRamAr*C?k&D>7?l7Bo{|)x3wn1|!!`L~#E1{-dU!D^XO8tXb zTA|#&i79;Vpe9*SIMg>P6|?Uh5$PXqFQ6_pWKHXp(${zr;c;ob8Lt_WmTSq$9jR)9 z1U^~w2vo>d%phAFp0`(5)FM=(!Y@1Ve)90iKh-55QKS_th=hrslBK4pnG-X8^roiv zM#tcS$iecF7KrXpj0}ovRpF7Sk9XB6tYhTyoi&V%&H+r*gE8?d?^$Bj@Rdq%UoF}4 z2xfTIlEL55XtHJS$I{_Lv)yW~!VAvR3L;1eeQxDZ2ZR+Pk@p(vB3CNdw~!gFHuOo; zMm`4yw797c6pxB`b_5-CdAHz%JNFb zOL%!{CIku3*Iu*c4H&RteBnAUbLZY!YH)6~HKZ~^VMG_^5>fd1aHRpKs;=X^G_W0Jr%&^7sOe5{{T_NTeI4#f3TN@y;{wyY5E3*^i_KSPc>2eIHhA5qFaK>2Cxo&-4O zQnL%|9H{WL%!FxSGsd#$8#(5Rgy#l}zaGosLCS@}ToS}o!>#}0)g7z65G2z1p6*k! z8gDlCj=|VsCG#^-eK>#*+69su{xL=4gUtg&$M9Ulf1KXSVK&nwQ-@!9QBgTzg1#^5 z!b5*lORrm@E>ku1H7xTm^%16cO|W^p*5!BQO0BhL71;|P?Luz2n8Nt=O{uQ7Iyg^7 z&jQO^2)?PI&Jf@QhU2Y}vMnSduupBv@An|$L8jA31iDf~}-76#PjdkW-nuY4`9 zW&1ME61xxQP4+M8ggISxWvBQziR5~%_j>s?00UeIrvnCJ`*;u zrPmu*9!f_wo%JPLl(1-yK4J;p0KvJQnwHd(TKHa0{Ukc7+*NgA8k~i&!S2&g!}(TR z>hQfYobr!3Z;IwF|a|Pkbb(L0c&+}omzn5&_=2pf$j*o@Gf%RVDXKdaN z`RGF?HLjgk^yMw68YYVy-MD+@LT6S^AVBBhP&%wv1_ba@dKkjl$xMP!qtkupQ13q| zcR~M#fEm*}&zBgb0}@8mGrqqnvo`**MXDhyX_m7W@VQCqlcvE%uXS!Fod8K_vmWZ1 z0sorx*Y}LufaUAL#r|^Pr4sA$$9c7`>I2Ykhdj~j4NinK3b1O!dQKan;jzcl$d{0R z6N2szu!62%*M0!{dfu_?SH6?;3qGAXXDU=ls#j|Fy&}c3qMStWzT0A@hxFyOF$nHs}lO z$|VPdPbuM)Xn$x+JFP1&HK9XbS})IKPDZGP3z`u+V$BS$i|gg-=MDAw?4l^H>r3sf z6xUK+$b!~AKZNg4++Z||S)#GRO2^V@cTEOHWx{i{t+j=?tSym7g4bZw$J^cv617Dy zyn5qW@9@Tx<<|;p;0{^>DhD!Mny#<-^8gm{FkG$e+Jos?&X?y6^lfKDCuEJJac}1{9;Y2C5F(;BK=a;P)ED<@i z%eOO=0uHwj*1K&ZW>HLija8RX!>Tf@^S&OJ_YYRbQhT7dS8}^GD*IdOt`C}{QPo8F z?cDMRG0;^_L^`8L`>I1qF(Xj;=BvxXGwMhU)IN?#k5aHPpx*i_3RtH zQglmrULqm9@E^Ay%S}YfzD!{H*8|;iGk*?x5*yn$I6SWq{o=FH+kzjXm8#e|{Tn#j zLgq)NYw`pfq5Xk&$D0Uatq%P{s{+h}7L_t-Og&1Xy|^om>?XYcYHYtGz&<~p4|^6`m|Zb{30uoYHD*IzE^LG+v5{9yiZvq6={CqDri8duzb8+)|p}) z6vgiC8kf)pQ2&S1!L**c;iolXJ>yS9V*24SHdXe~)7Px9-3 zd(M!Xjj?pb6a5+VbmmtZWT~{j`#WnLVF!|8(HTdb^BwU9yH2&kN`R4)P}_6l8zJ`_ z)GIUxjZ;~Ez=g)F<4}v4$E+AgUQ>8ic8j~?0iQ7$NHUbZAoA*e0Lf&eTcksIMfwl* zhHjimo)<)??rxpzy{siYb|61BIPetcqb^4TOX#i&GF2j>a+j7k+{iXh)!@5A{?1#i z&`4*ASgSUZ$tr#6*>wXN8Xfzhk?mi>8yT@e{DugmL z+xg;HuS{*8HXY1tV<;|@+F_RO|NPSpH+Q&~%|nD!H~GqcmMe5@gLfIV?hguFY+gJA zDYC|OdkN?h zIEP501nvdxRW3_xS7p0oEFe`x&ob};+{}lAq};)L)@AVdxsvDxrE^&8&a3kSGU>Qd zu)pP$X9jM=oAVHRjz@xPx!D~00W0Nx$XQFBw&79Mo8F6ufy5c{^CL{@sQV{EX-DWC zRMDQqBE+|{tjqL3&Y<-N%--6_;we)wTCg80)qFu%cHgy<`9AE5C%MW2WW>ev1op(NGI792!BvTwRM@elu+rt<{o^{BGZHX!goYFfnqU3vs z-%KyQC$hB~0x&A6^ZWD6gZ zsWX=D`0d{A+O*LnPKx8&D74QlL9B$@sX^H`|PF!@W%f-4{ z_RjI_Z;*Pf0#@1-fHBrZAS$gAx78eVMS(w>m#JLkbm6{E47O4b*qoKy;2Kw(RBd_k z#NDkat__%6%_oh{b?-t!J3Bf`jE^X6okFl;fPbWeuy`sA0nJtkV!;;X+p z5rleXe^Dwol8RFS8o2wwqFj4HaqbOV8Rfd-Y`H;O8U?$@W2y?>>i6$VlVxel%@V0M z2SaRQ7n!l}^Aj!MrHB`FvmP$O8w39_S2(QIG!I?Dp7os^ zpn;!x?4s!*(vHLe25;9%an~n|B^+sk_S>h>vr|Xh7|lvHw3x8%pt!r|+Q#}!;+^Y8 zTSLK^`DBmrSo44=;YcjNC_K*}REb&9IVSEwEAWJ-HzuhkG|ZVZ#&9qAOpH~imouH& zbw2d|m#LA4u%(kPf9kEQDAX?-q4&otXJ&UON435~rNPQ2t0W>Y04qgVi6|i7o-aPm zqHk$M6(MCZjVcNv(?YW1WG6{9HdUrhy(;Ey?{*Pe`((2~3&y)aG?e^G61x9DRLvUB z|E$0ZB7OFvqDw3r?dqx!Gdt}I11_%TeZa59><=IIxpmeGkMBDhs^ydjb8K6)MYCiI(RCf zfx19a13w*AIUOhI_s6WMG*d&>LasZ5H?P+4KI@X?)y8H=fQve(yRE33L-h@@5Ep`$ zu)&r5=m$gNP*E${=~>48=wi*MKC@fj&01Lixp63osLvrR9x+tVAIFFV!3Ks#b;q@B zZ}&76B(y>`J^k2Q^pz5^2+BNfJ;R?~gY;`Y59J8+jUHL>l=di>yZu8W;-*We=tT=1 zAxUgj)>T_+$is3qq+*f?;G7fjjEXpMP8q z$k!bIjRT@^$_u>>gl63M@wKj}j!0Gf#fmA2V|ge5)$reW1sZ=jA4Q3EZd@iXCnlha zvhI8&_?{N1WY$?H^UTr_v!}z0)~J}VbBpPFNSOX}An1T)X-Uy(6(j|^*qYLMagk(k zVyXTI`k0dJ&3-Ku?`8G{mfv5?zW3bmA>2u4pSghD1Q8;IvI%6M8C6Q&&L?D)8h&2d z-^x6nr0|#Lw1#S#ds=)bWm6ZfM|jCFf42{VX*|%mTgzUDH4wCf&6({$^%i9x{y;in zeXhKk{3e` zPm=_Mm_>X$R6`(^ca^_9e8D7@Lq#WYWJ<`mXB}xwt6R4SJzM+r^m~~3&Tq{;G?4v& z0QwM5f(FVRbjA6$#IlEGSyd0RJLJX16pz(Yj}GV{MF>$N`#m=wvXB==!&0`9hCW;>V;_s(z5DEIea zN#x>V6mLxLq$5>q6Y+iDH>3D`JI~Qz-pY){e~W|IUHFG88a}Z03DM=Br@xH#JY^_yx^X}- z`XPzOayB9AoP_Ete*h2XkY`=Ntd@g!_+_OyaI%LmvGlqAzfRu9 zO3ML3b?Lu)dS-!Ka-SSKdsuKn;_PS6YgHpzzlxWfz;76>)#~4`Z!axhI#Rk?nHTPQ z{_F4o%nJ2owX_f!8diHWE^?2`OS*ah(Tg=KIFMmHs?UWq82(nukg`5U-7ari{8dqE zV{W?#rmoB~%akvUoTx9Z(@D4P7o7LPReVRKL|ii56PNk~drT2$Th((0zfu3g*i{kQ zdQuaG37Nh1ZyB+1octP_V%@GoO3L&Ors6igQ*;JZ?wVOFc%dhe`KE#zoeRz9_EZ@P z@_UNXT7~y1e!5KHWJCTgF;|c0+C-LJUjcX8;0fu(3(G0%y2WoJ>~$!U=TLu-ap6IC zc)src01X`tk#UU8XTjt0TnNq^G=Da3@O~42MBcMIwO6AfTuXdE9ElNZijVLgPGf?8 z;T}h*gzoKW5zYrRSgPy@#0Q_ot3DWF7VQz(UVAe{Ge+Ii6OazFF5}C>`xNGWu>hxHlho8I*AvN9Q9R-s*V;D zP`*de0(=jA-34h4{jc@tBd9ME9#4cU`PQ($c|+vUI@}wdDgV=`3-(UA>AEbyCTpqZ zIVU?;;@7N9f5f0%=X0cI&k;=VW4rUJdOUvr+adloA6y|Ito#$_v`_VzQJ>&I5a)$F ze%)Ud?dR2}tUc&??zd!Pg z!Ic5#EP3|g=oF^x?88q0cEzTGkR|S!Z;d=xPTQWO+WPNKwA)T6_uw~+=lz8`_~@4u zB7z9Hl^W+JNR>4@@0x_3JPH?*r?6(2qM`9Tv21B8RME#=IKQm(&^ns>DqNrUYj8{c zjQ7Q!kb>}hgPxFPuLbm@InngrhmF8|k<4R)wcNYb zm(?*_cD${)-lV)$%*QjV9I1Ms z_dS&}!afNO^slGNaFgV|c#gcwhAHHYS)O^x(Dx;F$aBdnUAh`auF=2Mq4}rC)*QCy zT|>$yI~-@ToQlPza_rs-otd_i^8h>_T(p7=?r}9hRKGjE*XPn=-b5FolQPC4+VgzG zTn~nlrF>wWoO(Q8K89yqy(Jd*#BKg}w%fab^VuvFh2O#-O&l;>j~?dU>cRT5&MG}- zcNIhkk+60njj5zUt@B#wn^g810YFdUxa)NEnYmE+*UCJ>9yjoOb-94}5(Em!7 z!jz_EKK)&M0kaph|FDsrhcj6LOv!4Y@- zWpp8GG!zX~n2b==Xk1e`ZFS|e&}&8h3iR!~CTl}3d{}BB)!C*+@tC-1E9Cbwgxzp8 z6Q*Zc!pnz#VrOb`SIhmunMGbrJzvX#o)Hvf^__K1o{V-z7unDFhdj~>)53xNA_aAS zjC61$c|0EUs+9w7;YHhTDRAfBrzQ!yZe`%urB)LHoVkABhj;RS-JvwX{xw!SkhJ*g zH2oF;J}yi(*30fK`KVK4vOZ{e*sBmDvh6%mCkXp*P?a0&|8MK}znf}zq$ILpZP;^d zGH-Qa*|@XCSZ+e*zcOE=IFE_p)#)>5c3=K+zn=~t4D0-=4wdS&dOQe_OPMHWIS=O% z98NBXa^J4WPe8HpcBI5aQF+d3fm@@T-9_3iXDLj$WOI#wm(L9e2OP8>-=*hp9O=Hh zRr4@AM)- zrm^oizssxQCs{^$k%Ng#<72$IKvq>&{EL8|cfO-6rBR{kiDzpV3Bs}>v; znaLNwF~%v#<96u!rYF+$SLS7v+<%}hmB`PG%Y914*PH-BV~$4HR0ue+;6?1lRIAk> zTQ61Jw!n2v9_eZ{7Px!<9gpw`A~8j3B9C_%2UIL%yYDGz=0TRkva}SRsvsRr> z5sh5*OMjQRn(18)F*JJ)ba5nZ@7T)0|*&IGbg)6CB%Xk<{K745c+3tTO$3XQ{3Mo{6S9$3z-q5&Eg z)LW|1+GoZ6ThSs2HnRI-n3!9~TvF}rT%nBN?m8-R7FyFoUZuvTUg4s{)Z@I4T};%X zPDf0;2=Ntb1SPU$h?+ZDtJ=^96MMb|X;+RZB&nDG11R#b8!NJtjd1<8BmRQV_5w2K zJrCDd!sb<2{z}7)r^?}@N-!~XsA`>NxW4P3v9MO3qR-0wDvJInx@?^waV&~EFOK?F z=L-}QFpmZvS#tGy7*sH|jpJpO0!t(?_iiOPGL6h}W?P1!R;?p#@*h+-hn5qnCovo+ zeVr*N-`eXJZ`8UjKzS$qFZ@w{^aYC9y<6FaSq?$#si8C@TrDN#%g&wN`6psk=*Xlw@?k_*x-wDASz&jQQWNrw82nq#J`cskegn2DHs#@S>iNkO zQhb9I5kcnuS>m;{Ssm+qQw8G(d609u@B1M9eD&jB_>Pb73wUqkxcfyriEudV8^97q zC%^{l42kN^1jYkm0Gr_;0pSU)cv3HI=+pqkc^ftv-`if6c=o|&y*f$<& zG4`dp_6rg9n&!mALI<(y6UY)4Y%zuoBICwN`M;?MuKfS=Oi5V*BvBw(a&qDh_)rj?<(I7^D|9DitjAZ_`b zAl3DBj&nq24y-j0A7LG&8seVZ15m8nuX%5$R5i>n*YpWwuE}~UJ11#54B(Z=QW-+! zO`lIA%w>3bdw&*&TrJ35Nur&P&~^E38>Y)uI0$wM@my^uIc)eaT-$I=zT!-eN2QKu zIIp)MDu5f$tm9VHwrH$jpnrK*W`Exr}A`KLrTbH z@D2%{-1pd#TNjY+9pU3Q@a6IAOhZ>ltYOnH)Fn@mqce&?P^R2lxf^Vv z9F@Loh~@H;)9*!65Sf-gkTt}#TmIP0ADGAxKH|XbZWuPG1pmYmEf3P z;ShshiQxG+PHL1hkNXLJWdA;S+T>K?KgcAJAbfRvNQ<3=s`#Ft5Ap7?uI$loIQ=U% zOJ{JT@yEw}_2Sm6r7^EA{;TV2vR1ijrDrS9C~kdnCCw&CIz3*+!zp^ra3tbq z^EKjkT?Yl7bEiF!UQeddznQ!(Q;@g{!Wek$JRp_xuNRbGQYygsGU?laXE-^C`>~;z z;+3JNK{m9jY=uO}+UF~a@Su-vODTQaj<`LSWe8Q;m1#CBgZA<8l z)yDYMamVv}HJ&*m(fE`xzvJ5=$7Q)a=(!V@1AumX+XMj8dVLsqE`2;@&nrF6>Vh!% zjq{t?b=d`b&_|r@uFs`rW=-zddKXd48z0NX7x>a~Y_2Ugz7)98?aaDewUC7vR(!!L zg^*pQMqvP5D&E{|j&4<0NXc5T9tQ8H%(d({S)YevYkv@e0N-AKYO@+?DI5WLb+LfY zs@wT2x`R-k7zIMvJ2lRoCAy+t-cj2>tMJPE+U8tV@Gh{e4jCvapzx!oSz!_l`vZ zHrLtv-?xp)$TQG8bo+!icwzJ?MY!&EifH>~LxWvY)AHoaUyh4?hT8LCT&-Gf&?>xm zoHV=OhvS^4?onI1tnH`a1JP7)SUA`64Md=#T*9}xAHTD!5Krd-=irV>p?koIW8%Vt zJR{{DOTH-IoX&@7A(GnY)U1hkgPvdK+3*&z;aW#{zJ2yj?pSE!B_kqx$00t<%GLu= z9)HA{dx^@nd8Gdjr=KT|PrG3Ut|qeNyZByoo?$>Nss%ti1F7nMt(3C4(Wz^WVDAFd zCve|&#iq>yKkE5GhbJ!n%`K6C!uwFGKRDXk##3E_eNqJfnHbHynKqWCVO_K^gX+!R zeW@^Qp?!Gky#$BZ{$=5@fFFX598`;2Sc(TdqU-?Qa^J+2cFlj1QPu`K`C01t+WgHw zao@FuAL4nPP4UP70rSC|!=JLiBNAlxCYgVHr{$Pl2}^BnnkdlU3ir>Wl2IPojpi5; z{(P)!a&B%Bf| zii{skOJr=I*>^vW>+}2S1vbScJb#?WsxcJGm={OI3|0k9S8Xskr<$X?)`c|9EtisH z>J_8+0vq+VIZb5hO4Kh|0>))D@}@rBRfRcvvZSJ0bn!0B-Lw$LyXz<^Z}8W!l1zbd zpK|jTR}-*q|!H@y(q zD0_?8y_bZ{7|qt0Ok_N+iW;S#1$VHq-{G`yI8Q>)G}RAO4exTDgy|=y#wDng&6bOC z`;aqysrnQdcRwdDoI9`xcv7Iq^-s4=ex812T(n6JyTa+&5h z&L56m4cFtysQ>GT>!}y{!t>3&FHjfm^sp2q_!v4fPVYfN0ud17|+!n31)sTkJM*-L~tX>RqfqqK_X@2B=T z$umTCqw6O^sF%j(W^Qx7n6{wR#4qlFpfOQ!n$5i5^5-5UxqEURCAe0z!*FmCIoQq zD8WX{YBaHSvyPENIMhoURhc&*>=5wYjy7CfRlq@x`geK=`NbC5&CK2=$Hd=aC`r3M z_BEA5JCH|LLUyWLlZNDDMr^7HU#2wenFI{_ytE<{C#njERE}QIV*KJy!JM~36RAE} z*I#vi<~_^0paYzo;^5w^=E7a`QVLBHkVr>k{^5|``&28yV7R;cabZFwOVIHcnU#Xo z19HR8&(QR9uVdP)DaCw~RLd~cg!?%)ZlSYqLtC_lL5lF~2vcwMET&WVup9pQNUG1_ zm;~I1=gp=n(Dnb;Y|b#YZ^+IkLs*7u9jXh%YwWq(K#U{7>UJuDL7U96`B#tyXv~+S}utnRG&0Ivl&x$I{W%Kpfs68%gPy-HI0Pj2lgF*U;j)fv|YRP-Lknsf7iIe zXBO__7LA}3tG}RFu8>;TJZo!Is1Kc;jG-cw#f$Gb!S5KFZJ|Vo+gBVhOsE?zmsYv6~|Av}KUAo^p{dqt+(_2#~*-{BfQYF~en;moBh!xa zsAz)&|I;uByh2$)K|kj_80i<2MVgfJ}P#)((rb+Jl!V&`;gxPX$j^*?-FEPUX*L@?Da00?xDhY9=(PQTNAp;)+{%CXVl}=wGLknBqm6q#anG z#2M?coc)DH)CTPl)VMNMo#eqtYqE3RT4>YJ+qx|mQ;@d+*V+c6H^ChoRQ65X9Q%U3 zV>pjbOnr@8h)*WW<*Upi9$pz)*drv{Tj8KaZhdV=j+8iS+uOg=tSLS29~P)_goe3n z<&m+>?b3Vg+?2X7s+^|e9elhhA&3|gy`w+T5vZVGxmI! zqT!3grLy8WqC_`+9A_8G(a%T$jDeSen_{*ciZL!BmL9jC8dp4MB96)87!T`~XUIvjYm9O@UnCLjK1!bxBQ zQx$Y#=A&?3vwJ#3c-2wF8pG! zGx(n7rDRHE2+W-cjKE6}kzxJRHM0-raI#V{cWfIV2xjy=Ft?OqQ z{=OkcMm^bmcdfm=`R_6ordGgizTcme!7Fin+|7BQkwy+JV?7AEi z#)v4}dIK{D?#jSJV zy>Q%2rux1mosS6n06;~{MX%65XB74u<(;YFtYo^(POvyqI2QI2ElU%RmaGR%UX$BG zAui9nruay2q@@FF^u*mIZNFCd+H$)XQL%Cn_#P|i;0IMVwl(}>ZZ&@l%6PEH)lHx@ znJ-oRm+sjK*G+Swi+LE@;a;}x8m7>Z*?5LUhPyN4g1U*^9w1;ictr#u>JUJUQnm0r zTaFCflL<6FtUY6?i2APG;bBz!NOX+YH|PgNtM$rVrN|X>603x zvT={TR_VI$fsi4{CEv+w;x!<1`y8^ha8rPES~POXW%LZ~(xMmlD7Y@ka(B z_P`QML%p(aP`XqQoNBk0CmxiRFq$5`CuaaFeX9qmSR63e@)y2D0~z5wD$SlBV%(Zg z>Y0LO+;=rBvi+`9>2H=G9^tok{ur`F@fL}R0LX93!@62ZpJBN&`p62{#As#JB!HCCS81~7z?W%#k&EJPZynU? ziQdKQ;-Tf}UB6*!g~_Q7sR@gaHa!4Z%89Y9C9V}I6upure-0m!NDWJF@o(i$5Vhv5 z4-(okzD6N<;a3$v&ntawM=Z0?|$AuF;iF(BaJ-o1E3y zD^HB=6_2;EwY9XYTHGgg!D-rWXfvgU!J`)rK`@8h2~9>YZDvLIiwlzQ2pW48bYSRM z9B}$&S7({1H&lXO@FU+S^g8Q&v)nZXV#o7y<)53qV31tm8f&$tap4@m&U{8=G{z@* zVs6J&VNOlakZ8p2{ajD9d4M?rFlI`&Ct??v63g&VaxdF)4`Z5!uCU$TY+yxZkJ$oo zL)6DUe02lIbK3u~5e$m3(>j{i(HxocLV~?e? zejcrg&xWF&-;Vq5FZO_APU!(g2KeBmLR>|3r$>KQ6_P2PSTCR`6$?+R>@#Myobu8+ zVP#bPwBJ41pGX zkN#r0smPjwhEd@fAJtQ16@zKmwQDw5zVZ#A}dyjFqodv+hCxh70^Yn z!FjmQVq{$Eq=@mVDq{5JaCrhaLdbUBJ6dS0X^rm{iT9)x-{6xq2MU(9x|}m^+AAbr zjXnSl7S=2BG=K@qAPScM4Dt^uliP66F!Q&BZbmN!3*0_fy)CbGS470(9AJy2Y`J@2 z$_CZVGj8NyJOpd5chJ+vu0uY?V)Xe6Jr5dr#N7&2Q$!?yJFy!opb>g$1#^*7$fxYK%HTNQvxgMVtwR^FMM>_mis{X(S zNcy>=>xd$-Aj>`EU|81Xq+U^)lGYO!Mn^xJ*%!<58FaB}1XwwTEj7(w2PVkG73fP|tj@?KoA>Y$T(NXexzeo($ao{lQfw z?_>}qpzQWJZoBj4PIyOTwSDtm7lf=^3jNza5c-;~+aR~{On_bw(od9cWr*U!K{MLX zLf7k()8c)C^Y(-@_yGl&i%oZg)V)7m=Wa$drEY64LvfejtumjP7?izImOvsPG<}S+ zJT*E86Opf{+lG`_L>$~M8s zBZd=xXV)?F;hIey9U)ymT^YFLhx&X!ZW?S@VMaF5O|G!dSeV?V$Y18b{!B-4&aA1N zy{Mth?dD6rY3!kj)8i$z&F$goDfHTSIey9&z$*C504V6e59I->v;Syipvz1mU*p1J znm<>$5#H}~Z?hnowc;!%WyEac+QVa%v%Oc@{l&5Z5VYg=uf{&EDAs@&Lc39xKGDboV`4oKz{Nj@oyDx9zl5evfdtKxj9txtQC(?|q zpuO2631HscIWv2TvGThwBvA+_*~;an0R-nIK=)+UeBiOCB25LUt->$f*px4D$h1)* zazK#2B!}%PvY^`0lHP9xWs$hkbS*Hx>ege8Peluiay517F}6YZjN-5I>y_-jKx*%` z69|q07MyRA1MMA?73jT`lNZ6JkRl6?CJbLZrx(8E7MY12r6 zC(-KsP41hj@|Ip=W**P}o~yj1ao&6o@voEX%CB;yGsvbY&$-FsDNFtl7ASwEHZspQ z-W>gomHQ{6>JwPdk1oi{l(5%+r~pH2pM@MN4P{L|EBIY5*^0@Gq&UQba_@% zgq9SAH1u#msE2$T_aZE9=8!QJ!gfIRC!(LmJ*6qC$x~tZsqDubfHpmRIjuW_9URDb zFzruAMfF}S_#Xflv|{yQ@{R+(OQEG)~ooi%=*@D}v#ghJt z%s!bAOSfd7oKu7F8^UY1Q;L%F%hYuxHPH7|E#N)xxi)o&jXTYn(u{Zxu8a(-`_uiYQVhsSflgGZ<> z&Gqe;b8EWaW#0TE9Q9OPm2S$bZ~Fq}61$sf_xl%PlhfoEnkuRyf{AoEjm3Iu(rWL}u7I2;e!h&+y{=dc^+C@%!|q)-?y8q>N0;X|Z)R{^ zMWoaPL1#}PnN11nlu_5hAY+pduTH3>#BeZKoJv0}$t~BLju%QRDBUulo&J&Pa#=YR;flvit0BgoRty^-9w&lL3ZA(;9*SYJY5%d(9 zbym0exirEsCw8G=wK!6)@lKQ}K{N((JSLA3kw^O>cqFD5TXni}aUAo$qJBW%s>*gq zLfD}tA*4rds-gh4%t;J5)n*8|Cp10#R%qm%tPHxiyS%*-b#wJ_jS%II`MBxw!V&S5-O(jm zSIrI+N?RCzn;TVw<6Q8bjU9ztJSe+p`kLE|hh|&2?+WLnH@ZlKKAG^sFvA6!Qfa=( zjNgh>dBKzALlR-kWO+L)&U}d8`=;>f>{Z@5tZ&Q0tXzxt0aiU(OLojMr3SCFU`3pz z_LjRcftG?CYH~)F7avdg6?|l5*ikN5!t^N9hC&kK@FRLyGc$6Kl?PLpX!rUMSM3XN z)*jr8ow9K6j8ICQtL*XOQ5xM~TLY}IH2Ga$x6$?DUo~5eHd^_OiY-{V7Z{i$(e4}& zcY|X1ExmJFw|U<|7_tQnkg7-&xyGLMsp3^Y!^!7eb!Ue27Yz7HSdc0gL~;&6ehy^4 z!1ae9RICy>3o=pVJLWwyO}Ike{f6vekjTn2WR%zz)MfYcC2N1?&&JXJ!vX8Es^|s-xbR+ z-tic1Y4;8fc?@_u6BtOg83n`{XFX**5!XF?F!mW(~A%AW> zASfAg_E&9w9IVL4J0F$EcH>aU3DK6HBWJ-;aiW5%{bTq2FQoHCiGqw%V-?~}2a9D- zI7WE7RI&7?!k21M&9f&EE>Aw;ek!f-_kX@uGqY}5i0$Osg&m1O=Me-ZHv8{B`%oaD zM4fJ!FfIyxG`MT$w^3-;+#nLPxI4%8(-T1)fwc`M%&6!1q!E4>9nt3N2tjX7Tyxt} zzF9A#z!;^$d(-=Q@QA;6Xk_cST3 zN03c3#E~j9m03BRZPjNm$b;GZ{0pa^vd^p~Wv~CXYi|J32G|kyYI6lTn9OG4sfGSs zfG2)%+w3c)8x#Wpcl-bH179akZ2lhAoS!($d$YZkWK<|XZj_IKcJ;+9$k4CprgMB>dl^Oe0+J6~V8 zbHh(VcvHt!usScSDj>+_^mD}4p>&BFRqJK-nRU@^H?6Z14a{v#2yyA{ ztj{I~vMBx$LgWo;omY@q*)rb~sD+wXwx{WsR?D1Fv5vw@i1+X`W#*}YBw8k~S1g~W zBAKP-A&Wm~zIVH|xQtD18aKJzr`mU}lYh{jJrZQ-9zes`=KW3rPvsagy^CLq7`2Hd zv0p;e9{-o9&nb>Qg)bqN+p^x zT0Fk8L*#|4{}1r4(5U$m(J?>&R`6%K^!npevI}QHW6O#L%_1E=Ij)64cc-M??0&xD zEq!fZNMRQc2|x``J7fY6i&;U93)D9Yp3Qho!@4AE8?lG~t#t**{8u8rdcuwt(qQ}k zSBcPtm&F6x;Zbc@h7JkIm#f*;%QJ)jDk{+s){|}>X_L1{cXgkZx|v*a7Zv8xGpqW} zlm^E(OX`t!5QVyh#K(t3mA_>4>hNVUR-y8#8Y+BuueegCck7fPee+nBOOfg|%m>a_ zTg9z7tXPkM+8Nsg9w~H~T4cssOsTIQc=j_*xBPo-^vFI8Yt#$hBIOs2_R4{K|EY}2 z*DeS-;x=Hw5=^2*0XWD$=PilK&Xz(r9T!8kcXgIvuIXXcOQfA=b`@1PX|3DN6`XF4 zCem}@@!*fByC#S6 zOHpI(N&ts9{wWP|r;2Ib?dZt$JDt;Qnjs-&eN5)g;oIZyf~@-(kO$TSLjbIn%x8wl z6>znT#1sBA9v}tL3@lzPRggGk~wPRfU z`S1zEmza!|L@D28H@^?rZu!v7@b9XE0)E%5|5$(2mKZdcSK4#;_)oe%0g;;jGY>ih zc72L#gbQx^KPbyBI}pu!e{1Qs)y^7@-7Cr4M&y;Zc?F^dpc(ZB!L}k2j2|yrX-yGR zD60};A*ZSLca}tWgi>W|>B4|;^CL|7B+;duRs>yFwRqSUJrNsEmq#AppPZIXOuYuaAlcHS#rWpYQDQyb#7;PM0V z%J!cGp?U0gn4#`YAM8n=c1}W7o;HJ1XC~bA203U+l{rZgXmI8_u*Xg+x%_bqu(9`e z0E7vEtYJ#|+6(_C9DAui+&*S3HpF6Uu?hhJ^!foQ&qS=I@Z4~5cTGeMTj=1LbVm47 zejXRV4@yyr%k*8)crl)ow|QlYl%R~5)$KX;(o@l(7uF^eu7(hosu_p0H+#`4#+GEM zR2?3*ecJbp%XB=1BsZvx%0V&?2NH1&linLs^sv^;osIFO60bS>(Cc(6wi4mKIUC~@sXR7 zsUKJ%{dYVEhk%3YYN(xB6ZC*hIo5LpCCUZit8*$7Fnm5*Sg51f%5O{Tbc+pnrtVm? z`i=+M7oHtrV<2kLg&`^@WoCUu!R8NuTuIrNEXGV3#n#>qy$^xX_ru;3+-%&T0npcX zInPm2zHE&-s>XywbZ=Y7^Z;glLQq%YTwc+B`VAFFq$V<|P$-2lcunBjHkz?k+=Rsy z)&H@!^siKK;oB7C(?i5Pm0Rh@brcMUNY0}YWrt3m1myy5{Tv1%*YJvwVLOHlQa(JKF_8Hd%3_#rV=1Equ0Z`_`w-pPoHaBm=xi+4SI$ z=b($HZqmJ4|9eI&7=O&gsZ1ku%y(69!B0C%+=j-Ag8?6XAk~H!=3-lCxN86!iq|Zc zly0&qD&qy@$2~=k%a^~hG<&kGtZ~;~AeV>GPf7E5?(*>poUF%3CLra!%l(fI^NP|A z#{+vMGmhtz5#o}GK*htciOhynbNlZLG?V+2Px+gY95DM1wYKvx8;fIM&x=N&#^psgg1F4GNYv}z`7 zq#rQcD`mf6)!4M|O9-=@5XVfVRIJ@f{sBXVk5oG2te#YEyX@5in^>*!DsO)%+d$-5 ztb5IQPEF5rF5Td?xT;)b$TeAH9JshHykT_oDfKs8DQiETKM`Qt>ec`TA!{Dw`0>5U zb}^yn@B@k(6@Feeosy0c-UU21`|r8Sh#ytXXb3z<%J=fg#N)^cZ=V)R=83bG>j;O2^PzO5+kjS&1RP zR2yTn{4vi~CI)WZtKQX#JINt>@yJ5Rp*Sb8LXs%XikS;lQc@J;?&F4e5#7v^Ojtl<%W=B%6Vjw zp(_7v{h8_aa5rLlDX$hbS1}ncqoe3jCHEr&d zdI>36IBtxDdP*iV&++{-G$acr8dD66FwFAjYp`RnnCgl|Ovav?JVO@wF|Jsp_uB1= z8xW&Ymd?X2MWqf>Lnxtl@kgsmqR{vpQU_XhQ~E5+&~UsNRXI|eyO(!(y3E4+Gss}_ zU}G!2MYBD5q1NJJ!55q8_(F2$8S4|S*ybwmTS9jwuGyN{24s)YI!}2Dyclq_@TVbc zSA0%f1s(8dS81U$8HK;|E(*+jb+?q<;EDy-t0=|&){^zBb&WS;u8l2mRF_;;r;K#x zEDc^q5S5eI)9qaMq64)Qpiw!QU#36s#0_E23TY*AzeF!%0 z7)Mcv@070N1nQ0^(Kk%bxlAEkRBIOB!pA@`W z(bB8vI|Ja><*MDz5E{bwrKA}pqLu@{NcHW6DW=IZm8-W;pf8M@z>3_g&f4iHO5t!R@=vei|{_flT5mgA*M*S%*3;?Y+3Vm);r`}D;D+kfD33G z!w5374M^(^@Pvzp_ysnJ_fDo z`Y`4XRhynOh^1V~@VVxOYc>=`nMs+21ko4L26A^TKH(*~cXv!+&7bCeG^6jI-=E$F zZ3bxP*CrcD>E{J)Fquh(Nscyj?}sfPt^}Atet=$%&{*!sY4SpMMqA{bz)ufMWsLW{ zz?>^%+Ks6Bjb>j+0abtcF4EK4(phf-_^;vt87l?!@|)9ssp$LjzN{o}C$>-Jcr_FJ zM%8-!sm!y12CWaiR_-1jTPQVC7aa1+D`leW7!yg%F)h4IWy{{NVTgRWle%eFd6WX6 z==A_Fr2Ya-e*gxyeG1m>w|$Xenh|yDzSNq9>q2-)=OK=+R~cM?;$pe3s6bux4sJ#R z$jaym+AN%RdiaGoS0coi8W}ECqxX~=dpvu(E!%`G! zZ^^w-x9MVwEmyRNGuFtTO~f97{?zl$F!7-qqZM?W=TQMPBhZvwVf&0T-Hrw&Z6gI* zvMbmwmGJ@2+G4j11oHE2@`c(y;x4Q*Ym9_O{IQhQ^1GOAfi;`u`MGEpP)}7#AKuGY z9eFB$m1j#pVF0@1JBOHE+767CT%|zhHWf*sffR-VI_qR~?$swFgSa4MuX};lPx?2! zjj5N@O3bKaJ$Qwy8pUJ5O=Z#N}>$|yy{(g4s z<377r|KHk*;FB$u#)a4*TH)7E)h*q#?byH<>^8MW zQ-@*|A=q|Ytpf!Kw6)Gq$u$yYGDVYIf^DB2-GR9Na^U)VovNt1{IdVybqT2)m1$rm zBtVZ@{gPqfZ<^&YN~g2iA;ZI0Ln9(*l=)%N2SIF0tlZK8(l zDdMhGUjsc2r?GJGdgXoax;!+sjGI0=8osA4^iI%JOm?6roe`GEEuA zxf-IwE`yq{2=)S=RayJqnr+Dy{hLy@1)DktlA6;D4(${sHN+tFxpU*dLzj=Zs!T)r zl-+3ppNhf{2aT9kDW?l^`^2WLsCCr4Pj*$(o!2ybA}-qJ@4;pnuRKLfD#9%{FE`k9 zaSUvcv&>VSPU=oFFUerOL3F?Y3Li@a=oZWmd%xgIRO)7%vL{|r3Adet#lIRe++9Yu zQY?-~@X#p3*?tdejOvv36G>0iO5z7S`DRjmy~oXoHeJ?^`(2Z$Z|OIZ3n!drq<&Ps z)_g{RyE_STJOf4GtLs%x=Wj$j&~l9Cr!_6*25Np|j%&2oNemMjFc#?NRAH-rSwPXZ zU%Q~vwpH+2b?kR*Rm}(UKMVe(7-VKbI*NsX1yZbu^G5=^(sSQueer$jo`k}eCY2*Xj7-?x*gK2mM+@*yee{)e?WlHxv=b+$g zyO+ce(m@8^#i?jrOB0+y3@F|>assrUdv#x-*5f_lb`&Sg>QV3)krs|w^EYj9>F?}f zA{gYUH!Z6f66{HfyCQ7hP+Uhkx}$&ClWEo*0Fm|{)cO?DQs!YP^`-5Z6{ub|S;sy` z2dB`Jx9wqdXt+i}RnpB~8||jBV)FBcwnGm;Zne-~&2dsV|I7ksNi2UcdJ`Q?7$E-@ zEbPJLW|X+fmh-g#MKE$57_iNCn!2_IQIji}lx8E`g^%~DVr7VBs`iJ3ipXy#?*1h*A?Ske@W{?APPRyyK)_8m#t0Y5}R zuz2=`X$R%eee@O6v^loJ-C1b^$pPZvj9`uVk_{Q#Ml`5d8a#x1|KQ3o_xl;MzpXJ` z>8n{P56jdUv`24%*gcqcjfF`YUO=j{{?44)>x0$y2%1$!7IC#5?i8-%&-D?b6xsE5{L~k2}rw-vlaN4qd=CHdD_|v2dpJjjpE(IWq>n=_> z>;5}2V1B%J14@7FXi3F42D4+;zu zxH1=1xTGUn4wr8Hp$O#CpsI_lN*TNC`s=abq5IY%u`5x?ODBhZ^+c6*2*^e^Frcf_*JLuVj~(`KNm`BJq{`1!97P^DC}y!as8z1>7S!A4ClA!L`d)KT9svJZaj&ebW&?swtxzx-Tn><6u)Kp*LK+ z6(q^Y1G@Zv9`H9rU}=?J-+O0XmllxSth^i?Qs0_X9==$kcRg-A3>?2_l${eC!3&)b zG-WoWw1yqY_XJOAR64*^4Cd)+y(2}Ies&y0 zGHh1|&G6kgL~Uh!;Jdir#O>LuEdLK6p#N7lB99`GRbJHHEKFOkZuDP2NVKVHiW&b> z#5bJL$!Sp(470Vtck(#{bBJdVN)cz?iC@IEBd;ivB_(Mi+ zY(Y;(1DK=u`sXc6sib0@&454ZjJ^M5Yw1(ZiGL6oVY{MbB$l^Sr^biTlBLaZpsrp3 z>%C)7IHJaE!s$V~Nun4rz|$D2vY`P|nE8u0Vx> z>OXwJHBQhZZ{CCp?IWTzkJ46(*f+e&Rqig-kvZ367`?I0@MeDWBqQE10i^RL@4T&a zZ5hWtmc}^bMViS>(L5cJoH+HF&<`ArWje- zquIvv%G9MNZ(|W-&aXG^?JLROF^dQN5+QF_W#WA7V+X~l*mrKn z8KO@WP=9O^-Z6FR_T=LC;+8Wmvhq8IoFC$hvM=gxag9g8uge{6lKwa}`_+}lXulsH zfrG|o)O%UM)>2307+2O>AD>1^b}nFp^G?lLK4;z37p#X0bW!8d)FGe;JFb*`_;i_| zeD^k7t4);+c$Rs`=sWn|suO`vOpS(bn06vH?FI94z4w$u!{l)=)XdD`X3}u&HbuIg zt0K!%vI66LC#n+2vl;(RotGCDE_1H^+xkDiZF+ta8QR5>>IABQE?ZxGo|hu;D8TDa z1b3jcjwslBymM~;mR#j}L_Vt{j&g*Jd8cs*2KBEiUbc)(Oe!!_MZMM4OdO|qc z_fFh9blAG0F#c(i`PAi#(9j)^r;jsIO^O)-G?D6-==xL03%xs6QEg9YA#+Emxs3AI zm^<$)_3XLWTZnJ##l9ha_A9vxsCJ?S>$7em)^^YWPK#kxEJnIJb2PL>#L()fLV?s; z*|(N=1FKxfDxU=cElF0Pc%4WSddmS(?-_3uY;*+DWNtmmxxo02DoJ3$lXK;Mmw4a2 zW`IzIoXI^W3rYu`W?!&TZePr{t)&d&idkqpbaJ zn*EhXeWCSi7ds(|pmiG)25VLD(khG62U&A7A{|HWeJ)y$H@=h&D-P3DQlzsZEB(x; zv#m%!kZ2>EAme7~&EfCZl??B2I19Q`#~cv6y9mlIWtsc~@tnw)@Z`1J&@G6vRE##@ zEj+nZJES7>Ool=!xqdP zUaF`XeuEct7H6r0q1FvuuBYj4Mj)P@JJC*CxeX^hB=6-c1Km+~;c1%^aww~L7mmgY zfn6`+-lzL88N2fDm<+PV($O4mV*&3OpR77d9Z;~=It%%>FrVcs&b@FVnBxCxrhU@n zFb!TcbXL!7aH1<#0t@#$!B?DU(vq5q%?dJ-Dl7aix0+QE4X^4sLonhSy_mK1$8=>| zZ$PO^K*0!<`%_P3LFq4zeDeluN4I<@Nut@6O9=E2JPoJ%>4|r+aMG2#vL7Yoq~!ih#o-;knVJkGYfpK;s78P%Eu!wN0;LU+cJ#w zgBKsOW6^4q?h(QD&IA7gobqI{s;O?TEGtHu-txLJ%Ow%qer~YDOZu&fsyl&)|7`{F z-G`IIWfR6Q88rL2P@1Zl`Ly!OZ-rhC3qH8gb=t6IASXsrU$j&GZ2P$W;gg?*Lly0p zFvsD;v7SGlW-^IrRraXpx9s3>Sgl(9&=6<5{q?>*z<}|~9DEoL<-@AX9JID{0>R1( zVi3CX@+JBK5hnHy5!g=d;e55Xcla)Q>nS``pfH(;deQGdkaHhwFd}dIL{6T z1nh1hy_vpZomDxO2Gcg-q!;9Sr#> zJp^l32<9~sNaTCAB&0^J0;mwSPl$v2;_H8?bWq&CWcB)54$12br!~3@N^3PoE&B_y zVO+uA_n{IAU~u$-0+NJbDj7ISY+fpUuoETV?cUgC_MjzULT$h&6gB~UBGX!%Afl^7 z(?8ELO3sy6RclCad!(mte{h`twT-~E+Sa;J##0yNkLHo%W7%O)WG1q3q&&7u7U&#V ziCJTx=7-+MFC2v*(BE2N0mR{L@bO}|po!z6ga6u*I*ls!ZNmF7ZT}H^XPU%2e%9=( z1&b!>sZnmNwX-sE;Es=W56>H#JG5}u=_Aq3F)nk91{_Wxb*OhlMLa>geKOmduv*J^ z8GL2`L(@PP*jpdC=@gjaGk!k@5Ml> z;M}jGr{|wBNV^!*{{fD(Gz}uTM+IpGMtXkIic#Kn&XEzgI8)jUE>brC5RbeUFrg=| z)8)Ovl$fQZqgd*NT`t)|qJTQ5xrSoEw7U_trN#|u2A=JB$`FL&I!An`fvB0(p zED1;tu-%>D<0V>##L*RdE2^;I77UH&#DLrIiBTVEKHA%eW|}m~9=gjEahBd$qC8W% zpCvIyctt}+F>0d)On4~Ni%K!;L`HeEdN@M@_gCPN1u#KMvYtC&cdNlm=v&uo~0Ex5S4v`}LPM-ygp}?WeI(W&c>mJ?;}=K1iQp znHzx;3oG#szZim0%;+gxF+~AF4#_(IRCur>G9i_vC&PV|V9HnIz;N?&w)g7to;rg> zwtPxcA9^HqiiPGH^ZErtMk6b1@;x?j(@naFM-3s|gV=J~Gj zo|q>dcl1XRVdAs296v96l!^PX9JWK|6Cs&C4Bf38+}Wr7;upBst5nhmINg-pf1lXn z{V{Szm~uf~+wt)pMk%1(c7tSu{EYI|rXzvnF^7x3)IxmM0EFq|^!vaOQ8@G!f3j(4 z_-3pjo=--+)Q_gXJ=qE&hQqXr999=JF5QhD^JN>6vP-5)Dk^*OFK#IBI0 zC?97^zfJ4*<|Wc8;WtsiPjAg?aw&tluR~6#M+UTMzQHj+(xNL}UQqQQT|Vg%b5O73 z9XWr{&TzG4Urtw}^A(x|kvy6@{o%0wAaD;0Pv?yYXJ?#hfZ(O)iKab&18f(6U;zOk z4av0X33KS&p&ia0EF2OJ@f zvh|Lt|3sna?Hbt339#7JgDwp+QDl(KU+{3LgleO zVnSy}gb1XH*;GfLR7P4{XS%z(dc<85E{3aKi^72C>oq`%`AwYxE1&3%p;Ct>lh%}| zt2*0S2nsx+#rwn)T7-9H{@A$Z!?@A1O?5TW2cLX_SL{dY1<`T?*Q4r@#$3T@1}O{; zwvHe|ueyA<82yeNv|hbz314lYxz=^@EE&j%$BoUjjdr8gU&HxYw~Ephcj+%SQS3T3y3fmJvO?B znCPaMhm@kPs=my2LPw|1N2nB>i)JvELp)Ov4j@kx1mA;fF&Wqh{ z(&5_VtjR3yliFIi>F@B7uWRp!a3J2e`M#3{87xGJ0Q3bxYr<#?Y*au3`+-Z9zLr#_LKa?8*(CVP3QqP$ zu{Nm}4vb9H5xtQAyztD;W*OrohjVhB8Xsr%KrnLfc|MADhi5y{#(`yt4zADn~g!>b#_fg z^N0%lF)ukvta?3h;Gxvl8ip(Q|DL=pPOd00@O3;dQe(BoIrKyn5&7ZmB7O<9qv-DF zv8K_}dg~~wY-*xSu%YoSZ4h5!1gtNC=%IBKj_1B9HA0VV832RgE10JrQy5pdb2Od1_)R5Y_FNX5s;DAVo+?dVur7R<6F zGpWo|K1&GJp9ex{HcMd=JEak?DHNyp=bO*j1W&UAu{=d;4T~v@lkjrM?rL+qn0-|0R8XK4alWtm2zLbWt zs-yr^k&MOZ>Y*CTKEY6;yv{d&tJuqi8SwnrP zR-Y?a2AGVgx|Y^Vh7Wrd(n^Kvb6WW%y*TZsrh8I{Fp)K99NjBH2KneM@%}zo!*0gS zTH=UeQkQ8F8B5Y1Pf(LT5we&~e*({EFeC%`ZIk;<_2vfep~S* zlzFd&I-`g!V4TY$KQiGe`idps*DF^O+4c*&Tmh}MQzjCHVMc`Xp}? zYom3Am20la5u#1(Ikhh_00YVQlw21MKCRbFr=@JPO5Xsf1OA_FAA!wH4f0aFtDo!^VRjNIvjaauC=q*lfE6R`9xljyQSWovN|CQh6>TEe%UNJtNYV0V{EMpNe&80R zrnR?&q}9{J376FS_>`H=JVv>fq_U@U{k(OXka{|DXXv=Y18yUlw!9d}b-&MT#%#$| zc7&K7EG%>glka?+m8Satu{W7r6ZEKksWP*fT?85Dh!SjaUfGy|hpP*+?(@H>cV$i4kmcYCs&Ecb(VHZ2&-L`|uA90S3Na9G zcFH*ZhlRi?H}1nei9!cVJDXFZ?FE*B=`we@$2o(fPMl z&(X}{G+(kJU;Gkn5TvOF$x0L+#wbs29+2vgefcb6Y%0F-K4d(EJ#Tl8R%>hKbRmiLIe0~M=F~D_3apMw zAm*~yLf#2zIc{}-H-E<}L`k&&Tevt{SJ6=dB^ZUwDJ2XA^&g{ZoWW~{6mJFwJm?XN zrDD;I)gn8fh#Molp4W7ff(wRANFJh7h7T-tMc5wW9f?3;9M=u zmsFglpTDxluVF6-cfQA>Yrvf4f>;F5UFI62p_BjWJOsdj^5tH-Y9~IynO_mh5Rd$w zA9PrEk#cYIb7Z#LI0hHK6l}!!O-Jgo!Hy1@==(nrj_03(pK7_&MF zIxgHycvsQ+Fu9N9oWT7LaF>RL_>4=TTphx}jv3F0_Aj2p3|zXRxRG8E(IvrsG~A2d z36_0RX9OFKezN7h&Yl+Vr039S-%Vwp%P+0-95YEN+o*xcn_ASs-bk&6?@In-sV>By zB_$zOA$3WPj!seM4r0rEalWK}#LR&}A3guu=eLHAiA z#(hszxJuOIw5Z>)F}HlCw{~}H;T$nuX<`Of$9SB#b}BtpuOWxFCni?P*4a!-IHB56 z<=1l0P|c&gxMJ%e+ab&z_C?t^yP(tW=rOU*Fm+Iqk=wO@&i>EN_u)ck)J|5-A|Qxs zL}&k=0W5lge>du$DIoa7ADRAB@l_-V9mQ91cePP-^GW4N)ZAIZO%Rl9$KOo(N|wLH zb09up2Oo_@w#$4@sXvsH)*D=={x%w`KV{YWi-MEd@Sl8aP$QwM60GC|;)!GUx&4DD zuy_EV>44DZdUECDchOl_5%%bp>5}V%gnLDziTV?m0nhu?%kq=?5CHfGwrjl1`RW7V zX-_?g76@XJJ(}ObNU~Kwx^fb_y4o1q=3@Y8saTj2>O2&RTt!aQj;TwS#hxKw<{P)* zr(oz_>{hv+v`c(SCMk+@Vvc9#T{#YrmYw^ns(X4n z{1VZk^dI$eBw6J)=D*CvPdb6utqW2W-des{I;L>M1DbCLALap1G_l>IRA_#FATp`v zes(vL|C4-5^!7s@?>n>K?<-P6iTJ%`djqZ>`mtQ07j|^o+(g)Y#DQN^N z6^=tyP*iDNUBv;K93?r!H4A-XfobrEL_B!%3)?Jcrag%x(ikFy4|XXNz98+kz{Qq# zjU+eosp^xTE)!2alR-|;i593)q*(-FaArw)fJmB%YsVdMll;YS?EwXDa{G)SwywY5 zUBN^K9u}|N^Ks-h#izcaQIOqXEz&0YIj-Sr( zGc$vg25JZZXtwG$5uMp1!+MRU7}>;R=FvjkA@Wm^VWzr9hfnC`85fMLD<>*Bd-;Zp z*MP}csn?K27M%8I((xCmFhh~_pw794IU8LOJ2?G3YVB+jTVY$|U*SdlxDdiz|9KOn zmB`sCZQ(7H*!YfU?W$T9=fMR%v)fq+-p8|viTD!S7F;#~W3ZCxX` zeEK&f`_qG--hzTmA&s09ts$i=>gX4&>M_Qq*kXLYBi^;%$4O28J~3rm&{c$TL@3RR zbV}dj<85Zjboors`}2eE*5kDkD|3}_`}$VG>al3pfspRafjJNY!&atzT&(~_+Pu`m ziFO|*);?+sg9zQ*RO)=lqXCP39xOy(!yXb1(-b>}H@7gplGXp*c);FvUb_v&?v}H` z2A0gp!Za)V4ow!8P*mkf5>fXG(jWlNq2p%COha1e2DqxD+&%ME(m|RVsfl(wX3##ZqldlZ zG^nZcn(qAtG!JIISCY`$kcVm>BucGQ0%k`;=TBiYiQG} zW8Uxt&YS{iE@g5~y^noC+3rpBA1TYwUZ1V#juZpk$DGN*2z2i+wnE_5L3z~-=Qps0 z(0}A3e3%LNp07zj0#`+msLdTgS=V-ceN8`SUGVa<`k$mWmtl~)(EDB4!tXkOp9S$) z{XIkpL1B&F+4w4XrFGA67IO06VpGt+a3gzbShla4-)LbdN$R!oG` zL!H`0?capL4R3A)(o7irtP}fR=zxwmll`2#ma=1E25Ckv<{iTc#a_#hEhaSg58G99 z1U{BCf%Z=q5AoLR_js^TZ1pMUKfodWNJq6t`QKJowTp?nh_aK46}6T5xCvi9IgYa} z?YWN;rSG`iL4<_q`)S@pI7@?--x$=a%tUpdcP45cqI-JVAOPb&Gk^$NdAPFDfbrL z9G4vw2>Gr{KFv3|Um}yJb$YdYM!;iA;#hJnub61~!p?-BiY`4zcjw0VDHaya!v^pD zFP8+|sneSbH#SriXgmMS)o-2cH7c-JT+{tm3p{Mrk5-zMV(u^W1Sn#$jShydpZE=o z;gaeeuF11&-sb-xnRP^M3T&uo$tM&XBW9Fd%kF0BT9;m8qaQssxV$yl@mlD1qQ%kI z1|vAx={y)@E7yj4PJ4i0A>t+N38NGW4f|4Nl-KdkG#n`io5YD3I2SRSzfD^u zhCfc$2QlLun5u=|YhizHWVi8}(J%i2^t`O<8LYp)r9gOx8ugibDiwpWY|tbv?kc1+ z0@_4b+P@-CqskUbfZC5xagnM7qb!_6sh@*(qlHcD4X+QSB-6VZBBi$X#@_sI65?0iUsd3d z_x1^;TS)m+UjjqiAFF+}fr<4S0DheRS?i*IClxPV_JDCY%ib=5Z}8E64R^eU`>#i| zn$0WodCrxYh~Q7aSb;;Cxc}wYZL5h}sY2`S|C3ZGZklA%;D)(N6Lq`;eC5?IuH}#f zd}L}UOd*pc4raSyMcDXIGX8q16f}AXNuo%_D)!m!=LaY9NXl{lRO)R3r7tEpZJBC z(KKt*AO=_3Km`NAwX%z|^T79r;s(qI6`vvr zm$j#gn{i#re~}WWbr!F*4CjT$3b?tO=NSxe`kzOSYgcDkYl{rh4uAQCz}Nyxkq0~r@>6vlOcScRIhWX^ zD5M;)?dKaocZ}VjakUHGh_>ZivEF6?_pB>kq3{D_CSOv^Z)Qs7uh@m+2NGYwvolxe zx}Ld!)DoidJOYDHh;U6BmtYiDeZdTl&c8Xmd@`VOpIoAA;930bVh)z=tDX{QmOqYM zo72iSHju;}Kok`y4aM5qs%p&Jcs1Weq4zTAMbuZM?^DEdpNzW zDpcdCr-s!?;;GXNMSN~McXHix_bYftiG>PNGl+u`eq$5p>{}AZNL5)6^{lx?NpMw` z{w)s<4_zyJ)L`z zVrWqX6;)M6K(~)61oSd*I(sMzDC7K zg>-Dq9tGI$C+DdW6TzB%)X}u3YlXE#16N|<0Q50#Xm)>y9`ls6R7WE99C{#S+^@}J zSq5NLL_!MUJ5jFjBB_@#a5+%8#jcVvCBYnHLM+ zm>~59NWThMGc^EXy^f;0*yW4V{{XjJxO(Rz%&0Z`zvx08$}7DLnF!e z^j|)$^&H8vC;yPStrbojOp}hUS)FV5R1M+j1Phxvihioyuec57iXb%4S_nP$XImX2 zFg&;4 z$Bo~6jgQ5C#Xqq~T4hqynmYa|nQ;EIZ8j7v{lKhzQ3&P#lQ2aw9Kl6f)-GQ?jVW@- zq!l+E^zstJ?^DyK4i1f{$_ZTDF}Ijl>quElMumZd*z>U^k~(J*ZCL+Q-wK;;W^6l) zSHii=??E+4=e65MJZs8kX#x@5_bLtenzN6lx<#%UA#LqypI|qB=K8!ItI7_)hi<<9GB0K3V;U##b6zR{Itoe)=|1;phSy+>px9O)YDEEPGPJ2s-M zG&NF!VC0NqEaS8zHQHe-TrYa?0sqcrK>UpctZyj3?PQWcN98>A)xJhsI3S5Ru+o-E zzR9hRJ)FP1=A}LsR<3h^Tu+P;*-z+^i5QG}E*o;|-CuAjNG6za&RZ{%V;3v2X%`ii z`=j4>8aXiQo_hd|w_NVmj%&KUD`8zHd9ZgPLQ!O>(MLZMd=PSc<97rrz;`3rC=ST0 z3%(yJnXbJ^F>T0K3k)w@5z(tXmre{WZBIAte3&|vk!`s1_GaMFfPKe>l)AO>F@nV= zkRfUc>od`?N`znsMm`|3O`rVmAKRn7&!6bbHkTRr%%~|n*uojBk!nS2V8ddqYuR)Y=J3|0LLIAGKJL9AjuqVBIQx837zf@%K8sL zx%sKKyXl7ei4dq9d*L}@F1Y8rkmpW}`vBmJs|u|E_p`1l9#@VyL?j{26EUCw(67P* zzqRC~>*LF{oO|#9_S4xjbu<#eiE+D+t4?2jz<^Gy!;JGBHNjj0M%iO}rv!kx=6t@#pFU%`y=uGIkfyyMN0lN4&Ap2@`n&muf4 z{islY`y*Er=`S+baQA0-h2|OLKf+R1+3f~S0%P%Q)j2^-#^u4`k;U}=beQhyTU)-8 zQ(Sbp)B!8PTVDk-r*$^@;xOd1KEiok+`#}Y1pkEgzpQTRFJJPs^gCjgFXxlEptYKl z?Yk?J{Y*xEam=rHBo*R?TygoA_0=d=}v(3G#aoByCU&fWg7)% zY4`-?EAV1GRL{5y66H~G(Ftf|0d+#`;04Iod+`u?v-pn0r_cr5S(hiWv8$6|=#Luq z3Hf)2ltQ<@_4o_{eOTU0L%DbD7OkG>oX0d#_r-;`@!_-mue8r5rGaDoY%^p2y->Ox z&X985X>pa1`{iP0+AT1SDikumE%w9l(X~8wvM;*1$8I0C;(|VZAJ`4w3g^&a+XU5j z3E!bMYoy=h`wefk=^rLb1AddW3wjqhO?pL1w#IUQ6tx&|Ijiz+j(wXRxYGE8^)LSk zk)N}8ABlxSG**XB=J*6IhJ$r?7E9>xC-PO%N1W_Dvz(XXiWO$3u&>ZgyN4S4j$lEn z=3&shsc473@zu=yzJEXV(z5Biuju(1K{dJfgFv><3?vW<-_T1p3DtwMMOqRUb`dVC zU3|>aomlj(E3~dQV+5*jVuq!A2K&=}qU9bh*S!f+N(Utx5PixBVasC2$KpA~!YlrIDqTvU_g-2kUId z?7SGP!K>G~0X806D;|@CE9(7wa*2UCtGP(12U9MaEg%_kLMK0+fKlF~ZxN~%k&S)& zOGG`+6NMP$ktRv}w!T&BQ=^{bb#uft_-2vQpy7P#d{W>c?}@*1`C7{m-y$Y+o{JuN z9VlAhDU2O8n%vX>1UMbg5_mLRU%cA_ZE%j+_Hh%gBXMMU6C-tEBcY_CmsPej-xSNV#% ziOL&0r@j$J@Np{0;(~Qui&m|)r_uV-H!p_cx;UFA`*CM1n0*7<$XHxxfun>4-^Yd; zXLW+0D)!8H_VPfBT4>vsF-LBwwCC+J=aNNYvmEk%IUfw5F4Y3@A;Urr^Hb?C`vT@m zuWs~YhMnk;?YxXgI>Z(B@|aXQ@TE8Gkk_9`8&SI0B&~_$2g)1E37}7;LO;nn6(5`* z#5Jf;9b+G@c%99^&?W(qA7up2=@BJ5EA5GKu^3?i1Z>)yx}S~Wc4?DX0%4e`;;l*G@6ro>GBlzpwu=U^F%+8i6c{Jdzxt&T$5BOx z_w%>Cb)MYRDF~TbBYeZY@79pxOy_Z8hL+A4DPAVk~0Kpi5$dq4$qY_+cT_hZ}_}CNNh>2T$>s zXuy8OZwFR*Y!NXbpl~gB@=bnC)6+6+|6A@u`K(zXwD>7TK3M*Ww-VfS7t&OJ{jJN! z$cD+qY~c18<2@DOXr?&3{KDRFI$=v*` zMv%vXZ1}qI_aQIEIF23R9*$Xjby75&{`7E+!zGR8QflCXwc4SXTR_h+sw4R?Z4LJ5 z7Uu7kXif_A4n|iT-F!V}=LqW(PNM3oZV+LZ9o3%F-KNDW<;im%1!&p%9+vs1I1;%* z!xWn0nfhH*2SkK%icY@xf%n{|ez2n?HK2j$rO)_lx@T=VZIotqv-w2pjniSTKUq!r zj*%E~YEP6sRib6#Ugf(LPBHO*ze{SqISJF=OGb@dV2a~%n!W3&&aaGL%*sgQ zCu`^VF>+3O`)Nd7k7Y`1IgVXr3XVlVf{uB;-Xf_Vp?yG}O>%MG)!uWuIZYGo+(9{a ziTacjuJ#^a_<&Z0^>3L8V68off|Gl-0sZA*K4C%k`6E(^TN(9t;0_-cw#F#1!)I6rZuv%p_COpvvAn2q zvL~!QZ>);=p6y&VVGoK#-m4CrA=ZhME&e^WgdO>?tO1|0HqAF~wpgdzIkzei8l9HA zaq=d~Ue>y*LKDl+&p~k+d1gwmCCFp@4B~bdcPg8xRqHB^j@T@CRs%-oUa&KjW!>AAc^!R#>3rNyL3!_4#E=&e0mc%AT^a^=nG4KohVd*T_T zFgm8k`1E5NCHq$Sk_cViU3b=;_DePQTU`gSf-^nJsZtXeq{c`!hliLtY0;>CbuL_6 zoNiP5UFR~Y8h`afjKZHYrdd^;b4{yzpe(+uP*nGHbqrx7RF!ctWh$7`++U)uGM^N3 z4#HR3?M!_ZBkVeSZ-qD7|A5B4gIiw|Vqr)8fr(ywZ=?dwd`Utn@8 znlIPW6-R1dlPXKI0)(-nd^;7-j&5h1=Q|EI+9;`-5nq;E9Q%Vb5GGzveuX4V_UzQWx|J*0xAYidhLzmYoC3+!W4$JqVc{pu;TkA%Feb-k#ieXfFb;ZA=WCWSrStg6iqws@~t-~axF2?aD8M;1Op{MPH zSP)s_%2l>|>p^l1WrMmZp)Vc-DwKGUsxQfpKY&96}-r;ChB7*u(a7(B)0X<2W+?6$t)R;g7k>0SAT zNp*<@u#1t988B(iOEj;7iua)nLl!Y$%WT~_wlt;OjL2puM8HqTMIU>DmX%=o8O)*QPn zP0@U)=%)LH>e)G~uETx1>FNF&{?{_{0YO@O@$nPx)eoW8s%gfT?Xf7APJtA@#JB7v z*yBo!&$4ihPSDH9jpMb@LG$&oZYy^TG{m15-ShgnCgPspai&kGVi-3&jmWV-vYd% zZ}eV6e@XekZZVHlIJQoZE5NY!jD#`Kfv5VW?82B%p^|gak0oAz8L~VzZ{mNL&n(H^ z6V@J`#lBeQ7X{VYY6%|FSd77@45WIn;8L7b33#NQ>VKc4d zG-;)>CC|`3rFCcPHmJ|sGW=A1!S-==qGP0C1u=)*CFS2mT356j8tT9n`ZSuW2)aHt zqI)vp+9!_h1|N|o+?*SY9i{gK1j-k?IWl@B)!2P)u_DEZVmpeXoX!$EO{h1p_vNO5 zoL{H6zoq)5N(NbX`YW`9Q3_lwy$WCX6}3vK)#9B9AFq92W_ixj`~0MRz@F8ENLr^k z3*A2wNHbq7)a~Q$ic>pUl;xS`zR8PzD6+Pse+TlC?iMdC0}?q={?bedg(U1E2hsxppzhaP|L9@GqG_s zTSTa};|D*8;JdtreFq+XalQy6eK#;2+cj4x>^#tUQEP``$T~v|w-Y?d0ry~3U@gGC zBQ(XB+HeGP%;}qbHdD6@Ds6)BixdF%ifhy-hWLM@n@v-KAK<<5{x#EwO4FsQ2(-PIB0nA6xH% zBGHacc3m^Mc)#WXmNhYjvqX);7p$y0d0&qtjUaC4%1V?tL~(`?v~ZoT?18}-X`&U* ztuvnvEPHIX@mmWww(+dQ>j?t5{7uR{fEVm>$DRL~+d=3RT6Chy2nLsl|4-du;bZ9# z`uTx|Rx1(KWV`&p$xnG&M%axlK(d^fM@KBBjjjh@K>>&48ndutYc`{W=f+zF|zaQ5QCvY-nJYUEO3-_!0pxyvria~$SeB{b8AYzIqAuvvz z&kiBzl?}5WoUp}3Zji$YExG;L*$Bt_THi!ZUuM9?iY?ceuwv}Y6RR9K4;UMrR2q-b zPRQoPNTCFXwIV0if)IFzdCzi%T*QtwgZ4lxKkBA7H%kRC`Igwb1JsE)umpHVFa1SA z7D6M%y9b}$ZJkAbH`%dJFafmb-O@ShoFQQ)iDBY_d)u3 z8xJ;~6Ll?1AMvGhj2FSNdQ}i0{ecIou02TxBUjIlSI8jkWNnPea8a?bj7Qu@#&+)> z@Vs6yorr;4fK`sHPTln=TH&Jw_hb1>8niulsW#9?bxJRbZ(jAPBFaHHO7x*SY$vFT zydzdJ|GLu$kgPa_jZ!GRM9io^#iJ>fG&*KF$&$X1700j)NJ8o%lAq|p(@R;iJ&&(6 z<;wG|8w~V{>;5h5yxXjft2j>Q(&E5TGSzIM5TdR?KqMf<-mh16|F@TH3zWz!`6lw0+7QLjI7``1azh>z55UPCuettj07~0&EbWFNHd{yfMXDHLs znQ~y~2gd105zA<1P?|deIfLWqyA&lO%dbuYTMmz)Y$6fSB@&QpV{1s8mCFtj)RGFv z*+mf|Mg0=FYI-qIuByV{(;MX?m~Tck3@Q$7p-Pi~iUmI0{4zzk>!>>*fjZ}{!1*eK z8~~+|W{B&jQZ{7e^FVvTTuyBD$i_xIvr`4^8;gRBjc{|KI<&^65m)cmv_HEK{DtO5%}@S+8!YV`as+o)7hbHP<&xTw;i zjI2%z_r=zF>}$;@@-~h&(F{k;btI@gz|TZ!7%UNkJ3JB&xSq-FaH ztyvm1e~YJj=}tz0^H=zA5oG=Gz0nP`RLey(@vHad{{#5i2>ks@v}?(Ou9&f*F4to% z4V?YU8fSNn$r+fWsMfzqTl*oB_IAbTGN@fBtH=yiyB`KI_V(o*hwtitvnx?@jJ4o~ z2U830hL!wp_IA3FXPw@COZ^b>L@~a+FM8d{F*@qjRITBbgr3Z6&PT`0OT>koH~CX- zlzQN8DytHIrY)1yO9PFN6jjJFm*|K(qVvSOx>I2MsjEQkMDY$M8Oi=OtEb6yE;Nsl zbMR~UuJA00p6!z;{~S@xzT7m(9c%eX@tm?$&(5D21XoF0=6x{EwZ+1|Fqch|Z^jWZ z*+4$vaZS8Zs{YEuNc9HGeehOO+RqF~z)Fm9W(2?9G1NCkx zRjmkdI^Ah>oUFDkkv{8$vhyN@N1#YL_`+jgZAqV_;X_9s#5A9Ry3W&=&61U&H2h)RrZ z@HFdX)394a!2(T+wtGHci^W^5b=UJdL=1LrJ-(RznHiH^1|ObOIw2@yj*sH13sZvX zay_xnjPD3(g#QPq_v)}3?uIS|%*=k3^}3kEb@RI;I&~b#j+He~G-nGOIupZ@Jq4pm zX{od{jF)H5v_7yQM^*>MnLd*6SFk;sCuL*9`>=gR2*DXd>|orV%d@mk-{c$Z9kR68(E*FXttI917laI9{d(k5q23bBzt_lAE@@KQd=Tqa;jRS%X z^5B1r@Ft2Goa6w8OTLJ5o^}`{o3AHt_J8nrhz} zL;jBT;1=^0LxUwW#8e+@gFpA>%g!=~$Ktw}Y2!q2%^7J-?&19Yuq66>rFT64BiB_u zCn_QVk{&+Kx$$+J1W7>hNoYs|wX_gFyuMGET&*}M)iil|8f36&z;5ks^r0E}bZIl4$qduG2@A4E;&haNg6KQK zbZ$%qwHn+|(t8V0`1Yo$naXkWWF1jI5b}^7m%O9dr4B|-j>4+nmm4Qb{;WJXozV}t z<=NDdq;ZXv&J6fYzj=Wa{AvTi0#EOVXq$7I&F29?g`jGii#M5OhKgnBb^+-Uz`2T}9EexLUyKgBBoCqWuo=Pq&e@5)3x4O9tKFYVw>2b}745ERD#rt!Y5)oYHD6F5_ zQMkE0v*MkS^9c=}n4c548}Yc^#`$--tsejSYV5-1botYcG}5x-(M{D650v!S9KN?5 z_QisMmLowzF5hHqT6rQJyYi`JMslYd=>en*#FFVyr^<)`y3X7;v|neac0_!+MhB(Y zs=47XnzdP5qQeh}nU_5~13;xrO@%&V_75l4T?!>`sYdy*)FJ*`@3q;2_BX0b9Il=` z{xRs&VL2I1PmUyP0a4Oe(U#K@6W@f9l%)BEUJZb{O3g)zWxW8On)(+@T|1t zoYFP*G05v}$h$}CMrrw1=hfP#xrWf6jqU>@db$Q#s5BVW|OlPJ0hOTPQFU1 z`>GsV1K9=juQ}7!yanbAftv*Md2B0cXmN8sWh-DCL(auh;K#F$K?0H$SiRoCQd*<* z&X?6Iw9Q5jQ^$w_YS5EPYJ{*ufUR9==9gJN)31gPF%pGEW!MbD>{T~VL-88@UnBOd zLCJnkr3Z$hFDL9;nf7O6)vT;lAznvg!JI>I;XMpQ|61#~E>%)Snd38#Vzn0#*p;~kf^cnT|4ksvAcYs z6YJ3vPCFn#@!H`DhV-{cunk{a2rTtl-)x`xXVP`!56r9O`Mxe6a;*4@`1vbM>U~^> z5-WOW(Z{4=iFuzbk-(i1_2GiOR0Xg8Dyur3ml!z`kp>2e#~r&0f8LQT{4y5LM6LrK z#~}T-q-HqB`^mi7pSZC$D=Nx>&XIk!F@b7eChqCQ;O2`@#ia{q?Sx3;RFvJJ`>LXA zW4YNSB;V9jP{9!bko8qRAdnXolih8?JU!Tzu0Mei!0lXETA(6#;{^0)xXBxpdbL6! zjJVXNP?QOS-f}(Gmt#zZm;bS|ooNtBej$e#HN0h-NB*9J0MW|gLq?sl+c~k>29b;!TDqc+Nh8R1F;zp#JRIMp}lCIbyYb9uoqS`L(O_0+DTXSHkJmV>e zI_vdDz0-}A`8M^3FQ+u`CSa$yq*!pas(QBRS}fpG=vEhD&+`7)u^`5StVyLSsv+=8 zjhcd>-Yjg%6lY4;xIIr~l_wveEBcO%UWqZjGwF_zo!cPa(oPjfE)(4MdHElpQX^$!#`yEs4f54| zy_%i>v0+-(9R|jU@~#7*X{`+pSN&0jIZCb@C#T=G7?XPq4WxKpBx*%E#{nn5RjlSN zZjn{oZUyE(0p4bxIU0li=4itm8d2HuNVc@1g8lIwiIEDDzjJ2jIwfvblh&Cy@d9n$ z#*6Z{xQp&A?g{w5|AhS)sGvQOXPs>x=9Mo^7w*-Y@DR-Uju1H-b*bJ@7R8k;0y0WSod(~TqzsD4T_bUk8ud}@H@j?xB5M*c{`dSPR=@ty8 zI-l;-!1VX*Aqd`=KtlJXV=EBH3qKff*Q=HypkudzSZ zbA`7&M|31gwE~t}Fam+kWdG^=Ysb}awD^%xRJ$0gKURM`?-*Sz{&nTk268dwo;j6uSzQ%sk>%}7NE-$HZ z$wl2huQb^Un7CTggbH9p?aHxSvHXe$hrG|+2ECY1;V8{h%9IY$Xht6&$7GZrw>E4> ze&ng^WEq6%>Y)~aKF#f(o z@riSIub@autA(%@qjsffRx`{=UI)bLk`tKsJgvH}ptKyTYj!gQ{NCxt`}v( zClF3@&t7tEHqlNM9;51b8g@vK{J8@Ocx?*>LnQJH=h-aII#m)eTwIQ5N-7oRm6_?5 z1~pW4CfPgRRhKPLwtvt%(zvCm6T1SeP&<1w&Ri*@V*ZniTll{?;d!sOTqAqdVdp>7 zINgI;j_@)kr5_X;HG%a}B;_-_i(_urwz7G@hqY6_>g~jacyjw^;HOWe^vQomLS$@|cgd9vTlmU&I)yhSLM{SEQri%K+ z-oI3?EzIG>Rs5Xw`D+EwTZoPH4QCy^2t^|f`aDIcRbcj``FG}qI}Ln!rqiz#RCtnd zm@0H8CVrCk;dWUH8z2+_yzjo%6~o{R4z>7-9Hq_+@X+k4HO!x5KTA)Zu_0ixA2()6 zpCq22Q?_3S^eSuXF@v)3w-7%9<|2WGo*DK9;TsX={{BR$-3y0Sg`1g0>*odO!ZqS5 zu^LJxZ$V3%=1Sc0{M}caPuW@d?(-fFny=0UweClE*k8KCEM3@@QJ5U!II#XK`jQ?I z&~~6LszHWoc>JKxxIW1;!}w>ySrKYQSCPAeS+a=+AQjUs@jZzyf4opNS{d?e$YBC) z)=<8QdUw8dl`5%+RIoa%kx~*BzjH)}u0k8s%d&409;*nx{-$k;eAZEDViZv3Gq{p$ zNg?-`C`1*XGOjo!-;v?Zb^*53rwf)o^praA456ltO9^%p{O@?`bgYFJPJ1@+J)yTMB9N-GW3yOvorGl*#&-^v$#x0LirZ*0&eN8!HosV}<6UogdAZiy+^hSScamI)_A zs#TcN{mc}cfk0OWAoHA7e#Lj5s-! zn(B5olPgnDo6Y2jTcVwHY{dedk5(OTy$Abya3?A9_P1aQdy1JNL_yG2)pvLWKBZY~ zI=f9jOUz06-rOdndPM&i=F3rot!xEW%iwtZ;~3h4kDQ(E+fm}X8>Qx1QC^*wVt-5y zeZ1}0jA;vNIO6_DGD1(ZfsHpD`QJcq9DL9(`~=UtP|M-P?8T}~BUoQ--x`SXCVlKg zMF8P`$r`!*depzQ68BP%YRANEBi8lDuj`xr2Y4s@)GZ3CA3Qjob+9(|nANxOV9 zpo^P)DEVFHf(x=Jv^6Rxgfo?xs7%Nc(H*HCiYlrwe0%k`2iy@dRweyb&w_Q1w8g1^mJ!I#MzNp17{hpvcVm~ z23^s9F~&YiP4T>cM=pnpV89R)0}@7lVrAYDT^ z4eTyp`^dn)gq(2hCDG@XVzq9G$_?GW%@pp+jeG_foj0C|naoKvG<9N!n2n7|OovM< z?*IMAhUvoE)-&|7#R<*iK|b20L40FS3%}QWP4WIOm_lNap`cWFYizxp{#@d>_W@Qg zwm?y|e7BM>MEz;P8mrHsY80;~qCATa$qfyft}0&CNrkA>;0sG)Dfb;g5+qcNukX)_ z1s}<-v3%r0pye-m>Cx1@F zK>U`Uw~@J7TYmxP{reCz!LUR%t|Q0V9e1QiQk^z;Bl)8qW6hl6w$c?N+5z~IqtRw5 zn&kZXyIEaK&U4su8X|?=MJEe;KCJ=10jyVsywmU&0(8F056uS}%$_OW9sM`;68&6v z%3;mH`ws3yaESXmV^**s5B6g$592UTX$VF{!n&YLpP^}UwU|Kuh9N8&uu{hG>_}>X zWqaCny6%i@uK7TJ>J%(Z35IU( zMBD8(Kyz;UV4^Tq&V6qREpB*E!C#`Xy@@4Xn2zaQ1sX}W47V&h_vB*Wka|w=*mWzi zIR`gW$DDFZg}rd@nM54xun#{u*XzEa9t%jejO97?q#AcWg-)yOh~4fgCkCjUHq?-Q`epNz_D*1Yl|lUa za}M@9qSq$RHM-AD+(yg8M?|eS*Ur9jG`inYnyIaDE)mkjbc^2>sgt+I^nKdZK!3G zymZY1@LoLDCg=3;mWUV+4;dL_0Yxp!Gy2CQn_Q8UC;vi65xf9?G=hTG2m&61weq{& zuFGA>4y&*GsrnIE+xih>AVxFqVV=-4DA+w}Y_x|v$l`W=W`v8mrA5-B(_p)Itn-EX z4kHN$ALMooK4$3wgro|IPyb$p`;~3U2`#Vz5{)x_gAbmdUa`d7HX$R?-VCFE@BQYa zEX$q$k@8PUo3?Vr`(I}p**6HLrJqcaJX$X~)O#X_I70f*k_2MjXgViE1mh}as#TGJ zHnuODK5D}K#q0j2k_bzdoeo$0IyGP{0DH*D)=^*jzyHL?^w@Y3(czT zwtldl^bh_4M_8;%iC`WR7p-7Wvkc_2%UBg@sR5khu(R^i)Z&d{w)zVhQKdLJ9Jd3q zc$8-tPh{%%#~-nGNLbo~;PFdXw4v&`HdNkD0Cb%9bGf{ZBk#fvc1&QAw> zl~UE>RlVkkv=U-&ixS3H3)a6YzY^Jh-26hh6;Pk`@VHFOVADaNBp=EFw5Xvy=EfrZ z{{v_Y`SOH@ElIWW3`P+zv47gC|B=VS@2tOq0SSL5?Av$m&8LIFGpNC-rdK;4xMV9o z;j4@Q+(oTVi1za~^(+X_QCDab!daG9$4WK{=A!N7EI^;Q|8@i|O;2wKc(p?*vr|m0 z<}H`;^7vHYrr+h6hjmNswfA5M=QEcodKBtpZ&b-Ni|am41I?td&xA%?l)6Y9?_xgb z?4a0A-;WEIFHuoVslKhWC@YyRY`_+E#5*}>I{DafdRo8h)XA*c`|y$DRz)En#<1gs zCh}>{E}rRpJnJf*`r;dDaoWGuo!Gz&JtpcBE{FC`6mch55JQkV7T&_w{(55$UzO)f z%uLF3l^AMOftz>1r;B=s5{TY*wz`f(b@+0KEz&PWBmqej`GcSV}JM+1fM#(1IDk`VEzY~ z#TOkQUiH!gj^~3`vQs;Ttn+UVL)r(ETlx_-gX`qlG3-*qumm~7Q@r~(_31`O_7JIS z|2f^>5D|CVkD6BUi#$RakNx*V}aYD~!-vz1>C^jMaolJ0Y4l%J6U&ZL*ya2`iZ^(@DZjm`R z7@x6JFfCq0K;slwmIg4^{u8}2TZRn}Pz`1Yj7|zUNC5Z1UyiZ+@^68spI|M;rlxK@ zOCZcb_}~qA{tG7w21iOF+?b4xG54Tq_p(k$X@Iqz%I?kaTnVf`;B9UoH*<4tj` zOF7c42^D^%x^qvwl3E4lbS2a{CtE7T=6I++Feb0L%H1z2#~X6uYA>*)!|YF#nK3r0 z){Xbf>reaIk>(gyw9ip71zAe7EWO@jYM=RJWKqx4-l=H-w!XN=4%KeIlK1a0z29GUlpMN2Og|tmXL!CEpub?(pn)KdZEDv@I zs30Zl6p`XR{s-8Q!~+|$ehqb-2PwKod|o}&HF||1N@Mb7&*fmm#{*`u@BD)yvo(|h zZi(dkdc|doqx9!cBnrc~|9O+}sEeW$N3z>s+oj>bDGv0IG2hTW(TJkrjZ~!r0bJGb zXcpt+p4o*}FU3`0#fESi6<;d%*b1)&zE4MW5trrwy>Tlwv=P3jXh**jrz4=BOQ9y}xmuz-`9v%?G4UywJ0vFqD}cyaV$J!7?!ypZRoaiZssescA4f_s{+Un*u_-7~0> z&J!G|V<)0OGqe!XGTxn7AX}L452LU0YStvGey0nvVk4QlX3+ekJiFn#`pBL!W}Fq0 zZ|BB&es5EkAq5%$xivK7W*~JUh?;A2A~l+!#yeas!5lw$Qe`jKB{Ec%i<)Z40nyg! zs~PH2o=3(wPlQT_9xGl;Qj&`cdBpOXw138Leao=mQ2mwoY%Bw@AKNz&>!V*uZe^PD z4IsHTqR8@HYLA6dlNHn$%a7tg2oz9y0z_4K8T^!aiHq6}HXb|S327A>F4Akd*069a zuRQLyCYu)^yQi|i<`QrutrM>n^i{FZSQqn_QoJA!TBF_T`sDur%y1d@O7RWVj%NV` ziyJ;wUbLq>qR zsC4_9d3M-ZqNs^3LseRKs?Y)R#dYF$$K~O5`LTX=bfRK3>IYz|`Ifcw^x6$~t@9yElRlEqs zacoPxpqISXn>PgsaJ_>4`2W-kG>?RTxhmM=GR%M2PC0p>JyCW&^mu-wDj5F;QgEe& zZh8%!vQ{Xn==J}qdeKA%x9}{_MWUzdJ-w2cP@oT0%Vp`MiW(a=VS)m5G>|d-5Jm)< zH!x;1gCkiUvl6#r^{9&%X2?>S^mhW*OCcA=BlTRi!P>v3F44Mym$zkz>Kk$71GcHG z1-idaTR*O|X7GV~$K71_BUQwJZJng5Y$vhpu|a+{e4Co%Kl8*YMEW>4#WQ2?$Zi;- zrV`Ea1I_d8Ux^p4y~VL}gs2ULUQt-#c_f+QjCGk?r)Ka;xe{t@A$uNtnq^1S3VIgl zg!o*y$k8{P=A*fNAyo`zqEB3$#9P&SkxL9?H57-gs<0v|EkYgfRb6`e1SGpJHg#93 zQhJVX=CM|Sfs5GYQjEAR50}z9p+uD6x-b4r6^@FV2@b@pl>?zq=LjFwXTi@FYl83{Nuj?}SK{TGJz}m_oYy1e&l$O}9?iXuU1!x_#4$D` zijZY6nywTn6BExXHcZ4?*j% z0-yI+!(kJ(CWa8trE)wwdT|@n5}5HQtfN}l3)r3A+zD~tV0-t7l^2~SdPLer?ltj@ z*VQ*ZrWwsxpW#tBGIJFp_$inyP3kIwF}(jna?Y65DpDVDM!v2Kx2^SeoL9f3V_nCO zZHriyi7Gr%P2|+c^#nc_luO@!Y>0wEgw~Wjvg(SLO+p2C6ueo<+qmHc)w<}1nILBP zYRb5yM-ny7KztAD`YGquICs|168^9Tz2V$U2h}Y$+>nmbR;{&gcceTepppYKN@B{> zu$=nmPJZ7xbDz7@t@wmcB2c}S{eRCEJQ*AEfezV7a`XC(NQcMP=W%w+ZO-(S4`|8E zZ)=DotBJP8I-4OgE7Z45$T2WqM)f}>4XWiI>&vBFReGtxppFJGok$faAKOLtOxWa+3~Y$wMpV`i!9QtJT&_Pmjb^x6r4eZcJ0XuQk*C0^}R5N^B)v2D5i zXu1W&vT`lq;6wbS=(Ac*{{#H!^pRnYxXEsUfq4Oc=1RO-;mwW2P&A;u?quvTczp3=1Wlp-yfDhn73m8VxZxi_7z54T zQ2FTqz22Z&rZ;pN-$<}C;sk1)cQZ*9)+Me3-o!P9<SCo9cOS$9N=iI=IHw+6yzDLs*ca*em#uu32KtBq{^@}jQ0>WO9GZY6%@62nL zy@F3JE(hMInjZT0b=cQXV5&O%Av1a!_7IoX1{M|R?tX3hnr$~l1u^964c_nJq)ahS z48}-kKDF5a+SR|lx)=G5)!PX!Y?amc?#qQ~L6d~f;^Dy<<-oHTBq?6fs<2^7yQ9|Q z?OelK*<#hv;%M;3M(Nl`wfKutca(eSXUoj`VVy#4Jpk)x49t4QR^z0r4~B2cl&lyX z<^OJ1-L}}qAar`!L@|3bvu?^+TUAq{X3tB)glZi6pLnV)=||o(*>O4-E%I{+0{Y%S zQ`VgzFsih3NkN0Y#4E>;tLF9{3(PmxXnebq&evgrp3Lz-q6R(#+K&qp5m3wQb!PI<3yh!4X z-*P+_E$G^)fs|U$4n+=ntJ(<#hFe^rAPXnyIwY4ZK6U#$TZZ0yO6~k^@Ff{~dr*?e z5j7z;yM>~|>x^H|^CvHUH_v)U9!gh_x3>0<4B+-=f!6~^<*V7)Q1?ug22+ZCdFiFM z?55+{-oKrmTqyG?dC%vG5Yj|mGB(+T^D1h^aCL@xW+Ty#n4zR1YkSKQam}eYVdJ>E z+u(PTgdU)bi*4&!z2YXwxWYpIKN)s(mjh~gg+fZRyRjT;c_C3Ss(1&vGQI9px&z;? z8E77O(`^vQTuLM7ErU91y=umS9te1#V~-jpaV+q@-Ibi6a69Qw6sI9(iy`@GYe;o2 z^Q9#Csx1wvDsEeJwY;MTXv3o(+;qy7ZltWz)j^Hfo%-Kzar}%N>+|strco6*&2fk66rO>~J2rz9R||)nUzU@Su=_`c0`idPkc+VjJ#8qm9ez%|P-;EYC{+rWmpwL?L0D z-rB2x-Ya?7rHwx@B;J706tsrSA1*}nX;F2Ac+Vdl-s>tlS%2q6$`DT+>?UGCn^@vE z&Ek+cRH?H6(}u-$&n*X+dyDT+D|5;6Z9Zy+t?e62Rk*^h#l}Oa$$C_ki3xh;u2xa%qd}g zaSbyWZbP%zgT>o-c1iD?8Df@_uGP3H6FN3t!iP9!OvwJ4HcON z?3$>zE>4#(qzesR4r@Hqvm=2n6gZq8WqA+?TbB3ux^#O7xN}o!k6SXWf*#T|<(I4D z(GJI3ccO8lGgs7Q&Z2S!$&!f@N*|b>E8hd#I2MtZICBb53bSH3 z3r*1CQ@B~F=;iPFvHJR>3ELY=0V+xy?^dN|(tRP8|98e7mj|rKP zo8t%-b{HQY5Gvg{&*Hs2oz(;F9!$zLHRJ;}v>k#7pIB4*tiD=7E?%n3db+<>f?D35 z*(wa~lP)Mpj^V8y7sS0>Pj-s=diNr3x3fiM+UBn_*%{Ll#L`RyOk(!8^;)+4=H*0J zdil*uT75%*XibLx3=YdOrxLjWQM!ww>nNoUT7ERb$fOAPU@(CctOB`qWcEPwqgBTO3iFdYMh0n@+;IK0G`vkIO z)d|^-U*ap^?X4f_o?Gdi?phe@JeH+<>-9zD25YCJh{%ztd@rSw8s6y0ifm!0bhA}k zMBGk+~H%=!?DE2}8CtsV@BQ4}b2P#P|=e(vg|`y~&zq;adc4De*en99Ty z-;+$46S_1Hv*0s*@#BAhz5I_W@Bh4oONhSzS63x8dTHMABXBzm|M+wq$ z@0#OQAW)2|2rNRHy0n)P-3-igiGgx9D?UZwiW%l?rc2G})}hoSmTF~PR&(deWlQ_< z7jn+O{0?|lPAcQlG^nD?8LwD9-z;Do5ylr8$!LGfQj&tV-Umljt!X5uazTC0e}kkr zH8b~~wf!S>*_o?ep$xgB4Tf)9X)mDlZlOuy(ocpqlGOYt* z#nj>2KnLcw+&YNBG9s;Nf}!z;B?y#{0>Hm*zHGOFa#3 z`S2NHWfR9iq#}AyIjQNQ0X4o%LnxxuXyFuy{8A;|z&zD(Y#r%LABhof9du9p(RJ)j z<=HFc7XO()KZgvLo|kj!q;CV~Pj1+MU=9FI#NP@~c_ceJ&sTOQH1zKgXU{Zkf-p!) zETb-binmqiy0GaHqQ0ST2I|}{3j`MD`$XP2fCQ3p~+XXqc9_(>V}aQ6`7mr{l`q?Gcp$bSh$`f#?vsB zed@>`!!_FkR1d`g7ucHBTSZ#@dx|S%vM0D6%UYQ!3@1`YLh>;@dd;BemPx&~A0=gc z59Naek?+-Wv!;|f^2WK(gAFdqIj7d_B=fVi<*Io@2Wwh3&EpGe@;6E$3Hj(BT}BgU zi|-1){j(C+kn46u{()P^GldD<&yvSg>HV#)cPfJTqGreLk8A5u_8)F-O;7BeQC{6H z3#fVB?^HW1%v*AW(qdwW{e2}lSC&}drtay9Xsz$~NjXVtW?sTH2a%XGG%VcGJ?OEW zB4Ey1TmPl1Mc`CkoWfCIaQov&q)G)uiE_ScU_h`pB|%y{O;*SJEH0;CC@b*z!z|c- z9z7i_Du%oZf1H;my&a5N*_ZB!QQ^G3$Z7F@9k-pqJu7Edin&VFu*mmVx@3d6np7d= z6?dc2b>ikHa%`{G78{^-k;XCKhh1v|JK_7-z0y5#sY8$u=hz?meB7B3PrsX7 zR$65fcwT`fa4mA;X&MEjJ5(uao?B9+nHJ-I2sM|p0XWc?FMa~v0xGxk0n)l2h(~7{ zaKF!j-iM5JS~^*MW(D1_5Tfqf`)cL1+AXrnY&#iS+Qu^2ldCNq(E6;RX;xk`ShwS$ zdW`XR;-|`Ryl%XB8q<>@rdJ12^t4JjlY7r{#>Jq>GqeNBng!}+2?*)@%x+QST2{pZ zfg0~8Io7vtzKcl|^cNefk-x>)SxR`n?cqew{CJG2?p|B@n6Jw&F^-}33Ik0anSg4O zf44F*T5qMwXld>HfL0yR2RIhj`lT*!z6&>h`M5r{b+CL1H^I1w+TWRS(%3nlN z;!*>`_z-&-N>&hn!&Cn?`_8AEo(mLP0YOfvRtMSQXlUeSawn6|vw&k;tzL2Oh`0~> zPP3kmeCReBs>IHp=?bMpBAk3@)9~^)O&e_vFZ3!SsJlibZyX4hS=O(0dTm}RuOXX5 zh0kZ_*dj<=rF*`_BJsZFFQgZ+#%RoTP!rK4i=OJ?5u@ultH}v)7m|MsGFE%?j5-=~ z+r}p1GO8Re`|vK~g-91@MJ}a$?m?;NFh|ghPpNb+HZl}buUmW%X~L(PukRrl^%U7B zI+R7)4%}4P_B{kC3{{dreWEGzowM+4-4nv%`z@1j+!r6i){yAjXW#DxA9NJfeW6c~ z7@@HS5ZV{NxPlYNo<6tbmYw4vm0bmVKXz50XO7OzS4-VP4kUZ6OXUnEu=40nZdjV+ zR|qjA|Dvr#TV4$Yc)Abn=LAgpyib|mO)JK@A3bbp%LNgR6zH-_ZLRQC?XmS$Ro|fl z^>R5FmsU)l0XSQTT8@l!Td3}NbOXIP!D`+frN@%Quk+s_|SPi_l52ukEC zHOq~ODof?eIJ^*?jmc7yY0C2bdn?2$H>l7CAgt*en_&GiQp@cymeKtzv+F#?-%8DW zHbM7 z(FZ;}ea3@*$45ko;s7XZ=UT7rYQRT+9@0>4q=gttyS1?DT}rlnrV47e`u$Bdpbe(h zPLZc;76F;&qxySlDA{bQn+?f7g=vmkHm*+vRqTz2Ww7<0~` zpzHTr1jaN2DSwdQj*#vs4v@I!M$Kwd>F$tLImgC=2^F9X|_?GU6 zFaa`=KsMHCC@q@oqrK4~H^a_0%|ghIOtm~5K?@$#hx1x=wMkwI^YATUI*Ja*IyL${ z4v~fbo$*T0sg-X~lQ-am<1a7Ent~qi>)f-#eJcHuDO&Fqe2mYh0Os?b-muQ^)R4fT z+4b9H7Os$!C&HzAP~4~4_3cMm`61wa#DjEQ>n>}FeCmm$8M%Kp7mZ!lF^tie5=RG21K@aIau2dEUTlf~^cymK;!o!u z8Tgum?H+NKauG4+IFt$3qayCqR+OhPj!zI+-naj|g*@Vy>tDColjGNTmj6G%1Kn_* zzH;X;uFeNd2hrv_2QEr!*VWqPNG8uRv9GJnA`>qttBCdg7(!n|}{ zPxTqy&}7?0l!PDRHEr%u+sfNo9XA=wo3}4_(1Xpc-1D^L3i(^kFv2ZjEoF2z+}9 znJ*t?rnEel<0L$*cGLy(g3z@K>-?ypWOmgj9on~)Q}pq!+nnq=y8meu%`8)j)-OJ2 ze}rasBVxCW%lOnQ=VjD5axUps4uHvR1&DUCA0#0OpL~@?k8z|<( zOEEe@@38Iywtys>l3SAFl3l+9gx4_SIe$JH_LNQKF`I6f_1>-_-26fQuU0$c-c3V+ zAI=K*sErSqR_K&6@oEXSCpLGfA7Thxc7ww9HUe)|>YP7?LntIgVWo9SF&a?`C~so3 zhbv0*#hpj^UVMG6+Iq8}?GCxNKtDq2g0bU##=biA7s*;Ir{!E+Iv`xcDP)+X)~tCw zP{e!NvQES{5a+&RzWYd;YZ z!u83xR|eD30vx*Y0Jk25_W7I{eV=)P?=<#F>=R(yOeW`P*l{0@HsBoCcWF$V_tjFR+5nXhce1Ul*$0+XCs(O8NLQQ%lCZcT6Vlq8Lq^`V!*b zBy;JxV>drxF@+SH54>-JmJ~ncYrP_hG|`wICD2T7aMma!ETj3xUx;7pTSyG-$d8tH zgV5yC+Uu4|d56yDqA_j$IGdJjP_2q@_u5VBt6KRUXj^$Jbkr@Y)q#y9FH#4uHet?W ztw6V!sCKFJm#2f845O`F@u2hhjB4N#!5pB;wjRG$9~GE@%LM3qh-Zrg7Cif@VR8M? zwowACcC=@=YPij$qmWNFc{_$I9i-1uq^B=Ncjb^3@?<5r^d-J$VlSg%$>fH-9i@x@ z))WTsxM--~sgTxg?9cyh?pbDOWl!BjTH)iqFJjy~qU^8TZ0MoWpqFEO4=<>cn)bMV z-}Zm}fN)*zjLz?4d$A;mHro!x65ZIFsPqSWmqw`aM@>AYddzgjW1SLPcd0Yef~(@D zY6-HxGgyQdVz||_oN|Gq*6p=pYPWo;kM;FFP=uT(HBHL`6iAdr4Fmm(f~1klll~vb z4n7l(l(~KHd;=?Bnj6bJyCs4(z1?r>WRX_clF`U;vrP^k1KX@CwWwZADnci*%$U=O z+F+q)dgU_sjr5(D9lQ|_Zco$}C6%sOD7d!2Ax*7bv?&j%!}kI5oZA>{aPOC@%2!6` zDGTY_$17Ztby}(CLzMD%-UojZMd@k;U(J8RHE}51ekC6J6sH86FAahk3DLJp!eo% zR!s;rUq*{G*_3;^Jrj($3uN}z$xaQ6(fi8g{K{R~zGt_M-)hM|Ve>ei%_B*&>vxKPP0ZkNrhF@c(1{9o_j8?VjaPr?=Mf3 zNcuZ_KP-1s|DlXPd{d62B!8^Djp)};ze?q87^LTdQK&El54ZP4+hh;qWm}~Gd&*Ue zRo$Up#62xIQ#Anszx-4l7}D zXXPFnQ_LOAE5k_CP5|fx>PWkDA}M1U<`Z7FZ0J3t^d}c-XLS~LqFN8vH9PB) zpl!7#%vj7WhiA1QPe`TISnUYf!CZE>*R0ACtdU0)`Vb6am)TuTl4#RCgGpr;*5`bz z{SME+B|Q#@aR_DLg`?wUNN?DUc^bHM`lHpIQX4k1p)VmS*{lIK$cPMv!buB!lj zalNi7lofpLruOEpc04pUJ!8jjA*oEe%@{IRJMeDYPJxu&*-)Dy(j}?zaJ)odEVRtm zk@=v*1A5zE5}E4`)+YOjS1~_55nLKqv_e&TPy?KtC=h3jv{$=)~&3t z1-w@_>Yeajq*-anb&Reeeq2V#!r=lwswrpmr1*4XsCaj-u%EUa>+R2yO(WjR+|0U? zmCBN&m)XZq=VWE{As=$*wIeg!#~p7K=U_f`Dx_D|kDR+k#l=dyOhvV1FiHFnlM@lx zA%!exoS~^y)GMl=-RCT+>Xe(@AuH+#kWCMdV0>0JXW`wfwMeEo*2QbQgzhUaG8NQs zW#_PSalmCB^aOQdu-E0JO1yw?+7AReH#U=&J&@pKTh-#-YU|!U)<3-q5xOxv!&bO=F;YSXj$*t<+FO`^mc7-cZ7h> zmvUiW8H1``9BVXwo0B%dtb?=ho$3q`^zXY>P!VqcpODo zz9#g^CLDg=)s6?GEZMyWIee)TTphXI<^4+hh3<__aQ(sm0OAyV9!&-**eOT>cW5kn zA^3Dj-mK_*vNEWRnzjoFtsyCU@mhZrYO{KpZDf;qLNPR=2MVxh+?)}SbgObmR}UE( zr(0T;*`#GWvBX)zJ<$8=jNp9x{K9!&V6w5i`r^tw_LG+U!D@Y}qAV3dit5ii)-Bp~3_Tf^^UGd_(NS}VkPib0H zxUaleI)ytYHPhb76*6}ce61f~nyLXempoPU1unJzNa82$bMC&*a~RWjEpDn^wtJx0 zVcklp@x7|2fYCW3PX4o)1R&;zXh2si&m$}c_7902vn#*?Ntxu4WQXQkOm%;BTk6oh zP(YC89Ln+ckruL+$%CW&+)mdX&>Kmea#5zvY9p)_c}6~F0#=7JJ$yHX{CH{-eCl%( zjt6j|Dny)g=5sFKX|+_tC;$kXzC|3D$O-nr>UF7BvSh6j`SaCbu8*GJH(_mp}9CH8h zITSkpyz3utWG_v-{x_s2W5dSEoi4me(+c^FPG9n$F8=MpHMFTgx*k-D-&;SlT9an_ zJh5tGp$}p;I%ID4kJxD#ZFg6m{g{>G6{UG^H(fjIBBs;(%lv*$Z|s; zOBqE72t{Gj9+sb7e^VBp$m}vnD)c;`DBYmx>a_OL7Em9JK6zeWnQz3lX;y_HgtzV0 zjslm7>@6@%oYa{67S&H>h6IX`8S8S+24v_x%P9J~pd52!sVf`X_G)2ln0nBk>4u|_Si6s=#(QK%TkN%o|o1IsfVA#hD2$wfV= znRQB=7dj3sTvt+1xQWjQtpa!oka9kqOP2Hj~XRF5EHAIL6MHWuFOR2^IvAi zWym=PElUUXjEnpapjN4EKV4feo}B$uG@R=6*t;VX`fv{TXF@tO8LO_Q9k*$ix-1SD z@J-EZRGB{tI;bw-M*@dCuQDYuMyUafAN|;#3|n3Bf%@g+3z3DZfgXxOcU}+?rBKDU z#~=XUEyG{rLF|D<)l?0b{kn{&w)ngV(FB-u$2z4`h}@0D^FCsKnHc?u)wO zyi(_scjF$$onkTJbq2TpaZS4m)_OANn-5Du2D!pL*zYl6Wmk}DRwfi8y(k>!{z6_w z)B19n5#c1bK%oTuNI&X(%O9i;#>muiC94L*AUr$Gdb zJ{hW*qar3%n7WRT4AZBD83UgGwUQoFaVKcv?O#b1{#(BUWGxMSp~37wRhQ2QO#&-A zLDDMFFrnL)?oA*Ic6$%UNE^s~cLLrV6B2nRMn2g~{3F&w!ZkV1f#@ybu5x*5PO1qRW`15|^kLkUG^cET+x8*LE3Fyp(xdY74kDRFgDdEyxc zxicM;`%M_i3kxxk6!={hyO#Jai_t?4E_vc4ba}qio#Iq>C(`tdK}8z%S`!%XxKSq1 z|Jw#a+D!wR?)E@uy}kTO%w{Sg%ACWC_OvRnU)+l8KyB#truKJj`Y`eUS?lAv*(_WW z_!(WZTCWMEQsA?#6E`OvXq@Op;MMFt(|MtX?E7gbMB`IM?7vXV*5m)a6!w`53eHVy zUBA2MVK5whjAMd(k>%Yd>l1f?OdyBfC>A}?9L~w$SGvCOr=^b;t5K*+y<~deVjbC- z$8l@cB*XhOZZiR)O%V2@*Acb{@-=Q+@A7zs7Hd6(N?Ni@QYtgoL|-uIV#iIR9c)9? zHaoVZoOa1eZ;dlkg62=VzZJ3iTHe~*O+EfJ;?-*iyPXd*Hk8F9^_lT4KuGBy>{LXs z>)yGNj!+N0K%6Zg1+hJA_D8!1Gv#FwZ>aE46Yt!@^S=CQN|yz9*hXk>>Vyl!0eIV1 z!L1UM#V9R1htxLu!GvS|mye}+JJ)b7FR!P*s31Y5YlS+EwH=J9EPQk9<63bh9D3#g z_i4M$FaZ6W8|o8??Ia=}I;vsl(kXr~UTcaizjT`rCV8~<&itPFYmn9g`p(2+Hduaf z{&ukFURstx`R1cyf>?Ef-6e0~r`RZhTpOSDT5p~D)AeR+b=t{QUy7Og(HM%c|0i%w z%R4V-bO1MQ^D!?@aMMdCo33~>#+W3>sn$r7LPzpezejgE=Qi8M!S6``qt9ckbUvkv zJY8Mo;d;emwDBHMV4d~+a)?B%tiVA0ovlqZSPEh^y(aqSO9hDCm4X;~xw#tOUR1R= zD1u@iSsUSg5mNmd*c=Fs=i2Oe&9ba@wG*=2p?=qnT-(G0Iv^E~V?L0joYOabjD!ZW ze81v7b5Dwfd5#zh>YGD4MvRIzXiehN{1m6zA{5`mXTb`u1uHINufgodZ=kON>Q?(& z>t5#RtFoRAOZ-hpFJM1nc4O3rCOVBJ^9q9!5e}JnA5Yj{c z@d>k0M(k5T;I`Un^`cBODK8i!&>G+0QAf3Txlt~#uj?(el_%mE4S_=o{E&0hH22fh zl*Q1W$}eWzbHsXeo{)E77q%N}mZhSVU)%l7<&zl`RhFKiVambgjQ>9x*7Sj zK}TwN&r*qzzw(HtjX^>p)Lt6iToJnRqvZ3?R47>XXR0R?rE*GtSC;3J;b-o9#Hlxi zAh;bF9=~3mMSBxGMQh>zCOmoUr&NAg$$z4|(XA^YZ8AHxL3Z!c!095%1txF_a+lFl z9fX#M`ewuH8>(+nMvELd!fsoXrQ26dHUdA zG~n4!uGQY|@!nAvBDdNz@&IL(E3f%%eTRtM7C8g~7;g4xV!Aib=0hCixJ(yVYJcYk z5PO!b6gP{niO`A?H|OJ`L7s)nn68Fq+Iq=?Pio5+m4RwQ3!jFlQHIW;ys`bu8<}54 z;=+`OCJi?4n1Z0O6}v0tRyhx_Q1Ee&W(+t|$*;m6xji6=*&aq-97I_BG>nBh6E)-@r-@aE{ zo_-KG35$AW4FS7^0isbQ&&nNC1|!t&glO5~-O|k>L|ZgQ)_VhcD-)-JE;F>={nwi^ zSL$Ezklr}qS;ijO{i@ACH{Q?5yNk!+B%`2q{Y?gu9x*2~+1J5HXtT%cwyld!m&e&b z&ySDJY3X)i2^m*6azEd*_59^=ioAQ0C)cTU`b|LLZ;vsh;scpaWI!pSDfauGvtvU^ zjEr)E*Wc663UoiYeG?lZ&U~LFY)`2Y-kv_;{p>p!;}iF686~drE04+i^_=TY2Dyyn zn-AmvPwUe2txT$tyx;!G7p_r#k5s-tHgKCG-*3Et3_X@V)yKR2{$CL8@?*;QB&GN1 ziA1`NX6E)5w|af>d$%yMPVuCxdzWO0WDVYX7c7!%ne?l@bz}_JrAM_ERiR1!P#H+mhIZ&$H~Si29*z9eNhk@sXzWFJTPRLal;G4;~v!ZWb~ ziWEtN8NqBWWGUG?SV39SP#@*(bL%R(w#3ApX!jJ{(-+oyJ#0l(^S!9Tg8H)P((QZ{ zmoyJQrhkB|k}h<>U>^7>{PE&uZMJ@deOzuct@SNgq&P+@ zc?WUgI7Ucz5=X8j#)MQTcnV>4p#kk7TaS;8(og2#d2)(9)O)={T`h4ATN#s3Z!tk& zUu*29yRE$)`NJ~oFJegcTt|%&)}6SmmfaxzbVp_Mn3|o4$Yc_7;T_IsU@*t)d`_gV zQ(3U5sOPp|kf3C^Oq$&)+Yi(~?j_wnRC&^_eA3`IgQOWeDWzpOF`ag&k>_gj-|@7d zEu{_haE*VVsgcXbN)P!9ZsRC(m!se-u`uOAT!Pe#*RkbxLM;hUsElHvH!W;sqafG9K$_8oY&$p(Vo$% zG|6Z`H>oS$u!y(&@}n;2_tB6)%$f5=ZfYF0xFQ#{DgBdb7jLfX>E^i3ep5Hd>)!^R z)f5^zq+dlR(rVmMQSk}?$+?KU9!87I*2Xz18Mb2{=`;C2I{4s(ItkgW238XC;Y+%PNN$9ID)2g?zW3UtI~EgSU^>2 zR^2?+7`-j1eysjI>PrrQA9Xj&!dB5X_Whne_eY|pp5?4FG|}y*1Okg{t|aaxUHIyz z@us7Z!?LbmRI`8}D5CQHFrNN*vDuMoNtX>)?&9bXuilnyzdTl5?Dg zFDAkyuf6zP7Dbv~wT>?glRFIWkqv3{xn_s-cqJww=qq8HV7C&f)tI}|eEs!JQSDUE z?Fr9gSe39J5Wn3|$4?t>NRxWvM`A23svY`8eY3h5YEsIVs1x>2x&+;q*2Jq^H`RWU z#OBX?<)GED)m|OzsS*n5j3gas236CNqbg#aoNC*k!IkO;r!*8e2o|?$C#p$LfIliI zM7hiQ$H*iHm)xWBRJX#dOCaMMlkz4NAQri_^o=VRb4FO!l)P&f_xxlZ@9Lg!h};2} zIByr>NA?Sma;mx;Lg}sVH21cW@?d+bCQ|^T3BCe*VZy?&8 zJE)-Lv28SdFafE|uO_A*npLfAOPqW5;=c-^z!VSElE27=P!Ihl9niS-G?3+IC`R+V zJy8NWM8Xw3#BO1pMzyi}`rf2D@JJBA3E;xD{0u`5x4@-yjb2uvp$Ss5!ws$T5RPW` zq%%nAu$m;L8Uxvcy2xrlOCM3@o}~H>Z-(H*S`@Pu@Opahul^%l-mrNzGWfz=E>UOnz zeFNtzjTNLyPJ=v}kU)d~0n&=XL{SvM*7mhc%V4g@&!M(Rh8$>VJ!)HD6W4%wcl)25 z>y=DF^qBV9`M9MO+Bi2)wC_uWe0p3&!BoQl2Q5%ZaqqnOprcmlNg~F*H9IK*;%bRR z{ICwqA$N{NuA3RHl<-fa8@rvw+?I_AfaoBEE4!4ss3*qD#2$7R9W8WGwI!Cyv=X+G zqy$aHkJH2#;@?p6mTm=KcZwsL@O-U!k_|(ue2diuq&V2nwq;I8U4NZm`+pRjhd&kG z|HrRuU!!YWTUIvLwYO|SaqV%f%eD7bs%u@>mRv5WY}X#yE0>Gn61s{)c17I}Nz(fM z{Qih@&iirRuh;Xb94!ZihFHe1FrD&UBmS^a2FZamnbfX^$UL{RO3SYw3usVCCs$d? z1c;++m5IfAv7BmS&FWeVEZa%;TVJ4?4K_Sf;h@_&sz!1uek3lLt4v-#WWyKg47`3f zO`23UP-PuwSQ!R1*_CX2%)t?xWL{1BNvT1!Gax!r^LIYoi6r?|M@aP6`C3$JXN??F z1&y@w__zTL^jj2R;C{_<$>8HkYxwe^DD-zzk^B&$*O^si4iV>M&AY+2E59UXY$w3T z#qo^uAn3!j{&At3Do<_%GOn5*(|@;ty!6Pg;&rm`?b$@ax&(sD?BA=Wj(>31)XsH@ z<)Uvh;-{-F9Ei4FN3et$cJh7zOIf?nHJa?`f4aFecB%Xx6c>DKY7sQ5oH>v-{SHE9 z(V|o{f-nS4YgI-{$g^oo%IrDfx`787G%hxVwmj&E!?hK;0yF|^1 z1djg?fbKZG`AojSMQWeC$QGfm{tbo2-Ay0!EsqBtUJIaKeZ|b~`Jgt>kWITK+iQF< zJBieNSc8zYPJ~&vJp{hbyEGE`*>dQyBw^(kRZ;=^jf?4WIHeqRLZLjVx3m(5YbACU zvc6N_VeGk23MD*v&tG0X-b|tilLp(|l#TP%kh(D0l}+pAda7M0@o?Y>b(JruK1uw2 z)QJqmsd%NE!*-?KuiQG9^{mpwC%E%KpA|xOGO@|=4bA?95dLnIB28)Q33S>=;Ok;yotCx!B&gW5eDO^RUgVf+`Dow)LGf?N>~K zUQ8<33#>65R_28L=vjREyE35aE!oH>oC(Qv3LgspnL5X4LaCD#w%*-8&HD9T ziH!2E*sw?nlY=%>`emGX=FpLrq5j81Dtu#Ftm4$B+vN?1El`X2<4|3rDBbxE4*%aN z{_py&R@6xg`=p(sb8$bpcjHtZBb79sCtOP50JBr)Sp{i7K^KL_kL6uaU`MShCGSL-jvvl~8 z?HEXJ;GRY)BuGt+Sr%t#lbrEy4f7g?9FoM*){Bk|;S6B?o9!GvJ$ED-=3{xUUn2>j zt4t#I@T^amN1pnR`kZmTVH;FWyGVB^B%JvntQpu31*&uiSA%I}jcLHIclZS%L^kmu z)DAe?;r?LovOp_?_a!fy%jDlPeXqFPk%>~zACz@=}VVpraOla;;6pD`SRUh+#(Jx9+7-fUj0Bfec|Jd*RhL}57 z$yn8r6yPA_W2M^lAi9UST8F`c+I+HJ68o&Kl!JF~Df*T#vs~`}4`65iBnkpuz)n}~ z>fS&z0a}SAX%#gRVzBm|@5IeoOQG(fmndyCLqivXILbsDTXmNyo*T?)Lh3zS9CQ6q zF%3hVX|0@cxFxF--a=Z(gzJBa}Y8D9`gf1mqU|D;$A{|50_|#tBhOL9V)hpZ~n%_-3b8`s8zfP z!zC5|M528|@yqU=`Tsbpl{C4P1WMH(uV}8TX-23~A{XTwHdOXzJAAgvUu)&bZDM>a z3{}hzgwiC=xY+&r+t8H8rd$D?)Q77&l^^=vnO97N^vi>=kCYumQqa?GiW@#m ze#&Ckw-uggO(8bTkWc^Z(2K0k_}azeQ0lgW7HqH!S(Z7a07P<`bT@p0SMI6u;6tkR zx?r~Olr*!V9Yk?ngu}~QdGUIbha^V%6tv(2`E3F*J8mDfnl#=z)hnZ86(%Yc-!-lA z%`g)b&D*zYZ5cI6U?LM1>(#jkAB3#t)4T2B&SbW+*MiUJqEcpe8CL8^lcx^(i6=t6 zpl>EIUE5`f{?l7*kjtvO@lc>CP?;MJ+HvD-Y4Vdy#t~)!@1ogk4r%x;u2Dpd%!WuP zNyvf2Bco#1^#E44$nr+*tOrIbBSE+DWwt}0GHq61pIX(U z8eqsFXRa=p!!SM#szT<_$A50{MTI#J zG?VPwq*{FRE<_H*n7N#~{*tzX%zhrS+}Z)c)5jTQ9?O~uc%Dl+79GBL{OwE-S3t9_h97s{I2%&H?vkjL`3~eL~@T?j8jpS=Qdv+x* z1p1prGr=O2MJgyOtxZ0n9jH*TGVe=>v|~HR*5+|nPJAUKr#+$b$u@-Fw5jqni11K2 zmV&#l%soP>Kc1xtH_Nqj`o`L(suqU1;VO8-tJV>2{@Td>xkDPU^}YRkG#$akEsZ6a z7bM%j)eZ&LC45PZ3jRB_&NB&*i80UQoti>>%fxkN7{bYN|G7&5o(B7j>a$8*YeTGw z$GldOH6BiLieGQB=yqdf9f13kzDvrsg?)d{74t_rWb6M0bQg&L^L(?nyx^C3_2Dwz zbvGpOYE3`F#1);WZ!38Y{mky4a!dT!2kQC}MwPvL>rzvx7*J{bDV$yONkH0GdH*_@ z?oP(ev>x&53$7nVk2|mx?)oPDKTPSkV8(6>tJ1++Vjd{p!(8TMVI*6v#IX2dT7A-` zUNlp#pTY@sKj+6f|48A$3A>k=%`}L*YcUUW_WD9%;(2&H14L;P)ik+h->^ThO}Mo> z%Jm;?y{)-nxWRsnzKRCZQcboy9<`cye@G+OirF@rzLtq( zu_J~k)eote`;hHVhY?V|NtUTu4e8{BES;FuSfH?bfY%bY{sSzb)oz>!rR$lc zRik*-%NuO9dXtH$>?%WS8jsE;YWojIk7B&cyY6<59eHOhza)jhfHhlxE$&;@OzfIh zx$N8Nlk)-YsIX_~b#mr2*Z^{iW_>V$7P6iKLS^uJ&Zvc+3QQ;|QU#`d6;^c-`S=-j z8&+6cqIJ>cU$vK)`eGgQ-x)e~NkGl{&&qST>$03aM&aC!9eWygxUVHkM293E$U_)x zd@CY4G)yD;O1v_-`-jXVg6{Dk!}p*;*v{Zbv?mQo>7>m{lznr2m4_(WlSA7YE z?%INAohND^>?ecNX}8nDpu20X&1N{hEm8dUrX5K?kON>OC6p$bB12@MGRpQ?LHLCD z!?v}nvC6}l7_p*6%Yh@1M^dR*PaT_uB>@)6_A^Hw^Kk)+Z7v?&k!g={!zbziCd38- zo;|`g<(<=$_Iue)7IfYl{+@GsUB=2ru82F>Rfp;wt&r0X4M?TipnH`J)%4&E=(>ZT=U>wr`jQrgGOZW zAoFib$e0Va)QGveGs=TAZF)y>Yi?K_3^;(_Cip8Jh~2)sp!eYrip~3QtE!88QZb4w zkA3fKi1g&^D_(Es^xE|~3$q0{*qCcV#!)X=bCkIS16ZVV!FPJJOUg>G-qg}5TM!}v zR;g0#n)D%BWA=60Ra1@jZ|WCANxsNoV?!_Hy&;?(cW_i0*rt$U^NQxsmkgw{U+Fd{ zr{6nNye*4t&J{X3F~jxhEgMKbDJEe5krJb%VL4BU2tP`)U()wCT70G3I@0Vbxp0r5l)sX%*#n&H1yQQ7@gy1Yn$X z+)%LFbFaKtG4xD!ja{L^6o>#XY|-CigrTfE|G6Jn9R~UxFV2~&*Q^QegSP)5hY$6i zqI8XD6TJe5Jbbdfprnx0!9noS@x&^x&u&;c+PL(JT+*a25$!Y0o zF@DR;B`0UxrI@DsJloIl_B-&KFFuAOF^e1;*{{(z@ZHB_%uf}*fEOyypqD%g37;3WR|j0( zW(~F0lAtofN6owYEs;Y0Q33mR$3Y~Pa5MeN?p8Y;tgGagE$q1f?X!V>ba8u#D~gwL z*PHXWkn?Qu8qbZeE;Ic}v57Mwr^o?8*Ez{zEYQvTLxy6LUiYVgp|n(w9jm}KibTnnS+VBM3h`1Do}pb6Nu=v0Qr9fv&FS#fQ_^*YTm z23+0Ua}bpJ?gr10QaI&uR1xo#v0?g0Uvrz%!V}ioEZLqqVlN6}4S3BnyFbb~bd)hs z9#p{E0rlmZ$cv}*zsUK*Qg&)YUulEgy|ulOG4C=@4!2cL`FFs?l6j2nuV}i_WTC5# zOZLWQlwbuwVg+K(wZnB^-t1R(j?VZqX80{#AAAf>PR>`nL=%Qp-;s!al2(t_Xx|#j z?-$5e_+}(C8Bu{p2Wlaz(h40c*j)ym`Ukz4^b$keDq~}5M2qv}hrEn#=^@oV(6`dQ z>J~UjxKvdRl4s~(-TqWq>xb`Ih(nVnoq zXcv?d+#msmDB!`X)s=A}g18+QA%ye$ScdYgx>anQ$RNK6!ISKHROtz<)=NQYBK9 z*3E~X^eQr?*np7!ElD^_=0?x*f!As=>&yMCEx9vn#52?yjp6Gj2i(E*vNtfM~deoa)(H{1rLaf~wT7^*UcTd)4imT1*2nN#9b zP6QV3PSVzsW6+Srb1ugN_t)uEInNoE-~;XxFb~tQ88?`yE)xr%>EnLq;^2G!QjHV% zaF0ukRgkykB4=>^ zOW(K!26Merx4+L-q;H*b!W?WmR%7^i0X(YFHp9XYvGdsemOFk9w_Qol%^CCr{|~Tg zol*1l@%;f_=|_H67d81Ctxh&;1~<(jW-I>(*t=Dv#GTuwNqP^DjV_fqg+CbjG|7oe zD1RfH;c9E>JCoHscLnA9dEV09{6{zO2l#nbgr-xv+UjLYc+0@_$4DK zIW?JfzzSgCNlJz5%}z#_ZpFQu!gqpZN}_{~Hw9fDWz5Qc9=s>Qlmc1e&cywY{2$;y z?5dV682JjOlSY%iZUq#JX)Ya~G(NH`_nx2W_!0mrOvJKf=nf*HzD5I+#8TY}ZaDop zx}V}F;XbL}5jFL$1%^mI)MrPmlY6j{%o|?N&H4z^Ih*w@VKSD#49EBzz?cg!C=Ttzs}LEX?(i}fjowKH)!I-#W<k&d5}~i@$;#U23PO(N9^7JW_$8RTNxzDoXzAAy?bg))D!Yf^ z4@@o-)Y`+Pj1Pw3Om;ow&Gv-{}LY|jsLhArVAJWyUVR0 z&Q0q^7e~?wm=$bXL%{K>XhRQhtIn(|Gr81R-PFLR!gYk>q7bNgOFY!2DCVwtB#`5| zUAWO2CGt+@N{EV#U;z)VS`ho?C~arXwQkfA>V{xx3WY)}<8TLG%wma9_G-|)x&Qqb z8O!{4!iwTw!ntP)Wb#L=?}q&68tgZoGMkpFQk>n6d9Qx;wX(JJ9NkI5(%11ttj%Dj zp0H8q=hAOK$CjC#86U}E&U51RycqTbhs{u$ERYgURl}B-^1F}419qbnJomDsg%vuq z&@@ztJXK!&>;aGNiO8UZFb?x{pfSLWi)~$yIL{$wLHG-iIZb?rhqU~|Y#WfCuX_$p zJU?*fi?aS*CeI^s?i<~m`1D*ef=Rh>-Q$scQ%WgQ%hyNZ0MV}KPc$QCjPT@chF}HW z#|!`UWV;VKjt9iXu`_t!20H&(o9IMdqk82B{wv1u4;lVuE;zn(og_9QH+*HsK-c=l zU3X&)TLbQ1PWlk+-o7n!G9Ar{rA}I;0HoONt$wG1D6GJTo4O`Kx+>Y})JS%HTWvdn z%+HN^BCb+M`zxdP?#C11+e@x?+SC_Uux-_$IPa+Lu?9;i&oH17Ae`IQA>j2pue`%4 z2+d-MqN3s0Lm5U0JLOa4MgHgb{OeJVL2(pL%AUpVfxPrr-yQE5j>eQSEs_R4{|f3- zM~}brbj^u9zv5fA#vq$CVp(-fTWSZq(p;ME`%w1O1Vy#u{vJ_9GJ149i0?DqY|RWkfRguneV)X4>Eb zb9bQq!ezfo08tg9411M zBa4-b{o&a?{@${paf>>IesBUC%Lh_lcdP!B{fuFhn>O_u;fk=%^lau5M_^)af=6qV zPPxMQgL(B)@q){T zAMw8S|FBGLR2o4j`*trxO2eGfWAF#(S4IeD+IICu=GQ(v>ebBAngq%I81iTXWtjvgfFHE zRA{OvwDXVgL2u3~hRUwRueH-oU;l`DBmN<5vZUTc&WPb6R+y39fg{|0w!y7;;B(VM zQ|?_ng8PO?s!fUnJ`L+(*v>fxhnL7KTLWtikP?CIn%a4f*sO}^+!iYc`x*sI#(Dbl zG>JgNzcuw1?3UAuPZ!1i%^G#Y(ngp*Kp#5X71C5ef5TbN#2mwuB<>PPUtY3EG`;WO z{C+)3WiwG2DWZ%4Oql{M=b1jbTD+!_GflCwawB*O{T#NQ2s9Yo*6-x7e;?L{sLnQg zcSqFVR){tWu(s&gvy2nPy-zmxa*xD-E(y})mK#Pc1_6cvOp0`dYNkPL?3a+fX-#O3 zKf{Z#guz>0<;=4(mj*XFvo+9g@`49^Xsec_*ST6wpgRG321YICY#Mdb4dVi%N*gSq z>61jSqKZdf1%K7AuOFF9E zbe@UoQwR!{rdjpj0f}Bje1d9A@0plOe8ETK7LdOfTeyp-g~v zjk+k|7hmc^&kvhH5xc0RI~0~y%}xny-M8%gk@|1{c4wQ?PWhRfgx`V%f>s`Lt`ZF* z7A4R8lYD(tDoLoCnbcIel7qogUqUuU?**pisa+gmb{n2y-FvXbF-PdC#SMpyow_*)vruVRRe%kMAh4k4EZw>N^=n9O32#;T;vVw9Hoqp z9m<2rtdHdE)8$SujA+0kso5NH9q`d*``qR72OfXOvur_Q2F6SHAM_5W6~s31iffkN z5}rTR_O$4AXtZB-nXSMhJhe--hy7F>D8y=-_nZ}oW2^juMvlH-d9Hy&p8MA(jd{Un zy>Xrpxv$u+baJoo;lucqll%~!;ly;cIvMxWl$p-7^0ntT zGFARqara%esT%)$@0I<2z2^G7Q7`VG71dp&(5I+ZF*21K07){9V=L}ANB%bJ)ymjs zmc3LwgzFK5K9QVRM=i3=Z(2{3qbQsjeLvBkWd1AW)>)Lg#g!U1HWkmbjA545afH_| zYhtOWL1xp1ZF=&aCM#1;IayfW?D5+z1m6IUtdrVqm!mlnfxRPmiIuS~;V{aJ;IO+e zl~s^NHQ6152xg=&ejgV@rcaTc}YP}l8yV$eDudW2!6g%GLcooG=F>xVTnSxl2 zU;MBvZ?v50A$!;!TS}T7WDzXbCqy-25fSb$+HJ}N=w4v;sG$pA4R6A;RC6wQ4A zh;VlpytS`eLiJ8l+x?>*HjV$YziWDJMYj0k?h`3~yz44~p!)jc<H054QnY zE%YI1^s?@R{$v}3PWEw{W0HE@WohzY5==b}HNr?@0E=C|d zgEn8*hS3s*#!g&O$mvB-}^ed$=TiLziG9QudS3Un5|~@B8#Wo(O2Ov z74Y6tlwGuly+%v|+Zugr7#nu1Ggw@1la`bpZz%qebN^25Q!W-$o<1I5=k!5ja$$}J zKoCs3{2KTTAvRy2RgjySbEuHpQrbmh9YMeC7MfS@%eLnjz~-cV&(&(_=3andL%;G7 z%)SFwoNeR6cBinS9{5Dg>k9>wi+LDCgHfc#16W~I__2CQ3+L$04U=T*T zg!v6!bGWpw5^h+N;k>NAk@ zmSntcK8Id;lrOkGw?k9Hc}6zF0Ey`;N96eiS7)~d*RE12&NFu73ri33ktm#)LghA7 zg^ds9vo-TMTmJ+?YbPqcn)}NvDA}(P_KunQ;FreG9VR(o9336wBwI>0lYIUZ@D&Bt zRDjbRwgb2K8YomH_03vTtyy^ z`BWEOaeYn=tdsO?u;#BE0h`oS?fIbfX&(+afGg9viVVaR>Gn}D7wZALVP#+Qe;jUM z_%R8Mpm+tp=P&1h3LwM#6nwRDeAeEA5llAw)-n(kPery$ zq`IySilvjq8unLqJgnAHPb+6>{+f28aN$(CF>zNy@uGCdSDKG72C~g8gwa~sMvxUD z|7Bn7AL`^*Qpj^Fw)jromx?AVOr}D7sAtMdrN;t)Yd3#Zk&(lPiSvA~5RGuT#?ek?ML zDfn2IVC1W{qj@LOc#OcAyv2o1CK2TEJ8s2OC;gG*KoR7_8S1 zc7`t^s{~jLg^a&|sP3HaXW*-o*D(S!KXdU_891F_AYS?=p849ln7rLHmoI`!61Nvr`4 zcgiwFHK3|)Ntg`WHc2sVBatN$1bhbRmp$Q`Etvpujz(WGrg7_*dWOXbs)XpOP=c%0 zM@9^xyTw&92fUMH4hL*&A)Z{YO3NA~^g7PSIPlS7pt{NAfx6gH=b_$@04*$^D%&^> zh{sX^^eU>~RZxo4x8KqrDX$f?l}XQ;;q!oS%0Y=DroTwW;gbB*KBuR#(;iCFw_IAm zZDU2J`%XE$tngGG<-;xBFd7B*<;l@{;)e{lX!N-Vc4L{p{UE`7U9oT6CjXIaRENuf zoo61OaM75SsMGKJpslEZuR2JU49{%!k9Z3-n+DaDf7;-N!cJ)iBesnurY06*8lQ;= z*|8C1j0)60^YKLc2@b0#=uLD(CwUc(1{*~uNNXgwmxMz?(6~x&^Giy{u+TkGa+qPG8WJ?gT1lLXtSB*$b30Z zRED^LSr|3temE);N_kz%^PXiVB?6)x+4T$`8ctmCO*oW#c$HviMq}l>93}|PXHFfr zGD>>O#!#Mbxh5p(lb|on=R|OT7b5-kHO$59g|GP~)}@PyNmkCG5YlB}wpO`t`YQH>CWp=&5y@lk~PmjjSwFsmxyQmL< zC<(jy(}_JX4eCZQ^#7`FvX9I8VrQdiSy^w&lVxURpGihs->aWHR~h^+%Nva5Rk|Q3 zJlXECqij9>PKZ8nmbevCn*Er6p{QfM*Hz?fa9QOCA?Rz$7yL|b*aolf7W(gf)Kq0y?kt1=4@6tC5pcez*oy6#>j z7n3;Pl5(H#&XkgN_CNN4H0IA7aqX3C1Kow?)c2RozF`E(#taw&g#Czu>%=6 zSoBDZ>oCepzV+HaZ;p0OE<;I;X!gO27-}>v=D@7{5A;h~`tTv0r;%F`+*T7HHt5H` zn<@$~_nITz#mmRM2D4bp$5Q~Bp-~Z@@PJY&wh!3Hjs5pTIEz<9^No-g_gre!hD4g1 z(B}{+eP$RbPdP+WchqyA~PVlUlV!|LU`Q zfy$PIbGC2qD^iCH9x$|sH8h@x(Yz>%vNSNRC#rz-4}Rwcd3}u~OVcj*a{mOp=`;ic zn_X7wgCC{&2o?~F##U5#G`u1)9vEF!#r-ZDm7E(j&MDnrm!VD-1Ko2Qgzf3nTNhEs~o z#IyAub?-}QO>Y~vQQ?FKx`S;&!e*&_sktSlsYp-q^T%ereIc%XM7>S7%U@o%GM zt6%C}ck?m~5&yK5!=KJxcBLr^*A&~3?m|0J~j!%x~$Nv9?Zn+WdjY_E#x&7y^8 z7fU#{Iq^GxTz-1_3FNxjBxC~ZqpvJ1caEe}7{rzme*8m$Bn|CbqTEHlw>$gL($V+u z&nop8`r0o(*PqM!*n)c_Mto)tA>U-io7ycpOB)~;khD*c*GL=u|7AN9T?~GpA8Ha( z#w(aTlm^|G?9;t7jCHK;8giFBH?g_psT^yovd2(`w)|Bz{5?18@su|{D!`>ZbyHdS ze9FkhZF!7I=*gyIh~$Y1te^>31hvfI?gkD7BTN9$)qAz+WrZC2!-yjL zP&rwih!gL8o3RJH(LS-fb7GBO zau**4OMGGCv2HybaBXB0h2BrpD&3X6eB$wg4DVUq4y>#Qxim$q6*qCT`HqPwmt;y) zJ(Wf~A%rhqT=yP%!%Q|peo8`F;yYlqR#t@ZOtU+E;>-d@o7x5A-D<84K#ms$;z{h8 z8#X`97%id}qSPlrhn>CRdS)$e`)r)ZU&yyyi{47Du`~T4f9(Ks`Z%)ODa3|mT-C|j za&+b5JVsorUnyl@K#~p>d7v(`2qeNAhEl#C^va&0;2O}zjNDMUW&8B>`4rXsJTOoB zCOq(lQ6nA@@TA_1<2G-!>&*r&GhoUz87Ym7SG#cf_N144%c|(;P;2?td3h4>C-SPK zf7?@E$T9PZp`Vo`$g+-$SXYp)(e{_D`(1*)t^XW`(VSMTUI(Qq?8dlj`(0~nAakx9}b>=|ZIB1z)R_>Q)Al7p) zOO8y#$h1usQ?3Z9Hhz&cF%xT=@tHKLIuQD(obObCf6eoD;XaF{&SNv}!yr>f9hOYX zDG)Ia0J17e(D7T|ACvTWaR*)XLdRyhWgpd%l)u`iRLpjJ|K5atdW-OQoF#WeFrAYc zrH+Ld?PfbciN-^Ca(7%yR%;{vPV8?QF@M z?jJX$SKH4g8AxD;2EP9RxPnrHz`}73sS3_pGX{gX{z;REfjSW$#ygjg8bjEF&GHe^ zz7A5SrIW|+LTNobh+R|mb_Q9Lz5d?wAFNSFA)6cKw#7O2&}y|Ut?NvOj#sX=>88!C z0X8r%bF@d~9K!huIWfJ$pqy0~d_tP|6I9Px+cl|l(aD)Z7hq)QXTFhWf%y{D9GhWE zefFgjO;253K65%mo8DC@06c_QEt)Nl4}iXVe+WyfmpntjQp<}kQtSl#A%I^%*D+xmWx^^8sLELj7`0g`_$R2zn%E(yxEVdYSg_ zxJKLDEX(%m;=ny484b{ixYXH2hGRfH2=@MMHvE})ThbQEku2etAYEMe>-A3h#Yy7^$yy~kZW@LN zq<;!B0z9adrEMJmVg-Gm0rb0`Hbk{#kSh1`)nk!z3f(_(+ zv|F5MrLaQ!SyMwvw-@c()-=Tsiu6JhM<0dX5^~N{#bOw}5UH<%JAB-PA6F%{WT{f_ zcSP%%Vh)_;Rp@*fO$jIZ@b$5JL0d6d3OzQ1h6y4HEI0m{9U@-2&SoX4Mxm(!v^+ja zJ-Yg_Sq!pHKlNt;=@LZU;W_jQ=JLSBJk7sxaGhm_;fHY~43-}&M{IH`B-*hALMrY9w5+j#T+XqNBpX#W_w!rM!LsC9;u))F?DOjxLf12OBj{y_ ztPs+CoS~vv9div&GKcnRz%4dsEUx=dCI_~YgJ&2AVqOqR`E%n*HoCHCi2aH(hiJIC z(+*-!JS&g`epABA|AbECkXKX5tD*W8-u$hnwd3C+rjf0DcNc3Jnw$u#s8O@}x*&HM zIPD)wbje9J6+jF(F-`9AwK(Zn=#W{;-<{8%RoZ z?*_IKF@H$STXE#v81vbZRPQJT9`VX~43amJ(AUSjH!WJd!zey())hY(;eJ9_*`&8_ zB=fba+MofPW$F#KK1=PlZ^rhFfcZe5?b8--g3Gy+o;Xc~7JfxxA|!v~^N|aTXN(eN zjxF-~6Xm~BALQ()cL`}Y2VUo8CL0M(8MC_&p^>ypY$VOXmd-A3lL6AV4@|?uV-Zr^Y<`w^X)4-7JbtQ| zVK3~|$%keyQbSY}&vy|?Btv&1xGZ)yomLRBQ9Q<4$9gT#U3#Q)k5_j_vHk0cT@DevN#xH2vH<5q9SBT6} z;bcdNVj90J$nC?AS_#Blng(?S%obC)21}oECWDmV)jK|&S+sV9cd<^;s!tR@B_B*c zdy#Nh@PQA@4-6WQZqD%?u+y8^>e5YXLJv)@>+SuK7HT-8y_N(k9T6HQzvS&%$Xwv8 zf)0)wnH1X`fq1hk${RV>>zgoqkIaOva4{YDTjw;`gLUIKW#mrI_AN&i%Fyx;9x+Jz z=01;WQ|l~Ek1_rHQ_de`15~I5No)2JwR5_)!P!^))4|}|#D3jU;r`Do4S2X$GG{}{ zJ*`JYSa(rYZwrMZa!0;KzyXiay*Ns^PO@Y2~?;klq=v8clUdLAQ z^DCpJ+`GrBTT;%bS{bvE8Xz})4hC^5{PpK!N}$~G?|W({w=2PB%2QOGZU^E;OESf1 z`s2Nte9!5At{J_&Z>le*M&=UrUagB|rq`+xVMbix<2BVKnks#S0{7=CEBM@=w8x`X z8{_;rUEChru?5l<0c3{3YzPE`tjP+ap~#ZWaOghizg2n==(__p1<q?otkJ;z@&F(*5Ae;!==nrmu`6hl*EEmQA8xeU!ylg=dk>d?)3t33x%YY|KT&F zA5SvPq=Ecjm3OTVQF4=z`R!nOoE;0vygN}B_DYl(?idRMv>Y(d8i@Z?hgROn3r!+h z0+~bk_GhD=qI;nLpIfn4&>4Y|%FvK+9A?~$Tyc$U64vfXWo57zv0wzEQ^anHlBiaC zw9iBBV%!+1N^O>$qF7AyMn4w%M%I_5!S8*?EjZ=pD97>>I9iE>(a1glp{>w&yuN-S z%+#A|}h7U9>~tWzf9*l+orIcX4<&1H4N}@D%AqNU$g4S$x=z23TU_>BQn*N&bAhtU6l{ zRAo97aVre+S}WU0d#U{sOyk?#q!{hp)ONf>ZaYzM|(&^%=c6Pql*Sq zL&1}vJ@8dX<8ryY%GMCkn1RTTVktg%Ml_<}8+TI>sUVPJ-Z7NJ z+6bYE6wGZvL8!Wui&JliB(`cphN7{To(sYFiD%vFg*WVp>o9 z`R?T2xG(yxsE3HG7{@cY{4W!h)L9%5Y6MMW^!P)JrWA{Fdzip+ei6C`f9n=Ruhh3gL z1xEHqsiEc8Aj!T&yYELK+*#4#UQtHPN_?7Z&Tl?mR4RLw^_X&h^;P!5lOO|OeClCa z*`a=SB?X@PsSJq~9mrk11*~r0oshOZJh@$e#H4PcI}j<9nJ@8~H>7O_gjLO3W0X^# z>99gD$g^j#o9)Ds*;Xq${iNQovHD$687)7ctDs3>HkE7v8~P`umap8w^JMRW^oex0 zvG4eA_i#Vl12}TC<-e$L(3h+qto^C2tA%l$XUAPSjvE<__tXLie&CH z``;UtMGmMxHJGI^eq8CBkXV|`T&_#GpwA}iaN@bOQ<*zWY-mJdEHAkOmkfKL?l#hw zyV-LQTdm)g;VgKOKa2mG*+5Tes zym!Uz1wjXJngUV6vl5DDjlXR#4!@#jbyK7_JqV$i ze@#;D?VK^0p@Z;9SaQoAK1XBSpU^;y{5u;jLTitPx`yA8@G4FvS(g;dReesqB_B~6 z0dXU|YIeyqRFG;&k$o)}Vj$X_+bnTMNX|EPJlR1>W)}K9=G`zG*g2qN%n#Ud3blWQ zf1R+GF@8{cDw)m+FV*qw(B|}T4dbesRFYkIk83?$ zcq~9>t9{$?nQX86{{V=|i8DD`-8x2&MUQPsnUyMN(woI5UX|1|Mdr_`UB;=tadv)+ z=GnGg=YlX)c#WX)FrF>?z**!suBZ4YoWDM+=-$S3?x1V7ZH-RWNgr#vpLJ9;YAv(C zU9j_0+5Z3vKB=Xe-1Iv%&(+Gsvt*>eojg;6CiXIi3W%|6i>hsM44c-y7j}uDu)rS? za&OH{xoq~m{LlH6_DPBIS_mI%K&S)#XwRhb5dlneuG;>AZ`DJdCe+0_%$9=zJkalBStrG6P3(g!tmP!8>I?p|IlI7etKz922FSDxtuxNgi)}qnETDVhd{Z4Y{CtrEwQo? z^oK+5l`6P)b-v80T|8>0L`#BoMCIu^LwA9(IvD(#k?NcaE5BmX)a4*U1qhYEDof=2eE)I>%-qYwv0f!Ip?6Ge~LDx!NLO~O3 z<_~BCGj9FPMh9Mdec&?pa-WeqigVfLNJ^-e5<0L-6%li^e;x&Wu>Q=H9#Eg+Q8Ji3 zkAf`rO9-w@<{)gGJ)rw>qzn@o@DK^5_uz z4ZsrTKP{vL=-1t-5-2r7l^B z^py@e&MlxX57scQ-!;fX{cF_nNZ@k5FaIdb;UZ3A9j;GHEj)T%87i(5x>;uuw!;du zu*o!WHb=4|>50((rxk(7MX`JBAWwf< z*6pR-gkzs~!8hsnWtnox_$)b3e1DIgLX`&3Ksy4cFxD%9R=$}p80BZ6&uE2f1^MPl zvl1g5I8WkB{tW$Jg+>p+t5@68RGlS~h+Q1!dQlozttb4lkPq)Gdst;N0}wp&rMkiM z9M=xDdHM#~mk$j5GJFjWO4N9CT4w+0vxT1>+rmGdu~Q#n39&s0OMJ6=5e`yP(&*tn zaVI&?w0Q7%6(i;}ETqk{IiEds11kTNMsdJNe=MZt3||!e()Z9k*!CkiL3MjyNBxYK zW06k9QdU$;6_zh8F~4bMS5-7(I5tOk@6a0J6C!G)K zTpIOhc;Fl!D{u|pMnTtRI(Q%q`x9!L@j7xPya5$ zOt6B!znK(+kd`@*j})<6ZQIN~8NEWQ>-_`cI4+EHS&{ue`CMY7$fCIKIRc!W-|Xsr z(_mozDOnpS!g=#OLrZTe1)||L|1mVbyw}vLx%!5vq7JD4{!Zu3+Y~r^pT9~K$U>AM z-?NYO%;l}~{Yh&}H|Clzl+Gu78O5BlXN9DOSuh=HPK13(YsNg_EHmBVTH2 zgq#`(&MeI`$Rb8j4*`##R^GXnTBTw{l#1I_Qbq+J^@8dl`5B6W;}fn5j2DSDCE(^< z;N+&<0r}!%=?{R`1?!wLi$2bX-kwd>b>_*In=Z@(d4orp+tR_~9A<*nII9ta6(!ov zaxZ1TXk)AvWPP!kd+^sYsDXc!8)dGQ^SmM#xB7256+`B})v5}Feb*Kr?PZyb(s!b@{8G)7)? zhqHPFiYZ;7%6TMld7)Gl=*oAMR*N{n(pg zq?+e{RYg$cERFhN3_uVmH+$z7`K7kP32VyTEf6XBc~4d)1_v9rk&GZZL2n!Tg_eZ} za^-OK4^0QLzG})??Q1efnvcu29y=Uz7jmC%0o<$BY=7>`IjHW_wjInLD{=2BX7aln z&+Sv}`%XE=3`^osXcLG+h;MpDF^k8`A(Vh<1@g^yM*Jh*3+BYYNj^)%pl8%PN(atOMvlEMUe zb8`*?xE;QVa$vv4OmsMzQOd9F!z7536Lv?$TUe1~{fZy%U)>GQ@O<3c`u&G}?lScJ zx1WO69FmKR?I>AlF!@_%*b1T}{ac9yeM>NPYi-t=`c4kN)fS>DTr2 zD03|5t&F5(Zd2)_*s|e54=@E|nm$tpj-$e0E;T}H~ zU$)gz90i)*$VWSES8We;wN?x@om*6I*W-%uGejETn{0JzAbV~FR0WTa6CN(hMD6l& zzEEKs->_E$=}Lz@BErGf zFtdr{TFsqG0L1Z&;^+j98l zSw;oLaT$>pTGu*}m_)PuWoaI)Cx4|pkB(5XJ)d!_lHJgP!!<5%P+M}doEOC!Hk{29 z>h=&pGi0&$y41&;xNmO$sCN$y#OVoX-pyl2Lw67L^4?p>u=KN@!L#lDk#~MqisMA0 zhLx89`)>W)9PL?J9S(p5B&5gCWQ}LYsHGb-A^93d)0E9L?X1#WEqr@mo$7Ee#o0{X zBdaCoIo3NyEOOWMbfA4&)gVvXJ(|VIr3{;CFJXr&Bb*hW+dnp|fQ&Z3KgN6dr7DJ+ z-V2<5cFKMJ5Y*S~*1H|OPOK6j4W)=8p5hR(%*M)S%hgg>?NV9m@2Eq|B7BY>d!m~0 z?bAISOBX|iN4ucHxVvZJJqJ25HBWGlFJA4!(~_Y`S0zLW!%OtINbC#IrL`q-FNk|W z8PH-LuVrm6oer&&WTPx37(OR0fQz41;pu~vGpH|@lA<~xbx#GGMlO@rFo%__di3lJS{U{CPoKFogOqz!ToIG88i2h(Y-JjgYvty;{$C`p?v@&%|vDSUt*a zRLS)p-{#R^?+s^JbhwNt_e0!I5J)k7$RokL7$@5lo|$-r0bBj`^?UaEAN$UoSf7@< zZ&-0{OeOxl_obDj+NmB!w00cdM?A7OWw^(|AL;EYajs*VkKC>q=|?nHfBD@| zbm*2i*$#C+@o1*}z6u_VTw}?Y|0xlL+}Y^`-{)ln#MZR)_cO#0Hyx5D@-zzLK6$cq zo6#|fbv#w0HU>g(b^kaki}#IMIRzY(78ruAX&LE=qq?4Cam0ZYh)a;#lnj?svR1!c zLb-Fc|1J&wx*{S)XS&iGK4Ay`Ny&7VT%C3u)QDyQD;kIk-4Da|STcp}OVi_lfys)T zV@;GInw!u*MB)u+_nl&2r`Ee_z;hTa&tX|h>kxMMPC$~bl-OKL)Z^mrB!;l6f_0Mk zX+FaD1~;5OVP?|8Nhy6eFGHP^<64)_Ymr7_erHfp39i`1A=C}a(~QloY-@~~(`4EP zw-P)4aeAOIC!7Q>n1!wTQKk(s)+@4-ww&I^A+J7#Qk``dKn4UEsOfWRt`dm4(_|vb-!UgsbHCCD=sl^=yhDkV6t}aP;)cbjdHfH+$M@R(sDspA z!3u%)l$Dm6h7kH<`f6U`G<_5BA~9zct&OXd$K5j~6(<~eikC0Nj4q{*w~A{L=}1Nz z&YNlg^sMd6D>9$-K__Xf{BZ&?&HlCKYLC)ia+jmRb*{D*@3q$Yg7GlvQVB^0VrOyw zEERRaF84HSZ@o{hPNC_R-;T9!HEXdj&Uz!aG2z!K`et~j#vd@men*ZN%M(i3%S77AI{}J6uw6rBKRENREu3-YGz8_SQ?n z%dgP+wlrL_Fhv6WGfkGgl4dePQ+|f$Mf1+xSE`EI&N{C#BOta=&bc38smOQe=DwP* zQi<`=r2f!aT+;IlG|R;c-vXD^w7`y-9n%I4Oq-dohs6G9H|*T}8;TCPmDXbOQ*cvT zNwT9&cT!8*EOS1vm=hSn`EEEOmg(^AStquAGGmaQAQ|%Zv}a<(4`jf6J8e6aG(=C9 zDFdwmg9K&y69O__$@N-A)RVUJ&~XvHvh5V2P`XQOTx(cK(Lrp%3YmA?qg?yx9JV<& z+%6(ugfe94q${Je;)j!tyI;HXjw-LP(5J6jYUe!3XyCu}fk7Y{J5*w?>#r(_ZSql`O^C;R9gG?AlXZkGJ`>@7lpJF< zx3(aKdZ@G`uljfeXJC)6&iXz&&XU^67pTrcnB=r3rxCjui=Ku;yyD5}b^<^hO{|0# zQ{$bEO#kh3DZIBG#c!8qrDPo2{(Y=-$gIVPe}Sy@o*1UnKn!0enPgFS6aVySAyHy8 zfmP@qQI2j4(H&P^-+%K4{bL@DTM%FBF(sj z70*4WV4pByE2P*`mnl)4rmQ=iH(W^fYZ9UExbFQ`F7HBe84@p`9$mvwkXIa;g!l-> zB4fmn#{{1DKGX+$IowhX+nUi64CkR{;@d4B8?!^$K_MnPv(iBDv)e#mt^ld)6x*wc zTU26qkTIDt2Z=4wArvcR%a!l*x;Pu;S!;@jYfBb3_`HFv%>4BXn``L8EX% z$g~#*e_H{rGERxxnJtg-Yj70jG06i~!}NvbymkNb88c$?ZQJNk!)gW-OLCy7pW1gT zm{8R4iS8uHKsd_V`}A{cVy#4WETH40laROuU9N`(M?wd?h#KORx{8&<5jgv?v= zU_40!YROGyGosnE;q@f*`8nNp=UcGoaz;<{3%HxmW^jdT zTDe#`+;I5-Kd#WSV@ew_X4TsTH9yd0mz~O}$@=*=jB>;%o0E9v5h} zom$O;4I9uxPR;DJsSnY+jN$zfK%1-_yhdK!zKHXHq^D&B|GON_ra#*c3|V5%(_L+d z8ssk#@;?#bfJ)o%yk=FM7w-JPtJfYN=jMjkIFBTY>Rgb*T_YXplF!E3?EN-*0#Gk@<)qfc;)ljMjM2A?9oK6yStUtjF= z{yA#CWGvZncgu7rp{+UdW9F%6o{i8ASin}Bl-0_ShVNU{co%bWdMy*2hH5=6=PA$7 zZmll$YE{Bt#~7Y++qL#iu;kF&KsxXqw%h{00KYQ&&o+ICicNkQ1cTIXW@j$|i)FC4Z&hgA}`vqE0$To@-@83A_^mfvx| zzb0@B|L;^x6p&--uNR=)8E1JGK7ih zs=}ot4Y@O(nC@Y;sl<4gJ^cuw(6oxGSK`;!@@*tm(dTcdn3gRcif1@OA&RPPY&Vxe z!*_|jgoMfH7ho^7vVGNNJ}=eYRrj%AwZD6wPZ7Gtu1X_Y#lJ)s%Q!9P7`(RjolO7? z^KDM|0!mpgTDyK4avyu-<3jSdu z|Cw7_nnwB95i_J|w)B^KZklmBbMvWXfj;|}BfTqQxKZT~+}1ig6{dNqP@b&SiP9x9 zJ8T4OTJjyGHKj1?AbQBn?Ue+Ne4*2ttK*wE%Y9@_QU(q0xqS+p_YF6$NL5&nZ_oh% zcz3wJj_@pdRm{skgtvTLB&31e`VMjxWnT}@T$76*naikBUb|}52_)#o@odz(yZPa? zJmH7hf!2w7VD%`8M2FjwYWblaIv&)PE!_glvz{~hmu%f6ud^XZHZ`7<5v{CmLOlC` z?uLZ#fs)YZyr>d!k3%lC7ksPqD#F#Ou5H-qkyskcc+f_yQ-u^P`TnZI(DbIOcc$5xK%U&&wT>!Cb7)gkQgD_!hB9 zOB5ZDwyz=&T)Z#Z5`;w#s@-<;8?p1My7yug`EEVc6HVN7^UWF$4IE&1Zl6TM<07JO zjO3HGWy#^1HQpyU1WQr>qPjw{{5Q|+L&B1tCIO(Z&nh9w&{JW}@~!ky&ic14NFk{s zEmM2lf2Uu?vGqfCeBZhIrtlB2{XNoNp1so>@=)2=V~ez^U5)SWx#j-nm@^dL(x}U9 zT4%8`GzyONnW2``Yu^anPn@{5I1Y_UdQGOnWc}^lxlM+?LLTwp(ayQ3$}-SO&VDgA zx}`zWMMSo8Kn_iAdsO(}EsE4l73aw%f44VVR3GpB4(Lt2-`JwP->*r$|n8XXSf4 zl82(+f@|$ZXIB0cZ}N48U$C}G4JYKbom17WzsGmwr|%2&;MwEFMA;K_Y^U2~#tnz$ za33RL{nkTfZBDWv7gm)SQ9;vrjKhDdu5B|XI%Tea&aRFO2 z!RNmJE?V^*bnN=VmmMz9$-_H11M>yCd7jAtj) z)Utb&N0{fBV<_PDoRd!RWs{q$(ia2<9x_J^Mi^E)uF#R?%~}?BqY<&ys)q{Naof?z zw8`_EsgP`Pzf628c7!^}m*um2+zCx?r&(#d&!8VMESMOT9F6OFNd`ujQPoC_fx^Gw z38ISQocs(&$dcP+xyEI2As#L&j}mOvQ$K89E3Lp7`@Eu=`wrpjV0a1Z{<&=4PI$2|2*M-@e7{ytL7v0mbkCwz?ky4fC%IRr3=rZ z<4)T=$L4nuNnZ8GAwSea@Oj}!Nxs-X&(^bgkvP9MT=vhg&(O(ow(>K+NpNY}gx8MY z{C)eNIS=|!9z0q4$&zv8#2-bKOK&_Jh`(HEG9@i5Pi4}xEb}@B*tQm%rmtTmB$r5L ze0(4b#zgIMb@Mm>;y``he5zQgmJs9?B5J#!=Zio4vO+$R&%S<5x=X|@*azLdCT!Yv z3soC)o3RJ#CU1hi-^V}rIf-x~@U{7P>ekxHqs8#GuMx=v z>+ITWSNk+w^{%~gyjf8-lcN+Om(58-_?zW8a`-wvWdUMD6F~JvA55Nn>!d?XWV3kd zAJ$lFT_zWlH~LW(Z@b9|W6j979JV@=^;7Kx{RJ0-cF;{J5RwD&4tsRkr4}QMjc3bP zIiWK)#us7K9QO0OTI_({NE%o$^xZ!fpxOI`eTE%dIf6873Xt=@p+Ncyfg3}A1MkbIY zFA0?@G;Os~g5^U#$#3Q4c5eB2+onuc1P{F@s$|*ROqPO3w!b@&j1y|%d`H$&ZEMkh zdF{#8@F1Da)PFfhf%M1PgTRKpx8$I^#bts*y}xs~y`Ey}*T=ZKJ=cTU{i=B7Gnu|F7%4ROM>%xi zit3@%p5g(2Z}ReM3e;!HXM*HvlUF+L zEQx`4didEd0!7L>)!a`cFIR$IE&nb4A7EQ(q4{H1s>AXs z+WNqOvtNE%(cnKv%NKX%f_}?d4x6qCAUDcNv^yC3N1Xi4GPCd&*>+VSwI!-S@NT(H z)7@747mJq*pO-KNYf_0QZYht~iTk0G$`_jcwNgP1YeQ_@LFI!8))%_Is*kFxL%ml? zI{9oKW})F~doo;&SJ>CVWgF&OGV&fhdL(vQYb}#?DfDH96e0+LE~|SvE@ZDXjf$bO~7*e*(;W;sN|vaTDc10QJjIqf4BX28dA%(EFVlT5y?FMe}wnKO#3CIk+*MGACBAc`I z@nt~m(?0dbGX_=>QWCR-E$0Kx3#*K9)2o-=0U03eU~8r%)Ire3Z@W50%aijXx!t4^ zLO)YLS#(p}5_YU2z>RRmUS<`ZGFC1*J5%nLK>SiFsLU&~4u}u9DJ8zyT(vFMOS-#w z&99PI{{xs6f2=;p%m1#z8H_vCxK;cfCBSh`+dJlo&Sd3l;-kOr(R^34cOQfpM_|fM zxD6)zH^?e((W5g!zO?emgkG`uV<;Ns8N39 zwXb{ka0B1J6Ge0f%M=wI%yT&%4*B>y^hVT~8Ud_dvx0O#!OP|*NQ2;JSK|9+3y6@E zsT5G%&gog_oLT-O9xt&*D_9obk+48CVr5XJP!AEs`JCl?8~B_|foFUEJv+HQ{0Wg1 zoMBo77zmwW!r&$r^38NxkLg<1 zF72Rk^ry4WUTn#Yjn>OL%cP6@NxhqN3Sa`1mAB1;k&1ji`I#%D&@ zAS@%nsy3e43!l>5#qp>p5L@-FmR-3-DqT)Js&lRd`)9d*fJZuRP;4$uS>zHOF0cB6 zUOn;`eJ&s1H2&P)_JXT+zoIYC#~-=d$g|va-(03Aum0b*A? zhgtn+QEz@1zR(FQire<|XdD`~vgF>Ffew{DamO#WkI%iHHNj^zC|Gj4rPIEh+tE1H z%wup{zVxDxot!xHYJeIh72$%rS&yq>TBW_9G;{C*@Bchry{$pvN}A>3k-Sd9f85SQ z=}4%4mv1SELS2K-y|iIIf27-|I+3CWPP&ho7U*v83DD9kt%~@#f2k!v>cn7YypS_C zYgMK>W$-p%nvl(v&C~#N>r+8YXOTY2Yum)lPspDuF?Z!cYFr# zm9tt4f6q1PU)dMBv*tPT1A^^ce5z5l3h604y-PS%K%xLoFTE9eS^g%-H=#k{bYT4# z)tH7y=5PIHU;)Y1nyL;FTCSK+jjMXGPr&qjVDAI4x3x5%{S|k;+MhUoC-W|)@{I>FE++O{`;1qGszq6jF zqA5ff^=)!@Bh_VtlZGL;yiAZiQzumWzGk4Izs{ee=^lHX#9f2jzQlvs+Sc1 zZCUeid0k5t`RP$DKmY#rtCh^`jugfzfgnf9*n2`|G+i|Oq7KM-{aw`XyktH!nUPw~ zbiMYCX{&uY`U-596z+5*BmnF6ET$9sMU{E}R#x7{U)c@?7abUk)!Rm8b$x>AP1?UQ z;JWooJ3q&^hIW%brWSIhxCAs;%U6hhjUnV+bNVT%(J;{DD%hcV#vCxgd+O2bw;88Q zUIU$w!((GB;`wQ<|4SW&XfpZx<;|mTqeu8*9LqeLBX;;8@1mmhF!9378rSMi_zE$U- zfDdGi%W2!;_$7qp}Ms1Cr z>1cZZT=H5->f(2i56d!jx|7+RN~o-#x@-Z7n=i?y?PBk%p5H3C;F||Kgx&WlJ>&ds z;uDIs^HC4P)&q1>Sitkc^1pPH3lO>G0MT!oT2#{#q$PTL-Dk zmZat5?B}HgxM-h53#$Xnj%aedyA+}bT>F^NZdWs*IU^_T_k$%@3)+wt!k7$a+udfa z?%a4PPBzlLBduPz37K(5-xyJ*CVsZfqAsQ&1m}fKtJ)cU6`}!~3cso?yDQ?#cPbQ= zf9F1B#8zk61Js3a-y{d0=X1Et@qE;R|$kI;GAkpybD-frq}2CI;>d#LKWC)Y!j z{)V({(qmKnBWHy5G3*0aSiKx4E=`(h!RE`6&hsr*Y;YmT`qQDcvy*;RFCsuc-XKo@ z%H+7JEiODhbxC51J}-3{#qD;^t+RZ)LTAoizIl_Jt#}uxF5X)HmPa`@6tLd)u(D4@ z%}Mr*Gbx1@)piidvBt3*!xgSKQ^^|M#Y{q{{soE03@% z$0cd#?hlvcJ~B~7qG)X1z&!Fwq(LB3x;?@2NVB3hG6sf_9M~Jc^hUk#o8*1ea zN%%h`?REmIX?PEdP;hwNjg#w8-t(08)Ma#uU%0@8Z0>xNw_Bde@Cw@tV(}Az)f$BL zfQi1Ls20_1`#g@Hz%FUxPIG629Y>^laLnQPJKQ-unJMbn2P)PO$Zxrpi}O47J{JW< z>}w`sg`#Tl|Gr{zn=diCZ!XCbRPB(8lK+(bMGAX(;O^iZpw+xBHi;eoI-K*Pc!fJ% znZd7&uTpXmfeo|!+fVd8qGy69xA5`lR)&lFW<1a977mY#Zo4_sbDHeF;WQk0V@?8s za-_o6k)ZPHLYj*&KhoX`R@;-MVfmh9z{KsUy9vCMT@@EO|2;!>jg-}A+vkua8?8I? zTzED(5Et0c5;vSb`LS8fjFl`MRlnXTMF524nQHi8U`*5MU^e{t~o57ibN%Fb?ZJT`+@SDoaFHgS7 zSY^AcaF>VFN2%#agIT6?J#UG-J(=#DMZModD$AP!&7JRM%E@@5-x4{W`EDe0YrX

  2. >stream +H‰ ÌY‚ Ðû)7GÍ*ÍJF×,?üqÜòàíû¾mÁ$8GRÔMÙ×ê³,‹ãû5­T7MS–eÀ²/Ûê{@6‚ɼ>hšîR¯,Ú$I\âɼ9`Œ£uõo]WJ]1œsÓ°Ò8Ç1 /¦nñW¡t!„i€{T²kTÇqàGó<3ƤT@zÄϹ†AôLø_€µ ™ + +endstream endobj 2506 0 obj<>stream +H‰lÌYPEá{åì2DB…2Ïóôÿÿ—7½¿‡eL![rÊÙ¸•j Ú½z£éoµ;]!ôú!qw í£qœO'Ó™h÷²|h_,Wk!l¢ùv·?µÛÓ9ù_®õÛ=ö‰ÛÇó%ÚÓ÷çûgü" +$ + +endstream endobj 2507 0 obj<>stream +H‰ÁÛ‚ Ðÿÿ£¤ñ–ÍZ!Î6'[‰JèØä…yéœ}ß×uuÎc„EQ B1ŠšFÌÒë¶mÈǯO’ôFïõ[XkÃ0–¥ºIkƒpÓ<Ïyžû0䨔‚Уs÷ýõ­^–…1vÉ(çÜ;ö,)¡À;UeÝ·ã_€tÎp5 + +endstream endobj 2508 0 obj<>stream +H‰´ÊÅ€0Ñ$Hðà>ný÷G¼-³¼gû3ƒc7-춙 ºçˆ¥#èq’"ÏrU ½¬T ¼i;¥÷ÃHÓ—çeUD_ßöC—çu?D¯ˆ+ø + +endstream endobj 2509 0 obj<>stream +ËË̺¸»Ú×ÜêçîèåìÅÄÅÈÆËáÞåéæíÁÀÁâàæëèïÀ¿ÀàÞä¾½ÀéæîÒÑÖçäëßÝãæãë + +endstream endobj 2510 0 obj<>stream +H‰b`dbfÁXÙØ9°‰srqcfááÅj ?VqA¬Æ³aeaÆ!>\@€¤¥Ø + +endstream endobj 2511 0 obj<>stream +H‰‘éN1Fßÿ*h&3¶¯w{öͳf£i EEh *úu¤ïŸ}ÏýK’hšYšÇ¼LT•é¶ˆû*êbj«ÉÕs׬»vݹ¹m†²lÓ´²6×:"aÌ +p¬< +2Ã2Ë‹DV©®3ëÊd¨óUßìæa¿š÷ó¼íû©®»¼¨“$fÌP0 ͉ñ>ØJKˆd–•™ìªdvåfh·c·ûÍЭûnåÚ±®ú²pyÞdiim¡Œ¢ ™Gá‚c‰ERC‹XN®ÜNÝ~=YÍÓ4;7Öµ¤D3/ªTn·ú‹yÜMãfèWÎq‚Á +ˆö’Œîãù~Sœ[Q¦ª-l_ç›±ÛM½â Q>”HÀšÃÀ2?H²“€hËXK&H +°=íN4ÇÅ©y!9a4’)†%ÅÚ·Ê°(˜AHð‚“P P‚HŽ¥·"¾.d9Òþ6Zp•¤Œ†–Š{ìšFpª…0ÒÃ…g(88”<’sˆ/ [ +ÅŠK@ +àýõßÛËÇûëÇËóÛÍõí÷ýåoWW_/×ãœ[“Ç&± +…‹08£d!(óÀ럿ÇÃóáþøøp¼¹¾Y ÓÜwMUDË 8ÿh! Ù©«à¿"üÒ + +endstream endobj 2512 0 obj<>stream + +  !"#$%&'()*+,-./01223456789:;<=>?@ABCDEFG...H11IJKLMNOPPPQRSTUEFVVV/011WWILX7NY:Z[\]^_`aaabcdeVVfHJgLhijk +lmnopqraEEqqstuv//12wxy7z{nqrr||r}sc~tvHH€IIw‚ƒ„…†po‡UUqFFbaˆ+vvf‰HHŠW‹ŒŽ†‘pppFE|’“+ttsvvvH”•–—˜n™š›††œœr|Fcsa“bbbs‰‰žŸ ¡¢£¤¥…¦§¨.©ª«…¬­®aa}u¯°±²³´µF¶·¸¹º»z…¼’EEr}+u½¾¿ÀÁÂÃÄŪÆÇÈÉÊËÌ¢©Í¶Î|ÏÏÐÑÑÒÓÔÕÖ×ØÙÚÛÜÝÞßoà†áÎUââÑÑÑÑãäåææçèéêëìíîïðñò‡ƒnG + +endstream endobj 2513 0 obj<>stream +ÿÿÿþþþÝÝÝÜÜÜàààôôôÌÌÌÊÊËÏÎÏêêêýýýüüü + +endstream endobj 2514 0 obj<>stream +H‰b`FFFìLÌ,¬X%ØØ98¹¸¹¹0$ +ÿZ + +endstream endobj 2515 0 obj<>stream +H‰ÁiO‚`à?«ä*›ÇX®éœéê›®ù!7Ó¬ ·<ÈåÐS@KÅP<;þCÏsÜý¶?kÝZiæfµÛ¬÷Ær»5O;ó4WôÙDÓ†®nô…¡ÎVÖæ8Ÿê]Aê +²$ŽÒx Odi,ö¿”±j‡½õ}ÚÿÉ√æ:lçDž—^™ãD–í-5s©š Í×ëM$Õ¦¨I¶pœFß±\®T(T‚aás8¥GQm’d*"~lj§r¯×A­Öl6;½áPžì­ÓLÑ`X£Ñ`‹Åj>_ÎdP(ìv{|¾›Tê%›Í#È‚äàZŒ Œæ à¢Ñ‡Hä>¼u:¯ èÌá8÷zaöÁðu2ù ßïÊ%´ŠaŠbáðß‚.—çââ‚v;d³A±Xü_€ ÔèÚ + +endstream endobj 2516 0 obj<>stream +H‰b`€‘‰™… +XØØáâœ\ܾ~þ6A@ñàM#77{›Ð°ðˆÈ¨è˜Ø˜¸ø@ xBb’®›[`rJjZzDFfVvVŽ®@€Ò Ç + +endstream endobj 2517 0 obj<>stream +H‰Áí–B@Ð÷¡-ÆLÄ GƲB¦0ùÞ~Deï]×u†iš>Ÿ°1ÖÛ¶}½^ã8,Ë‚ á.I’¦iªªªëúù|†~¬c" +@P%Ã8ÄqEcìz)ïLŒãA·L㨩:”iZ„ÇqGY´eÑU¼¯yß”ùµüVõíF@©çºôtrÓ4½çMv½³$¿¥Ü÷B ÂÍ×V–•¾ïÆqœç¹â»äêKAi²m›RÊ Î³:³äÌÛ“Ñ!YS5Îùûýþõãà'òÝPÙ©„˜A·Š%™ëøÄ° lBˆ0Æÿ d“è¦ + +endstream endobj 2518 0 obj<>stream +H‰b`@ÀÈÄ X$XXÙØ981%¸¸yxùø0µ + ‹ˆˆŠñˆKH²£HHIËÈÊÉ+(**)« H¨ª©khjië°°èŠééÂm3cS3s  K+kkf¸„­º­¦½ƒ£“¨³ž‹‹+'LÜÜ=<<<½¼½||yÙýFª@`êà$,b„ +B¥LìÂÂ#"£¢ o‚ + +endstream endobj 2519 0 obj<>stream +H‰ÑW®«0Ðùã%¸ã†ÓÁt¢ä–=_i}ž²¥-ð?I +£'ƒÿÑEŠ£§Ð0¦1‘IüOÂÀ“ÂGkòR*“¦šP‰°€H@(!HãMðLÁÃ7Í`m[•Ö…cŠ`…‘DñTÂã |\Ëü>¶÷¾!„~è¬-µÒ„„D‰ïlpíâºÕõ›wûä÷i\Ý0µmcŒU*ç\aRç¢-uoÍØØuì_[øºöϹßë²ùÑwmWe!ÍQ.H•ñ¾Ê‚kŽÙ]‹¿‚?ÃtÎÓêÝ>stream + +  +  !!!"#$%&'()*+ ,,!!-./01234567+88889:;<=>?@A#BCD'E*888FGHIJKLMNOPQ%&R(S88888TUVWXYZ[\]^_`abQcdefghijklmnopqrstuvw_xycez8{|}}~}€W‚ƒ„…t†‡ˆ‰aŠ‹CŒ(Ž‘’}“”€•W–—˜=.™š›œž‹Ÿ ¡’’}¢¢£¤¥–¦§¨K©ª«†¬P­­6®(Ž¡‘’}”€¯°±–²³‡´µ¶·¸¹® 666­ºº’’}»¼V½¾¿ÀÁÂÃÄ 7·66677¡‘’}}ÅÆVÇÈÉ + +endstream endobj 2521 0 obj<>stream +èåìéæíÇÅʺ¹¼ÕÒØÌÊÏêçîêçï + +endstream endobj 2522 0 obj<>stream +H‰b`À™˜± 30²°bg`cÇ.> +È)å + +endstream endobj 2523 0 obj<>stream +H‰Ám0àÿÿ 8oÕ®–:q²ÊËqÞ>¸ã0íš%eñ>stream +H‰b`€F&fV6vN.n^>~˜0ƒ€ °ˆ¨˜¸„¤”´Œ¬\œAžAAQIYEUM]CSK› èèêè è›0 S3s K+ktqSS[;{ qpÔsÄ*>Œ@€%’ à + +endstream endobj 2525 0 obj<>stream +H‰ ÂÛ’C0Ðÿÿ¢­H‚Tm‰ël»EÅPŒXt¼lÏpR¢ž¾Ó¼pÆ™Ç(õ„(ö}?ŽÃµ=ê0ól!ˆ Ýа–$IßüÉn*óÚ$A ªBäs?Žã(Šn·Û4ÍÛ¶­ëÚÖ²©ú"¯ò‡ÈÓÏ’Ñ@CFº†tåÆq”rR.ËÒÖÃãþô\Ÿ:œè&1ˆïœûišÍó>stream +H‰b`dbfaecçàD\Ü<¼||üèâ‚BÂ"¢bâ’RÒ2²ròò0yE%eU5u M-)m]=99°8蛘š±˜k[XZÉÃĬmllíÔììyœ],àâ +ŠŠ +Š®¶n¶îž^Þ>–Ú +ÈÀ×Ï×ßÁ!À=Ÿ_ ø Ù„ˆ†¢‹+øúú†…sE`ˆã@=$©§"0U½5 + +endstream endobj 2527 0 obj<>stream +H‰ëRâ@„ßÿ ¶’ÌdfÎœ¹`T ˲€®wAnêºÏ°±ªôŸ¯»«50#Á X)€“Òณ™X„¬¨8rª%G`Z2+y„2RhX.‘äœùÀ| Ô)i%8‘”îÛ ¬^)Wœ¡G +Wœ¤ ‚yœEdÐip +ÚFr]ᔄ~ÑÈxèQÿ +˜çŒ4Š[ä‘Äq³ØNGÓë¸\ãÖMÒJƒÒÏgár"EÒœ®”.*å/ÇÏÕ|Ól4î[­AÚtÓ²‹¨ïKF‰ÿƒYK€* †‘RE­¢oêë°¿Ì_–‹Ùr½ØzÃfÒêÜ=ôÚÛzy„Ѽ äÔdi{9þ}?}­—¯/Oóõb=M*¥8.kq¹—´ )ɉÐŒÏ(OKaî·§Ír÷º~Ûm‹Ùª×yLÛi¿ÛëwÓj¥¤ &¬%CFrŒäÑóáó¸¿v—·Ýé×Ïaã¦ÖLê­Û¤Z)3ê °Ð;>fϳaн(YUtÚYÜ®öƒ´ÿØéôÓn¯Ûù8ÿ;ìÎÏ“?ÓÑøi<™ü÷ïÿFyƒÙ + +endstream endobj 2528 0 obj<>stream +H‰b`dbdfaeee6vN...nn^>~A!aaV6QQ11NNN.qn I)i&f66Y699yEE%%eU5u M-m]=}y99 ¡ +,Š†FÆ&¦fæ–VÖ +¬@ãällåYXììœ]\ÝÜ=<µÜ½¼­Yl||mäüüƒ‚CBÃÂÙ5""£¢cbmãâmü“’SRSÓÒ32³²sLró"Ýò +m‹Š‹K‹KËRÊ+*«ªkjëê›´›[ŠÁ µ­½£³«»§·¯ÂÄI“§4L-†ƒiÓg̘9köœ¹óêç/XXŒ$1mÚ¢ÅK–ÌZºlùŠ•Å¨`Ú¢Eþ«V¯Y»M¼¤¤dý†›6o)ƶnÝ:›8>`%f‹È + +endstream endobj 2529 0 obj<>stream +H‰ÁiOÚ`à?ëÝfv¨™f„Eè ¤€-ˆ¾m¡øö ¥-G­¨ :áE•±ß°çyÿ}Ì{·C]µH@ãû™ƒŽ²É沆†’¨+Š)¢TâX¡syË䎓ñTY’+äEžWAEMULM³5ÕVU‹‡Êdô6ì?YF-‰¦¢‘D–ÊFM–Ë…‚Ä0aŽY–=NO-Žá(žˆã ‹E£Tªêz…ãøxCH0¸‹ ¿ž&¶é‡¹x Ëå +’¤sœÉ?†ýþÏëõ¿MÿÝÿÁ¼‹$Ñ ¡Lt(¶76¾-/\\\ZZzw?xfi‹î¡ÐîÎNÐëõ­¯o¬®®­¬¬&ÐT–dóœ(Š:Eq‘º·‡†ÃQ¿?°µåÝÜô¼Mæ÷cM1 Ë’ IЪj +‚ÊqPQt]7EƒP¢i²^ir,GŽgÈ)J¹TªXV½Ý¾î÷½Þ Ýî:N³\¶Çϯ·Ý¾,iH(ì÷XÚöÉÙY{8|šNg³ÙüåeÖíÞMÇ3M1>ú²°°°¶öE1ËrNNZ®Û¾¼ì½Þðêê¦Viø¾û?¼_¦(VÓ,Ó¬W«§õº{}}×éܹnçéaìž^$˜gskg;ÄÒia±T²-«ašN¥Ò¬Ù –)ä9Éý$ŽaÅð¼lUÇq«Õæëx>Í~ß .ÜNËmË’Šci ÇbI’¤óy¡\®¶ZóóN³yñ_€»¸¬U + +endstream endobj 2530 0 obj<>stream +H‰b`€F&fV6vNN.n^>~˜„€ «°ˆ¨˜¸„¤”´ ·,T\N^AQ‰UYLFTÕÔ54¡êµ´utõô ¤¤ŒMLÍÌ¡–VÖ¬62*††¶vvöŽNÎ W7wO/)C#o3_?ÿ€@¨DPpH(—„X",<"2*&¡’HHLJOIMƒH¤gdfeç¨çíÈË/(,*.)…Y^V^QYU ”¨©­«ohlj†J´´¶µw˜vævu÷ôöõ÷L€y|â¤ÉS¦NS«1T™>cæ¬Ùsæ‚ÄeÞüÑÉ m.^²tƲå+àaµrÕê5k×­ß°qÓæÅ›·lÙÄ×"·uÛö;wíÞc»wßÒ%ûá:|äè±ã'Nž:}æì¹d›e% + +endstream endobj 2531 0 obj<>stream +H‰aS‚@„ÿQ–¥¡$Êqï{ÇÝq"¨(¦Ä%:M(œÙ»3Ïî +îË •œJFÒ)§>¡³™çº®ãŒmÛ¯ý—ç§G˲îï#‚“:˜2ß÷Üét2yìá°ÿÔë=X‚y’Ùí3`@ùÄŸM'ÎȽIôÀJ$ +}ÕEôB  +iˆTA×%¨¾y:çL#j€ð¦öäí‹C5xˆ¸[¯ÒÅb¥u¢T$‚ˆsÍP3P@þÚóïÏ©5Æäùa³Ù$ñRë…”!BkÊkc®MÝšêT昗ûýg–íÓt»ŒÓ(Zé0Q" +ø1[W‡ÝwYœ«¯¶6—¦¾Ôõ¹ªš¢(ùv›-“u4•ÐŒ)ð/Àœžì + +endstream endobj 2532 0 obj<>stream +H‰LÎgWÂ0` +¨ˆÛÔ­¥­3hRÒ– +âÆ=êÞ{ïÿ¼zïÇ“išªª¡^‰lšæä!I$%)†aPË"©TJ 0è2fK#c­2ò(cŽãPZ+5äº.`:¸¼LF +cœR‹¤Ó#$×esyÁª5Χ)à !¤PLÌ–rs¼ +à̶m@XX˜_X\Z^É–W3`þØîÆë›[Û;»{¥²/kÌó˜œS{ÿàðèøäôìüâòêú¦,¼ÃRß¿½»x|z~y}{ÿøüú?\ˆ¼çýú i¹@à + +endstream endobj 2533 0 obj<>stream +H‰ Çí?âpðÿÕ‹ë\ODIÊ„•ŸUœ„Ú®²l6ûí¡=oÕˆ<„çÇ“ÇsÿÂÝ÷ÝCq4WÌe‹VÊ.ÿš‰'S‰ôsEH'GçO÷/o?¯ÏŸ4-ÐOQ|±@ÌN§¢ðT4GW +»Û͇ÛgAÐTÕR”*ËJ(ŠOÇ“C·³/ cÙ¢©[í“«ÎÓ;ŽS’dÊr… @2™ B.‡ÇÛ7˜D~Ê¢þòû#^@TÔšªÖ²ÙblbÆ×?Ôãê‡ÇbÞ_¾îo~_œÝx½þPg2¨(ê¯k 2;ß>½zí|6÷[rY??½v¹Üv»ÃápúýÁDbžaDM³Ê‚V*ÑIdÁ? +Ây oîµZ‡íƒÆ±ÛÝk³}‡IhÚ&ÇÉ…<1EzÝÞÈø¤©YÏo·WÑè¤Ïç÷xú»ººt}SÕ,Ž•#p¼û›£jlííf2+0<A£6[·ÝîÄñõn›ÓÓãXù½óusù6„¥¥\*•† 0I²<§\¶o X‰g%I2H’Y]]GÑÕ|~㔾Þ¼@J‚¦(†)S'ŠÆøXÌñ£gÐ’ÊÚÁî1Có5³nš[šVÛسÁÀÈÔĬUÙ^_cöG•JÝ06M³^­îèºEÓüò26‹GàX +Y`éòéñÅÇë_ËjÆV­Ö¨×(#ÈÜØ(œ@æï:»;M¢DŸµ.ÿ 0\üyé + +endstream endobj 2534 0 obj<>stream +H‰b`dbfaecçàäâæáE|ü‚BÂ"¢bâ(’’RÒ2²rò +ŠJÊ*HRªjjêRêšZÚ:ºzP=ú*@Â@UÕÐÈØÄÔÌÜÂÒÊÚ,akÇÍËkïàèä,¥îâê¦cÁæî–ðôòæáõñõó ’ + ˆʨØFE[óðÆÄÆÆÆÅ'H©%&%§¤¦ñðð¦Û)g¤óòffeçøªæJIååZpòððØëQRZV^Q ‘¨ª®áá©­«OKJ446•5·IIµŠ€%lÚÚ;@]Ý=½Æ@‰>‘ª~ ÷„‰ ‰I“§Ä L +2V›2múŒ™<¶³fÏ…€”ÚÔ©R¹sçÍ_°°Q‘þâ%KÅAžY¶>stream +H‰ÎiOâ@€áßnŒ¢A¬­RÁR2Óé´Óƒ"SzpÄ5³Ù¬ËÕ–Z@ÐVÊáñÖMÞOϧw—î7_Ëyzÿã#UFÌz]‚»n×÷û®ÛK_òñ0ôÜnÓ¢ŽíW+µós$IŠŒ !æ.ÿ˜'«`¡"k ÃV«‚pS‡a¬åÙþíu›½lfOË(Hž¢E2}žÅËíúcíÓUž®6›·÷å"‹Ãù4˜E“$'~:Þïô=§ï¶{ŽÝiÓ½õ&Ãé:Ý£¸ß½7ô[45Õ"JCņ"ëYÒîz?Gƒhø7>stream +H‰b`@F&fV6vN.n.dq^>~A!aQ1 .!)%-#+'¯€*Π¨¤¬¢ª¦¦®Á€4ÁSœú€ +Єµ”€G[G[U\OßÀÀ@ÕÐÈPI¢¢’±‰©™¹…¥•¥µ–’"TÜÀÀÖÎÞÁÑÉÙÅÕÍÝÃÓË[¢ÅÇ×Ï? 0(8$4,<Â?2*:FSäÙØ8—ø„„Ĥä”Ô´ôŒÌ¬l—œÜ<†ü‚¢⒒ҲòŠÊªêšÚºú†Æ¦€Ä«(S + +endstream endobj 2537 0 obj<>stream +H‰ ÂÙR‚PÐÿmrtÒB$¸((«„à‚šä( ‹¬"à–ö uæt»2lj óÁóÍð(ŠÃ0Y«B fáþp==òô2QT¢IuHÖÜ8—â~Îhc¢ÿ[ê0R*UjÕ:ω4Å ]i£Y‡(ÏÒ³Ü5A»ßS<;€ÀY‡è­Q.¿èši›ûÀ‹OÙ-Ž‚(aîÞ‰<'tíÀÝùÇä”Î8FFAš„ÙBý~oàd‹¾­­‹£äóSå’ßµµ½"T‡+ŽWme åÉ@÷%e5×yVÜj»ÈOyFLãbª|IâP_›Žå«Óåz± ¼d>[ûnlèvO,çšú¹twK ,%Ðm>‰²kñø`Úþæ9 + +endstream endobj 2538 0 obj<>stream +H‰b`dbffaecçàäâæáåãQ1q I)iY9y!E%eU°¸šºº„†¦–º¶Ž®ž°¾¡/HXØØØØÄÔLÇØÜ‚WXÈBÐ’ß +¬\X +@l!k[;at`ïàè„MÜÙÅÕÉ C\ÈÝÃÓËÛ‡W]œ×Ó×OÕ_Ý x€w †é +AÁ!Ö¢Bæ¡>aáþè¦ E„é¨FFaŠsG†zècˆ +DÇxa/䟨€éø`9ÕĤä˜@€ÛÒ0t + +endstream endobj 2539 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?è2]uLcq™¹ï;` ì²OQêoe×µ®p..iŠÚÂË}67×nËk1îÐO‘SÏcz›˜ñEN}Ó€Ÿ‡Üˇ ‚’&qgû%ûC[¬‘Sc®ý6ÆÈWÑù/I>áÓå“’^’JÿÙ + +endstream endobj 2540 0 obj<>stream +H‰ÁéN‚৭¹åÖæÚªýom©e‘š÷AjRˆ B‚Š‚Š'x  ˆg=BßgꇭqÔÕ-]d±lAlôòIà´ª†¶ãÊB!Oq¡€Sl‰Ó–›ÅLU—Æ°/wÄA™©e3y†®Jý‰Pw›Óh0í¶¥&߉†?êÕæf½/Q•µj‰R£Þ.—j‘ }f†’¼Õsy%8V ãIêŠRÐêâzeJ½Éj¡#pîÝD¾s·×wÊL#òôbª‚±T¿3²œ]8]3yI šÁ›B'™€Ò)xoþZέNû M²á@|9__Zm€Ë;+ci–ˆ§=€†Ðqº²Ýø<¡ƒùÇ×ZU–„@¯;€"øJÑîíð:èŽñ\‘Äb‘D…­+s"(•ñ¼ùŸ€ðñ\+‡ÊTÓãu2œÿ 0øf + +endstream endobj 2541 0 obj<>stream +H‰b`F&fV6vF(€29¹¸yxùøáâaA!aQ1qN¸¸˜””b“–‘•“g€E)/¤¤¬¢ª,‰WSçÔ`×ÔÒÒÖÑÕcDˆë22È›˜š!‰›ëXH22Yj[™XÛ"„líìâŽNÎ.Æ®Hânî@qyV%O/$k¼}4] }ý¸üƒÄƒC¬BÂôÂ#"£¢‘¬eˆ‰5fˆ‹WIˆLD + ˆHâOÖKJIM‹fB—–甑pLÏÈd@ŒLÜYÙ9¹Œ(âòŒ†,±y&¹ihâÄ£" + +endstream endobj 2542 0 obj<>stream +H‰l“ÿèåìãàçáÞåßÜãÞÜãåâéÛØßéæíÇÄ˯¬³êçîµ²¹”’™ëè都­‚ˆíêñª¨¯„‚‰äáè×ÔÛž›¢†ÜÙପ±…„‹|zÚ×Þ Ÿ¦}„{y€–“šyw~zx’—vt{ Ð9LF + +endstream endobj 2543 0 obj<>stream +H‰”Í·€0ÁÇ{„Þ è¿Gظp'8ž§tñ5~C¨ô(枤C^” Umš¶Ã‡Þ#>Ló²"lûqâµ½ÜÍð¼N…O€.‰} + +endstream endobj 2544 0 obj<>stream +H‰êÿ¼¹À—•œ~|ƒxv}yw~yw{y€‚€†““‘—›˜Ÿª§®‡…Œ|z„‚ˆŽ”œ™ –“švt{zw~…‹‰‘•žœ¢ª¨®˜•œwu|zx‡…‹¯­³Â¿Æ¶´ºÌÊЕ“™ÅÃÉÞÜ∆}{†„Š‹‘›™Ÿ·µ»Ù×Ýåâé}„}ƒŒŠ‘ ž¤ËÈÏäáèçä룡§ÙÖÝëèïèå좠¦ØÕÜêçîyx~€~…œš ³°·ÜÙàéæíÓÐ×…ƒ‰º¸¾æãê…ƒŠ”’˜¤¢¨ÇÅˈ†ŒŸ£¿¼Ã¤¡¨ÐÍÔâßæ ZP—ž + +endstream endobj 2545 0 obj<>stream +H‰b`dbfV`cçàäâæacA¼|üœ‚BPž0BBDTL\BR +]½´´ '§¬#v yl<œŠJÊ*ÌÈ‚ ·©ª©khjië ¡.ÖÕã×704b†«„f~1cS3˜ÂHüæ–f,V0˜”5¿­½™ :°æ·u0´7ÃWuTt²s6Ãvqus×6Ã"ááéea†MBÆÛÇ$`G¾ + +endstream endobj 2546 0 obj<>stream +H‰ùÿßÜãéæíèåìßÝäÄÁÈ”wu|yw~Ú×Þêçî×ÔÛ²¯¶‰‡Žxv}¼¹ÀÑÎÕÐÍÔÏÌÓÖÓÚáÞåâßæãàççäëëèïÈÅÌŽ•~|ƒˆ†‹’‹‰¤¢©ËÉÐÒÏÖÂÀÇ„ˆzx“—»¹ÀÁ¿Æ¿½ÄÃÀÇäáèìéðÁ¾Å€~…ŽŒ“°®µÀ½Ä¿¼Ã¾»ÂÎÌÓîëò¿Æ|y€|z{y€Ÿ¤®¬³­«²«©°À¾Ååâéxu}xw}‡…ŒŒŠ‘š˜Ÿ±®µ´±¸³°·µ²¹žœ£}{‚Œ‰Š‘Ž‹’†„‹zx€„‚‰…‚‰zw~yx~yw A¨È + +endstream endobj 2547 0 obj<>stream +H‰b`dBŒÌ,¬l윌œŒ çäâæáeçド‹KHJ±³KËÈÈÊÉó (Ãtˆ+*±³³+++󪨪©kp‰ k‚ĵ´uØØA€WGFWFEOßÀЈIœ“ÓØ*ήljf®caiem#l«©Éd¨cÇöæ2Ž²NÎ.®®nî¼ì(À^ÇÓËËËÛÇE”——×ÃÏt”! "a#§ ?0Ý›— + +endstream endobj 2548 0 obj<>stream +H‰½Bÿyw~zx~|‚‡…‹œš ÓÐ×ëèïèåìyx~{y€‚€†ŠˆŽ|z€‰‡˜•œ­«±ØÕÜêçîywxv}|z‰‡Ž®«²åâééæí|{ŽŒ“¸¶¼äáè}„£ §ÊÇÎåãézw~‚€‡­«²ÒÐÖæãêÄÁÈãàççäëxw}ìéð…ƒ‰ÕÓÙŒ“µ²¹ÛØß™–ÅÂÉàÝ䃈âßæ~|ƒ’—¼¹Àwu|¶´»Ú×Þ„‚‰ÄÂɆ„‹ÉÆÍ ¬q~• + +endstream endobj 2549 0 obj<>stream +H‰lÌé‚ `,•°MÀÔ¬,LËö}_Þÿ± +g + +ÎÜ?÷›39F©lZ6|‡•¯#§*¹H­Þhº˜;‘™z-ß B¥OÛQ§[ðŸ÷âþ@vFãž Óì§ÏŠó¢Ñ8‡ÊÎÄ1­)TÎâ¹­ºËÖ8Zo¶¡êhçï?,9=OÆÏÉåšCßî‚!;'þ`/¼ Ø + +endstream endobj 2550 0 obj<>stream +H‰ ÂM ‚0àíöNÝ–}žS· Õ¡»§øòàAœ¿b Á|xQ@ 0#k´¦€)æØÜv:^Â`çþz¾£kì{[Îü làÓ4i­ï·‡R©4Š‡ÓeYú¾ït×4MÛ¶u]gÙ'ŽE’H!ÔaÆÈÅÅ–;Ïó8ŽÃ0c~U•ó"/ʲT2ý 0`‚ + +endstream endobj 2551 0 obj<>stream +H‰b` 0+ÃDŠ©Ì`’I„M+va `C³žS Švfts!†rp"DY@¦Bíââæaçä„jbC8€—_@P@ÉÂ"¢bâ’ RRÌp ”´Œ¬œ¼‚¢’²Šªšº†:Ü&iM-m-]=}Cf!N)˜s <“ + +endstream endobj 2552 0 obj<>stream +yw~ywyx~yxzw~zw + +endstream endobj 2553 0 obj<>stream +H‰b`FtÀ¡˜1$¢U2¢ZÍŒ!E0á†ëG6ˆËp˜F‚{pif´J³ T¡ €!Ž3 + +endstream endobj 2554 0 obj<>stream +H‰Ê» +‚PÐÏóýÀÀµˆÂÔÁõ’–n®N‚©?pQA|á «3ŸmÛÖu­ª +B˜çyE¶ý4MÀCÓô/ ‰í)dG ÃÐ4MÛ¶eY&IÇŸ0 OÇ3ŽÑ$Ábèïàã4A ë†a˜–®‘exšäŠ›çyü›²4SÕ»,ÉŠ¬ÜD©( +ß÷ݷ빞㼖eéû¾ëºº®¿ p1sâ + +endstream endobj 2555 0 obj<>stream +H‰b`F&ffV6vN.nn^ `>~~A!a!Q1qq I¸8 HIËÈÊÉ+(*)¢Š3(«¨¨ª©khj1`m]=},â†FÆXÄÁ.Ä!>l@€èˆ% + +endstream endobj 2556 0 obj<>stream +H‰ÁËO‚p࿺xóãi…/¢¹à©r&£a›‰ÄÁìæÜ° 8ȬäÂÍÉtãU}‘(DbES +“DQb™Ç^‚™Ïç¦9™L,Û¶¹‹@t†ÃçDEËåç×u‡ÆK¿bhÇ((ŽÇãápHÓ4I’ Ö뵋ÅâFê G)&«ªšNß{½~¿ÿ4hw·2Kó4à(ÀfY¶Ýn=Ï3MSl]7„V³Ñ.ëq[ÖÛhô:›†1ÛÓûý> Cß÷5M—eUQºªÚÍó|³Ù8Ž³Z­îëB³(Š¯ß?»Ýlö¡ëÏeYVUy:~×X´³ + +endstream endobj 2557 0 obj<>stream +H‰b` 0"XL803°°° ˆ±²‚H6vN,:¸¸yxùøÑÄ…„EDÅÄ%Ø%$¥¤¥¥`¶³2ÈÈÊÊÉ+(*)«¨rª©ƒ„5À’šP £¥­£«§oÀlÈ„"nd,j¢hjfna©.²LXYÛØÚÙ]èÀ‚"îè¤è,ââêæΪ<<½„½}|1Äýäýƒ‚1Ä55CBB=°ˆã`'Û + +endstream endobj 2558 0 obj<>stream +yw~ywyx~zw~zwyx + +endstream endobj 2559 0 obj<>stream +H‰b`€Fìl`b``Æ"L€[À‚[ VÛ˜pÆ„Sš€n"m8ƒ[b˜BVTq€4) + +endstream endobj 2560 0 obj<>stream +H‰úþyw~xv}‚€‡œ™ ÒÐ×ëèïèåìyx~‡…Œ§¥¬ÖÔÛëçîvu|”’™ÄÁÈàÝäéæíusz¡Ÿ¦ÞÜ㣡¨ãáèus{ãàæzx|z¨¥¬ãàç~|ƒ•“š¾»Âäâ醰­´ÔÒÙæãêwu|ƒˆ¿»ÂâÞ帵¼ÚÖÞâßæåâé}„›¢¿½ÄÙÖÝÛØßáÞåèåíyw¾»ÃÁ¾ÇÎËÒßÜãvt{Š‡¦¤«¨¦­ÐÍÔÓÐ×ÒÏÖÔÑØ{y€”­ª±±®µ¯¬³¶³ºÖÓÚ€†ŒŠ‘‹’‘–©§®´²¹³±¸±¯¶ÑÎÕäàç}{‚œš¡›š¡™—žÁ¿ÆÚ×Þ ½îµÅ + +endstream endobj 2561 0 obj<>stream +H‰b`€F&fV68€Š²30rprqcˆ30ððòñ `fcÃ&."Š¤œ&.&".€E½„¤”4qFY9ylâ +ŠJÊXìUQUSgÃ&Τ¡©¥¥iŽŽ®ž¾¡‘€€Š¸1ƒ +¯‰©™¹¡¡¡2ª¿,,­¬5llíì¥Ázàâ ŽNÎ.®nòÒÒÊHâ@KÜ=<=¼¼}|ýüÅddxƒ‚CBà v‡¢ + +endstream endobj 2562 0 obj<>stream +H‰Ù–„ D?~FB÷vÁÁ…Uºÿqâ¡BHª.9çÀ$*8gˆ€ô‚œ1(û-J‚sä4 À§SÐ…š(çñ5ÝеmSÕRÈR”ˆ‘‘' '7‚—‚‹Ë9žfÛ´Zæqh›º’’RÃÃíܹém]Ô<½ú®kêº*e‰À0_>GŸBx{yÉÇ»»þûSKSK€_Vü°¢È·Ë—KÏ°»iÒZvß­µRË4N¯aèÈœ–$g£KÁ_ÎFc̾ÏãØ· á>Ü Òm3)RnÖìëºÎÓ<ö}Û¶uU•B +èGûqj½©UMÓ4ô]S5•ÌWx“³uî8â\f5é2™t›ôpÚô §†RLz:þ]Ž6’IÑ~£ÿ÷ öÂ7Píÿ²é° + +endstream endobj 2563 0 obj<>stream +H‰b`ddbfaa6vvNNNF.nn^>~A!ffa6VV6aQN1q111Fn I)iY9yf6E%v%eU115u M-)m9An]AA ¡ +""z@3Uõ Ô ŒµLLÍÌùø…xXD,ØÙ•8,­¬mlíì$Lœ\\Ý€agfcs÷°´ôôôòöñõó + åd sw÷ðð°1ˆŒRöˆ‰•‰‹gL KLL 6+«dýƒT¿´ô “̬ìˆXŸ¥U„—wdn^~AaQq H< ²–¥¥úeå•UÕ5µuuP‰úúĆƦp«²æò–ÖÖZ ¨ƒj‚¶6vÏ2›ŽÎ®îV,B¢­­±­§©·ú;[;j“’àÛÚ&Lœ4©¯(ÑÙPÛÐPWW“0®&oc + +endstream endobj 2564 0 obj<>stream +H‰ÙrÓ@Eÿÿ (l,ÍŒ¦g_$y‘ãEÖb-qpHH @œJ +ø +DUW?Ý>}ë$ŠÍÚÌÓâ"Ûçë¾ÜêêP—}UveÑîŠz³Ý-—ëù<‹ã©5±’–¦‘Šˆ"XâD”h¶ðú"õ›ÅÀYì7«6ß´»ü²,¯ªýU½ï˪Éórµš{ç%W4 Œ È0l9ñ’¦†/b½šúíbv(ËcÓ\wÝu×Û¶¯ªj½YÏfsï£c)àfhTA¤€(ŠõÀÄ«¡ÌœX¦v—ÍÛíöºénºþ¸oÚ<ϳ,ÕZSª(ÑYŽ ±¢©†©áËØËe¿+nûþîpõ¹¿¼ªëýv»LMAQªÿÿÂp,éÔˆ¹“ËÄ®gIu‘]塪>í ̆œbÑ*qE¶h7››®ûܼÖ^ Ø¡Ã ý‰ãxáÕ*u»lfwŠ`ŠrB aª`f„¤V@ çV;샮¼ˆb (8#áˆÌhé$³œY£É9%ÂY$(’K– ;œSâŒÀá(¢QˆÂQ0~GÂD!l°JíºÊNËÄÛØ)h89ctÈ ˆ…"Ë…áìë—‡ãáxóéúöx[•d„C(a€ÂÉy0zÃ#æ`•tJx!ç/¿Þ~=žŸ^ž¼<Þk릩ê®iöU5½¢%åá`… !%I‰Ìpx{ùûzúýzúsúùúxÿýÛÃÓÃÝc±ÍSo¦©%MÆèãç€ T 0þ 0A" + +endstream endobj 2565 0 obj<>stream + +  !"#$ %&'())*+,-./0123456789:;<=>?@ABC*D,EFGH0I1JK LMMNOP%QR'SC***TUVWXYZ[\N]^_`a:bcddeeBfgD,UVhijklmKno!pqcccr@@Sff*++sEtuYvwxoyz{|}~ddd@eB€ggD,sWi‚ƒ„m…†}‡‡cc‡}r@@e€SCˆ‰‰Šs‹ŒŽ‘’“”•‡cr–e'SSff++,—˜™š›œžŸ••q~ ”?@@@eeSCC++¡¢£¤¥¦§¨@r©ª}r‡‡@@'S«gg¬­®¯°±²³´µ¶···ª©©}‡–r¸ee¹º»¼½¾²¿ÀÁÂÃÃÖ•Ä’Å}“?@eÆÇÈÉÊËÌÍÎÏЭÑÑÒÓÔ†ÕÖÖ×rcØÙÚÛÜÝÞßàáâããäåæçèÒéÅêë}ìíîîïðÜñòóôõö…÷ø­ùåúûüýÅ + +endstream endobj 2566 0 obj<>stream +H‰[’¬ D÷¿ŒV^(¢B+¢¨m?¦câ®è:õ—™qN` A_WJR +Rz¬ìÆÙMa¤\Š¿´ä&h¡(VK\Ô‚ëŠÁ‚”1 •bDàâ½粬ã8uošVæÐ+B +ã¯Ï÷ñ8—u§8ôÞ6V¤XüˆñœçgZÞëöÙöWÞiÉÓ”¼­m5T)ïÖÄÎfïŸóüÉû÷8öÇkÍ{Œ³÷ÞZgtÒ\>9ŇRïrðûx?âtÎé¹æå~ŸúÎiUK®9Š•ª*M…jŽ­$˜70wí1Žßãø=/Êå“RðÁÙ®1À(0¬X©25;¨B­¢ksgšßy}çü\–-NË=Ì~»n°Ö*©9U ½¶±¬+ÔpÔJ:hÝîáˆñg?â0 ¶Q”(†"Í +à +'Ù2ôû4¾ÖõL)Sp­¢Tÿù MKä>Ù:] +ý{Í5çš1ýW#š^8Ò©Ê9Z“úv Cì]#…¹jUe*¦èe…Ž-Bÿ?v†m + +endstream endobj 2567 0 obj<>stream + + +   + +      !"#$%& ' ()*+,-.$%/0 '''123456789:;/<  =>?@ABCD5EFGHIJKL MN>OPQRSET78UV-WXYZ[\]^_`abcEd)efghij.klZ[mn]opqrstRuvwxyz{|}I~K€[m‚ƒ„…†‡ˆ‰ŠEf)‹* Œ;Ž[m‘’‘„“rˆ”•uv–—F˜h™š›%%Zœ€€mmmž^Ÿ a¡¢£R¤D*¥¦§›¨LLLLL©žª`«¬­®¯°±J²³¦´›ŽLµLLn¶·Ÿq¸¹M + +endstream endobj 2568 0 obj<>stream +H‰ PÙNQýLcƒ6j+}kÓE­¢±Æ´M›ÔXd†{çîsçƒ qA©VšZtÚ +‚K—Oè$çá<œ“³pŒLF,Ž-J¥I!’‚ÛŒ*B$FC‰…"Ðf‡qûH `Ä0¹!)¶s¤åHÓ¦T1*1–Ib( +‘öiíÃ;èz:CÐdL ª8±IšB鯾37ý‚3*(ÑãkñØjB‹a§Hã(ÁSÊJYfJšŽŠ #EÑòb4òð›v3iG±èë&(Ò1ÐÅÊä¶`6nlSò::?16öÓ«ù^Í«þÈçò®“ÚvݤÍ)‚zÌHÄ0ÐX°=èi²¤i:œ-/,LŒ·[½N«×jt*¥J>›;ÈrÛ;Ž­›âØÐÔPB#FB— +š4¥läl)í¶o»í›f½]ó/~³æ7NON÷²Ù½ÝÍL†S ëj&0ùìùýÑÑ^çîúê÷M÷O»Ù=;=÷ªgçÕó¯Ç'Ù­í”­\ÇN%• bðveåÑddxxøê×Mã¢yYkú^½ü¥\Ì‹Ÿ‹ùýM7C‘Aà@{5;3¹74t}u׸hÕýËJùd+“ÙL§·\×–rjjª¿¿``À;óK‡¥ÒÁÑñQ¹+ÌÎÌöõõU¿yK‹K½Îm³Þ)Væç¢ÞwßÖ“ÇOo{ŠG3Ó3áp8 +Ý]ÿÛÛÝLFCÿÐD«[ + +endstream endobj 2569 0 obj<>stream +H‰b`dbfaecçà`æääââææááåã—`“””’攑‘åÊðÊÉ+(*)«¨ª©kh²j‰±°hë€ôððéê騛˜š™[XZY³ÙØÚÚrrÙÙ;8:9»¸º¹»{xzyûøúIÙHÚøÛ‡„†…GDFFÇÄÆÅ'h‰'úh&%§¤¦¥gdfeçäæå—ø•–•3KVUVVU×ÔÖÕ74665·ä·Æ¶¹´wtvu÷ôôôöööõO˜8iò”©Ó¦Ï˜™;«höœ¹=0oþ‚ -^²tÙò+W­^³*Þ³ˆ×‡lX¸qÓæ-[·mßуú«Ó«wîÚ½gï¾ý(â PÙŸÖààv ñžªC‡Å"ÞÓwìø lâ'O>³ã,¦ø¹ó.ž= `¨×­€ + +endstream endobj 2570 0 obj<>stream +H‰Á [áà?}aÂt×S] [ckk6VÖcØfQÏÎG*Æ>ŒQ! qwý…{ßV£=0Çݶ¡¾èÏÍŽòP­ü~|j©=Õ +2AP$™LR)†aÓé M³··|­Ò¨W›ÊC­×1çÓÕj±-ËŠÞµÔ¶Þë†6°ÌÑh8Û“|NŠEFÑ‹D"ŽcGqŽãž5´^û†méöûxæÌ?×Ë +ã6Ë]ó’Pî붡 õî ¢ÔÅBQdI¸+Š¥¢x/‰¥ÆãÓòc³[m×ÿ>W7Î/Ü‚^xzánr…œœËJBþn6qfïKg¾]-·—ß½çs}Ûÿ<ÆE¦ÉK&yÅ*÷5Mµz¾m½í6_€;ðýý‡ça„Àh>stream +H‰b`dbfaecçàäâæáåãf—d–’–‘•“WPTRVQUS×ÐÔÒÖÑÕÓ7Ð7126153·°´²¶±µ³·wpttrvvqus÷ðdöòöõóu„?ÿ€À àÐ0‰ðˆHÇ(Zˆ—˜˜˜Ø¸øø„Ä$]11] p‹?¿èä””Ô´ô ‘̬l ȉ†—\ ¦À¼ü‚Â"£ââÆR˜D´_˜t.7¨Ð¯¬ŠF€ê pN®­K­oˆÆ 4F×€9ãj RMÍ-H +[a*\ü‚Û¦#¸ø…¶cRßÑ)Œio´_nWwšX5X¼·² À÷Ž~5 + +endstream endobj 2572 0 obj<>stream +èåìéæíßÜãàÞåæãêÒÐ×ÕÔÛãà翾ÅÄÄËØÕܼ¼Ã½½ÄÁÀÇ×ÕÜ»»Âº»Â¹¹ÀÑÏÖ + +endstream endobj 2573 0 obj<>stream +H‰b` ÀȈ]œ‰™«8+;VqN.¬Ü<¼|ØÄù…°Ù`&¸ + +endstream endobj 2574 0 obj<>stream +H‰ÁëzÐ÷ÿ3¡¢‰ÌÔH¨¾j%T+JmÙhÝÛìò +;çrü>î¯Q°[.Þ4e"p¬ú‘ wç¯×ÓO¶»ñö=šMA•a´Uˆ&¹rý$:8¶ç{C³R5Ÿƒñ¢Là =g7]3•‘Îôyàì’Leá6õ–kÛrÒø ïÀàý>9Ý.êÈàY©˜/¸×awññëü;”TYR>7 K `¡\C²¨ˆüpåø=ŠÕÆÛt­É¢…S¦1×Usf:#–!Ôš.ž9¹^m¶‰®ç®Ù¾PA°z­Iµé$<9"i¦Ç§qö/À•4ºm + +endstream endobj 2575 0 obj<>stream +H‰dÎÇ‚0DÑX£¨@챀ݘØQì½÷ÿÿ×Ifyï ðx}þ@†Â +à‰ÆTMGñïJ2•ÎdqÎÈónF Å*[¶©TkõF³lµÚ¼¤Ò”u{}ÞÃј9“iKè¸Ù#b›<²pC-… +«ÚZgHUDßluÌÐNr²?0 ’ŸÎé—«ä·»ƒd$¹F)~¾¤; KéûcËîP~²ÿ¹* + +endstream endobj 2576 0 obj<>stream +H‰ ÊÛn‚0Ðÿÿ‡ahWH7)5ÛV|YÔl¦-e¡Àtå¾é7èy>Cûß™±3Sû;ÓB¸à\r±ûؾRŸzÞÒ÷Èâ;àÌ\± °ccúæïT},u^–yÈï [¯‚€…Aøþ6r¡m!h#h!ðàkì.SmÎ}šd±TJ&1—[SB¨O(y™cÁYkÆæ<™ŽÅý(%Ô&Šˆ·ڋΫÏý[±¾™NµÑùÏM€Ç%‘ã + +endstream endobj 2577 0 obj<>stream +H‰b`FF&fV6vN.n^>~A!0ƒ0ˆˆŠ‰KHJIËÈÊÉ+(*1À€²²²Šªšº†¦–¶Ž®ž> +0`f6426153Gg°°`µµ²fÀ6Ì̶v˜â`‡0c¾ À†]ê + +endstream endobj 2578 0 obj<>stream +H‰ŒI’à Eï³ #Àv’Âñé+´\õ¿þäHÔQ{’>©>¨5¤ ƒÑÀDlÈ ØjÕY!¨ êoS‘+pu7ú°ŸhTŒ6Qà†ióé ±…|Nù˜d÷òEÙ05H—Îs§rM–Êéå@K“BR+/ƒæ+”3äËç=®#.ƒçA¥OrxÙåý“×/ÝŒ¸vš{(Gþüeóß#­#”ë_€Þй + +endstream endobj 2579 0 obj<>stream +H‰\Î}W‚0ðm0“T„“Ð@+5-^Ê4{ýþŸ¨;òt:=ÿìœýöÜ;B€€ÆZ-Ën·m›RJ ¹7M}ÖÑжy·ëPWˆ¦Ð롱–eñ~ßó΃áÈa »>6 `çÜÃ8‚ßoF2&ƒ@†a¨Õu]:"§–©AFQÔÅ]?5AÀ0Ào@6p¡ +!Äœ£i”Î)žCPÇá?PÊóˆëŠIr™Nãxv%5²<Ÿ/®on§³Ùr¹Záýz=”J©ì.ßlïÉC:ÆÚ(Ê2Ë«ªžOOi¬”\ìž÷‡—¢ÌǺž¿&IšÆ\IüÏÛûîãðYdYUUùæk‹3c®á[€ñ"Ê + +endstream endobj 2580 0 obj<>stream +H‰‘i3"@ +;cgŒÒE…ÕA’¥[—-ÓΨÈt”0¶k“îK‡ÉQÑájS$ʶرûý+kßxÞ!j4šd2y}]«Õê‘HT"‘ö÷ãzz>Ñht½^ttüüü‹Ågff1,ûb±XOO ¯¯oww÷n·G¥RS©4­V›ÉdêõúÅEÅçó‹D“½½(‹m6›‹ÅâËËŸJåÒïˆÅÓp8b|œ¿¶¶^.—ßÞþ6?íö5™LÎçóív{©TJ§÷ †•ÑQ A£1SSb¯×W­^===g³¹••oHd×ññ‰Z­¾ºº¾¼¬úýA©T60€ok .,,loïÔë7F#Èd²îÕêr¹üýý¯ZíF …b.Þ,ÏŽ , ƒ‰DR:~oáñx0 “É2™Ì…Â÷‡‡ßçç</‘Hœ•t:=>ÚõŸîÕÕÕB¡P­V20´¯¯O º\îÇǧ\.g4š„Åb ƒr¹b±}^¯7ÏÎ*ðx<$†ææ”77?noïb±˜B¡Àáö÷÷766&''ÛÛAxü`(B£±Dâû¯E¿ß/‹=w6›Fcl6›J¥..jâñ­R©œJ¥ CGG'‡3V©Tòù¼Ñhd2™(:(•ód2¹ý] +omm‘H$>ÿëúúúÉÉéÎÎÎððH ÜÛÛ³Ùl@ ‰Dîîî9‘H„B¡$Éáá¡Ëå‚Á`CCŸ}>_0ZZZ‚Ãá Èét&“©ÍÍ‹ÅŠÇãpæñx•J¥T*M¥Rt:}yy™B¡Â`&“I(µ´|„@  +Åf³·¶¶r¹ÜDbÛårNLL¨Tªææl6G(r8ƒaµZår™LBaMMÍ\.O§Ó¹Ýn7==ítºÀüüü?è"Z + +endstream endobj 2581 0 obj<>stream + +   !"#$%&'()*+,-./0123456789:;< =.  >?%@ABCDEFGHIJKLFMNOPQR STUVAWXSYZ[\]$^S_`abcdeYfg2hijklmno>stream +H‰`Ÿÿèåììéð¿½ÂgfgVVVYYYhghXXXZZZëèðÆÃÉ}|~poqrqsqprêçïÐÎÔž •“–—•˜êçîØÕÛµ³·®¬°°­²®«°æãêâßæâßåàÝäéæíéæî EƒA + +endstream endobj 2583 0 obj<>stream +H‰b`@ŒLÌ,¬¬Â@q6vLqN.n^>Lq~A!a!LqQ1q ILq)iiY,â r"òØ„‡50œüB + +endstream endobj 2584 0 obj<>stream +H‰ ÐçNà÷УTÆÇ8Â1D–@dJdD2µ6ÞYŠ,-Ö‰Z¥’X+‰ýaß«ýák6›ýþM·{º½R©–@óÙR,–ƒÁëë¨ÛíF£q…BI"Bá¼×ë=9iŽF£÷÷¿N7 ‰Db6›Ýét®®®“H$º¸¸d.—·²²R­Ö†ÃáËËïF£ R"‘$‹ƒÁàÛÛŸzý«Ûí™›À0|~þ½×ë•J¥õuÇÃã óó"Ç‹¢G··w‹é&“É\_÷ŸŸåóNÏb±ø|~<Ójµt:H$*ŠX,vsÓo·Û2™œNgØl¶J¥òø8@àé銢@@.—Óh42™¢V«[­öÅņ{½> +…ÊçÏ ?ïï¡[[®ÙÙ9‰DR¯×÷ööÖÖÖ ˆe2™r¹ÜÙÙ·B¡`³Ù™Lˆ@ J$ ÇÇ_žž†——W¹\Þh4)•ªD"±¼¼\.W0 ‹F£jµ†B¡¨Tª»»ûP(Ì`0Fc¹\FD©üÿLÂãñB¡pcc£V«õz燇Ÿ}>ŸL&s»½v»=N;Žééi©Têñx²Ùù|¾Õj™Ífƒ±´¤v¹\ñxÜjµNNNúýþjµŠ¢X"‘Ôét@b³a¨TP¯×“Éäp8\*•áæ¦s‡û`·¯LMM1™ÌT*õéÓáÎ·à ¦ÓéL§3€@  ŸÏa(‡ƒa¶Ëåž™™±X,ÙlÁ±±1F‹ !*•Z,'&&VWWE"‘^oàr¹ãããÉdrww7‰@ôO€¹ü+ + +endstream endobj 2585 0 obj<>stream + +  !"#$%&'()*+,-./0123456789:;<=>8?@AB.CDEFGH#IJKLMN7OP4QRSTUVWXYZ[4\]1^_`abcdefgBhijkXlmnop[qrstuhLv^wxUFBgy.z{|}F~H€K]‚ƒ„…†‡ˆ‰Š‹ŒŽ††Da4E4‘’ˆ“‡”• –M2†—˜X–™š›œž2Ÿ‡T ¡¢£¤A¥¦0JqwD§¨© WšŸª;«0¬§§­®¯˜ƒbd°U±’'§²³©Hl´B²ª5µ#¶™·²¸¹º»¼“A½w—H™Ÿ}G¾^¿{xAÀÁ–½²Ã2Ä´Å•~ÆÇUÈÉN¡œ”ÊFˆ„Ë;E̼ˆÍ´¶gÎFÌAÏt¶žÐÃ}{¡WÊцÎg¶ÒžBßiHÓÔ¤Õ²ÆG¯ + +endstream endobj 2586 0 obj<>stream +H‰ Ì[’ƒ @Ñýï,A# NŒ`ènš‡:ɬ`¬:¿÷¶*¶’[•^f§øÉå[ë·”oΗOο)]Næ3Æ#ƽUÔÊK|(¶Ë!5Osp–òidl5]ìÞÜ.$ E¢#=°ó;§ó¦à¦ð®HýÄ{JG­ž†*ǃù?d,Ö»‚»¡`|Eç2b!ªDûd®¶¡±Qðèa¶ ”FSÛ£Ñ.ä} +!!ÖFc7àø» s׊½ç²Ð G˜MX–ð~úⶑè¡ÃôÚŒqÖúu¥nôÏiçí_€òI3 + +endstream endobj 2587 0 obj<>stream +H‰TÍg[ƒ0†aM +W¬£V‹Ž¢G•Z-u °Õ¢öÿÿC/=_ïëy ÂÈèØx @vH”$YQT 岦ON•ø™‹®iúôÌ,ÅAH8ÎU*ó ‹ +"ðŸ´T­.×VVEÿJÝ0ÖÖ76E–¥BMÓÀ0ê[Û;»ªÅHb$¢|•ÁÞþVUËÊ"^19lØGÇu1Æ©!vÃ>9=£”æ’Wˆœ7/.=¯å8NŽÅ·«öõM§ãû¾çyL»]êºüãíÝýCð2yÊ„r±¬ç—×(~ëAÈ:¶ÙÊ ãþûÇ I¢8þä˜NfÖÿúI”f½ d›iÅ^ý0àq8/ + +endstream endobj 2588 0 obj<>stream +H‰Ö)ýQQQ***)))EEEbbbwwwqqqSSS444"""###;;;ZZZvvveeeGGG222OOOuuu………TTU)*)FFFcccooo!!!%%%===\\\tttaaaCCC333ƒƒƒ~€ZZ[KJLZY[babrsrjjjLLL--- (((AAA```zzzpppYYY777VVV|||¾½Âª©­£¡¦«¨®ŒfffsrsmmmMMM ,,,ddd}}}555<<<[[[ÏÍÓÂÀŽ»À¿Ŧ¥¨ƒ‚ƒŒ‹‰ˆŠllm???)()545NNNiiilllPPP>>>]]]sssäáçáÞäàÝãÙ×ÝÐÍÓÒÐÖÔÑ×truEDFNMOedexxy}~~jii///&&&BBBnnnçäëèåìæãêâßæãàçåâéÙÖÛ’edflkm~}€‹ŠŒ††‡rqqWWW;;<'''DDDêçîéæíäáèÑÏÕÆÃÉÈÅËÎÊÑÄÂÈ‘‘“€‚kjl?>@&%%kjkÄÂÉÎËÒÍÊÑÙÖÝëèïëèðÆÅɺ¸½²°µ¥£¨vuxVUWnmoˆ‡‰Š‰‹¬ª±µ²¹´±¸³°·ËÈÏåáèØÖÛÓÐÖÏÌÒŸž¢€„’‘”§¥¨œšœŠ‘“—’–‘Ž•ª§®ÕÒÙèåíÒÏÕ¾¼ÁÅÃȽ¼¿žŸ…‚‰ˆ…Œ‡…Œ–“š¬©°¾»ÂÚØßßÜãÞÛâÛØßÐÎÔÔÒØÚ×ÝÏÍÒ¼»¿yw~|z}„~|ƒ˜•œ¿½ÄÅÃÊÄÁÈÕÓÚàÞåàÝääâèxv}zx{y€ŒŠ‘¦£ª¨¥¬«¨¯ÂÀÇÓÑØÓÐ×ÒÐ×ØÕÜèæìçåëžœ£µ³º´²¹²±¸áÞåzx~…ƒŠ‹’‹‰•“š®¬³}{‚„‚‰™—žŸž¥ž¤¾¼Ã þyˆO + +endstream endobj 2589 0 obj<>stream + +  !"#$%&'()*+,-./012345 +#67 89:;<=>?@A.BCDEF/GHI JKLMNOPQRSTUVWEXY/Z[\\]^_^`abcKdefghij-klm! nopppqrstuvwxyz{|}~0€ Xr‚‚poopƒ„…†‡ˆN‰Š‹ŒŽ‘‘’p‚pp““”^•–—˜™š›œ\žŸ ¡¢p“‚‚‚‚‚£¤¥¦†§¨©ª«3¬­®¯°¢±rƒƒƒo²‚“‚³´µ¦¶·¸¹ºº»°¼½¾¿À¿t‚‚‚‚pÁÂÃÄÅÆÇÇÇÇÈÉÊËÌÍÎÍÏÐÑÑroppppoÒÇÓÓÓÔÈÕÖ×°ØÙÚÛÜÝÞq‚‚ßàÇÇÇÇÇÇÇÔÕÕÔÊáâãä½¾rååƒppÇÇæÇÇÇÇÇÇÇÓÔçèèéêëâãã““ÇÇÇÇÇÇÇÇÇÇÇÇÕììÈíîïáðñss + +endstream endobj 2590 0 obj<>stream +H‰v‰ý~~~```>>?)))&&&FFFlll‰‰‰xxyTTU//0**+==?bbdŠŠ‹£££nnnHHH&&'112aaa{{{yyy[[[::;'''IIIoooŠŠŠ‹‹‹sstOOPAABffgŽhhhCCC$$%334dddOOO000%%%///ssseeeCCD""#(()mmmŽŽœœœ}}~XXX888†††cccEEE"""444TTTwwwYYY999NNNŒŒŒpppKKKttt___AAA###777WWWˆˆˆqqqRRR...QQQuuuiii+++,,-GGHVVV <<=>WVWæãêåâéÆÃɤ£§±¯³·¶º§¦©srtMLN?>@UUVèåìçäëÛÙÞ§¥©„ƒ‡{y}Šˆ‹‚€ƒsrs$$#êçîéæíÓÑ×ÈÆÌÅÃÉËÈͺ·¼¥¤§©¨«£¡¥‚101âßæãàçèäìäáçÑÏÕ¾¼ÀÀ¾ÂžœŸDCE++,A@A k +¬ + +endstream endobj 2591 0 obj<>stream + +  ! "#$%&'()*+,-.+/0123456789:5;<=>?@AABCD E/FGH &IJKLM>NOAPQR?STUVW=XYZA[C\]^V*1=X>?O_`_aN>bUcdefghijI_kMNalm/@nop@*qEbrs&/t[N>uv*wxy:a*z{Tr|}Q~+,D€‚o^Kƒ„X…-†Q%{‡\x=ˆcV9‰shicŠ‹ŒŽU‘…’1_+b>“1l€”•–—˜ ™-š’H†€H‡>|%V›œžŸ ¡¢£?-¤¥WI<“]T{_¦§§¨©ª«¬­®¯°ˆWtLs]bE5_±±±²¦¦±³´µ¶·¸¹º]?R€}»»¼¼¼¼¼§½¾¿ÀÁÂÃÄÅÆypR5/ÇȦ²²²±É§ÈÇÊËÌÍÌÎÏÐÑvIIˆ + +endstream endobj 2592 0 obj<>stream +H‰Á WYà?ÔiØñÈ¥B…èánš"²™ºwì”N éAêf'ª•…nT+zرŠ‹J%Di§¤ê´öKvö}—B©‹Û­VÛþþA±XÌår++?zz4|~ƒÁ”É:¦§gŽã÷÷WW¹ÕÕ56›[WÇîèÏÌ|K&“¥ÒãååUmm• +Èåfgí…B1›Í¢( +ß”ÊN‡Ãyzš~x(œ£¨†UL&«³³ËétžŸgŸ8NooŸ×»~}Ç0l| +[èôJ@ Óé·¶ü¡PA&Z[Û**¨  ƒ~ÿÏ›ÿn÷öö«ªªE"ÑØØ8†EŠÅÒòòŠFÓ[SS+•J­VëóóŸ|þ¦¾ž?55}ttœÉœmllVW3d2ÙÁA¬TzJ$’óó‚©TšBñÑn·;.‚ØlNee•R©L§3‡‡GKKË,K­V£èb*•.JápÄl‰Z€®ÑhÖÖ¼ÙìE4E¤¥åÇïï×ÞÝÝÛl¶††F½~ “ÉÐht4¡v{{çõzûú¾°X5|c4švvvЉ K,S©T//åò÷ñøÉöö@`00 §R§Á`°­MÌd2»»{"‘¨^oho—BÐ'‡Ãáó­—••ùý~ƒÁ Õjs¹| ð ßjµývû÷®.‡Ã555›L¦@ àr¹‰”T*3›Í»»A±‰¤`0D&“-–¯$esskhhdzÙlµúóâ¢{xx„Çã‘Hd:ÎåÖÇãñÆƦ‹‹ß +Åíöø|¾ÑQ3ð‡Ã …‘c2™€H$J$’ÉÉI ÍãñètºæfAyù+±XB&S +ÅÜÜÜÉIbppèŸ2ÅSÜ + +endstream endobj 2593 0 obj<>stream + +   !"#$%&'()*+* +,-./0123456#789:;<=>?@ABCDE$FGHIJ@KLGMINO#PQRSTUVWXRYZ[ +*\]^_`!abcdefghijkl'mnoLpqrRstQu?6vwx6y*Gz{|}~$€:98iK\‚ƒ„…$V†XR3k‡ˆf‰zNbŠ* m‹ Œ*1rŽ!‘’q“:”•Fa#–—˜™ša*›>stream +H‰ Ïk7šà¿dçlŽÔ;o*)Õ°FySI±¢ÆrÉ%¹ìZ®Ý¹´P¡!*I5%Ò=))Ç\ö7æëóíÙØ0…]H$²¬ lnfÏÌÌžyÿF"Ñõõ ,¶+e2›¦¦¦ONNr¹ûX,ÞÙù½ªŠƒÁ©TH£ÑÚ–—Wø|AËåiµZ¿?ðôô +]/-éÚÛùh4ZZZÕjµ×ë ‡Ã:ŽÇkÃbqð‘Éd­¬è]®Ó‰ )5@$’Äâ¡Ý»»œÃ០RP(ôÀÀ`2™J&oìvg]]=–“HÕ½½¢xŸÃáØlŽZ­ñùþ ¿‚o——WN§K*•!‘åF£ñú: Aîéé››ïîî …BûûÉÈîîÞÐdoÏšJÝÉd›Í¶ºº69) ƒkk믯ÿ”J•R©ôûýÑhôè踸¸xqq)—ËÙí:.‘ G"‹ÅRTôÎjµZ,;ÿ-ߌ? + +endstream endobj 2595 0 obj<>stream +  +   !"#$%&'()*+,-./01234567892:4;<=/>?@ABCD9EFGHIJKLMNOPQRSTUVWXY +Z[V\]*^_`a !bcdefghijklWmnopqrstuvwxy:z{|}p~€‚ƒA„…~†‡ˆ‰ŠA‹Œ†ŽHY‘’“”•–—˜"™%šHI›ŒKœž|9ŸQJ ‰n¡…„¢£‚Hv¤x¥„¦§;‰¨]„3©ªB©«q¬­®¯©«°±²³®H´µ¶·N¸¹º»9¼½&¾'¿ÀÁÂlÃÄ"Å-ƹÇÈ~#ÉÊ˯¨Ì͘Ί‰ª©ÏŸÐJѯÒÓ²+ŸjÔB{­°ÉiÕÖ×JwسÙI`ÚLoÛ¸5ÜÝÞÉ# NßàÎÁáâãä¤oå"\æÆçèé" ÆW¨êM(Ëëìê„í +Ðlîê²p˜jïIˆ®Q> + +endstream endobj 2596 0 obj<>stream +H‰Á×NZÐß0ƒlP6(ã²´ˆ€€ì!e +”ŒÊRVXÄQkm$ô¥ZIü²¦çŒF£çç_¥RÙh41™ÌÕUŸÏƒÃáp2ùýøø#‘Hnooƒn4kµÚt:}Ÿ×>ŸŸÃáD@8Þ<<|ÏdrûûZ*•ŠÁ`D"Q$¹»»Ç77£hôƒA³Xl«ÕvuÕüøøx{ûÛnwœNƒÁ‹%ýþ Óéƒ!‰´³³“J¥~þ÷\«Õm6;ƒÁ@  är¹½½½õu2•JûôIÝív …¢Åb…@ †b±ôò2¾¿H&SjµšH$!ÈÓÓÓÛÛÛ××?áp€ÃCs«ÕÒéôd2 3™,‹ÅR.½¾þFår9G£Ñ»»»étz2™x<6›M$·¶¤‡Óï÷K¥24CŽŽŽ*•ŠÍfÃb± +õâââééI£Ñ„ÃÇårÙår€ +…ùýz½Ñï÷óù<™La±XV«µ^¯ƒx<®P(‘ÈÕX,~vv¦Óéh4ŽP(ÇÇz½ŸÛí¶ÛíæñøÔíöäry ¶¶¶¦Vï5›M‡ÃÁãñVV@(J&“w:L& +…*•ªD"A"‘ +%™L¶ZM“É´¼¼¬TªNN¾p¹¼F£a0666–––T*•F³yyY­V€Åâd2FŸŸŸßÜÜ4›Í¥RÉëõJ¥Rôz}:9??Ïd²½^'ÌÎÎÚíöD"‡Ã{½¾X,Æ`°sssB¡ÐétÆb1­VËápŠÅb¡P Ó B¡ÈåršÍ–ÅÅEŸÏ—Íf¡P(—Ë™™Q*•ÿÎú + +endstream endobj 2597 0 obj<>stream + +  !"#$%&'()*+,-./0-123456789:;.(!<=>?@ABC8D?EFGHIJKL MNOP:1QRS3TUVWXYZ[\]^_`HaAbcdefghijQk6lm%nopq ‘’g n“”•…–{—˜™š›Šœ†?“%žŸŽ ¡¢£¤3¥c¦§¨z„_”¡©[ª«¬­VW3P®”¦d¯£°±b²š³´£Arµ¶V·¸£¹º»¼½U¾¿ÀX}“K®Á´ÃÄDQÅMÆ¥¬Ç“c¸Àt_æo†BÈÉÊËÇÌÍÎ[χV9ÐmÑÒ\i­ÓÑ/Ð9¶^»kb ¼ÔšÕÖ¹`ש“¦·7Ñ8DØ ‰ÙžXÌÇÄn»ŽÚ=oD“:bÒÒ8Û¦1®;;«ÜDݼ\b3;ÞKV¡ÉºÀ + +endstream endobj 2598 0 obj<>stream +H‰ÁMC0à[KÞ‹¾Ð(JÃtk:Eãç.‚µm.`‹ôû€pBNÀ$2âÞ-Rñ=|ø"@.äÐã0Öu©Dù(÷}?ŽÃZÛ™>M²<Ó¯¼(HçÜyžÛ¶Íó¼,˺®Ó4•Å[ëò™æ>Ü#Îèº.cºú۴ͯª>*ŒF&UR + +endstream endobj 2599 0 obj<>stream +H‰b````d@LŠJ²03àÌ8Ø„‘êXLF IftqFlòØäa XYY‘U0Âllìœ\\œèú¹yxùø`~€[#($,"*&Î'!))‰¬IJJZFVN^AQ\IY…UU ê-F)))u!!i9 M-m]I¨9-›ø + +endstream endobj 2600 0 obj<>stream +yw~{y€‚€‡†„‹…ƒŠ—•œ£¡¨zw~zx}{‚}„‡…Œ‹’yv}xv}ywyx~ + +endstream endobj 2601 0 obj<>stream +H‰b`@ŒLÌÌ,¬lvÅÁÉÅÅÅ̓®xùøøüHl$6;½˜€Ý\@€  +TEĨÙKœ3±>stream +ÌÊÐæãêèåìÐÎÔØÕÜêçîßÜãéæíâßæçäë + +endstream endobj 2603 0 obj<>stream +H‰b`d˜qˆ3±°â`cÇ!ÁK‚—#—¥? + +endstream endobj 2604 0 obj<>stream +H‰ÿÿèåìçäëãàçåâéÓÐ×ÜÙਥ¬º¸¿ÑÎÕÒÏÖÕÒÙäáèéæ튑£ §¿½ÄÂÀÇÀ¾ÅÇÄËàÝäëèïvu{ˆ†žœ£Ÿ¤¥£ªÃÀÇÏÌÓÎËÒÍÊÑÚ×Þxw}†ŒŠ‘‹‰’–ª§®´±¸³°·²¯¶ÌÉÐëçîêçîyw~xv}wu||zŠˆ‘Ž•”Œ“«§®ÏÍÔÎÌÓÞÜãäàç{y€ƒˆ‡„‹†„‹†ƒŠ—”›«¨¯¬ª±ÑÏÖÞÚáâßæywzxÅÂÉ¿ÆÐÍÔßÜãáÞå}{‚‰‡ŽŽ‹’¤¢©Á¾ÅÅÃÊ’—®¬³°®µ¯­´´²¹ û²+ + +endstream endobj 2605 0 obj<>stream +H‰b`ÀˆCœ‰»8 +vq6vN.nTq^>~A!at Q1q qI)iY9dyEE%eU5u M-¨>mm]=}C#cYSN3s&ˆ µA–VÖ6¶vövjŽ¬¬N@»x´AÀDXèé¹X«¹J¹¹{{zjà xyùðú + +øùk£ —€À à€hº7 + +endstream endobj 2606 0 obj<>stream +èåìêçîéæíãàçæãêçäë + +endstream endobj 2607 0 obj<>stream +H‰b`ôŒŒŒLØÄ™™™YX] À' + +endstream endobj 2608 0 obj<>stream +H‰ÁéN‚à—.¹œ¹”äJe5jh©1›£Uk6´JAi„(Ññ}_ÿì²×UÔ‹¡9uÞÃø-X…þ2^¦ùöïkóËÒM†jñíÉøÉwB× +œ¹…q–þ@î¢8º' +²Ò×çê­þ` Û +,Óóœpg0ˆ#p±ëÊõPÓ5u¤îýE”~æÉG¶IrÀ€¤ºOŸˆ]©ÝéʃÉã³exæÔ5^ˆcH©T¬ð–$tÄ–,ŸÞÝŒ·ëo²Âp4ÏRMºVŸ½Øó™k›Þ*J +^.‘ÉQ‡µ*K`eI½+Âa`ju@ÿõ;¦ + +endstream endobj 2609 0 obj<>stream +H‰¬ËÅÂ@PBЕ$ØîwOpw‡ÿÿXrH¨âÀwèšê©¶ÙN—Ûãõ½~1 …#Q |‚K²b‹Åɱl(¥Œ15Éæò…")•Í¾‚Pµ&ÕÍV»Óõc¢Qªñ Æz¯?ŽÆL&@¼ä „l:›/”e|µÞb´[¾RuŒñn8öƒ©0µ‚ð§³|áÇõf¾Ô}*Þé7„¾ö£YòWí)À: /£ + +endstream endobj 2610 0 obj<>stream +èåìçäëáÞåêçîéæíÕÓÚàÝääáè + +endstream endobj 2611 0 obj<>stream +H‰b`ÔŒ8Ä™˜YXX°ˆ³2±±±c0- + +endstream endobj 2612 0 obj<>stream +H‰ Ä[O‚`€á_]ÀÇáA«ÕZ mqj+ ;LPzë=c"*:›É­“9Ñ ‚ñìÝ‹!(B)@€Â›ë[Ž½ cC ®µ„G‘e*4d“$ñ}ÿå¹.‰Š¢<‰‚̱—JN§ÍfÓñxìyžatA’DY–”*_CÎôœ<ûý>ŽãÝn纮eÙ¶ýÝýé¶ôwšb×ëõbñWX.ÃÉ$0Ú׺ª¾5TµQåHœ9Ûx[ˆ¢h6 Þpè·ÛF‰.SÉRžçY–ûÏ??¾jSÓôfS»¿ãÈá€î÷û²¬°¥ +Ààh4²LË4Mž¯UÊWiš:ŽÓëöV«U†ÿ OpÀ¬ + +endstream endobj 2613 0 obj<>stream +H‰b`ÀAœ…Ì,8t°²±spp°pbHpqóðòò²Ã F˜ÇÇ/ ($,"ÊÂÄ‚ªML\‚_RJZFVNžYAQQ!¡¤¬¢*®¦®¡©¥­×H€®ž¾¡‘±‰©™9;; BÂÂÒJÅÚÆÖÎÎÞÁÑɉ!Î..®nîž^Þ>>¾È àÂgÁgáç/(†‡„†a“WUŽˆÄ&QÑ8$,T ~t + +endstream endobj 2614 0 obj<>stream +H‰ ÁÛ +‚0Яî¢]¬(Ь/H3ƒ9}5¬ØCþŦ製(l¢«s¤”œó²,1Æ„ôºîõl;ǵ,{³ÚMFóéx¡L–}?0Æš¦iÛ–`ÇÏ(º#„ÂðfèJiUUEQäŸ>stream +H‰b`F&fV6vN.n^^^ˆ8¿€  °ˆ¨˜8ªHHJ1IËÈÊÉ+(*)3 UU5uuF M-  ªÊ ­£«‡)’Óg4À*1"@€š! + +endstream endobj 2616 0 obj<>stream +H‰ Â; ÐS[>-¿ÐÖšxuÓŠ‹]) —€„¡ Ü€À®/Š E€aøO1 £„`­½,WF¥àÄO€b5Hõ + +endstream endobj 2617 0 obj<>stream +H‰b`€FRLø"] `Â/H†?P´0³03C™,ŠB±±±s`µ“‹›‡—Ÿª!! ($,"*&.!ÉÁ!‰l””´Œ¬œ¼‚¢’²ŠŠ*²Q‰0? + +endstream endobj 2618 0 obj<>stream +H‰kW)a€~)+ä2‰t¨£Äи…"šiŒ÷;Æ—\FÄ >i­çã^ÏÞûaº+hÐù„%–@ÔÚ‚Fó š[´¸ÅÍ)vF1ÓwøA Æ3½5Ó[ÁÞJK(j@\ТÍk-nÞbç$ü`å/Fþ‚½/ iÀÐ +ÅÎÙ¾Îö·PÞ´Eæ 朲ãéoYyC³³œˆÃƒ0Øs}Ê[¦gHÖÐØÕ5Ž\Î'—TßÞ%^Ýóƒ=¯ì˜_熑7Lw »ëw 4ô7ìpÇ÷Âä Ž¾Eõ ¨{a°ã;FÖYyKÒríýòÞ­Ãá›0mu5Ð_³ƒ-§êÂp/¨ÎVtVÙ¶ÅEƒä+Õ7¬Hdkð™”êP%¥Y»¿bÕ­0ÚK“iü-¿Õðë7©5A¹Òð\Ç‚w™›d)^häêð…êÖ•g´¼‚ÊFXe×lõ^*ÍR©jE¯œþïõCè>Å+É‘­‚!Õ™!)Íù¡.Ž¿¥ñ#oë„P(VŸ +•c«ËdENÎQ pú#¿x+–©^ƒQ7Þî[üô¹Bf2…l¶hA¼f'zbw› rió„7ÑÇ2Vhfªt ¨Äo‡%TŒz»»¬b¾PMãOét!x{‹C6a@L6ç‘Å~tæ0Y³ÝgE‚΋º¿ºËÜ¥_ñJ«H5 â´úx¦ˆa™T*—JåS¹\,•ŠÜÇ‚‘ïUÈå÷ÛPÏ‚šîS»ÛtŽžÚ½WÀûç!Åo“¥D¡™­3¹2‰%³‰Žaé|©”Îç8ÇÃÑèe8ŒŸÏêöœ:c›ëèÌhâ6;|wÐqö^Ç®c¹X®Žå^ã‰t<þø_€.ØNO + +endstream endobj 2619 0 obj<>stream + +  + +  !"##$ + +% &'( )*+,-../!"$0123,,,4'56 789:;<=>?@@@@A,B/CCDEFGHIJKLMNOOPQ,RS/CD TUVWXYZ[\]M2^_Q`-a./bcdefghijklmnoOOpq-'CCCrstuvwxyz{|}~\l]OQQA€!‚ƒ„…†‡ˆ‰Š‹ŒZŽmn2O@@‘’“”•–—˜™†š›xyœžZ[\Ÿ^ a¡¢£¤¥¦§¨©ª«u‡¬­®‹¯°±²³ ´µ¶·¸¹º»¼½¾¿ÀÁÂÃÄÅÆÇÈÉÊjËÌÍÎÏÐÑÒÓÔÕÖ×ØÙÚÛÜÃÝÞßàáâãäåÍæçèéêëìíîïðñòóôõö÷øùúûää + +endstream endobj 2620 0 obj<>stream +H‰ ‡qƒ0Àý×1Æô"š$PÑ;Noþžª]Ô³ÖSÛÎ]·ôý: Û8îó|.˵®ïmûÙ÷ßãøÇßyÞ¿×õej•j¨›Q7ã4\ÎB´RÞ;¥úºšæ>îû‡‰‰Òš±{ù&¬'DRª„Ð}¿ ʱĘ•%¯*QU’¥õضS×Í1)Ƽ,çM–•y^Aˆ)Õ% L”¦8Ëp’0L£(‹ã<×>stream +H‰dÎéR‚Pà‚R6C[ŒÊ(–´ÅD+AÜCmàåþï¢ï¦¦™~?ó.këInlæryŠ¢†å +…-¾X*mïìîe’/—)šf8ž/î ‡G•c‘B†ˆe¹“SIVTD‘ HDÈæ¬Z“õ\QèâÒôUýº¡5%0 °ÖÍ-~q×®ë†"w,-Á”ÙíõÚý¾n4ª(¤ +\H GãûÌtÃК5¹…0fM@LO)hjàL]÷‡ ôûÂs@þ‡¤™È,+a³í¦æÑÂ_>zÎôÉ„Ãç±Ù}Áÿ^ãÕ<ŠKú3õ–¤i¼Ë +ßa ~|&ICèkæû‡ +­ä[€$æFÕ + +endstream endobj 2622 0 obj<>stream +H‰ ‰€ °ýWôhKuÍ…y0gïÿ +DG4kM[“Z¹”~]ý<é8@dÔ*¥°êR"@Ý·Ù&òˆÛýÎ|"³•ù~ <­S + +endstream endobj 2623 0 obj<>stream +H‰DÎÙƒ PÀjk£‚lýÿÏl‚Tçõž™D©†q§yÖژDz<_¯u}¿A¨.Ƙm[ödµÎ¡ ¨zÓ4ëÏÇ<¶mß½ÖZDnJjG¯Æ”B sð¥žÖ9çYkí«ŠŸ9Žœ;Ñf`ÂVÆ|Ji‹|MQJ¾r"O"MÆ¿|¿\ëd¼”Òä¼ÆBuµÖ|JM¶“ÑÝRÊ %:g-“O¼y=#"[e»QJ pí” ~ ©”ã + +endstream endobj 2624 0 obj<>stream +H‰ ‡à Àýg³ã^±Aˆ&®™ ù{!p]õ²À<«i’ã¸ÃÖ÷¢ëÖ¶]šf®ë©ªÆÏg(˾(ºm3Rº}·A)¯5ÈÑZ6&j¤´Bk“RÎûìÜ +áðþðDщHÌOŒwŒ¥ô¦ô rÎ/óó÷'À‡l…í + +endstream endobj 2625 0 obj<>stream +H‰lÎ}B0‡aIZÊ(mC %2"õý?\g½¸úû¾žß9ÆÌ4ç–µ°í%B+ÇYo6®‹±çùÆìaŒ·»oÒ&ûíµ: €öSBQÆ!ºó¿1¤4ŠcÙ <ªo,‹‡!"ˆb¨’ä½i¦Yvâ\g?xÎÓ4ã|X”×.ú—¢(¯ +OƒVQ7 `yÍóq•²ª"7!꺑 ³A k»N,‚å’àÏ;c}ß·m'ÄC©B˜äœ<Ÿ#ÉIÕ½—Ý!Ð + +endstream endobj 2626 0 obj<>stream +H‰  D ÐûŸk6ÚK‹(R*Ìz„ñßOéX×ó3çg)¯Zßµ~¶íüÝ÷óï8~)í¥¼—¥Æ¸Å¸çüšçBY–mšÖy.!Ôq\œ‹Þ'kçaZ;c¼”ƒRcßOBô]gÓœk!ŒsÉû•I©‚#$é87Ö„ !Ž±À¸ÓÚ3=¸iHÛèõ +n7x¿£¦ÁmK)•Œ)cÜåÒJi•þ aè²A + +endstream endobj 2627 0 obj<>stream +H‰TÎëV‚@†a3l q8Z22ÊH$+½ÿ[jÏàréü}ÖûíDI’e!„1VTUÓõÑ2LÓ"„ 6㕪َa¸–EáfP™Ú÷Žg¸,ºÄxæϧ`žk._Cˆ>™—¹ +#Jƒ«lN™çA)NÂ0JS0~eÂâ!NÃ)ö‹l¹Š9±èñiˆò"[.XF7QY=eëó^”¾Á©úuóÖäEÁª÷”ÿoûÙ~ÕeÕ€eëÕåt×í·}û]—›ªÉ?,cÇ~ÿv]·ïû¶†¨jOÉ¿žA%k + +endstream endobj 2628 0 obj<>stream +H‰Á‰2¢àw1¶ •’®?ݦcÛJd#V—Ž ã(…Êеåȵ)b£‘H‡ÜKäÈØ1fŸf¿Ïjµíìì¾¾¾žŸŸÏÍÍ) +ˆÅb•JÕòòòÅÅåÛÛßããŒÃáäñZˆD‡ÃµÙÆÓåòS,¶¥VkX¬Ïûû•J¥P(x½^‘¨ o"½½ºH$rsóçù¹’Lî[,£L&«­­mrr2›Í½¿ÜÞ–Âáˆ\® Ri33³™ÌÉÝ])‘ø=<<Â`0q8Àl¶ìí%Ÿ./¯~þ i4ÚîîoóóÁ''Y·ÛøÕÕðéi1—Ë/--«Õj + +].×ÑÑñô´«££«'“)&SßÆÆæÃC9O G£ggç>߉DÖЀÄápR©Ôï‹g//>ŸO§3FFÌåòc$²¦×h´æ¦&Âîî‡Ã©­­%‰ápøúúzee…F£¡Ph Ýårçó…ûûÇ+v„B!£ñ;•J(N©T&Kìv;—ËÃbqG"‘âñxÁãñÆÇÇ“Éd"‘@ (ªV« …V¯®®F#…BA£1ñx<Z,f.—‹Á຺º2™Œ^¯'‘ÈP(ŒÍþ‹Å²Ù¬×ëëëë£Óéšär¹ßïÏçóét:ôôô´´ðÇÆÆJ¥R*•r:`}}Ýív ëtº………\.×ØØ(‰‹Å"Ÿß:11ÑÙÙ‰B¡ÀàštúhmmmppÍf#‘H…¢;•:\\\F›L&‹ƒÁ Èè¨Õ`0noo9Žöö¯P(ÔéœÔh4p8|jjJ,3™Ìþþ³Ùl0l6›H$ª«« @ P}}}kk«ÕjÝÜü5;; I$R0¬©©%“ÉJ¥Òçó©Tªªª*»Ý¡Õj Fuõ§ææf™L†D6Âáˆÿ §QŸ + +endstream endobj 2629 0 obj<>stream + +    !"#$%&'()*+,-./01234567893:; <=>%?@ABCDEEFG HIJKLMNO PQ$?RSTUVWXYZ[\K]^0_6`abcd7N1efgahij9klmnop'qr?b"Sst]fuvNSwxyc3zY +/{|}2~€‚ƒ„…†‡ˆ‰ŠO‹*ŒŽ‘’‡“”>• C–—˜™1šO›œzWc”jžŸ ¡¢£¤¥¦˜§¨†©ª«¬k­®¯°b±²³¨%´‡µq¶­M,Ž·t@¸¹º»2 ¼½¾¿ÀEÁ- ÃDÄŹQ;ÆÇÈÉ\Êh¢¦‚kËŠHÌÍÎÏEÐTÑkÏÒθRÓÔÀÒÕÖ`H­×ƒfÄØ®ÙÚºÛ}XI­Ü¾eÝÞßàáâ9,ãä^åMæ»çèé­nêˆëÀ”&RìJ}˜ìhíîï»ðí¢ìXëÅñG­`^§òóÓ + +endstream endobj 2630 0 obj<>stream +H‰ ‰!Àþ¼Wñž$ÄøŽ1ÿ">½Ï¯ûkö˜Ý­Í—êåþ¨æ æ.2jõR¬PÄ–3¢µZûqÐy–”êºæmƒ}G"[–ô`ÈÁ`E + +endstream endobj 2631 0 obj<>stream +H‰TÎÙ‚ …QˆA@ÁR³A³÷Ç6à·ëû7‡J/”RBã\HYJkS2F ñIJ›D +ÎwBERd +…àˆ(Ý {˜³«œ#Æ…RšÿµhÆhÐmPU•é#Ë‹µsVžºtGÓ|£”²v­BhšºvÞçAµEíp4zyëÚ6¤ÈŨܬîãé‘¢$¸N=_ï>[ˆ–2|UMóçm€-ø,“óßi†ä¨[0˜¯p?9l7 + +endstream endobj 2632 0 obj<>stream +H‰Á‰N‚`à·–ðVT”„PÌ«ÙZ[¹æQbÉ4ÖÒŒ@A±d**hÇ+ô}0„!†ºýA?ÆYæìê²ê†½˜'€£ÁH(‘¦2À…EîA|0„ÃG¬Õì” ¡@,à‹ú½‘ÉǬQãÉx:MÅ j·9(ïZ‡Û­î/ÞÕÛy®œLÐ)’¡H&&aº·ŽæçFS©jh“…>stream +H‰b`€FÁÄÌÂÂÂÊÆ€vNNN.nn ÀÃÃËÇÏd„ƒ ƒ0áüì|@€©@DTL\\‚ FA¤”´Œ¬ '#ÜY †œ¼‚¢’²ŠªšºP“&Xh9ƒ–¶Ž®ž¾¡‘± ?Ø((0537·³´²¶±µ³w°cpÔ„ˆƒ–œœ–“³‹«›;???È…q &sO/o_? &S8Ð + + u —•5EZr‘.QŠŠèâ@­«« `¦$ + +endstream endobj 2634 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?Ö¯©î_|Hûū$ÖáÂvºÀ`'hŠtØÖÕ-a‘*~¢¬×è· —ÿÙ + +endstream endobj 2635 0 obj<>stream +H‰ÁëVÁà÷Õ¿nv³™IÂ(I‰f#³D8Ô!É©„"IJÍm[nõ }æx.ý^ÿ‰3y8Èê\]/´_m¶”¾'—4È—X~¨ú}Ô™×b" + +endstream endobj 2636 0 obj<>stream +H‰b`dbfaaecgggãàäâæáåãç’”’fY9yE%eU5u M-m]=}CCNv0’‘‘–661533·°0³´²¶±±µcµ—åkuàà03strrvqusw÷ðÔ÷ò¶ébc÷ñ1s_ ðó +‘––v`sp0C€Ð°°0Ë€ðˆÈ(‰è˜$ ßØØØ8Ëø„Ĥä”ÔT³4„Œ£££™ozºoX†¶ŽŽ°‚8K¿ÌÌ,˜H6’N' ÈF×·J§íÊÉ1ËKäZ˜›ç¡ª…8'¿ A´°ÍùÅ%¥eæ@€æ€-½T¿ + +endstream endobj 2637 0 obj<>stream +H‰ Ê[rƒ Àû_!Oy+(bÒ´çª3û¹ÆDk“µ«s«÷[9ÆãžÒ±®·ºm5ç³”¶ï×q¼ký9ÏÛ·µßëú[–à}¡”rÕúií;ÏV)§µ×:8·¥´oÛ™s;Ž”‹”fšì<;¥¼ZˆešŒ÷…±™sEé´,јDˆ¤TbÌ_¯›@ˆaÌãH ¤ÃðBˆr®ûCHS@xðó9vÇûðÇc躱ï„ì_€iŸe + +endstream endobj 2638 0 obj<>stream +H‰<ÎáV‚@EQP$ÉLÁ ªA ¨ÁEA°´ÞÿuúfLïuîºßh +B«-Jù¦«(·½Þ]ÿ~0ª*ÇóMA‰¢ÒU€ÆýÇn“'À† ض$Y~~ìuŒl{ê830Zj€¬T<ŸÛîÔ1&çMS{‰V6=ß÷1F¶K7U¶ ™hIAx”0rÝ3¾Pl‘0 E™çUöužüþŸ<ëÓéP–?° “Ûô~FpåO€`Û:¤ + +endstream endobj 2639 0 obj<>stream +H‰Ù&ýLKM545'''333RRRqqqwwwbbbGGG,,,FFFcccvvuvvv\\\>>>%%%555UUU„„„yyyVUV?>?566tttxxx``aEEE***HHHeeerrrXXX:::"""888WWW‚‚ƒuuu ž¢‹‰]]_WWXrqsŒŽ‡†‡```???---LLLiihsstjjkPPP222&&&===wwx{{{jjjèåìÙÕÜœ™žˆ†‰›š¯­°žŸmlmJJJllmsssIII+++BBB___aaaíêñãß涴¹§¥ªµ³·ÂÀIJ°³†„†hgiXWYQPRlll(((DDDooo]]]åâéãáèäáèçäëÙÖܽ»À®¬°§¥©¢ ¥vuxwvwdddEEF)))JJIgggéæíÙÖÝÓÑÖÑÎÔÏÌÒ·µº¥¢§±¯³µ´·£¢¦fef878)()>=>VVWihipppOOOÞÜããàèáßåáÞåàÝäÕÒÙËÉÏÑÏÔÕÓØÃÁÆyxzFEF98:aab¼ºÁÑÎÕÔÑØÓÐ×âßæêçîéæîæãêæâéèäëÞÛá³±µ–•˜Ž’›™‰‰Š††‡yyz§¤«Â¿ÆÅÂÉÄÁÈÇÅÌÝÚáçäêèåëäáçÓÐÖÈÅËÅÂÈÊÇ;»Áœ›ž–”–‹ŠŒ~€‹‰Ÿ¤¢ § ž¥¥¢©¿»ÂÎËÒÍÊÑÌÉÐèæíëèïëèðìéðßÜãÂÀżº¾·µ¹°®³{y€|z}„”’™¡Ÿ¦žœ£¯­´Ù×Þçãêçåëåãêxv}wv}wu|yxŠˆ“‘˜‘–ÃÁÈÏÌÓÖÓÚãàçêçïyw~zx~|ƒ‚€‡ŒŠ‘”ŽŒ“­ª±ØÕÜ×ÔÛ}{‚§¥¬ª§®¨¥¬«¨¯ÃÀÇÚ×ÞŠ‘¯«²ÐÍÔÞÛâ ùÚ + +endstream endobj 2640 0 obj<>stream + +   !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFG3%8HI JKLMNIOPQRSTUVWXYZG8[! L\]O(^_??`abcdefghijklmnKo Ipaqqqqqbrstuvwxyz{|}~€O‚ƒc????c„…†‡ˆ‰Š‹ŒŽFO‚‘’“”ˆ•–q—qq˜`™š›œžŸŸ ¡¢7£¤¥¦§¨–qqq?c˜©ª«¬­®¯°±²³´µ¶·¸¹º»¼½”bqqq?¾–¿ÀÁÂÃÄÅÆÇÈÈÇÉÊ˸ÌÍÎÏ``˜cccc?ÐÑÑ``ÒÒÓÔÕÖ××ضÙÚ¼¼ÛÜbbb˜q–––ÝÞÞÞÞßÈàààáâãäãå“æçæ†?????ÞÞÞÞÞÞÒÒÒßÈèèèãéêëìí”””îbÞÞÞÞÞÞÞÞÞßÇÇÇÇáµïµãðíí¤ñò + +endstream endobj 2641 0 obj<>stream +H‰Ž Ž!DÏþÝQ\zæÏ]ÇNzTŠÖ&³¶¦ívÌIˆ"`)P+«žµ~öþ=ç{Îó$º–A$ˆÄ,s>{EVk«ñQÕ­úè8£Ÿ.‹ê¨Ø©*Ó¥³÷5Æ/ÝL*mbít%²§>sœÞw)¬óY·ôa1–”0åZJElõZhô~ˆ:óp>x}ˆ!¤”1‚÷FœóÎ] r©€qÖº3@3Æ{Wï} Z¯b>ÆZãr†üfQ1Æ;ã >YkoV +!‡Pþ·%±Š + +endstream endobj 2642 0 obj<>stream +H‰LËÙV‚P…áhQ¢ ”f%87؉ +*•@!J„XZ– ïÿ]íËÿ[E±Pxi_YÑh,#Ir P4Bt=Þˆln Ð6¹gYÀb-¼»G@™Ã%ö“ØÁáQ +^H§ÓÄ”˜ \är)€>stream +H‰Æ9ÿØÕÜÅÂÉÃÀǾ¼Ã£¡¨˜–™—žŠˆyw~xv}àÝäÖÓÚÕÒÙÏÍÔ´²¹ª¨¯«©°¬ª±”’™vt{wu|yv}éæíêçîæãêÎÌÓÏÌÓ¶´»–”›–“š’–ƒˆ}|ƒ~|ƒ|zèåìåâéäáèÜÚáÓÐ×ÉÆÍš˜Ÿˆ†zxáÞåâßæ›™ ›¢‘–‚€‡€~…}{‚ÚÖÝÕÓÚÖÔÛ¼ºÁžœ£œš¡†„‹çäëÍËÒ®¬³¢ §×ÔÛÌÉл¸¿ ÙÐˆÜ + +endstream endobj 2644 0 obj<>stream +H‰b`dbdfaeccçàää@.nn^>~A!NaaQ¸¤˜¸¸¸¤¯´Œ¬œ¼‚¢¢X\TTUUÕÔÕy44µØÙåµA@T¸ttõôõ ä Œáâʪ&¦¦fæ–BVÊ(Àllmí ”1—=·½ƒ#¦8È…ª ˜â ) .¬âƒí«2ê + +endstream endobj 2645 0 obj<>stream +H‰  Å ÀûkíK!è_ŽYó‘{)ç¨õäÖÎI´zßcœógÎó»ÖoïÎ-gê}1?•h¦TZŒ˜R !{Î¥‹µÑ˜ µ¥´J¹ëÒ÷m„PBÈW€.©I† + +endstream endobj 2646 0 obj<>stream +H‰lÎYƒ PwE0 +QQ\’ûŸ0L+Æòw^u÷x~†Qœ$i–¡<Ç„GYU”ùNb O„p] %ç”2Ïóƒ ´$D†PŽ1)š†óÖ‘K ),eÓu¼m)c%KJIK.ŘgÇ"k©èÕWº£¾H’aè{g#B(ÐzøÑ)6é?™çi:™1ráÃËýhë–u]ç+)—[PfÛ÷}¹Á׶ÝÒûôG€÷Ç#” + +endstream endobj 2647 0 obj<>stream +H‰¦Yý[[[===&&&EEEggg………”””\\\<<<))*../QQQ{{z˜˜˜„„„^^_778**+<<=``a““”««¬VVV999HHHjjjzzzWWW777)))222UUU}}}šššXXY223(()@@Adde••–­­­JJJ000,,,MMMoooƒƒƒkkkIII+++(((::;]]]‚‚‚‰‰‰lllHHImmn––—¦¦§@@@"""RRRsssxxx]]^==>!!!'''BBBccc———~~~%%%PPPuuv››œ###444TTTuuu€€€rrrXXX889DDDfff„„…“““...SSTxxy””•555:::YYYyyyiii‚‚ƒˆˆ‰kklKKK//.666YYZ~~‘‘’‹‹Œ???___CCC***///OOOmmm{{{^^^>>>$$$))(__`,-,AAAwwwnnnttu888 bbc‹‹‹99:```vvvqqqiijMMNGGGffgDCEFFFbabttteeehhihhhzy|NMOZY[qpr‚ƒNNN¿Ţ ¤¦¤©²°µ´³¶333aa`SSSÒÏÕ»¹¾½»ÁÆÃÉÅÃȦ¥¨ˆ‡ˆrqs\[]GFH989aaaäâèàÝäàÞäâßæáÞåØÕÜÐÍÓÊÈÍÈÆË¥£§]\^ZYZvvwŠŠ‹;;;éæíèåìæãêäáéäáçåâéÑÎÔ¡Ÿ£¯®²¹·»›ŸpoqVVW##$pppçäëÞÛâÎËÑÌÊÐÒÐÖÕÓÙµ²·†…ˆMLN''(zyz D6“ + +endstream endobj 2648 0 obj<>stream + +  !"#$%$&'()*+,-./01223456789%:;-6<=>?@!A"BCDEFGHIJKLMFGNOP+Q +RSTUVWXY6Z[\]EY^_`a>bANcdeMf0.g -hijklImnopq^Ir8EestuvwxyMz{|}~Gh€V‚6ƒ{E„r6" …z†X‡ˆ!ƒ‰\Šz‹Œ6ZŽ#‡}R WE‘w’5“”9D1•–!—˜Ž1BSRNcd™„š<5›/-œzžŸ ¡¢M30w6r8„U{ƒI›w£;z18¤¥¦§¨p; ©R/tª™c}«b¬1„š¬­®¯°±²³´µ¶·4[oU# Rv #U¸x¹º»¼½¾¿ÀÁÂÃÄÅÆeBSRRÇd™WXÈÈÈÈÉÊËÌÍÎÂÏÐÑÒÓÔ7ÕÇ„ÖB@××××É×ÊÊÊØÙÚÛÜÝÞÓßà|sáÖO| + +endstream endobj 2649 0 obj<>stream +H‰ ÂëN‚`ЗΑA1Ñ$ÔHb.ÿZ^QPse­•¥Æ4Ý4oà¥Ë+ÔÙA À¥ÔEZÌä²Ck¹!à#h–á" džbgIIÎàC úïòx…@8Šx=né=ß•\ºÎ•ãœà:@a¼>”²–àS!: +PCI7Œ‡Ó§‡®ªõª.‰?A“x€À(ŒoÖ_ëÅv>]ß6îE!-$Îi*ì¬ö«ù¦×µtµ©ÕÌJ±~#á“(ËûÉ aÓÉB­™ùË"¨­ý½s~ö›ßå§óØyi™wy¹”äS<'Ä"q{¹³úífG©Ü,föd4{ïz»VÕëŠa Æý·áŸtz­a + +endstream endobj 2650 0 obj<>stream +H‰b`@ŒLÌL,¬@ª8;'7†r^^>~F$ >A(SHHˆ@Ø‚¨JpQQQ1$®Œ!..!É+ÅÏË ª^FFFVNR^LAAQ Y\YYYEUM]CSSK›——.®£££«§§ÏdÀdh¤…dPÜØÄÄÔÌÜÌÂÒÊÚÚ¨ÇV"’26Ö±³w7d×u‚‹;9;»¸º¹ëë{xzÉy‹5é €øú¹ûùË +*ê ‚eåÀ àÐP4q½°ððp€’‹"ò + +endstream endobj 2651 0 obj<>stream +H‰ÁyWÁðïå=Ÿ!ù +‘×(Û06GfŽeŽ^¯þèEÎ\I<73±”£œ3ƺ?B¿YzL·ë/žû^LÙb¡‹$Ÿ+¯—<$‡µˆ7ÙqìÄåðDB‰L2G?½G*ù¡Òfvž:<.;‹¤ôZ“Ñ`ñ·X(‡(À \}î»Øjvþ 0‹ßê$ + +endstream endobj 2652 0 obj<>stream +H‰b```dbffV6vN.n^>~~~A!!A³°ˆ¨˜¸„¤”´Œ¬œœœ¼‚¢‚’²ŠŠŠ*³ šº†¦–¶Ž®ž¾¾¾¡¡‘±‰©™™²9“ª……ºŠ¥•µ­½ƒ‘£“³³‹«²²›93“»Š‡'PÜËÛÛGß×ÏÏÏ? 0 (d•jˆ xy…†††…GDF1Z(+G31ÅØ @¬_\|BbRDD²’°MJJJªmZzFF¦¢¢ *HñÎÊÎÎÎÉͳÁòííQ + ”¾¾M!T,Ɔàí>LWQ¬½½ЅŨêåKâý|õ}||€zb@Š¡ò 6Yà + +endstream endobj 2653 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?{ƒºÇÖ±XÖº GÿYûC®ãôêþ…dHøh£õeå—?,éeÎ.þèÑht¾šY×mÏÈp,:±@y¬QÈqÊ\2öd¦cĆ¯Öë áôŠ¸–´„?ù½gŸÞ‹^&NoÖc•s£V­?ÕýªÝR{¸÷ãnôÓÅo»ÿÙ + +endstream endobj 2654 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?¹õ—¨_ˆì>Šítù¬œì<ŽŸ°Üí¦Ý@ó+¡Êéøù9ÍÍx&Æ:FºB©Ö:~GRê”ÜâÇ·Žqæ¹^b‘-#l{!à‹¤u¾±^K0ªpý#ć4Çà»_/Ä}Ë.¬J¬êŒskŠñ˜ ,KüÖªwÜqpqqGæ¾?êù­÷OË­Ux¿ÿÙ + +endstream endobj 2655 0 obj<>stream +yw~zxƒˆ‹‰”}{‚}„xv}zx~ywyx~zw~ + +endstream endobj 2656 0 obj<>stream +H‰œŒ1 à +(¨üÿ¿Ž §f£mÄ"RbªªÊI˜¥Å–ö6_ô_ñÄÈc¿Z¯ÓRTFòl +0‚O¯ + +endstream endobj 2657 0 obj<>stream +H‰É» +‚Pп6¥´«WË®EÑ$bCi¥áæRØ >@nŸàb/|Ug=Ã04MS×uÛ¶I’Æùx<麡ªZ,€,+p¬@YjÄô}Ÿ¦iÇQ¹îS–w¢(!´’Ð +Ly’ I‚¡H¦,Ëûýq¹\MÓ²¬›¢ìy(òpÎaLM‹¢¨ª +clÛö¯Ú0pDL|ß÷<ÏqœÍz ¹YžçY–aü +‚0 £÷ߧ뺯+ý~ + +endstream endobj 2658 0 obj<>stream +H‰b```dbbfaecçàäâæáåƒ~ —”””’‹Ã€Œ¬œ¼‚¢’²²Š*Š8?“ššº†¦–¦¶Ž®$ÐÓ×304RFg4666153Ghla‰MœÁŠ™«ø0™z Z + +endstream endobj 2659 0 obj<>stream +H‰ Ä=o‚@Ð_]îjClI‡ÖN" ÒAª,6š˜ Œh7M‚1Q´ox)ÿIHÖŠ`9AP(MÕ!Ýdè&M7 /—Ër±±$"Æžê*Šât:ív»ív†ájõýöÚkó Œ¥âȬªêz½º®kYÖtjÛöçpøÞâx¶ÑºÝnQÍfΠ?ì÷²üRˆx`ŽÇ£çùëµçû?óùâ©+³Í `š¦ûý!Šö‡Ã¯išÊh¬Ž5UÕºÒsÀ,Ë’$9Ÿ“4=;ΗÐó<¿ßï›ÍÆ0>t}bL e¤Äq\–åŸg£® + +endstream endobj 2660 0 obj<>stream +H‰b```dÀ˜ 3ŒË„C°°bgcçàààäÄçâæáååCgdàgåC•—”’–‘•“WPdaaQ‚‹+ƒ€Šªšº†¦›–¶T˜,®£+%)­§o ohd ÔS3s K+66vk â6¶vö’ŽNÎ.®FFFÊ(@ÜÍÝÃÓKÄ[^U\ÙGGÇ×Ï? 0HˆûúúcëÃ!` h"ÿ + +endstream endobj 2661 0 obj<>stream +H‰ðÿ¨¥¬±¯¶°®µ¯­´ÃÀÇæãêëèïêçîéæíèå옖¡Ÿ¦ ž¥žœ£¶³ºßÜãåâéçä냈‡…Œ†„‹’—¨¦­­ª±«©°®«²ÇÄËÝÚáÞÚáÞÛâyw~{y€}z}{‚|z}„£¡¨ÄÁÈÄÂÉÙÖÝàÝäãàçxv}yxzx{z”’™©§®ª§®®¬³ÈÅÌÓÐ×ÒÏÖÑÎÕ‚€‡¤¡¨³°·²¯¶±®µÀ¾Åâßæyv~ˆ†‹’Š‘Œ‰°­´´²¹³±¸}|ƒ›™ Ÿ¤›¢ÎÌÓª¨¯yw~|ƒ‰‡Žzw~yx~ Æ3 § + +endstream endobj 2662 0 obj<>stream +H‰|Ìׂ0@Q ر ‰`#ö®ØE,(ÿÿ7"èhÆó’Ù¹»ñx}~Šƒ¡0)ÅI:•b~B°l†Ëæò¾È—èŠЂʂX©ÖêTA’e¹ñ +CØT`«Ýér½þ`8’û +¾))ãÉt6_ð U¥?Á²WëÍ6²Ûö " +šŽúI?«„1ÖX@ìòœ®DC‚a·õ{¼¿^Ó´Ï‚.œ%Ó-9šÄ_.þÜ~{0H¾/s + +endstream endobj 2663 0 obj<>stream +H‰çÿèåìêçîëèïéæíéåìåâéæãêçäëçå쬩°«¨¯³°·ÏÍÔÞÜãÝÛâàÞ厌“ŒŠ‘—”›À¾ÅÖÔÛÕÒÙÔÒÙÚ×Þ{y€zx€~…œš¡ª¨¯©§®¨¦­´²¹ÍËÒÒÏÖ×ÔÛêæíyx~yw~|y€Šˆ²°·¼¹Àâàçáßæxv}wu|vu{ƒˆ›™  ž¥ª§®ÆÃÊÏÌÓÎËÒÙÖÝ|z}{‚„‚‰™—ž ¤Ÿœ£žœ£»¹Àâß懅Œ–”›¬ª±®¬³ÊÇÎÝÚáßÜã~|ƒŠ‘Ž‹’‘–¸µ¼ÓÐ× J¢ + +endstream endobj 2664 0 obj<>stream +H‰b`Œ€‘‰‘™…S‚••ƒS‚“‹›‡—Ÿ™UB@PHXDTLœ ]‡„¤”´Œ¬œ¼‚¢›2².UU 5 IuN Mq-mmV¸„ªªªŽ®®ž¾¡‘±‰©©3BHš[XZYÛØÚÙ³±²²Cu@€Ž¹¥ƒƒƒ£¬“Œ³‹«««\BUÂÂÝÝÝÒÃSÐËÛGQQ Àwˆ + +endstream endobj 2665 0 obj<>stream +H‰Æ= @áS²K`W3ñ¯S›¸Ò›Iz/‚v\*Í›¯x ,¶þáúdôVí‡Ûž©ïçyGqÇÃÁ"PÎ9¥ôYûÆðvâäþ¸^nImLÛhL­µ”|ð/CœžÓŽ Üÿ?'EË + +endstream endobj 2666 0 obj<>stream +H‰b` ñr &tfüŠ1Ôã,ä8‚‡ +dqd'°±³³£èJrprqqc1‡‡—_@•Í"!aQ1q qI)iY$ƒääååE•”UXUÕô§ù¦ + +endstream endobj 2667 0 obj<>stream +yw~zx{y€|zŽ•¥¢©ª§®zw~…ƒŠ”ŽŒ“•“šxv}wu|xu|vu|ywzx~yx~zw + +endstream endobj 2668 0 obj<>stream +H‰”Û€ D2²›–ÿÿ¯9v‘Ôœi”=;H)$¢NkÝÂ+DŒ'(5/«¥X¹·x2gÜ=µdÉ^×^‰‰òçÚWäNNóÝà«[¹DÍ×vÿO}þ2Ä ( + +endstream endobj 2669 0 obj<>stream +H‰ÁMB`àŸ-”—¨5ë`|œÔ¡¼t‘isR.n6GcL3'Ì÷Gϳ®kÓ4išÆqœ$IEÎ×Q¡¦ªš¦=¯òmO° £Eã8Öu]–eUUazžçû¾mXöŒã`·%q LÓÔuÝ0 EQX–õ¸+Š!TA¢©#IÐ$ 1,Ë,Ë>stream +H‰b````dbfaecçàäâæáååá~ 8ƒ€ °ˆ¨¨˜¸„¤””4X‚ddeåä•”UTÕÔÕ5  ) '' ¥­£«¢§Á€ô ŒŒ1%LäLMÍÌ-0%ÀÀ’‡„¬ ‰! 3² , + +endstream endobj 2671 0 obj<>stream +H‰Á9o‚`Ð_]¹ù8UÔÄØÔô˜-G…ÄZ°+a`“ …qcÂŽ@ßC “(Ppì‚¡y ºn\.¿²¬Ð=áDÀ‘$Éãñð}_7ŒÃáS%I’÷ûW£P˜,˲ªª,ËÂ0¼^¯®ëzžw>ÿ0‡£€À©išº®kš¦mÛ8ŽO§oI”¿”£,)Ï» +°4`ÉŒãØ÷ý0 yžßïwÿÏ¿y·ãQ] a¹^¯6‹¹€¡žAà«š¶ÝîXfŽc´mÛº®«ªÍð DZ,ëýíƒç–EQDQdšf]×išþ 0ÑI©G + +endstream endobj 2672 0 obj<>stream +H‰b`À™˜˜@,&|Ê €™™™«++;V N.n^>^FF°m ~A!aQ1q vv3%¥¤edåä•Ä•af‚ݦ¢¢¢ª¦¦®¡©¥­£«§§o“J›È˜š™[XZYÛØÚñÁ$àÀžCÃÁÑÉÉ]ÂX]]]ÚÅÕÍÆ——W {xzy³úø8¡KªIúúÉÊÊú£K€€j@@@ 6  3¤ õù! + +endstream endobj 2673 0 obj<>stream +H‰ Ñ 3"àŸB»%J¶mBHKåz½UJéýt§8*{NÛ•G׃Ü 7ƒçfÜ;?á›Çå1°P(Z_ߨVk77·——íbq× 70'™t:ciI•ˆÅÛ—í×׿ýþu>—×jtl6A‹Åzxxôôôüöö…°t†X,q:]ÇÇØÍím«u–Ng4-Ì€QM§Òï——?ív'O,«Ô,›ÃáÔjÇT +ƒææ•`ðääëÕÕuå¨êõúŠY¢#LÄh4~Ú+u»½»»Ÿ.—{zZŒ0YR©,6›­ççß÷÷DH‡è¨ÝÙ‰Ÿ]äs½^Ïãñ¡Ãá¨Vª½^ÿüü"›Íétk“ªwW"ÙítŸHD’fU'0ìËéiEW„åñx0 ëu{u¼¡R-¯®jú½þÃÃ/ò™Íbg3ÙJ¥Z.í{Ü^™lft”ÃãJ¥2 +5ðF§ÓÝß?H¤dò0‡Í1›ÌåRùúvýcd„b1[ +ùB2™2Œ‰““LÇ[ÍV*•æry”ÊÔÔ´ËéÊç Tê¨Íj+‹{¥ÒövdpÀd"õ:Ž×ñH$º¼¬–J¤>¯;Æjµ6¶±¾‘ËåggçÆÆ (—÷•Ê…px»ÙlºÝ‚r…cà€/0›-éLöàà³@ ŸˆFwo8ÞˆÅâV«maaÑírÛmöL& +…Õj†aièýM«Õy<Þ™¹ÓáÌesvûºL&&“Á”J¥N°“ÝÝkkd2Ùæ¦?‰ÒFi šL¦é)ñ‰ @¥Rɤ3"ÑËÿ°¦·Â¡°Õb…Ç'—|¾Í€?°‚® Ib±Øn·'“ÉB¡ —+$b©Ífm…„B!@ôoúçç擉d,ãó +uiQåóù#Û£Ñ$‰fçæõzÃS`( + +endstream endobj 2674 0 obj<>stream + +  ! +"#$%&'()*+,-./0123456789:+;<=>?@ABCDEF0GHIJ5KLMNOPQR6STUVNW!XYZ[Y\] +^_`aFb5cPdeOfghijklmnopqrstuvwxyz+{K|}s~€‚\Fƒ„…Q†‡ˆ‰i$ƒŠ‹ˆŒŽ ‚‘ Y’“”•6‹–—˜ ™Yšl›ŠœžŸ†…i t5 +¡¢’£œ¤¥¦§~t‹¨©ª«¬Šª­‹®b¯°±²³n´µ…¢cuu<¶·Š¸¹º»¼½'±M;"6E3F¾¡ +Cm¿ÀÁYÂÃÄÅ©ÆÇÈÉÊËcÌÍÀ4ÎÏžµœ”ÐÑÒÓªÇÔÕ\Ö×ØÙÚÛfŸÜÝÞœßàgáâãäåæ?ç‚èé¯êëìí]Eî#‰ï{çÀðñéòóôõ·ö÷øÓŸcß~›çšmù¤T¸ú]» + +endstream endobj 2675 0 obj<>stream +H‰ ¶„ ÀûdË¢A±÷×mþΛºšflÛ©ë¼ss߇aXÆq¦ÏÍû}žŽe¹Öõ½m_ûþ}?çù{]ÖöÃÆq áÔº­ªÏÎg­sÎ÷ýìý¦”-ËZëƘNJÃy)„.ŠŠRɘÊs¥T]– !¥c¡cNˆà\Ki 2„8ÆBˆ*Mq–X8†I‚^¯,MI–Ñç3"H¿ßãÇ#‰c”$Bz»Eÿ ËüªD + +endstream endobj 2676 0 obj<>stream +H‰DÍ{P†q² +©ÉDµ™™‘äWØÉ9emeóþ_Ͼç”üý¹îç©T«\­öÀó‚Xo4¥–,+í¶Úyêv+i= ¾¤ªºa>¿ä8xå膒ԗåͲlÃÝK6¥ñ˜‘9Ê3Úi‚Ø6Éä!Ý,5­7ý˜6·\Ý,q°ð–³܈ãüÕzÝp—R ö«Ãñ¸ð¦´ûdäÚ4C( NÁ c£»¹k똈¡ x?Œ1&Q„Î_' vWP’Ä1þ.3ý³ñ'M³„!!—_tƒ¿üpy½¦Y“˜\"†Ážmþ 0)Á6, + +endstream endobj 2677 0 obj<>stream +H‰ ÈG‚ƒ Àÿÿ'Å‚ ŠHQÁ^£iû‹ÍÇÚÁ¹±i¦¶»néûu¶izÌó1Ïç²<×õ¹m¯}ïûçñøÇ÷<ÿêºÇ}YÎu}mÛÛ˜¦,Ûªê꺛¦CÊZ)«µ3Æ97E)e¥TmmϘæÜQE¥µÍ²"Ï%cJ놑e‚Òß(Œó$aiÊá”Jε&Ž)ƿ䦄2ÎË0Ä$¤Q” +Ãœ$¹çE¾ƒ ¾^ƒÛ-¼ßçAßG‘ËÅǘþ 0€¿Ç + +endstream endobj 2678 0 obj<>stream +H‰<ÏéV‚P†aÄŒ  +)†„D°ˆ(I+ +lºÿ‹iŸôûYï·×&zd0ŽFEæxrÂN§ÇñDlH hZ™Ó3–E Íäs@ÔA&ÔÅeVQ5ç‰K²ß–-2ÌÜ0UMCt£˜ ƒM˶×5¯Á»ƒ`žï/Sµ›fãp„þ +gšD7£uœ,½ àíÝÜUUÕð&‘F÷ë, ÂÐ_X¶ã Òõ™,óùcšB–áMÀ'Ç€$i[<ïò“ ;h(æþåµØ5ôÿœ+Ë÷ýǶ(ZÃèmV–UÕõ¡üü„2ÿî6½ð§ªêP×ýFq– (–6 + +endstream endobj 2679 0 obj<>stream +H‰ ÆGƒ Àÿ_¥÷ÞÉûâÌÖ¹ì} ¡ÆØRê9RÎZgk«÷kŒ{ŒÏy>s>k}×úY›Z»z¿‰¥ÌZ—Ö^ë`mTÊ)å BX)]Œ•s#¥ ¡PªÓœkç!‚I©dL a0qŒ9Blßéq¼Þ„B²mø/Àßj` + +endstream endobj 2680 0 obj<>stream +H‰lÎër‚0†árÐLMI“b È™zÿwf²S*íøû™÷ÛE–eÛ¶³Z¹®ëù~¬7OÏ/¯[Œ,#ˆgämg„ŒB9½ïu´;„„ñoå@åùADÃðCG¦Y^bŒGínÅŒ8§³‡aï ¢D¤él!Ø7 Ê,ÏÏBÄEÁ8(Õ–RæɃ¨ªj ÍYÄq1AHSÕuiä_Ôv]ßüàÒ”Z€®æ½aœ&Õ¶]ß7úTYÊ,3&.ÃpÇI©û`fŽ]C~`.¸(» + +endstream endobj 2681 0 obj<>stream +H‰ Âvƒ Ðû_!12• â`¸À4i¯UÿûÞÇqŒÓ”æ9/ËÂãžÒ‘Ò™sY×{ݶkßßÇñsžŸR>µ~ký½®ûß0,Þ‡R¾ÆLÖÎÎ-9ŸJ Jy­Gk'çf)”ƒ1c{Œç†s+„eL á´ö]'û^1f(å” +B8B=ÆŒ…°C¨k[!ŸoôzÝñó ›@жø_€í¶‰5 + +endstream endobj 2682 0 obj<>stream +H‰lÎéÁ0†ak-µÓ*R¤¨´ièn_ïÿ¢LN(Åïç¼ßL&›Ëç Å¢T*Wªr­^o4[íN·§¨Ù‘ŸÒïhƒaŠF"j }¬M¦ÊO† ]ŸM>e¾(Ã)sI°Á£ô d™&±mÑ/q˜e­À0 ÒÙûÔÚuÆdK#DŸ·TÏ(?ð¼5o ⃈‚ù~†IÄ¿ <¢Qo~ ãmíâØßïaÏMö9O§?ÆΗËäz} zbð&àþqé!À´«3e + +endstream endobj 2683 0 obj<>stream +H‰ ËE„ Àÿ¿JEJÊ,ÒÜW¬Ç9 cmU5”Ö„(Œ%BB^– € +Z4ÏI–aÎ;)!zYÓ躞”1mkùïû¥ëf„ä4í㸠ÃJ©ÒÚγ7Æ-KØ÷cÛÒºFç.kOïoçÎï?1¾1>!Ü)ýRzÿ ‡L{ë + +endstream endobj 2684 0 obj<>stream +H‰dÍëB@…a CƒR’r‡PÝÿÍõa¦ßÏz÷VTUCH×uÃÀØ4MkGˆm;Žã*Šªi«`Óš`ïyÜVô}È,B‚Cnô +›§`ClEÐ1¾ÄñbŒ"ýšÜÒT ˜ËìŽÐ#ù#~—åùb… AeUÕÎÒ¦dV™„-í8ñLP߶OJ›a6ÓqšúÃNÂ÷gAÖýŒ~¯Y s + +endstream endobj 2685 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?Ž~9é})Ž‹\ òø+C#3œËËMW GÅSúѯ‡Óë2ÖÈEú×w³ ¦V`ûAÉVÍÉâËdQêË ó…tÎRkètßÜù$¹l›²1n4ƒ@Ð$³þïúßk‹õuíþ7ã6}ÏGz¯‹ù}g⦔o¬_ø¤Åþ°^b’Ùh¾‹Õÿ§Ø’ó¤–_ù_ð¿koô>ÿÙ + +endstream endobj 2686 0 obj<>stream +H‰ ÌÙ¶C0@Ñÿÿ¥ªd"‰(‘RbªöþõÖyÚçñ€a˜…az¿§A@ˆ7u¿ùó³ýë/ŠÐíò¼²í¸/_Hã(‚F[ÿÞ“„&€8;¥iÇA6ô3„,ËŠ˜åRèiXº$çLÎoˉ±PU»ÎJKJ%!W#^Êæe'Œ9%òiÜ>stream +H‰TÍ{{Á0ÇñDÈÖ¥Ù£eZÖ²aî—Z]6¼ÿ÷#ÓÐô÷ç÷óœç „qŠ’Ëúð¨A4†NIÉ=—— ÎØŠ1I£ç­ƒi†DLŠ%íeóŸ8a ±J5˶íòUk¼þÚ¸w Ž#Ìfðfë-RDzl×Wïq‡vÇïFØûüR:èzÛ÷½~ŸZƒá· +£ñx"°ã{ÓY^…ùb!m¹ÒTX¯%5ƒM~Âp{Å ´S;ì‡È~ÿŽ 8" +ω×]!Ù + +endstream endobj 2688 0 obj<>stream +H‰L³ýë­)ë­(ë®)ê®,ë¯,çª)Ø 'Ô¹…ÔÒ×ÖÔÛÖÔÚë®*ì¯*ä¨'Ñš$з…ÕÓÙÖÕÜì­(â©/ÇŸHͺšì­&â¯@dzŠÌÅ¿ê¬(ê­(ì­$ã°EÌ»žÎÊËçª(è«(ê«$ä³M×˽ÕÔÛâ¦'ä§'é¬(é¬+ã¶YØÏÅÖÕÞÓœ%Öž&ߥ'á¦'Û»}×ÐËÖÕÝÃ"Й%מ&ØŸ&ߤ&å¨'æ©(ê¬)é¬)ß·d×ƨÖÒÓÖÔÜ»Š Й&Õœ&Ôœ&Ó›%Ù &Þ£&á¥'ì­)ç«*Þ½w×ÎÂÖÓØ¡v·‡Ç’#Η$Й$Òš%ã¦'ã§(Û¤/Õ¼ŠÒÐÖÒÑÙÓÑ×ÕÒÙÖÓÙŽh›ršq¤y¿!È“#É”#Ôœ%Ú¡&Ý¢&Ù¡(ÑŸ3͵‰ÌÊÏÎÍÔÐÎÔÓÐ×ÕÒØÖÔÙuUwWvVƒ`¨{°‚¯¼Š!Ç“#È“"Æ•-¾™M¾­Á¾ÃÄÂÉÈÆÌÌÉÐÏÌÒÑÎÔÓÑÖsTtT|[”lšq™p«~¼Š ¼‰»Ž2¶›i·¬œº¸½¾¼Ã¿ÆÅÃÉÉÆÌËÉÏÎËÒÏÍÓrSrTŒg¢w¤w¥‚:§ž–ª¨°­«±±®µµ²¹¸¶¼¼ºÀÀ¾ÄÅÂÅÈÃÂrSzZ‚_Šc“r2™‘Œœ¤ ž¤¤¢¨©¦­¬ª°°­³³²¹½²¤Å°‹tU€]Œn3‘Š†•”œ™—›¡¡Ÿ¥¥£©©¦¬¬ª³¸ª•Ã§p ¦T`ˆ + +endstream endobj 2689 0 obj<>stream +H‰b``d€&fV6vN...¸Hš‰›‡—_@Y„„ED¹81ÅÅÄ%$ÁâRÒHæ30ÊÈÊÉs€‚"Š¸’²Š*X\M]QCCC +j1ƒ¦–¶X\WOßÀÀ@æPYC#c°¸‰©™¹¹¹/¯¥•5£­½XÜÑÉÙÙÅÕÍÝÝÝÃÚ“ÑËÛÇ—,îçïïïlb‹‹OHLJNLIMKÏÈÌÊÎÉÍ‹È/(,,*.)-++¯Hª¬ª®©­«ohlŠhn)(hmkïèììrìîéíëŸ0qÒä)S§MŸ3[ZZ[gÍž=gî¼ù .Z¼dé²å3À`ÅŒ™+W­Z½fíºõ6nÚ¼eë¶Pв½ `ÇÎ]»÷ìÝ·ÿÀÁC‡ èjeÄ + +endstream endobj 2690 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?îgâ³ññ:OR²Ò]¾ÇêZ ˆÝNãVöý7¬ø•ÄçÓ•Òò[ìÛeºˆóÖNxd0ŒqØÖî>2âÍšzl¯ª®œsí‰çºÏýûŸˆU°þ²õ>stream +H‰ ‡À ÀýW´aCŠÆ²Aø{ÄÙ»ÕÖ¬Ôj¹K9ÀÀ”0ÆBó¾:WW΃èÃ.æM´EóQ}ªWäÎùDÞ/À8hSr + +endstream endobj 2692 0 obj<>stream +H‰LŽYƒ Y´VêFA¶@ïÌ&±Zùd˜7)•îúÇ0<Çј×4Ï˺n›µV*¥û¾gò6Ó4/Hœ÷»RjŒ-FsˆÎyKDi¶žHÞƤb$ç°ôàRZs` [µZ)µ¦L!~{­!ihÌ3Sí8¥G‹ÃÇøò×+À ”Rʘj…Ë¡—b !§t—HÃA.R.ï°ïÞ;Ôr¦Å¿'„EäÜé5)¬%ÅÝéþ+À'z + +endstream endobj 2693 0 obj<>stream +H‰Ã<ÿyw~ywxw{x~y{€k„|tyx~¯’T˜‡f{yzw}ÞÄRÈ«P§‹X…|swvzx~òÚTÚºJ´‘Mˆ~pwv€ýí`ýî`üì`Ͻ^™‡d€zwxvýñeþòfýòeƼjˆ€s{x|ä×VëÞ[ûñe¼r}{}xv~¿©=ͺHîãb½¸u|z~zw~«‘.¸¢>ÖËd°¬xxw~…bq+¡–g“}€\ƒg)‰€j‚€^e*€xl|{ WiÎ + +endstream endobj 2694 0 obj<>stream +H‰b`dÀX„v fff¬¬¬¬lH\v8‹ƒƒƒ“‹‹í<<<¼|üÈÁL!!!aQ1L;Ä%$¥¤ed1%ää•”±¸JEUM]CÕA` ¥­£«”ÐGC#c=,F™š™[bg°´²¶A–€Ûdkgï€M@€„•  + +endstream endobj 2695 0 obj<>stream +H‰ Â;Â0 ÐûßFÄÀ†Xˆ…©M›6'Ží$ýÐÀÓë{ßuN)Û¶¦iÆNYgb‘4i Mk „±Žc† :çl²6ƒzÞ‘s@¼gc,œ¦K9†ŒXÓªˆ…h&šRš2ÍÑ3ó‰¬Â‹Ðl4äüY¤oM­[)[¯ìûõɲ^÷çéx®e»^nûÝá'À•²§¸ + +endstream endobj 2696 0 obj<>stream +H‰lÊÝ0€áZÑ¢-6f$cÒ„Ê?÷Wœ¶õ¾ÏgÙ8®Ûj{°–oÙ6p:ÝÕ‡¡ï[½>Ô"”R†º3BÅt¤;çcÆX0Ñ=Š¦œóx¦»˜ÿ[ ¡”BÄKcG‰”+“¡—ªubr¶Ù¦J5ø.Ï÷ƒ!:Å©ÁÏ—²*2Ó¯·²º›þx¾Êwƒ£Ï÷'À› + +endstream endobj 2697 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?ê:¾­dTwæ°­é×e}bnfAj;GÅkÛ`²×8F¾ ++ +ËÓ1õc½#.ÁЬ "’­Hp3kûÖFÑ[vã´?íIl^Úé®ËZ_¶¾)+~ÝþñíkÅÁøwaû¹÷=¾=+‰z~‘F^’Ä–å¾6}«ªD?Ö /I^ÿËoý[ö5ÿðWøÿÙ + +endstream endobj 2698 0 obj<>stream +H‰ÁicÐÿÿi“µ\E­LëV¨¤t˜seŠ…F®ÍþÂÞ;®é}íØ7±T¨<¹lÊ<€JŒ½ùa>n×Ó=ZÅD½ fó Œúô×·ó_´Œkhcî’mZA°Bá)^'—ôן¯d±<>“Dkhzß»“"© ‡À¢i8“Ñâ•d¬;Ðí:F®‚M‹b ,B¼úòîÎR5Á•2ŽÀ5ËôöÛ4‰Ópù¥v ºÅÓ´@QL³Éš†øQðù‹pé¯É…¡…<ãɱm–•iZÔTÓsgž3uìñÈ +œÌ0’,벬‰bçAèðœÂ²m³ï`U-ãýþÐ0\]·UÕêt EÑ%I„.ÇÉ<Ó†‹h£N©ªé8Û[ÖHÓ†ÿ ùÕïd + +endstream endobj 2699 0 obj<>stream +H‰b`€F&fV60`çàä↉3ððòñ³A%@HX„"ÎÊÎ'ʃã‡IHHJIÄ¥¹edYäÀârüò +b E%eˆ8›œŠ*7B\LMj3PBƒ!!¥©…U‚G[Gb1+«.Š„ž>+V .Cˆ[ŒMŒMÍÄÌÁâÜ–`·²ò‹[YÛØÚÙ;8:­vVsqKH¸¹{xZ{yûøúAë϶BÅ- 0(8$4,Ü:ìÖˆH° *Q¡Ñ1±qñ ‰IáÉ Á”T°¯%ÒÒ32³b²Óビ dF# + +endstream endobj 2700 0 obj<>stream +H‰jM–nHÕ­*-ª¬h¨,¯kKS¬*)ì)ó¨Ërª*-©,o˜ÔUS]˜RYVUY^?mBwuq^eYõ¼9ó«Ê«+Ëj++êæÍ]4}Ú쪊úîÎþªòº¦†¶Š²:€Ëj)| + +endstream endobj 2701 0 obj<>stream +H‰b`dbfÁXÙ°Š³spraçæáåÃ&Î/ ˆU\HXÂEcE’€ËŠKˆ`3†E«(‹”4VÓY¤d0Õ¢««Eáñ‰"„øpk¢0å`v + +endstream endobj 2702 0 obj<>stream +èåìçäëâàçæãêÚ×ÞÞÜâßÜãåãêÆÄÊÊÉÏÍËÒÞÜãèæíººÀ»»Á¼¼ÂÉÉÏÚØß··½¶¶¼¶µ¼½¾ÄÑÏÖåâééæí + +endstream endobj 2703 0 obj<>stream +H‰b`©€—33v V6v¬œ\Ü<Ø$xùø±Y$$,"*&.)`7h1 + +endstream endobj 2704 0 obj<>stream +H‰ ͉RÐÈ‘š)GC!O@—áPîõ@H!q!9å†#`AV`E¡åEŒ3)P”Q&³fû½z?ðz½^>Ÿ·Z­B¡ˆJ¥I$Ò@ X¯7žŸÿÃbñÌb±‚à*‹ÅÞÞV$èÝÝ}¯…¥Ý¢{ÖÂjB·ÛM¥Rz½žËåQ(T¹ü}$rpyÙzz<>þÎd²Z­ŽÇ㯬pTªÝ““ÔÃïÛoiÔü.¢!T*U&“É`0!H}|||}Ýmµ¾‡Ã‘ÍÍM ‹D"³Ù\,‡Ãç»VѾw_`XF ÀçóM&ÓÍÍm¡P°Ûí\.wnnN,{½Þjµ2ü¹m$ݼ;’L&ÙlöÒÒ‚6› Ç‹÷÷ýNç*•JÆùùy™L +ÁõZåg¯y‰Šþ ‘ˆš P((ŠT*õx<år¹ßï×j +•ÊM6ë_¿bvÌ·|ðñ ®¯¯OOO/..Â0Ün·s¹œÕj[[[Óë ™l®Ýªæ#²˜q"¬ +…d2Y¥RE£Ñf³Éãñ +xñêG û F4/µZ-Š¢¥R Çñ`0¸µµµ±±ár¹Jå‹›N#íåÍÌÌp8ƒÁp~~žÉdÜn·D")_Tº†HZ, Ãb±X(<+`õ³hÒ H$*•êp8²Ùìéé©ßïW*?LMM1 µFƒ%\_ü«‡bœœt:r¹|oO{xˆ¤ó‘ƒÕÓéôÙÙYÓþ>ŠÆO`hbâ-‹ÅŠÇc@ÀjÙOD½G^1‘H\^^ Èçó!âñx +%™L¢Ñh6‹)2£nÁ?[âó  + +endstream endobj 2705 0 obj<>stream +H‰b`dbfaecçàäâæáåã1q I)iY9yE%eU5u M °ˆ¨›´¶Ž®ž¾š¡‘±‰©™¹…¥P\DÔšÛÆÖΞQÀÁÑÉÙÅÕÍÝÃÓËd’¨„¯Ÿ@`PpH¨oXxDdTtL¬HP [|BbRrJjZz†mbfVvNn^>XSAaQFqJIiY¹ŸoEeUufm-ȉºz¿†Æ”&=‰f¿fÓ–Ö¶vMÑÚ8 ŽxíBގήîž^¿¢¾þ 'y‹Æ2²>stream +H‰õ +þrSrSqSvY„l=…yh‚‡†„Œ‰ˆŒ““‘—–”šš˜Ÿœ¦°›w¾—Iw[†qI„zl~|……ƒˆ‰‡Œ‹Ž•”’™˜–Ÿª”n¹>rTsStT…c¬ˆ>¤‹YŒ~iŽ€k’ƒm’…r…€~€‡„‚‰ˆ„‚‘{Q—t*sT{Z›qן&Íž=ª‹O­P©T~xv€yvsayc4uYqRtUˆc®Þ£%Ù¤5¿–BÁ˜B½–FŸˆZ‘‚f’eƒpMu\'rUvV¥yÔœ#ç©'ë­*è¬+é­*åª-Íž;Á˜C½”@‘o%yX²ƒå©'é¬(ë­)ë­(ì­)ê­)á¨/Þ§3Ø¢1›spQ|[¸ˆé­,á¦*ŸuoQqR{Y–nÊ”!î¯*ì®)æ©'Òš#—opQpR€]§yí¯)à¤&à ‘jrR_¨zÁŽΗ"Θ"Ü¢%Ò›#¡v‚^qS}[–nsœržtÂŽ çª(è«(ç«(Ä zY‹fig­͘#Θ#Í—#Й#à¥&ÀwVwWxWŠeˆdj ¹ˆvV˜otTuU_€^ ãQà + +endstream endobj 2707 0 obj<>stream +H‰b`„&fV6vN.n^>~F$ A!aQ1q I)iFFY¨¸œ¼‚¢’²Šªšº†¦–¶P*£«§o`hddl¢ljfna ‘Ó•µ²¶±µ³wpptrvqus÷`d€šdåéåíãëççbƒ˜ŸhU/++›” ŽŠŒŒôKIMK·‚Ø!+›‘™•“ yù…VºP-EÅ%ù¥ÑÑ ñè²ò +«JYˆ%²EUÕ5µuõÑ@¹Ü†Æ¦æf˜·‹ZZÛÚ;:»º{º#{[2áÑÜ×?aâ„I“§L6}Fh:Ìײ²Œº3gÍš]5gÎÜyó„Â="æZÏšµ0sQ«§<A×â%K¬.]¶h5@€øžT„ + +endstream endobj 2708 0 obj<>stream +H‰éý!!!;;;[[[ppp<<<"""889VVVmbLxa1tVrSrSrTsS;;<\\\nnnXXX999:;;XXYl_Cu["sUTSVKKLJJKbabvvvqqqVVW556!"">>?\\]l\8ÄÂÈ«©¯hgillmƒƒƒ~}]\]444##"BBC```n^;rSÞÛâÇÄÊ…ƒ†„ƒ…——™”’–jhg;82!/,#LIBda\o^8æãêàÝäÐÍÔÏÍÓÕÓÙÔÑØ“Ž„SH.G9XH&j[;mbKp[.èåìçåìèä뢚‹`P+\HmW'yd7qa@pY(éæíêç„ƒcŽjžx$šv(z]!qTÙÖÝäáèåâéåá踣is©~ v|ZqRÇÄËáÞåãáçèåí¶¢ˆdišr”myXqR¥£ªÄÂÉÆÄËÅÂÉËÈÐÜÚᯀ``†c„`vVqS”’™¨¥¬©¦­±®¶ÍÊÒ§˜|`(}`$\uUzxzx€yw~†„Œ¬ª²–Œ€vdDwdBw]*vVsTxv}wu|vt{€~…›™ Œ…€xkXxjVv`3uU{y€zx~yw}yw|veErTyvxudByw{yspub= 8ë + +endstream endobj 2709 0 obj<>stream +H‰b`dbfaafecçàäâæáåãããçàãcfg—”’–‰%øøeåä•”UTÕÔ54ùÀÂ`-m]=}C#cS3s¨8?/Ÿ…¥•µ­½ƒ£“³ L\ÝÜ=<½¼}|ýüAâü`cxƒ‚\]ƒCBÃÂ#"£¢àÊyc€ 6&.>!1)9…æ>¾Ô´ô נ̬ìœÜ¼|~˜_[aaQqIiYyEe??ØS@P]S[WßÐØÔÜÒÚÖ·]Ý=½}ýM&BL€˜4iÒä)S§MŸ1sÖì9!ˆæΛ7ÁÂE‹—,]6‡a-ßX¾båªÕkxy‘ÌŸk×™ÃÃI|ý†k•óà[ + +endstream endobj 2710 0 obj<>stream +rSrTsTsSrS + +endstream endobj 2711 0 obj<>stream +H‰lK!C[ËýÏ>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?¯õyç+®_šý[Y‘òSÅí?­Fëj0©ô+F'J¿"u|ëñSú¯hey9ÏÑÆH))½Ôoý¥õ¢š£s( ÀÓQ ]ÙºŸîÁsTvõq÷ìgÝ+Ò·3Ä"§ÿÙ + +endstream endobj 2713 0 obj<>stream +H‰ªUþ› ­«¯®­°’‘”utw[[]BBC667<<=XWXoopwwx`_`BAB(()%$%223POQono|||lklRRS012!!"ÃÀÆËÉÏÅÃÉ¡ £€ƒjilSRTAAB==>XXYpopvuv_^_@?@&&'%%&545qpq}}~ihiNNO-./ !ëèïìéðãáçÅÄÉ°®²£¡¥–”˜|z~dcexvx‹Š‹‹ŠŒfef""#&%&999WVXttt}}}ccdGGH*)+! "èåìçåëåâéãàçâßæâßåÆÄÊ«©­¸µº³°¶xwz''(,,-?@@[[\vvw``aBBB#"$éæíêçîìéïÖÔÚº¸½¿¼ÂÈÅË“cbeMLNQQSaab~~ABB$#%àÜãàÝäÍÊм¹¿´²¸·µº¥¤¨ttv……†iijEEF'&(çäëÝÚáÔÑ×ÐÎÔÓÐÖ¾¼ÁŽŽ—–˜VVX213112ÝÛâÐÍÓÌÉÏ•“—ZY[YXZèåíéæìÄÁǘ—›˜•š×ÔÚÂÀÅ¿Å D±Ú + +endstream endobj 2714 0 obj<>stream +H‰b`dbfaecçàäâæáåã—”’–‘•“WPTRVQUS×ÓÔÒÖÑÕÓ70426153·°´²V°±µ³wptrvquss÷ðôòö1ñõó` + u Œpss‹ŒŠŠŽ‰‹—HHLJIáNMI LwƒŒL¯Ì¬ìœÜ¼|á‚¢b57()-+¯¨´ªª®©­«GwZäÙÐX^ÖÔÜÒŠ,îÖÙ´L?ª£³Ë Dºu÷ôbÊèëcw‹ŒÄ.N{`º²oÀ + +endstream endobj 2715 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?çó‹ñº+*¥ÃPQ,¸ÑЛXÐÙüW¤uo«?©PúœÝ…¿Íuï©9-ǤaÍ•RZ_ãëQ¥40ìu=:KÛ}MioýúRS¶‡Wê>stream +H‰ Î Wš +à?}wwÎ6«I4LÍ2*(æL _•š"*HH ¢‚¨<YÖîc÷Üóý€o2ž úãûr-“Ƴ×ù\¦p“-Ö*„2žés[›Y†¶0õ¥e8¶¹²ÍõÂZ/³7CQáØ~ä;…ã±H‰b¢0š©æ|jšºc+ÛZ§S¹’òž»!ð€tèâÈItÆCUUô™jèóÅrù| +yO~oðÌôû.½ž‹ð矾¸[MZx’äÑT•µéD·Ì•³Ü…‚$†e3ÅBþ¾¯ÜdKÁsøèÜÐy œ¾Ê‘NŸN乪hº¶°­M©T¥(Ö4W»Ý_ÏÏ?w»ŸŽóB6»q4ã_>¡±$IPcI•ÇÓ‰¢M§¦ª†±zÿïíí×~ÿ¯$©'åñ[¿/º¡Tòz$MF’2–&òP„‘(ÊÛíÛvû¾Ù¼­V¯$\Ÿ¿FÂÈP”G…’X¶ÏqƒÅâ¹^oe2x6›O§sƒÁïå’ èðeTä‡aDS½A‘d×0œd2}râ /‚P»Í¼¼ü-cŸ÷ÅX†¸ßÉ&]©µ¹ßÿÒ´ Ç˳³‹£#÷‡çó…®;8~‹çJ Íõ¾÷(<õÄj¥QÀos¹R*•FãårµX¼ ‡£.×a$‚2 ï8;žQíGºýØ¥z4×xhaXA1 û‘L^Ûö&“É×jÍbñ=’ i–j³’¡;ìCµ‹%`A,¿z}ýg½Þ÷û2Ms­ƒãe½Z.w,+õÙ Úd·Ób¨sW®^†àPžN-EÑy^êv¹n÷I†ó¹•Ïß^§o*Íz$êí›lÁãñù|¿ÿܶ·ªj®×¯²¬¡H²zרU(‚ÀÉññ·ÿq ? + +endstream endobj 2717 0 obj<>stream + +  +  !"#$$"%#&'()** + + ,-./00012345&6789::* + +;<=>?@@@ABCDEFGHIJK::**LMNOPQRRSTUVW"XYZ[8\JJK]^_`Qabbbc`defghijklm88nopqRqqqqqrs`tuvw7xxyyl(z{|c}~€‚cRƒ„#…††‡‡ˆ‰Šc‹ŒŽ‘’c“r”•–—˜˜7††™š›œžŸ ¡¢£€¤qb¥¦§¨©ª«¨¬­®¦c`¯°±²³´µ¶·cs¸¹º»¨¼©½¾¿ÀÁc±ÃÄŵÆÇÈ·s”Éʹ˻¼ÌÍÎÏÐÑÒÓÔÕÖ×ÏOØbTÙÚÛZÜÝÞßà á³ÑR¥âãäåæçèqѤéêëìí½îŸïŽðñRQT}òž_óPôRSõ¾ö÷ëìøùïúûŽï + +endstream endobj 2718 0 obj<>stream +H‰ ͉V¢PÐÿ?Æã8ffš©¹•[0šˆ   ƾÈžl•ÿ0sàjšýù©ÂáÀQ»ÝÒ8Na±XlP›NçnïO¯ÙÙ!´¡¤ñO_³ø笻¢pæ8…eE†áH’Ýí˜õú€a$Š®Þ&Èë;²>кéz.4-p>ûšæȲ):MÿNkœ"‰£¡»…a‰ïÇž'4Í@×]Uµ$ÉàyažS£ É“kľq]hYÀ4}UµUÙ„  ÃÔó.ŽyNÃ/AÐdÙ0 /iåiü-Šº¢˜†áÛv@ºÙì ‚ÙïO ó¬Äóª$mÀ(_.78NœNò%ʳø:#Óé_ù˜ÏW8Nn·’<Š‚ìÝ ûý·áp2½Ïfs].ø +ÛX‚äé©ýüÜív_ƒñh4›LPS`˜V*µjµQ«5ëõV³Ùi·{Î`8œRc~ óB¡T,–K¥»r¹zÿøðÐhµz{Šõ(_77¿no‹…ÂïR©Ò¨·ˆí˜kôòýO€¡pgó + +endstream endobj 2719 0 obj<>stream +H‰b`dbfaecçàäâæáåã„!aQ1q I)iY9yA8PPTRVQeUS—ÐÐÔÒFHèèê雘2š™[X"$ôô¬¬¬mlíŒìML‘$ì ¬ttœ¬\\mÝÜâ‚ž ^¶úVVNºÞ>¾H¢"~þÊúVA:îüHâ‚Á!¡aá‘ÆFQÑ(â‚1±@)³¸ˆH¯ø‰Ä¤ä”˜Ô´t–ŒLK‰¬ìœÜ¼ü‚¢âT‰Ò²¬òŠÊªäØêT‰Úºú†Æ¦æ–Ö¶vqÁŽÎ®îžÞú¾þ Q$&MžÒ9µ»vÚô3-f\ ÀbvIë + +endstream endobj 2720 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?ÓÅúá˜àÚ{¬:o'ïV-Ï¿#c² Xu 6ƒØηԦÉh÷°n‘ÛÍ\Á¶ÌŒ¦4y;µï·Tå5ó²/~SÜZ@n“‚K¶ÃéôÛˆNEcuÄ»à’§Žÿ “ÿ?‚n‘ÿ(Sóü‹ÊRE/Òôÿ4Ï€I|Ð’JÿÙ + +endstream endobj 2721 0 obj<>stream +H‰Î SÒ`àŠÔA‚°ñ~ìÝçËË»)7¯3E3L/®ììôH¯/…´²ó*C¬~Cõž{(eŒl»è83¥’[©x®;ëWƒæÒõÕöæV¯;8œô?}=Ž®~ÿ¸ü¥ë¦iæ(Í3æØv©X,—g<Ïõ=·¶ÐXÜï¼>|Ûï}þøBŒ1!DSUÃ0,ËbÔÊzM–°©±{áÒƒæúÊrkgûÅñáÉÕ÷Ÿ‚ I’œÍ BŠ¢¨ +Ö1ÔUB5Bç¼ùÕæú“ööùÙ·ëáÍåÅhz:•N‹¢(É2@P…YÕ±+A}a¾.ß_;xõ®ß;åùX<~gj*‘H$S©´ f²2FÿLÅÒÕ\Ž:ÕÙ ¨…ãã““|,„ QLF‹…|9‰ŒÝâ8.ò|<#A5‚-üÿFɤ,! 4®æWëw;#ÂÎî^gw¿³³×¸"¨@ ÷Þ?{ú¼îƒ£­65 @&èo^vÃÆ¢¡±Ç›[›7×d /FZí¿ ߃êJ + +endstream endobj 2722 0 obj<>stream +H‰b`dbfaecçàäâæáååãççA!aQ1q I)iYY9~¨„¼‚¢’²Šªšº†¦–¶ŽŽ®žž>PÆÀÐÈØÄÔÌÜ\ÝÜÂÒÒÒÊZ[ÇÆÖÎÞÞÁÑÉÐYÞE\ÀÍÝÝÃÓË[OÏÇ×Ï? 0$ Ä!¡¡–aá‘QѾþ1`qˆ‹OHLJNI… ¥óô …̬Èls¸â(›—gœ_`ŽlX®°¨¸¤´L”——WTZºbˆ½\U]S‹¨ƒPÀà¨oh„«OƒI ðó75coiju…‘†d~[{+²z¨ùY{Q— + +endstream endobj 2723 0 obj<>stream +H‰ ÊyWÁðÛñJ©$!ç6c³ÍE˜™™5&RD÷}¼J¥Üt}…zï÷çÏ·4 å¥UùœB±¨²Zì0„NM̪UºÀ&mƒ1ÙÌ‚lfÉl„9V¸¿+µ[ýaï+Šê×Ì+Ë¥B­RjlÔƒÓ“2!&’„g^öÿ-,פA÷óòüVŒ§ãü¶(¤y.ávzA³Õ tZØ<.ŸVmØôRgÇWoÍö¨ÿÝïŒ[/L:ô‡]ä†fU¿(W˜+Ÿ;êµGãÁÏçð÷òìv'•ÝMïïeò[œˆÚÀ#Vœ +0§7£Áw÷cøT{ÍgRâîÉá…TyjH/ÕrãýµÛyÔ«Í~{Ü댲™BµÒx,Õ+¥Z¥X+¥ºÔ¼». +±äsý‹ÑÌæ#áCs4ÅÒA6Œ„¿/”Lì4­ƒýé-䎎uîÆíN %툱‰óIßESQÊÏ8p¹qÔ‰!¤D!Í0"kÓŸÕSÚ + +endstream endobj 2724 0 obj<>stream +H‰b`dbfaecfçàäâæáåã„!aQ1q fIa)inY9y¨„¢’²Šªšº†¦–¶¬ŒŽ®ž¾TBÁÐPÁÈX—ÓÄÔÌ\ÌÂÒ +&.h`mmchkgïàèäìâê&è.ˆ =< =½¼í}|чŸ¿¯g†8в@@C,@MȬJp£  “ ØÐ0Ä +  äC<<}}}Ãü ""#£¢­cbãâã“’S„RÓÒ3L83u³²­rróì]ÕrMóó¥ , +‹ŠJ¸XÙJ¥Ë¼Ëy+*µ«ª- +kjœ\¸Xëêµdµj,› IAè + +endstream endobj 2725 0 obj<>stream +H‰W¨ÿèåìæãêÜÙàÖÓÚ×ÔÛËÉϳ±·­«±®¬²çäëãàçàÞäáÞåÙ×ÝÈÅÌÄÁÈÄÂÈéæíßÜãäáèåâéÝÚáÐÍÔÃÀÇâßæÕÒÙÓÐ×Á¾Å®«² iFG¿ + +endstream endobj 2726 0 obj<>stream +H‰b`@ŒLÌÌ,¬lì¨â œ\ÜÜ<¼|ü è@PP“GHHCb¤Fal¢"¢Lbâ˜Âœœ’RÒ2¨û- + +endstream endobj 2727 0 obj<>stream +H‰Ák{`ÐÿÿI×7©Bi­ELˆ'ånšZ¹«\fû ;ç?“ËÃÿÚº+1]·õ e‰ÎdïÉïåxu¬Ù 7ª +r‘«° GàÔ><·†$*/Á)À5úñÜ/ŠNc‰ÂD|¾ï‚ÓØžçØ"N£a´M.ËcH$ÛË|ò)K*À(8o/Vêb¹n[S€eŒ3 h–É— +ÂqµšŽ’$Á¼ÐœTUzatº-g®\SKœ Ôµ·×ÆÄYžö±Ùµ˜LNUšƒ¾=4Í:x\ÿ¾½Ù³ýÑzåmÜ@×:Éjjkê,Cÿp>$·ä9¯*|L!_¶†ãuá̤ + +endstream endobj 2728 0 obj<>stream +H‰b`@ŒLÌ,¬lìhÂœ\,Ü<¼|üèâ‚BÂ"¢¢bhââ’RÒ2bhâ²rò +ŠJ2ÊhâŒL*ªjJ2êb(šZÚ:jj22bººÈâz<úÒÒ`qQdq-izT{9 Œ¤Áâb2Èâ²ÆF2jjjF&&¦ffHN7·°”*¶²¶¶±µE˜bgï`)#`eåèäì‚lº«›™šŒ;Ÿ‡§—·BÜ—OFM@ßÏ?@–QI}`P°GH¨^X8JDDFEÅĆÙÙAÈ%â + +endstream endobj 2729 0 obj<>stream +H‰ Íy_!ÆñÛ~¬mm:QŽDäÇ 3Ìé(”ÉjÜŒûÆ]©m×°þÿ=ßçãíÏûëïÅô-Måa;j6ÚŒ€å6ÎåÊΰÝÔë½jµS.·ŠÅz.WÉdJÉd¢ò«—ÏÉh‘xLÙ,°É`µ˜í¨“ŒFÏÏ“^oÜn›Í~­Ö­TZ4Ý(ªÙl9“¡­þ.g«|¶äqù¼‹ÒÅz¿?™L^f9̓Y·;jµFïóýßx8÷ºý.ò¦ßO§¯³ÙÛ|¾—ÃáŒÎHÜ«Ó³ÙÃÌf1L™ÁÌE\뵦d2OÓõZ­³X¼/—¯‹f­‹!.@g&‰ëx<•NK¥F½Þíõ˜j©Ib^ƒ4,&£ÕéÀ}¾`0D~>>R•ÍdŠ…B¥\^÷V«?e–ñÊ€z½mN'Žã·Ûwssw{…b±X"‘H§Rù\®DÓµNó9t÷py©³Ù`Æ„$¯Çã÷û×/‘H$þô”I§ Åb-Ÿ¯$Yõ(ÎU +…J«5h2Ù,‚!pܯ}*|ƒlˆ\~!“)..4^§3 ^AŠ¢$Iz0y¬ d1_IÏÎE¢ã“©Tz.—+•JµZ­Õh½Þd2Y­V»ÝîÄ07Žº0~v&çó…B±D²ÈU*-˜­VÈáÀaЛþ{‰ø”ÃÙÚÙÙÛßçñx‚Ãu.”ˆÅ'jµ†Q•RÃåî°XìÍÍïέ-îööîÞÞÇŽÄâc™L‰b®Ð}LÀ²Ùß66¾°X_Ùl6—»½»»6øüµy$INOeÿþpñ + +endstream endobj 2730 0 obj<>stream +H‰b``dbfaeccceaçàäâæáåãb`ŠKHJñJËÈÊÉ+(*)«0¨ª©k€„Ù4µ´utõô t ŒyxLLÍØÀÀ\ÅÂÒÊÊÚÊÒÆVÚP–ÁÄÎÞ,®áh褧kee©kãì¢Ã`âêæQïáé%gèâ¬kåícm¥êëç +V + å +³u¶ô¶¶2 ˆ +GEÇÄÆÅ'$&%§¤¦…¹Ø¦gˆƒ•gfeçäæå—”–yy•W°‚”³EVfUU×ÔÖÕ74&45·´¶µƒÏÐÑÙÕÝÓÛ×?¨iâ¤øÉS âîS§MŸ1sÖìª9sçÕÕÇÍ_6má¢ÅK–.[¾båªÕkÖ®ËÉ]¿"¾ÑÓæ-[—n›ºb{׎5;wíÚ½âÙ½ûö8xèð‘£ ]Çv_v [Ô‰“§NŸ9{îü…‹[.-½|å*ÄV¶׮߸yëô™ÛwîÞ»ÿàá„IQÒ„- + +endstream endobj 2731 0 obj<>stream +H‰ÁÛn‚0ÐÏ7ñQmT4nBÁÚ¸ÖJ‡łΨdc/ÛP˜Ný…cY#ÆB^u½ë‹Y–^òãŸm ÎÇ”åi4ô~O×Éd)Ĭ×P#Ø ‚È÷ÑB¡hè(KÏa¸vÝ7Mƒ¥ ÄÜn(µ$©E ‹>V÷kÕ†;ôöÉw%â`:Ž×Q YîÄŸÉ%¿#„ÛmÝu¼;g·fóQQ41žv)|~ ßãô+ Îú¼\©2“7¤›»SžÄûÍêð/ÀSi–9 + +endstream endobj 2732 0 obj<>stream +H‰b`dbfaeÃìœ\ܬ<â¼|ü‚XÄ…„EDÅÄ1Å%$¥¤e°¨—•“WPÄ´–MVIYE‹¸šº¼a6M-m],âzú†FXÄMLÍ0]ÃÆ&ona‰MÜÊ‹ãÀÆ– «¸=võŽØDÙØœ°„=!† + +endstream endobj 2733 0 obj<>stream +H‰ ÉYr„ ÑûlÜ@”ET˜d’Ü V½¯îœ[)ïR¾Îóñ}]Ï}jý©õ·µÇ_J5Æë8nïKgJ-çwÉ͹Âã­u4æ°69WÒQ÷ÝIé• +ÞŸÞ%ƒÖèÈ™fLm›æÜa´>Rn;7dá„ì”J)ƒRÑÚ|¤ºÎt]9ÆÏB8c’³™â2Ï!¶,›#††ð‰!Š±PÒs¦Æq™¦€B,¸™§µë`ßÃa˜ÇMÓ +&DRª• $¯×Ôu ïó0 Œw‚‚ä_€*¸ô + +endstream endobj 2734 0 obj<>stream +H‰TÎéZ‚@†áADÄ‚@Â(RÌJˤ²’¢…$Û¬Îÿ,š²š¿÷õ¼ß‚(d±H•J4M3 S.³W¹þ Ër|µ‚Xt•# ž¯V32%)ŠZ’¡ (ü2&Q’„G±UÓj²Ž2>Ë~6‰£¾š™ Må®­[HrÒMa`£Ñ´[›Q×Î3ëÖöN§‹¨eÆÕz:4ñ7÷}çà°ÓÅhásíÁ !<>9º®ç8™!ü>¨ŽÆ~p6q=Ï s²Ð&êÎ/ú~tyuüf¸3ê7c?¾½»ˆ’é4N…aš>Úöì)ŽŸ_^ßæó(Ip‡7ßÓæìã3Ž¿Ž–/. + +endstream endobj 2735 0 obj<>stream +H‰ÉÙR‚PÐÿª\ Z3q,ÆÈÙá^„Ë"ŠŠ¶þAõvfÎéôs̾³Ýg¶û8¤ïq°g2Õ¸+ðã}÷ŸÈ‹ÇÃg¢Fó•8Lãp›&ÙnsÜoO.@-ºSÈa¶é:¦¡Í:LM4ˆ›‹³bþ¢lÐÔ }7Ü®I´“jHº¶4TÙÐXZÅI´ß§ïýÇ!ŽùóR>‡ªmépå À[‡~2}õ› +FTñ+miÚ:tm?Ýd£á+Õj5²N\›ªci`ZE¿Læ·S.VÉ«[†î0 kp1“[Í^&Z Ûír,ÛçGB¥|I\RÜGÂ`0á‡ÏÕJ½XÀ)’æù×éTQ|•¸§Qû¾'ŠÊl&Ë õ©7 ›ívo>_ªª£iŽ¢X’¨Ü3,E69Ž—$€Àu#CЛ¨ÐwíñX0 ˆÐÆ÷ß_ÿ +0ßu*Œ + +endstream endobj 2736 0 obj<>stream +H‰b`dbfA̬lìœ\ÌÜ<¼|ü‚BÂÂÂ"¨¢bâ’RÒÒÒB"(R2²rò +ŠJÊÊ*¬ªªÂq5u> yM-mm]==}ˆ6C#cSM Ð6533·°°°iãf±²æ·±µIhÚÙÙÙ;88˜«‚ëèä,î⪭ vnnnîžž^’Þ>¾~þp m .;ÅÀ@É à£Ð°pMd  Tf©‹&qñ ‰IÉ)šè 5ÍÕ5=9#MX;+;'76/¿ ]¢¨¸¤´¬¼¢² +]¢ºÆ¯¶®¾¾¡ À[!A5 + +endstream endobj 2737 0 obj<>stream +H‰ ÇW²ƒ Àû$E“ØE¤IÓÞu^fög)UŒ)εF+¥Û÷¯TÐ:“¬=œ{zÿ +áÂ'ÆoJGú¦_íáMÚ6IéΘVÊ[›œ;büÄðŽî¹®cAˆ4&zwÌ3]†1'Dpn¬I„¶e¡ëÊ´Τq\¦iµ:Ã<Žë4añ¶í]7õ=0Â1Ê7Íж£U¡®A×A‚¹¦(ڲ쪪ï{D°x<šÛ­ºßkÐ#§c–y^6ÍH‰¼\î×ëc†D2}>ßò¼jj@±8ò,+Uÿ »¼Ö, + +endstream endobj 2738 0 obj<>stream +H‰LÌëV‚P†aI ++·Ú5B#++, +¤DK-´£Ýÿe4³‡ZÍÏ÷Yߤ„…tfqIÌæò’TX^Y-®­o”+W„*Š¸ ²µ ²³»]®ÕøH„Q#/öœ¢Èj³Œ³V†ì»v¤´U.U’“Sì3 èw„tŽ™]tôî%ŽPêW)¡EÝ0¯-[×»Ú XkVnyw\ gø°­ +=Þ=ä…F÷ôǬoáÈް¡ç9®k˜}˶#zÆÃÇÿ72Ÿ¢õñäŸ<öKÒã阈?tf¯”Ù[O‘Þ¹}|&ïÙÊ|Ž+ï»”ôÑ BO + +endstream endobj 2739 0 obj<>stream +H‰.ÑýÆ•+È“#Ì–$Ó›%Ø &Ú¡&Þ¤'çª(é«(ê¬(ë­(ë­)ì®)è«'Òš Ó¥Gؾ‰Ÿ•ˆwu~xv~ÀŽ%ÁŽ!Æ’#Ϙ%Ò›%Óœ%Ôœ%Ù¡&å¨'è«(ç©'Ë• Ê£SÒÃ¥—”wu}xv}™p¨|º‰ ¼‹ ¾Œ È”#Ú &Ý¢&ä¨'æ©(æ©'ä¨*Õ¥>¦k©¤ Š‰yw~tTsTˆd¡v£w¦z»Š Ë–$Ñ›%Û¡&Þ£&Þ¥&â­5éÂlÄ®…~€pR€]’k“l’l–n«~¸ˆÄ"К%מ&Ù &Ý©*åºBíψĵ›~{€vt|rStUuUxWˆd’k•mµ…Í—$Ì—$͘%Û±6ìÕbîçÀÃÂÆ€~…wu|zY~\€]iœs›ršq¡v½‹!ЮSÜÑ›ÜÚ˸¸¼}„vVwV„a‚`g´„Ê«aÓεÑÑÌ°°³~|ƒrT}ZĨlÓÑ̳´·“’˜{y€yx~zX™oÁ¦oÖÔѤ¤©ƒ‡zxqRzZœ{4ŲŠØ×ט—žvt{rSpQ ~` ­—iÐÅ°ÖÕÕ—–sSqR c#± |ÏȺÎÌΕ“™sR „g,·¯ÇÇÇ·¶¹‹‘{]“{GÁ»¯»¼À——›‚€‡rRsT€d&œ‡ZÆÀ¶µ´¹‡†‹|z \3 + +endstream endobj 2740 0 obj<>stream +H‰b`ddbfaeeecçàäâæâæáåã—”’”–a—åâæææ‘“WPTRVQUUUS×ÐÐÔbÖfÕÑÕÓÓÓ70426Q1533·°´²´¶Ñb´µ³wppptrvq65usss÷ðôòöñõõó + 75ˆ07‹ŒŠŒŽ‰‹O`JdJJNIMKÏI€yfVvNn^~AaQqIiB""ª¼"«²²ªº¦¶®¾¡(Ñlj“fQQfÍ-­mí*¦MMMæ‘‘æ]Ý=½}ýLÁF5Ae€`â¤ÉS¦N›njŠ°fDDÌœ5{ÎÜy`‰ùó‘$,\´xÉR°DDbزå+V®Z­7 +h3Hߌ¦5k×­ß°ÑÔf96E˜GlÚ¼eë¶í;v‚% y‚— + +endstream endobj 2741 0 obj<>stream +H‰,[sáF©¶Ä="Ë eP qI5u d5•R,– + um·ö¡:-f:ÝÿQÙóúùÎrög1} '7•úežéõ†«Õúýýc³ùÜn?ç“G¾w_akL‘åùñëëîííc·û÷8žŒæU®Q*”YöfÀæóçåòïËËf½ÞŽï§—¹b:•»ºâšÍN·;è÷G‚0›NŸ~L¥òäyšaXŽ«×ëíVë®Û‚0íuøN$“T6KÓôO†©\_×jµ_ÍÛv<š8 âA^\dÒé|.W,Êå2DzÕßí~Š¤ì¶c» C¡P4!âq’$ÓE7nÛa,j4Â{\.ÏɉÏç X4ºwO‹U£ÞÁC1Œ ¨Ãét»Ý^¯×ï÷c†sl-¼ŸLˆN!ˆÙl¶Z­v‡ÃåñøfãE«Ù¥ó l„AÔë 0Œ ˆÅb±Úl(Š:GßJDŒÄ‚8é¥R™R©R«AFAƒ6™~ä2t,’Ðj!‰D"“É +¥J¥A-…ÁC6CGñ³Ó`XjŽÄb±DÈå +•JU­Ô“$Æbvë1b2 ‰¿% HïºÃ]>?KE„Çås:Ü +¹òààÿÇ—ñm(³ + +endstream endobj 2742 0 obj<>stream +H‰b`@ŒLÌ,¬lìœ( \Ü<¼|lüœ‚(ÂBÂ"¢bâ’lRÒ¨â2²rò +ŠJÊ*¨âªjêšZÚ:ºz¨Æë«›˜ši¡onaiemckgï€"îèäìâêæîáiã…"îíãëçä‚,áç†ìY™ø„Ĥä”ÔÈ´èt$S22³|²sróò +‹ÒÄ‹KJËÊ+*«ªkjëò‘Ä™êÊ›š[ZÛÛk‘Ìïèìêîémê믘Ð2¡¼cÒä†)S§ùNŸ1sÖôÙñ9sç5Ì_°ÐyÑâ¾%}K „]@ž + +endstream endobj 2743 0 obj<>stream +yw~yx~zw~zwyw + +endstream endobj 2744 0 obj<>stream +H‰b`F¬€ »0†jF\Jq˜KÊŒÌÇáJ\€…óIø4°àáa + +endstream endobj 2745 0 obj<>stream +H‰ Ði{ÙÀñªnC³² êiŸ½˜Æ¦OÄ1T“A)#‰û¨ºetzQZjºã+ÌëÿïÕßfq"V—éèX©ø|>ÇÛÙá ¡P(‰Åb‰LöN.W(Jx¯R©4íáá'‹ÅîÇIÈøU&“ „‰D*•ÊvwµúƒÁp`6Ÿx=è±6ì€Üׂzµú#ì‰D"ÔáÁ2+ìp nøÄ +A_ Èd2™ŸMª=µD,UÈ•z½¡Vi,fK§ÝƒùÂ"ü$ ƒDG‰##j@ÔjA 2wZÜj±q»|é$ËuG‹Ùêe¾^Î×ÓûE&ÅúNQÔ‡¡>ÜÑHüfx·zÞØmßm°ÓòÍ~vêï4¹ùt¹^þþµúóúòv3¼í¶¸^çÇ ?vØ=Èv‘Å…£ÁÑ`2{x~z|ž?.†1”@Ï^ærxÏCñûÉìmý/¥"‘D8|A’Q zÝh"–Ú¼þ¥éB:K¥²EÇ¢ˆøñ†Ï#‰B®2Þ.žVÅb=Ÿ¯e³†)f2yŠbâñÌE<](ÔÚM®yÝ­V[åòu±x•ÏW³Ù2E±Wµ6CÚíA£Ñ¯×»µZ»Ri–Ju–-år•ËKA\©$3Þ ?9nÜí›Í~¹Ü É Û’Tf2™ŽÇ£ÑLz½måêõ‚8éLî¿þ}ca + +endstream endobj 2746 0 obj<>stream +H‰b`F&fV6vN.n^>~A!aˆ„ˆ(+PBŒƒS\‚›WRJZF*!'¯ ¨¤,)©Â'ήÊÉ£¦®Ášš ZÚ:ºzú\\†FÆ&¦0 FF3s K+k[;{yG'g¸W7wO/o_?ÿ€À `MÍ°DhXxDdTTtŒSll\|DtBbDGhrJt$¸§¦¦¦¥Efdfeçäšiiåæå€$¢À 2²°¨¸¤Ô%77·¬<¿" TVU×ÔÖÕ—6465· K´¶µwtVwu÷ôöõ7G#IL˜8iò ÔÔIÓ¦;EG!$fÌœ5{ÎÜÞöyó,\„$±xÉÒe3–Ïš½¢cåªÕÈkÖ®[¿tÃŒ›:…7oA–0·žt + +endstream endobj 2747 0 obj<>stream +H‰;ÄþrTrSqQ |a'™‹j½¸­¼»½„‚‰wu|yw~qQ }c,œ“~½»¶¹¸º„‚ˆrSsTuU†n9§£²³¸¥¤¨€~…xv}~]•|G²®¦žž¤…ƒ‰zxb"—ƒWµ²«ššŸ}{‚zw~ywsT}kE•…·¶µ™™sS}pQ••”µµ¶˜—›xw}yx~rRrT‚tV˜˜˜««¬’‘•tTxYŠ{]›šœˆ‡ŒuV_€a——˜Ž’‚€†vX‚g-“‡o––—€…rRtY{hB‰z–•—€~„zx~rR qZ%plcˆ‰‹“’•~|‚rS rZ&rng……Š}{qRr[)uri~~‚€†zy€gQ dYAvsp|{€aO)]YNuus{z iÍ‘“ + +endstream endobj 2748 0 obj<>stream +H‰b`„ fbfaecçàˆ˜àâæáå㇈CT €A!aQ1q ˆ8L’”’–‘• Ë 0"€¼‚¢’² +HX•.È  ¦®¡©Q6_j‡šŽ®ž>§§!r +Â-626153çD¨‡ K+Sk˜¸¶Ì[;{G' !Šz#gW7wˆµªHâž^Þ>¾~èæ ø‡H@”«p‚| öohXxDd”B=ЉÚ@™è˜Ø¸øNts“’S¢ä0ÅSÓÒ3Âœ6’„ + +endstream endobj 2749 0 obj<>stream +ØÖÜêçîèåìÖÔÚÞÛâéæí + +endstream endobj 2750 0 obj<>stream +H‰b`d˜qI°°âTvc# + +endstream endobj 2751 0 obj<>stream +yw~zw~yx~zwyw + +endstream endobj 2752 0 obj<>stream +H‰|ŽA0IýÿÍ=EÒ\ª±‚™”s­úÎ'æ=„GSbÜ£öRx*òÇÄwˆ­×7(Äö“Å`,+8 + +endstream endobj 2753 0 obj<>stream +H‰  WÒà+ ™I"O!ž<°PExÃØpŠÃœˆqÈ} ‡ŒÁNã«¿Pßû8NbÙñhô2‰4ÍSK’ôãc ó@0—)ðìøuög.¿ñü„ã& ó2 +•JËápA`ˆh?Kâl1ýͲÏË‚ðÿ„¦…|¾l2}¿‡gò’ ú½Þ¢8†9n,ŠÓdòÎh4#0*K‹Z­Ój‘Ýî ßghšk6Ÿ.ãØɉ3y};•^Óé\6[,*år³^ï´Û=’Üßg`8RÌ—™¡€ãéô¯\®T,V+•f£A$? &»‚DPô2Ç0 Çñt*õóæ&AÏ™¿÷4XÎÿz<€ß Ã(ŠÆc±D$r‚!$„ž§óÌíöy½@  "áp4ÃînØ¡xp`³ZíÇÇ—˛˖H‚ÚÛÛ·XŽì§§ß|¾óh4Q*ÖF4/:Á`0îîš,«Óé_ÄëÕÎl²Ôj·wvôz½ÁlþŠÀ‘zµ=Ÿ¼­¯ÜØPonj¶¶¶uºÏû–ÃP(¼ºªT©Þ¯­}P«?i4Úl¦ °ÒÊŠB¡x§Tªl6{³Aü` î> + +endstream endobj 2754 0 obj<>stream +H‰b`dbfaeccçàäâæá…>>~AVV!aQ1q„¸€„¤”4ƒŒ¬œ¼’r^E%eUi56u M-$å¼Ú:ºzú †FÆ&Èâ¦fæ–VÖ6¶vö¼È@ÝÁÑÉÙÅÕÍÝCEÜÓËÛÇ×Ï? 0(E<$4,<"2*:&–E<.>!1)9Å;5 U<=#S8+Ñ;;G Õüܼôü‚¢â’RaÞ²ò +¹ÊªêšZTå¼uõ åFMÍ-hâ­mí]ÝÍèê{zûú'äMœÄ‹&O™Ú:aÚôtq€Rš>• + +endstream endobj 2755 0 obj<>stream +èåìçäëãâéãàçæäëæãê + +endstream endobj 2756 0 obj<>stream +H‰b`ÀŒLÌÌÌXÄXXYY±JŒ*€-k + +endstream endobj 2757 0 obj<>stream +H‰  à ÀE &íƒÛCç´µ¶ˆ«³gï×ýsÎw ™SײÞWï"²UÝ츿÷=÷~Zµv曨•R™"Õœ©(„H)aÎ%Fˆ1§”0„t]ÿBü 0Œ!CR + +endstream endobj 2758 0 obj<>stream +H‰lÎÛ‚ EQÁ+`j¦BBä5³ÿÿÀ˜iŽÎëkƒƒÂ»pžçù¾A†QDŠ³ò…À@|"`ÔXâºiêeÏažÇp„J)c,I,fþ¥È-m²feQ /UU•p;µ‚òÊÒ±ÃXίÿ¬Bò-ÛL©º¾™ì¸©õ]5 tGk[­»O&÷ÖãØ>´VªùÝœžó ØvÝ2*¥ùçkꇅŒ­£o™ªR + +endstream endobj 2759 0 obj<>stream +H‰Y¦þÑÏÖÀ½Ä¾»Â¿¼Ãº·¾Ÿœ£”Ž•‘–‹‰|zwu|xv}yw~ÝÛâÕÒÙÔÑØÏÌÓ­«²š˜Ÿ›™ œš¡“‘˜}„zwzw~éæíêçîëèïçäëÎËÒ¿½ÄÀ¾ÅÁ¿Æ¶´»œ™ ”’™•“š‰‡ŽzxèåìçãêæãêÝÚáÆÃÊÁ¾Å£ §}|ƒ}{‚{y€zx~äáèÓÐ×ÍÊѵ³º—•œ–”›•’™†„‹~|ƒ}z€|x|åâéÜÙàÒÏÖ‡…Œ‰‡„€‚€ywâßæÉÆ͹·¾º¸¿»¹Â©ž‘–‚^ÖÔÛØÖß¾¯™£ˆOëéóÕ¡¾˜JêéôÛǥˣPèåíèäëßÖÑ׹רGéæïæãçɳ•8Õ /çâçÉ°Á(Ôž)çãçÕ·~Ë–$Ñ›%áÜßÎöģbÁŽ Ä"éæîÚÓѹ¨Ž²‘K¶‡º‰  0€éð + +endstream endobj 2760 0 obj<>stream +H‰b`dbfaecçàäâæááá…>~~A!aQ1q¨¨˜””’––‘•“WPTRVQUUS‡ëÒM--Ymf]F=q.}Cu 0KµI›˜ÊÉ™™«ZXZX[ÛØjÀ€¬±±±½‰ƒ€œž£š“³‹ +56¶sus÷ðôòF“ÐÒÒ²³÷ññõó×À@ƒ°HApH(6á°ðˆÈ(lÑ1±qñX%“’±J¤¤¦¥csUFfVv‰Ü¼ü‚Â"€Ó²B} + +endstream endobj 2761 0 obj<>stream +H‰Á‰@Ðÿÿ 4i0ÇŒJ’4›NQJ:¶mwÛþÁ{-ý6¤§u‡ %¨-2 Œcdèš*iàGº|ç sLê6 ’£t–EÕseVÃ^Î7šj$¯¬†Í;Î'£©ez¸b î1dqôQdMàw7dtÈÓJUtépJ“âz1oÀµ-½Ú‘ª5Ãs³ýVäÆÂ_€_yÑ + +endstream endobj 2762 0 obj<>stream +H‰b` '`Ä*ÊÄŒUœ…•C”“‹›‡—]˜_@PHX]˜ƒOTL\B]˜IJHZFV]±¼‚¢’²œ +ºbU^5u Ù4Õ´0ÜÁɦ­£¨‹¡˜MO߀C˜CUÓ" `˜D‘ + +endstream endobj 2763 0 obj<>stream +H‰À?ÿèåìêèðÙÒΟŠb”r,¡w¥zêèñÖÏÊ”}O‰f˜oœrëèñÕÍÈtCaiŒgÙÓÑ¥‘m™€Q“x@…g%êçïÝÖÖ³£ˆ©•ržˆ[r9èæíåáæÙÒÏȾ¯µ¦‹èåëæãèçãêÙÓÐǽ¯èåíéæíåàäÞØØåâéáßåÖÔÚêæîÖÓÙ¼º¿êçîÎËѨ¦ªëèðÁ¿ÄŠ‰ŒëçïÉÆË›žßÜãÓÐÖçäêãáèåáè ‡ ˆ© + +endstream endobj 2764 0 obj<>stream +H‰tÍÙBPFá}Pi–M†4 N4OâýßÊ}û·.¿›EôŸPT­ÑdL-½Ýéö¸÷CcdrãÉtfqŸ/–«µÍÝq=}ãs§ín€ScäD‰„Éô4;C¿\oÐï'ô×ûƒ¿ß:ýŠ/+ãg¦ + +endstream endobj 2765 0 obj<>stream +èåìæãêçäëãàçäâèåãéÚØÞÜÚàäáèéæíÔÑØÂÀÆÀ¾ÄÝÛááÞåÌÊзµ»´²¸ + +endstream endobj 2766 0 obj<>stream +H‰b`$F&ìâÌ,X…YÙØ9°èàäàâæáåÄ”àãdŠ¼ + +endstream endobj 2767 0 obj<>stream +H‰Ár‚@Àÿ!“™u‚E`E@:Í£÷¦Ñ|!»]ý[ýÍ )r7EæG†‡nTf­ezst5úD Õ*Ò&r]8¶Y.ˆ$,Ý_[=Ò¨tT.’Éè›gdº˜='p²kùÌŽ ¦¼ÛàFS‡áÇ—xV²¸’/úzE¡L“¯UÞyv@â4ÇŠ!L¯º3N€áª’Ià?yRßÛ—*›'VDÍ÷bIÐöÛ£¡YЋÐ)öþ6y¥.û&ìἘáäšv,Xæ­kûb;û^þ 09î´ + +endstream endobj 2768 0 obj<>stream +H‰b`@ŒLÌ,¬l €ƒ“‹›C˜‘—_@P]XXDTL\‚GC\JZFVŽSœM^A\ÓVF%eUILåjêšZ˜ÊµutYôô1„%Ù X ´1•ë ˜˜ša:^ÎÜÂ’ÓV+ka cíXíÕ±¸†ÃÄÁÃt'gW7w Ó<<½¼õœ‘Ä ÀåÞ + +endstream endobj 2769 0 obj<>stream +H‰~ÿéæíßÜã¼¹À—•œ|zxv}yw~êçîÜÙ଩°‹‰{y€ëçîØÕܘ–zxëèï×ÔÛ’—vt{zw~yx~éçîÔÒÙ‘–ÎÌÓª¨¯…ƒŠwu|À¾Å•”›}„zx~µ²¹„‚‰²¯¶€~…¤¢©{z{xŠˆywyx åQÔ + +endstream endobj 2770 0 obj<>stream +H‰b`dbfaeÃìœ\ÜXÄÙxxùXø±I + ƒh6Q0ÉÆ¥`@L\»„¤”´ ˆ$"‚,!+'Ï +2S.¢!•¸‚"HrÊ*.Š1 •ªj¬lh$Ô±…h`õ6° ðj"¹“ …†– ÀpÑ + +endstream endobj 2771 0 obj<>stream +H‰Á×NÂPпºwïí¸вÊ„Á0¥m "&¾h4ÑQ52Ç/xŽm¦lÓµ ÇDŽ¡'f몭)¦ + P֤ɢ* ŠÀA<+³´ÈPMò$Î8‹c gL”ÔUKHäž#QO`¬e¸HK¨Ð².‹šÈC†)‚#q‹ÑšbA€$A¥IÀÛp9F6ô$”‘ é,-YÈÉçÊÇ…j1_)x•L*/òÀMf;­Á0˜„þ8D­³^í¤Q­Ô«åz¹Tk6Úw7ËÅëóãz¹Xß^ߟ֚^¦äeK¹L1›.¤oÍ&£‹h8M¯žæ«÷õömµYÌ_¦ãËЂÁ(8ùýáîã°ß|wÛ>£°8ýµûýÜþöýnØëñ#ê_€.-Åœ + +endstream endobj 2772 0 obj<>stream +H‰”Î×RÂP`RI# ˜b0¢„Š¬`»XQ,Q, êû?€çd˜ÑñÎÿjç›7 I 'HŠN1,Ç iQ’3Ù% *†k$¥Ÿåœ(ÍeLEAæU5Oh ´¾X`-!+J¶cºn¼Nx]b +eàb±b;Õ©k¥—¶l ¾b›À—~Õs¼áó¦\­a„GRõÃq‚ì(ÀQµ–×õePÎY†¿"ɶ YMbèÚúF³Ù¢¸MØoW»àO|k{§Ýiïb–õã{û‡GÇ'ÝîéÙùÅåU¯u݈ý¦ß¿½»‚àñi0è<¿¼öÀîLg:³£w׃DäŽãÉäÃýðO¢èÓüú‡ÃØ¿ãžO + +endstream endobj 2773 0 obj<>stream +yw~yx~ywzw~zx~zw + +endstream endobj 2774 0 obj<>stream +H‰ŒI k«ÿÿ²Æ% +j[€fiFÄî9ž‰‚2¯e}„x¸²YÈnN7Z2ômÚHA,bü±Õ2J/Yƒ§î  +0,P + +endstream endobj 2775 0 obj<>stream +H‰b`ƒ0‘ + +endstream endobj 2776 0 obj<>stream +H‰ Ì{Oð¯P©9[mmµõXõêßÖšs«Õ|mÊtåæ–ÙÊ!(!Üp`"oå¸;à^p‡‡<Ä;ÈåZ6[N'¾Ò¶>B~€ßïøàìì䟴öÕíZmN§ ±BŽ'ÌÈŪ¢QV6Ê'‡×J2lG>; ÇÌðþî‘\ÙÀÐ "0àšö +|âžØßPUloíÄ1 +²#V3[\#~ãýü@³ÔYß;ÞÜø] d4X@³ãm`öVAÕPUnÿÜ…ââBÐh˜›ú4˜ÀwAã킪YêjYï +ø"f ›ÒC€k&¿`&ž²ïe†®Tº.ÔÚ/U¥Õu'ì™Öͪ'µÓ:ƒt[CžAb¶Ð=£>Þ(÷6É—¥Ž&YyT?=Üÿ“_)A ¢ÓÔj­Aoú2ï÷£¨óYÑ-îP±z§igÔ/Éñ‡üÐÕõž©»AV®B4É4çó…c8Ä1 ÍîIÚ>DæžÓ£7×T×+½×Ö{šäŽ½#aÑëMf³Õë `IQ2É%â,FP!œðÅPïò’'ìã Ýìt+?ö ôún©ÿN±ÿ¸~ºõýÑóŸÙlñx¼4M§WXV`Yža²4!Ét2™J$Y"N¡±D8ŠÃQ=¼4mÂD[f¬53ºû»^“7‚N»–²Ù<Ç­p\Žaxê|H¤”–<8̃©¹7´i€6Ö¤o˧R‚ß0ˆÐt:—+ +B1›]ÍdD’äˆ$³OF"€ÆühtU,‡C¨Ãá¶Ù d’-¤bQÎç+¢¸–Ë•¡Àóç0ŸN‹ñ8'èEoÈ霷ZAIÚ¬T6Êåš(–y¾JåH*ý_€rÌ™‡ + +endstream endobj 2777 0 obj<>stream +H‰b`F&fV6vNàâ qnf^>~˜€„…„EDÅÄ%€Š‘%$¥¤edåä`b\P E%eU5u M˜0T‚[K[GWOßÀÐȘ ÅnAS=3s K+k[;„„½ƒ£“³‹«›»‡§—‚‚·Ä_?ÿ€À àаðˆÈ¨è˜Ø¸x΄„_Ä$çä”Ô´ôЌ̬ìœÜ¼ü‚B î"¦â’Ò²òŠÊªêšÚºú†ˆÆ¦æ–Ö¶¶öŽÎ®’îžÞ¾þ 'Mž2uÚô3gÍž3wÞü C-^Ò·tÙò +z+W®Z¸zÍÚuë7lÜ´yËÖmÛwìܵ{÷ž¾åý@¹½Söí?pðÐá#KŽ;~âä‰S§Ïœ=wþ²-@+«.^Ò¾|åê¢å×®ßJÝ>stream +H‰Á SÁà?]m3õ˜5–ÉÚá&Ô"Ø\qÔ2+ç¦X1ó=®ŸÐ÷}.¿Í™e gëÕÏl¼üèôÁô¥¡Z‹/­§+•Úh0]™›ÉÈl5»r¹*Ý+†>Ÿ‹ñÈœŽ—–¹©*œXx”žÒ¼Ø¬«­æk·Ý7§Ö|²’¥ç/”ŠeסÇKÒ¤û„ ŹÖnt_êj¥\Çùt:îìÛö-u`±H<™¸I\¦¤R¥ÛÑ´·ár¾h†ôðÄqÉp8ïQǧ Ž¿/ÊÅÂãböù½þÛX¿ÍZ§T”/.®ü~ܶ¸7ˆ˜pœãëÕÖkGSÛý±>jÆ­X²ùh”s¹ØÝGqásQôI0u-ä²y!s'fîÔVァ§’™äÕ Žb» ÅÜ8FŠÙü³R?cσ–¢ü(z`Näð<šÈ 6¡(ÚéܳŽ#yJ& ‚8qÌã÷1”—†am>/MÇù/À5’ + +endstream endobj 2779 0 obj<>stream +H‰b`À™° +3³°bgcçÀ*ÁÉÅÍè¼|ü‚BÂ"¢hâbâ’RÒR2¼²rrÈšä•”•UTÕÔ54¸™j­¦–¶Ž®®ž¾¡‘‘±‰‰Œ)HÆÌÜÂÒÊÚÚZÇFÅVYÙÎÞÞAÌÑÉÙÙ™ÅÅÕÍÝ€š<<½¼¼}|ýüýƒ‚CBÁâa:ÖÖá‘QÑ1±qñ ‰IÉ)`ñTkHKÏPÊÈÌÊÎÉͳ†ü}}ý¢⒔R¸Z(+¯¨Ì«ª†óaò©5µuõ ¡Öè U©±©¡¹ ÀfÁ? + +endstream endobj 2780 0 obj<>stream +H‰ Ëçvéà÷?=‡éEíQQ«ÊEÈÈ”DdHEç3Üûýÿ8Ff…l6ßíöEQ×uGQ²lò¼Êq +ÃHµ#~»å0ŒAQ +A¨Í†„áíj…/—ØbJ¢¾Z¢¹8L‡“ªZ’d‚Ʋ2M‹8þÑë5Ã8Cïæ×™L®Ù|¡éã\"Ëò5í¨(¦$颸ïC£R©Z*U(JHÓï$ù +ÃËåæy×ÝNc±Ñè‚`ɶƒÛíç~ÿMÓŸ$ù‚Äu¯¶}>‡aÃ0Š XŠâYVE5E1 à ‚ørI …" __'Bд Iû|þ©Õzi·¡n÷‚FïïËåY¯q§I’{~®W«­z½Ón÷z½áx<›ÍV X.+år­RiÖëíNB’ç• œŒ + +endstream endobj 2781 0 obj<>stream + +   !"#$%&'()*+*,-. + /01"234567689:*,;-.<=>?  / @ABCDE7FG89H*,-.=>I JKL1M7DNOPQ76GR:ST;U<V>WXYHZ7DNPDE7[\8R:ST;]<^_`JaMbcdZFENNPEE[\89e*,;fAg=^hijkld6[mQDPEE[\GR:no!pIVqrUMbc8\[EQDDPQm\Gst$!u)vp>whij*x8\y7PzNQm_{|}~!g€I^rUjScdZyEEQQL‚ƒ„…|†}‡ˆ‰Š‹ŒhŽakHy7‘’“{ƒ„…|†”‡ˆ•Š‹–=hiakHd—o˜™š›“{ƒœ…ž”Ÿ )€I>^rUjL¡W¢£˜¤š¥t{¦„§|†}‡uCYp>V¨©ª¡«¬o(­š¥“{ƒ„…|†®1u)€¯ + +endstream endobj 2782 0 obj<>stream +H‰¢]ÿËYËZËYÈ^ËX/ÃhÊ^É^É]É\ËZI½w3Äk1Äj1Âi+ÂfÉ]‚²˜j·‹gµˆf±‡W³})ÄeËZÊ[Ê[ËYŸ±«•²¥’±£‘®¢‡¯›i¸Š[»Z¸€Yµ~D»s!È`Ê]ÊZ¬±´§±±¥°¯¥®®ž®ª‹²ž²—~¯•}ª’_³‚-Åg#Èb$Çc$Æb ¢†Qƒ + +endstream endobj 2783 0 obj<>stream +H‰tOà ³œtÑEgÒ½÷^ÿÿZ)PBîp>É–H˜¸2%¤Á„w92–þœÁúV& ‚0dË°Êb§UQ(–Ê᧲¨Öê¦ÔS)­v§ÛëGq<²ÿ)'ÓÙ|±\­7[)wü_HûÃñt¾\o÷ÇóõþBˆÈDû +0>M_ + +endstream endobj 2784 0 obj<>stream +H‰b`D PŒ`@H0b0‰PƒÀ2 ™Á€ÍHt1¸"L7bhDˆ ŒÆbÂØýD´O )Äé Ÿ-m + +endstream endobj 2785 0 obj<>stream +H‰ ÎÉjaÀñ‡ˆÁ‹Ô‹ ô^<ø‚…"¢t3OuAh´ÕKEJ‹RÒØ&™o¾e&³$“™df²Ídß÷™lÓÕg°ðÿñgÙ(!"Æ>stream + +  !"#$%&'()*+,-./0123456789:;<==>?@AB*+C-DEFGHIJKLMNOPQRSAT*+,DDUVWXYZ[\]^_`abcde@ATBfg-hiEjklmnopqrsteu@vw*+gC.xyz{|}~€‚ƒ„…dQu@AT*+,-D†‡ˆ‰Š‹ŒpqƒstŽe@wBfgC-/‘’“”•–—˜™šrsteu@w›*œg-žŸ ¡‡¢£¤~€‚ƒst¥eAvT*¦¦§Ÿ¨©‡ˆ£¤‹ª™‚ƒ«t¥uAw¬­¬¦®ž¯°±’“”~‹Œ˜™š„«Žež²®®³¦®´µ ¡‘¢£”~—‚šs«¶± µ²·­¬¬¬¦´¸©¹“£¤–ªºª»”¢¹¨Ÿ´³¼¦¦¦³²µ ¡¹¢½¾Š¿˜ª•”¢¹¨¯À³¦¬Á­¼²§µ°©‘ˆ“ + +endstream endobj 2787 0 obj<>stream +H‰ÛnÂ@ D¿™BrÙ«w×Þ h*R „@ˆ¬+ÍËÌœ±½sÀYœsè=…QK´b¸°Œuà`D"D†ÂÿÖk¹ç3„D©mK)!ñ•à½Ö`Œ‹œP›(F^‡€ü‚Y¦Ä_ŽÇ}×­‹¢,Šjú_÷QIµXäYVäùrz\§Çø~Þ/Ço)äìc‘çÅô¼¾_·ŸS/1›Íçó,Ëò?Ÿ9»1 + +endstream endobj 2788 0 obj<>stream +H‰TÌ÷W‚P†a¨@È²Ì +HË@MËêÚàbŽ6²A›2í¡ÿ÷Òñd߯Ïù^‚${zñú(Š¦iŸÏÇ0 ˲ýþr0@04ü_‚#!ÿ(1FŽs¼ LtY8™œŠ†¦9Q”8Œõ«±x<œ˜‰&1Hÿ'©Ù¹tl~a‘ˆ™ŒÄwl m9½²*C¨È2ñÑÓ,–Ôšs0ŸWð ÿP³f‹ÅuUÓôR ¡¢Èlˆi³M&·¶ËeUÕt=çý¼äÎîÞþqh˜¦…P×KÈpt\99=;·í Ã0-«“„ÊåÕõÍíãÜ{dY8‰š>T«ÕÊ£[«×DZm7-5ÍæÓóË«ëÖjo±yÏ÷Fããóë»ÕrÝv»û÷#À¨YN¾ + +endstream endobj 2789 0 obj<>stream +H‰Ško‚0Eè ò*”G[J θ-jtÎGtš™QZÔÅýÄáÍýrï9™_ä±’yqø™O'4ŠÂŒ#BhÕ)eqÌK8O9ï\ªc%Ùv=‰Â!DK¯2¿U…‡Ùç˜s†8P'W‘Ëó~Ð÷ýÀó‚ ¯âxʳ·×žëzúžçû~xÅ9ß­W‹4áŽãº.„Ðû-OÅ.›NÆ”Rœ{yÊ6ë„1èÂ?Q~/—†aZ–mÛà.K]7LÓúZÌív[×u\‘泥V«UFm›¦m;Ž·›U·“hšÖl>àj>#©j=›Ã~_S5EQTUµ,«×í>5Ï(µþ’&5ù`= +—² + +endstream endobj 2790 0 obj<>stream +H‰<ÎéV‚P†a›ÇF9f  ÅЀXQ™@X`Aj……¦Y÷탬Îßg½çÛ©¹ù…Å%"M’™l6—Ï +…b±¸¼’Z][ß(Q‘¥R&K¹¼YÙÚÞ¡Š¦Q:Mf€bÛÝ«ì°ËV0‘dbµÚá/ˆ"+IUŠ¢|’ÍŸœžÉõº¢ÌŒ‰ ®hœ_\ª²\çyE9ˆ†&„®®ošªŠ"A€% /Ñ·­¦¦† WBRݵšf»­ëXTˆxE9Ž•÷–mj¶N'±Ç'Ýq]óYëBe=ˆú}8ïåÕöü °À ú7ùíÝ ¾X–kš1èF¯§ÊŸÑ0ô¼Ù1ðÒ×xòE`át0p‚ÀµaKk~ÆxQô;zÓ©ï$KÝ?1XP + +endstream endobj 2791 0 obj<>stream +H‰ Â…Ã0 Àmãd–Û^ý})½V:Z½ÏÞ×tÏIÏZôîý9‡~勵RZksï›s͹!æ”J#MÞÇ¢sÁû`Œ³Ö;çSªÆXk€ÑšZ¥4€FLˆE%¥R +„œ )±÷}9çòyØ_€YIö + +endstream endobj 2792 0 obj<>stream +H‰TÎíš‚ †aÑ6SÛÊ]RQÌ´µ¶ó?µÊ~ß×<óZȶÅâk¹tW+Ï÷ƒõú{³ÙîBËBŽóó’ øÕ‚ñ> ²¹®çùÊ¢8Ž•é³w0ˆ¢§ 4 zäp Ú0Æ!a…6–B)Ž@,4õxš2¢È÷&˜‰<猱é +ì8õŠ¬,ç)ÈǧªªO3#ÆŽMÛV'%³Þ9ºÄ×NJ°Z™ù¥ö×®“MÓÖ+2!rÎY’: }ߣ„P=¡¦³Ûí~†¿®¤laE¡VäüñQ½ñõ©ÿ ÚÒ& + +endstream endobj 2793 0 obj<>stream +H‰ „0ÀÇ«)BIUÏ¿;+ÒU‡ê4ó«5¿{÷÷þ™Ó¿kýööß}k½Ì­V­ÕˆQˆ¤”Z +#2³T‘¦ÚÍFÎ@1BJ%g +!§„1–óŒ×•B€ã§óJ/ + +endstream endobj 2794 0 obj<>stream +H‰TÎY‚0EÑÄ0ƒ(!̃a‚ìvìßS÷Uz»1f˜¦eÙŽãzž÷0|< ¡”2Æ@lÛq]ω€8'ä@ÌtÅB~ªaü6“Stfáfš%p'Â+{•e™¢Æ±¨*2³Î‹â!*ÎBÚ–¾“]×å[–eGÕ4hRÕš¶®Â®†AŽcW_6cÜT}6I9^C0¥€Þš¶®Än^–E©â4›9n®ó¼Û¾9èÍuý£;‰ÝW€x³h + +endstream endobj 2795 0 obj<>stream +H‰ ÆIà Àÿ Á˜c–4í3kiSʨUpk³w±ˆö›ù0?s~ÖïÞßsÄ/g*…Zc¢5Æ™óÙûM©¥Ôsî½s5FÑB(ˆ±xŸ¢s x/Aký} 4¬cœÖ÷u §”ÕÚ*eþ Á[! + +endstream endobj 2796 0 obj<>stream +H‰dÎm{‚ †a@Ð0]¢¶lM¬)¾fÿÿÇ H³6¾žÇõÜr0&®ëm6”úÛm„»]1P;„$ž—¦t¿÷ýà3 ÙñEÀtñ&ÄÕJ©ÆœŸN‡‡2uº È9Ÿ…1“a+izþ"·Y–™›ÆŽƒ“Ä-Š³~Bι ™þŒAYXZdYC¨¼Hy}1³—éŒý”†žÙÜ鬪•Ò(åß›M[Uu­ÊÖuMÛVJÍ´Z?Œc×ØN½u·©ï‡a|„¯{÷ûmšVZ»_ãé'æ + +endstream endobj 2797 0 obj<>stream +H‰Á‰@Ðÿÿ ãgºC††Mi•v¥Hºïäøï5å'‹+lÚ$Áòì +Ÿí<©_Õ7òÓÑìwGþ#®òÖ»‡ªršM¨½¤ÔÅ;ð’ r4Õ8ͱÒèYc[®À‰ÃÁôvõÐùÊP‚ëømý“÷GzÎ3¤ðt£‹éP§k(Ê$,z!K/Š¤WÛñˆ;5zf +€Ôœ—[ ß.øužÖľ—Ùë/ÀÈŽr + +endstream endobj 2798 0 obj<>stream +H‰b`@ŒLÌ,¬lì 考“‹…›C˜—_@C9°ˆ¨˜ †r^q n qvI)iY Õ@×ÈÉË((b#¤¤¬¢ŠéHF5uy MLq-NmULÇë +ëé`:^ÐÐÈØÄ‹¸™¹©6WŠYZYc§Í Ï + +endstream endobj 2799 0 obj<>stream +H‰ ‰!ÀšUÿÃ_/IÏagk­ÍÞ×{γÖÝûÝûsŽüÞ+k½Ì¹×Úç¼¥Ôç‘mŒS +§ôä,9Æ’R !ǘ½Þ§’s‘È;'¢³­%¢€ÆX‹è•2ZK@$¥´1ð`[BIw + +endstream endobj 2800 0 obj<>stream +H‰\ŽkB0FÝFÂÆfä.IßÿËõߤÒëó;çyUU5MÓuÝ0 „iY»ýþpTeCl{!Žëž6èŒmš–ç°í"Yè ânˆFqŒÃГÒo$Qcìù~à8_IK)%Iaüdç)%DZáûs–e>stream +H‰ÁB@Ðÿÿ‰ÚªµÖ„Én2†‘‰ÑqçŽs¤Ö/ôÞÄ>œ-|X:Q<2:#H‹¼n!ÈT—m>²´HãÜ4nªlDA:ß±à +Û8ìÛåó¥Èúzµ ƒ„ O8Ê¢ 4u·ð_ßñ³¤I'õ‚ ¨¡ï6C³|/"˜UEã_WMÜöíš%¬±c»Š¨ÿ»… + +endstream endobj 2802 0 obj<>stream +H‰b`  `dÂ.ÎÌŠUœƒ‹07q^>~&Ata!aQ1v «YÅ%$¥¤1L–‘•“WÀWäQRVQÅ0AM]C“‹Ç8´DÅ´±8^GW‹#™ôµ Y0• ›pÁUîo‘ + +endstream endobj 2803 0 obj<>stream +H‰ Â!À[@Dìí.ÉÃζ¶z×{ }æÔw-ýì­ßsôçÞïóüZ›µŽZgν=rn"5¥ÂœEZŒ’R%JÌ%FŒ!D"„HÞçÐ{´Ö[ ΑãŒñÌò` IÐ + +endstream endobj 2804 0 obj<>stream +H‰lÎÙ‚ …awÍÜq·lyÿÇ É1î¿ùÏM7 Ó²lÇq=ïäûç Ã0ŠbMS$’$ Ž5]7LÓ²mÇu=_R +³,àåéAåya¦ b,%UÄ*Š #D,ËRR…Ùgu-¥QPJëÕ +\UÛ »PzÝàóBly×±ŸH’¾å¼cì07ŒýBlOx1ÝæyÇží¾Tç$h­ºM^oEó8 + +endstream endobj 2805 0 obj<>stream +H‰¨WÿèåìéæíßÙÚÑÈÁéçîÚÓÐĹªêèðÏƼ«™xëéñÆ»­˜Tź«”|NŹ«“|Oæâè½°xJèåíÏƾ‰cg1Îż›‡`f0ØÑΩ˜y…l;åà嵫œ€oNéåê´®§ukXåâ覤¨`_aÕÓÙŽ“VUXèæí³±¶bacBBCéåíãàç–•™?>?434åãé×ÔÛ…ƒ‡101... ”5kõ + +endstream endobj 2806 0 obj<>stream +H‰lÌYBP@Ñ÷’„ò2DŠ24H†²ÿÙÀ½Ÿçã +É…F,–ú +}½Ù¢¦Å¾³Ù}ð@•:úzð'gôôrEÏòú½(Ñ«ú*Ÿ¯wþi¿]>Œ¿ÿ4 0Ë8V + +endstream endobj 2807 0 obj<>stream +urp[[[\\\]]]^^^^^______````aaaaabbbbbbccccccddddqnn\[\\\]]]^``agee\\[[[\ZZZ + +endstream endobj 2808 0 obj<>stream +H‰Œ[ E›„òh­Ô’ý¯Ô@¨XÅ™ž/¸'73DdÌhašOËùr½¡‰îb¼ó„ ®)«(ÊJIZ +&¬¦(Ç T;²¾r½DÄʇS ’íwiÎ[¡è6¿òÛä[p͵ò›· ý÷ÿ¨ 0Ñ + +endstream endobj 2809 0 obj<>stream +H‰#ÜþèåìëèïÔÒ؉‡‹NNP...ìéðÍËÑihk;;;///îêòÈÄÊMLN**(çäë¾¼ÁMLM+++ÓÑמ FEF,,,éæí£¡¦TSU545æãêÞÛâ‘”CCD111àÝ俽Ãutw555ÕÓÙ‰ˆ‹OOPíêñÏÍÓihj:::ÒÐÖžœ FFG·µºtsv??@---âàæ–•™PPQ^^`QQR==><<=888333çãëÜÙàŽ’YX[Œ‹ŽxwzONPNNODCD666áÞåÌÊГ‘–~|€ÑÎÔ~|{~kjlQPRãáçÕÒÙ¸µ¼¸µ»ÊÈÎãàçÛÙßËÉÏËÈδ²¸•“—áßåÏÌÓ¯«³ÃÀÇäáèåâéÐÍÔ³°µ ÷¦% + +endstream endobj 2810 0 obj<>stream +H‰lÊEÂ0@Ñ  R<¸»;ÅÝݽ°ÿUÀš¾á=!~"±D +@1’ÉJ,à*µF‹1íŒNor£Él¡ «Í´;œ.·‡vâõù_æ», +cDcqŒiO$S œt& 9àýùB±T®°lµöÇõF³Õît5½þàχ£ñdšžÍg‹å/¯Ö›ín8žäç˯_o÷Ç1ǼÞ1† + +endstream endobj 2811 0 obj<>stream +H‰ÌKÀ À{Z+*øC ½ÿªÒÙå‘@ÔZë½1æœk-fÞ̲·ˆ¨ˆ©šÙcö"zUõ)¥ÖŠD”s.8c@Äó(9¸]:÷èîËE€BøHN,“ + +endstream endobj 2812 0 obj<>stream +H‰tŽë‚ „oyIò„!Š¨ïÿŠ-PÔéœæç|;³„$I’fYžçÅ¥,«ªnškÛR€¢I–EáHÕt]?P:`(EæBˆÊªf\ˆˆîù”dœ÷Ã0Q +„<>Ÿ”šå›#ÄzY0¶ö½˜&\A +c̦õ2GF³fßm“òX×0ÀZç#ÐJ)y°•s1 ±AÈ4Ö9t¸âãûGJ…ü´Q¾s~ú—o‚o|ßõu€²?¾yùÿîŸ E·"Á + +endstream endobj 2813 0 obj<>stream +H‰h—þyw~yxyvzwjUu]-sUrSrSywyuxveFsWsTrTyx€yuwua8rQ zx~yuvua9rS sS|x{|y{{x~yttu`8yqƒ{t}y|zw}zw~zxyw{yqku_3rR žE¨ŠO”ƒf‹n‹€q‹‡ˆ„|vweBtY°†+¿”9¤ŠX—„c–…h—‘ŽŠuv^+rU˔ۢ*ÇœA½–F»˜S¸­ž”…x\qQ Ö%ä©,Û§5Õ¢5Ò¥GÍ¿§ª¢“z^!pQ sTâ§*ë®,ì¯+ë®)ç²@Þͬ³ª›{_"pP ã©,ç«-è¬-é¯3ä´OÖÅ£¬¡‹{^!á§+æª+å±@ݶcǵ ‘qx\qQ Ø )Þ¤(Ú´dǸž™…ZtUÉ•$Íš,Ρ@ʱ‚¸³­ˆrEoOÀŽ"Ô.àVÀ¯±¬§‡qDpP  ¬+ + +endstream endobj 2814 0 obj<>stream +H‰b`F&fV6v(3Àâ œ\Ü<ìì¼ì‘àã„ A¤…„„@Â"¢ì(@L\Bd””´(ªIì2²rò +ŠJÊ*ª e@"A¶«©khjiëèê9¼¼`]¼`­ú†FÆ&¦fæl—‚¥-,­¬mlíìPœŽNÎ.®nîž ¼¤¼}|ýüƒ‚ÙÅPÅíÉÆ‹jTLHl\|BbR2TŒ*•b™š–ž”ÉÆC/°o²²sróò €ÊÅØ!ˆ×K ä¥Â¢â’Ò²rxò‚b€p %Ê + +endstream endobj 2815 0 obj<>stream +H‰G¸þZZZ[[[bbbYYYsst```a`a___‹ŠŒwvxxwyyxzooo\\\ZZYÁ¿Ä°®±²±´–•˜ede^^^]]]\[\ÐÎÔÃÁÅÅÃȬª®~€wvwxxyvvwhgh__^ãàçáÞäáÞåØÖÜÇÆËÄÃÈÆÄÊ¿¾ÂŠŠŒijjkkklllffg[\[éåíéæíçäëâßæâàçÞÜ⺸¼£¡¥¤¢¦¦¤¨”“–vuwqprrqspoqaabèåìåâéãáçÔÒ×ËÉÎÌÉÏÎËÑ´³·‰‡Š€‚ƒ‚„€gghXYXYYXêçîëèïíêñÙÖÜ­¬°°®³kjkèåíàÝäÎÌÒËÈÎÍÊÐÉÆ̨§ª•”—˜–™zyzÐÍÓ¿ÄÂÀÅÅÂÇ¡Ÿ£„„†Ú×ÞÒÏÕÒÐÕÔÑ×»º¾¨§«ÜÚàåãé åÏ + +endstream endobj 2816 0 obj<>stream +H‰b``d€t#Œdd„s™˜™™J! +;² ŒYN.n^ff>T+ø…„yEDÅb .!!)%-#+'¯ ""Ê7OQIIYEUM]CSK[GW®^ßÀÀÀPÑÈØÄÔÌÜÂÒÊÚÆÖì@{ 0tppptrvqus÷ðôòöaöe‹ƒŸ¿@ [P°È…!"pq{ýPƒ°ðˆÈ¨h˘Ø8&{djàçgŸ˜”œ‚"nt„}jZzFf–=V`”]Ü0»¸½=@€]§9Í + +endstream endobj 2817 0 obj<>stream +rSrTrSsSsT + +endstream endobj 2818 0 obj<>stream +H‰tPÀ0ñÿ7oC©nËõRrŽ ")hþR§?‡9+ŠÊf¶êUÔ Œi'ô'~ƒ¦Ý á¸|ßBÌÁ0aÈšÙj¤LA¿\næµ¥ >stream +H‰‘koÒ`Fôí[K)-ØŠ „9¿°è”EÑmôB„Í0pd´´ÜoÑéœKˆ1ºEg‚‘,1ÑŸh=_ÏÉóåù=&þ~Œý¹n/¾O¾žÕûE¶‘¹cHÀ CóŽsqûu5ºþ4œt·:y²!ÚLL+Èg3úeúþtPê(SÇèÝAhr2|k*Í,fJÐh——#§Ç½±–1dØmí,0dD‘é0;8Ú|3ôk/èªðy3Urç9Õ”!S†.ϾVöÚ½×ë tsðEÅ^W|JŠ=ÜÄuh"¬‹ðÏ›Y«¾ßª¬·Š¼!Á¦ê"ZJ{Ÿ­òÉ•P:Én=f3k~q-0Ÿßêµ½Æ6g*hKªX2Á„…  ,Å¢¡D\XIÜ{¸™Í~U‹zŽéªàp{¥9î.Ïs cQ!~?|òᬺ¯hŠÇl¼ÀÂœ‡¢hŸÏDz¬ßïç8Žçùv§_Þ•òOð`€ôx¼4M3 J¥Ò^>­)„)ÙvRèjÌÉx ‚°k„ª×^•·“šìT¡ñ“ò¸Ýnâ¿&ÉoM]¥uëJ-£¤Ëáp8-\.—-.{¦êhÊ —OŽc†[Øív«»½ê6U¼¥€TÆ0APKÿ`vØW + +endstream endobj 2820 0 obj<>stream +H‰b`dbfaecggçbvNââæáåã³Ù¡¤ gÇ’RÒ2²œ%'¯ ¨¤ Ö ‚*ªj Z]CSK•¬Hrjëèêé«›˜*qÀ á43·°´²¶±µ³wptræàä„íâêæîáéåíãëçS  + ˆŒŠŽä„ûÉ%6.>!1)9%5-=#¡ž=+;'7/¿ °¨¸$Î ·--+¯È«,¨ª®©­Š€Ì¹´¾¡±©¹¹¥¢¢µ­îJ 5]Ý=½Í--}ý@"ÙA9'Mž2uÚôé==3f +€v5köœ¹óæ/X¸pÚ´E‹ÎY2{é²å+æe¦ÃÉK› + +endstream endobj 2821 0 obj<>stream +H‰ÍkO‚P€áŸ  +î‡Ãáq¸|Ðl)–ŒË¸MûÒÜ¢Y[KûÿK·÷óóö Õ·Tß úvpj¨cC¿§ü¡¦kúÔRy¤½­ømrNè2î»þT̾k:šéLÍ#T<â6V»Œý,®¾ŠkBˆãØ·žçûÁtì• ¸^Ê›%x}ûŠ1 Ó¼±±mÇ ÷iª­P2ÃidlžA—ž#!BHÓ4]×MÓ´‰å^@7 üù•Îµr»ŒWcl\8Ûq½qHâ{½ŽÑ.gEQ”$  ªêÄÇÛ„=Ô Ïó‚ Ȳ¬@:ÊG:â8Úò.cþZê_€|82 + +endstream endobj 2822 0 obj<>stream +H‰b````db€F0’ŒŒ¸4TI+`„’Œh*!âÌ šb#ÜH¨¬Èê‘4³±³³sEÀš€j9Á¦12pqóðòñ  + +Á­›$,"*&.!)%%Õ”'-#+'¯ ¨¤Ì' Âä.U5u M-m]=}>°5@=, †FÆ&¦fæ–VÖ6¶PÇ…ì ŒìÔœ]\ݼáîáéigèel¯êíã‹ä?ww€;ÃÀ `$‡„@d>stream +H‰* +(æË RˆöÌÉÉ®««¯ª¬«,¯+ +(¢`Ì ¥ÈPŸÜ¼¼†úÆêÊúÊp¾‚•˜ÈÀ¼ü¢†ú¦’P¦XþªxµÄ˜à¼¼¢ÚêƪŠúÊŠºÂ`ÒP–xÚDµ¤Ø ¼Üšª†Ž$ÁæTÕ´8Ÿ¼œ¼êJ W¨3C©$Ù©4/£¨«¼¾(˜¿;C¡&Ý®¢ ­&J°;E°'K½!/°º¤ <\ 1N°'E +[·®(¥ª¬²*R°;UxA±Èœj󺊒ŠòÆ©9¢GúeL³k©))/khˆœS$~rŠò¡™®-5¥…AIÂkjeM1Þ49¤©º¢¼¼¾,L`fØ¡ ª›'xMkͪ«¬®(«_Z)~a¶ÖÊÞð–šòêŠZ€aktŸ + +endstream endobj 2824 0 obj<>stream +H‰b`€F&fV6và``àd`àb`äæáåãG’€jàÁ"!*&.!)%Í.ƒ&!+'¯ ¨¤,ªƒ$¡¢ª¦®!a”¬œ¦–¶Ž.;»²'ƒ>PÂÀÐHC‡œ±‰©™9ŠÐ K+k[¨Äe`q;{G'gvT »]\ÝÜ=Øõ0$<½¼}|=Ptè3pp2øù‡ Å! 5Jî\Q»˜Ø¸ø ì2»; + +endstream endobj 2825 0 obj<>stream +yw~ywyx~zw~zx~zwxv}wu}vt{rpwzxmkqb`f`_dKJN{y€XW\==? + +endstream endobj 2826 0 obj<>stream +H‰b`€FÜ€ 03T±…°Y8l€»ƒç ëB¬„ÜɆ`¢ ;ã98±‹sqó øLHŽçâåÃn5¿€ @€8÷ + +endstream endobj 2827 0 obj<>stream +H‰ ÐÛORqÀñ¡¦¡›µè­7Å–Zo­­×K/q1Âјͥ++i kDM‘X12 äp~çüÎýÆá\áÜÀ[Ùeë‰?àó}ø{áa/4ä…FÝȈ¹à†¯K“úℾpÅŠxŸÞÀ‹/ÐüjóÃíÖŠß™÷Û1¿»dG‹hµÐ¨¼¯ +ó¯fŒåi=9­&ÇõÄe;6æD/:Ñ1ç©ëÛÁkYXÉ€Ïo»ñì-õÉ´–œQ’ו%¿5ÏÐM $Á8Q $Zƒ;Èþ +·à2 &§7ïÈ«c1`$&´…«fÜ熇¼ ËÊ #QK +äpŒÁPª +@Žü–%Êï°/›h)ξ¹ÛZŸŸÎrk7äGçz÷Ï÷‚‚ ò¼ÂqÞ¢i‘ÀYh¬I" l ÿú’*®ÃÂ34§Ò7µå€™˜Ôǵ7æRAfãý|Ä›uç4ÍV”®,›²lH’Îómš– d1lÀéÀJØ÷Bs/wPÉÔvƒ|jÊHNéIÓôtÝQU«Ý6Qœªâ šeä ÔØ_£ò…t”íy§ŽsÜíö;ža¸ŠÒ‘$ yHpÎ €¨5À~99ùstô«ßÿiY‡Ž§iÖÙÙ¿ÓÓ¿ÇÇ¿{½®{bÛ‡¦é꺭ªÝv»#ŠêŒKQÜ + +endstream endobj 2828 0 obj<>stream +H‰b`dd`bÁe0€…aBÈ€‰ ›3 +\ÔP fcçàäbaáfD3‘‡—_@PH˜a˜—”’–‘•“gA²AAQIYEUM]CSK[‡‰ ä °5ºzú†FÆ&¦fæ–VÖ6¶vö )FG'gW7wO/o_?ÿ»À  {FÆàP‡°p'ýˆÈ¨è˜Ø¸ø„Ĥä”Ô´ôŒÌ¬ìœ°Ü¼ü‚¢â’Ò²òŠÊª”êšÚºú†ÆÌà¦Ðœæܼ–Ö¶öŽÎ®îžÞ¾þ ë&e4ff59„Ýà2yÊÔiÓgÌœ5{ÎÜyók'.hÈXœ• ²jÑâ%K—-_±rÕì9«ç®™°¶®>£18¸)'§Ù)¯ÀuÝú +7nš³yÞ–­Û¶×76îhÊvp wr0Äè^Q + +endstream endobj 2829 0 obj<>stream +H‰ ÎéR‚@à÷ˆSÔUåX–]A1ãƣ©9ŽÍÔX9Ó^-¿'ø ‡?‰LÒ<Åc×…šf@•ei‚hĆa0€0œL*è%I<ÎiÈ0†NW×Í8ÆYVdtz?_ú~ ªI’m{DHFi}´˜¯F#O–A-ËÁ˜`Lç³Æ4†ww†·¢i‘&c×ñEa€¾ëúE^cDú Ïó<Ã0½°,Û¶ßã̦‹"Ÿ:¶#K˲‚ š¦éЋëê¡®îQœ¨ª*Šb»­v»=À²ÙTåŒçQ”äÛI‘QD×»U³Öu½ÕjqKðä°{yÞ¿´†ã¸ºj6«íéøþ}¹F“ò|ú¸|þßÎŤšV[i›†x(£åöéù÷úwýú=ì_çuƒ"¬õuÏõqBp’þ 0hw±½ + +endstream endobj 2830 0 obj<>stream +H‰b`@ŒLÌ,¬lìèâ Œœ\Ü<¼|ü ¶²„ °ˆ¨˜¸†I)iY9y¸€"LBIYEUM]à +IM-m6n]˜€„âÔ7042Ö6Q6EH€Íâ43·°´Ò¶¶±E5ÈÎÞÁÑÉÙÅUÆÍa9H‡‡½§—·‹¯ŸÄ 2ËÎ^30ÈÄ%8$4 ÍQáš‘QÑV1:–H‚@œ±Jqñ6 VV‰‰¨b“’SRÓ\¬¬\\P%Ò“32³²srMòò€¼|x0ê—”–•WTV×%Ä + +endstream endobj 2831 0 obj<>stream +H‰ Îg“š`†áÿ{uí(Õ(ˆ (*X°»Æ²QwG³Ùd2ù_yÏ×sÏ5®[†10MÛ²FƒcÛîh4§®;ŸN—³ÙÒóÖ‹ÅvµÚo6‡Ýîx8\ŽÇçóíííýv{~|üz<~~þýúú§ª=M3{½¾e û} ‡Cg4š: óùÊó6Ëån»ý¾ßŸN§ëåò~½>î÷ŸÏçIRdY•eMQtM3 Ã6M€Áuœ™ëz“ Öž·]¯_÷ûóá6Üy¾%b«%‹b§ÝVEëv ]ïÛ¶3›­X–ç¸f³)‰¢,I P;­Z­×ë Ã4X–ã8AUõn·§ë¦eÙ“‰‡ã$IV*•Z½Î2 ×h‚ µZmI’;n¹ŒaATµJ×jL.—/ŠR*•Ê(Šá8EŠªÒt£Ñày¾™Ng2™\>_(K(JÐ4Ëó¢,w!J$©TêåÙl6W,"å2J’EUhš …B‘H$‹Åã ƒ6 Z ÃÁ`8ŽF£à“L¦J%Ôçóùýþ@ ÇaN§V!&áFÖj´Ï÷ \8 È(Áù|1 ã¿>­ ¹ + +endstream endobj 2832 0 obj<>stream +H‰b`dbfaecçàäâæáåã—”’f”‘•“WPäPRVQåUSÐÐÔÒÓÑÕÓ70”’626‘3e73·°´RµV³±µÓ´wptrÖs‘tep3’‘u7eW4WòPQåSóôrðöñõóI12ÉÊ­S4çâVå  +±Jêú¹„†…K3FÈ‚ÌŒTŠŠŽ ŒóŒ·OHLrNjJÊÈ™š¦¤¦EǤgÄÆÅÍLôõK6”›™™•“›š—_Q\T ’ÔK6šYRZ–Už“R]XïUrKu¨kMm]}CcYSvsnTKkLzl›§Ð-¾ºí]Ý=½% ™eM9Í}`·RÕß>a⤮îÉ ©¬ò)·Ä¥¦N6½¨mÆL ™³f—Í™›2o~tAÀ‚I Aä/霱´gr}Ãìe@ÉÔ¨†éÓ¦M›: (µ|BÇŠ®¥P·LI0Ÿü4 + +endstream endobj 2833 0 obj<>stream +H‰Á sàM…’¨TR‘2Ï6:¹¼‡íØÛv,̸±Ùæ<2a³;?aßÚa€!qB¨×CòA±¨W›õÖ…œ¸H€áÝ0•ãµJ½Z¾F\á£sª^*ÔäH<ȆœÎkÅ¡ñ2xvCÉ͇x)SÒÉŒŠJb,{¥=÷'§ãùt8‡YIeîhµØv'ÂÇL'óy R³ÖZ~™GëÏ"$Îd”\çîÑ\YNÈ¡ÄM£½øXï¿Al°Ëá¡H–cÃ`sÁNŒ¡¸ûNqyÕL~hŒÛ·ùlÙa7ìË^jo¯ŸÛõ~³ÜmVÖÎ>stream +H‰b`€F&fV6vN.n^>`âàæ— HBuHIËÈÊÉs*(*)« ©gQ•——áTS×ÐÔD6GKTåµu°ˆëÊêé ‹3ÄeŒMLQÄÍÌ¥¢œ2–Vš`qk°°­=Ø|ˆ8Ð@—::9s‚Äå]4aâ`àèj¯ +4FØÍ«¸¬‡'Ô/ˆk˜¼Aâ¬>¾~þõLÌRAÁ@ñаðp àæDD‚¬åŒŠŽ‰‹‡™#™vebRrbbbJjX$•öl†·«««wfV6@€4Ó*o + +endstream endobj 2835 0 obj<>stream +H‰ÏÉNÂP…á·$@i‘{Ûb+Ä +ÒœJ‡Äa#JT†„… SʃÈØ‚ +…*¢¯`ý×ç[œ`àˆã<ëvsžå¥ à ƒÑhD“Š¢f³yn΢Äq‚ H«už¢hš^°ÙìvûâíM”烼Ïð®y7t:^¯GÃ4¨I!üg¤•¦mµŠ,&³¢M§òñhÂë]w:œ.ãZehŠFM(ÇñÑ»úÞS>úãvë­̤ò¹Laï‡À `(jR•ïÏñ¬)µ¥ZK®¿4ªÍÐå5ËpnÖíXq’$9M‡ƒÉp0nÉòS­òÜÄ­Ím†a9mÅrE©Êt<üªWä³Ó ßïç¼/µ¯¯•¥R±Z*V"wñÃàñ×ägªþŠB&)dRbî*ÞÙÞU•Yçµ_-Kõj3-f#w±AOy‘»ýîPùøLÄïSBnð6:9:¿ ÇšRçO€×Þü + +endstream endobj 2836 0 obj<>stream +H‰b`dbfaecgçàäââæáåã—”b•–‘a—åää’““WPTRRQUS×ÐÔÒÖÑÕÓçäääêb•ç500266153·0·´²¶±µj œ½½½ƒ‘£“³‹+“›»‡§¢"«¬,P(nïeäííãëççÊÎÎ.+ka^ááö‘QÑ1±±q<œöHÀÁ$>Á/1IR29„ßE"%55E5-=#3ËdÛ;ääææåØc…EØDŒŠ±ªÇJ±‹—–•Û{yaŠWTV——yy¡IÖ<[= + +endstream endobj 2837 0 obj<>stream +H‰ ÕrâE¿º[ÊR(î\$îàî.í¶ý†eæ<ž™sçÖj-‚h“d—¢ú4=ê÷§Ãá|2YÍf›år¿^Ÿv»Ëáp?çó×åòïz}ò}»ýÜnß—Ë×éô¹ß_K%¢ZmÖëT£ÑiµzΰÛ³Ñh1®‹ÝjuÜlλÝõ~ÿ}<~ï÷ŸÓé‘HäÒéB6[*ªÕ*IT³ÙéõÆãñr»=÷óù3ÄÂá8†%ãñt2™ËåÊÅb­\&H’¦¨M{½Éz}ôùB~4ŒE"x,–ÄñL*•ÏdŠ•J£V#v»ÝïvGÃáb>ßn6'—ËA>ñ{½ Ñh4‹¥‰l©To6éV«¿Zl6§Ý ÛéD`Øëv£OÀïàxº\n˜Ív«Õa·»@r8Üäõzƒ¡–ËUŠÅz»=ÐéLz½Ùh´šL6‹´X6› AÐP(–L>ç•*•&è5ƒÙ ‚ Œa)ÏæóU™L¥P*•V­ÖiµƒÁb2=[Nö¡h8À¢Ñç!¡P"ˤR…L¦T(ÔJ% VëõzŸ/’HäJ¥Æç ²ÙÜ>—+àñ„X.W©T­Öh4ÚØåB ÈÃ`0™L‹Å~çp8OŸÇã‰D"©D¢J•`xyùóúÊx{ûËb= ¾\®þ/Àg–‰$ + +endstream endobj 2838 0 obj<>stream + +   !"#$ %&'( )*+,-./01 !"23456789*:;<.=>?@ A 34BCDEFGHI:;JKL=MNOP Q$(4RSTUVW6XYZ*+,[.\]@1 ^_`abcdeBf5E7g9*:;h-ijklmbndTofpE789IqrhstuvwxyzlmRc{eBW|EYG}~qr€tuvw‚yzƒmRcdeBf|E„…}~†r‡ˆ‰Š‹tŒv‚Ž`ƒbRTo‘6’“Z”•–—˜™‰št›vij_`abndeBW5œ”•–—˜ž‰€tŒvwijz`mR{Ÿ ¡¢£¤”•–—¥˜¦Š€§tŒ¨ixŽ`©ª«¬­®¯°±¢²¤³•´µ¥™¦Š¶§uŒ¨ij_·¸¹­º¯ »¢œ¤³•—¼ˆ‰Š§uŒwi + +endstream endobj 2839 0 obj<>stream +H‰ ÙNâE¿\Gbb$QãLHP2 ÊŽ0l¥”î{é¾o”RJݘù…áõ>œsrƒ ñ¼Øu·¶½1 _×=UudÙEC4ŽSF¢i‘$ç0ŒAjµ"!ˆX.1Ä àÙ Š¢4 ÷®›f ë¾¦¹ŠbI’±^ë<¯RÔš$ygQ”ဠÑù|µß&ÉÇn÷Ç™ïŸJ"E±%É\¯5–• ‚Cúp8fÙñpøNÓ¯8~ßn›Í>v¾¿sœÈ²Â$ùÜí>¢è´§ªj˲)Š:ÇÉ%`†Iš~gÙßýþË󶎳±¬À0>stream + +  ! + "#$%&'()*+,-./0123!4 "5$%67889:+;<=>?@A + " $&+:99::BC;DE@AFGH I JKL<-BM97NN7OC;D?PQRST2!"SR0/D;BOMM77:B-<LP0UVGFSA>EW-+MNXN7MB-<=PYZJ[\3G]^_`>=.,+OMMM:,C;Cabc$#[\Hd]ef`>WCO7889:OgahijklmnopqrR@/=.,+:9O0stuvwaxyz{|}~€GT^UD,E{‚sƒ„v…a†‡z{ˆ‰ŠoHr^Q‹Œ…Ž‘’“”•w–i—˜™|l[\32Fšn›œ›žŸZ ¡¢£“u•w–i—˜#[y¤¥¦§¨©ª«ŸZ ‘£“”•v…i$ƒ¬œ¦§ + +endstream endobj 2841 0 obj<>stream +H‰éoÒÆÿLJKÊÑhé-ÐRÊQÊ¢]ƆÌ8&‹‹Wbæ‘i“‰Œ{:ÿ;ßçëóû=ÉKQ4ð—J&Óé´ b&#I’¢(¹lVUÕ¼¦óy½X,ézÙ0,Ó¬ZV½R±kµF½Þ´íÇyÔl>ŽÇ$IÑ4Ë0\*Å‹¢œËiªZÐ4½P0 £lš•r¹Z­º ƒã‚ˆÆbqšf8Îæ!#ËËåuÝ,•,˪A#(Ša‰Ñh,‘ )ŠaYŽçÝ~C…Ý‚°lR’²Š¢‚Á`(‚áðŒã±˜ËR©TZ%QT|>Ÿßïÿß#®š x^ðx<^¯×:Á0Œ¢¨$Ê›¿AI’n·:vÝq•ñ8ùmr}úæmÉ09+K +™ o~ÌFç—ƒÃa§Ýëuúû{]C/Qî¹ÿ¡è(]/~¯æwËÛí|¶~yòÚ±FÝi6vô¢ÁÍòÏvu·þ»YÞúðùéÑñpðl÷I ÇðÅl³šo¯&×ÇÃç½îaÿ`Ðí€`ðvºšŒ¿½˜ŒGW£/—/N^µv÷Ú­}»Ö@ÃH̦Ë_?Ó›ùÅùøìôÝû³ýÞM1(‚b(Ž¡Ø?BZ¨ + +endstream endobj 2842 0 obj<>stream +H‰b`dbfaecçàäâæáåã—”’f’aa•eç“WPäURVTUU×ДÔbÔ–ÑÑ•e×Ó7àVä54R64153·°Ô´²Ö²a–±e“µãÔ—çQ4T²wpt2S·°”°b`tff±ecwqåʸ¹¹{xšx™zûXøJøi1:ûËØê¹»…„‚u……[ZY1Ø83G]íï˜à1hUb’g²[Jt0ȼX ©æ ¦Y§g¤»»»ƒdâMš42³²sróò Ü‚v¹5™:yû—”–•WTVU»4Œ‹º¢¦¶®®¶¾¡±©¹ÅÂËÔ±,çæáQÓÖÞÑÑÙÕ]ÜÓËÁÑÒä^uýý&Nš>stream +H‰ Îy³©ðï)Bö-K¶¢TH»ˆn–*ËíY.oÜãÎ\þ>çw横>O?>fº>ŸNóùj>7‹iZëµ³Ýîmû°Û¹ÇãÙu/§Óírù{»}??ŽóIQ à ‚ ÈÁ`¬ªã±®iÞÚ|21‹õr¹5M{³q,ë°ßŸ\÷ë|¾ßïO’l£h³ÑÀEQ‘墨ªªFÞ“‰®³ÙÒ0ÌÕÊÚlvÍf«^Çz=žãDAE±ßï«Š25ÃX·Zt­†V«õV‹êv{ ñ¬(IŠ$ †Ã±¦ÍP´Q©Ôp¼Å²}>_$IçóÅl.—«¦¹þý}×j†5iºS*!™L†ó8Nì÷‡÷û_2™N§³ÙlŽ ¨T*“H$“ÉT.ó¼p¹\_¯ŸX,žJyL¹\«VÑx<Ñï+×ë×ãñ +…Âa(‰F£±x<Ž e]ŸŸ †½‚2™\±X*Š†aX– ø¿ß<A‘X,‘H¤ (\¯£®ûŸÏ A0HÓmÛv8ŽCàÐs,ËN&S’¤þ 06!\ + +endstream endobj 2844 0 obj<>stream + +  !"# $%&"'()*+,-./0123 4  56(789:+;<=.12>;?@A6BCDE89FG;HI1EJKKLMBNOPQ7RST:+<<=./NUVUWXL'YZQ78[TG\;=.=]U^_`@Jab?'YcQde8f*\:ZAg]hij^VKA6)LBkPZDRSZ@lmgnopg]UVKq6rab?NsPQ7'tmuvwxyz{|hl^UnAq(5}'sr~€‚ƒ„…†‡|gl^Vˆ‰6(bB6€Š|‹ŒŽ‘’€†ug]UVKqJ“…‘…|”Œ•–Ž—˜…Š€‡™hlšUnng‘Š›œƒžŸ  –Ž¡¢‘£¤‡uguŠ¥¡Š¦§”¨©¨¨¨  –ª¡¢‘…€‘¡«–†¬­o®¯   ŸŸ°Ÿ  ª¢‘’—±±²‡³´µ + +endstream endobj 2845 0 obj<>stream +H‰™fÿèåìåâéÔÑØ›™ xv}yw~âßæÍÊј–xw}xw~ÒÐת¨¯‰‡Žyv}éæí¿Ƃ‡ywÀ½Ä~|ƒvt{æã꾻€~…wu|¾¼Ã™—ž|zêçîßÜ㧥¬„‚‰zxëèïÜÙà—•œuszvt|ØÕÜ—”œvu|zx~ÇÄË‘Ž–wv|zw~Á¾ÅŽ‹’·´»¸µ¼ YÇbÉ + +endstream endobj 2846 0 obj<>stream +H‰lÏÇ‚@ÐQ·ã¨`ÀÌÂÿœTÁÅÝžc¿êêë:Ýž1ì\¼¾?2'S¸ :›,á¤!«õ&" Þv·7qrLA ËOç:tdzÜo¦ \(赸5ùýâÇó…·ÓPù|Ã6· L# •ªý®¾Ÿj§¾ + +endstream endobj 2847 0 obj<>stream +H‰ ÁY +‚@Ðcªã‚3£ÍBGr)(ú,Z­´:AÝ! +à +l!ŠÌl;D¾ày^Žãà†aYÖ0LƒšºF !’$‰¢ø“±?õú#J BX–åÓár>^wÛx8J%Û¶\Ç.ë:UUC„ó=îïôþNnÙ>ŠWËp½Ü„ëh>[ÔªuÇq]·bY6)WúËÒï3ùŒA»Õëv¼f£¥5Œ±‚•„ð/Àn†]Ä + +endstream endobj 2848 0 obj<>stream +H‰b`@Œ€$ˆ$Îæ‚…P51à膡ˆ «À¡™ƒUŽM]ìpf¬±²±2cŠ32°sprqssó ;—_@PHH˜™EŸˆ¨˜¸„¤”´Œ¬œ<’zE%eU5u M-m¸]]=}EE]UC#cS3s ¨ExÙ + +endstream endobj 2849 0 obj<>stream +H‰ÉWà EÑƉ! D½Ø^}DÎܽQJ9¥’3WKáZ­½5nôÎÍ1Öœ×Z÷u=1¦µž"QŒ1×Úæ¼½§ˆ(9¼½/ksÑcP)­5XëÕÿBD)¿ŒBH¹‡Dwnâ³Bˆc{³×vüÏ@G + +endstream endobj 2850 0 obj<>stream +H‰<ÎçZƒ0à„ 5PR¨P eÁû¿2Oúû}¾°¢jšn&y³,ÛvÇuÝÓ‰"„EÓuÃ4‰õ/ïžOi€¢ªz„A¶óžŸPŠ0Þë ²§Òs{¾OƒêTúd蓱,=ƒùI" ÁòœeYâyÐKXUÅ‹KQäÒÒÝèaüz*rÆJˆEÇW·«/ÈÀÒñ" ‚º©*t¿C+K˜ŠÀ’¶«›žƒ<äÒóoiƶ­iiOiÓ</Õ}Åe_!û²å{]'ƹ¸!hûY–hƗܪ$]¶mÙaÆ±íº¦ïù äW€«v'Ô + +endstream endobj 2851 0 obj<>stream +H‰ Â…Ã0 Àmf ,c íàÕß×:Z½Ï1Öלú^K?×¥ßûÖŸçÑß÷Õ¿Z{k³”&Òz_̵”J$Ì‚ÈD€I¤æ 9#sé1æ”4 ǘ¼!èä\ð>XëœóÆXkýqœçihßcdܶý/ÀN- + +endstream endobj 2852 0 obj<>stream +H‰lÎ}[‚0‡a7ÞÍL ›3VœLoßÿ“9™yÕß÷õ{Ι Œ±ã¸žçAMŸf³çùüeOZÞ%š~ËkÇ1Bvµ Âì$ÉHK Ï÷ŠÖ”‘ ¸r\.1–¦”Ò?+¾±`…÷ŸÑðE&ß öA¡ø †±Ì‹B”%glûTRY&¸íAðöÅ®ÒZæy!DÉíˆÁÆÚ¾®*­”­=^2¦Ù×£ÉÁÊÑÖ­1_MSïlOýê¥]߶wS7;»®ëûöt2 µ†Ï—ÿá|`¤Ž(. + +endstream endobj 2853 0 obj<>stream +[[[\\\]]]^^^^^___````aaaaabbbccccccddde___``abbb]]^ZZZ[[\\\]ZZ[[[Z + +endstream endobj 2854 0 obj<>stream +H‰t „ [þ¨(ÝeïÕmD(óh”RZkcó!ÄeY7ÄH”¬Ý½?b\AÀ–æ¬M²n÷h|ÎÎlLJ7/7Ý=~å/¶4g×0ªÌ±¾Þ¡ ¡Øk•ÑÓÒØJYP«Òýý=óu?‡!\ÖÛÃàIýn + +endstream endobj 2855 0 obj<>stream +H‰ËYƒ DÑ} +Š††fˆB&[ä~¾SŹBþ)¥@­1ˆh­uÎyïþ¥ ç}­5:×Jίsí<î¹äkî–eYk¾c}ÚëÔ±VŸ!–×»æ{' z{¦£Ü”ŽÍQ¾GùPJã^Îúel\B@™+bÈéºÖ¨JJHñhW HÈ°Ì«ÅÀ2øø`Î~Wž + +endstream endobj 2856 0 obj<>stream +H‰TÎÙZƒ0`" [mÕš”š„(E©Jqiq·}ÿGò$Q?˜ËùÏ@´çºžçûûAFQ'I2Œ'Î!B Á‘–8Ž“ãé &³ÔéYhVóSÊ8'3mȘolž Ê'0J ¸³ó,_A‹‚clI¿"¸¸,—yž JYQ`B~ÿtuÝT²ØįT­SUšÚ8ÇÝÞÙ^“^ Ñ0XÝCßÚ“FMƒWƒ@Â×Ò§g¥Ö›ö/]géeZBÿ_×ÝÔ¯o +z€õ¦7ªÞ?à\µŸƒþë»4÷ýÀÜîtÿ#ÀSC5’ + +endstream endobj 2857 0 obj<>stream +H‰2ÍþrSqRwW‡bÁÏŸ<ˆsvv€yw~yx~zw~xWŠeÂΞ;ˆ~srSsT{Zg¶…»’=„|twv€yw€]h–r&‘xH}wuxw€yY…a“kŽo.„rQ{vwsT€]“j§z“xG|vqyw|rTƒ_˜n«}“{Pzw}xwzx~†b•m‘luV–m¢y ƒh0zn]¦y·Š,…qKyqkqT†bÀŽÏ >‰~s|[šsÆ’"È›=†~uvvoQ‡dÄ”*К&µ8ƒ{r‹gÑŸ0Óž*±‰8‚zs‘lä±;פ3§„<€ys›uä´=ßM}_|wy}[¢{ ãµ>·œ\ysyw} Sð + +endstream endobj 2858 0 obj<>stream +H‰b```dbfaecçàä ÅÅÁÁçæáåãgç@œ ‚‚BÂ"¢bâÅÀÀ È$)%-#+Ç€âò +ŠJÊ*µqU5u M-mtõ:ºzú†F G€€1„ÒaÐ115S[Š¢^ÇÜÂÒÊ‹8“­=š(‡“£“³ ;Ìx`tus÷ðôâ@Þ>¾~þâ0ÿÃÃÈ;0(8$TS}XxDd”†8wtLl\¼’Ĩ„Ĥä”T åDùñ + +endstream endobj 2859 0 obj<>stream +H‰ ÂÙr‚0Àÿö ÷A E-ƒ¶"ˆPT¨Ø~‘ÝÙ(rqœh½2&µÖ;·N’O·Ç¢nÏçðûóx)e·öéîóPJ©ÃÐ8ëócSwã}â<âl¯«–1ÕTÝ4þQr®¤ŒNësKˆ DR*ûnÀ˜c, 7~×^zBl›åÃ}‚"Ä Y–€DÊù×r‰ û}q½ôããr(Nó9X, gaU6³Y`ôêZ3*»æö`Aä‘ž + +endstream endobj 2860 0 obj<>stream +H‰TÎmW‚0à*ÈÐ@s¬¦`:ªæ øÚÿÿG"ãÀ¼ïsÎ=I’dEQZ­v»£j]ò@’eùF½þC ¦eY  GU‘±Y©ñô ëdÛ•COjpˆë"„§¥½Ìôætᔈñ´§-›)ÏŸSêAÈ~}ë6ðΘç—èŸÍ CÆXäy>¥_ß"ÄI’„…Fýù Æ1·ù[o )§Õ–ì„Ofyž—˜îbYÆÎú+º¨ÿwp`u[$Í + +endstream endobj 2861 0 obj<>stream +H‰ÁÛ +‚0Ð/3ì7ºQ¯ÑC°‹sÓyk u.MIÌH +$ +zŒúµÎA€"H-äŒG3ÃL'sÓ"À0d;›õv¹XaÀl‹WEýè_P )³½k{û~~²ÀÛ·M÷|¼waÜÔ—{׋(.‹úT vE”²’OŠÔç"–š®’Ü¡A®+—…Yšs'RI‘ë2K )”VÇЗëuOé + +endstream endobj 2862 0 obj<>stream +H‰l‡ D,œØcK°a‰ÆøÿgÈ€¢²sÃc½0bø¯ÕC¼ÑôNÂÝS«ÝA@Ý^HÈjEÃÑØ÷Ml¥él^…¾sYÕMñbzŸVë ¤t~DIªÔŸ9ßî,gfx3M¹“ý!àŽ±þåe#á¤Ï?ár ržÝî*ÿayö(”ÏíïÐ3WªÐ/ò ¢ + +endstream endobj 2863 0 obj<>stream +H‰ ÊÙršPÐMM2Ó<·‰ÍÒÉKÓÖ±Š¢€\ÁpcGÀÝØ4þI{žÏ2Ì’håΆµ*•j¥b¥ð½T,”¿þ¸ù|—¿¾ýøáÓåÅåÙÙ»\.çÌ|Š`*å:T‚¿=îï®Þ_Ÿ_„Þr<°›Yþ 7P‚ÀŸñf«ÙhU ú—û‡üõM>‡ÙÔZˆ­ãp¥ZÏË€“X¶CQÞ¤0”@‘§8Hº]‡0„°LGz<¯´ÛÇ +4Ý&I– YÒ0ä‰ãDIÒdYïvû¢¨ +ÂÿÖ@ ÜXhwUu¤i#UözE1xN$pZ×­~ßôœH•u¤Öd˜ŽaØÃát0˜†¥ëæbê±Ï|BðFk8œŒF3E¤ñºT¬ÂU ÉÐMÛrlÛ5ÍÅx<Ÿ˜s×]:N<Ÿ‡Ó©?™x–åøNdh#nÐ-`ÛN®|?],¢Ù,$5ò“ž¬ã 8Ñuâ4ÙeÙ!IvËå6ŠÖAu€$wõÝîõpxÛï_·Û_›Íiµ:fÙ>Mwž—ôûc’dx Aåº"ÇãÛéô÷xü³ßÿÞl^Öëš(Šÿ`á¼FH + +endstream endobj 2864 0 obj<>stream +H‰b`€F&fVV6vN.n^>~¸„€ +«0+›§¨¿8\BBRJš••UHFVN^AQI&®¢ª¦’ÐÐÔÒÖÑÕÓ7€IJ%LôMÍÌ-,­¬m`6Új­`µ³wptrpvquƒép÷`='O/o_Gg˜I~` Ï€@/ ”£Ô¤ `“BBÃÂ#Â"£½}¡Ñ1Æ@‰Ø8­ø„ð ”g"D"IU&™•U:%5-=#3++!ܦ#ì»´œÜ¼ü‚ŒÂ,o¨EÅv@‰’Ò²òŠœÊ¼ªŒŸj°úšÚ:ViÖú†Æ¦æ–Ö¶Šª@G-°„D;«P¢£³«»§·¯ÂÄI©“!S€HO6}ÆÌ®Y=³ç´Mš; À8FFw + +endstream endobj 2865 0 obj<>stream +H‰ÎÝKÂPÆqp;ÛÎt;¿mŽ¡F¨“M#Í´²¥*éÜ| DL/Jóez×M7ýÝöÇvèÏÝsñýýžî‡ÚÖ“wØùø°ñȬM.³èEQUUÓ4]×>Ÿ‚¡ºõH0€eŒÙ:•‰Lêkaeç“ýæmRÎDbzø_„’$é0ÒC˜6åx”ç8ŽçyŒ±(Š£šŽa‘ ›>l=xmÉõ‚ +1,…Z»°ó`R—JiAQ(Ĭû4¶>hqˆ¥ÇUVÁÊ…«,f–a˜6.TLŒ;y<žµõ·®úÞUÖ}²vi!n]'Fø¼£­\eÙ%¥4¶mÛ)ŸyŽá;Ƹ_t¢‹Ž2¾“ͱ¬eç+ÅÌC5Õ¬¦:7‰I3öÒ:z¾×kVÄй¼mÙ¶U,ä•ÓÖm²Z0@hHéÜ,_dséd8Œ ôc™©TBñŸ~B2 + +endstream endobj 2866 0 obj<>stream +H‰b`dbfaeaf!6vN.n^^>>~A`f—àäÊHJIƒEA¤Œ¬œ¼‚¢’²„'§ +3 0«ª©khjii+))+K³HÃÅÙttõ44õµ´µ” aâFÆ&¦ffææúú CK°„•µ­˜khZؽƒ+‹±£“³¸¸¸ºY%íí -ÝÍ<Ì<¡â®®®^ÞÞ@M†,>¾0åž~~~þn^AÁ!q³ÐÐÐ0ÿð»àÈ($ñèèè˜Ø¸Øø„€Ä¤ä„xjjjZzzFfVvNnžÐ9žpõÑùù…EÅ%¥effÈ急Ê+*« J=‘Å ªkjëÅ &¶RT + +endstream endobj 2867 0 obj<>stream +H‰Á‹V‚0ÐöÞ ai)_d;E™§|(l€T_Ô½A0ÃX©DëËÕãKq8µ¶ïìÏ…¾zË7õ±‘2ÆûmÑ·B„¾¯¢H§ãÉ×®Œãá|¾<7¿œKÎ!ÆäÍõl¿+m}fÌÏ÷¦ê(”ú¾Ti:}_6¦'„"žVù±0­é1fó×|c«!ŠDzûq€€ ¥âåíCù]yö<”$Ãüy]•Öu‘ã@Ç® $³ifNm¶¸³u÷/ÀõQ‹× + +endstream endobj 2868 0 obj<>stream +H‰dÏÛ@†á Š&¡¢l•„²)"Ûû¿"娙¾Ãçý׬5€a˜Rºr…­ÂÜYaju.Ïçùj4‘(ŠikImÊQVÄN—• WTµ‡Ö †º¦(*B£1y ÓÔÍÉt6'b¼00X®,Êmc¬]ŠáÆól¼Ý ´ïӠПJçÿx:Ë´‡~]®1íÖ- +ïRL_C7yDÏ—[xæ$þ| Îýé + +endstream endobj 2869 0 obj<>stream +H‰ÊYKQ†ql6gÔsþçÌ¢ãˆW‚. veabiX–èˆ6fE+¥`A’}ãôå¹zùišæv»=®ë^¯×0 Ÿá ŒÃ¸Þ?#we°Š`•@QUU7Âk„·õlR3sdRB¥4VÛi:.À¤„×€Vµ£8Àår!„dY>Ž‘iDQt8N§s SQx¬‚Ýn—$ 0ÒœŽãI¦xªÇq<Ï ‚ J’B‘ ªà·&aY–ã,ž&P/‹­"~®“y‹2,§+öÚ>åñKƒ,:²m‹5Tþò=TaÞ¦?׊Ͷ~„ï+ù·' ð‰Ý šµè_ß½ ‘ ã&‹Vÿrb&è {x˜Ç³Yš;‹qŠaÙXPêfðøÏ.È—ý°É]ÉÌáÛÂ:¸¯À{“|ö¯Vç_€G}A + +endstream endobj 2870 0 obj<>stream +H‰LWWÂ@…I‚-³Á6D%± †¨+b[ bÁÞ{ï½ûÿ³YÎïιs'¤¨ª—•WTVé@ÅüÆŒˆ¢¨šV]S[WP(Ò¢¦aDB¤546!ñèc̲£Bjnim+Ž ÆÛ-Ë6Í£ö€NÂu»Hë¶{àß¼“L%<ÏÇ{ûú‰H€Á¡ôp&“òFFÇƉʈԒœ§IšœšžaÙâV6›ã\(só ‹ÍRšü÷kyeÕ¤0¿¶¾!ùæ–ϙ̉ùíÉw÷öRJ88<’üøäTQäU;;¿ðùåÕupƒÞÜÞ zÏŸdáúóË«äoïŸ%þõýóû'À {5g + +endstream endobj 2871 0 obj<>stream +H‰ Ìi›¡àÿ>Ï̳ûeÖ)Ý:*J¤&GB*ÖMîcÎß°ûþ€×wƒ^ÇÓÕRÑÈ+…Ð! +¥ñx±Û]7›Ófs\­Ëå~±ØM&KÛ¨j EÉççèÀµM[.”Q˜Œ½€I‚ÕªõÙt³ßßÂð¼Ýž×ëÃjµ–å–Ë"OO¿õj&S`b‰8„Ò(˜ïÂËñø~8Üöûënwé÷'šÖ”¤*$õaÄâ±Ä+¿½™—Ë×åòy>œNïëõ±×H’šË&“L²^oÐjtøl¡9Ërn·ïëõë|þ<îóùV•tšÏdølV´;^žaǤÙìžN×ë÷íöÓj9Š¢ó¼Œa4ÇñÅb¥c9t2£”çÇãý~ÿ„R.W@Qª\Ö»m—"SÑ?qÛö·ÛI¦1,i­z½íÚ¾¡5Œn[îx¼œLVÓéz±+† '°šÝ/# Bàå¶åøþßáp:Íg³,«0Œ3UÑO±9Ó´Ûÿc»ï8ÏùþDdŽqœ"IŠ#ñ(¼€,“Õ´F£Ñît\ÇöûãZÍ¢é ËfÃð¢H*ƒh*SVôjµ®ë Ã0kµV³Ùiµz’T_†áäRµRySUCÓꥒ‰Äx^Z.wr±B,†Qét^K…‚¢(Z>_|xxšNW³Ùv>¹TžÀéb±ÌqA0„v»žç •Á1:•Ê‘$‹ã4Ër¦ÙuÝàŸs_Ø, + +endstream endobj 2872 0 obj<>stream + +   !"#$%&'()*+,-./01 +23456789:;0<=>>?@ABCDEFGH:(IJ>KL==MNOJPQRSTUV9WX YLLZZ[ \]^_`abcdef>=LZg1;hijiklmnWop1N<3qrPstuvw(Ixyz{| +@!}~t2€‚ƒW„…†‡ˆ‰|JŠ‹ŒJzŽ9;‘’“”•–‘—˜™š–@Ž›œžŸ ‘J¡o¢£¤S¥¦Š0§¨:9©ª«¬­®¯°±’¬¦$²|/Nb‚:9³´µ¶·¸­¯t¤¹¥º»¼p1½¾9:¿ÀÁÂBÃ÷·aĚŚ‡ÆÇÈÉ89:Ê´RSÄ—ÁËËÌ´ÍÎiÏІ‡Ñ9:Ò¥¦ÀÌiÄ——ÓÔÕ֊סAØ + +endstream endobj 2873 0 obj<>stream +H‰Á]C0àŠVZR¡< *!ÖÏ“ ½„íËv›{lGÝö}]×Eáû¾eY†a˜¦™¦)„°mÛ$Iâ8nš†1&¥,Ë’RÚ÷}]מçUU庮Âqœ B¶m”RY–aŒ—ŸyÇ‘s†!!ä¹í¯m¿¬W©džçQïóøœ÷ÛCk=ÿ-Ó4 Ãð`p + +endstream endobj 2874 0 obj<>stream +H‰|Ðë‚ à-Ã.^B+‚´,+²ëû¿\ŽŠŠÍt~~À ÉhLv1 ΄Òg®Å”5 lÚö†nx67bõ.<ßž_s.ÉÀ«‚€G½øÏ«ÄÎ +Û«ôæ{këи³[»WJY6¨]$¨5(IÒ=À!ËŽ¢L·ÿ”çgv‰yxÕZû±9q+Šûãùz¸Rʈõ¿ E« s + +endstream endobj 2875 0 obj<>stream +H‰ó ÿeefccdaaaddf®­²ÖÓÚéæíèåìffh```ccc‡‡‰ÄÂÈßÝã{z~rqtiijrqsŸ¡ÞÛáêçž‰ˆŒ{z|Ž·µ¹áßåéæ¤‘•‡†‰¢ ¤ÆÄÉäá稨­ Ÿ¤ÊÇÍåâéçäë««±¥¤ª©©®ÓÑ×ëèשּׁ²¦¦¬««°­­³¤¤ª§¦¬ÑÏÖ¯¯µ¢¢¨¡¡¦ÏÍÓ¯¯¶¢••™ÊÈΖ–›ˆˆŠÅÃÉìé𧧭”ˆˆ‹ÆÄÊìèð‘‚‚…’‘•ËÉ΄ŒŒ´³·ØÖÜ~~š™ÎÌÑâßæ / ü + +endstream endobj 2876 0 obj<>stream +H‰b`dbfaecç@œŒ\Ü<¼|˜ü‚BÂ"¢âbâ’RÒ2˜²rò +ŠJ˜&q(˪¨ª©cŠshhjië`çÐÕÓ×Æb5‡¡‘1V S3s¬FYXZYc•0±±µ³Ç&áàèäì‚MÂÕÍÝ«Qž^Þ>X]åëç€%@88 QšŽ + +endstream endobj 2877 0 obj<>stream +H‰ÎIsÒ`€á;ù“N +3‚f—ÐTR*]°4! ”ÒFMIXd¯K/zñ7‹3ïñ=¤ÐÞ9~[ÅoÎñ÷o÷,×wdyë§#Ë™ÙF"‘̳'%!]Òg…¤q™2<”‘ O`¦‚„ÕŽžëÝÖfõ}»þ0 K§OdÉÒaº]‰õÎð» lÚ@ú—ø›|úúª³]?ø|>AR©CSËd9ZàÉW ´VEQ‚ 8ŽcY–ùW2R9Y?!OŽôÛ»\–Ì爂ð⸔•j—ŸúV,#I’aXŠfòùÂMïƒc/`N$E1 CÓt±XZ.î£Ñh¥rjO_7?Ãáp<çù\µZs¦‹ûõŽã¢XnkÝùl +…’ɤ"«öd¾^~Ëd2;‹ö®óO€MúqN + +endstream endobj 2878 0 obj<>stream +H‰b````dbfaecN.n^>8¿€ «€$Ø…EDÅÄ$$¥¤e„d@$Ã&'¯ ¨R/©¬¢ª¦®!²BBBšZÚ:º q=}C#cS333A!!6s K+k°¸­½ƒ£“³³‹««›»‡§—7HÜÇ×Ïß? 0È888DU54,<"(.Ÿ˜˜˜dlœœ’šæ R•ž‘™Ù999¹yù…(â PT\\RZVW®¨„‹gUåT×ÔÖyyÅëáÂYMYÍ-å­ q¦¶Ê¦,$ÙÞÑ ×ëênl‚¨„€žÞ¾~// ¦ 1Ȫ³š&Nšì Ÿ2µE>stream +H‰Ÿ`ÿŠe´‹'ëÅHµ¢jyw~xv~yx~zw~i¼’*ñÏM·¨l“k¸.Ö¿\§žtywŽgŸ|.™j‡„~“k¢}0‚n~¦yµŒ5‡}ryx€§y´Š5„{rwv€•j˜u.wqxwŠh!†oB{vtyw€ˆl3‚sY{vx†qJ|vtzw}…qL{wx„rTyw}‚s\}ul É“J + +endstream endobj 2880 0 obj<>stream +H‰b`dbfaecaagAœ\Ü,¬,€‡—".€".($,ÂÆ‚).*&.i + ‹¤”´ š²ÈÊÉ+€9l¨’ŠJÊ*ØÌQUS×À&®©¥-€M\GW›0‹¾VaC#„1ÈN26À4 0È6CÙÚØgÁî€MŠ Ó + +endstream endobj 2881 0 obj<>stream +H‰ÁKS‚@à_HœÂ¡¬‹å¥¼hÍÔt(œœÜì. °ò~kýÛ¾Š Å¥¼ìÛóÐýyéb†;„|ëìd.òvÐ4,‚ƒŒWM5¼¿}ÜÌo=ä4)³¶ïÎQx´Ì•¦Í0`R#Q™·]sjëq³~UUU–e (ÜŸÆM5šÏ¶ ¢(Žý_WŸ,Ó&n˜DYU´zËûeÀ’<­“(ݬ_t]—$‰Ç9Ø£ë«9‹"«¿>·ÚåÌÃ̲lEQç{±¸›^L]¤¼²ÍÕd"%Q^—ýÐ Ã%‘‘C‘5]3&qúøðô/À/îp; + +endstream endobj 2882 0 obj<>stream +H‰b`€Ffbfaaecg@qN.‡‘*ÁÍçåCSÏÀÄ/ÀÂ"($Œ.."*&Î"!)%l8£Œ¬œ¼«¢’² +« +Sjꬬ‚šZÚÈf0‚ÄYutõ@âªÈ¶ê(²k£¨Š›˜*蘙[h[¢‰[)²(ZÛ¨X¢‹Û*°ØÙ;Xbˆ3³*8:9kÃÄU¡Î‰»¸ºiCÍç€yÌ݃UÑÓKÝ}o_C? 8Š;Õ‚‚C´Aâï÷ + +endstream endobj 2883 0 obj<>stream +yw~ywyx~zw~ + +endstream endobj 2884 0 obj<>stream +H‰b` 0B &¢uÀ3z€€‰ ¨¬Y›ù$Š‡‡d†ª(N1!ÈU¦(#È8 w’LLÓ 'PA + +endstream endobj 2885 0 obj<>stream +H‰\£þyw~xv}}„“–”š„‚ˆZY\=<=///€~„Ž”~}HHI434zx}{‚…ƒŠ‘•ˆ†‹feh665---‚€‡‹‘rqtLMM333...yx~zw~„‚‰”iilBCC112000ˆ†Œ”’˜100‰‡Ž’‘–‹‰ŽUTW..-444<<=zyyx|MLNBABZYZ{y€€~…ŽŒ’…ƒˆZZ\<==,,,[[\ŒŠ|z€~‚344+++kjlª¨¬xw}~}ƒŠˆŽƒ‡edg666-,-//.&&&trvÁ¿ÄŒŠtrwPPR!!!nlp¾»Á†bac778>>?ŒŠŽÈÅÌ_^a334µ²¸ÖÔÚ{y‡…ŠVUX111BBB¢ ¥êèïêçîyw…~|‚kimGFGywy¿¼Âéæ탈}{€\[^???KJL“’•ÌÊÏ ¡–} + +endstream endobj 2886 0 obj<>stream +H‰b`@F&fV6v`@çäbáæáEçãÅP/&.(!)% —É‚ÔËÉ *(*Å•‘ÍQQåbS“‹K!‹«khjiƒ…ut|¨Q zêâú qiC#„rcS3s °¥–VÖqVA[;°¸½ƒ#\ØÉÙÅÕÍÝ(ê)íåíƒäY_?°­ÒA0aÆ`æPe°1aáõÁ\‘Q`Qie·è¨3ùbMââÁŽäHHLJN)OMKÏÈ+5ÌÊNÉû ¨…/7/¿âø¢âœ€‡l"Z + +endstream endobj 2887 0 obj<>stream +H‰Á PÐûŒehÒ$‰(%ù‰(ý(¤}®à½<©ÞùϾ:ÃÖ¨µ=”] +†v Ü$JË|ö»#¤ÞÆ1RUt× “°Èâ·ÿŠŠFôA>—Ù·H?gÕX1ü†ß™† ¤ÃlÊìúz¡—24‡ñ<­œ»¯€“y±ÇØDà$ωšŽ(€|Ì©Rk‹<ˆƒ|+Èî3$p +ê¼X¹XÐìšõ“™…¦B %$qÿ`§D’ + +endstream endobj 2888 0 obj<>stream +H‰b`€F&fV6vN4ÀÈÅÍÃËÇ/€..($Ì+"Ê!†...(!)%-ˆ. ”à”‘•“Ç”`PPTbRÆS‘bSUÀ¢ALM]Cá@'iJiic8d³Ž®ž>ƒÄ •”ø°I(ˆ°Šjbq*ƒ‘±’‰qS3s#l&q±YXZaó„µ­f0¤' X + +endstream endobj 2889 0 obj<>stream +èåìéæíÀ¾Ä£¡§ÖÓÚêçâ ¦ÊÇγ°·Ú×ÞâßæÜÙàåâéçäë + +endstream endobj 2890 0 obj<>stream +H‰b``dbfaeÀŒlìØÅ98¹±ˆ3póðbf`àÃ.< +ð€£0v + +endstream endobj 2891 0 obj<>stream +yw~yx~zx~zw~ywyx + +endstream endobj 2892 0 obj<>stream +H‰b`d  ê`bf``&¨‡I#vå¤; ° jg¡ØT„¯‘„e„Ë"ÙÁJ¦up`'v1 + +endstream endobj 2893 0 obj<>stream +H‰–iÿèåìÛÙ༺Á‡…Œwu|yw~ÑÎÕ«©°ƒˆxv}éæ튈|zµ²¹}„²°·{y€zw~ëèï³±¸yx~æãê°®µzwßÜã¼¹À–“šzxêçîØÕÜš¡†×ÔÛ–”›|{‚ÕÒÙ‹’vt{ÑÏÖŒŠ‘ywÞÛâÀ¾Åˆ†ÄÂÉ–•œ~|ƒ¹·¾„‚‰´²¹ úpaÉ + +endstream endobj 2894 0 obj<>stream +H‰b`@F&fV(@f`cçàÄçâbâæaÅ&ÎËÇŠUœ_hŒ †¸°Üx$kEÅ€â‚âhê¹$$¥¤91Í—‘•“gÅb¯Œ‚¢6q!eU„° q${ÕÔU5°ˆkji³€}%ˆ"Î¥£«ÇÉ* +BHâ\\úpÏ"‰3B j@€© +L + +endstream endobj 2895 0 obj<>stream +yw~ywyx~zw~zxzx~ + +endstream endobj 2896 0 obj<>stream +H‰b`À +ÑøLØ•‘p³™‘™IˆI\œ™;`BQ€Ka¡ºf¬LB¡ÅŠCŠ @€(< + +endstream endobj 2897 0 obj<>stream +H‰b`ƒ‡ + +endstream endobj 2898 0 obj<>stream +H‰™fÿyw~zw~ywyx|z~|ƒxv~€~„‡…Œxv}…ŠˆŽxw}†ŒŠzxƒˆ‹‘‰‡”yx~‚€‡ŽŒ’‘•„‚‰Ž”‡…‹š˜ž¢Ÿ¦†„Š£ §¶³º{y€³±·ÔÒØ{y’–¼ºÀÙÖÝ…ƒ‰£¡§ÍËÑßÜã~|‚‹‰·´»àÝäåâ鿼Ãçåëèåì Ýu[ + +endstream endobj 2899 0 obj<>stream +H‰b`d`bÀ˜±óš‚,¬Xõ²±s€(FÅ œ\ÜXÕóðòaçÄ*Î*$ $E0ÄEÅıª—ǦœAJZ‰‡P +'%tø„• ªàÞ2”YUTÕ°ØÊ¢®¡©7n§¶Ž®ž>ªR$'«°¡@€`áÌ + +endstream endobj 2900 0 obj<>stream +H‰Ã<ÿèåìéæíåâéÔÐ×¥£ªzy€xv}yw~ywâßæÈÅÌž›¢zxyx~êçîÛÙ଩°‹ˆëèïÖÓÚ‘—ÕÓÚŒ“vt{ŽŒ“çäëÏÌÓŒŠ‘wu|×ÔÛ´±¸†„‹ÆÃÊ™—ž}„zw~½ºÁ|zxw~åâ语´æãêßÜ㫨°ÉÇΞœ£êæíÞÛâ´²¹Ž•xv|”’™~{ƒyxÞÜ㺸¿®¬³âàçÍËÒÇÄˤ¢©€~…}{ƒ~|ƒ{y€ (ƒ† + +endstream endobj 2901 0 obj<>stream +H‰lÐi‚ `é-´´Ã,Ã,º»íúÿ¿*(fb‡áÃ3/;»hZV P,•uø+CcnVª(uKÈÜ®Õåbî6šª¼ÕöÔÞQz·×ÇbÙýÁ+ò 6}(Þälh¢ÈO)_ÖúÞÏæ $w`.WHÎó>ëÍv÷ÿûº~| $ + aÇõ9/”ƺ‡±.çÁõ$Éýñ$¯7J+÷h)ˆ + +endstream endobj 2902 0 obj<>stream +H‰™fÿèåìæãêßÜãÝÚáÌÉл¹Àº¸¿¼¹À²¯¶Ž•‡…Œˆ†€~…xv}yw~äáèãàçÛØßÓÐ×ÕÒÙÇÄË›˜Ÿ‹’”„‚‰zxéæíêçîëèïáÞåÁ¿Æ¸¶½¹·¾¥£ª‘Ž–’—‰‡ŽÍÊѳ°¸±®¶£ ¨Œ“×ÔÛÄÁÉÃÀȵ²º¡Ÿ¦åâéÏÌÓÚ×Þçäë +Xn• + +endstream endobj 2903 0 obj<>stream +H‰ÔÈI‚ П¨ÌÀ!p®(‡D½ÿáÄE ?º@oùÐc|<‹yµ,ÛQ\ØJ©çûAx‹â8I]¾çY^”UU7ÍýaÃRžâ%Þíþ¹ÒõCÿAƒ‘Þ¤?cŒÓYÿÍ"ÿÿ[3· + +endstream endobj 2904 0 obj<>stream +H‰È{SÁðo³™Õ*G£Åt7Læ})¯Îë†LÒ0³˜¼ÆHê+Tw¿¿~€ÎèMà?Øb>÷¸é×Ùoàßë`€œa—^:À·^õ' a×ê©X•%e·ù2‚f@ã§Ö0WÞ{íH:=8f%ìd.[Z~lÚãe! +ˆ@Ø@t(Œ7«½Ø—³™é œ„ûÊF¢-„Å#©©<×ÔƒºÜÍ&Ki8”v³{KùìV'a#1ôâSû^ÌÔz¥YÌ•KùJ0%ì7”‹æjÏòH)ªŒ?ì÷²Œ/tÇDÑt—$qÊÕÛMŽŸ+ëa_î½ $q2x“ÆâT]íÄ\«4jåF·#Ä"ÉP0–LÜóåõBzb&õ˜N>4êíÕ|û+ÀcÐ' + +endstream endobj 2905 0 obj<>stream +H‰b`d`b@Ì,¬lìì@#X€"ÎÅÍÃËÎÎÇLJªœ_@Pˆ]˜ŸŸ_ÈEˆ‹‰KH + KIII3Àµp±Œ¬œ¼°0›‚¢’ˆ2³(33Ð +>U5vaauuu M-m]~=°sô ………ŒŒŒMLLÍÌ-,-­@⊠å ÀÎÎnmckgoïàèè¨åälI¹ tus÷ðôòFˆ [¯³³¯Ÿ‹0:ð711 ”°FY¤¢ÆŽ¡Å:4,>stream +H‰ ÍINÃ0@᫱NÀ8@Å`¬k„‚.emihÓ¦iH㸙ì8‰Û™n@𾧠ÊECMÂı1šz¡óDò[ò +Ù³n[ëuûƒOÃ2F¹äeÆ$ ˜ OUëþéùñ­ýÞÓ&R%j97¡‚4Ÿ¡Ðs#‘JTåÚ‡þôøF¢»a·ÓïÍ‹iY.‹…5祀 ÀN1‘P`„k=ýõ¥M 6ZºnŽFÖ×fLJù ¼TPÇ Ç©ßMl-A$H°3Ÿ†ÆpÒ×F“±ãL}ßg%¥Ü¶gãñT©ŸRýõ3ÜðŽ–í´KÃ4ò™ëxw7Í«ëÉÄc!DM)†Ýl^Ÿž^t:ŸžGªBýYœìG·‹æƂш£l†ü³“ „BŒ£Vëugg¯ÑXÛÜÜ:?¿ä¼ÈÕ/²“NW̓¥Áú¿¿´-Ö + +endstream endobj 2907 0 obj<>stream +H‰b`ô€‘‘‰4 Ì,¬lìÆprqóðòñ 0ÂD…„¤°ˆ(¿˜¸„¤”´ PPV–QN^A‘AIYEUM]CSK[GWOPFßÀ€AÈÐÐÈXÀÄÔÌÜÂÒÊÚÆÖÎÞÁÑÉÙ…ÃUÞÍÝÃÓËÛÇÞ×Ï?ÀÆ6Ð.(8$4ŒA(<‚=2*:&6Î.>!1)9%5-=#3+›Ã$<'7/)?Íή °¨¸¤Ôº¬¼¢²ª Ài"e + +endstream endobj 2908 0 obj<>stream +H‰ÎÛn›0ÐÏ®¢I•ö›´·nR—6-!@°I¸¤ s7„6æ’Ëö ëÞÎã™Í¾üúùÜóËeø3õ÷‡‡Ùãã×ùï…`Ó(nCw½ ÷o߬5£®º¶ ížžž0‹¼*sZ`›a±P”€ÀÖuSU  [Q˜¸Âi™Å§š°Õ +ÈK})­ßÞÔù|yØQ€~ì»á nØ뵩(P’4?½Uh[‡0ÈB”½( +³®¯ãßÝîhYîv»‡ÐÑuKÓ6²¬¿¿k†á@hÐγsMxuf¤lXÓû~r8„HU7«”–º¦è˜ønäîÑ W£¸Ee$ŽOežï÷m»†±SCú /”—ÿa4õ7BxY6yNÓôE…놎ã›æA–Áë‹<Š+cccÛuÝWUW–-ÆU’”A€7›–ïÅ‚OCwiÛÑq·œœOM3ô|J’Æ„FiWׂҾ(h€²¥¬¼éy; ”FQž¦eUqJEÓô8#9&-íþ 0fw + +endstream endobj 2909 0 obj<>stream +H‰b`dbfÁXqˆ³±sprq¢‹rsóðòñ ðsaˆ + ‹ˆŠˆq11q"‰rs‹KHJIËÈÊÉ+(2\\IYEUMU]CSK”KjH\GWOI_EÊÀÐÈØÄÔÌܨ $nemckg¯çà(®çälïâêæîá ÷òöÊHùúùëéÚK¨‡„Í + ˆŒŠŽ±‰ÕÑõSŽwPIHLâLæäLIMKψÌôÉÊÊÄå䨨æ&qååqæ—”–•GEgeWTêúúåTU×ÔÔÔÖ%ÂÂ3"£|ê@šê5kšŠ‹ +››[ò[‹KÒ"ʽ@晊54´µw„¥vvu·Ö¶¶ö—ô¦gôõ‹N˜8qÒä)S;z›¦MŸQXŸ?£«$mæ,Ù&x¢ÍX[ + +endstream endobj 2910 0 obj<>stream +H‰ ÎÛnš`à'îšÞ6K³ÔlwM¶&^tuÝœ=(êŠ,C¥h- Õ¢ÈIŽÿÏ@Ðí Öä{€¤yšÐ$D0ÆÆÂüzñãx„ +Bö”þ ÈóâÙÙçÃッw§§¦éºÁ{ŒwUµ{4ü‚á ÆD&Ä\:77 Aù>èvûççå““ÇÇïK¥OÕê­eïZÓAI¨t‹a†`æXþýïž,+ï}^_×//¿—Ë•Êõz“eÿdIùɱ厵¹»eAJªëˇ‡ËÞ×jwWWµf“sÝx Žël;Ã…çì¯öp8Á¨ 3Mo2Ñz=aZF³ß¦i.Š2Ûä(.¬•»ñbÛeÁó·’4íó"A9~ƒ‹0D†aKÒÓ|n"”»N4–•øFh:QÇOï(Ù%1Ù¸±cûª2SžUhèCÏ õÙ|¦é8ÝÒ·LŒ-Óy],×e¥š23†m9ç®íw¸nƒ(º6ïtºæj&ª>ÏZl[ø#zÞ&ðƒPYšÔë UÕ,Ë~Ñ_@„HZH#™i0†±4W¦,ÿ 0_oyö + +endstream endobj 2911 0 obj<>stream +H‰b`dbbfaecçàäâæáåãä—”’–‘ŠËÉ+(*)«¨rª «khj‰3jëèê±ësp󘘚 ›[X2hŠYZYÛ°spØÚÙ;8:9»p + »ºYZ20¸{xzyspøøúùp +‡„ZA˜Tx„šš0»mdT´ž` G°AlHÜ2^+A “’SRÓ„…cÒ32-! , $œ%˜$,ìc™c —ËÊä ©©åÇ#ÄÃr! ¤´¬¼¢RSS*W–Õ5µu`ñxq÷ú†Æ&--11$9hni­k‡KÀÅÛ;:»Úº{z´µ´€2pñÞ¾þ %%™¥º'ä´´€Úª,'O™:m:Ó ff‰îîI==‰™Z³fÏ™; À g‰ + +endstream endobj 2912 0 obj<>stream +H‰ ÉÙV‚@Я–™YXeUDqC3;eMs=ˆû®iõGu_¯$©ºn=5_fÑâëü}»üœö×å|+ŠŠaؽáaw¾_wëã,Z2&S*éi«ß­’í<^%Ñrö¹è¶û²¬ù^¹^mÖ* ôÐ]Ž·ZФT´M7—ñÝŒŸµóOÖb¶NâÕ"Ùw—ëéÞí ªåŒ!Œ`‰b™b…²¦˜ÛË:y?_ 'ñ~sÚ®çÃWç­W-Õ1¦˜g>stream +H‰b``dbfaElì œ\ܬ<<(Â<¼|ü‚BÂ"¢¼¨âbâü’RÒ2²r,@’WàPTRVQSS×ÐÔ’“ƒÈiëèê雘š˜™[XZjEY¬¬mluíìœ\¬]ÝÜÕج¬4=<½¼}ìâ¾ö~~þAÁ!¡aáá‘^QÑ1pŸ˜””h–œ’ŠOI¥‡(†dd¦¤de; ?眔”\¨xL4?¶ ¨¾C¹C1P"^6J“S°ª/‰—ã¯À¶¯‰®W‘ + +endstream endobj 2914 0 obj<>stream +H‰ [oÒ`†ÿç6Æù0NJé¹h ¥Ç¯ç–r*f¶)’a6œ[²,ÑÄhL¼1^xcâ…¿B®Ÿ'OÞ¼³02tÇ®eú?ŸN£ù<^,â(ÚÄñëõúj³¹^.×–åkš¹Zm¶Ûý~¸¿|xx~||™N––áyî4æa¸œN—«ÕåbñJ×­áp<Ÿ¯®¯w··÷ww‡'Ï MÃuœÀó ˜…áb6‹EgÙãøQ´ŽãË««íÍÍÎŽ®Û†á˜¦kYžëN|ªë&–ë“É趪¶í»nhY®,k‚ †í8M5$I•$M–uM38v\ŽºÝ~¿Ï‹¢¬(ÀÐLYÒEQQU`šž¦Y Ó#Iz0x~$ŽdžG#y==µMŸírÇå*5Úmäîý‡ï_¼<Š±e:Ží¹ŽßjÂççç4Åæóùb±ÃÇ—H‚ 1å/Ÿ¿ýýóï×Ï߇§í›Ý»··»í~Ðçþ 0ÚÎQ. + +endstream endobj 2915 0 obj<>stream + +    !"#$% &''()*+,-./ 0123456&7'8((9:;8< =>446?&7@ABC+D6EFGHI>JK4 LMNOPBQK4RSTPUGI+VWXYZ,[\PIV=]\\^_P`B94+abcZdNefCghNeO[\\ijQVCklmknopqYr=stN,O[M\uIGevwxvyz{|ag}~€~N‚OfU^|ƒ„…†‡ˆ‰Š‹ŒŽpad~e\_[‘’“„”…•–x—˜™zzn‘š|pY\C,™›œ“žŸ ¡’¢‹m‹z™n£šq[hY£k¤¥¦§ž“¨„—©‰ªª‹kzp,,«Z¬­®¯Ÿ°°“±²³‡›´µ©l¶·|‘e¸¹º»¼½¾¿ÀÁ•ÂÇ´xlÄÅÆaÇÈÉÊËÌÍÎÏÐw + +endstream endobj 2916 0 obj<>stream +H‰ÁY@à?MÛ*[º ín—’+ä6bL“‘i¼0ãÁŒ?Ç÷A€*1%®†Ôüú¸¦÷0˜°LUSôGñ¼eÅ0˜²ážs>¦YšÇóç ¢Éòûùí· 1Q8{¿>ëx{6dMýË)›Ž Ì74²E‹xqEA‡³hSìB (r+9\lÚ‡,’Åúj±«rR§M(öÚ-ñ’¡cbºŽå‹HmÖ;f×R¤F× ®ü†k¢ + +endstream endobj 2917 0 obj<>stream +H‰lÐׂ0ÐŶ(  F ÅBì½üÿw¹Ì$3˜xò“ÜÙ,¹È”Ê•j ´äЮ7(®×lù¹Øît3›{ý×2tGãÉ?€ièFe6·£EäKp¹ZÇLAR(Ú¤iƈ´[qÃïoìGjŠ@u)‘pò2c(<_®7î'3A€õzçûñç+ÀÈz ¼ + +endstream endobj 2918 0 obj<>stream +H‰ÁÛ‚ п–‹€€Id\¼·¦¹u[n=ô}3ŠË/…¶çÂ|‡E,Ž•i\ëm"Xšºiãèm¤¹Lat&"P`À‘sÉ•(Tlú.My°ÐʤÐ×ÚÃŒÕÚMÃuž–˼n·çãþa¤\—íýúîûï/À ÅGç + +endstream endobj 2919 0 obj<>stream +H‰lÐÙƒ PPânÕ–V-Ú}ïÿÿ_â ¡æ!gîÜ!0îM +ˆb‡½Ò,G/ü8+WzM5á¬èëä\’¼u׶zíþ]OÛº?'ˆ½Ø#ƒòóaÆ¥}ö<ƒ‰CEÓcs8êA‚E7Ÿ€5´çœ^l½r.šE½ÞÈk¬«ûãIïzMþþ| šîÓ + +endstream endobj 2920 0 obj<>stream +H‰~ÿ“‘—”’˜©§­ÆÃÊàÞäéæíèåì’–•“™°®´ÐÎÔãà纸¾àÝäçäëÀ¾Ä™—ÃÀÇ›¡¸µ¼ÕÒÙ‘•¢ ¦ÅÃÉÞÛ⧤«ÕÑÙæãꨦ¬Ú×Þš¡¶´ºßÜãêçî­ª±ÉÆÍâß涳ºÓÐ×äáèÇÅËÊÇÎ =ë_ˆ + +endstream endobj 2921 0 obj<>stream +H‰|ÏG€0À„²¡÷Þ!Ôÿ8Çà£GÖjãšn˜¤„ Ëv\ ž¨ý !Áð(† ’45Q^”†ºi1t=º@4ŒÓ a‘+^lûálqO~÷äú‚[€Í« ò + +endstream endobj 2922 0 obj<>stream +H‰‰NÚÐßì¸ÊµB¹LKiM‡È6— +(Wdxá¸7œK–ƒ:9&ã,P Küƒ±—·µiQ«4 (^“J¥òÿ^C†Õ(Šá¸ÇM$é*æoûÝ17ÿ;c–Ïí~“î´~¬? ·±hÓä2¹P(Z“H$2™‚”¢7 Ãþ`¥Ü`†ì’]ͧ¿ÇÃÙ°Ç {“QR«Ò÷w*XÅçóP(AP*•iµ(ª_Dƃébög2š+JàÀãñD"P©„uº ‚0Å¢I´ZŽv»#÷¥0c8V©Õ‹Å&éz“ÀM øF·kÛ+\ßt;ƒÓPر÷N"Ã0lÀp’tS•ÆÙÉ¥Ûé1·Œ„Ù€(¢GÔlÚô{½îÈï ¾µï;ìïwmŽ7VÛŽÕ¶½½óaŸüZüÖ ž\·Ó{èò¹¾Pð<•È¦SW©D&Ÿ»Yq/ËÙê€<ŠERåU}lTjžÃÀIðâî{éÈíø>~Îäéz+àù<Ç租¨GšrÑHê2OƳ•rýg»×iýj7»ƒ³`Wét.‘¸Êf®K÷UºÖªQOT…žŒSfÉN¸ š Î + +endstream endobj 2923 0 obj<>stream +H‰b`dbfaecçàäâæâáåã—”b–f‘‘•“WWTRVQUS×ÐÔÒÖÑÕÕÓ—’2042–ã41533Ss K+嶱5¶³P1ƒ °¸ž…£“³‹+\ÜÍJ$®Ï,ãîáé¥ +6óöÑ×ó‰³ùù"ă‚%B¤t愆…G˜!@dTtLl\\|B¢=o?B<9*%5-=#3+;GÈ\!ž›—_PXT\RÊWÆ_ŽdN^^^EeUuMŽ2’b Èϯ­«WåçG53kÈoljùM¼¥µ­]Y@ Mج³«»§·¯‚ÙDTñI“§L6}FßÌY³QÄ ¸Va™ + +endstream endobj 2924 0 obj<>stream +H‰ÁiO‚`à_[ëøˆ‚H —(È+ +XÔ2ÖªbjŽ²rv¸\–³¼RKÍ®¿Ðóx ÌcððSR$¢ YŠGE%©ê»Æ> ¡zÚ80Øz‰ É™¹Ã ÷š$—«Ôn½FYŒ€‚]~i÷™ Ðyî±´“Õò©‹@ØÎvî¦ÖtÇ^ŽcTˆ å­âämFm²áPÔ­\Ž‡ÓédáGI-‘¹«?|Íÿ>§?8F¦´ìýms1û%pZ*†|zìÌ?¾}H€$Ø8H¦4À)Žܳj¿;bé°,*ñXRϹ=“¡9IÍFkØï4Å‹ap`•KÛʇx††æ55mŸ8Õó+'_¬ÕêŽSà8~mu}yiÅçŶ²†mþ¦{¼å + +endstream endobj 2925 0 obj<>stream +H‰b`@F&fV66vNTq.ˆ87\ xùøYØØØXP¯0H\D”Uœ_ $..!‰¦^Š ". 6œf¯ H˜UV¡^ž..« ¨„bŒ²Š*P˜]M]ZEœOS h +»š¶Ž.²0“ž*;›°°¾¡Š¸1P9;?¿‰©²8/¯9 ›¬…¥µ‘ ²¸­ ›½ƒ£“³‹Š¸Š«›»‡§—·¯²{xýdƒ‚CBÃÂ#"‘ÅeØÜ£¢cbãâ“ #e + +endstream endobj 2926 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?è(ê¼IZõ6º+‡«?Ä­>’nÈc|ÏÀ#jzñlëâ’Í°ää5Ôâ sÀ "§<­N…ý4T¯,I4)ú£s“ñ‘%óÒIÊÿÙ + +endstream endobj 2927 0 obj<>stream +ÑÎÕèåìÔÑØÞÛâçäëÝÚàéæíêçîëèï×ÕÛæãêãàçàÝäáÞå + +endstream endobj 2928 0 obj<>stream +H‰b`Ępˆ3ãgÁ!>Ò®p`ecç`gÃçäâæáåÂÔ`Z + +endstream endobj 2929 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?ïsI-9ë›=/¨‹f-ÂÇÍ€9¦Þ¬bõg³ÎÈr); ’7ïNJN©š)ÅËËÜ DWYü¿ŠKëuƾ™„ßm—Îhñ'rI¨v~®ÿK¿ú¿ÅPê¿Ò²ãiü¥x¢HôSë\¿å>stream +H‰R­ýyw~xv~€~…Ž”–”š¦¥ªÌÌÎÂÂÛ›œllmNNOIIJjjkŸŸ¡··¹yw˜–œª©®ÐÏÑÀÀÁ““”ccdFFFBABgfg  ¢º¹»zx|z„‚ˆ’–ª¨­ÁÀÄÌÍί¯°~~MMN<<>?eeg““•ÇÇÈØØÚ{y€™˜¬¬®ÎÎÐÙÙÚ­­®SSU668GGIxxy§§©ÕÕ×}{‚Š‡Ž¡Ÿ¤À¿ÁÒÒÓšš›iijDDF@@B½½¿ÐÐÑÇÇÉ~|ƒŠˆŽ¥£¨ÉÉËÒÒÔ‘__`==?EEGggh˜˜™ÈÈɈ†“’—¯®²ÖÖÙÏÏÑ­­¯}}OOQRRT}}~¬¬­ÔÔÕÊÊË…ŽŒ’µ´¸ÜÜßÍÍϤ¤¦ttvHHJ446YYZ‡‡ˆµµ¶ØØÙÆÆǨ¨©‚€†“’˜§¦ªÂÂÄÞÞßcceBBD==>ÅÅÆ··¸——˜–”™·¶¹´´µ‚‚ƒRRSBBCOOP¯¯¯ÕÕÖ¦¦§ƒˆš˜ÑÑÒªª«yyzKKLCCDˆˆˆ¸¸¹ÚÚÜÍÍÎ{y‰ˆŒ¥¤§ÐÐÒààá••–eef;;>stream +H‰b`€F&fV6vN.n^> ?BB@PHXDTL\BR +¡AZFVN^AQIYEUM]C¨^ *¡­Ã¢«§ÏÁc`hd¬o‚¤CÖÔÌÜÂÒÊÚÆÖÎÞÁ!á¤ãìâêæ®ìáéåíãê‹Ðàç¤f…ˆŽ‰‹NHLJNIM‹†KD§gdfeçäæyækÀ%JJËÊ+*«ªkjëê›àÍ-­míúö]<Ý =½‰¾þ ½ 'MžR0uZÐô:¸Ý3fêÍš=gî¼Úù .â(€I,^²tÙrö+W%¯^S¼Ö|Ìw3ÖOpÛ°q⦮üÍ·lݶ½"¾Ãiç®Ý{öîÛàà¡ÃG|·Ì>z ÀbZ\Œ + +endstream endobj 2932 0 obj<>stream +H‰’é[!‡ÿPÑ:K›kI®†äHFä>2†A mµÏ>±™ŽµTHµòlmrwퟰíïÓûá}ÞO?,Ž'°TÀ)›ÍæryL&sff†N§sysdø"Y‚Ìe‹;éB:•Ë¤ó§§õþàe<~zš¶ÛÝÊ÷ªröe÷@£ÖÎÏóÿOÀápgh4onÍlE™TžÀwRx¶X88?¿_ÇÆMïÏ°ÿ8îÜþ&ó»±H<K`۸ͺ.‘€€P.¯l¹ý‰8céd" c±V:¤~ýºÞÛR‰^FýçÁÓdЛ Ÿ¦•ò‰×ãs»<›¨Ûz‚þh4ŒmGñx, +|ðv¹Du:•£d~o2|ŽÞžÇï/“¿Í‹6™+æ²d#ÂÁh8¸ ÅqŒØÉä ä^½Þ^k?›¥ÃãÞðVmdÒ¤÷#ï —¾]Ôš(êÎdÈ~Úï?OGïçµVÏú¶Bn—oË(RV‹=Œ´Ûw½Þ¤R>u9½û¦ÓáF7<¨Ó{°wX=«“N aqyyÝí<ò{k«vëšÃnu®ÛÐ]r?™ ¬›NG"qŠª6/¯‰dÖ„XÌ&›Å¼îrnáq"è …"FJ¥ãûno·ðU§1@ZD™½Ùh° úµMÔ{BUƒ0ƒÁ`³9z=Ò½}ˆ†0dR¯@ ëŒzxÕ›vW1¿¿á@gggE"‰B¡¼¹º eK²…\¥ThTJZkÔzϦ†&“E£Ñ>î#€2pyyI­ThUJH§A´˜Ïÿ<ËøD§3®¯:f£mqA,J%" –ƒ’%)°,—*¥ ‚Çg1Ù,ç¦u·ŠXæ…ÂE@,’IDr@ü¡)± È.j-þœ@(E)(þ 0—¸æ + +endstream endobj 2933 0 obj<>stream +H‰b`dbfaeecçààäâæáåã—”’–‘eg—“WPTâQVQUSÖÐÔÒÖÑÕÓ70d626153·°´²¶±¶³wpptrvvqus÷ðôòöñõó´ +vp ˆŒŠŽñ‹óŽOHLJNIu€ç´´ô ¯Ì,îìœÜ¼|Û ¨xHHaQqIiYIyDEeUµ­­ „ÔÔÖÕ7465·´¶µ¨Ã„]::»ºº{zûú'Lœ4¹¦Z{ÊÔiÓ¦Ïèž9Klöœ¹yó â¡óÔ/\´xÉÒeËW¬\µzM.L|íºõ6.Ü´yËÖmÛ9vìÜÛݽgï¾ýZvøÈQöcÇáâ3Nœ<¹÷Ôé3ggœ;ý"LüÒå+W¯]¿qóÖ¾Sûoß¹{&~ÿÁÃG'N\}üäégÏ®=w|¹äÕ‰“7^¿~³çñõgooí0g)§ + +endstream endobj 2934 0 obj<>stream +H‰Á 7Úð¯dOC[aH"•c<åšyîTÊ1Tæ|s”5-MQ’PjÑ©Rÿîs¥Ã¹ï°ßÜ€Tžª¸gg5ÛãÑTâo:|~J¿=gþ¹œ÷f“uoW8BcP)tõ….èFÉÔÃÓcúõ1õj·;NNL& ‹­-/«`1ÙG™Ñ` £ñX2à ; Åh3nƒ¾èsúÍd2 ;}}ýHd1’S_ßÀfÏÈä'pïñøþh¯Åws³©×R‰§Lê%óð¬Óé9nGGgA"/ÚÔÔ¼°°¨R©\`·9¤’ã¥Åtc”1.=''…ª¤Óbñï»;§\~299…~$“‡…Â_n·çìLÅb± „ºÂ¢ÒÒO½½ýJ¥ÊãöSÐè*,§Pœˆ©TZe%ƒËdr‰DÊ`Œ¢Ñ~qqéÝ$Rk{{·a6[<ïõõ ‰Ô¶²²êóùõúë®®¯ÍÍÄ›S ƒÁFF臇¿?XSƒÍÊzWQ¢PhB¡¨®®‰,ÚÝÝ ‚§§ÊP( Ht ™šš>>– ÇëõUW×äææ¡Pè±±q— èîîÙÚÚ6Mkkœâât·µµ!‰DÒý=XU…¡R©"‘Èn·+•gjµ†Fihh´Z­R©ô¿Ñ°ª + +endstream endobj 2935 0 obj<>stream + + + + + +    !"#$% &'()*+,-./01234567 89:;<=>?@ABCDEF GHIJ + + + KLMNOPQRSTUVWXYZ[\]^5_5J`abcdefghijklmnopqrstuvwxyz{|}:z~€‚|*ƒ„…†&‡ˆƒ‰Š‹ŒŽ‘’“h”•Ž–)—˜R™š›œžŸ ¡¢£<¤›¥..¦§¨©£ªS“«¬W­®¯°±)²³´µ¶{W)·¸¶¹º³»N©¼>½µ¾¿¾ÀÁÂ/”ÃÄÅÆÇ.†¥›È*ÉÊË“ÌÅN+ÍÎÏÐÀÑMÒÓÔÕ’‘Ö×ØÙsÚ™llÛÜ~†ÝÞ²ß;‚³½àÝáâãz½ÚÝâÖ”ä™ÍÒÃ;¾¬«™ÚÒ|åæçàèéOeoêh“’ëX©ìUš†“¦ëÅnÒ”Ìíî + +endstream endobj 2936 0 obj<>stream +H‰Á 3bàdg0ì(¢S®õ´C²!Ä°ÙÚJ½×ñª'*GÇ’#I«¢U’Úœ¬+Jɶÿd¿O8% +$¾–LW‚ÁÐÓSúå%ãõú HÔÚ +ÔדŒ¾……ÅËË«··B<ž´Ù~ô÷444š +ápäááqw×ÅåòÈä†áá‘åå•ÛÛ?Åbñâârii™Nï&ˆ @ŠÈU6ëZ2‘Êçó±Ø™Ñhb21 ´ …àÞž;›}}ÿ{pà—Ëmm”º:,(€5ª9—ËÉd +…‚Ïçƒa¸½½…ªîêú2;« ‡Os¹üúº}lŒÝØØ$†äz!›Í:N‡ÁÔšLK¯¯¹D"Éd57·(ä*«e-öûìùùåêêšH$MNrŽtúùè( P @!HTj§rZ³aߊǧ§FK£uáp8„<o.—s»Òé=ª­Ëµwww/Éd2îîî99 ‹ÿ‰„Ùla±XX,®©©edä+,A燇þšÌèè7‹Å +(•3µµX*•ÆçO‰@™Q›W-Áàq$Ñéæz{Î÷ímG2™‰Ä`±ø¾¾– ø­ZçØÞ¹¿p»÷[Z>±X£$IªµÓ¹{~~±¹¹5>>A$#‘èãc: ƪ*$”"È´Çã¹¾Ž‡ôúyƒQQQ‰ÃáWWÍûû©¦P>—••kµ³"V«Õ~ÿ/Ÿï ³“VZZÎf§R7<¿£ƒ*+ “ݾÁårKJ>ÜÜÜáñ„É >J¬V›^¯¯¬üe29 +…öz½l6Ev»A4º:‹9‚À„]¢ + +endstream endobj 2937 0 obj<>stream + +  !"#$%&'()*+,-./0123"456789:;<=>?@ABC "DE0.FGH +IJKLMN"OPQRS(TCUVWXYZ[\"]^_`abc+defgd[h"ijkjlmnoapqrstuvw4xyz&I{|}S8~b€‚ƒ„…†.‡ˆ‰I!Š‹ŒRŽ‘’D“”'•–,—{21X•S˜3™U š›œ_žŸ Iba¡¢£¤¥ds¦§¨©ª«¬­®w¯ŒW°±²³+´µ¶·©¸¹º!»A¼kV½—­¾¤¸¿À`©ÁÂCÃNgÄJÅÆ{)Á¡ÇÈÉpªÊ3Ëba̸Í2ΊϼÐÑÒªÓ\ÔÔ ÕÖ‚ Ë+×Øk + +endstream endobj 2938 0 obj<>stream +H‰ щ:"à·RI*!%éÜ‘¥)r[× …6G(çG…ÏHH‡›’lKh)LM¥Fªevßc÷…ŸÃ©èìüf±lE£h:ýîõ^NOÏTWD"‰Ïç½¾&ãñ„ͶAýÜü|2Ô ŸÏ—L&ýþk†¹ÜÊþþ½={"ñšNgNOÏ´Z­H$&‰bñ—‰‰I·Û“Ja,›B)”JÁåå•@ àñxM&³DR;3£¿ºú¢±ŽŽN6»œF£+Šµµõ‡‡Ç@àvnn¾¦FRTÄàp8ÙíŽX,Ž ‘ííññ‰ööÞ|yA0ìíæ&0;;Çç Ôêáã㓃ƒC•J][ûU¯×ûý???ñP(ˆÇ ©vwm==½4M*•á8.—7ƒA«ÕJ  †Y) +†aggçF(Ö×K¯¯oL&‰”Ÿ—GXY1²X,•Jåp8Ølö?`s + +endstream endobj 2939 0 obj<>stream + +  !"#$%&'()*+,-./012345$6789:;<=>?@ABCDEF9GHI=JK%&LMNOPQR< S@TUVWXC?YZ[\]^O_V`aWbc?YZ2d45efghU%ijklmnoEpq4d2rYsCOtuUvwxyz{|}P~.u9T€ ‚ƒ1„…(P†^‡2ˆ‰{%„h(5^+Š‹Œ†#"}Ž.‘U’|“”•.FL–—˜™šD›K2œ$-žŸ  ¡¢vŽ£¤6¥¦§¨©’ªI«¬ž­®š=¯°9±²P³foL„BA´µ¶+· 6789¢JD I +°¸¹º»…r¼d½¦ "¾¿«ÀÁÂÄ’'C@Ä–Å šCÆÇÈ Ég_Ê·(Ë‹}0ÌÍRÈÎ,Ï.EgÐÑ9YsœÒÓÔÕUÖ˜×Ø3ÙÚËÛ6šVnŽÜÝ >”Þ;ßr` + +endstream endobj 2940 0 obj<>stream +H‰°OþèåìæãêäáçàÞäåâééæíßÜâØÖÜßÝãçäëçåëÖÔØÂÁĪ©¬²°µÂÀÆÌÉÏÕÒØÝÛáÍÌΰ°±”“–©§¬¹¶»ÇÅÊÕÓÙãàçÀÀÁ——˜kkkcbdsru‘“¯®±ÉÈËÛÙÞÜÚàÏÌÒÃÀÆËÈ嵶††‡YYZ<<=@@Allm››œÀ¿ÁÑÐÓÅÅȤ£¦„ƒ…˜—›Ú×Ýæäêèåë­­®||}OOP889CCDppq¡¢¢ÅÅÆÌËθ·º‘‘“lkm|{~¼»¿ÓÐÖÛÙßäâçæãéäáèãàæáÞåâßæggh==>>>?]]_‹‹Œ¼¼½××ØÂÂÃœ›nnoBBCDDEutvœž¾¾ÁÛÚÞàÞâÙÖÝÒÏÕÍËÐÐÎÔƒƒ„WWX557GGHsst  ¡ÉÉÊÖÖ×´´µAABoopžžŸÁÁÃÓÓÔÅÄÆ©¨«Žƒ…Ž’ÂÀÅâßååâèyyy446NNO~~¬¬¬ÎÎÏ««¬{{|PPQ::;IIJyyz©©ªÈÈÊÏÏÏŒ‹ddeUUWjik¯­±ÝÚàâàå 2¡ + +endstream endobj 2941 0 obj<>stream +H‰b`Œ€‘‰™…••S‚‰ƒ“ ‹n^>~A!6}Â"¢bâ’RÒè2²rò +ŠJÊ*ªjê,HRšZÚ:ºzú†FÆ&¦f\æ œœœ@ K+k[;{G'gW7wO/o_?sYÿ€À àаðˆÈ¨è˜Ø¸ø„Ĥd_V†”Ô´ôŒÌ¬ì-m›Ü¼ü‚¢â’Ò²òŠÊ*ëêšÚºú†Ʀæ–Ö¶öŽœÎ®îžÞ¾~€ÀÌ*î + +endstream endobj 2942 0 obj<>stream +èåìßÝãàÝäéæíÙ×ÜÚ×Üäáèæãêçäë + +endstream endobj 2943 0 obj<>stream +H‰b`´ŒL ÌÌÌX$XXÙØÙÙ90% y9 + +endstream endobj 2944 0 obj<>stream +H‰ Ì×NÂPПÖîöRJwi{K) PE}À ‘ð@b”ˆÌ‰²”‚È^Ž_ÐóGp¬dêьٖkê6 €ÑdçdhD O,Cq$8VÔÓ2a”ÁQ€!ÿ «HFX…¡ „¡4ITÙ`!´Èk€æ,è!ES È«’väYê2OëZP\Ü=Éeïn®ó©äyȉSÐpN½Ìs©Þn¾<Þ—S^Æ2c課yu‘öüÍòð9YvZÝZ¥U¯¶µÎm®à%Ò…|q2š¶¿þÛtÐ zþ°?.=T\dz¡+‹ºcÇï‹¥¾^ì·«¯Õ|·œm§ãEÿõ&Ù°jÆ¢‰j¹¹[ï7?•§ÆŸíº! + +endstream endobj 2945 0 obj<>stream +H‰b`€F&fV6v ‡ƒ“‰ *ÎÁÅÍ–àóøøù¡‚BÂ@ !~Q18—dŠ³HIó1 Yˆ„œ<#ª„#DBQ€U‚CI$¡¬¢€*ÎÀÈ« +Ö¡†&®À¯ÎÖÐÔÒÖQP€ëeP—:VXWOßÀÐÈØ.ÃÁÀdÔ ljfnaiemmÃÇÁȈ$ÁjkgïàèäìâÊÄÅÉ E I¬nîžö^Þ>¾~þV «ùA6‡„†……GDDDzGEE$¢c€nŽó ƒ€ø D"1! õ#w + +endstream endobj 2946 0 obj<>stream +H‰Á SÁà?ÛØÃXš°±ÉŒÈscÑQW®îÜõb¦mMqò¦Çèû¼ž“S’.dŲ¨ÊBU*Un:=C·'ÖÌ6§Ëùö°ýÛo~QØ2ꌱ’P}yÔô¡9óé +PȉtÀÎ#·P(Ë¢ªÈõû»þX³Ìñd½Ø»Ôb° wC!x.S-7*r]‘jífw»úÞ,TI'sçÉ\ŠÏórÿái44µa[³ÝúCNÆ>•¸Hr>žn5:óÏåêkèDpÌOxN²ÑD­r©*MÄí¥B,ŽA hÀö ÇuµÍD8–áµ×·ëN¯{uÛjv£t>stream +H‰b`dbfaecçàäàâàeàãçEˆ˜¸„„¤£´ «¬œ¼°ˆ‚"LVLI ”UTTÕÔ54µ´9ttuuÀ`Ô&%¦§o`hdlbjj¦Ãcn.Ê*¼–VÖ6¶vöºJŽ)'''egW7Mww°BRÙ“——וÏKÏÂ÷CNNJb8€2ßÆPQ¸0’~? U,:¬ƒ¼-œ0í e 3äŒPA•dŠŽ‰‹O°åuB¶B ÀóS/œ + +endstream endobj 2948 0 obj<>stream +H‰Õ*ÿyw~}„Ž””’˜“‘—žœ¢¸¶¼àÝäêçîèåìyx~§¥«ÅÃÉãàçéæí|z„‚ˆ‘•˜–œ»¹¿ÝÛáçäë…ƒ‰—•›¤¡¨ÈÅÌæãꇅ‹’–š˜ž®¬²ÐÍÔ¢ ¦ÏÍÓzxzyˆ†Œ¦£ªÛÙß„‚‰ŽŒ’¥£©ÝÚáxv}~|‚ŒŠœš µ³¹~|ƒ«¨¯ÉÇÍ}ƒÄÁÈ£ §ËÈÏzw~€~„º¸¾×ÔÛ}{Ÿ£ÅÂɃˆ‹’’—¥¢©Ù×݆„‹ÞÜ⇅ŒßÜã ÞqŒ + +endstream endobj 2949 0 obj<>stream +H‰lÎׂ0ЮBTJPı7Pì½×ÿÿ$3¼8\ÜÇ3;;K!)ª€’Ψû…läËëF̉iqPìBÑaq/Q·\©2ä5Q÷ìófKGÞîte¿×7›ƒ¡ì|-îÁx"©Š}&ëb¾ÐÑN°¤òüÊA¹»ÞØ·;*Âýá-.Ng?á—ëßx…±'Û{i  yþ“ô¯¸‰ + +endstream endobj 2950 0 obj<>stream +H‰rÿèåìéæíáÞåËÈÏÆÃÊÇÄË­«²˜–™—ž‘–}{‚yw~zxäáè×ÔÛÔÑØÕÒÙ¿¼Ã®¬³¯­´¢Ÿ¦}„xv}ßÛâÖÓÚØÕÜ›™ “‘˜ˆ†€~…{y€æã꯬³’—‰‡ŽçäëÀ½Ä¢ §¡Ÿ¦£¡¨–”›ÝÚá¿ÆÔÐ×âßæÚ×Þèæí cØ + +endstream endobj 2951 0 obj<>stream +H‰ÔÎÛ‚ „áÍ4C@ˆ‚(4,ì|xÿÇ ›é¢¡ ÿòÛ›0ÊÆyQLÊrJ*Ê£CˆÎk!åL©ùBJ™1æåq‰-­]åkâ\Ó¶ºÚàçœgµ´¢Û·Óø(ø~8ž$…•zð>\ûÔ‡·ûW?üoz +0_š  + +endstream endobj 2952 0 obj<>stream +H‰Á SÁà?]F³é¤äY1¹ÍrÔÉã6ãNG)Ͻ0¯1fÃ6þBߧïþÔ!‰Š(H¢°îµ™Y 2ÙLŠÐ¶‡­¬)’:Ÿ.éGuÙn‹Öwǽz4´ÓA;ê©ýK “¥0]‰sÙPÅÂK û̈¥y~0SÖZ(ˆ¥ÞÉt2›L9¢ØiÒ|:`ÇwßôyÏH¤”/ךõêO­Ò`zƒƒ~6› Àd.­¦ íHâo/XGcd¦@u¸>;æ˜Ñr.[øÊlƒA» rÀÖç­7Â#h Gq,Þ¨·¶²¾Sö0äpß?xÝOy²ÄÑü›¬Êf­–kë‘£†‹™Týür9ý~’xM—K•ÃÊã + +endstream endobj 2953 0 obj<>stream +H‰b`@ŒLÌÌ,¬lìì¨â œ\ÜÜÜ<¼||ü è@@€APHXXC‚ `Ä%!*&..Á()(ˆ.!%-#+'!ÌÀ  ¨¤¬¢ª¦®.Ɖ*«¡©©¥­£«§oÀh($„$gdlbjfnaiemckgkpG'ggW7wO/oooN¸ùúùûiʇpsûpÂ$BÍ\Ì\4´´ÂôeÃ#|P€‘ ÐFO%EåHt`­© `O®' + + +endstream endobj 2954 0 obj<>stream +H‰€ýˆ†‰qprppqrqrmmm```[[[\\\]]]^^^^^____[[\sss’’’žžž„„…š˜œ|{|zz{||}uuvbbbZZZuuu••”ššš}}~fffÅÃÈ­«¯¬ª®¯®±œš]]^EEEHHHNNNYYYaaa˜˜™‘VVWæãêåâéêçîÄÂÈRRS$$$***))):::TTTXXXˆˆˆIIIëçïðìôÆÄÊIIK,,,CCCGGGRRRggg——˜‚‚ƒDDDèåìéæí¸¶»556???jjjwwwLLL999çä뮫±\[^ + + + 888~~~ƒƒ„iij@@@...Œ‰Ž(')666ooo|||bbcêæîæäꌊŽ434213555VVVpppyyy000!!!¡Ÿ¤]\^[Z\QQQèå뢠¥¡ž£sru<;=76898:435WWWUUUrrrccc&&&ãàçÞÛáÅÃɨ¥«¥¢¨©¦¬š˜CCE222[Z[vvvAAA###éçîÙÖÝÅÂÈÿÆÆÃɹ¶¼jhk656989@@AMLM^]^__`cbcttt>>>"""åâèàÝãàÝäÝÚáËÈο¼Â¿½ÃÃÀÆ´²·ˆ‡‰xwy{z{€€€#"#ÑÎÕëèïìéðíêñ·µº«©­©¨«œœŠ‰‹srt%%%¾»Â½ºÁÓÐ×äáçÓÐÖÎËÑÈÆ˲°´ž ŽŒ~|HGI**+ –¼ ” + +endstream endobj 2955 0 obj<>stream + +    !"#$$%&'()*+,,-./01234&55&67 8-99:;<=>?@A$B$CD6EF&GHHHIJKLMMNO?PQR&S6TUVWHIXYZ[\]]^_`_ab&cdefgH-+hi_j]]k^l^_m5noop32Hqrstuvkjwwwwlxy5z{zy|}HIX~€t]\\\jwlm4yzUS@>HI‚.ƒ„…†‡ˆ‰=wl1VŠ4‹Œ$ŽHHH‘‘’“”•–—_a˜™C5š›œHHHIIžŸ ¡¢£¤¥¦§¨©ª«{¬­®OHHHHHH¯°°±²³´µ¶·¸¹º»Œ‹W¼Q½½½²HHHIIII¾¿¿À°ÁÂÃÄÅÆ©‡ÇÈÉÈÊHHHHHHHHIIIËÌÍÎÏÐÑÒÓÔ + +endstream endobj 2956 0 obj<>stream +H‰ö ÿywyw~zw~xv}‡…Œ•’™xw}‚€‡Š‡Ž†|z£¡¨£¢©¢¡¨Šˆwu|µ²¹µ³º³±¸•“š~|ƒ}{‚zxÖÓÚÕÒÙÀ½Ä°­´±®µ¢Ÿ¦}„xw~éæíÝÚáØÕÜÅÂÉš˜Ÿ’–“‘˜’—ˆ…Œ€~…~…éåìâßæÞÛâßÜãÔÑع·¾´²¹›˜Ÿˆ†„‚‰èåìçäëåâ鿼ã §™—ž…ƒŠêçîÎËÒº·¾»¸¿¯¬³‘–{y€æãêÙÖݺ¸¿¦¤«ëèïáÝäÈÇÎÄÃʸ¶½™–“˜äáèÜÙàÊÇÎÆÃÊÇÄË ¦9 + +endstream endobj 2957 0 obj<>stream +H‰lŽ×‚0ÅØ°a‰`AƒØ&X°b/XþÿgÄ2ŠÈN^ns;sõÅù|\nÊ×gëÍ8Gš¦ývK`(Ì0 °þD¢±8„0Á>0yŽK¦Òé ŸerÆ}àÓ!„ŽË‹…b©\©VkðÕë¨!IÍV»ueY¿!+}ž Gt–ýz¤ª*O&ÓY|^àÁ&ˆ¦‘媳î% 4{#Úlwûñ\:a DWΗëM´z£Ò$A8ÿ{L]×ï %* + +endstream endobj 2958 0 obj<>stream +H‰ ͇R‚Я­þ q† äê’ôÔÔìðÌSqg¹(÷HJsàlüB½x^:àqû}ž`.}/ +-—ºí‘²ØíÖß[åk³: ºc®Æ—Šå ›ÇÌøñÑ euR„ÃFºnBÑtªJäê~5߶¿“y¯3”^:¢ E a!AZ“'q;a±ù½¡Æs³# Ú;üö¹˜­÷›ŸÉhÚÛH‡h zôŒ$VÜn£.²l±õÚõåõr«õ‘P´úøTyàÊ¥ºÈKSy1Ÿ*ÊrœÂf#AY]Þ«ëb¾ ý +(Ž3·ñxŒE“‰xF ÃA&̳‰ (b2é- JkÔaô¥†t\­‘M˜Èf&åñlØ›Œï\UðЧÝírÐjý`ʢ͈ + +endstream endobj 2959 0 obj<>stream +H‰b`dbfaaecçà„.n^>~A!aQQ1q I0àä’’–‘•“WPPTRVVQUS×P×ÔÒÒ’àâäàÐÖÑŠëéë먛¨k˜š™ƒ d×R€EEE K+k[;-{{NN8pTtt6tqµ¶±Ò‘Ô† »)(¸ëéyxzyûøj°K€øHÀÑÏÓHEÐ? P ¹PA!Æ…0‚CBÐ Âä£=EÓa Î +ƒ‹»¡®§ª"£L˜£ cbbü@A€Ø¸¸ø„Ĥä$¥””$MùC<Ò + +endstream endobj 2960 0 obj<>stream +H‰l“ÿˆ†Œ’–“‘—®«²ÎËÒäáèéæíèå춳ºÛØßçä늈—•›¥£©ËÉÏ“ ž¤ÇÄËÞÛâ“—¦£ªÐÍÕãàè›™Ÿ³°·ÜÚᣠ§½ºÁáÞ并½ÜØàÃÀÇÁ¾ÅËÈÏ×ÔÛçåë ©0PP + +endstream endobj 2961 0 obj<>stream +H‰¬ÎG€ DQ0ЊsÎÞÿŽº–fç_¾šê!=?¾ )£X[Œ$ÍreŸÃee+P7mǼFƘ慬ëFžyÛAÏqhî§Ã¯›²3¾ò_5ø ’ + +endstream endobj 2962 0 obj<>stream +H‰À?ÿèåìéæíÝÚá¿ƼºÁ¾»Â»¹À¤¡¨”’™•“šŒŠ‘{y€wu|xv}yw~ywzw~ãàçÔÑØÑÏÖÒÐ×ÐÍÔ´±¸ Ÿ¦¡Ÿ¦¢ §–”›{zvt{êçîÓÐ×ÄÁÈÅÃÊ·´»™–’—”‘˜ƒˆåâéäáèÜÙàÊÇÎÆÃÊÈÅÌÃÀǘ•œ|zzx×ÔÛÕÒÙÖÓÚÒÎÕ«¨¯‘–“‘˜ŠˆçäëÛØßØÕܹ·¾žœ£ÊÈϯ¬³àÝä àˆ^ + +endstream endobj 2963 0 obj<>stream +H‰ÔÌW‚0@Ñ+ˆ`o%ÂØKlÛþw%ùQÏ ø>ïÇÌå ÅRÙ²*Uf;ç¼ærHÜ«7š­v§Û놶ñ$0 !päûãI §2üº)"ŠfóÅrµflöDA»½:Oò| á7MDÞU©øv‡TZk|<Ón–¯8ÓE¶ÿOoË 9 + +endstream endobj 2964 0 obj<>stream +ÿØÿîAdobed€ÿÛ„    +  $$''$$53335;;;;;;;;;;  %% ## ((%%((22022;;;;;;;;;;ÿÀ"ÿÄ? +  +  3!1AQa"q2‘¡±B#$RÁb34r‚ÑC%’Sðáñcs5¢²ƒ&D“TdE£t6ÒUâeò³„ÃÓuãóF'”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö7GWgw‡—§·Ç×ç÷5!1AQaq"2‘¡±B#ÁRÑð3$bár‚’CScs4ñ%¢²ƒ&5ÂÒD“T£dEU6teâò³„ÃÓuãóF”¤…´•ÄÔäô¥µÅÕåõVfv†–¦¶ÆÖæö'7GWgw‡—§·ÇÿÚ ?èúwE~/Wmµî²K˸2; õp_×é­£ô6mu§Ä³ˆU1zïQËd¶¸âèy*X¹9™y;Ë«©¥ÝûÔT2ÃÔþµ²¯¥] ñä’«õ^ÝÙù]AÇA0Sb¥SúõܼÙ$JŸFú³ÿ&å|ÿ*KÎRAOÿÙ + +endstream endobj 2965 0 obj<>stream +H‰Á‰n‚0Ðÿÿ¡ ”^™åP(›¢E¨muYâÅbtÙ{÷ûýõz]¯×$I…RÊËåâØ`ôfÙ¶SUÕï¿áñx<ŸÏ1™@€l 0ÆŠ¢h[5 Ãf%E^~ñ¥7ö‘K0¤`qY–Æ¥”núZªy”&q6 Säbœó$I²,ÓZŸÏçÛífÚC-õ®j?ùÒ÷¦oê{Œ/Šâ0 ‹¥i{uìô©7߇ýO³5¿[#Û¶kä¸f<¢(DÑ÷ý^Ú]7‹Òw:.†.¤„BW«Ü´½iúu¾!hì:8!¼-›8˜3?dÑÔ\€0"ºétÝ©º[çå<æ;©þêýüÚ + +endstream endobj 2966 0 obj<>stream +H‰b`Ępˆ3³°²agçàäâæáæåcdäCçfaaâE–—”’–‘•“WG¶KQQIBYEUM]CSK›MGGªIOOQßÀÀÀÐÈØÄTÖÌÌÜB&®gieeemcckgïàèäì"ìêæ++O/oII_?ÿ„8Y‡„Ê„…³¸! ƒÌ‹ˆŒŠŽ‰•ÓCŠñ ‰IÉÉâ@MV)IIâMŠØÅq€j5* + +endstream endobj 2967 0 obj<>stream +H‰ ÁÛ’‚ à÷¢Ì@‘¬ì¢¦pv,¶üA±óÞàGeû>k-ç´Ö}ß›Þ Ã€±Tʬª*]jcÌaÓ`Eé2f1;2!DY–u]7M3Žãïö;æº!ŒF m¢ ˤ ”º«÷-ePˆk.S—œøÔ÷‰çùa¸z|Ÿçíï‡Bºö0!$H’ÄÚIBÁ“+?¥ 9ÎÜqÜÙl¾¶mÛv]7MÓ¿¢£Ö + +endstream endobj 2968 0 obj<>stream +H‰b`Y€‘‰™…•• Cœƒ“‹™›Cœ—_@PPHX„KT Y\\BRJZFVN^AE“’²Šªšº†”¦–¶Ü"]]= Ð7000”12Ò46‹ƒ€©™™9¯…¥•µ°­­›½½=@€0ª  + +endstream endobj 2969 0 obj<>stream +ÿÿÿ + +endstream endobj 2970 0 obj<>stream +H‰b`ƒ˜ + +endstream endobj 2971 0 obj<>stream +H‰ÁÝC0Ð÷$íG‡ fÙ.– ´Âô¿eÉÌýÎ12hái;6õã~{–E"ÀP„Ó4×ZŸ¿óø3´cCÏÛ-®!Ù…ä$ÉÆÆàƒ³ÎªÍªÝÈmboÚñ¡Ÿª²ÄZ¹Úe’3_Ú½ùüùdÈ + +endstream endobj 2972 0 obj<>stream +H‰b`€F&ffV66vN.nàåãã—@’”””’–‘••“çPPTd@JŒŒŒÊ*ª¼¼è }jê@€!1 + Þ¯ + +endstream endobj 2973 0 obj<>stream +H‰½BÿèåìéåíêåîÝäåÏâÛëåîÀáЃ٧ìåï¶ßÊk×—ïæð²ßÆ\ÔŒëæí©ÞÀTÓ†éåìÇâÖuÚž=Òw¥Ó»A½q$¹]êæî–Ä«)UšIââçz¨Ži,g*äãéÒØØmž‚`'^%êæíÝßã¯Ã»V‹lW"T!ÜÞ⧽³P†gR ÚÜàŸ¹¬M…dW%T$éæíááæÅÐÍ“°¡g“zg’yæäêÜÝá½ÊÇ ¸­ ·¬ Cðz + +endstream endobj 2974 0 obj<>stream +H‰b` 02affÁ*ÎÊÆŽUœƒ“ «87/Vq>~l‚BÂ"Ø\Ã(*&ŽM\BRJ›92²ròX”+(*)«`Š«ª©khjaŠKhëèjéa×7042Æ7153·°Äâ+k[;€â' + +endstream endobj 2975 0 obj<>stream +H‰%ÚýùùùÿÿÿôôôþþþÈÈÉÞÞÞâáâàààãâãõõõ¾½À½¼¾ÁÀÀ¿¾¿ÂÂÂáááøøùúúúÇÅɹ¸ºº¹º¸¸¹ÃÉÅ×ÛØÛÛÛÚÚÛâââùøøáßåÛØÞÚ×ÝÝØßÉÐψµšŠ±™±¶´·¶¸½½¾èæèûúúúùùüüüéæíêçîÏàÚhËM·vw½“¾™}·”´›©»°»½¼º¼»ÌÍÍñññýýýèåìæåëÇàÕY̆.ÂfMÆ|SÈPÀ{W²y²»¸±¹¶º¾¼æååìëìëêëøøøëåîÇáÕƒÚ¦6ÑqÍZ"Îd$Ðf"Ëc%Â`Sƀ̟~Êz¸’µ§º®¯º´°»µÇÎÊÞàßáàààßàëëëéåìîæñ¯ÞÄMÓ!ÎcÌZÍ^Í_Ë^Ç]1ÈkEËyEÊyC¿rR¶x‡¼¡À¯¼«©»±ÆÌÈÏÍÎÏÌÎßÞßöõõéåíäåéÑãÜÙ¤Ì^ËVËYËXËYËW ÌUÏ^Y׋yÜ¢sÔ›lµˆ…±–¡µ©¤µ¬°½µÅÍÇØäá”ܲNÓÌ]ËXËZËZÍa#Íd#Ìc"Å_8ÄnhÊrÌ–mº‹s°ŠÓãÞ|Ù¢:ÑtÌ\&ÎfPÓƒYÕ‰SÂ~U³yíæïÌâÙTÓ†Ì]ËYÌ]"Íc$Îe#Èc#Ã`ßäæ²ßÇ?ÑwËUÌXÒãݞݹËV ×Sr + +endstream endobj 2976 0 obj<>stream +H‰b`Ę˜qH°°²±spb‘àâæáåãg`DÓ*$,",*&.!)%*!#+'¯ ¨¤¬¢ª¦Î ¡É  ---m]=}C#cS3sN&N °„¥¥¥•µ­½ƒ£¢“³‹«›»›TÂÓËÛÇ×Ï? 0(8$4,<"2*:&6ŽÁ2>!1)9%5-=#3+;'7/¿ °¨¸¤´¬¼¢²ªº¦¶®®¾¾¡¦¦±©¹¥µ­½£³«Û³§·¯B-L“&O™:múŒ™³f{Ι;o~]-Ô×ÕMX°pÑâ%K—-_±²¬Z½fíºõ6nªEuê6oÙ:oÔ|¨=P6@€Ìmª + +endstream endobj 2977 0 obj<>stream +H‰Õ*ÿÿÿÿØÛÙÛÛÛÛÚÛèèèýýý™³¤µ··¶µ¸ÆÅÆòñòúùúùùùúúú³“ ·«¢¶¬ª¹°ÌÓÏØÚÙÙÚÚÛÜÛíííüûûûûû<ÄqQÇSÈP»za±€™¸¦¶»º¯¶µÇÉÈîìíìëìëëëïïïÍ_$Ïf!Èb-ÂfdÇŒ‚Ì¡{Äš}´“–·£«º²¯º´³¾¸ÐÔÒßáààààþþþÌ\Í_Ê] Æ_9ÉpFËzDÈwBºp]¶€¾¤žÀ­šº§¯¿µÇÍÊÍÍÍÝÝÝüüü ]Ó˜û + +endstream endobj 2978 0 obj<>stream +H‰b`©€‘‰™…›;'7/†$¿€ °ˆ¨˜8/¯²„¤”´Œ¬œ¼‚¢’²Šª8’„šºº†¦–¶Ž®ž¾¡‘±‰‰Š)DÂÌÜÜÂÒÊÚÆÖÎÞÁÑÉÙÅÅÕ "`Æã +æ + +endstream endobj 2979 0 obj<>stream +H‰ ÎgNZà›Qyì½eòD(ˆT†Œ:YBeÁP5 +¨DV"ŽjUúÇ^@{¡ö;ÁW©TÆãñd29::r8<ŸB¡ÉåÊ`0tuÕ›N§årÙf³3L6{Áh\ËfsOO¿>>þÞÞÞåóù•]­VFÃá0—Ë[,‹µ@£ÑAP~í÷Óéïtú‰D† [©T~yy}ÿƉD—Y,Öùùù`0h6›Á`pii ‡Ã[,ÖL&;™Ü¶Z­íím…B‰ÇãE"±Ïço6[Ïϯoo„B‹Å¾ú_ìõ6668.Bg³R©Þß?äó«ÕÆåòˆD’JF"ÑËËžÉd¦Ó§ÛíB•ÉdR"‘_jµï77“D"i0|b2YT*U§ÓÅbñ~øøøt}ÝÅbZí +™Lár¹ÝîåÞÞÞò²ƒÁ†Ã‘VëÇÎÎÎâ¢Ìf³år…»»ûz½D"‹+ +B¡‡Ãéõúv»Ón·™L&‰dsÓ{||üððs0²Ùl‰¤T*“ɤÕjÅ`0kk¦d2uzzj6›Édòüü¼L&ÛÚÚ:9©ëõ:N£Qwww5 ‰äóùëëë¹\®Z­‚ ˆB¡#‘ˆßJ¥‹%•Jy½^¹\@ Äb±Óéìt:ÅbÉíö^\\”J%¹\Fy<Þìì,‰òûýõzÝd25ƒƒƒÁ@$ ‚ÏçÓjµp8\­Ö`±‡ã³B¡Äþþ¾J¥Êf³…B9®b±˜Éd\.W8F£Ñ Ãh4†B! †Åb].÷ÜÜAjµzff'À`p@`·Ûãñ¸Ûí¦P(«««étúŸÉ º + +endstream endobj 2980 0 obj<>stream + +  !"#$%&'()*+,-./0123%456789:;<:9=>?@ABCDEFGGHIJKLMN32OPQ?R(STUVWXYZ[\]^_`abcdefg-hiejLklb4mnKQopqrstuD4vAwx]y_z{|}~€‚2Sƒ„…†‡ƒˆ|‰ŠR‹(ŒŽ‘G _’“”i•–x—˜™šR›5œ0–ž4,XŸ et¡¢£¤U¥¦§v¨©ª jxy«‹¬­Af"®¯‡°±²6³v´cµ*ˆ¡!¶·¸¹º»^¼½]¾u¿mšHœ7À°Áv¶$ŸÂÃ7¡Ä¼Åº±ºÄÆ¢ÇȈtÉÊ‹Ë̦ŽºoÍ™¦ÎŽÏЯËÑŒƒÒÓ…³ ´Ð|Ž“Ô_‰ƒÕ}fÖ«¢³×Ø„½mÆv£®ÙΘs!y“Ú—ÛÜ݆ÞÂ7Òßàhá†wÎu~mš + +endstream endobj 2981 0 obj<>stream +H‰ Ž[OÚFÿ•™Y‚.ÆL¦nÓÍÛ‹."¢SÓ"´\¤Ð–;¥´*AªØ +A@* u›»üœ‘|o'çäS(FÖÖÔ$–eùïߥÒ5‚8—–––õz0Ž¦R§Éd&™äAª×;ÝîãÃÃ^ïg¿ÿëñq°—§§ßÏÏFGßhµ›Ñh¬^o¼¼¼äry‚?|˜•ÝŽ2LœãN8.Óht:Çn÷ùþþ¹×ûÑïÿ|ûvÊ`0f³ÙV«Í0ÑõuÍÈÈ(¬†B–åâñÔùy¾Z­Ër«Ùì¶Zív¯ÓyZ^^r:Ñv»#Šâ~½ºº‰±l2㎎ŽÏÎ. +…r©tS.˵Zóö¶Õhtïîz·ÛS©TON2F£i~~a||B«ý‰°Ñh"Ÿ/JR¹X¬^_×*•Ûjµ!Ëwõz»Ù¼ßÜܤ(Z–gnÃaJ£ÑÌÍ}\YQA•¢b4Íó_Âq— ˆ‚ ±±±¡TNÎ̼G4¤Òï'‚Áp"‘æùó@ pyy‰a˜J¥R*•³³ív§ßOú|AÇïõýþP(D3ÌQ,–H¥øtú”¢(I’X–ApaaqkkÇét¹\^§GQ7Ž{].ŸÇ Š$#¢(Aµz]£ÑºÝ~‡³Z›ÍaîH„áù †áðyllL­ÞÀ0ÃÛlˆÙl³XQԅ㯗 iÚ`8‚ ³ÑÁ°ÅjµDE1f}rrrxøÕÞøí›^§Ûûþ}ß‚&“ †a«Åb€•‰‰ …B¡R} ‹N§ßÞÞÙÝÕéõ{F#l2™ÿ 0h6·u + +endstream endobj 2982 0 obj<>stream + +  !" #$%&'()*+,-./0123455 6789:;<()=>?@ABCDEFG HIJK7LMNOP&QRS.TUVWXYZZE H[\]^_`abcOdefghijklmnnZopH6qrstuKvwxyz{|}~€‚‚ƒF„ …†‡ˆ‰Š[I‹ŒŽU‘’“““””DF•#o…†‡–r—˜™š›œžŸ3  ¡¢£3”ƒ"FG¤o…¥‡¦§¨©ª«¬ ­¡®¯°±¡²”ƒ³´G„µ¶·¸¹ºj»¼½€°°¾¿ÀÁÂÀ¡ÄÅ‚Y´E/ÆÇÈÉÁʾËÌÍ!˿ξ¯°±£Å”ÏÐÑÒÓÔ¾ÕÀÖ×ØÙ×’ŸËÚÛ¾ÃÜ¡Ä·ÝÞßk½ÚÖ!àáàâØã׬Ÿ¿ÀÁÂäåæçè ’’×ØéêëìíîïðàñØã’ŸËÇòóôõöããÙ÷øùúêûìí,ïüýñÙ×’ + +endstream endobj 2983 0 obj<>stream +H‰»DýLLM...***EEEccc~~~|||___>>>(((!!!888YYYwww€€€uuuUUU444//0KKKvvvŠŠŠIIJ+++HHHeeezzz[[[<<<&&&###:::qqqQQQ000001NNN---LLLgggxxxSSS"""'''@@@```}}}{{{fffGGG)))555TTTyyy†††PPPjjjtttiii,,,^^^???$$$999ZZZtsvKJK&&';;>stream + +   !"#$%&' +()*+,"-./0123456789:;$;<=>*?%,@ABCD9EFGHIJ+K6L?54M NB%OPQRSTUVW5X$*YZ;[ \]^,_`abcdefgh6[*Y3ij$./k3lmnopqrdest!u+45.?vY3wxl___yz{|}~€‚ƒ5B„*<3Zllllly…†‡ˆ‰Š‹ŒŽ +.>stream +H‰ûOÇÿ+Ùrcø# |5àx¿ïà€»ãîÍCS&¿”0+³òÉ4¢ÈÌf›Õr6 +’& ‚’õ/tõó÷ùI&“‚(•J¹\n4šp<Ìó1Ž‹°l„ B^/ Ã~¿? ‚aŽã!‚‡ÃI2Å2 ŸH$¼^¯L&#Išey†áhš q‚<Añù|¿AÑ †¡IQ M³Ç™L&±X¬TªH’° Óét¹Ün7(äPîëë“JeÁ A¤Íf·Zmv»Ýáøot¹@‚a¡\8' *•J"‘¨ÕC(Š™Í«ÕúÏëp@×ã-Ëàà ^oÌf³Q@5™-«^¯—J¥  †ÑÑÑÐ ´Ó‰$Ëph4N§P(úûïŒÝµÙv»3·¶±º¼>Ÿˆð±XtœcyƒÁ(‰†‡Gv¶w?í}Y]Î-=_ÍÎ?ñÁ>ЩÕj­Vº!šbSÓÙùÅJ©vyÑ©Õ+åÚqåô`ÿp6ý(ÂG£Ñx,'ðPˆ/d_¶>¼ß;;m¶^Ÿ5šßO/jÕÆ»íÝÍü‹B~³NÍ¢¦Õj}°rrjæAj~.[þV½éüî¶]_Ý~þ¸?›~8s?Äæg&Æï={ºTxY|ûf§QÿÑëþ¹nßVJ'ÅÂV!_ÌÌ-04{xPz•/Õ;­ÞÕåM§Ý;üZZ_É­­ä.Î['ÕF«Ùé¶o›ç­j¹^-׺íÞ_‹û6Á + +endstream endobj 2986 0 obj<>stream +H‰b`dbfaecçàäââæáåãå—`â’”’–‘•““WPTâSVaURS×ÐÔÒÖ‘‘TÕåÒÓÓ70”WàVäUj2261537ã3°°´°²¶±5°³“·wptwvquãçS´vw÷ð°ðô²Ñ×·óö±wðõó·s°—ô÷Ò v±´ð´¶Ñ7° q·³ 7° ÊøzXZd"£¢í¬-¬cbã⣚4ÝC<,¬’’SRC‚Ó„Ò32³²s¬ãšrƒ4}ÃòòÝYü-¤ +‹Š‹JJËâã£#X4Ë]*l*«ªkjëꛚ[ZÛÚ­=}v%y9vtvu÷ôöõ÷M˜8iò”©Óxl§·Ì˜9köœ¹óà`þ‚…‹Ûê-YºlùŠ•«æƒÅVÏŸ;wÕšµëÖo¨Ú¸ió–­Ûæ!-[·lß±s×î=ó硽ûöØÒ{]¨¥· Àò–Ë + +endstream endobj 2987 0 obj<>stream +H‰ χR‚Яï: ×™ƒ„AĉÈPöž²±aýJ~Á»—eM–ÝòüVŸeùUUßuýS×÷¦ù­ªŸ1ŒÀqgž—5Í^­ö›ÍÁ0\Ëò'L’E #‹õr¹]¯©í–Þï™Ã;yŽ“A9Ÿ5Y6TÕÒuÇ4=Û|?yÿ€ A0]`ØÇW4Í3Œèyq\Ã0¦“ <"0Œ²¬(IšëFÝî ßãáœL šÁðAðùœÀq’ 6$¹£(†ç/¢¨È²Ùj//èv:ý^ïm<ž‚à‚,b-—§§Öóó+ôÚí>>¬Ùl†“ä–¦9–}”ÅÓ]E + +endstream endobj 2988 0 obj<>stream +H‰b`ddbffaeeccggçà`gçäädæâæáåc``bbfHq?;;+ #Ÿ /P’™Y˜E„•“Ÿ¤MTL\BRRPˆ——ÙP))i°HÌPNNY9y˜·HØPNNE%e ¤ŠŒ˜˜„€¤ ØL&&Uaa5u EM-myÛT™uõô 4•Œ!†B$²&¦ )>%c3s °> KK!!+k[;{G'g5W7wO/io¡>¾~þ¶ AÁ†`ž:Ò!¡aá‘Q~þ@m&¦@mÑj.1Š m±!qñ ‰I>É)©ié™vöYúÎÙ9Š¹Æ²yù…E@Éâ’È”R«ô2¤£syNEe^~U5H_qMmJPªè–†Æ&€v@! + +endstream endobj 2989 0 obj<>stream +H‰ ËY‚C0Ðû¦ "DˆlDˆ,ÄÒv:s•ñÿÞ4-Zûa°}o¤œ8×”*J{B$ƼªXY¶EÑä9Î2”$å㓤 Â•||°v3f¦ µSÊvbB3¦éšF"DË’@X€Ó¥iù|ÂÛ Üïd¨Bm…HŒŸey…pz¿£oÛ+Š,Eçù·ïßmûY×·÷‡”cQ<¯³¬:Ž_ç.”š9 lœÛæy­kã{Û>û~­—µÑ˜¥ïgƆŽyŽËrÇwC×JŒiÓ𶕌õRj­í4ykWç"„!ÂXgLø`_æø + +endstream endobj 2990 0 obj<>stream +H‰<Îi[‚@†aAÍÔÌ°K[´ul  ¬0` ²Ý´åÿÿ‡ÎŒ]Í×ûzÞ9)ALg²s¹ù|¡¸PZ,/I¥Š$-¯¬VåZ½¡4×Ö[íüÆæÖv§ÜÝÙÝÛ?8DXÕ{¢ÝÑ1ÃJGêžtO Ñ‘¡šU9%4Òg çý‹ŽE¸`ծʃú¥Ò¼ÊµÚ…âuŸ SÈœaŠÝÒœkÝä]O÷ W¢ëˆªv„ÛLöŽºžçû<ƒç#9÷²ÐP2QSê"¤C˜$ džM[“”@–•øº5g8ÌTŒ©ë²Ñ„Ÿ‚P-‚Ù¶9Ûd£³CŇ?Òþ7g¿ŸÄ·À‹clì;ÿùåõmôžÇ “) ©1l~~}C7®÷~ÂI0uXQú+À…õQr + +endstream endobj 2991 0 obj<>stream +H‰ŽÙŽÒ`…ŸhYd+ÝnPZ(])hY:l +'câ èè“I&™Ä oL4záSøs.Ï–O’Ú­VGQ4EÑUÕÔõ®aØ–Õïõ½ÞÐqÜÑhâyÓÉäv6[øþz±Ø¬V» ØïvÑ~Š¢»óùþryEI’Z²¬¨ª¡i¦at-«gÛƒáÐsÝéxìO&sß_-Ájµ ‚p»=†áéx¼DÑ;Žx¾^¯‹F³Ù”e )í¶Úéèºn™¦ÝïÏóüù|½\nÖ릫Õ*Ã0Ë‚eSeÓìu»ŽãŒ¦SÀ¹$IŠ¢*•Jãê‚ž%M³lÛé÷‡ƒ;Ž]w† årÃ0ÇI’¤k5–aøb±T*EQŒ (žL­\.—Ï +!,+t:F&“Éf³o¯QAP Ã)Š„F*•J§¯9‹H2™¼¹¹ysðÓ`DÓ•jµ–H$`]‚ !‚aEq'š¢ÄsB<‡ ÄÝù~„LM§Ràæûë—ç×÷öáñ°‡“¦ê±X,gÐßßÿ~ýüóüíåñãÓçOO_¿ÎçK½’æ 'ÿ 0äÏÿ_ + +endstream endobj 2992 0 obj<>stream +H‰b`dbfaecçàäâæáåã—”bd’–‘•c—çRPTRVQUS×ÐÔÒÖÑÕÓ70426‘65c—7·à¶äå³²¶²µ³wÐÕstb261‘‘uvátjss÷ðô²³×ñöñub`èÇÆî¬aëå6P +l œ>stream +H‰ Õ–ªE¿vîŒbb7v£"Š( +*b` b·`·7çò¼×Ùk¯Óíò7âùÉp8›L–³Ùz>V«Ýfs„Ónw9nÇãý|~^¯¯ëõ}»ý¼ß=¿ŸÏ?¯×ß÷û_»ÍÇ‹ét5ŸoW+q³Ù ÂQÏûý•¦› Ã6›]–ýîtú½Þ€ãÆ_*•ª%åÕëõ6Ër.—Çíöy½PHRÅ¢QÇ «Õn·;NÈétC×ïÅb‰x<‰ã¥BªTê&“Åb±Ùl›Íép@0œÂ0‚ H’¤AP§Ó “Ñh6™$‘+‰#HE1µZ«Õ‚ ¨7›­~8‘@’Ét:…B¡T©ÔjµF£!Èóùù%“Éår”J¥J£‘†:½Þhµ:>>~|IPÈå +•Jó_€Ä :„ + +endstream endobj 2994 0 obj<>stream +H‰b`dbfaecçàäâæáåãcJˆKHJIËÈÊñðòÂ$ä•”UTÕÔY44µ´u¸¸uõô … ŒMLÍÌÅ,,¡Ú€R¼üüVÖ†F6¶vöæb@¤¤9¹äxt]\Ý€rî¶vfæž ËX¼8d¼}|ýü¬ƒ€2 +Á!Ê*Œ¡ê,¬šRaá‘Q¾Ñþ1@=lMª0ÆƉÇ'$&%§DF9G§ºÅ¤¦gdšf)f3¨ääæ%$æzƒeŠ@®0ºB©¸¤´¬<>¯¨©² +lWuM{­I]}CcSNy|BERAxD3H¦%­5¨­½£³«»¸¡§ d PWoe”s_¿[À„‰“::ë&7ö”•çæUL /¬š:mzÿŒ™³fÏI ,) 1°·²jÞü .šµxɤ¥]uõËÀv]‘¿|ÞŠ+WÍX´zÂ’Ž¥]“ëzšrrš ?v}$ + +endstream endobj 2995 0 obj<>stream +H‰Á‡R‚`à§V†ðƒ#D8Ä +ä"^Vf—V”Vn%÷ö45m>stream +H‰b`F&fV6vN.n^>~—”’–a@²²²r²ò +ŠJÊ €IEEUMS\VNNNHS¢GVVÌÒB—ab’Ú@·ê@h(ÐÕÓ70ºI)XÒÈØDÍ"Œb”™¹…¥•ºµ ÔD°µã·wptrvpu`Bȹ¹ÛyðòxzyûøZùø«@Äìlmƒ‚ù‚CBÃÂ#"™Áj@€›››{@TttLlœ®žž¨¬lØÅóð„$$ë21Ã# + +endstream endobj 2997 0 obj<>stream +H‰ÿÿéæíèåìèäëÍËÒÌÊÑÖÓÚæãêêç¯©§®º¸¿ÛØßáÞåàÝäàÜãäá蚘Ÿ™—ž¨¦­ÅÂÉÊÇÎÉÇÎÙÖÝ|z{y€„‚‰”’™˜––”›½»Âãàçåâéçäëyw~‚€‡ƒˆ¥£ª¦£ª¤¢©¬©°Ú×ÞØÕÜÝÚáéåìzx‡…Œ…ƒŠ”º·¾ÐÍÔÎËÒÕÒÙåáèxv}wu|}{‚—”›£¡¨¢ §®¬³ÈÆÍÏÌÓÎÊÑÛÙà‹‰Šˆ‰‡Žµ³º½ºÁ»¸¿ÑÏÖ†›™ ±®µ˜•œ˜”›yx~€‡Œ‰Œˆzx~yx}zyv}zw~ ò@®ç + +endstream endobj 2998 0 obj<>stream +H‰b````Ę˜° +3223³°²±cÑÅÁÁÉÅÍÃËÇ.! ($,"**ƈ¦K\\BRJZFZVNž_žM ŠŠŠâJÊÊÊR*ªjê"ššZ +@wjƒ%tÄ@IWWOßÀÐÈÈØ„¬K +LMMÍÌ-Ô,­¬mlíŒìÙ‘€„žƒ£“´³‹«‹;;²„¢©™™™»Œ‡ ‡§ˆˆ":БÚèäå áù k_?0;MW DPP°©¢b†y —Hè`VT0žÓ(U + +endstream endobj 2999 0 obj<>stream +èåìéæíãàçÏÍÔÉÆÍÉÇηµ¼š¡æãêÛØßØÕÜÈÆͲ¯¶âßæ×ÔÛçäëåâé + +endstream endobj 3000 0 obj<>stream +H‰b`@ŒLÌ,¬¬lìì h€ƒ“‹‹‹›‡]œxùø0ÄA€_€«ø(  ?Ï + +endstream endobj 3001 0 obj<>stream +H‰íÿŸœ£›˜Ÿƒˆxu|xv}yw~µ²¹­«²‡…Œuszvt{wu|ÙÖÝÑÎÕ©§®–”›˜•œ˜–”‚€‡†€~…{y€æãêåâéÞÛâÛØßÝÙ࿼Ò‘˜”’™‘–zxèåìéæíêçîÎËÒ¨¦­¥£ª§¥¬£ §‹’„‚‰}„yx~âßæØÖÝØÕÜÒÐ׳±¸¤¢©“‘˜ywçäëÄÁȵ³º¶´»·´»¡Ÿ¦…ƒŠÝÚáÚÖÝÊÇζ²¹œ™ ×ÔÛ½ºÁ›™ —–™—žŠˆßÜ㿽Ľ»Â²°·›¢ÚØßàÝäÏÌÓ z$ Z + +endstream endobj 3002 0 obj<>stream +H‰b`dbfaaÅlìœ\\Ü,,h’<¼|ü‚BÂ""¢bÈââ’RRÒ2ü²rò" +@WTTTRRVQUS×ÐdÒÒÒ‰é@Ä@WOŸÇÀÐHMÍX b D\ÑÔTQ×ÌÜÂÒÊZXfLʆ‡ÇÖÎÂÞ…è>„8Ð%%]}G'gW97ET á.Éëáéáå­ˆ€º”}d°‹ûúaŠCC\ ‡8É À´Á-Î + +endstream endobj 3003 0 obj<>stream +yw~yx~zw~ywzx~yx„ƒ‡~|‚““–„ƒˆ + +endstream endobj 3004 0 obj<>stream +H‰b````d õ0AÝÌHrLL(êÈÈf2°0Àld`e„ð±«%d%œfFè£ÀLÈ6v„;‘hN4]PI€0‹W + +endstream endobj 3005 0 obj<>stream +H‰Á{SÁðÏÙ¨I¥?Ù‘›c*!®îz*WÊEôbhhyœäÑéå±6ÛR_¡óû‰üÏ77üêpÓm±õÚk'¢Ø],ŠG1|w{O£Ö@*H ©UJH.Ÿ€ ‰D"•J'Ǧ@P6=&çÙ>Ljt»×¬4¨·õº¸2M=ŒÀÆe~^1‚`_ ¾©j3¹ ßÆ°Hüú2ìrnÂAŒÚ…E(@è Z R™Àyð +.ÝGšS(Cñ,S©lú>¾Áö ³ EÍ¡×çÙÐ •l&ŸË>Šü¨ÛfÛ4ψOùJ¥XÛÞÚ÷žÚÖœ›®X$Qzª– +d±@Öž_XZ`º¼aÙ„èÍóúªÅ¾‚Úöw=ùl‘"›ÕJãó­+rCÝ"²¤…µ=j²¹½>oàìÄÃ’d¹þ\¢èPëŠÃnuÙ¬®c)<ˆD*÷Þlÿ 0´óæ + +endstream endobj 3006 0 obj<>stream +H‰b`dbffaecçàäâæáåãg`”””’f•‘•“WPTRŠ ‹¨ˆ2€ª¨khjië(èêé + +1 £‘£±‰©™¹…¥•µ5’P› £­‰½ƒ£“³30rqucq÷ðôD—/#o,@‡úJJªªb•ÚˆKÆÏ_ +»\@ ?ÐëŒ@€*Á%‰"Ÿ˜”œœÂȘŠHKKOOÏÈÌÊÎÉÉõÏË(P…KAzaQqIIiYyEeÔ6€­*( + +endstream endobj 3007 0 obj<>stream +H‰Á¹n‚`à—n~nBŠÔAÑ¥v)¾Me`ª“M¸ M )a‚~Ÿiš'ýç~-°+a^¿®šª+oª¦žòÇèÙ=ãð 0 bš¦ªª<Ïs\×÷ýÛ÷m¿?0Ë5Ëòsz…"€I€Ã0´mÛ4MQišfÇo–].Ÿ Ñæ–( Çi´m{·“ÅIÚl7âëœfP@Õu$IQ¹®kgQ”$iËq áã8ö}oY–ªjº¦Ëò‘Ä(B–eÇñýþc|œEíºÎqœò¯Ìóü_€ +…£z + +endstream endobj 3008 0 obj<>stream +H‰b`dbffaeCìœ\Ü<¼|üüPA!$,"*&.!)Å"-#+'//S/¬ ¨¨¨¤¬¢ª¦®¡©¥¥ ‡aa]=}C#VVVc9„8˜˜š™[XZXÛÈ Ø"Äíìí]]]…Q€›»»©‡§—££0&ðv×õñÁ"®àëçç‡E|P€è1$• + +endstream endobj 3009 0 obj<>stream +H‰™H¤’IA$IJ§ÓÙL&‡ ½y±` (u7LÓ ÇÅb1>•EQA†a÷M ó«!’¢Âá°ª`y>¥" BÄûÿ&'u‚fYŽã¢ ú—Û ãx0 ž<~ŠzPÕ¬Ba®Vö×?l®½+×6Þ®¾/äïÓaš‰0E¹\.»^«qÚ::9nvŽ–Ÿ­ˆ‚$Š’,§ù8Á#år<¸®•‹IeWnm·6×?¾~¹ZÈßR¢$ʲ”ŽÐ†ý?ƒ‹Éiû¬^kÖk­Fý¸RÞ[Y~þðÁââÂÒ£…%Y”N§*Wÿž ¯{gJ¥üóó§o_·¿¿zñ&?_Èegçfç³™IPÊïq¿7Rzj·r©ZÚù±[ª~ÙÞÉesv»ÝápŒ”«ón¿~ØúUkîï‚hµZ§§ïÌÌܵÙlýÞ°>>stream +H‰b`dbbfaecçàäâæáåã—”b”fbae•‘á•ã–çUàWRRUQUSI2ih²²Éhqhséèò*( +ê髨¨ªªê3›h˜%Í8eÍy,,­ ¬U TmÔ$ R,¶vZZ²æò<ö&jŽNΪ 3€f²€Ítqus÷ðôâööQóõS1› –ô + ŠT1ˆ2Yt‹‰FtLLl\|BbRrJjZz†Šo¦ +HR’! ²sróò +‹ŠKJ ÊÊA+Ô%@Y@m•UÕ5µµuõ MÍ‘ ¹,8himkïèìêîéíëë/óEHLˆ‰‰™8irþ”©Ó¦MŸ13 dÏš5gî¼ù .ÊB‹ý—,]ºlù + ‰Ê9+—­Z½f@€&Ír= + +endstream endobj 3011 0 obj<>stream +H‰Í[O‚Pà-ç.B.êVR›3)W!õŽöf¶½ÊÆ_€Üx€±åØ×.ßø # F€@@@€ Fkê˜ð`À#€×¯ë‘>ѵ‰¢è<'±q‰³q‰»µŸ_†²Ê!̳âÏ¿>MS˲¯. czÃ!‘E¸( +J=Ç!„loçæðB•%Eeà¶m›¦ Ãpµ²Ÿ-sq'b9Š¢Ãác¿ßíތ鵦ŒtuŒ¹ëºº®Ïçï (¥¾ï{ž7›Í!ÃgY–$É_àlœåò¡ïûªªÊ²Ìó<Žã¯ÓÉ÷?ÍÅý¯ËÍ + +endstream endobj 3012 0 obj<>stream +H‰b`À˜ ‰ÏŒE°`Ʀ•ÔÇŠC);º38¸¸ÙxxùøùùÑ4 + + ‹ˆŠ‰‹#‰ƒÜ%!)!!%-#+Ë+'''Ï —“E%eeU5Uu q M---m]=}fEL0q0042461533G¨ KKK+k[;{ K%…£“““€³‹²+7Š¸›»‡‡‡§—·/@€¡…‡ + +endstream endobj 3013 0 obj<>stream +H‰ÁéN‚à·V@94ñ@·ê‡«¦&s&3  Rª•3Ëy„ˆÚñ}F€ŒDcB:_,œÕ* ³g LÇ>®^°ý†ÂHÂi2Á1é’t._ß4d¥^k¶íó}u þ»_¥,ÏÆS¢ ©î½í:£1 $ÁÆ©$F!!°8 —媔?¥b‰3ÁÆpÆÐÌ®ÞÏ‹¥¬ åÄ“`ö¿;ïøâNoMivÚ-µQoñ© +“{ÿçc¶´CÓéËr5É ÛÍ~³ôŸ&oöhìX“Ê•Œ!!" ¶˜¯Ýñ«®ÞzŸ¡O¼u0Ÿ-ŸÝi†/d3Å€þ 0«£«n + +endstream endobj 3014 0 obj<>stream +H‰b`€F&fV6v6N...°7ˆàáåãããc@F ç“”’–‘•…©†n9&y^^T ÑÉ@("‘X˜gBÒwƒ¤¤¤2È…P® +L\UUUM]CS‹Í4mm!]=}fFCFd盘š™[X*kiY)"\dmmmckgkï ÆÏï¨ÔUollläädäìâê&ãîáÉäér`q0âôöööÑöõÖWð÷Wfd„Š;pÚŠ9‡„ðy…g4Œ + +endstream endobj 3015 0 obj<>stream +H‰ÁëVÁà§Îî³ ›¹M5³Q©Tc•N?•jtØÙlbåHIh³ õ }_¸ú ¼mànìžc™Ãñh껵zSSêw­éd¾˜yó/×]E©,Ž.•z·m˜zßèÚŸïß(L"ÁÐÉü~QE¡$KåöS×]†Þ2\ý¬!#8E°4™ˆQÜEE=;­]«·–1øÏ`0Š!OÇéä/ì7ßÛ‚ ØAq”®œT•ó«ÖcçÅzí÷ÛŽœÉÚÿC`’‰¥86Ëg„fC»ohzÇ‚€h.›/ÉÇÏšN¬°+Iùƒ‚Pâ˜LšË¥< àˆc0ù/ÀY¢â + +endstream endobj 3016 0 obj<>stream +H‰lŽiP…o!¢[”›]—dI–kß÷èÿÿ Æ(=3çËyæÌûåM{ÖåóùŒ×* ±áÇA·ày!%1î^!$'’©t&›ƒ0¯0ÌW`\@EU-¥5­\©Öj|¦c!TW £Ñd[-ŽbèöG¼ „tºB¯?ŽÆe +þa6_,+ìj½o±ýÒ‘w{^Т$a²ýæñt¾\ܾEê×›i:ë»ÇVG–eý‹?Nù`&¼%r + +endstream endobj 3017 0 obj<>stream +H‰h—þèåìêçîÞÛ⮬±UTWéæíëèïÖÓÚ‡†Š879ÕÒÙàÝäáÞåâßæçä냅USV<;=¨¥¬ÍÊÑÐÍÔÎËÒÓÐ×åâéØÕÜÕÑظµ»WWZ656989˜•œ»¸¿¾»Â¼¹ÀÁ¿Æ×ÔÛßÜããàçìéðÕÒØ{z~\Z]^]`~†‰‡ŽŠˆ‰†Ž‘–±®µ½ºÁãáèÎËÑÆÃÉÇÄÊzxyw~†¡ž¥­ª±¬©°Â¿Ææãêæãé{y€†ƒŠš—ž¬ª±­«²ÉÆÍëçîyx~xv}wu|€†ŒŠ‘¨¤«ÈÅÌÊÈÏÉÇÎÍËÒÜÚááßæ}{‚ƒˆ„‚‰“—¤¡¨¥£ª£¡¨ÎÌÓÛÙàÙ×Þ®¬³¾¼Ã¼ºÁÌÉÐzw~}„š˜Ÿ·´»ÏÌÓyw®«²xu}ˆ†›™ œš¡zx~xw}zw{z€ ePÞ + +endstream endobj 3018 0 obj<>stream +H‰b`@ŒLÌ,¬l쌜@€çâæáåãgcgAˆ ˆŠ‰KHJIIËÈÊÉ 3ˆÀ¤•”UTÕÔÔ54µ´ut™˜ô œú†FÆÆ&¦fæ–šVV²"Pk8­mlíììííœ]\\™aZÜÜÝ€ÀÃÓÔÔÔËÛÇ—QŸËü‚Ì‚CBÃÂ#"…£¢À⠟蔜’"Rö1©iééLº™ÈRYÙ‰‰‰9r¹ ND ¢è@{ Ÿ|tPXXT áø;”ÀͺÃÞã4YqœUîacfÂÝ ™ M‚ + +endstream endobj 3019 0 obj<>stream +H‰‡xÿèåìÙÖÝéæí»¸¿áßæåâéäáèæãêçä멦­ÇÅÌËÈÏÉÇÎÌÉÐâßæáÞåãà熆„‹‡…Œ…ƒŠ‹’·µ¼ÐÍÔÏÌÓÎËÒÔÑØxv}wu||z•“š¥¢©¤¡¨¢ §­ª±ÆÄËÍËÒÚÖÝyw~{y€‹ˆŠˆ‰†³±¸¼¹À D=`$ + +endstream endobj 3020 0 obj<>stream +H‰b`©€‘‰ «3 ++; Iprqóð2òñó  É + ‹ˆŠ‰KHJ 0 è’–––‘•“WPTR–PQQe€[¨ê"šZrÚ:ÌÌ’¬pË ˆŠ + +endstream endobj 3021 0 obj<>stream +H‰ ÏÙvª0@Ñÿÿ‘®ÕVe&ÌI!ÌóT­"Eoí?\ßÏ~8ù>æñrÿynëïvû½¯ÏÇÏŸªZ–‰†nZ—Çíº-—m½>î·'Ï)‚ ãy$t1CF,‡óy^NÓå4^ëÇ)‡ƒ¼Û‰üÛÛNµ(Ìó¬I“*Ëå{»~o²lð¼úþÎAH]`H“¨º¹o§c?ß.wÃ@šUÕ–eS’tŽS÷{ùóSªEÜ€`æyáq8!ä;µ,bX×!Ž¢X¢¨s¼*š"›²dˆ"$ 9žïEi\b@èÛ¶gY.σý^R$]6Fì<-ëòïvy]ߣ¨ ‚Ü÷SJclI2 +Á‚~–5q\…aIiBH¤ëHQLQuýU–Cž÷YÖ¦i†ÆÌ4]Msú~m禫ê+Ï»$y9c©eym;Õõ1Iª(*>stream +H‰b`dbfaecgCœ\Ü<¼|¬llü¨‚BÂ"¢bâ’R¼ìììÒp AY9yE%eU.55u^V˜„†¢¦¬œ–¦¶Ž®¨ž>—¡Ì6cS3sA K+yk[;{>##>„ƒ£“³‹«›™»‡Œ§—·¯Ÿ¿°MH[`PpH¨³‰«›»¢g˜LxxD¤µFTtLl\|BbPÒÑÉØ%Ù-ÅSSQ15Í›!!#3+;!1'¨ÏÉ9Ï5_Ã\1,M@±@ ­°¨¸¤4«,!17°<8¤Â¸èE ´ªªkjëŠêKÊ›€›]*óS<ꪪ[j[R mí ‰A!¡]@m)UUUÝ@PÝR×Ó[ÒßØן;¡|"оI“ZZªA`òd ÆÞ)%Sã§åLšQ8sf-P +¬±ºefaP_VÙ¬œ€zéj" + +endstream endobj 3023 0 obj<>stream +yw~ywzw~yx~yxzx|{€{y„ƒ‡~|‚zx~ + +endstream endobj 3024 0 obj<>stream +H‰„ŽÁ%ÿÿÁtð$aNíÒ¼B0À#Û·ItÇmà±ÏhAeØ¿®ñg ^JÖ© Ù|òÀ뉨x=3×Ö2ê«EÞ;1¥ + +endstream endobj 3025 0 obj<>stream +H‰ ωu‚0ÐýWˆ!bÂUy­µ-Š Ü ¸Cýü©_†ö1öÏ©[¾Iu©«kSõíÚÎÃË“YRäé5OËö>NÃË4‰+BÎWDÇÃéœUÅù¦éh³«P}績K7<ØG_}3€5Í\¯¡¢€• +0ÚQ"‰#l‹ æÿ³4)ÎYÙÕÓØ/3„vl]džUÕ@&Aˆ0êù­o]3Ý“ó€RiÛB6ÜÚ‚‡K}Æ?å¥.‹÷¥‘rïºç!¥¾ãËbÓíÖ1 ‹3ÿôw¹—Ý¿ÖТ + +endstream endobj 3026 0 obj<>stream +H‰b`R€‘‰« +;#'''º77/?¦AA!aQn1FTMâ’R’Ò2²rò + +ÈŠJÊ*ªjêšZÚ:ºzü ú0 C#cS% 3 s K+k=k  -ÛÙ;8:›š:›º¸ºq¹{xrxyë10øøúù; ‡˜:…š†…óèèDØÚeOZ + +endstream endobj 3027 0 obj<>stream +H‰™fÿyw~ˆ†˜–™—ž ž¥¼ºÁÅÃÊÄÂÉÖÓÚèåìéæízw†Šˆ‰‡Ž‘–¨¦­°®µ¯­´¯¬³ÌÉÐêçîìéðëèïxv}{y€‡…Œ‹‰‹ˆª¨¯ÉÇÎËÈÏÑÎÕÚØßzx|z†„‹„ƒŠœš¡º·¾wu|‹’©§®yv~~|ƒ…ƒŠywyx~zx~yxzw~ V`h + +endstream endobj 3028 0 obj<>stream +H‰b`€F&f&V6vvN..NNn˜8//¿€ °ˆ¨˜¸(HHHHJIKËÈÊÉË)(2 %e àQQQUSgÀ44µ`Lndqm] µz!}SV!}8 Âú˜2XqÊ Và 2 Á #<ªa nTq#€úò  + +endstream endobj 3029 0 obj<>stream +H‰ Íi“€á¿³ëÓØ…HQRI¤;ݺO{Øß²¾¿ó¼yÞÅ£(¾Êò»ª~êú§i~ÛöÙ¶Mó¬ëß<¤i“$u•a˜WÕwWIR¥ieMY~EQ†éýžÇqiÛëÞ}? ‚4 3Óto7ßqî®Åq!Iš¢˜šv3 Dz<Û=/æù³ Hç³"ˆªÞtÝ1MÏqÂ,kæÈq§ãñr:]%I—eÓ÷Ó͆Ún÷uØïùÃAà8QåËE½^uE±tÝ6 ײ‚ È0l³^“$¹„‹¦Ù–åÃ0:›-P[,ðÕŠÀñ-A¼4†¢X†áYö¤ªÖç'<™Ì`xŽ Ëù|µ\¾JÇw$Iïvšæ>stream +H‰LÎi;aàÙ×0Õ”šf&%¥JJÊN-RI!Yʾ“}ùåÞwøàùz_çœA1 '’¢h†e)ŠÃ1^×Õ݃ <AÀ²,ð)ÑŒ:áQ“I MÓ,‡ãf½Á`EEM8Np€h^¯Ål¶j£˜ H’ÒD²ÉŠ + šˆ °‘$9ÎÞçpʪjé!ã_¡ œkÀíô:| dµBƒð¡?t ‡ÂRħÀB­oᣣ±xp,áö„%›o\µh(&S“SÓ±™Ù¹ù…ôbÄ)/ý.g’+PV¡¬Ù³^§O±€ßsùÂzq£T®lVµ©­P¬ÔêÛ;»½æþÁ¡6åJ¸ÓYÉvÔj×ê¹üI£Ø<-ŸE«þó òØ/¯®[í›Û»û‡Çο-×ÓóËë”÷|¡ó™)¥*“_ßøu%@£ + +endstream endobj 3031 0 obj<>stream +H‰àþÒÏÕÓÐÖ¼º¾›Ÿ™—››™ž“’–ZZ[///-.-,-,,,,+++$$$ áÞäâßæÑÏÔº¸¾·µ»±¯´lln:::;;;;;<889000(((###$$%%%%"""éæíäàèÛÙàÛØßÜÙàÖÔÛ´³¸›šž› ¡Ÿ¤‰ˆ‹@@@!! &&'??@?>@*++ èåìíéðÂÀÅEEF99:ihkgfiBBC>>>???666êçîëèïîëò¾½Â==?%$%VVWŽ‹‹Ša`b\[]^]_\\]BBB&&&æãê´±¶>=@’‘•ÏÍÓÌÉÏŸ¡š˜œšŸ˜–›cce999:9:èäìßÝ㸶»igk„ÊÈÎàÝäÐÎÔÏËÑÐÍÓÍÊЭ«¯“’•ÜÚຸ½€~ƒŸœ¢áÞåçäëäáçãàæâßåÌÊÏ»¹¾¼º¿äáèÓÐך—àÞäÚ×ÝÛØÝÎËÒµ³¹¥£©À¾ÄåâéØÕܹ¶½¨¥¬¾¼Â×ÔÛèåí©§­ ž¤ÕÒÙÛÙßÌÊв°¶¯­³ÉÇÍÌÉÐÖÓÚÙ×ÝÃÁǬª°ÄÁÈãàçæäêØÖܽ»Á¿½Ã   + +endstream endobj 3032 0 obj<>stream +H‰b``dbfaecçàäâæáåãçaaQ1q1 I)iY9yE%eU PSWW×ÐÔÒÖÑÕÓ70426153·à·´²F[;{G'gSW7%¨ º»‡‡§—·¯Ÿ@`PpH¨’Ž°pوȨè˜Ø¸ø„Ä$„xrJjZz†HfVvNn^~>’DAaQq‰uiYyYEeU5B¢¦V¼NÜZÝèNëú†F¸xiISsKk©:ĺ²2˜¸z[{GgW7ŒÛÓ×QÒÔÛׯnÚ&Lœ4YËC¼T;kÊÔi5˜:J§Ï˜9kv)¦IsæÎë›"`¼%ià + +endstream endobj 3033 0 obj<>stream +H‰ Î’ƒ Àÿÿå’Ø;(E°PLÿÊå;³BÆÔ8JBf„xßOÒ®#M3TU_ ËÚ4­ã¸ºÝòË%£ ¬‡#t&¸—”û<¯œëiR”JŒB Ò¶¸®‡²„EÑ%IEE—eÑ•%Èó6MŒ˜ÑÖ(»mçºz­í²ó¼qnÆqà×ÀMƒ®×ìï/ɲ:ÂÇû—µ}¿¯kÐÚ)e…XÓóa`Žm‹êºÏó.M›$©£¨ôþíÜO=·- ÃÂ[©#„ïy~¥Üœ{ÇÃ7M ¥ó¾ŸÇq7Æ/ËîýGÊÕ¹÷y~¬}Q*8WM³ªà¾'„ˆlü` + +endstream endobj 3034 0 obj<>stream +H‰TŽWSÂ@EƒHB;EQAP±l"ÍšdvÅÝÅ$!TEÅöÿŸýÂ8Îø|æÜ{ß„2 JÁP82ž™çæc±x"¹´œZ ¬®¥3ë‘lncs+¿½³»‡de¿Pô•@*WBá|6wxt|rªj:6ªµøÙyÉqy%]ßdnïêÑ{•P†rõWz%OªG WUUÓF†bŠ`¥eé M‰úØ䜲±·„ñ•(1fkçD%ej´L¸rÛ©N·«Û6%„$Ôv0êÕú‰b£Óéê:£@H-Y„æIPÁtŒÅ#hLl d0à\=õMSiµdÃ@;ŒÑg‡Ãæ0™°ú&H½ž'½PÈSù«û–Lô=IùwUGïm×'XÖß^CáGðókôÝvÁÛ/½û#ÀRG^Î + +endstream endobj 3035 0 obj<> endobj 3036 0 obj<>stream +H‰ÜWyXgŸœ@ +ÄE@Ë•ÀL¸ "1RÏv÷Ù™ç{&ïùýÞïýÍ|_ AàˆeŦ'Jg]¡š›$xœ’ Ö _@Ã@'WiúHkñò ƒ ÆtU¯~°6‚£€¬ÌÖçhÑC• £S-s4s²kÑÄ£4ª ‚XËr1…ºY6ayùBrbøn‹ÅäœäѹZªH::y,çÿV ¡RìNm¨ƒ ÷T¯W«(Ò³m!Èë1ð‡u +-¦{Ô÷=5‰ž )PªŠ¶ë ˜¾ÑxÉÌŸä|0ý7ý„tM¨ÿ\DL‚n®•oiBé#†³Ö$8TÇ™ : ±æZX˜„dqy~\›a +e2صiH*"|Eã²ÅµÄŠê¿S %DB¤0ˆc}#ðëùضfjFSöÝgDaÞ¯(µ©5Ù®@LÌ ˆ‰±‹iËj^úÝJïËQÙ`ê˱El^âd0j‡ 粦°-ì-ufÐaê„8Ð*žý09fÀÓñNÔ©üÑ@¥ |{ßA,!´ZÌ Â8Ȧ + NÍWjp23°$qu² A‚p¤ÿÊt²Š„ŠƒÃƒÃ3ÆM¯ÖÍà@,ãR1–3FèX'Ž¥1ªdõÞ'”#>“M9»(.)ò«Ý]'KJ—v[ÿìXÓ:{—ÏþåäÊ“Ç•;¢n¹ròïÚCAòÙu•GpÒبœ„ºž~vÊú§ù•G÷˜¥“oíê`æùù9œÂ+Ë¿Üõt­ó¡q]…Æ’K÷.]nÙúÇ%ßD9Ö#!a‡3“HõOma\íÍŸU¾¥ô°ºèX8ß`÷é{L§,dâÕ„ çÏÓ’&(y‘.š{­8ŽUGGÜÛ£ú¨—¬v¨éöÑ’èŽemaA±ùÌûÚè朴çø8ñßÝ9M«þÖ6½ûѪ0uå-Y{KggLJwØz˜¦Ke>ûŽ¥loËS£QQ€CM`ø0öåæÓ‹{ë¾>ܼaiY‹[Kº|Å«€Ù€GÆOP7dÔ@Ë_vFfÈ')xF†Ùƒ=å¿ÑS!â;`ðŠÄµœN)´z\—§c†\…ÁiA¡AˆxÀÛoR +<11:&qb¢ì8Z"‰K•ÅÅ +ao•Ox(üúý, E‚Q1ú ÃÃQq ú›ø¿_À»8ÜéßÍ'ù]öq÷×ñÚÙL½ÞõT ïþ³­¦ýr£ò…•uÇwÈü¼íúò}›ùi~†-9«è›<‡ç'2úÔgÌ\Ã~.RÚe]ZòªÎydœkp>ù¢êSsrÒÛ‘ òêî5šÒ¦$éÚYæ!çžY‰:ÍáÞà0{€Ãv5 9ãÎÜ(y:÷Ü®û»ç<ã<[=6Ïs—Ÿ÷å +{¬ü…pcyæze‹]]ÉýƒGOË«g[*ãNlÙ~!¸˜ãÑc±K9uó¬W $w9&Ÿ·X¶ÁV“ñ‚¼¶¥|Óe¶¾Æw¾bÙ—½ü¼õ;›³•1cWWyˆ×y”/îS[Ž~p¦ð· Œ¦tØnýÉ-÷§ñ—·Ä—UŽ¹-Èúÿ#ñnÔ 3ØõÝ0+念Ò âÛ?Üo~äãÆ7(½taMézÅ-sÃÒJiåE³ÝLü"¯XYÉEÛÚ-[ß•Quã,wüƆ-E™7Ÿi›tûé©ñgÃäÜÛŽ½)#nßpåèéÝZiÁµ&dëð’öâîȵOVû¢~}'·.í½1%Z/.@L–f0FÕ²˜ &ÓvŠMÙƒñjÇ.kêŽ[éô_ÚÝÑ@ô•ÝA‚ÐÁÝÝĘñÆ#±Ac ý @ ýU„6œâ§Ãœ€´Ôhz ÷‡•sà4,Û_HóÚ¢,–ær:‰È‹çà˜01–h$ Â"8W@Â!Whpµ‚ \ FùˆϵgNIGí;Z°´çMU¹àÕ£j‹ X +‹4L­%tjÔq¡5,ÃPz ÀHúÓÚùo±¿ó%Úæ¦bI‹*v’W_‘Ë-2RO =w|î÷5öP›‰=Ó|xR·u²¯z¤m_P~ܳ =ÐQ¹¬ç‡NûäIìÁñÓ{6NÈòÊ15É™ +¹½/æÔú’E¡¼ýOOb5[oJcz[—µæŒ×${N%ïîYÙxÛÅòqáuðm5qÄęݿ2£ìÙLBøôÏál6‹É©EŒU´Ä`+ÀfVb;wͧ%Ïs×ýÖª‹¼Ç7mVý,6½yNv§Q±Œ_ÙNˆ¡OñC§tGÓ¢Ë\xl. +á‚ó6;äjb{µ[­O‰W.EéɈ€€wT’š¾ÙÄj4šXfY.NÂ*Ì@áÙ¸JAa0ÞO\ºéI³×€ecL§Â„°B§†qŠ„óIàFÂ$eÀU”fÌWÎÂTLB˜ÊÅà¡õx™—æmªA¡¢è lþQ°7@âÃ0IÚõGÀ$ +\£Pjh$¯g*VP¼·I£ŽiAàƒD,/#)rÂë~„\_o¯‡‚Ž*ÀN]€E2ñæ«5*ªë +ïûšF‚È""¢å’‘Ø8 ̈ŠTÃE®3㼄‘¢à€ÀyØDã˜ZuEkY„bñQkf*Šµš”ª±ÖGb"µ&FC«±Ø11–—Uè>FñUû++³ø8çž³÷>ûÛ{Ÿsî­¯ª+D¯œeÅ?IÀlò©ã´ã’•›åªjÊæ•Ö‘ËJ—š:ás<¯¯¨à­D¢„Z¼‹Ý‰¼A°Úõ¢I9SoµêMvQ°ñ™¢Í`Ô‹9B&¯7e¸bŽˆ×a¢’H›DÓÔñölwØÞœ…]Ñ&™³DƒÞ.ðøh³[EƒÝ˜ÇÛÓƒ·›‰ŠÒ)XE›8Õ4@^4›x‹Uo°‹õÐ@Ž`²£Ûd Ñfsàz¼ÞaÏ6[ÑeÐI[/æXŒb¿ÏB®Å*Ølü=V“ÁèÈ$Vî*ÑïÁjÈÆÇ K³•Ïí&¢ž…}=oÑ£‡Qoå-«Ål¤EfŠF#o2Û•‚$£ )Ì&›0Ã΋zcª˜D»èì× :kFVV>SŸ£Ÿ*Øy› ( O,ÉF¦€RFFÚàÁc  +Sæ)y°ç•Õâ Qìæ«Q¹iÂâqÿÏ6ŽWxæyç••h'ƒ„a“´­ºå¹–Ñ?‹'fÐJ-îÈúÚû Í%ב.qnM…vè€ó&†Uhexæàß#>&gšNاÝÖ^m=uú&uÜ·jè·—ç3­úfþÄħ/f ¾aÍØþ›óPYS8®äã°£ý£ý³¨œM×Ó››UÌ(H¹°þÝ)ÕË'Õ­“CÇ|“èñ}}¥ò•eoÖ½5|â®í§0‘;âäçCvÿõÍžKnÿ%Ðu²³± îÀÎsÛ^ÌÕ-aÚ´K˜]4Ei뿃ý¯F¡2E_PhŽƒïµÑw£Âèï,Þ¸÷žé8üµ±÷Y]^¶çåky1ÉG"†´¹â?Ö– Õåj- ‹Ç€j  +¡x°‚ê¡ +ÜPŒà!ìø?G+À½áÙÅ£ú €”QeðÚ—Ò_×Pí™WSX]ÚÀ?pï³ÞÅî£,½Ó×¼á9ñ©ùø¦î,˜ýÌQ¶!{OÃÇ­Šs‹âžß—Ö6é©—§üÇ•©^´«¦zSø°)ïéÊ¥üi“Ig0 -?“–Ó–|ûÑçïl ýúi—Ïåy'wøîi+«véúùº_,\™5|ù;iÉMdc;…å·L³èâï?ü q÷†%ÔGøÆwü^€dº%Ôúɼwï÷þëq_Š÷WOžvØÀât÷ANaíÜátƒ¥·ã‰º‰ºT]òØñ®‡jgÏá[ÏÌ*úàÇÖ³×¹f[6?œÓÜÎ_k³ÿñ­xä`ÛŽ™ç k“N óçŒIÉÑÙï~fz£,öŸ=mÝ¡‰_¶ò;ÖýÕFÙèñ—SvÊÃ? »¾« ·{–ëì…- Ÿ}^wxzñ²m•nݾ?íYx]qÆ’);ÆvÑÐýíô¹«Ú¬3¿|+Mñì¢å#ŽÎ¬{óÕƒoÿ`ص˜/bÎS¿Ü:.¼}-õ>”Ð +Ž,g_Xœc`À/Çl2Ãà¯ÑÜ'=V*Lþ:åçQ±Z +f‚«'­§º÷$ËÎà|Ü9î[ĺØ\VÃœ`š˜Xz+K;i u™ÚL­ æPJMÅQ1T4¾gÉà6Ü€n¸è‚Kðwè„ p:àøNÀ18 ïÁ~Ø{¡v‚¶ÃØ ë¡a ¬†Uð¬€eð*xa!îËùP åP +%¸7óÁ‚û2I-O•©¸ZÎÍY8#Dz§Øì46™ÍưѬ’¥™[ÌMæ+¦‹¹Œ>fö3 MwÐ{é-t3=Ÿ.¢ói ­¤vR~j=•M%#‡ç¨x*–)ñˆ¢"¨pd£ÀsƒÆõ «[p™]‡kÈì²Äê(riG&AÛÀ[‘ áÒ„lVÃ+È€x®@ëà°§Bµ*CrY+É凄ßRL|ŸÅ—ºýL¼ÔÚrUIª;1Iª«yQ©Q“y?8rý̘˜¸#ýê“]–(§ßy²àCaÉØhÒ îxé€ùl9„³í`’u€N /Qȧ;À‹p3^ˆc}`§ñÓåã±õÑéƒòs^Ä|„¨D š¹ˆ IÞ kÐF±#µà’wÀ$®Rë¹” 6rNha;`Ì nôc#êmCÙÑdN÷ÁZߌóùDVj‰¾*9€¢Ãyáy¹T(‡cjN ¡Èc-ñÛ(\¿–…ÞnäbEÿ¬(³ŒøŠ­ [íëý +ûÔ_F«a­î-GZH_ q|)ÂHôˆÆç5Ö‰ñ逑ҜRd^HbÕ‚ýHl£˜H˜„ëû±Õàúý¼S$Þ„G?'â?ñé1 ñt ú´ƒ>žB‚¾=KïC;58ûm†!,è&ëû \ ÷&à,Æé Bź!Vè½%żÆI¹tÃ$ŒC*€&¦ÆãÜHY#$ãx$­wòVÉâ!K^Žkv l#8IíõÛˆdÛ{;±É^‚P\-Âk·“ ÆŠ¬«H‹Ü‡yÂü)ŒòF©6ÄúOâNrO©{šI]ÒX؆ëú éºÜŒú–è¤u0w[ί¤½[ooû1RŽ‚À\!Õ‰ë§ Û‘ˆKˆ¥ˆrá[@dH­Jõ‚õ€ùŒG6ñUò™¬Kêñ¿ìWoLSW¿·ïµåA©Ú"Eá•B;hé¿×Z ´”!åOÿ!ÿ•÷„N ¤S³ Ý Yô˲enK¶Ä,.3|ðƒÉb6?of1Ë܇}à“É’¹dÁbwÞk‰‹fÌŒŸvOnÞïžwîyçž{ïyç|‰føý€î†ÎÀ½lÏw'¿v¸¯ã¾_Ì#v»\¤Óá «•´4˜I]GVÑâ*yY,#ÇWŸŠ?¹p‘<A|þÜ*ùîÊYryE¼<ø6£³"½è $]ÈŠV× öô5Z¬×ìj5æÛdÔìʈ!Ä5qþÜR„Jµ +m©B«£™ÏëˆBqtóªØ´¹%&@²1»!>#þiQ ¢}*³NT(­ÙC•È$T®šÕPÅR£ µ¶¶ZŒÖZƒV*‘èªõN‡«·Œ½RT†Õ*%ðÌ"¬%Èý[kuž¶¦˜² ÝÙÀ„›[ÂŒ®¿ÕõêìÕ†­KøÆ/—ÖoÎCŽJŸ›X¯›ï±XöG÷ez§mL´Ü8YåŸO¬e7ð]°¬)|TEÊNàÂœ-ÖF…ÃÅØÕ*ÿeýZȇ¾ðGäÊððÐÈ£#Ã# áCػ렡©|ÅØ@QEÒ²“¨8§ÅhÅ +­]0^ +ÊiÄߊ†;ƒ=][L<:Ћ«Ýípº±q2ªy»f²ä&hõ¢€ÏÝT¯Ù!Ck)¶Úªe2!Â¥’*I +›4„šÁ¼ŒÔÅö1Ú!e*‘HZÏ›P6(%¤®Ú`ÆNáûe•"Æîr:ÌØ`9-˜w±à_=Èèª%*%¸¼’ 7ßyü×7÷VÙÝ‹¿l·qüÔC«‹ß=qjóÊ™ÛËÞî&ÆYõÊÜñùUk$6qüÎj^?ý㡽·Ç\AïÞŽƒÍÇF»F¬µWßZºÉ’ozœQÆãö™|fMùžöXm3œ6X­©}2­LIIdø`a¥àB œ ++%j“+±J)‡DYNð'Á€yã.áÔh¼½¿VVB=¾Xª·‡½Þ0£/}üUZjéiïÌÔ÷{›múVZÍØ4™¶Æ‰n«%x¨‘¸¡±{”Ld ,Ñe7¤£`‰ Îi‰™ª‘Uid*œS|À ߶ÇÔj­-Âr‘`¡ÏûµçÌÌØv)!È`òÚƒßwkCíûL»‹ªû÷4©ný´KÛߦ+îÝ’—7{<ê‡åÚ¾Îö½A$3çÙÁWëº'MÄϱwïÔDæ2¡ïèŠMd~%z¼AOÝp¦–ëh +ÿuÕ ¢COÑŠ@×þI}òô:þîz¹$DÞ\F§„È k KÐo5ù§ !K¹à‡îyš_N#¡bDHµ¡°êÃFƒÙ,p·G±l6ûÛ6=“Ï*}ªÉ RÚžeDÛ)Êa„óV”£ö<–Ëc)B‹üŽ‘ŒbP_æ0Fv\›Ç"$ǃyLŸËcðûy,|'¥è:~HÎ-¥“Siú +ms»ÚD“³ÉôÒGG–æ’“©øÜÔ=fÍmþ@ogŸÑŸJħCÉ…Y–cƒ‘¶ä4ûü/}™Ž¤â,7O¡“‡ÿí»tŠ›Ļ¹ÇÒ‰Y:=ÅÑÑ0ÝOÓz:4ÇgYš›žçŽN„PÍ¡%”B 4 iÑè +tr1€LЃ 5 = ’sˆND@I˜“Bq@S0¦Ñ4H°ÈŒÚàP/êD}°U~A{Þ†`ÆhbA Z# ™> o8е8ÒÏ?ÿeÌx"CCXæ}À¯—›¬>¼$:üÜþ¢Ç¯>æaÌ #ž›4p¦ Q¸P4ê‡ÙüéAðu\°•™i˜Ï¡£‚<¯Ãœ»1À@ŠFá\‹àFI ’!ÈË„ÈÆ¿ÇËïuªùþµÞ?QQp}ÖZÎ=àŸ_ß߈B]ÛO“^€!%°Ðþ` !^g + +endstream endobj 3037 0 obj<> endobj 3038 0 obj<> endobj 3039 0 obj<> endobj 1 0 obj<> endobj 2 0 obj<> endobj 3 0 obj<> endobj 4 0 obj<>stream + + + + + Acrobat Distiller 7.0.5 (Windows) + + + 2009-03-10T12:05:03+01:00 + PScript5.dll Version 5.2.2 + 2009-03-10T12:05:03+01:00 + + + application/pdf + + + sta + + + + + Zusammenstellung + + + + + uuid:aea2709b-ad67-41d2-8919-f0cfccd36aac + uuid:29d63810-0bef-4437-909d-f1fb37883ea7 + + + + + + + + + + + + + + + + + + + + + + + + + +endstream endobj 5 0 obj<> endobj xref +0 6 +0000000000 65535 f +0000893493 00000 n +0000893526 00000 n +0000893549 00000 n +0000893599 00000 n +0000897200 00000 n +trailer +<> +startxref +116 +%%EOF +3 0 obj<> endobj 5 0 obj<> endobj 7 0 obj<> endobj 3037 0 obj<> endobj 3041 0 obj<> endobj 3042 0 obj<>/ProcSet[/PDF/Text]/ExtGState<>>> endobj 3043 0 obj<> endobj 3044 0 obj<> endobj 3045 0 obj<> endobj 3046 0 obj<> endobj 3047 0 obj<> endobj 3048 0 obj<>stream +H‰ÜVy\WŸœ@ +ˆ‹0€–+™@¸=8T!FŠGÉ1Àh’ ™á°lEPTT‹V꣢îîR}ÒKV;TwûdQTÇ’ðŽ¶Ð ØŽüG?æ}ctu’$í:6Fü÷FNÓŠ¿7‡Ní~¸"T]ySÖÞÒÙÙññmöº¦éb™Ïž£)[ÛòÔhd$àP>L}¹ùÔÂÞºoIš×-.kqkI—/{0ðÈøꆌèo™ã«ÎÈ ù$OÀ¨BÂ0s §ü·z*D|û žƒ‘¸ƒÓ)…VëràtÌP€«08 (4÷{ûMHÇ'FE'ŽO”}GÅÄÄ¥Êâb…°·Ê',~sŽ>† TŒ„üÆ°0Tˆþ&þïð>wúÃwrçEþF—=ܽuüýv6“ϧwç_9è»÷Ì«)A¿\¯|aeÝñý2´]{P¶g}Ó‚Q?ÍÍ°%g}›çðüxÆŸúŒé«ØÏEJ» £KK^ÕYŒ€³­ΧÁ_V}nNNº~+£A^=ϽFSÚ”$]=ü-øì3+Q§9lÝ[f÷s8Ü®f>gÌéë%OgŸÝqoç¬gœg+Gçyîðó¾Ta•¿.`,Í\«l±«+¹·ÿ°`ÿ)yõLKeÜñM[ÏKŠ9=»”S7ÇÊq… æÎCÇäsKÖÙj2^ð$«[Ê7\bëk|ç*–|ÕËÏ[»½9[=ze•‡xGùÂ'jË‘÷O?üm#˜é²[{>æ¦ûÓøŒOË[âË*GÝdýÿ‘x'ê…ŒêOìú~•òßYé¿ñÝî·?òˆqý[”^º°€¦t½â¦¹aq¥´ò‚Ùn:~W¬¬ä¢mí/Ë–Åw%†W]?û¾aSQæÇÏTq)|r{Sp½ÈêÒÏ„W½ÍÄ,Ž$¥¸]–Ò±_ÝÍïXÜ8ýåŸK:®¬2{$FÛj:×ìfÈ7ý«ÿ†ð{ÅÛ3¶uy`W+ê‹j~'Î"šû|“Áú„Öf=­þx þEçl½ŸÒÓ5žø'O‡fŠù8ñ®×ð©;Kó$–~–öümߪÞEu^!O$X­ß}~Ñy‡å-¬«V£äÜk¶H·žš&T~ß½íè¢Qâöu—Œ“þØ­•\mB6-i/Wûx¥/êçðä„àæÅÝ×'EéãEÂyˆÉÒ ÆˆZ“ÁdÚN²)»?VíØeMBÝq˃þK§{ˆ¾vº#H*¼6Ñf‡@Lœ™}+3žÍD „OÿÊf³˜œZÄXEK ¶±f%¶³Wýp*æyîš_B[uwù¦ªßŦ·ïÉî4*6ƒñ’í„ú?xKwd1-J °ìÀ…Çæ" .¸o³ƒ_óáÑ¡&¶'P»Õú”xåR”ž xAcRÓ7šXFË,ËÅIX…(<W)( ÆûˆK7#iö°lÌ€éT˜VèÔ0N‘p> ÜH˜¤ ¸ŠÒÌâ‘ùʘŠ‚)BS¹<¸¯òÒ¼M5(T}0#‚´˜Ž‚½€IÒ¨?&)Pà…RC#y3Û`°‚ +罫ÐuœH Ò?Ì 2`yùI‘ãÞô# <à:àøf{…°X:ª'UT¿2_­QQ]Wxß×Ì0D-—ŒÄÆa`FT¤p.ru˜ç%Œ0ÈÆ$ÇÔª+ZkÌ"Ô‹Z3ËèTQ¬Õ¤Tµ>©51ZÅŽ‰±Ô¸¬B÷¹0Š¯Ú_Y™ÅÇ9÷œ½÷ÙßÞûœsoŽ§¾ª®½r–ÿ$³É§ŽÓŽKV:lz”«n¨)›WZG.+]jê„Ìñ¼¾¢‚·‰Z<jñn,v'òÁj׋&åL½Õª7ÙEÁÆgŠ6ƒQ/晼ޔ9à>4Š9"^‡‰J"mMSÄÛ³ÞaxsvE›dNÌ z»Àã£Ín vcosdL vÞn&*J§`mâTÓyÑlâ-V½Á.ÔC9‚ÉŽn“%D›Íëñz‡=ÛlE_”A'mA¼˜c1Šý> ¹«`³ñ÷XaL£#“X¹7ªD¿s«!ƒ,ÍV>K´›ˆzöõ¼E>F½•·8¬³MH™)¼ÉlWfRŒ‚¤`0›l :/ê ¨bí¢³_'è¬YYùL}Ž~ª`Käm‚ $<±T$™Jmiƒ*L™§äÁZœWV‹'D±›¯òT‘²*)+vÛú6‚¾wFQ=n eñ ¨/÷‚Šúb¾¶´ë ÊSÇós=8å–ŒÖò…sçÖ×ôíÀOM¥´g” úŽ}”ÀJ%ˆúDå¦ ‹Çý?Û<8^á™çIœWV¢@†MÒj´ê–çZFÿ,ž˜A+µ¸#ëkï74—\GºÄ¹5Ú¡ΛV¡•á™ƒø˜tœi:aŸv[{µõÔé›Ôqߪ¡ß^žÿÍ´ê›ùŸ¾˜5ø†5cûoÎCeMḒCŽÃŽööÏ¢r6E\Oon:T1£ åÂúw§T/Ÿ W¶NóM¢Ç÷õ•ÊW–½X÷Öð‰»¶W\œ>ÂüEŸÙý×7{f,¹ý—@×ÉÎÆ‚¸7:Ïm{1W·„iÓ.avÑ¥­ÿôG¼…Ê}A¡9Z¼ÔFßR£x¼³xãÞ{¤{àð×ÆÞSdulx]Øž—¯åuÆ$‰ÒæŠÿX[2@—çÜủ­¬Ú}¤ëç?têF|±ÈG¥ÚD{èC<‰;É=¥îi&uI`5b®胤Cêr3ê[B| “ÖÁ\Üm8¿’öbl½½ìÇH9 +s„T'>P h¬Ÿ.lG".!–"Ê„o‘!µ*Õ Öæ0ÙÄWÉçÿ²_}1M]aüœÞÛ– ¥Zú) +·ÚAKÿݶÐZ P"T¡ÿŽÊ½B'PR +®S³ Ý YôeÙ2·%ÛŒNb—|0YÌb²·Í,f™{ØO&Kæ’‹ÝwoK\4{03>í|9¹¿óï|ç;ß9ç»çãçåÏã—h†ß¨¨ ÜËÎBuñk‡û:îðǼbÛMºœN’±ÙHk£…4Óõd5-®–W“¥r1r~õ©ø“ ÉóÄçÏ­ï.Ÿ%—–ÅKƒo“±A1:‹ Ò ¾HÒƒlhe`OïÒ ÅíŽ6S¡„½»ÊÚ_ŠU"Ý.;‰JóZL6¬Ð9ãu°¢¼Fü­hxO°¯g“‰G:aqõ£»].w 6N&@5o×LnÜ­>ð{š´ÛdHbSb›½F&^@Xi%©"‘´±¸¹QKhlÄKHS +a£mÑY¦ +‰¤ ¼ `ƒJBêkŒìæ/¯1·ËiÁF‹Èålż‹ÿ@F_#Q«ÀåU¹ñÎ㿾¹·ÂžèõZÛËw¶/ŽŸúbheñã»'Nm\9s{É×Û̸ª_™;>¿b‹Ä&ŽßYÙ×NÿøFh÷í1wз»ë`˱ў[eÝÄÕ·27¹@òM¯+ÊxÃc\·ÙoÑVìêb?€Õ¶Àùè€Õê‘Æ/ÓÉT”D†W .´Â©°Q¢V0¹ +«Urx(Ë þ$1o¼àÏ îÃA­Öç4´×ÉʨÇ•GØç 3åãÏ(ei¨µ¯M¼=[OÜß×b7´ÑÆ®Ív4MôÚ¬ÁCMÄ ­Ã«b"c`‰>·.KìpNË,T­¬Z+S+àœâFù–=V 6[] –‹CCÁ¯•8ofÀ¶K A“×ü¾Sêlê6ï,y¨éß=Ь¾õÓCloo˜®¼wK^ÑâõhVèöïé ÑëD2{ž|µ¾wÒLükô웚È^&t£ã]=±‰ì¯Ä@Ÿ/è­ÎÖ±c]Qá¿®~Atè)ZèÚ? ^Ÿ<½Ž¿ûŸ^. ‘7ÿ¢SA䄵P%è¿—ÚÂ׌5ÜðC÷¾Í/§1"$ƒÜP¸òÃ>Fƒ¹p·Z±\.÷Û=ó2&žUúT‘A¦´5Ê„¶2RU@ ¬¨@,WÀ$à÷ XøNKÑuü(œË¤“Siú +m÷xÚL“³ÉtfŽ£#™¹äd*>7•¡§Ó¬¥3ÐÙÑÓmjO%âÓ¡äÂ,˱ÁHGrš}þC_¦#©8ËÍÄSGèäá›—Nq“‰ù4—âX:1K§§8:¦ûãiÚ@G‚–ø,KsÓóÜÑ)° J¢9”A)”@“¦®@µ#È 5R³PÓ 9‡8àD”„1)4mMƒ‹,°%¨p ºa«Úíqè ÁˆÐÄ‚´F@& |z8е8ÒÏ?þeŒx"CCXæ}À¯—›¬>¼$:üÜþ¢Ç¯>æ¡Í -ž›4p¦ Q¸P4ê‡ÑüAðu\°•™iÏ¡£‚<¯Ã’¿1@CŠFá\‹àFI ’!x—/‘ïÇKïeR—¾m›ïOTR$\ŸÕÖsøï×÷×£×öSǤ I ,”¿× ^g + +endstream endobj 3049 0 obj<> endobj 3050 0 obj<> endobj 3051 0 obj<>stream +H‰¬WKoÉ ¾ëWô±ç0z?rKâÝÍ.¼@ VZ{ÖÖfZ6$9 äå7åžc!‹õ {Ʊ`Èø‘Å"ù±XÕVéÅ„Éd½$«§õÊ*³è"Ǩ§ÓF&}2ð¶0-ìâ]´&  …[p…ZBuÁeÒGXò’c3`ZxŒ +dɀˤ7 €´8Ý @]ÆÅw 0a‰Vø `Dá—ìy$³<–yÐ+‘\‚Ë£–ÉöJ`ð€÷œ +tFA¶œÑ*£žZS=-ÔâÌ Ö5dQ°0Ð0iŠ>´ZTÀ@t‘ z˜^ÇŘ)½8r Ó¢B,q.²H®F7 ŒM‚Z%" SѶ +ªSÂä2é#,ð¹'*JT§TÒ%@TR;mZ,ØÕGè´V¬.¯ÛêŽj=HhC¦Z9S¶¬åæ2és}«d©Ž&YåVGÔJ—€]nunâàá<þÞ.O°¨@«Q“{UeMP¹k=ÖÈr.XGu 5¡hÓÓ•Å>‰¾ý’È)hÛ´ÀP"orO”|Ò&ŒV¿.·Šo\@œðWN£§¤LzçFOI™ôÊŒ¦’2êÓxWm²pf´•”Io/¤0Jâ•òqT^}‹Á„Q—à3B&½æD õa ¼k7YXÞè€,´cÔ +™ôÊð:‰º­ç½ §ó…ãyæä1Íåúº4Ô—Üêûúè‡cÜUýsæúv?x’¬üSeû•Ëb+›ô<²ÕçÕ±Ì.añ¼í¢y')^Ô2¢Pè0˜YÑ \F=Lh¾ƒ‘û›%òÅLD­-—;Û]d·É=ÐzE´mÞ<Ÿ\ý‹¢rùKú§ÈŽïEyrm«¯´{"P{c+ rÛ,­*˽»\™îbÇ°=c¶ñölz#ÏkfÞÛÍ=<ä0¨6Ýg¤Ô²n”ó:€ˆ_1PÒG(—âk¨Y öï ”€MzëC¿PtÐ9`Ï€M&²ø©×·RtqÝsën-Õvñ-Â!¯./²“išôÇãÙª}ÕW/|A*Ö`L. ÙeþMz˜¯”ÿ ÇÉ×Ê= &®ELNªŸO&ÿ‘ß”àËOYòêùܲëLÊ—ô‚꘰e—h1np*—IùªÝ·5½ˆÕL$mtb{ …i¥fqø:¹uÛ9<ÐÓæ2eå“Ô?ŸLþ¿Û6À—-ž +ÄÏÊ+“kkœ‰ö"Õ,)ë.,`Í­·ÇCËãÁçcmN¡çÍz’½L­ŒØ .ž d”¿Ðtb9`¤…'êÛ‡  ¤EÍsµ2ÐåŒJ^¹&gòÎpa¥ °‰Tl-ÙÖû–ý×MAÏ<®%ˆÈHyÝ0z™¡2Oúz¾<ø­ëP698P¹è2ZÅ“›6i+ÔM^«³¡—ò*7C5¼Ìä L©äçmÆ›Ó`FÑù„¼9ÈÛY²øŒpZ-&26‘û@rlóa'›òb3sa¡¶–6‘+È…%.¥›Ð"áQ@@Ó&@‹ˆw÷!€bÁ£dÅh]¥)´ðH5ªf!²°¸¡+‰Ÿ¶Y(àÚ´Í‚háð !в³€'lEÆâÁ!Í‚‡ …åÔsaYêÓ-@3©°{Åðx¯Ý6x gÎ{ï:öª `ðÎ| ò>:œIƒwg¼k¿äÈy¯Àà«9ï¼kxüxÎ{«pr³å¬V@X½±0šó®á19ï¼kÝ9Ààc9ïè¼ã@™ñÞ€K#ãl¨ Ð}d0-2¾PÕ‡p´): Ý‡Ö2©5µí£‹d¨çQhaè`èVu E9zIÝ‚d‘S9 ®[0-àÆ-g¼¥ÂeÒµ%@Ê•QSY‘EQÇU ‹2® ±}³`Õ«ÐZ‡I`ÝÞ0…ÙrÔÁüëáÃîð ºsÍgÓ´¯¿à"Ä«È@¡5¹3ÓáLí/œ¢l§_aýmbp×óŸ·ÛÃS{~Y¿µûÛá4Ñ6äim`¦^ÔUŽ–ý¥˜çùåËÝ®–¶ b -F(FòÁƒ‹ú‡š\²Øµ2iˆ’e=¿¼ùéÃýÃÇÛ»·Ç¦­0k|A—ÈË +%z=ÿõÓÃͺïvû¸¤ùñx:}º{7™%&5àÆØ×_¨–†ø'#A©Wþõüíí›÷·»}˜;,Ç|_ÝaM ܦP)‰-q¿¸y<ÞRÀðÆ +вûú û-ðÕ` >ÆnFS1ÿ°ÛÃ|Ÿ{}ý§û]>ì`0¿»¿Y§o!„8ŸvpG` 05ç‡ëk0Ó3po¤ùÓ‰{üðv‡0BñáïÕèû»ï°0a~,À‡{Ü.ÌS‘ ©”Ê×ׇãú‘6‚\`“W7uåÛâóæþíRݾýõs¥u."8Ð01í©jUûæÅq—1:pËÿi¾ÝÁËÆÎw;¸­çóg×j¬-ÿ3v¢‚zýóˆR{?¿ÁÒäùýÝñq¸€§› •{±1‚w°ž@+ÌÐù?;E.<ðà©ZקšÁwÇL‹ù#. ó‹ð3¦”…ð,¶>OÀxŽ=GÍýðxƒ-’‰Sig˜Á-ÉH;h…y¥ü,†þ„è«ïhL„Óìƒë‘µ£ü $d°0…æ÷pz`i˜OÇv ½²a[XKK¼yxxxÄÊèù槚ÿyÃs)ø‡7ë~|!­ “úæp5ýO€㻨â +endstream endobj 3052 0 obj<>stream +H‰Œ—Mä¬ +…çw½‚<ñ‡(ëø¶ÑÙÿôj0p ’êòz¢D0÷ºÕ?™ÒV8ýùû¿ììó²KäþN•‚b‚¥ÈQ‘ƒ"]6¥[`öóø^ßÁü¢l,NP…?+Ä̓Ua@ýŠo@>iâi£[@G +NÑSPtýý#GE~Q¬ßOO?ªlÞj¾À}l*éòÉS±oe*Ž­Ê6ÞŽñûµm»(<E® º¦¢o}NÚ·¼æp@$€ùVEËòÖt¦ }«ŒŠl•ùWYÀ<¥¼í„ž.`;@kø· ØŽQÙŠÛ±NÝäZÁƒsqQXõÂ}œí‡¢nÇ +‡r_1SA÷ûŠu¦âØR½<=VŒ9 Š& ñ­0MrEÞhùá€(ÈßDÑûu”ÜnQ\Á¿oIW`sŒ€ÉŒs,`~Œ #çÇö/#´ã¿,`û1À퇶§ãŠQÁ=]ÀÎe€Ôð\°³ñÁîl°Ä$3;\Œõ®~8` ¶ó}³=O©º9…,û7Xepv«8£ë– ‡¢kNð¦IÙåä^óäÞ€mòplw×t_,?·[3ä_À©ÕtF–Æ-ÈNÇ3ùñìZ'ÈýœJ +Š;1JóâλݙvƒögÙküˆ‚/@>!ñ¢êV°ÛæDÑÄÖËì5~DÁÝǸ7éC¡“-¬r´ h%(:À~ŽQïK@¢r + Ä9Jœ£Æ9(ÎAѱ?éñ“?aïG¿ë½”"hä8GŸÔøImî°-m:ð˜X?:)qX…ƒÒ Âø!käÂZ\ TÔãœZ ŠÖð +;A/ºþ:H$¨àìò*Ò«}‚ÍäÇ™\ÊEWN¥üуF«Á €ÚÊøUqU6Ö.ΨŽ¨ø (³Vòá¤)¥hRŠÎ!Ÿ,`O˜¹Oú@™ýw×FØkê°çG—öá `o «+Ø{ÄÒ¢NÁ%(¸¸Uô²x`/–±…Õ»Îúê‘ŸãýÎ×ë÷8ž€=PÆ®§æÆv݃)¾Ü‡BÒÍ– X#<€µ—¬™žž&l¦°†|þœkÈ°¦~ì˜=.ØÃbÝ{\@pÀ(PЀ9¬8;~XGêü éÉ9`û1S{ÂýXÀöt^ ÷è[ÀÎe€ûaàÔ–mHJw•=Ï„:ÞZù”}¡¼½šŒ£=&`–Gâ~weÈ Mž‘r¿8¯5§WÈU`*ʵ*¯²àí3x-Ì+ìõ²YÓlNK~÷¶Œ—tN‘JP$ýÍ,;c{ À)J +ŠÒÀú$Ãþà¸{Ã>ÄÀ²Ýxóã­|Ú¢ßåÏ›®À):·0?IWM1±¯Uð +ŠD`}’/“* 8Eá ¨ôä“y§ €W´ °8Þ÷Åã%.÷ëR˜_$WWÝ2Û·0®'@Ç=¿*f¯1z À)z +Š®~òc]¹s.àüQÑÅSv¼(x½R°X 5 ~Vô ä‚a~'‚BAQ.ð°+^ðe[ŠpNA%(Èe· ÔÏ"^ôN-EÓe—my]í3^“Çk!í®ÅÑ#ÈïàDÐ((aà;»ô‚¸HŽs~‚Ÿmñ2É^ØÕ Š=E#!ÿÁîõ_`5¿i*Žµjs›S5ÛIQºŸÞ–ñÙ¡°k¼”KÓÏ¢uÏ©¨×—Õz[ƯàËzk˜ +º¼²E[ÆW½¿ë¢¢}žƒî›ygÔ ¨ hÛÔ¦Ý݉a§;±lÛËzèN8`ç1@jx X#ÍáhŸX𨙠ò‡¢F H;ÛåA)Í‚~¿†<Ð::^%„¹`Ù²û3ŠC;2l Òd‹öݶ‹<1,ð7§` + +Ö„’ƒàݶ^ínÿ85 :¯hQ¡%´ô  Wû;?žì@(8‚ⲫ ¼)ú;°Ò5@ѽ𫢋§Åuš XKâÜßÁ‰ – °7Ç^½ÌÓf¸Ì˶†Ã XÃ!îÀã5úPhAao›ì¥ÀyjO hút1À$ + §Jœ£Ä9jœƒâÔhñ“?éñö~tm‹¤A{p š^NQSPÔÅ9BVý¢PLjƒ§Ä¶(HaüŠóM/¸<¦øàŠöÕÿ\ô®­²,ƳɽøUqˆkÝuÓ @žsŠ/Òk‡²À´<Œ3 ³¡"p¬*/àD`93T°òÈÚ¢ý<~Õ×p"ÈV4ö Š?ÿ`*sƒ\ +endstream endobj 3053 0 obj<>stream +H‰Œ—Ë•î( „÷EGàc^â˜4úÏ;È©JÓ}Ï]ê£ÌCBÎ=]Ïøjµ^©|}ÿ“Éþ,;_i™åJ=½ãhëx_v¾J9ãnËx¹Ê²×¬: ¦ŒÖ+/ó¾fÓa´e¼]w}AÝËÅsÝÏ ’ +Жñ~Ýëyöq¿³ùþˆ" ï#2PÅÈà"²e<_u ‹ˆ¢\wÆ 1PEƒ £©£]¶Ô®ÔÎ÷T1äÜÏöÙ0C¿ÒÄ ^Û×W¶ï݆Ìx‚i'ÐC·å_t‚ÚîÅe÷^TÛãÐÒU&Æaå£C(Õö\hٮ˥e×-h{.‚€€g3¬ñÄ5ÚÙ¶î’l»Q~L²í>š›Ð´ÛlNFÓkÁ µÀbtj‡…™**æ Ù:^æÙ}Æ»éõj +ÔÉíyoÇvÚê N30….ú¬1­,øÄ/…±~åû¾†ºb1 X'Ö}0E»Š‚®Þ` Šz•ü‚ñ®òoD¢‘»„mÏgÒ¡å…(Þ h[A@ë´ºhÚ;%°«¢>zü¦c ŠqÍü•æ¼†úƒ*Š‚;QôkÔÆÉPªhúIQª(Ë^ÁhGභ+WV¨q… ++¼›*°8© +à­dþ$`Os½3 <~€EM@Á¨`‘OcZò°ìÐ&dÏ'ÿÄÞW…lËá÷ƒ]ìèîÁv—dã©À]:às®Ÿ€'Å{Ö~ +ãõº')èI +ÙêÏÎ3E?Õ™¯1N·@ö'nûûŸ’‡<”)÷ëy}!@NšŸ]5P…1·ë±9¨¢Ë'«î•£ Šù† —,E¹åå 5!UHÚç|5SŪA¯}ë6È–qyŸRNïÙ¿ƒ-ãåuïUwÉ@õÑhËx“6— ŠåWÞ$QtyñœD1®Ê¾" ŠõÈô7U¼ËZÌ,…t­ãÎ@•r‡*šeÛw°a†k€]LX€ŸdeÃñ9÷ÆJ‡ãQîÑ•y¢G7ð¨, W-ìÊ™Ò1²xvHVÌŽ ,¿–=ä׶=CA@Àr–@Ûîlm¿epN~SÁWü¶»¿xÅð˜1€ª3¡.€Ê5 .%Ê.v³;¥¨îbw7R(ЈÈó²®E-'$Ô£•ç Š¹{·|•ÅoZeƒOܺ5v•Ä–Ro—1U<\è´MHXÈPÅ“¡° Ä®ìÉ ú¬¥ .m$n,’¨b(´èÓø¾§V ­Ä=HA@[‰D‹ ­ÏëÞømU̇ÎJ@[Nþb +«î§­" Š©G³¸1PEÅG<UtÊ[1à:s XìcÂ*¯õÙº•†ÜV^pŸZ‰ +Àãbe.­UÊ,;¬Ô²íùeÅ:ÏQPð<‡Eø]}ðûg%àwÖýÅÀï½ûœ×¨?*¨a*T¥ü¡ªç]·UR§`=³t¶½ë†€Âj1[ÃÊ9ÛŸ¸m}îý/ÕÏ›1õ·fš€¾ôcÀ¿TÚ-Lø—b{wüK°;òiÖ±8Юg¾û¾ÓizÜÖw«ëŸT³€ö^m¼¿¢ÙºYÞ¿-0­Wà=àú¹êÔn }¤ø×ú"²½]?_܉n`½ìlЈº 3<°ßÃr@Ã=¨ §æmà‰i? Ì›ù^SwðæÇ›NLóöŸ+Û–¶+Xf 8ÿNn¢mËîwÊÙ}€Ýw[nÈŸs©pw·<^¬«Oä{’Bwf+‘Ž3xws….û¬2ì¶øÄ­/E*W{¾Z]ÞD†””&¯”Ž£ýq½• Kq¯j„‚mÛ¸ |ŸååÎk§YÓF@6 ŠÄ`ï ³B.Øk}qùeBÐßÌð¾äÚ5+*o(ƒÄŠõxòòÅv";Éß ƒì‚[O¶¼ªÙº@/é(¨b¾S$¸ýy×Ç ðùa?±GEgEyo•oƒ*Þeí$ HÑ:*ÖÃ^Û¯î`ŠÜ&xøóÚO/ 1òL3Hƒ@—NÁÃqÍm•:ž´¾u @áìÊ-sB.@Ö +ß$é€é ‹%p6Ÿƒ6ÑÏñïÛ$?\¾»fcª˜˜ è:)l$ýi?…µGA¯AÁ›`°Ïý.jç {{²}Gà +ϾŸœ%2˜îš^¾›K×¹¿nÀg¼)ÖˆkD 5¢€+ÄnqÆ5â¯v! ï¦/­ü’–êŒ Vj뛀(ô–¬»±ƒÆ@íÝÌAÀ{Ùï|••d™VÙà·®Š'¿ŠÚÎ~Sè¤ÍVð· +Éj¶ª‡ŽûøºÓ§Øñƒ@1~Q̨ØaXN%E•ëõ‹ý{_G²u<ç °º‡ÑMáàoE·=ËQPEÔçwð!0£ÂÎÞFPPÅ£I¼ÿ?¨¢Aãå4U Îúÿ]%RФ¹„Is ŠÒ‚¢¦Zœ£Íž8GsŒøɤOÚmåÉA •rœ£Ä9Jœ£Æ9ê à‰s>stream +H‰ŒW˵쪜Ÿ(v,ÆØqÜ4ÚùOB U©{ð†U²þ†ÒKÊ×_k9å–ÿÞeÏ9‰»ÿ}yMÏ€%µš§±ÈÏô”AÔtèyÄ"oj¯¦u±È{:Tÿ|¦±ÈouØäˆEþ¤Böù}¤ŠþyN¥@€ÿEJtFÒnÈa•?žÔO$D£¦KNËKÂ"?ç'Ï'ÕeÕ¸F\çê³5€P[Žôý Ä"o\)«Ðœlé¨d{Ôºý ÀáèƒþNì|PÄ‹ð¤#GäL±'ý<,%Dxéê“nU@쥸ô µ_„7Ï –—«»êúóƒð~ "¼ãÁ(>3î6uBŸYO ª‘1¹„EžSÃêù¡ÅØå%¬òF „jTl)Â*—Ô[C>e¦ÀzRð ÝLXäUÎæ Õ˜=k3Å„hœ:Ç{* «ü>q®™–®›°È¯Ôn\ŸLˆFO¬'„"½ÕÞÞn„Eþ¤Ëáû¯‡º»ÄŒEž÷®žÖ‹¼ì]=c,rþ70ùH×åÙa,ò6 bù „h\©^^!Æ* ÄŒEÞçÄ[—B5š·A•^Å»”±ŸÞ]ξ¿ç$Á4‚¿ j <‡{Ò{ lWª¸wÍ.²-§@xÛØ‚ „7ž-É@Xãú%ìïK” ß¡„}ôl‡öÑõ%Ê„¿-Q¾>|‹2+h¯Q°Äl2kÐö(oXœCá­=Êh$ݤWŸn/â3‰³"3qÍ’qGI”¬}¤ìtn£Hœ‘¸ùÈr݉_ ~Ü2p,EÿeóµÅæá‘“É“W5?UÀ-àëDú ^Ú‘í嶉Ã4§¨áÀ×'ȉrßóžGÒ×õé™4ˆ•*&¤µr:äÈmÿ?"´ùäò3ˆÖWw:öæÌÿåëô {ßýïXGlöÌÈWß#„Ž±|±Œ ÌžbÇ*_õß`"|”šZƒ= Xå3“ã +\—Ü1œtÆE²ðá²(ˆ€(Æ{0Š‰!c 6ʃ–Éò¤³z"zêa•$Â+9ˆ%bï…šw%{7 ¼ûˆ×pgÇŽ\„hŒÞdDˆÆ˜pá|áè_fÇ@X-ωY`B52d¡>jfÙ¬ +Lè£eÎé®#a•ŸÔ Lø³Ç»‰‰¥Q  ƒ…ÝÏ„Á›& Š=S„!6•LX}® {%l/öJÚ^!l}à‹‰°wì6"¼×:Ô* =®G×úÜÓ§E|&ѯ@°BŸW+"$/@\©G¢° ½¿‘Ùè™î€[Th?z¢ÔueÌêÊ͸¾Ìwžã>×âÆð![ão|2n%`Ò®ÇÐPÿE„ª^vß_U½ìE°ªŠ+¬ª"1«êĪ*…m¬ª"‘Ù¨Vq‹ +ðŠlÌTÃÀ&†¸“xExexŸÐùàLö4€-ˆ¯2}ÃÿÕ –Žõ¶Äôà—8|õ$³År<¤°ðV(½òú(½ðú‚Ö‡¬w³;Q™8x}Aë£\¯!J!âæ%½!_xä¿HÙ-šŒà ÊgaÇL/nÆ8ç®Á¸f$Ç͸1|H\hÍÆ531¹½Ñ‘þ‹ ÕßíiÕ÷þ(¼fvõçØQ™×ŒU Z3V}$fõ¸y™Ye‘h?{u¾E¶FÇLâ ƒQ>hÏXLïψ ß´Ç,À Í*–’âÿÕ • ç?¨âøýB‹Æ0¦aShãúWû uëÌ‘A~öT2ÈÛXßzkã6{~Xø ¾v¾@ÄMºÆú¨k,¯>‘xƒÛŸàöMJ¢rê…,Äo, ·ÁGä#%]ÙP²³I'~%c}Ò¥G ]¡b|ÂM@>ÕÔŒlþŠõ+__ ý +56ÆÿáFl²ùÆŸhóŽo?¯ñ¾íÕ>Ññœ}ÀËMX ëÀ'à—í}‚½7Ú3á|°¯r°@, ``b !Dˆúv¾×¶Ÿc#7㎽ ×ÆÓ _N~…ù•‡//¿>¢n,â¼ñˆ»1^–k’[¢0Þ¦c»Ÿ5Ý+™Fì†YÄx@>Œ+´tÔ@èÛqãk½5¯Çé&Æ 8àà¥=7¨QãŒ'^˜¾>stream +H‰”—Mví( „罊·›¯£·ö?m@ U)7éîIrô¹.¤"ÞñºËŸÐÆ¿çùóõW¨éªIA_ ±"_wr yE §«¼2F¹¢©¨×“xv½¤h÷u“¢=W•ù'ñzQïçŠ&6½6Þa­…@ã1"G+0oY{ŠW µo`kЮ‡âwi`,=0xÖ,„«6‰Çlyå@u‚vшïÍÏßÇ=kHW +òESz«[ÖÛܲÞ÷Š8f¼ï+Rüpê&h…Àø~.®,à£O5m™¾Ç[·ÞT3s‰ÀHÝMûpäŽ7æN€äÙ½ed/°b¼–Á{eÞ©7궿­Öû^­å½Z²Z{µdµ¢W$¯Èî-{µdµdµ +ÎjÈj¿•%(mÅ­vM³>²ù²@Ê€àVËY·‰´ÄŒÛÀ&`Õ ˆ¥fØ5€Ô R3$s× Õ VÍ°XjÄ{.€Ô «f@\ݲvÍ 5CÁ®?œºS3¬šAqeÝœvË„v'-“šŸ÷Ý2ÈÐ6W¶3nŸZwM¦®oQP\AÌc‹VT|ëxú5R‚Ɔ#Å·ŒÑj«OPäœ +€ã=ŽU£^.à[ n›+ˆùßââ@ñŽ¢øw½"ª¢9ÅYüÏàl(¯Ëëß²A®N‘«ó> `ζý$çÏŠ“‹??ßží#èâëQ¿sh^ñ ŸìÝãQø¯ +‰ƒæbnáѹ^XêˆÛ©Øq7«’aëèºÛ&ˆ¸ý6Ep‚@Ïo±,€®ŽÊf‰q_å´µUí³D‘¤d¤zDñ¬¸©1±¸¯¾N½’Z¢˜5&§e€(–l{!ˆâžÉ>AßÀy¤àAÅ[17ÒsÝQºö£lD1kJÐta,Ï›T\s6kÃÇ+ç@\µF¹X>òh3èh ÏÉ& Š—Kþ³›ïœÖ¼Îw5Z èË~îŠÔèâI$}5ªI1 Š&é{ôê /³<þh kŒ¿=M ë»V5?¦â=»§m­h 'H@Þ2“<öèSÏ[ˆ¢”µÏƒ*ˆâië4e)ÉHnxBtµýóLÓ=`Q>stream +H‰„—I–e+Eû9ŠëŠõ8rïοûA,8¼Èõ›g‹ *Q )ÿäXBKñçó‡¼ j¨4Amj@,ضÃÔâ© jxŽ…b‘CV†XüõHlR4Á: +µ(¬[¨e\­íbßCj»ýjm²ùž3ƒw„:Œ ú¬€žP†YÁÒw»‡®'lo¸Þ$ +±Xo.p# ‘nTä9FÌ1äþC…A‹˜ŸÐê4Ô[¤†v‰qZ P ’.1ôca€Xô ZŠz¶³â‰wëQÒÖBQ“6P ¢ÊcnêãZ<™O{Æ0@,ªˆr ½˜œØ@cút3†bA¡g³Ô¢d³Ô"’q†buûñD€X¦?—®'qœÌå£ßt=ƒÔ"±æš^¶ÁÕšs#3ÈÇÑÔ¢ó*GÙ籨» 0³´}›"¸ëlû˜ƒ6;íûšBð^çŒ5âižó Ĺ;†jQªY†jÑ«Ù‰bC4Î@}Û·;Q›ò9€Ì*wP0;ÝyáÀ{sªâr_ÝëV_fÐÀã œ˜ñE]“‰ÙÒ7ê|qߪiÀÍ>'Tlæ,`,ú~9 0³ôýÆBp×9Ì!¹úî4=æps+Ž +¾(¹ToÀ|/¦jÇ@ =Ûe xgy »ÐÚ>Ct|ÀX"¸sœ€6«Y³ÝÉš},OÖ8pÏúÉn½8iãÀ©8'mPßö4¨Í ;i0«ÜYãÀÝéÉnE:YCR¸?çÖEÄšß¹ˆÓé„ …átDƒ*_G JȲ¬Êþ>á´x¤BЇä…¼PÐU~!ÌÏY È/ÍjrëìQþ`<¡Âÿ>Õ£÷ZwûbÐü€?‰Ÿ×«ÖøvŽxê@„9'.Fù§V®ÏMR‚5Çki͈‘Q²º‹AóßÍè&•Êè*>2ºHÝüó…ht Ðý‘lºšÃ’ºWs4ì低š@7ÜëêtñŠn¶„G«>ƒ«Õ "¨á©TM·pÁZä|×X½7}A䜳:IÎYq™|Ôèf÷e¢x‡9#ˆÞ"áœG¿±ÇÊÌ øM +z¥úr6r9Ý2~ë‘Þ t7žGg€Iñú¤8C¦Â‘Ö*?çÜú,Ê‚¹lh07~Árâ;«§s-˜î·`È‚PÏ[0“ÀM“‚wgÖµøëÑ»²Ñ’qšÎH¾[=„óÄX=”Í÷˜ÇÁƒ`m^§ì&)2D^«U^68õÇ‚µP :©pFï½_jÒÔ¹ ¹Uh ¶ 4hšÐ¬0 ·€—©·ˆóš±`ÞCTͶ VJ_0¯:ÇXÇÄØëºnPvAratv]^_tsrÀùXë;zñB:o™HÖB±€—ÅyiÀÖüÓ1ÂÆ U–ÂŒt Êïìöë.~»þœ¶Þ#|‰¹¦F´Ú§„ë¹™Ö)OkŠqÀó’Ú`¹Œû×:OÏ}k-ðeq¼üÿc¸iõüp¢Rêûë·@æGò)Xœ1¼¬.Þ]㬅êtr:vì’•U†à§º~X$ÕéF®æg΂РÊy² È´ ƒ"?`ÔeÀÿ„]æ?Áê*ÿ. Š¼Ø-ÈòG²€ä'aAtË檙ÀBn ˜…“»pèNc{u“2(Ù\¸âoo÷9¹õ¹s,˜·‚‚MrA—{ß‚áÆ`gDc^!Vk.^¤ÌZ°BrÁ +ÚÕ«G€8/æ”ÌA‹¬Ù{Áü¶ZÀÍ·+èî–Íùß-8Gƺׄìõ!ã.$'$r©lZúYs@6PBPåK‰€ÌYÍ$¢“$§ü¢ý¸9¸|%eµ<¬Nra[åæ4@ª<‚ù¼± H-µ€üó]{Äè!…ßµV®k>J­æÇ +l]’3Â’ÎÀ<4· ;! 7K{0îT»” \˜©f·°J$ªÆ™JGŸSièb*Gâû¿NnkÅ{£D9ÜœnnУ–Ûô0;©éÍœ¥zn­Åé]î* äo4O Öª  !Ò܆ef£¼lÐ]œ{5ǧCŽ )o%XVX †Ôx8µ`Ò–å­`8S+zƒIäÍ HÍ·`ÿ«õp| a£C³û9É£HèîôT\Cz\HS|Ð{R„˜…«Á \ƈ HƒDÉkÂìäÚ[éßàÕúmõ×#½ÐÔ1Ÿ¹°'¾R’ÓTtt©‡îÝÚLù}UU—5.;ÿ¤Ü¿–k±À}ú®û‘‡Þ¿waþZ +äù9£Æ/{7¸óÄ÷´<šÏµ,À|–xa¿Y,ðñ]ÞÓåkŒ/ C×Ø:¬ø#ÃW`Õå㻼¾ËÇwyý´Z"Ìoò û½<Ùð˜|øò¹ Ï¥³[¹½Á…:HFýü'Àéƒ +endstream endobj 3057 0 obj<>stream +H‰ŒWɱà* ¼O/ûÇOÃÎÿú…AH-<¯æä¢ÝZB1¦+†ŸÒ•ýÏý'ò:^ÝûŸçOôáre·ùòõe°Èn :öX‘m%¶†]ŨX-ƒEFÅj©|:´2/?Pä¶"5+s¶78Óbï|¶(òŘ:†×Y¨"r0DöÞ˜ŒNuÌs;õ8vê´|#`=ÿ÷Qã{è·fâ §hs»(`2ò)|µø×úû*¥Ï®¿ +˜ŒWiRÕU“ÑÓëú<3 F½R{·ï– F‰º[#®&cˆŽ o†£¿fÏ  ¢n¦ÂòÁœn³Ç`0hWC$sLŒ4*ÕüdÐlC«Å]ñ=9ïÛ¨ Ü xšÅ–Ž<ò…×£ØÕKÿ4 ýÿ]‹…¹7ºø4ãzÿOòãR·ÓÃc¢ÂÓÍÍå圗ü(IEÛƒA¹×]‰ع¡u°óKûÀÎQ½vžëp°ïŠŽ(û¾éS€o¬>X½V$7/T~ ;Q) +€DCe9QuSSQ· 9ÙÀo³(ƒê±£ÃC5À`4챘Œ¢«£CÅõü_‚ª˜ŒÕ0g5Àb4UŠ  tp57€òƒ;‚d'ÜTp­báw<PñäÞfu&Ü ðÈ1r5À`ÐÍl €É0~dëGÂk€ÁˆØc 0ª×ó?‰^ÏÿcŒW§ +€hÄÐkñ@RK¯Õ$9#v×½mÉï„Ýu‡NîH¶wd…_îêL¹».@ºëJ¹ïع%5Ã;?¥î€3\J®÷‘êg€}Ϥ‚`ßU)ÂP:¸Ž@üؽÀ{'»àZb±;’$ž»«àùó󿇚~Å +endstream endobj 3058 0 obj<>stream +H‰|—ÏÎå& Å÷ßSä Ò@ $O0R¥®fYuW©j•¬ºèë.þsŽïYú—c0Æ`²-ß¾Òòë’––´n[[þ[Ò¶ü¶üþǶüùõË·ïiùëß.ù{ùÊu[ÏcÉ-¯gJË3ÁËÞ®´ÜÁžßëpHk­*0¹ÛÛz™Àíþ½\k› î/ƒ©ØŽȦ0çZ^ö6ç {|okc©H…æ 0ÇZ ÅI`*$,Y*ƒ¡¨k=1[ ¦¢`¾Éžß÷btGà#ìki8´!†²¦b˜ÖQÖ#Ñ:&€\Ô5UÊÅÏ>y¥|N{Ògo´'ø®ºm¨ ŸƒÔ–ÇIÀ«Ó–J6Ô·e‹ŸË7ÙO„ÝMºR»½@‘i’Ÿ(|ŒÌŠcü>ÑÃóý&8ækˆê 6Ã1:l‚…*EÖT°Ëí.oŠÌ .gãIÎö1 +r¸ƒÃ§ô®¾?X¼ +@ѯœâÇáeÃ÷].5v¸ÝáM°Ë½‚z¢‹Orèݪ+=ôöUE½$ìṊ‚ié·œáe +îàð„ }€–嵤« +}_—O +Cߨ \²Ë.oŠÜHñi +E±7y_êbbfƒ=nôˆÊ÷Þ›Íð²?…@wpx„>@¹¬»ÌU + E®0Ã>B·áû©‰$Ïô»àÔç žèⓤ*o(]¨P”•2åõˆ‚¹N¡=)ø»ÜÑå “ú½7Ï& 6|?¤‰’þÃwõ?¤‘ë÷ª™$Oõ» ÚsCz¢‡ÍQ¯þ +w€Øð½?ñ„»@ÞÀ¡5)ø{ÜÑ㉳z®¶¢½KV*;?~¦Ð1öP4à“îyÇïoaîTõ¸¨AŠMßV¾ ÜáMp™µ…Ö¤àCìqG'ÎêCœI†˜ë›¾ÓKá'«æÜø¹ +uySlºåÖ=Æ$ýWð\jiÔ#ÅöL”c-rôëý40GئuwOÔ—Ú;óŒBÂœ6|?埓HP± +ðLÔÆ{>í;Lùý˜!¯Û±Ô,7òò¿]…„p +endstream endobj 3059 0 obj<>stream +H‰ìV{P”×?÷ûöá‚à. «Â·<åµ|»Ë‹¼–EÞËcwÁˆ²ì~Â*ìnv£`â# Q“¶Æ&M¤ñQ ÓXÍ©Ih 1Z“š«Njlk§:mœÚL2:ˆÒ³«1é´3N3Nÿè=sáœs÷Üß=çÜ€@8l:Ê-Õ•«.µ;Bò –lËýÄm€øiDµØ{mž%RÍÛ"Ä6{¿Ÿùþ—;MŒíΕž®^õÑíQ9†öXWÏàÊÝêêwpïgô3ÝœÍqÜZú:@¢}¹Ýè˜{Pü$ÚûÐNêîõ¯©L2¡} +ñ§zÜvÛÁÆ×öÖa¼+½¶5A©H}ñŒËÖ˹®Oý@<Žx£Çíóã=p¤ŽÖ=^Î36tA†ö9´û€3âF…͈È”‘JY¤2ÒL·Mÿ$6OfNÝ҈̛¹,Ü,œ%$S¥J¤BÄI‹$ó¤"IRbݦ„‰3ÔPRR’Á&§*Å"QbBŠ.'7ÓZMC¢£äèSQDI j*n¬H+(Ëo‘Lj,­¥¨Ø¢M\@Ëj.LÔ$¤ÞÚCÞ¸°gì¨ËdΉ+ÕÓci¾ºììšæÊéúµ¶96c9y“jÇ4ÀÁ™Ëä 2 ƒÈRI¨D šCBf¹°y‘9¹ZMtTdà䔃fƒÑb1–Z^llm]¾âæú­+0Â1£‡0Â<ˆ* #©I¨8B:a³Q2X©Ôðä•x£ÙˆäÕZeª«¾¥µ57•-Âø›g*t¹Æ–CxõÎ\LaÔB0–êóÓs¥ be„U'H¥¢ˆˆ,[ ™C‰³Bò³t´–¤’aˆ˜+¢¶h〧(¤#¹H˜ª":þü˜8J«ÉÕå¨HªŠÒå“@Šùü¦ &1A%Ç”ÇÑ‚©­·o9?âX_[mˆYhèïxüÕå#ý/YÿøÔþÍÃ…µùZ]übÏ:ßkm±¯;1Ò@ÆžøxyÉD{®©pIÅÃE¶U¯`$Û<6x”3º×èšµ–v®2³T¥ˆ]TáØ…·-Âþ(ÃÛ&Bt©T)•KDRòpHŸÂlì +VB#å8%'bN:!•Èóù$uĤP椒¥ó$·$KÑX + -ÚÙíW$²0sq]‰0b:þ´¾HRÂDkÕŠé²<{-›mêÌ£ßPh +äZk;2Iœ¹,nC&jìÓy*I’4^!ŠÄ>%¥†ßá“RÂ&‡’pŠ'B§óº€ÌÒ *Xv1Ícˆ`ôêµ…Jsy^eæÂÐ/¢—4åGŸŸÒRSkaœ-*(hŠþ"VÙPUnf.ÓîéŽeKÓj»2éOZ²ôõÝöé}´²­£¢ºÅ>}‘~ÈXWh*HkNv´W”7Z¢¾%éü†läeô^!ɼ¬"Çÿ/V°Î¾Þrüò¢F8EðߤàïL€ìY-@_ð-D~0C¥øS +s0C0@5Ô–ÍÌ ÷ŽÕ233séŽóxïÂîz3‚: ±h‘à)±PÔE¨·u1,‡þ@E´Zà7A€†$u +Âɲ N£Ÿ êÔwuê'‚º‘›F·gÐëìêö3ûµ^¯e2“Ûåöz8Æ:èqwymžîA¦ÇïP•ŒõU ¯ÓÖcv÷¹œÃd-s÷8î÷0û«×æàzmÞÕŒ{å¿;—ñr]NŸŸórÆébüÝÓlam~&…±šT6—ƒáz|Ü@7"T`7x`¼à„.è?0°§ô(ZÔ2qšåÂéG¤8ôXyÍ{¼`C­mzá”a‰PUЀ¥2ðÑm¸jÆ}É1ÕŠH7ú¸Âa¬>Ômˆ¾ÿýbÇW?»îÀõò¬W£Ï +ï;_ ú·w‚mŽ·^'Æ`ÐÓÍGhÆÃ@#îÔ(…iÂ\Ûx® bzp?<>Cü›!ü›À)ÿ3¾Süÿž–ŸIÒ7UmºFÄÔîaù tMP„¨ÃY©hÎì +%Û! +ÉΣˆ`·™md3ïñ,|9nÃBüß( øÊǧ‹ã¯R–ùzyvñÅÂ_(^žêŠ`Ãîò$Ò±©#Ù¹"ºY –Í©va§»8¿:† +¸Bdá-œ×iqv¹2™j—]¥Ö²êÀB¨,ýÎct÷ör^{àMYÜ+ý6/Ç4öuö8}ø(|ŒÑÀÆÅ„ésÙVÏò£5& 5›§Ñêô:}냠0ôã{ïM„@;´•‚c“NÎL¾g=°ø½Îù?·6O”o^Z³äWß=7yöý ›FÎIÿýâ©ÕûÓmë÷=ûþDçžÂ«q¾k2è‘›>~~»øm§oh¬³^÷Ñô éßÖogôpeÓgî:êw뻄Úv¾éìͱG‹Ï ½g¼ðù…‹'_ÙøÔ¯ ¿,J¨ªÚKÑØTß( ¼ŽýYߪ­/oz˱æØ^MŸ7ò§)TL[w©*'öMsMÓü ·-YžQ?=Áí2²?µ¯½âÛµ]¿ö)Ãég +NŸS~ºïÆ_yw(6?FW3:Q¬ù‹rL8þÜç·»þ\¾cûUë‡'''Oçï‚>¥†/lI{ýXë<âPbãL£ä²­‡?zòÊÞwßÒadËÉø“––÷` ½¤ŽgÍ–,úne¬Þ>ŸŸ©çünïê;5 ý—šf²é³ ‰_ítörŒÅoëõ8]]Œ…óö;ícv»ýêV3‹Î¨o`êª eÕuÕÖåŒÁh\Úh]ZžÉ,¶§é󘯟Áwa«Skؼ`êõjV4ÿ÷/ðŸzxòŸÜW TYMÈ"lA7l?(l¡J–ÜI%’³ÑQC( vHAª@•%ÇV—¶TTè±÷v¡¶—q qgµÕ£8¸¶Ò.¨ó+ˆàzæÌ9Ó3gÎIåÔÿõÞû÷½ß{ÿ ÁíôyAÁÂB¯]üÝUεn}[ÔÍÙ—Ž†ì>Õá45ì—¶O\šþ>4é놫E»Ê.òýÇ|½+5kÎ_³<žÒwøoÕO_Í}œì¦/ô:–U|ÚGrºÞ· b_ñ–jy\ÛÍ1>Ûukó¼KÍ ÆÉÖ̪þ2ât§SðÉê¨õ¯q˜ÛÅáh·ÒyãO´<ž{zó½m¹¼ÎUã²Flu~ébñÓ EìO’Ö%s«*¸Wûg÷Ú㺵9&c‡6|ÑžÏóiµsòªæ9yþÑ]|ûWOù™>Ë×»šõOákŽ-þü<7³4`¾aù·×œ³Öm:œš;nU±ÏèŸÅx”â8òþ‰G¿ ð‰pð`p[×"¾áýXª_°ø˜´h…ïM÷™ÿ$Þ†ú!¾]†ß7ŒnOßêé¿ñí…ûõ"–½Fé%p1”Þj¸Q½}Ù +ÙŠsÕnÓMçùÉ+øhCã³¢O¥gñèâ¶Sü eÛ7ÌIjØiÄ”uÎäÖ†ˆ­ÁNçï~[ûNžÉ Wæ7j”MµA±ÍÎMËê¦?Û[Ðtiuu¾ëj>Y²“­«üþGáçÑ÷ò7é¿<ëC\YºuNéþ¿ÉbÓ§ÏRãÀæ¼Ð3¯±Ñ´çäÜÌÀäïKÀä¯Fx¦âwý†LÛ¶0+Ü1°ã“Ö 5«¯-©št‰:2Ñ©lgË’•Ç8Wœ|uü«Š²/Ž'JOEêî{7|ÿÁ˜`ßÑëþ&Fv½9C–så RÙ¯ 1¿yL^ùÃUh Ç£#î7~ÚÙ¦eJƒƒò›c5|†•sخھE÷'¤xžu¡XÍØJÏ°ÿRwBBÑ^ÝAÂЈîîncÿî?•"’.¥q³gÏæ@E +* +dFˆ•È$)MZsCT "f Òš)ɹ@E¤ +ƒ^ ã5†Ë‘èxdl—p‰)ÍDÃq › BA0›ŒV’‚zpè fSŠ6‘3uFœ}þ­€¸1Ç‚D•S&-¨+ò^W(ú¨ˆ” Ò’‚¾x13wóbˆ‘´ÚÍvw~Ë÷w&ÑÆáFŽlÎÒMûukÖé<û$ L8„´Þò¿÷¨®•® wLõȆç5Ui¾k•5ì£ù§>öA‡=àOYçüáÔÏJj'LkÝ^3Ó/iPbÜ`*2'âæ®(À+<ª‹Ö vû<>B”V¶Ëb¯Õ/¯O›`–ûXÆin]YwÓËñÁì«0‰*m<±ñ>²GfØ®ÂBœ™×~\.ÇWŽ3#6·p)lf®sW_>.~’^òKd½eÌ]g[…ñ7`±íõs²7ƒŠËf?ãDÜæßsJ÷ä8ô)`Á°C—@Gøð¼Íè%#`TmÜpzx¹_:MgRÑ!!ï"¨8A]aãÔÚ8ÕštŒ„•6¥šŒš€×OãóM'(†½V"^:-F"0×PMl +ŠQ€¢­&#mÎPÙɳ# h2È~uí‰Ç » o¬#Í4&Ø"h"ƒ°Ð`Dâ/€0)F"p‘ƒÉlH63H^¶Öã0ÐÑ‚·9:†Ag@3PÀ‚­DV6AÑTÌËr¤UE»_ÞÞ 0:<*î¨v*Q'äd¶…6@T:1;î&ˆ +CÂBZµÊeæZMié4ӬШ¨ˆWÌ 2›Š‘ `A `o$R„@Œ©4"\!H©T"…ÇÔ@‚«Åñ"\ŽI€H!éÕãq9Û¡PÀH+p…,h&b@«Æ€R +_qµÝ.ÅÅ" àP­QábMü ÖÆÆab Ð(Sáj\¦è%+ A%kp1õ 9¦Ð@Ø̸Z­…ë‘V3Q©‚XÝ ÕÝ\ž?ÇŒéT˜Z z¼‚APˆãµÆJϬâ–c*ñD8ìöR©R\£`Ô¥ð]D£X/R­*A©Æ‚ì‹$âññ@¡Ôb1{â1»‚X©Pc“µ<.Š‚* +\ƒëžëtƒUB¯T@"’‹d˜ZÔ&`ü„T±Û`P*^ #-&a°À-#S_åbš‰‚‚HÒÂÐ*ÕD¤¨»ADÃÌHΆ $ æ@};¹s ælPéÈ IƒdIø)ÅnÄ@ƒÑ˜míÊÀTÒšaÏANWÙ‡© \$TF„ý+iÞ=o&ÓHaš)‰` + ‡‚#åþå~ }3Ð +32›zÙ‘iG¨Ðh5#ž½êÍP®#‡5þÞp™Ôž-iÐÄu"7ªŸzÀ®ß²Ìó׫Ywâ2L‹z\–öëPÅî¨jeeX a©?hÿ¢ý¶iÿT¶¼²ÿÝ ¥%?˜'OÿðügÛc2‹Æ²®oëpGHn¹u=#QYûºõC"÷ì0_žä¥¼ ÷jüÉ­¦yÍÓɶÎ3ímWO÷>ÐqñܶßëQ§±qö8°ÙHöoPÐßp4rá;vÅÇc•~‡ ~%'Ú»¼saÇí9£¯dx"íÏu¥ßÛ;ïö”‹CC÷w«Mò=¤öwAõˆ®<¨ €%bYY&–ef–ŠE²²YV +‹€`ÉYø gͬ”Š +F>'C£Œî¶oß~:7“L³2ÓsÁ+}Ÿ[XòÕÈ„g“>-&Z”õ•÷¤¬>G¹¹÷枨v<—ç=nßøÚ1}çÅJ‡z](j¿>cà¢ÍãCK*ø£/bEí÷Ë#ò.ÿéÇCš +» žøê{ÄGmìpêkfç ëþç¯Xo»)¾Ìž)È Þäq~1èÆÜyñ…‡ö³ŸŽ#ÑH4 + ý'áÕeÆïû½ßÝ¡ˆäXˆD]äô8Yà¤é‰u ’ØåŒÅ–³¹ÌYΩ©3±‘¢säÜòK™ºf6f–æ¬9½9ÓtÖ”\§sJæ\Þõ{?9ÓÌü¶ßž÷Þ÷yÞçù=ø>ž.®¿¯wv¸ùÄ´Æo_©y»jCý Çý5­;÷iAÕoV·÷öÚ3³æå2üûŠÑEUŸšøákÙ¿Çv÷&¸ÐåÞÞþô¦-Ž¡Å=%;œ©?å¤\Ý9=Þ;­þäÙ­ NýüzÎñ¯.ël~yp×¢«®“’*Ç>¬"'|_þrüô¥‚q'>þ¤ÌõÔ;Ë#ª}ó£%û7>>øJæãŒø&\æ³@è¶_c¶ä2å@§2L~)ž¸ëyqÒÄI÷i‹Õˆg‹°Ü4ì;vÀ…T£õ±²Øñ•­&›aó´y]5ªzU§|Æc½‘-·É:~Ñ#:Ä +1Sø„WäˆL‘Áï,þÂuôâ*¢¸ˆóøçp'Á1üˆ#8Œ؇½ø{Ð…°ð9¶¢íhC+Öb Vc%V`– „EœË¹hFæ`6g³~Îe¾+ÃYêð˜óÌ é7'˜JU+Ô ªH U™*CõSÒ¸iÜ0.Æ|ÀØk´RFä¹U¶É¹²Q6H¿ì'vK´‹*QDÃD®ÈY6t1H¤’‹7$S#«›¸AfWq…ÌzlV‡È¥›L<:Æ6²Ñ\֓ͼK:r/<@×À”Éý»ú%¹œŽ.]#a!ï aäV¸Ç¹çÌ +ZF®-§Ôyò=·2ó=—¦¦—¦?ë¶ðre ÏÌ™j‰çSïÙðå=2(½Ô—Ç]_^·/Ï;ßž2 ©–8nÁSÁ_Võ|Kºiõ|™%R¿Ç…§’WQZb¦[«M±r_õ¼uÛ>a•0Áÿ›¸ÇΩôåy}dbæV”_zxÈ6åò[W¼/-S™_^¹Æ­ël<8¹Ÿ:Ô»õ/={FÄâ5ãŸ<8cà³ ¿Ë™Î²•—´Üy¦çåX[ÌŸ´ÐÙŸI}3E;gKÌÏ­0ÏÛ’Þ™¶ÄscZ£20öÌUMHUݘ舠Б†…"ŠAˆ!ä¨0^’ü—’ú¹”aYŽLêÏ$BÄ\"HdÍÄtb=QGTØú!¬åÓõ=¶lE½3‚Ñf7Jˆv3ŠÙÄ3€Í*‚ Ž‚Œc í:©;TŸÙûa¬ã~Ï´®-µ}Í&0HÛ˜!<ç ÁCýîyM/’ÉcŽ™2þç)Ä{É¥†ñÕPg™Ž•r"e½ Ç/sí§ý2éÅjé71†ÍzíLÃ"î/%&h;­Çü¬Tæ'‚,û,€GùÊ‹®Ó(Ó4Œ¦‹ÒGÿ}¼KlÞšG'¿ŽéÐù¬¿Œi‘ÉÑDlÿ¥÷  /}w¦~Æ_©B\/0£ñ켓ÌÓ~£‚ÈvFã7íœwa¤]Ë Fk0¥*ŠõF/Šy–åhE÷Ód!_ òö8r1ÎÙDŸê¶" {¯ïŽ4Õ?G™¥Î#™þ×}wëMäJûu•Ãï ³N¬Ÿ‹0Âh &ؽIèûC®Î»®½ðÆÚt_Ê(Öô½ ÛF÷eíýIaÚ~X‹;’àù*bnCñ(×™v`­°û$ !Ù?)³ˆóÄR¢‰Ð|§kÝ«v¿°X0U:V;fíW÷cͺD)QŬìC±æÎyiO÷5~W:ùžRçd¾UÓ8ÓQ¾Å$O üï3fò˜À3fé¨QªxäHUTP ò}#Tž{˜Êv›Ù)Ùj@Š‰‘ÖfsSËFµ¶Å\»rµzÉrµx‰¹¸ö=¨5±|ÃØ/—£X½Ç.Ízó‡\îí{þYy‡ ¦ß¿A_t +endstream endobj 3060 0 obj<>stream + + + + + Acrobat Distiller 7.0.5 (Windows) + + + 2009-03-10T12:05:03+01:00 + PScript5.dll Version 5.2.2 + 2009-03-11T08:02:47+01:00 + 2009-03-11T08:02:47+01:00 + + + application/pdf + + + sta + + + + + Zusammenstellung + + + + + uuid:aea2709b-ad67-41d2-8919-f0cfccd36aac + uuid:77584390-e1ae-4d24-a4d9-b1f55eedb637 + + + + + + + + + + + + + + + + + + + + + + + + + +endstream endobj xref +3 1 +0000897584 00000 n +5 1 +0000897643 00000 n +7 1 +0000897851 00000 n +3037 1 +0000897930 00000 n +3041 20 +0000898206 00000 n +0000898411 00000 n +0000898527 00000 n +0000898605 00000 n +0000899290 00000 n +0000899513 00000 n +0000899737 00000 n +0000900013 00000 n +0000906160 00000 n +0000906548 00000 n +0000906777 00000 n +0000909289 00000 n +0000911195 00000 n +0000913326 00000 n +0000915433 00000 n +0000917564 00000 n +0000919808 00000 n +0000921866 00000 n +0000923948 00000 n +0000930056 00000 n +trailer +<<977C6BF94DCD4A4BA9A5BCE830C15F4C>]/Prev 116 >> +startxref +933732 +%%EOF +3 0 obj<> endobj 5 0 obj<> endobj 7 0 obj<> endobj 3037 0 obj<> endobj 3061 0 obj<>/Encoding<>>>>> endobj 3062 0 obj<> endobj 3063 0 obj<> endobj 3064 0 obj<> endobj 3065 0 obj<> endobj 3066 0 obj<>/ProcSet[/PDF/Text]/ExtGState<>>> endobj 3067 0 obj<> endobj 3068 0 obj<> endobj 3069 0 obj<> endobj 3070 0 obj<> endobj 3071 0 obj<> endobj 3072 0 obj<>stream +H‰ÜVy\WŸœ@ +ˆ‹0€–+™@8 "1RÉï|ßßû}ß¼1 •@,(+6=Q6óÊt ÐÜ€ Á£”ô ±žXð‚œ~:…Z§4DÚŠCw81¦© (xÕý5ÉädU¶!G‡¬]jܘ£]‹& MÄZš‹)5Íòñ{!ÈwÈš CwY-‚ × ÌÕQE²‘Éc€<ø·j µrWjCy¦‚|½:e‘mǘA>€?¬Wê°oyñ,jþRAR :Um71C£é¢#˜?Èù`0ú^úÐ5  ¾Gp1 º¹6þ¥ ¥íVÌZ³à$Pc2èÄ–kÝoar8’Ååpl†9ŒÉ`צ!©ˆðÛf÷7(ªïMT  +Œ±ô‹À¯çcÛ[¨éMÙwöwž…ß¿¢Ô®Öl¿13Ç#fÆN¦=«yYØ÷+|/EýexƒùIŽ=b÷'ƒ à(Qd(—5™måh¨§0££PĉVñ‡(0#žŽçè…p¢^ˆ#(mà;ú`)¡ÓaF5®ÔÂéD6U¨4bpj¾J‹“¹˜‘„¥Ñˆ»‹]D(‚D }O¦‹P$L,‰DdþL_­›ÁX¦%b*gšLÐÑNKcTÉë}«†}&Ÿ|,va\RäWó»;»N””.é¶ýÙ¹¦uÖN¿}Ë +È'Ž©¶GÝtçäßq„´‚ä3k+­㤩Q5u?õì¤íOó*ì¶È&Ý Ú5æ¹y9œÂË˾›ÔõtëÁ±]…¦ãÒ‹w/^jÙòÇÅßD9Æ+!a»+“HõOma\ûîÉŸY¾¹ô¦èh8ßèðé{L—,d•„×ÏÓ’& +y‘.šs­8†UGGÝÝ­þ¨—¬vªîñÑâ莥£;ÚÂCb;òý˜÷µÉ5ÜE’´ûØXñß=9M+ÿÖ>­ûáÊpMåMy{KggLJ·Ùë{˜æ‹e~{¦lkËÓ QQ€CM`ø1Žå–S‹zë¾>$i^¿¤¬Å£%]±üUÀlÀ#Ó'¨2¢¿eÎ/;#7æ“<£ + 㬞òßè©ñï7xFâ: N§”:®ÏÓ1c®Æà4‚ ÐDÜï01ž“8!Qþ-•Æ¥Êãb…°¯Ú/" ~}Ž>†!TŒ„ýƈTŒþ&þïð.wÂwrç +E&·½Ü}uüvSΧwç_9ì¿ïÌ›©!¿\¯|acÛñý2?o»ö l…£~š—aOÎ,ú&ÏéùñŒ~õ3V³Ÿ‹T&·–¼ª³^Ag[œC¿¨úÔ’œtýV¤Wƒ¢z¾g¶´)I¶f¦e{èÙg6¢NKÄú78Ìîçðh‡šœ±§¯—õv“²8’”âvyJÇaL7¿cIãŒ_ÿ\Òqeµ¥Ø+1Æ^Û¹vC±åè·Gß+Þ‘±½Ë »ZQ_Tsð;YLîTѼçû™ Ö¿ ´.ëiõ‡[ñÏ:çTÞî±ð¤?y;5SÌljw}†OÛUš'±x°¬ç¯ûW÷.®{ÿ +y"ÁfÞó‹Ï;­ha]µ¥à^›¸U¶íÔ”ø3áŠûžmGß‹·¯¿|d¼ìÇn¬àj²ehI{qwäüÚÇ«üѧ''7/î¹>9Ú/ÎGÌÖ0FÔ²˜ &Ó~²]Ùýqç.[êŽ[áò_:Ý#`ô•ÓABÐÐÓÝ̘þÆ#±ýAc +  @ Õ„.Üâ§ãì ´ÔhzÂh„U³á4,;PHó:p‚<–ær8:‰êÏ#‰Åsp +L˜ KµJ’„ƒaœŒ« âP(µ¸FIá„.£|ĆŽç:2'§£Žˆ-X;ò¦(É\°õ(BÚ#Cú—Â* Óè½uGÜh Kà4˜^ +0ƾ´vþ[ìïÜD[=Ô,YQÅŽƒŠêË +…³U¦Kêq¤ç¶ß½'=Ô&b÷T?žÌc~Gü«YÛT÷Ì/tÄ#îëøaS?y{`Ü´ž† ã³|2‡MIr%à Boí€9µ'åQh#oŸ×ÓXÍ–²˜ÞÖ¥­9ã´É^Æ“Içº{V4Þr³~Tx l¢-f˜9³úVf„#›‰@Ÿþ;”Íf19µˆ©Š–lS8ÌJìç¬þá”ôyîÚ_Â[õ‘wùæMêßÅæ7ïÉž4*6ƒñ+Û ô-~ð–îÌbZ•@`Ù ÍE@!\pßf‡¾âãCÍlo ö¨õ+ñÉ¥(9:(è]•¦¦o2³Mf–Ež‹“°3Rx6®VRŒ÷—n:FÒì5bÙ˜Ó«1!¬Ôk`œ"á|¸‘0Iq5¥Í#óU315S„¦r1xp=^æ¥y›jTª)ú`G…é0=û$~<“¤Ð@LR ÄµJ•–Fòz¶Á`%5š÷¶B#iÔq"Hü`0ƒÈˆååc$EŽÝ0ò€ë€ãëíÂbID0訜TÑPüƒùjŠêºÂû¾f†‘ ²ˆˆh¹d$63¢"Õ€Ãp‘«ÃÌ8/a¤(8 °€A6$Ñ8¦V]ÑZc¡†X|ÔšYF§Šb­&¥j¬õ‘˜H­‰ÑÐj,vLŒ¥ÆeºÏ…Q|ÕþÊÊ,>ιçì½ÏþöÞçœ{s<õUu…蕳¬ø' ˜M>uœv\²ÒaÓ£\uCMÙ¼Ò:rYéRS'<`Žçõ¼•HÔâP‹wc±;‘7V»^4)gê­V½É. +6>S´Œz1GÈäõ¦Ì÷¡QÌñ:LTi“hšú#Þž-ð›À›³°+Ú$sb–hÐÛmv«h°óx›#cš`°óv3QQ:«h§šÈ‹fo±ê vÑ  ÈLvt›,!Úl\×;ìÙf+ú¢ :i 2àÅ‹Qì÷YȵX›¿Ç +ƒ`2™ÄʽQ%ú#X Ùødi¶òY¢ÝDÔ³°¯ç-zôÑà0ê­¼Åaµ˜mB‚´ÈLÑhäMf»2C‚d$ƒÙdf8ÐyQoL@“hý:AgÍÈÊÊgêsôS["o%ብ"ÙÈPÊhÃH5ßÔ³Ÿ9Ê6dïiø¸UqnQÜóûÒÚ&=õò”ÿ¸2Õ‹vÕTo +6åý#]ù£”?m2é †¡ågÒrÚ’oÿ!úü­¡_?íò¹<ïäß=meÕî#]?ÿ¡S7â‹å+³£†/'-¹iƒll§°<ðï– c]üý‡$îÞ°„úßøŽß L·„:€C¿#™÷îýÞb=îKñþêÉÓX<ƒî>È)¬»3œn°ôvwU›uæ—o¥)ž]´¼cÄÑ™uo¾zðí »ó%PÌyêÀ‚[Ç%€·¯¥Þ‡:BÁуå,Ãá+‹s øå˜Mf˜ü5šû¤ÇJ…É_§ü<*öOË@a ÂLpõ¤õT÷ž`cÙœ;ÇÝ`‹X›Ëj˜LKo¥si'm¡.S›©ÔJC©©8*†ŠÆ÷,܆Ð ×!]p þpÎB| 8‡á=Øû`/´ÂNðÃvØ›a=4C#¬Õ° +^ƒ° ^/,Ä}9*¡J¡÷f>Xp_&)¢å©2W˹9 gäXö»‚Æ&³£Ù6šU²4s‹¹É|Åt1—ÑçÃÌ~¦‘¡éz/½…n¦çÓEt>m¡•ÔNÊO­§²©däðOÅR#%QTŽlxnТdu n"³ëp ™]–XE.íÈ$Ècø`+²!\šÍjxÏÕ höTè VeˆB.k%9¢üð[Š‰Ïà³øÒB·Ÿ‰—Z[®*Iu'&Iu5/*5j2ïG®Ÿ—ç§ á÷ h†DD¥jpT“ЮIð ü”*Íá~ê´Tø”áøiµ i~*üPvT™h +[?5‡'b6|±ê…>ý VPþ· +/”fjÔdÂÅg¤_}²Ëåô;O|(,yMB:ÁÇL“h<>œO°2(wç9²Gä¯÷XB|=Í=Í!/ÝÝ5Áß¿p»YI‡Âï10Ÿ-‡p¶L²ÐÉ"á%*ùtxnÆ q¬ì4~¢|<¶>:bP~‹˜p#¢•ˆD"‘!É{a Ú( v¤¶\ò˜ÄµC +b=€ÄFÎ -l¬“9Á~lD½m(;šÌIã>X‹ã›q>ŸÈJ-ÑwB%At8/c…ëײÐÛ\¬èŸe–_±5aë¢}½_aß‚úËh5¬¢Õ½åèC éË#a!Ž/E‰‘Ãø¼Æ:1>0RšsBŠÌ I¬R°‰m “p}?¶\?£ŸwŠÄ›ðèçDü'>=$ž®@ŸV"bÐÇSˆ@зG`é}h#£g¿Í0„ýÏd½@c¿ ôÞ$PœÅ8D¨X7Äʽ·¤˜·Â8)—n˜D€qHeÐÄtÃxœ)k„d¤uÀâñ®BÞ*YÂ(Õ&‚ØCâIÜIî)uO3©K:«Ûp@$R—›Qßâ´æân‹Àù•´cëí `?FÊQ˜« ¤:ñAcýta;q ±QŽ | ˆ ©U©^°0ÿ€ñÈ&¾J>ÿ—ýê‹iê +ãçôÞÛr¡TKÿ Eá–B;hé¿ÛZ ÊP„"ôòÏQ¹: %¥â˜'ht3lÑ—eËÜ–l3:‰Y\fxðÁd1‹Ï›YÌ2÷°žL–Ì% »ïÞ–¸höàf|ÚùrÚßùÎw¾óïœóÝóñóòçñK4ÍïT7Tîeg¡:ùµÃ}÷ ø¢Êír‘N‡ƒd­VÒÒh&ML=YÍPÕ²j²TF!ÇWŸRŸ\¸Hž¿@?·L¾³t–\\¢ß"£ƒ:‹ Ò ¾Hܬhy•àNïP£ùͶ6c¡2<>„½»ÊÊWŠ 4]"Ù*=‰JóZŒV,×Úãµ°¢¼Fü­hxw ·{ƒE:ü!ªúÑÝ.§Ë' š·k:·F®ƒV/òûÜÍ š-R$¶*°ÕV#• +/ ¬°t‘HÒXÜܨ!Ô,6àE¤.…°Ñ±è,[…D’Þ„°A)&u53v +ó—W‰X»Ëé0cƒYät´bÞÅ‚õ £««”àò*‚\ûñŸßÜ[æŽ÷x,íåÛÛçÇO}1´<ÿñÝã§Ö¯œ¹½èíifկ̛[¶†£Çî,ïÅ«§x#¸óö˜+àÝÙµ¿åèh÷ˆµ²nâꉅ›qêM3ÂzBcñ]&ŸYS±£‹ûVÛç£V«CjŸT+UÒb)Þ_\%¸Ð§ÂJ‹ZÁä*¬RÊà¡,#ø“`À¼ñ‚?p/h4^‡¾½NZF?¾¨ÐÛC^oˆÕ+F+Jƒ­½mÔÖl=q¿¯Å¦ocÔ¬M“íhšè±Zšˆ»GɆÇÀ]nM2 +–Øàœ–™éZiµFª’Ã9Åû ²M{,@mÖº, †ú‚_+qÞÌ€m—‚ &¯=øm»6ØÙ´Ë´½ä¡ºç@³êÖÛôÑ==!¦òÞ-YE‹Ç3 ~X¡Ý»»3Ȭ©ìynðÕúžIñS´ÑÝ75‘½LhGÇ»º£Ù_ˆ}þ^oÀS?œ­ãƺ:#Âw]õ‚èÀS´$е¿¼>yz÷?½\"oþE§„È k ŠÑ/µ…B–> endobj 3074 0 obj<> endobj 3075 0 obj<>stream +H‰¬WËn\¹Ýë+îòv¾áû‘]ÏLf`ÜH€XYÈv­I_ÉPËñ ?–oÊ>Ë,RÅâ£Èn[Å^¸u‹Åªb‘WK¿(5©à'åt¸Ð=±&B: ìâ«‚¨pK @˜%jRt)lÂJAÃ4.pQ½X[Œ@…] "›èT˜ÅV‹Ë&8Æq½D•A +i¡bQ0 =#ˆ¢n4 2Ö‹s“·¶2¡ä=Wdr1†+T\ääXLÄq€Ñ$¬}nç‹Å«„•M pÜìÛ¸ÚDO Bá‚€Mv‘c·ˆ°ŸÇ¦= +—pNwOB#áqO‡ç(t¥ä:¼Ža­1j ö K[Ʀ é´^ÉÇ-ˆ@8É‚H˜‚(B— 2‚:$Ÿm 3#X"ÆM´ZyHq SÆ%ª]P8žÁšæŠs]Ôº£:ªPû±*ʫŸ¾J¿0Ìp²ÆpZ¬àê #ÈÛJäÝ0L»­„ï]¨ÑªD ï`½”Kp¬Œ‚Z±BT@å„VI=¦q£X% )”a•4¤áÌNZ¸åâ74(m™¹üŽ…‹‹U<=Š€W@KO‡iÜòlu˜ÆK‡4*ÏeO Âã–XDº­§Ùúî™ãwƬ™6NÙ¯&øŒŸ£ ~ÑØîõ¨ a;E¹mÏ*|žX9‘+ÿ‰„z$Ñ\˜îi„f!<%¾–çqz}‘èRD ˆcÎb¯€Óç½#PÓ¡È¥‚ +]–-µc¨O4 +›®næGGŠ«Ï+'Je<$ÊnCó4¢UÇ"~,Oñšª+罕ÛCD—³.«m[ÎuÅÔ´¬±[]çÊå¨:EWŸ‡±‚sIwžÚ" {W0›KÁžå0äHV(Çu¢ß¡""Êaj¾îÖÖýlÕ¯­è43¬ÜûÖE†B•ƒIWh‡×!r£AJFŽ}žÏñ:fï\*$uŠò= +EC–¨÷)ÒÁK¨ +F"†t@MU0^»xÆËV8¦qEm"×`OB˜ÔjrVz‚¼Èí*÷ž EjWPض(AñJir¥Âzbo˜”ÙtäÆcÓÓ‰VŒ ÄÃùF‚^eA‰—yŠ,‰´ŠÖgòÊ’ WýfDÎ3´*0íkš+ÎY&ÂW#j–¡i¤"?ŒDɲŽ*?Ù{\³ŒDÔ,Ë…¨YÖQ—>Ò5Ë:šZóQ³ŒDô,Ë…¨YÆÞJ–åBÔ,—7ö W¾ ÐVÙ»£àÃ… p¾áäeîÚ™` +çÓ‹¾*,´Ѧ*ßÉ‹2%Õ†3ùí–gd\Ç™›$h^d‚= ˆPP(2¶¼fÜ^`y &H¡h² -1Ì8}Ä“ìøÊ—hã//~¿»øÍn§&9í~¼“‚Qêi›Å”ú-¬‰9·ÂN»Tï.¶°Y¯ÖÝ€»Oó÷Ço7»ŸÐœ)æàÐxoó/˜ñ*RhIæÔ´»é0úÓ§(êéÌ1Ñ" +×x5ÿùw›-|§ÍÏóï÷/7Ûý€©]œ¶PfzúîYžehÚ_’<ÎÏŸo¶pµ”ià£+>JüšrLä?Äd‚ƪí7 ^²]Ïϯ^ßÞ?\ß¼ÝpÛ}ÐÊVdò<Í°¢Wó_?¯ÖusÜlýæûýáðñæݤž%ŸÄ¤ƒc›!Zð‰á_ï Z 5ò¯æo¯ß¼¿Þlݼß`8æ»lc¢à6‡RHtòûÙÕýþš†7–ƒ’Ýæ_XÒ_ ZáC¡­¦$ó›-ô÷ù·——ºÛ8HÂíÖpó»»«uú\ðóaw:]s>^^‚LÎx#Í7˜¸ûÛ·|€áñïYôýÍ?ö77ß'âö—só”¢!!âåån¿~ …`/°ÈËû«<óm²yu÷vI“®ß~ú\hwøui¡c]·*E®›gûMDïÀŒOÿ‡ùz/=ßlඞÿÍcM‰± 4ý;ܱ- +ˆ×?÷ˆ<ØÚù †&Îïoö÷Íô8YLˆXƒÜÞÜà xB@Z¡‡ÎÿÙrÊ÷žªy~È;øn¿n1Àinþo?â i"<‹µd<úºCÅ}¼¿Â‰Èø)•3ôà²IO+H…û +3ØYòŸà}¶í•ò~ÏšêY9ÊßÀ†ºÐüNLuóa_´ÚÕ4õÅÕñx¼ÇÈÈùêuÞÿiÃs)B»‡7Êz|a[Õ½„ïŽ`a_åè{ðúÁç œ3ÃΙ¬GXÑY{!SÝ©éçIþÚÛäÁ7» íK¦ö®C÷ؾTéygmþ¼PÁ§o«óÛáeÒÔÀãËŸÕ¨ ÓÎúüÍðúWšÕë©™XG£‡3þã¹…æhó÷S˸aÑ´»é ‰†¤á +endstream endobj 3076 0 obj<>stream +H‰Œ—˹ä* „÷7Š‰À_ó†8&vþÛ‹-ªÔí3gY?Æ€„ˆ£#þ‰åuÔþ¼ÿ‹ NÄÑë3.]tSƒêßµ·|ƒ–¶Àƒ£Æg ]ŠÌ²íðkÇ­{QƒjiÏ™ éè×,Ò‘×ùHªß[ç¬#H‡ ÆZÜx„9dž_Z‹Ž"`¬!ˆã5§ëQÒv¸ó¦nG\ÓD-íùÖ£ívÓÒ¯Yö£‡mŽq”Aú¹T ¿±´Î±Ì©˜ãÒö—´‚¹€­T‰G¤•ZàÔÅ ÓAƒýÀý“ø¼¡†¹êrö¸m Ö¨¥}Ô{–­m€ËÑå°ÏßY—cá^›²6 µ´g>ûÄÑnýÒL¯özoðžaž‘”`„¥m󘕂sX@ÿbžãÖà/–¶u˜ \‡l-g°Ô„k¹€îÆÔ1Àn,mûyE(íç–œàÐÜg¤=ú¢ÛÒo§OM¨½kIæHCGÑ$_ɺN7ï·¦·iè:Ñrë¡#˜¶ŒÊ†À©yûúQ¶¼äŽr¼(jЈ ÏÖªèá&`×$9#&çˆú£^çûAËY 2‹áüÖe©l€åèÞÑ¿;rpŽ¬Q“²säú ,Юã—0ÐXŽîýÁ1¼C¿æç8y{uiÁ9Zs û1F!P5*Éè»$ß%5²#ª£ú1š£ù1ºŸØà1ÚñJ„â@ $ß% ²£øϺøƒct GÎQé‚'Ç}’DCÓÚ…D:£çè"›Ñ9‚L¬ëïxrõÞ¹è­.–ìŒ/…ò]«ï«”îIS «Ï'ØY€VøP Öܨí)“9ì!À¾aa†ÚæØÜpŽ¢á/‡ï`¥†&Tö +¹æÆÇÈö÷Ç k¿mè‡A’Ô:o´îÚ*JÓV9_³Ô€UßóÇ*Uß hý>WohoÚ^p´ è.Ôö + Ž€`—7j˜ƒ•¨ì/².jX‡¤ï¶–¼h-Ðݘ;^ ìÆÒpéD¨É@rÚ‡&…t'âùš’wg +óÜÅÈZ9p9‚<ÀÆ +AdŒZ\õ\Ž¬Éå p9f‰ÜÜ)œ~ê2Æu_‚#ß—ßC¿cÝ,G„¬äˆÉ9¢~%ÈíU'@ŽTœ#é<-:jl/‰ÛËøÔ°zc&ÈÑ‚s´ ùÑÖÔa€}8Ç(_€tér^úYìhÎÒ°ºÜ:êWMc{ +ÜžÚ§ÿGdý"v ç¨Á‹w~?b€º|£tÄzEÖ}ðàHGE€8®ÚrrØ<ˆ£ˆÖi˜Æö^¸½ëJõ†úÝ1‚s e•T6t=€cÖƒƒl_É@ÏÒÔÏÚÒØ·G:Þ ã½9RrŽ”(îТ»¯KÓr”à…rN_ÕkÈj}süÖeâUÊò]S#€ÓÖ×8’m¦8*>°ŠÓ9ÿ ¤þ%6ŸÀI`x‡…³3„ü/ðêø1ˆÇø‡îÙÖŸÁ‰`‡/åˆÞáA­”ü3X«‘ Ù]q0A¢+k9,íÖAÏ›iIÊùêõÒ#p9ª¬gÔ’€8Ê]íÈB-íƒ×“ÀåhwœÀ-I@®pi¾pi;Öv’ `󀫀þI®º¨m-&×b[Ï\4Й:Ø‘¥!ÙeHëN=ð¹X@N_úb€ƒs––¢W^»ž +ëͱs!j íùh)ü ÈoŽLCG¢|%ëb8ݼ5¶gû®9¶Þ%3êS“$¿<“ÀðŽûCš÷æ´_º?¦Úë38„æA·ìJàä`eÏ‘.åQ[s+” Ø]EäHÅ9’þ|ËÎ@«”©3V)K[ †€Åéuö¨(YÀJvtrÜ%E€i+(žBäíÔ¥çh?Ú´5nïnRû#ºj®Þz?W¶ŽÎŸœ?5ÖÙõσuuý«ëß\ÿæúw7ŸAýÛñJ¬Caëäüi|jØ‘¦µ)r@éià!›~8‚7¸Œ}Ÿ½¦•'8ÐèèõœZqŽF· àmZð€€ìhÞÑ(¡£>stream +H‰Œ—͙÷'ŠŽÀ&ŽI£¿ü·WB€ªtºçÎR/e~$!äü>W}¿ê“®Ò¿>ò[¯¤ö}Õ‘¾¾#PE»ne\£LÚ6>ò´kZãnëx¿Æ3í´Tñ^£MpSP…,ö’‚€(ÆVa Š´']´u<_oƒs’­ãåêè(²uü¹JÛžý¶1ó•{Zãnëx½Š:¾\i ˜¢êŒÏ•Æ¸mã]ŽüÔ+§=˜¡]¹à Ó†=t_Ám?Ã{œŒ6ø@â7ÐÓvŽë-èC³=õÞ>DÛc(öHÄ<j:n&à¹$  Ì¥<AAÀsÚWaà·ÂwÊÀïÕ9+Ùp3³¨bÝåíí·Ìƒxx|&˜ñÞñ%ÛÆK†ü ÛÆ3%˜d¯åp™·Â€¹ÿyæµØŽ!`Žiç`Šv\CVy¯§Ò*>q뢨RKòWcÛòW¾ï Tѯl Û* T!ž›ö˜‹üˆ*fT'X«P…Üï6Ákc Š¢gQP-¨"_eÚ÷ZmOWµÃ·µUÈjb¿×»f@ÛÆÓ3AJ[@’¸]§ì;=˜BÊE⢣`Š¤ËJ–­Šgç(X¥ÄU +¬27&)2h§à´§D0pÝÇ¡h»Ç%/·C 옩!fÛ>QORœ^Œú's´™³ÁÉ>5Aöm°3hŸ; €îÀçéÆwføœ«' ž›VfØKßS” TpÜl;ÆóNï¯ëÎÀœÙh´}ÜVü6¬ð^7¯`àöüý§hãð•r»úœ¡H§ ‡Ìu#S¨ý\­oÛ6ÞÈ£™¶€*Òô}Î+%0… ¨¢\íà^û  Šù2¥|ŸPEUÿŠý¬¢­ãÍŽæªèÒ;àhë¸$y£]PÅÐ×OJ@ú“·¨Bfkèq¦˜Ëž¨1PE¶D8g`ŠB¹Ã`)Þ“nßÀâ&žÃìc_åà,#žeœ³Øñ‹¼<ý±€û´ìÞ)‹{5ðØ–|²ƒÀɱßÙ±lÏ/£b~-p2h{ŽÃüžÀ. ø]ƒ“ðûêÞbàwÞ=ÎêƈuãDmUšx²¡vÜað ÕÎB¶R§”ÔUíîAŠºz€>¡r/üÊ0‡žƒ(lÙï|ñÎ. >qëÞAœ«4¸lÛx}àB`Š5më*T¶­{Xu}õR 惕 -{ì–Ƭ·xT׬·x_(ÐØ“9)Xo1^Z…€uóuò°g÷}訬»hì+¢h§ª/w30Åx0` T‘fœ“mã s†ì5Þàà3ì;ȶïà4bdÃF<Ã8gX•x—’Ü—§àñ8%-é)‹x^œÒ€çÖ©Îx~‚‚€ç8¬BÀï ì”ÀºepÔLç\uØ}EàÜrw7Ù^%F¨'^»¬œ3ðJur†ìO,m§³>årÛõü8¢í}ñ)ÚœÎf@ÛÇOµ%ÛW8;€Oس=¢cþMø³a¿4ã¼ nÛKÞñ7)ë:þ&`ÏJHA`u$ ~”°®¦ãRö45üQ +Àº«§ÍÿÌ4vwÀ;4ƒ:ּ˓Ÿ§—º¼¼SºMÞmÊV/Øm.àëx°§usTìiÀ>¬ÎÒÏ*À¨Bþ0>W®äS#a\8±Í·Ô±Ýàä‡Ê NŽ)rlƒ“§¨ pr]Á› ×7Ø·EíÚý¶lû³n—Ú÷iΗËËißo‹tb…ïÁ$ŸžÓ|ð>æ +[ö;Xå½n^ÅÀ'n]R•$«tл­•ðˆ]¯lÞ"ûãH®tœ`Ú:ž´¾Âø²Ï¼¢*ž«H€ÿªh%9oÒ_ +=(VuvðÔjü¤ÅOÚàûxã#Î1xŽ±Úc©KqŽç¨ñ“?iñ“ž"ˆûxãƒæ’w÷RùüX6ÿRX~)r Š\1qY‘ƒBs]@9Çàˆü* K¸ÀVØÆžsZ^?Hñoà-µOàÜþœ*Ÿ?_ÿ`‚°‚6 +endstream endobj 3078 0 obj<>stream +H‰„WÉ‘Ä8ü¯cƒ—DÊŽq£åÿw@&TûêÎâ.1÷zþçºr¨)ýó÷¿ÌÄ;ˆž‰¯²ùC¬¨À„h¤è&D#‡´ŽÄki!%¤S¸ËÿË„Èkˆ÷À1¾ÄòØç[áìÝWÛƒmX_ªñÀào¼ä3ƒ%Üú‹„Y¨¡]``B¸¬T=„ùënB¬ñçv¾(ZöòXh-Aú6¡ùÏ쎭z·Õ[ت_¢–ë Aê M¼Útƒ8Û•ùþס GˆFw?!mÇŽ„±½”N"¯w̃™tÄÒ˜¥Û¥`¼Þ1óÆ[Ÿ)D,‚Ýà{ vb<åÐŒˆàìifGÀý{C{˜[taY<ÓÌت ëÀVdzO['œ}ÄØzI7š#¬÷”½gOÞ_C;°ø´ð’ß•qî€Gø­2–¬.: ¯6Ä‘ìÝ3DÄÕÉ‹“/x¾Sï9‘›X$‡«Wèˆ>zD<„Ÿãƒ|©d-;¢zÌ^|ã 8ùÀë + …½B- +»(-³¶Jœâ"1«kÄ./‘‰]`$"Ý%F¢zÒ§3¸1ZÔµCh€gdŸÀϸï°GÂ;(À‘ìíW'/ýûëùÕæªð£vF2ð½ñ¡óàA½9£õv5è ßðˆs¯´GãŒ{D0î‘ÜËÅ™p¦="8‘ýD{DpBiM1^ßƸVþuŒ}=£…Ž(ă[`ºp;¢z¢ó‘Ì«Fˆ‡páUy;DåU#Dõ˜Oüˆ„C­¼k¸ØPüÓZ}lÚ5Z#v Hd&2ïí#ïšÓ†#/4­2ågcïÆ×Ðöàhd€qÕh\€3áL«FƒRœhÕœFÚdàÒÙ½O©7´i~unNÝHÏNô7b,A'…è:£Eyü•Ö³\ÜD[øBz#Ô¸Æ7ÛÖïÂüÃÆ&þ¼ÑWÀ-D¼Þ¨iìkMc;öç‰×»þç]½QÑŸÁdâsÉT x`Èﮊ6Wø„ò‘ŸÉ˜—X¶ö PøT O¨Ëæ¶`5c“¿ý¤Ê'óé§1þŸŸÎa›¯?òçl¾Îouó~ÆÌ%¸£hÇÎ:„†zŽüyâõFÿ¼Ñ×=~¢‰Ï%¢€ð€¦,,¯ð¡òµÑJÝ/µ{¤í@wdÅl›ÂÇÇO”>'¿wL6þó'^B½ÏͧY¦Ð‰ñ`{¾æýZ<¸ho+‘ ãýä=ÄøÓß$¿Î¿k³<> ùÊ;´FÄxCÆ¥1®åƒí·à#?AùÄ"osõ§t~Œdd²¢ÑçGk<ŒæÏ­ûJÐøqç$rÈm½>’ô‹iÑ+Ø(9Ï_a"úMD™ŸiDT¯ñ›P?Æ/¢|>“ŸâQñôlÃ"¿C®³eÒ¶@ÄÒôT»ƒÑhóû¥¦UrÆK.‘Ö¸ÛÒ¢ÑCDyÆö› +ˆE>J4áµÎ”‡ÇxÚå‰Ó’3!i~‘ "î§ ¢±¾¹@ƒÑ(þ–âo©ÇèV@,òK€EIØ`–'&^}Tj¦ /ùÕ±VL¼úlµz3±42v á%¯¸3îµ–ƒÍn0 QtÍ4‡ñAù`&†L>{•:ÂjqÅ“IÄVËŸ„ÅÜ„õÕ4×DXO ¢<ØS›°¾ "¬·á–ènYÃ`Ž¶ÙÒH Ãtj®˜€ù>Ù> @ëÃl•Sa°•N‡~ý[Ùß +§~›?u…4±²/¯¦1§‚D¬Ì4AØä·&1ÜÐ÷º#^ïö~êÁ¨.Ù†ñ’_8®ŽXŽ¼#ÖkjNÏ^ÿü'ÀåWƒß +endstream endobj 3079 0 obj<>stream +H‰”—K–Ä*DçoµŸä×ÑÛHïÚ€@ŠPfU÷êÆ|„b¯WË?±ÇëUÂÏûŸ8À ´+eJÿ‰%]µ…Ÿg€~Ŭà½À] ÜWÇø~]=î‹;]â|eþ¢P—)¤+ fÜ+ ò»5;нâæ‰ÉÔs¼ÒSß@fúŠÌqs¿é“;ºOÆÜ+ÆH¹rÝ@[Ë ³"½^Wf¼"qé•W*¨W¢¸]•»è<·^W`®H}†xêclÜ+:иìû$лnÑ1}žµ€œÃc)Æú%ŠÃZ‰3ô,€êÍýã¾ Æò%áâ”®K::Ï}xmžvšežïyB¸œyÈ^Q½¢¹È< ìyXó„8º.ežEÉ&ºO&(¤(¸iT§(î )Jg¯¶jè„£ÚÀêY/ ìz ºOv½ õ€Ô R/tVœz xEâ>N"Xõb©:ÏíÔ R/H½x9N½}R/ôî/ú¸k¤KO.N¸*÷sq’¢Fº=*W¶Ó€æ®‚Ýä¹€¡ë_§{T|\|ú-Ð,!ÅÇŠÑl«_ PiMÀ)ϸ„_èÚÕD’w9;PþW컬¾ +W?¬‚W„£8ù0 t×ßy Rœ¢”£ØIkŠ¿ÀþDÆ‘õ~ ›HðW¼õ2ª¤éàEŒ¿ƒ‡@ð +ÍúmAÑ×Ay%œjÕ¡àT7°]ÀvÀ£Ù5AÀtÛ@¯îžUó–ÿËpíxÌECÈV xV!mU&§N €(’Ô‰¬—0€gUçUžÆm¢ö€(æÑÈèƒ Šyó8êZòˆbÀ¦«NàYþáq¿Šú&‹­ý¾RÁv‰wûL§Ñ¯u`àÑ{h€FFvQ¬2®ÔÃÅ:Àc'T@V<¬¸è–X,íû¥õ +G`í;%0ç·zÃB& +Žr¼º·“ëíW; ¶¹â[ðíÁ£NCO¿ϲ»B}»˜Ša’dáÚþ Q´Çt?ËÍ,{vë?‰Fr ©²XÚ%‹º¾¨,¶ö~ÅÒ>w€ V€(J^‰žôÑ@/9NE @V"˱¾u)Èz·ít½ˆâµ¾=]Ý奩¡ ™;Ô,ž•KÊÇÏr±-Rže|ï UÏQÄUÏg¹éB‹ñ³]§ÞçU@kêÞ H‘*Fî=ÙÀ˜€(ÒŠ­ ‹¥=˹Á¼#f5I_€Mm€Zpj<ú‚ƒG@–/®¸ëãÄbiOØúV¨iÈ'UJHÐ>ˆb{’ xÎKmÄQ_nt×; _äêDÑÀrplÙ•Ž€dtÀŠì€(’$מÑ:`§†DqSÉÆXÎe wË©;@˜¯r0]ošmXš>ãª÷ª/o+'V?ÞØG·ûTÀÈÉWÁ>Æ£äÖ§`¤gŒÜoÔ»4'Ð+À¾rû9†Ù…ÝÏyq@¯üžÔý`l¦¡›©'`Æ£³ª €™—Î20ÔË>ù¨…O¶œÀBíØÚ›Þe›‰ ë(˜ì]Ý3“ýÞ§Ø3¤˜U`¦v¤DÔ`Æx€ª[ÀÌ5¤K͉¥fÐÌ#`R°Çü…€=XèÜz ‡å)þ7°çÙI;ð+ô´¿]ü­]fö°·-e¿(zý@úèTQ0¶M#Á¨ñ_é´T-lo¶Â \œ³©;ý?>Ädzt»ïtVÜz§* ËH3zÞ,sêšÑè6ï/t±ö]¤+q.+fᙞ ï7ðíÛ¥K‚"wH$ôê'AríÁ&þöÀ (¨…ÑSx©‘ÞYôµ±¿ñ~ŽÄUÕJX¶|ƒ<œÞŠ<¼gAiÃB»ÄâF†‹Ôöe|¡=¶ñ[cÁyÔ§‚<–ë[sã„–XG-Ú\q8|pƒ©X…@ë‹[úngóÌòÞ˜þAàñÃú:Nú­õñ±[ü±Ÿ~*6Õ=,mÇøñ¿üº²x0Ê1ˆBûˆ­M‹e3U°G1㣌}=ä¬ýÄØAÇÅ<ÒjÔSØ 0~Ü ¾ ’ièR….•ÌfºGe +ÿí·µØËGÂãØ +ØTÙÒݶ¿ú%¥fñ!Øàí¾°ø|»v° +a• œºÝ×k³äzj*Q上Gj[`±´ËhV·¤=˜Š4íSÉ÷q† ¦"ÏË ”q«”%ÀXÚ{\ñ½çA`*ÊÚ±NÍÄx¶×k†Z÷)~þùù¯µÞÈ +endstream endobj 3080 0 obj<>stream +H‰„—É‘ä8 EïmEY wÊŽv#åÿu‚ËÿÈê˜S^‚$6‚PLíªõ'‡|õ~>bª×“”ë¿€w€d ?ªñ×#Õ)W3ð4Û…€i¤. ^5- ¦q+hW*KÀÔˆúö`~uÞÃØñ\¥‘Ž/ñ¾bB_&8ÐÚŠ€w1†m)Õ°DÈSƒ€jôa˜‚÷PÒ•êO,†/¡Ä«ôÒÔ  áê¶$Ó `! ÓŸ¥@5î+÷R3 ¢‘Ÿ« ‹‡¦‘ëak0 r¾}œ¬ÿ÷ë‰ÚÕ’)0 =³^ýâX@š¯§Â˜F‹`…¦‘#8â€j$Íô †uuÇÏ]¯)`Ùþ¿;dÑÕŒC!°¬ÿßvdXáõµô¥¡>×Lá£xnûÍ^"§È’ø‹Æ[ãœâ–|\Z_¿âó'IDA»Ä8#•6Ês‚G#Öy³xמGä×)¡¨õjŽX`ïà€†" ¾ö8§ð’×/ù¶óË ¬žq4 „fñÍ`- Áx¨¯Q;×Ñø×_)=¤úcý OØ¥óhTðØíe`! +ˆW-K€•_WVÓe° 4üöЉ|vX`íðKœ†Q-Ê<ÜÀJ~Ž jLƒ…LÍÇ®fìdÖmh݃Ù_'ÿ»‡;Ö®TkLíêöÝ9AŽë»ÓÆÖÞ æ’#í2^£BÖKÄ xpW ieç™Õ¸%» ±¸Í¢ îÇ,8`\JMï ‚¢£4‚,Ýåd6Æep›› h?j™€|»\ô‹ AÖdäh < hwApó +iµ;p3èîPé <îHŒÜ™pÆÇö«Ã@“  _™5ž‘’Ä“ÛÞC¢qÓúˆ0°ZP´×"h#€,k¬¼#¤’¶RY?å°4¾atm}©Ø`¼ ìÖP„Ü"—5Y‘Æ5 âN³~¡ n`ÇÉäá‚ê·,zd­GÑ!%Ž²8PhAl,’d’“N +‚Žo‚N8(X!Èn oICRöÜ´+!Èl• ·Ôq¥‘Ü´å Ș0»ýitöcäœäÌGôÈé‰} 'g8¶êŒjÙ¯ˆœáØnm0êÃÑÕe0ÊÓYvLôB‡Ô¤÷;$êÅf= ”Åòhƒ bïœûËhž ˜Fò€äzhS>‚ˆ‡Ëä‘%e¸ jÐuHÐÆì‹ Ž€ÈnSIõCò˜dô ]Ar§ö:B àqÎJ “:ö“\µé#°ë¿Á¸G ²>«š6lÒMc%õk AákGè´Gt‰M1rüRÌãvh£xôrYZ•—öB>5éX‚ru[J.ÿ”ßÕÁ÷ÿ±W€c‘o*é”]ÚSŸ# Jv u¨¶øê½€#¿½VKŸ;ž)ç§Ë™)'8“ïzëú^ñïåïÝ@öÒHíÛ«wÊçÝRçPéº÷þ*KôÕ¤ƒSÿEc‚_òî%_{|iÐ +äC«6Ô hè)ç;`‚¹äã—¼~ÉÇ/yý±Öàƒò q<ê‘É9ŠX +2óAÀTÖIi;«OwìÔì@÷Ý Ý§üü'À_‘„› +endstream endobj 3081 0 obj<>stream +H‰ŒWK¶à*œ÷*î +rükÖñ¶‘ìú0ʧHúžåP)siG,?5å£ÖŸëOf9ãŒ?÷ŸLN.ÊëÃ`•\¸½Ñe£´Ÿšë\•¹ƒÅù»•ø]Nìÿ…õENùñQø$_hîF¶.Â1ºñnÉð?E*\VáE˜€1Òm6ðáj\^ãö«j”bÚ&Ö6—¨+¼½”ÿ[Ë‘¢dw± ÞŽ/ ”>Žx0Œ~Ôl–0 Vy1«t¿J?¿ý@•Ë«Ü~Yµq¶ñl–e%ŒÓ9ú c™Ø²! Š§5¡Œ­ñ",àr^ÝNA—¨”ùÑnt†AŠöÔ ƒU^ŒDÄUðåª\^åö˪ÖÙÆÚì– ¡9GalͯÑ\ê(C4^„xZ‚÷²¹´Èt‹²Ýè€áØ•¡*/F„ƒÏôÁUðåª\^åö˪RØÆÚì–€ŽþÂnìRG[ãEÈ|ìàÕít‰°?1 ŒpŽ][åÅج2º[o«ú*—W¹ý²jƒZk´)¾eCˆÎÑ_ÛDôk3 ‰ø› a¿½ 8äѱÛ1`t3í±«¼ 06\ÇbàËT¹¼Êí—UçÉ6žÍ²lÃ9ú c›~#ˆaˆÆ‹€à½8Ãä&}`o´¹ +=hKŠa¨Ê‹3Øp‹/?Påò*·_VmôÆÀÚì–€ŽþÂnŠ‚al¡Bÿ{Yq‚ÈEúÀÞhq:—ˆÅÑ0Xåň.àÅu,¾ü@•Ë«Ü~YµAý>Úß²!ÐÎýÆ6±dCH.u”±5^„ýÿíeÂ;Щž6=¶ã|BñŸBõh–è\mnõPŽl3£ôd©JhgzŒ*a`cÑF<šÝIëpóh=L“ú¿‘lµÕ;ie`‘n¥O“JÈ_-·#Ù>Ð6?[*Ø)Z,ðji1C¬ZÈ«’{|QlâiÞ=4$C¬ê«ÚÝ,_–ÐĪRhàYÝäY)T6Ø•²½Ûmšî’é1UÀ…¯"ìóãÁ០]}MÈ8ß¾Gyÿxt~ ûš=q,|Ï ~‚x K~àúlšØòÞÝÝOï*ïkôW;ÂNñ.K¾¨¼ë§¯Á9bÄr®Â&7¢æ8pžŸ@Fp6ÒÉ“J¢L‰ +Ü °Š0ب08q…Q©.žÀ˜»í†@U£dýÏóò›_56UtI¶Spšé<>¢•ƒë‹ôk,à—ˆ¿¿¹ÕË$ñË‹ÑÒdyËà/{ǽ±ŠnŒ3ï­ÐÕÏá€êäÏx&}dÕ£›Á{$ˆ'•z;#°ã·U¾roR”ªÀ*îôaÃ3þɱáC6w.ád× ð¹}¿¹­¢›CüÝùú_B(@9£Èƒ&$hY'cHc?BùI£r‘‡qО›py`2È¡GÞy +%ﺔ{&#’4ž"sôü›¦3ÈÛ€ÉH3=i*9Êf°cÖÂtôÌ ¬Ä™.ÑP¤À`12欦Œà_Kðc´YXŒÇFÞ±rÀbŒô¸¾NÌ“q¹=Û{ˆAãR˜À9ï€Å˜¢cX£™&#>ËÒ!–m€É öɃÝM@žÿ §¯ÀdP\~&¼ +òüßfuœÀÊDSÞ¶ë¹O>Î28¸ˆ4‹õåe{’…Yíˆk¹v \ è*H4 +ï[´UhÒÿjcPííék/j#Òå-å‰èªe‘®{ZWoùá€Å8—JªÌ0Àdô£=g0¶ +ÏÿãcˆM`1j{N¥ef`1ú\4ï©Ý‹19Ê"* ‰£…€ñ‚M'x±³êñv²EØo ”M4Ã3/^С8àD6pË!°š«&ƒ6Úàa€èÁ*SfLF:0 V^ÿ“I#âi°cCsã‡æºMM+›XhrÀ‘ÔË7c…ÝÜ.ô,÷…”³,Ô²ôþÑñ?@6ùÀ€äÔ §ଜÏ)N+K^O Ù¼f@îF¡F]»¹ Èý*s<©æ~1À7tÊ1ë eÙXè{Pu€ñ¢a`@wë~N8@bAr1ÕŠe&!Úhn@O$ò“×rªh¶î2 ™amàš¸à“sÖg8í®­,Á¹L6øÈ…´ç€±¡)€ñCÓÙ‰ÉD+k,L.°.¤½ xVØÍe@nß?òxFCi™‰º=´L,´L£P)µeÅ“±i:`1ª©m(¯ÿÐ2°Ï„Æ%ÖÆ—i¨\éQ6ûà^á n70ñä~å=ix¸å¥i:`2¨4°Àd4ïGó~4l˜Œº(ñ@`1ò°!E`1žÊ© °Éž,ƆdÆI0Ì^$G0ñ>stream +H‰|—OÎĶ Å÷ß)|Õúg['P «,‹ì ìU½~%K$ßãL²ðç'‰")J³o¿üÄíŸ[ÜþØbØ÷sûß÷í_Û¿Û·ßþñ˯qûÏŸ]òßí')œeKg WÛó‚ãzAlq»=˜Š2†ì¡fQ˜ŠýzÁ^E`(b8¶t´Pã =¾ï¡•¤% 0ézÁÞD +j GBƒ©Ø®Â`(®P:Ê`(Î×1Û+ƒ©(Æ‹ÁT$Š9ƒ¥Hš¦Û˜#…zÒ€9ÄH~L{Éጴ— ,g 1c<°˜vP2ÆtËKGļ,`¹5«[…V˜9J6Ô¨î•ԹƋœ9ƒÇ¯[@‰VÇï¯P+Ì!@ý(ñ W?è^(¸КEClçÆS€æd€R!'4¯ ` µ«0ÐúGhÂ^hC¼èY˜3Ðó&iº=€9Zh™æ˜ÀüHîÜ °½¤Þ¥OÜË +Åc‹i²Î@Àò’zMdÌË–[S0°ú°Uh…™£d[Ú^X'w¯@¼ähhÌ<þxéy;Êv˜â(5dúÙ>q¯ýØƯEb^?û ÿ¥×"Ǧ +s]…U:J6Ôì”l¨[¨}7ƒÇ==g>™m†aï0Á²Õ‡3W¸ˆè.Î\Â…W•‰Ã°3ÄAlä;^U4gΡa6hFAÁ@«Va •Ž2ÐÚ„½2Ðú†x1Ð3b'[ÏØÊÐíl†œi‚ À‡î[C^vqÁ5"ÑÄG´!–-äH±œòÑàÑrÚ¸g²âuKWa`•%n¢ •yÁõª["E6œ5ƒÇ§û'ö³yö—ï•×;€£?S¯´œp`*òq½x¯5Ÿ¤hoG¨éó~t`(jhôà­ LE^ ŠÀP”ét\‡Ç©ˆsÙ¬ +Cѯªò‚³N©Èå Mj¢0ãORWPäõlqV)ë_¤àiY¯Z`·uýGt"&—©ui@æPÑX±’]CÊ”ý ¤vz{‚Ú™T^Ñœ€êí¡Uï/ø~DÊ>Àxy¯ÿeó±^NQ˜Š¼þ¼dQx¯•e µú·Ñ0¦xæÓ¡¸FØJ¬r90˜Št¼`½† Å9®ë90qþ±Iª0½KÌÿFËQ´ç÷<ÿøÄS¦"ÎЪŠKÚ*XåÒ0?Ûê8lÃN›ü§c`ÑêýÔ0Z XÄ;Èñ,k¤`™ï@âE@ê¦Ém T]“|1€Êío¾L•;Áã‹]«ßúÿbýßõÃú¿sÖÿÑÒXÿw@ãhýßÍ…õ4ŸÖÿК°þï€T•µ¶µ.­û; µmÝßPH÷wV)Ú-îþâ—4¶a§Òû€hé)q}&2€¬¡‚z¿&Zz¿R7ÒûÑ‚ª“ÞïT®ô~_ìúú±Þ¿® ëýèd½ßyƒYïGKï?ëýèj½ß½‡­÷; w¹õ~ô=`½ß}SXów@ß%Öýзu@!ÝßXåÒ½dîþêXÓ'ØmÓkáþ/Òþï€E]»»–9R4VÌdkÿw@jGú?ZPyMsvrÿ×b•þ~¬ÿçþ³+ÎÔ…C»G±ˆ}£ýþGýNã¯åƒ¸–—>stream +H‰ìV{P”×?÷ûöá‚à. «Â·<åµ|»Ë‹¼–EÞËcwÁˆ²ì~Â*ìnv£`â# Q“¶Æ&M¤ñQ ÓXÍ©Ih 1Z“š«Njlk§:mœÚL2:ˆÒ³«1é´3N3Nÿè=sáœs÷Üß=çÜ€@8l:Ê-Õ•«.µ;Bò –lËýÄm€øiDµØ{mž%RÍÛ"Ä6{¿Ÿùþ—;MŒíΕž®^õÑíQ9†öXWÏàÊÝêêwpïgô3ÝœÍqÜZú:@¢}¹Ýè˜{Pü$ÚûÐNêîõ¯©L2¡} +ñ§zÜvÛÁÆ×öÖa¼+½¶5A©H}ñŒËÖ˹®Oý@<Žx£Çíóã=p¤ŽÖ=^Î36tA†ö9´û€3âF…͈È”‘JY¤2ÒL·Mÿ$6OfNÝ҈̛¹,Ü,œ%$S¥J¤BÄI‹$ó¤"IRbݦ„‰3ÔPRR’Á&§*Å"QbBŠ.'7ÓZMC¢£äèSQDI j*n¬H+(Ëo‘Lj,­¥¨Ø¢M\@Ëj.LÔ$¤ÞÚCÞ¸°gì¨ËdΉ+ÕÓci¾ºììšæÊéúµ¶96c9y“jÇ4ÀÁ™Ëä 2 ƒÈRI¨D šCBf¹°y‘9¹ZMtTdà䔃fƒÑb1–Z^llm]¾âæú­+0Â1£‡0Â<ˆ* #©I¨8B:a³Q2X©Ôðä•x£ÙˆäÕZeª«¾¥µ57•-Âø›g*t¹Æ–CxõÎ\LaÔB0–êóÓs¥ be„U'H¥¢ˆˆ,[ ™C‰³Bò³t´–¤’aˆ˜+¢¶h〧(¤#¹H˜ª":þü˜8J«ÉÕå¨HªŠÒå“@Šùü¦ &1A%Ç”ÇÑ‚©­·o9?âX_[mˆYhèïxüÕå#ý/YÿøÔþÍÃ…µùZ]übÏ:ßkm±¯;1Ò@ÆžøxyÉD{®©pIÅÃE¶U¯`$Û<6x”3º×èšµ–v®2³T¥ˆ]TáØ…·-Âþ(ÃÛ&Bt©T)•KDRòpHŸÂlì +VB#å8%'bN:!•Èóù$uĤP椒¥ó$·$KÑX + -ÚÙíW$²0sq]‰0b:þ´¾HRÂDkÕŠé²<{-›mêÌ£ßPh +äZk;2Iœ¹,nC&jìÓy*I’4^!ŠÄ>%¥†ßá“RÂ&‡’pŠ'B§óº€ÌÒ *Xv1Ícˆ`ôêµ…Jsy^eæÂÐ/¢—4åGŸŸÒRSkaœ-*(hŠþ"VÙPUnf.ÓîéŽeKÓj»2éOZ²ôõÝöé}´²­£¢ºÅ>}‘~ÈXWh*HkNv´W”7Z¢¾%éü†läeô^!ɼ¬"Çÿ/V°Î¾Þrüò¢F8EðߤàïL€ìY-@_ð-D~0C¥øS +s0C0@5Ô–ÍÌ ÷ŽÕ233séŽóxïÂîz3‚: ±h‘à)±PÔE¨·u1,‡þ@E´Zà7A€†$u +Âɲ N£Ÿ êÔwuê'‚º‘›F·gÐëìêö3ûµ^¯e2“Ûåöz8Æ:èqwymžîA¦ÇïP•ŒõU ¯ÓÖcv÷¹œÃd-s÷8î÷0û«×æàzmÞÕŒ{å¿;—ñr]NŸŸórÆébüÝÓlam~&…±šT6—ƒáz|Ü@7"T`7x`¼à„.è?0°§ô(ZÔ2qšåÂéG¤8ôXyÍ{¼`C­mzá”a‰PUЀ¥2ðÑm¸jÆ}É1ÕŠH7ú¸Âa¬>Ômˆ¾ÿýbÇW?»îÀõò¬W£Ï +ï;_ ú·w‚mŽ·^'Æ`ÐÓÍGhÆÃ@#îÔ(…iÂ\Ûx® bzp?<>Cü›!ü›À)ÿ3¾Süÿž–ŸIÒ7UmºFÄÔîaù tMP„¨ÃY©hÎì +%Û! +ÉΣˆ`·™md3ïñ,|9nÃBüß( øÊǧ‹ã¯R–ùzyvñÅÂ_(^žêŠ`Ãîò$Ò±©#Ù¹"ºY –Í©va§»8¿:† +¸Bdá-œ×iqv¹2™j—]¥Ö²êÀB¨,ýÎct÷ör^{àMYÜ+ý6/Ç4öuö8}ø(|ŒÑÀÆÅ„ésÙVÏò£5& 5›§Ñêô:}냠0ôã{ïM„@;´•‚c“NÎL¾g=°ø½Îù?·6O”o^Z³äWß=7yöý ›FÎIÿýâ©ÕûÓmë÷=ûþDçžÂ«q¾k2è‘›>~~»øm§oh¬³^÷Ñô éßÖogôpeÓgî:êw뻄Úv¾éìͱG‹Ï ½g¼ðù…‹'_ÙøÔ¯ ¿,J¨ªÚKÑØTß( ¼ŽýYߪ­/oz˱æØ^MŸ7ò§)TL[w©*'öMsMÓü ·-YžQ?=Áí2²?µ¯½âÛµ]¿ö)Ãég +NŸS~ºïÆ_yw(6?FW3:Q¬ù‹rL8þÜç·»þ\¾cûUë‡'''Oçï‚>¥†/lI{ýXë<âPbãL£ä²­‡?zòÊÞwßÒadËÉø“––÷` ½¤ŽgÍ–,úne¬Þ>ŸŸ©çünïê;5 ý—šf²é³ ‰_ítörŒÅoëõ8]]Œ…óö;ícv»ýêV3‹Î¨o`êª eÕuÕÖåŒÁh\Úh]ZžÉ,¶§é󘯟Áwa«Skؼ`êõjV4ÿ÷/ðŸzxòŸÜW TYMÈ"lA7l?(l¡J–ÜI%’³ÑQC( vHAª@•%ÇV—¶TTè±÷v¡¶—q qgµÕ£8¸¶Ò.¨ó+ˆàzæÌ9Ó3gÎIåÔÿõÞû÷½ß{ÿ ÁíôyAÁÂB¯]üÝUεn}[ÔÍÙ—Ž†ì>Õá45ì—¶O\šþ>4é놫E»Ê.òýÇ|½+5kÎ_³<žÒwøoÕO_Í}œì¦/ô:–U|ÚGrºÞ· b_ñ–jy\ÛÍ1>Ûukó¼KÍ ÆÉÖ̪þ2ât§SðÉê¨õ¯q˜ÛÅáh·ÒyãO´<ž{zó½m¹¼ÎUã²Flu~ébñÓ EìO’Ö%s«*¸Wûg÷Ú㺵9&c‡6|ÑžÏóiµsòªæ9yþÑ]|ûWOù™>Ë×»šõOákŽ-þü<7³4`¾aù·×œ³Öm:œš;nU±ÏèŸÅx”â8òþ‰G¿ ð‰pð`p[×"¾áýXª_°ø˜´h…ïM÷™ÿ$Þ†ú!¾]†ß7ŒnOßêé¿ñí…ûõ"–½Fé%p1”Þj¸Q½}Ù +ÙŠsÕnÓMçùÉ+øhCã³¢O¥gñèâ¶Sü eÛ7ÌIjØiÄ”uÎäÖ†ˆ­ÁNçï~[ûNžÉ Wæ7j”MµA±ÍÎMËê¦?Û[Ðtiuu¾ëj>Y²“­«üþGáçÑ÷ò7é¿<ëC\YºuNéþ¿ÉbÓ§ÏRãÀæ¼Ð3¯±Ñ´çäÜÌÀäïKÀä¯Fx¦âwý†LÛ¶0+Ü1°ã“Ö 5«¯-©št‰:2Ñ©lgË’•Ç8Wœ|uü«Š²/Ž'JOEêî{7|ÿÁ˜`ßÑëþ&Fv½9C–så RÙ¯ 1¿yL^ùÃUh Ç£#î7~ÚÙ¦eJƒƒò›c5|†•sخھE÷'¤xžu¡XÍØJÏ°ÿRwBBÑ^ÝAÂЈîîncÿî?•"’.¥q³gÏæ@E +* +dFˆ•È$)MZsCT "f Òš)ɹ@E¤ +ƒ^ ã5†Ë‘èxdl—p‰)ÍDÃq › BA0›ŒV’‚zpè fSŠ6‘3uFœ}þ­€¸1Ç‚D•S&-¨+ò^W(ú¨ˆ” Ò’‚¾x13wóbˆ‘´ÚÍvw~Ë÷w&ÑÆáFŽlÎÒMûukÖé<û$ L8„´Þò¿÷¨®•® wLõȆç5Ui¾k•5ì£ù§>öA‡=àOYçüáÔÏJj'LkÝ^3Ó/iPbÜ`*2'âæ®(À+<ª‹Ö vû<>B”V¶Ëb¯Õ/¯O›`–ûXÆin]YwÓËñÁì«0‰*m<±ñ>²GfØ®ÂBœ™×~\.ÇWŽ3#6·p)lf®sW_>.~’^òKd½eÌ]g[…ñ7`±íõs²7ƒŠËf?ãDÜæßsJ÷ä8ô)`Á°C—@Gøð¼Íè%#`TmÜpzx¹_:MgRÑ!!ï"¨8A]aãÔÚ8ÕštŒ„•6¥šŒš€×OãóM'(†½V"^:-F"0×PMl +ŠQ€¢­&#mÎPÙɳ# h2È~uí‰Ç » o¬#Í4&Ø"h"ƒ°Ð`Dâ/€0)F"p‘ƒÉlH63H^¶Öã0ÐÑ‚·9:†Ag@3PÀ‚­DV6AÑTÌËr¤UE»_ÞÞ 0:<*î¨v*Q'äd¶…6@T:1;î&ˆ +CÂBZµÊeæZMié4ӬШ¨ˆWÌ 2›Š‘ `A `o$R„@Œ©4"\!H©T"…ÇÔ@‚«Åñ"\ŽI€H!éÕãq9Û¡PÀH+p…,h&b@«Æ€R +_qµÝ.ÅÅ" àP­QábMü ÖÆÆab Ð(Sáj\¦è%+ A%kp1õ 9¦Ð@Ø̸Z­…ë‘V3Q©‚XÝ ÕÝ\ž?ÇŒéT˜Z z¼‚APˆãµÆJϬâ–c*ñD8ìöR©R\£`Ô¥ð]D£X/R­*A©Æ‚ì‹$âññ@¡Ôb1{â1»‚X©Pc“µ<.Š‚* +\ƒëžëtƒUB¯T@"’‹d˜ZÔ&`ü„T±Û`P*^ #-&a°À-#S_åbš‰‚‚HÒÂÐ*ÕD¤¨»ADÃÌHΆ $ æ@};¹s ælPéÈ IƒdIø)ÅnÄ@ƒÑ˜míÊÀTÒšaÏANWÙ‡© \$TF„ý+iÞ=o&ÓHaš)‰` + ‡‚#åþå~ }3Ð +32›zÙ‘iG¨Ðh5#ž½êÍP®#‡5þÞp™Ôž-iÐÄu"7ªŸzÀ®ß²Ìó׫Ywâ2L‹z\–öëPÅî¨jeeX a©?hÿ¢ý¶iÿT¶¼²ÿÝ ¥%?˜'OÿðügÛc2‹Æ²®oëpGHn¹u=#QYûºõC"÷ì0_žä¥¼ ÷jüÉ­¦yÍÓɶÎ3ímWO÷>ÐqñܶßëQ§±qö8°ÙHöoPÐßp4rá;vÅÇc•~‡ ~%'Ú»¼saÇí9£¯dx"íÏu¥ßÛ;ïö”‹CC÷w«Mò=¤öwAõˆ®<¨ €%bYY&–ef–ŠE²²YV +‹€`ÉYø gͬ”Š +F>'C£Œî¶oß~:7“L³2ÓsÁ+}Ÿ[XòÕÈ„g“>-&Z”õ•÷¤¬>G¹¹÷枨v<—ç=nßøÚ1}çÅJ‡z](j¿>cà¢ÍãCK*ø£/bEí÷Ë#ò.ÿéÇCš +» žøê{ÄGmìpêkfç ëþç¯Xo»)¾Ìž)È Þäq~1èÆÜyñ…‡ö³ŸŽ#ÑH4 + ý'áÕeÆïû½ßÝ¡ˆäXˆD]äô8Yà¤é‰u ’ØåŒÅ–³¹ÌYΩ©3±‘¢säÜòK™ºf6f–æ¬9½9ÓtÖ”\§sJæ\Þõ{?9ÓÌü¶ßž÷Þ÷yÞçù=ø>ž.®¿¯wv¸ùÄ´Æo_©y»jCý Çý5­;÷iAÕoV·÷öÚ3³æå2üûŠÑEUŸšøákÙ¿Çv÷&¸ÐåÞÞþô¦-Ž¡Å=%;œ©?å¤\Ý9=Þ;­þäÙ­ NýüzÎñ¯.ël~yp×¢«®“’*Ç>¬"'|_þrüô¥‚q'>þ¤ÌõÔ;Ë#ª}ó£%û7>>øJæãŒø&\æ³@è¶_c¶ä2å@§2L~)ž¸ëyqÒÄI÷i‹Õˆg‹°Ü4ì;vÀ…T£õ±²Øñ•­&›aó´y]5ªzU§|Æc½‘-·É:~Ñ#:Ä +1Sø„WäˆL‘Áï,þÂuôâ*¢¸ˆóøçp'Á1üˆ#8Œ؇½ø{Ð…°ð9¶¢íhC+Öb Vc%V`– „EœË¹hFæ`6g³~Îe¾+ÃYêð˜óÌ é7'˜JU+Ô ªH U™*CõSÒ¸iÜ0.Æ|ÀØk´RFä¹U¶É¹²Q6H¿ì'vK´‹*QDÃD®ÈY6t1H¤’‹7$S#«›¸AfWq…ÌzlV‡È¥›L<:Æ6²Ñ\֓ͼK:r/<@×À”Éý»ú%¹œŽ.]#a!ï aäV¸Ç¹çÌ +ZF®-§Ôyò=·2ó=—¦¦—¦?ë¶ðre ÏÌ™j‰çSïÙðå=2(½Ô—Ç]_^·/Ï;ßž2 ©–8nÁSÁ_Võ|Kºiõ|™%R¿Ç…§’WQZb¦[«M±r_õ¼uÛ>a•0Áÿ›¸ÇΩôåy}dbæV”_zxÈ6åò[W¼/-S™_^¹Æ­ël<8¹Ÿ:Ô»õ/={FÄâ5ãŸ<8cà³ ¿Ë™Î²•—´Üy¦çåX[ÌŸ´ÐÙŸI}3E;gKÌÏ­0ÏÛ’Þ™¶ÄscZ£20öÌUMHUݘ舠Б†…"ŠAˆ!ä¨0^’ü—’ú¹”aYŽLêÏ$BÄ\"HdÍÄtb=QGTØú!¬åÓõ=¶lE½3‚Ñf7Jˆv3ŠÙÄ3€Í*‚ Ž‚Œc í:©;TŸÙûa¬ã~Ï´®-µ}Í&0HÛ˜!<ç ÁCýîyM/’ÉcŽ™2þç)Ä{É¥†ñÕPg™Ž•r"e½ Ç/sí§ý2éÅjé71†ÍzíLÃ"î/%&h;­Çü¬Tæ'‚,û,€GùÊ‹®Ó(Ó4Œ¦‹ÒGÿ}¼KlÞšG'¿ŽéÐù¬¿Œi‘ÉÑDlÿ¥÷  /}w¦~Æ_©B\/0£ñ켓ÌÓ~£‚ÈvFã7íœwa¤]Ë Fk0¥*ŠõF/Šy–åhE÷Ód!_ òö8r1ÎÙDŸê¶" {¯ïŽ4Õ?G™¥Î#™þ×}wëMäJûu•Ãï ³N¬Ÿ‹0Âh &ؽIèûC®Î»®½ðÆÚt_Ê(Öô½ ÛF÷eíýIaÚ~X‹;’àù*bnCñ(×™v`­°û$ !Ù?)³ˆóÄR¢‰Ð|§kÝ«v¿°X0U:V;fíW÷cͺD)QŬìC±æÎyiO÷5~W:ùžRçd¾UÓ8ÓQ¾Å$O üï3fò˜À3fé¨QªxäHUTP ò}#Tž{˜Êv›Ù)Ùj@Š‰‘ÖfsSËFµ¶Å\»rµzÉrµx‰¹¸ö=¨5±|ÃØ/—£X½Ç.Ízó‡\îí{þYy‡ ¦ß¿A_t +endstream endobj 3084 0 obj<>stream + + + + + Acrobat Distiller 7.0.5 (Windows) + + + 2009-03-10T12:05:03+01:00 + PScript5.dll Version 5.2.2 + 2009-03-17T16:27:33+01:00 + 2009-03-17T16:27:33+01:00 + + + application/pdf + + + sta + + + + + Zusammenstellung + + + + + uuid:aea2709b-ad67-41d2-8919-f0cfccd36aac + uuid:62398713-9615-49d3-81e5-e6584111aef9 + + + + + + + + + + + + + + + + + + + + + + + + + +endstream endobj xref +0 1 +0000003041 65535 f +3 1 +0000934406 00000 n +5 1 +0000934465 00000 n +7 1 +0000934673 00000 n +3037 1 +0000934753 00000 n +3041 1 +0000000000 00001 f +3061 24 +0000935029 00000 n +0000935161 00000 n +0000935239 00000 n +0000935332 00000 n +0000936525 00000 n +0000936730 00000 n +0000936846 00000 n +0000936924 00000 n +0000937617 00000 n +0000937840 00000 n +0000938064 00000 n +0000938340 00000 n +0000944487 00000 n +0000944875 00000 n +0000945104 00000 n +0000947678 00000 n +0000949598 00000 n +0000951744 00000 n +0000953859 00000 n +0000955992 00000 n +0000958228 00000 n +0000960290 00000 n +0000962384 00000 n +0000968492 00000 n +trailer +<]/Prev 933732 >> +startxref +972168 +%%EOF diff --git a/doc/Board/cursor-power-circuit.pdf b/doc/Board/cursor-power-circuit.pdf new file mode 100644 index 0000000000000000000000000000000000000000..befe8f45425d74e4e190aec94ada0ba4033cbbcb GIT binary patch literal 64986 zcmagF1CVA-mo3~?UFfoH8&BD;F59+k+qP}nwr$(C(SN_+%s+GQHxqGB#1nCHpUk~? zuC+2V&a>l?$O`?Y0njqRknA7KEWkjr;M3#V=$qqnanVVdSsUruo4Feq;sfX;@mc7Z z+34wH@Y(2u@tIke*Z_3Wf9;BY?MwhBI#GNLd}dY_d;kkTi-!ls$lCC)7a08i<${59 zwKp>UClY`O29o|iFTmfx|6KUi|7BxkWc?pDHUQ)Qu(7i<|GN!9&-_37u`w_K{;eN? znSq_@-)syF^eq3L3j;enBg4P-V`Kp^(Epo_iGhv%-^Vhsv#|bq+`sVA|BsyM|AFtn zFmSZjGqW_Zhk^V{gu-7A@EPdoWbKWd|ACvHiB8_e#u5K7Joxf-V%Eks_&{CZw3G}8#6Pz06hZ>6N}JqHWmR^CVB=|ejYA*dSQNcCI%q}HX&glHYNbO z0J|_fKY$+q0I&!OvkCG1Lm;Gsqn^Fve=tQ414%+6Eb|)%^55x%fdsJshhYBEi;=a7 zqbWWs8{Ka+OGhJny5E+1jz&U81~!I9bYl4IEPqAxS3wH+j4V7n4vzLldRBk6u&%GG zyKAhgd#?AxKZ681)i=oRXV?hL5Hz$hbmt@-`eciBQ_|FTrpv_jeupv`N-Z?e&jB3x zDUd-_zRB_4+j3mOX2)N|Cs|4aE_ zuw?a2j2!U)%H;38qMNM|o#5YRmNq8;dLXH%Z)E9!|Ccy?`Tv$P+kg2Iw70PpuyNJ+ zs{njvc4k@z27ERq2Ccti?TxG*|I@3WjkV+7mV*Z1pKkK_fPcBe2mF=NKdpa-{<{eN zqxCNa|1O{ZX#I;?t-mxo{)G^q{%`JvW_tfyKzSnv8z*~%zccxVi2t0)KU4eftpA%K zS$i7;1tUidy1$EFi%!_pQAFV%|9`O&Q2^ir{+Zt2+5Y#)f93rj;QjyP0s#LTz5mUp zXqcf2XEaM2%;X8Omw)qi3=(y1?{6&3=;2=ds2U>h?rt$uvAPo(uo_(&fX_ESuPmQnqh9cCOB&x3l%VFQ4r>30 z>`R5YZnT;tkCcFQ?%@29Ieo|}sVo;~I;WkXEc93{;7QOcwM&8$$CBO>Jqg7+6(G~h z~wz0W(2Gn^;?3E@n5((? z%PYq@ahwJF!@T5Lj(kkhtUoZnW4pvAi!2=IYQ9z?%~7~+(yd;Fm$|j*f=T>Zx@L}B z&)sxoE}|{ErwhAeA9rC~q2rRB`7Fi`{!l~(( z5A*;v*c;`x@|su=^(4QBU5O9wbuAN026 zwUwmIP3_LY$0@!sj*8UPbR}i$rWc-C#{Z3Dk8F^5FKm|3J!mG6m#$eBX>z9BnPgXi zb}(sHED3QrQD1yC9TROZ4q_(%d>pyRv2r&wK0T7OteXwpAE(R&w&Vo~EX3VRe6;Ex z&sExHOeJF5)A!b1rUq-?%%(GdlW!c=@oR%DVYn7~sGt)N#C;slip)0S=U_{I zvkS<78-yC)ZcH}crm}Srb}a%!;9!M3GoqX)gm|(yCw7%D{%8^82l;UAYmBzqOV(f7 zd+lufS;W$?H2=%|TInl!FX(LUg<198`#Ie1wVS-;Mnb%d-c1@09;8}gx)FqJb(o>K zDtEzI-k&MqO*)``0kPPdUPBaJ6{%U6*is^04=cfi3!JVai*S^M1&jdY(jp5NWcUjv zE-g)FlC0chnB-SFfM*&16z#7}BZLBY_c#X~HVfKJIOVcbh=6r1X>4NzgZZ~$w%&~q zoHR8je&Pid1eKA}_`k&Gy4IkF$Iw^lQZw*m3o(#I4&YkS^!e*kd&G6BR3n-*0is{$ zAfX}N2zeB&#CFo!UnPWgvS@0-f&kGovRYUUooNe5F1Uc;jYBdz+#cZkSDs|PboEPs z?oP* z8fPWHyL37~jNx!-K*&aaZF@80?~?5W0%%CPZhV9qIQ@rv0$~$ysgvGe?l<&_BerVQ zJLyEi32iB6kEen)qR_a)`bz3LdKL0uYA-p%Gl}A;Oty5F=5%GxQj6)kE?Wx{%_x+# zDq|&5jGV$BaS1eH;?21P5>uW}m-+NURKwi*omcvaXs9d!U8OO079w6nq&$i@O_3Gj zH6@-&0@j>h6zMFWHx4OGoIMf*n~j$)sbJzoHcTon{KOG1=P+f_hze6IW~LMncy!w4 z^^Vhwfi{Wg5LD(HhN<0hA0G`S)1B}0%uck`I{`B3)>rT{ z;ucr8uzT!Rt?^{yb%8G!ijhW|s3K84Df;bK^ww__d+D9?R!J0L$}W(J#5WvsmN9}) z5$ZieZYDU?wqnQ!$*=jENILTiGmg$!8f8b4=SEreH(bbZi>d7W270;UfshmX8-v5H zO{dlCk+pADUVGd5+!EqjZGq@y35wPl3mb@DWQ85+WJGN~8#Hl?GkpE@k#x{2;jNhv zUIa}D+l(?O;3q}1TEoxLh4&$M@4PmJMI*uy;F7rc z9797BaJD2)PDQ084bM>eSbBLA$Mg-321Z9poDR1Rym9oaKT~|t=h80qFfkLsp4$`e zGYHN_wDD2X^vZGMgekYb%c?K>)EjR^{3JGV=FawCi64#`-~PyU8Ge+LFgg@oo0E^D z;GUW4;MFOZU{ymOIm5Vh>m+V7TGr6JoKjL@&vQ}+;?*g{|Hh@X_sgznKSBb&C89U! zcgz@z#Ggry+P`T#+wpXdgnUW)J(5+iOPu5RlD?_|>2njvXSnGaZs|ry6$K@#R%W7A zbRntn;2ZmkhMz$ryI35*kZPey(2ceG+NO8}V2xoe#Q2k=gHkv( zP*qb5fmccvC(8yS40@Hk3tM_$f|}=UPQs*YtDeUh;2yTvoJZ!*7(NR+$EeH=0o-A%7cTsXgPNPXKBiE?goq7iyHzXSbwBJ-$jnCA)~PBIQZf# z=U~MMxhanYLzo+^JVL=$U`I&?dL>!IpT)$Y)B|>D%Gv>z?yD03(60Q!L1mEn8zPnP za=ZnTl~>+Q$<7k9Wc;Pz%Cm;#P&Y!%LH64br3n%9h(7r-bg%Sg1@IIUcuKH$W;bTi zI}mHFK}sT{Hl!g`I~X#a+pWk@G8`g7Ntck#_ zCN%u>n62YiVgm6fyL4A!I%pqG9!lYGvT%w&S5)864<=fAGg`M&ybvjm%E;6-x@v8z zZzk0xYt4at=IE?CaZPyh4b?4Zk2-q$iI4%AP!7yNmhlO|O;IE`ZIUY`ZS@@$^2UJ* zs*IhOj>v-%AHSo0eyiD7h%{P>LS5fXtLZ@%Vf+Y}X)S$}*8}wN8Ra2ICb zb8On8eDJh1D)Tl5Ogdsa{EC2FNpz5jUmhu*{gXj{nb%@qUu7=EQ`WyJ-_8%LlK}{f z^s{Qi>hF&assID#=F&f89qRE~8%nBwq6vva-~M2XUKwM_bBuoQE~8x8aPJ?Da%E zS675yM#Ug{Hnw~_`H%@&Wu__W*1cnfhn|Ar5a^_-Uuibxq6wf>@}5<>y0mOx=e*dj zVh#tUVDO-=qS=zktG8r^$fniUh=q41e{3oSzSuPKn)LJ_*a9x%YF27{-La%wRfh!w zkuOx)r>`1^5>_LYLbZkmzGl`+(dBThvceHoU&_!CIMqM5TB7YJe`6|AhSXSQt#C`^ zRHzVwOeg4Bovm<#V|zPlKTa^VPU0fgYQIp^IBLC6*Z%X}{l^Yg%wC#1LK&c(KUUGoaUDvpI_@go0dE(o|VRbmnCpark zNtn*ipu~qAta#{xuHvTU?i?-gv^wZG{JERlXn8R~p-P<-n%GDGf(vak900L8-qgkz z@La?Ok|alAddsE8&||47H7lw)rJ>pD z88c*oX+~dthxTQ*LayftNsaXCr{^gAC?Zi*LlJ~lNJv3#eMh;LpGW5G!ogWfHYXA??*DW4SgCViAegXcJ{L^(<{78DuVkE+&4r#e)OcQ;_mNBO>V zG&L=%?o;DN0|f97t0EzEhLftv{FRUzas(;zZ4=!wez=V;h z9D~Lfo~ezp9v+z^Z+PeA8!lN$Lx}h+G&m8J^bse-h3z}_L%^l-LE)BbAn>4QZJecU zz~DqPhIMLOjX)d&@<(%eNX_?;M;ZnfN}#cOZq2zSfSW$NQ;_ru6wl=WcZ~l{N!Oi&MaYS#_#%?}QGE=**Ir)galM!^nXXYA33n7jNX)rEnP>Hg0 zTjd$=6KCluF%LgK7ewOnPFDJ{=6+L|?+BsfMD{v&LHb><;19*xR8#*-H-}D+#sot| z4eWIj-_3Qj8X9WD*)I3EvmNcz3!A8&I+T&X#ojVZCTIWby>C@U*n8V-lSFP&Am?UZ z4UZDqYKP6mHZ;|jE=l)i7JQ16AwM`M&u)5Guv z%2t)><~nu+k(q@)1PnL>Om8+M2Yw9X!-cwJkpJX1$2Ag9Z|0pSjt;z4Q-UC#s8&rx z4H5_2kl9po+cu5joS0sAX?}SN1>xM*8PP&P$ZSD3>!-*=f<@V(o9Hc zA{@z#iDd)2I+U7aYZsX15a^FPFG;tmP|R>_;%;7}{s29(uyDmwwD%fIY*JDidq{kQ zOplj#g|B7Gy6twJMMQd2+~}_mp|Aahrdba5j{fQc8d}d+|8ef}Rb8IGibQOhGp`QQ zfW$5y5eWi;UgE&6?+?<903n}2g{TPMOd`r98YfL^N^#DJn7Cw2Om47>4@iN>*TMxt z(cVJA%_)!!XMshJy)&wGg>;bnm3G6P*HOj%>sGTl4#k>6!!3Cs?JT+7u5SL!BixrZ{#`t;#Xl0^$AEvNQ!e zVY3Di{4d^oF?*Iwc`t|}K=N98MbD`0mS?8!uw?$e@JV(!9~>o_1-v+>ROmzb!4lyFUaJ;TtE(gUoFq5*!o{XCD3< zPj_>LoKcBah5Dyn`zG@*4|bCTLxVhcRM;Y^uk%u{I%xEVlr?6EYiZAP-vm6xtTS^H zL%UU6ma8YPFI_)M67fk;M3nK?c7|*|_Yx-s9fC@zW~b+T`vG`}HY`Q!N_EiJvFNwn zDQA<#w@GtOj}S)ni@~&f)e{sl3|B<&UwM35tqYgC4UB^R$703g-ygz?&)6*u`(oAL z<-SIlrC-Df`&UBuDO(mEW&KNMzkfNO8C2>Pe1u6jv^y8@qnYyDtYj(UIq}7+tkSEp zO?pNci+zZO&4Yw9`!uO;#4w8llyl_>h3Ml|KXp+jOOc%wO897SP4N+`v5gUr`MF}7 z4Onl`A(p0p!I@(=h*xPaYA_flQR|4hQ<%RTK!=Yn3D$Iuw7tm#Gyt>?_n{1Y3|G<& zXI?nt=3@$!;XW;@NJBZ}V~M@a%!+2+Mfp}4U2bcGgfU&=LHLc@jN7Wg|&=zbVKTYxL;p({%ne2 zUJ9d%VNPnlAdKMo^D_`>B9;qgLQxA2?nxbtXA{mt8qJ!-qG+$IiVP3vuAu z@qS3i=H~6T=x>JBQf>blsKmyDh~bGfE7iBt1} z6;tM7xNKCw0;t z|Mk<90}DdEDHcql%|>dL%KD@i=Y6uXvS74zg#-hwY!sJl0H&!Bf4my!Q&VHiWG(TE z&jU%7F41oGrTAseUK-qgO3owJ;$}l!IHfS+T|4E&y@-}2o~4Su@YyDCCSb?M)Fq2R zypAwoDNm+wSM8f(u?Eh4)6d=+X#E%h^sJcFJvla2CKbp^lR^HZw9~O+1LK7SwwN7c zEKG2W$6p-Xg-TG<;al{{y|-~%ZOP;!@L+ae&-g@0VLGSIqym#SJx&jP7?DWAR05jQ zrc)sV$_CG)0j(}=uI6NDn&2S$W~nxUaME>{>wI)RO@i13F%=emMR}0 zB&qCD1MR7zNr!UU(Hn_V5R8=+3WTSRmY)<}+<}&66pW$Xzq%d15suyL;7cIFB_b=s zNYC&|L)p6iE$dsxd8uH`KA_iArmimF>v1Li9s33>yLZg;@&cc^9y zGFI=edi>jaI;tQiW@J<)(8$VJ%FOQY#jUTv<%G=r*`MA&S(rBU7!A7S%Ivg|mqcsa zZ9Gv|xob@wgA1AQOuAv?h*hzW^{gI(ZF$sv36`>^N?W5M3fikv+IJNeVr#lz!2qjP ztBzge)Kv|aXS0~YG^K^frJ*%aZ;&tS(%qAhXS#)FyDWzV&FOTW0=Ks^tFp)Hl`*Hl zQXwQ%&mZ#=uUNOowzrzvp5m(H1aB}*2n_10Dcq#kTYIk#UU^vqAjEohGQ)ZmL|#@f zzM?i&30_;G9PIow5R>1R{edKv{L|4^rEW|l@C!d+*Rv?}ex4fuBmKiG17s-6(B@J;eUhrtCvqmPKU)-B%wS!DNWv2D@#re(w= zv|X=B@Jkvt%%+YvZa?bh1W<+W+1r!f%ywD66oHS1+8$)uSKWl%(7_FL`lOzz`mbkN zT5l>k3Pms_=0Q7bpS0Un3hwhGyOIA~_ZpY&xfBjcp!2ptLve&ciSqLopHr1{dEX5f zEEmK3I9W`lbpoI?^Kj%4)dh!L2b5NG8oQJ`PP34%lOHf`S7i*Vd7VDOn>-C)pk0ho zzoj!CX&F4^=MPfISzpR_zZgsEmZ5bE#1=q2TV<$lz9FcsO-&UAI`doMhz$c3!7ge~buigJj zeu-@;7CiwwU%fwl{Yh3uVE*2j7E|tQ6SP?ZdlAB4-ApH zbmd(JxmPn_-A2b+WEOH#0UcY!mETqPi={(+O1p9Jrnh5Xu25|QxQF*P zuEvjb3yJK5)@OGo=7Fy%AGlybL z&Ydo0R-RF@J&K1u2eS*}LYOAxLu(tqBSYC((!lK=rOT_d<`cp!oXXL&xLUyt-Wjrm z_2kZbKe^wI&igwOhr+a63GhDigD+v0)Ku^yfm4qB-tAY+=h_qghr@bt?@Nn$s0&h* zTr8bVeU14Lgq+fHHA=3GHM3@8Zb*JtyY51AO$}Q#Aw!<}@!R|R2c4a^JiP+1s^~BD zkoIAUIJ8x`w~6tZ3(y~KBf5Lxx}p`*J28c^mZhm}{@t0|(<21?DH5Va65cV;qfhmh zF}qbbPasFScGhab&OIqjZ%8N^)dFlyT&>zaK!yOG1-P5e1-Qt(@$&U~`p4tBtDCW> z;L4a%C-b_ikH^IC3{ALtOYR5mK%zOR)VU)5Oqh>u#6^aJLz5_vFY&{uJ5i?Jxj$CA z;@+<}`vl}!c1GH=7F1j>6MG?lv$_1pbbyZLEHXuRI{6e8Z_$xdnAF_ctQ|8R2W^H; zsZG2H&$E-p8a}Vh{1KZFEQLVOsK$-_li6X(Zf-QBm}#rF{9;8V^B2OHER}*$6JJ?k z4`um~l^w7*o@bdHP2hk%_Uo5lj3zA$Gjt(g36i&;w{+W z)@jzMC;RK}kKztOet2DsEU@Rv50rS=)Bz_u|3}*(hI|s+E9C0P3DFJyde_T{68k^B z7KK+R36`x#6l1ZvF)Yv~geeKxPH(JoS z*K0{+U#ehCx*UjpnPN0Ijr=T8lQ?@EXg#mq3H5%V@dR@)^S}5A$*vI{n7n>U zM~qe`+r+rZTg6?YRGKSdQwMQP9OJsdSaY@F)tSZ{O0l>fXXc=feBy}NoVLsce+p@+ z<&nm!J?_3{Y-^M!d!TMf`7PS$k8N5PKDRcQs`b_rF;2YD?)0j$RqWEFXZO#%Uw#l)w|&s)TF(W35D{xii)cb zHL8@Ein?`BS>Gyc^Mj{3)9}Hjw`2)BTxE%uLUZmt=WO8{J7)J<$6q<; z+es}VuSQ(cZD1QWPF5!?;2l}h^^S>TC9lRlw;x10*P>U)f;Y?<)ATM`^8jzgGB@1vD=Y^uTgQ)&u<5$}&%7HH9OM`wjdTr|>23N2{cY#nK;YiwE9~S)-Ot=< zH}wUL_Asc7%~>hpbio{m{V^2Zr=~NGIW%UO(Ff1Yttk+O*qboU@kyHaW9sUz98IW@ zf4#N!Y&B@n^aE?+8FTJA5NeJZ?6O#%xk83;l{TFnhhqoo;9)zzJD7CXhTA-Y_we3- z5ybGby4%pg4ddMZs6j0O?qrmvW?l5dd;aA_FQWo(0OjQS)eASwEP%VqmPe6R8W4e% z2Wxx57=JgfiPK|lGkW)YdNub8Y%7uKNSVQ4h$YBoNlU>l5-Wsj5U6@$2te-~MGX5{ z>k;~MrsZsZ_EilV2Pr0#YEe(L#p46)mf(_&Doel0>hWR8#;ZYJ%N=@fA#`BAp-+pj z&O~_Su2soB)=rnfn#nIs$2YD*TvT;=UWzyQyu^=RgP##i4>jHi!T zCdhWq`x+F?L-TD%Z!HGzG9bHb>|{FQE{*g?PzJ(@bPLfise z6LFlv(?#H@krlzc&X5Wdy-K+*gUj1Ipdl{}s+qDg;yUxJQ?N(u1jk_QY6yYHPNmDSS!JT%&sfFm)Q`L2wgpHtaFf!^Sr`e}xOXGNhy^CgZ!Dl`fw z8%c3mTM&)BoA5Dkx)y@NkBi$fRCkIdnsu&LmPBnh292EisPr*|beg%3ID(2VYDvuk zm&;MCR#YOLvcM2C2O$j4_t*nmwq~vl^^?dXN9onNF~0b>w0Ub%)XxVe*JH!LTG*L| zUru~nRsqY1n^Z@6W~g6*5}$qHPlL9tfT(jTz#&B=4HGeN6m9spE#cH~wiyDZx&xB5zps@K+gB1MZ4zXnFb zQo2t4*T{uizLs@2*IW@;i~bizIUb27T9#YmgSNgBk(cgeH!F`Ln-SWdibIMtH~Dv4 z)|-WlM9xN+8g_a;XKt9`zFxT7O@iEreRb>M9_imHF4|Zg@=EcgSPWH9y$$yR+Mqc* zio=Jvl3c&Fg%Q}z*s#Mbi?g`e9p0Dn7l69`}AcWYJfq8R^k zr`XY10Lt=X(dQ`D;!$;C!_PcxfcHFsYJ68Igat>*mmUCmjo$mgHg7At;KhqxvNM9% z{b6Dyr;Z|kPk{HCqdTg^*rJ-*tob#a$1;W+Bm@eG=Oyq zY|v=LQnq<=iU)fZ?nQC{h+F3d9hR+=3Bf#j)-=x;oL92hhM&}Z=0|4#Jic5RvG11K97Sbhki%K4@B{Pq@79 zAltDyx&m>{5k0v9l^djsffV)XjNLh5-?3^ZY5j`BjRMAAFKj9xEN7fG#C6kDuwej~ z6m$b}=+ktJ$fBTr_|IpXl|%^;Fj^Xr;C_{?IH(GHudnLPU8QbrS2rf4&cXx-ta1#_ zmVOO-gPWl8~F@6?Sn2M|INAdj( zbAM|M(h;ZW+qinrtC=6>;&@Auu6MJ0{0A%|;MW_XghQ_vnj=OE1Fa#>UUvNK?4mnReipCqtCF#?aq% z(dtDlzmCsofLC1}e;prl1E;EkBw{2SuMZqb~ydz(UU|W6 z*y*TKd~g(&NWNSQJJ&tczQ%!(Pyx#h_0uel-YX08%1CMD8oN00O70)eC%L!RJ#cHC zVepo|U5cN4j2OR0G=60rYfeOgIA!DjfhUnAMQ}m(V7(-QcNyW{C7M5-@4l8Qv8ZIo zp4}rDN%5^_2o-6SQl&@UUj!6DBpI1c{?}&9oX22T&;)@{otzHeZlSE5M^}1x7Qf0d;!dpCop>{d9B8o2Ik&Odk%jY>=vf%;hCXdW{sm>OTV zQIe(#Go@K4wWsN{QVFDo%~J-1u&|<wKpU9b8{5UeYagy?E8~y6; zR2zZzR=`8NXfqD}Yn#Bc%AK|b$F%ab6T$kCS z0?Eb<92oJT*}qdglqmWB1C2Ew&etR-t}?D!hSg(US*6gcKBM^@&=lYM=Kb|QQPBa~m!XMH7 z2(G7eO4zI*E*2*{UKT(Cif@rC^(V!#eETJ8&KSE8humT4t{Ap?#O+iZM^58E;7l5A zRu)@Zj6gf#TW2o;L#9@hAY=-Sh_1!Ch>@QQF(Ke@zUT}#sc=rChx@q8v@&rtR}AQu zQ-b>84e00T`++qUwC~lVN&$)hObgg@K_k`D)PeDr8c z>(YrmrZZ>43@mBw8Y0n5Q7&kC!g8~f(UM84Gs97WyH`fjW zL{J__csO_t8N5$<6JjvwMUd$V!!VbJ>?S$h zP9ByJckqH+43?m*!-Q9Fz4ImJR4igC-^WETZyz?vhgAijGqPjUbPS9Z-^B2QTJc^DKQo}d$HjLRB4C3Cru*I#NC{+!mb*p>kL4UuxdPF zb>K36)G#?UODJt(Q3zMcK{fd@nH(whRqvk|;m<7P;S$(OEr@QYdnEiY%?gQS%Pv2q zpLH=+9?z0izxz;DPCDk8JsP8YR)D}9N7jd%mzEyEv9IqHHDU=4`OdwWmi*!u_qYoho$ z4xjPx1j7*s@Rq{zj$$T}2T~GI)Q`jA_I!4a_6h7wxS;O<>6^XA*oXYLYo};Aupkvs z;37d)C$b@46q%}`E!y!S!`h0cFB2_HWoicDbINHg5Bt<7(^V8y87HI-3J^L1!K~^q z?sCC`YDt`#g6z;Iw|5*Nz~y(csMc4%j3n3GVcp3ymRJ`QT3+%$WS^5snJpv%>*XQy zU^=h{qY+1gY4=|$)pQX=D+Z2r*-Od$uHt)(i|jQD*1tSv9~|J5yeYA)^D;=o`((Xxl7`BMb=s$A?oAnnKO@BqoBj~_ zT&xThaM>TIsqInRJTAU^-^Dp_!Rhzaz9)lyc0Rjv)y^WDk>t--GEFy28(}}G5gM9N z4$40+=w*t?((ty&MotaNWou3xTomTK&`48i!_lfAeSOr+Bq&9f$^fdX^%=E>F4J-f zGN0u(_K|nwG*=|vo{{#6ssoV(71Ipd#SN?`vOXY3z^ZAmH|FS23*7=8A~Yu+9tw9_ z5IliqzzalDUcZcHM4hgMif}Uz`E8xgp${rx&g5xv-`Uu^tW3b;^#c##4_%)2(ciY# z4&B22O*^>>}I)%$rU>yg#OKu|=709iW z>UniMS0~MaHd$e!d@uc_`J^$IXg0Efvft8NA=cuRj~y%zH9x4#}lI-2 zmKsa5x-r*Vyc)Q|&P<_k#3?s)lA?L)+wh%~zZLe0yE>O^%1yz?h37HY$P2ZGr3N2) z%!WM4%XZ*#E`{}e`N#(~isJ>z?{>O$CKykNgmx#z$mZ}5=ag4|%)+h4{jVi@x)=Mw z&yp&98wLBFBdPa`xQo5^Raln@j679Xg!H>kL&bwPa)(WlVXWa{&5FnK_Q4z(!)~i0 z-enRqaREiFJ>Aq4k=0eg=4?TX=b~E40}VPm#|Pmc@1DQ$(wU3Vt(Fd~U!{Ez4(7I^ zuEFJ!HSc#+s1mwnw_qa;fD^A&sTjapR}QfYaRzRbH~vI8VGTL1Ahvi)Ik?-H3NQ{K z7v!bu&1R3B1*=Sw_pw^pT(9>vY1CoZ&@5;*1fR>BHEYb}MJ|L*iK-xjd#XK5voe>Z z8-({Qu798CrE74V-=+i;N=KEOBxv+;ak(@|WObtUIj)ht6R-1sZ> zrs}3QXX^lExo!3ctQ|U@`)$WVS9{f+qd4B0FMUE3}x5NjIp;b*aSoA(za$QaCY-Tto0SsAS_+^-ZhY*$Pau z1KM)U^M14EhKwP{-Hg=2ry_5d_v$r5fcj*+B1=uj`|k~Ac&20((IN%c7RPIT38oX=abt)i zw9FJ0ZB<4uH9rLxspcr8D&4YVEs4}D0+!+|=sIZ1Fsni=yIST^6(Jx~7x=(B`ICz96`q1itfivD}iY)(sW`TyngXS=;?>EG3t_SpVzw5M%a1 z+Y5q_-r9bepXYo;>`X@?nu4%?9xvGQM>*_;cC81NBYV+|Io9DI_hh@&*+#;+E}S@t z(#ck3$8vWN!{jxwMyg&+GYqo0-PQAB4KyeL&HD7p;K~_#3I>8)56Lx78 z_kJEwPQ}~dzw0m4TKk%=!Z?*Myr0VjO!l|sTWXqbN}A4nJ53K$=%1b65m% zbS$3da861$-aW{bKc8Fn4_cag5L>Npfgc~PyhNFhBw~N^$TkNn{xIL`Fd;UG<%L^!Yg+3LE@l)ev+40f z($%@3DcmYQrWLLIKB5)H>pw#!Ki?cuO@ zh=JsCw94mcQj6OMB(y4uX%f)VOIu4FkOZPLa9fn8agH?OtHGQ2hGpxZ0&Bq%yE!h5_hQLA<39pgYb)ypn|adNh2Z24(*{G&wjs3V1Ta}!U?M=2*ja> zLmct$0HF;h#>$}MTKPI{%IoZ473YdPrBd-6LwYOGtuZI@jC`9TCbec}`@x_};00{A z54UN6=HBa?-JM@p-eo;1la^fvsL4?qs7cYAv#G;%ZF7gNd%>#J>)uzk>mN|93LX;c zci7G=^yk@gxmHYM!Iq#cc9g?Hj_IN zWKfQf)(HN~pS-LC(gjhV8<6o$0&uE5hwOy9^PyPBwgWXNoxwY1Nn5Kug#AzA7|v&> zEvP|`1Jqd;a62X^O6?0#AsZGTQ(7R|5f8;(aYlmdi~)I{vm$SMF^>ayXCXD2G|q@I zfCewLnGk>mVhwt?82DwU6|ZA^m{smG;e7m(v&cNt>zA6!bSt97QVsn?!`EhjHM>m` zZLFYj;ZxPAZ{NLxHTX8{+qtaz_GMbSBnE;x`$;xOv*}PysW`inE{6RQCV@e`qIZ#49Ix}Sm zP_34gK{-s^_^@uIT}Iw+?`h;IVQh^N(l43z6rUC?p^j@@&ZYvRH9{G`k+i4jR`z&1 zCdoo!23Z=sYR|ej*hW-5HAB=1Hk)_1sT*LeCXvs-l5+ZvsKuCT!WWheMB)y{WGgm7 z1o;SQD&@Rv!goQHdxbJf8>7WMP!(pMKyAkb*ZufgCmcLp?%pz&jd-R=PptJ}Ga>n< zMg+T_8BSB*soBeTMKQ^vI+?f?7oadAusUDjyzQ%ZoH9ql%RJ0TwGpq6c&UaV!;cn3 zA5cwYs-=}(jPAW~GHUKdiZC2QtZ?QAf(|^7P~`19feW5^G3KkAC}?Ol^pf`mBL?MY zIu!Ekw`htdiboWr^2ns$iK+CwpMNThvb~+|+{lD?V-D(FW42whWY>3=^UiG6m#;M- zig~4uXo~oXI|gkIiEOWQ%rR<%*t8}F^b!{6f@K|Ikj@#knwyKGX*{ssHd{cQKBYp5gydj0;TROeW@pA{DHM!x zqDiKlwn#04p{@p>vB0VsuN*-??9Zc{;3Qv8SBplOI9eTrl2^3-xF6!CBRc^NY7TOw zN_d@_k?Q-rk&q5EelHy0>bvkC+Gzt$@H{O0bHR#Bd%hAlE3cn%lQVd0-^E{@CKdb= zb5kPl0;640aFgTn{h(lqA>2A&hHIipn07~ZKSyHdr4tpa`6`?qTWIT~@yQM-MB zXW;3MlR)Ar!MZm~_r&A^C-W}h$`3-{l7ff`3p^IvP6H6Xro2{D4|jS!K%mm2L4gay zUs=iGM&i7V6lJd)5RTK2fTq}JFkyjp{ zA;l~rO{OQi?KV#Rw$>S?X`zb>Y^sqK34b=q*7>F#BLw(co}3*QtBwsa*QyA@L=y z_10gjlU|u$ z8E~Mnt?_y{rTJ&~#PQe^x6+@OqZv(`f?8k0H91gu63 zCg+S?O$uya;;Ha*HD9Uls)+CfO1mUMJ}&XPY!{>p*C$E6Yf5UsFKOe@Y|4nAHbW4J zt3YGuLph%Qz@Q`0y8q;MuasZWX31Jcoy;I=r zUsK*N)i0MCEu1)Gty(2uQrLuFfzDCObJKmUbv!i*ez~pnSIwpC(jW`%y3DPRXUvY_ zqusEC$a`2d-sg#(&d8)dhVNMhbw+B=E`OT);`kv3xA~Cjz4ibV31A`c!#HC>SaY+w z$Z!b}noc1hJk>4K>*Iu}>;tT3L9QxAT!)d;A8>xeYE~ZR$gQ`ab!H4@pLXK;y9M(p z{BUFpz(GmO~83e ztJss3w!lj9kqBU2RmjWFCpElB9CnOHu088^7diX@jg$tOP?c5+%wVHrB<)E*=YCCN z2oX3rtI>&K!CXmIU>RPr4#n|kDEI^psHo533Z?fFP-Rvpti%+DXtbbIhI`azVdj}u zJ>2j*=CVe_TQj5HQY~CZV8dLpUL-@Oy6Hr|&@vMf$1#$Kro9pS_|%yXB<`w`KN5lv z3@@WMM}*?-RvKmGEuk_wAFL$I^Q$mD|4|}6kWE|0$mZmzxkvkQtk7sgUD6&DLM%Er zA_YD~;G(DiB9+6RvA0`&_A`^mJTl9pp+R9@L|~W*c!4aCCQKc&(Sm{{>L7likT#0( zq3SzKN(_b-D~+&qbb@Vj7eZaNq#|^v)=UCB;YHAPW`fGA(@+{|xaEMKA;M+qdKIPU)R{JI!VYJpl&()9{=qx_p+|2zmJUMUc@2S?<~MFW zgEsSGV&lU9N7y^Y=Hf)(!oS+>sokF1wr$%sp4vS1sokfxZQHhO+wOb*d2@4flbhTR z^IQaYEH4fr9USSu+kSMD1U=vb}{d8H(Yb=?pr-)kB#@`2gEP!Ecc5Ssv0(# z9pYirTMsB4EF4^Vqnahnq7T!SuAAQ3k zi2zX~rG{#F$!(O)L(MCS#l8Qe5S`E$*_V^U!Yn2&vKNQ2&^vgn_3F_A^ahdKa-$(+ zmW=h?wG&LI!MrAq6@yNd>%Ez%&PtM~iTW${sGZN3d?VTX|+M=n=CK=^2;&RKY{Q4{*RLe{|_ZuAfU z(c`h^HR+LHMZ(t%661h4)tNRgdixZ)MvH9;v8%{ZeWFi*(Lf%DB%bV=O_q5^yfywv zSo#rE7@m#!5-BF)hvo-2uc@Dpz>2`@+x^KYMDG9xI`pV?CR{N))H#IUOwiO(dl}~L zw2b!9vHpLod6e}gp!-8|8_s7mZd5*He22|NtsHKkqOyUIEsl3AHUxNbPYU*ptLrj49{LPH=)|O=(Aj8qRQ3o@wBRs0-9+U*|*Az$7PS0 z3Mu&_llWvHC5^OF9(~Q%`iMqw4Ncn|R!1u1pWSW`KH9$fW`F_`7Zv^$KQRyUA zNCDi(P#ZbB6!yYy-cOKqX)*yMoYIOCo%vo7ax7tCcXU6iE^^1?;X<9{JTuZ?<~d~# z<&KY#BVMieMv*N7BiJZlYf|&2`^+;ZP(=yp+o#-(>I6A3QN0X{Idp(H_#e zP25LM&g2S(c#XgBEpBj6oB#?;IenuRv=aOx`1hXUHaR}Seuoc_=Ef}yqtGlsgAdZb zWeA zKPC8s;%9vHNG%-*P|&u^{BUt)Pm%@6Zdfouk2S_*BqY;5rL%e6Uv)=ifw1$cJ_SLt zj?>HsZ{le`x>eDkex*_`Yt1!nahUgt3RLSyI!mjN+uWX|@8?@SVBZ4!{H(vulODVc zxSzgKB*@=1@0r`bPoiTpzbAiV_? z7WJU4?DyL51+da&&75Wvr-*M%V<4G8G9s_&0;ot7sFGwInLq@ ziQpWD$w>NfW2|QEaAiFkU6-m$yh{`{Y5@cPPhQkEKs}vyc}^7f{df-}Df5NvT&qqZ zL1^>!d`}_x`xN@1Uxl0-M}@ARbA^qN7v8a{I3)emg!}lDZjIiy0^1q?#u# zZn;7ye~@BmpxQPjat`=frv|Xv*tP(z;Gyq;X0x8Z(NvnBRpY5Eh7Go&}jO znK5~>Z%l%}JhA&~GG4fz*jfbox2O> z=%NzH94h-@^4eS@08#^|6m+b~v?RROEOo(-$o|+R66R=2z#YXRZ+>2rl$1d|J{ru5 zuwZ~yUx?Eqn&x5e7*?oCl3fL>;C1*>i%A_Qz(frm5k zXiv0hJqInARW=VMuN{b7tr^P==1a-OiP%&ut@Es{LZ^lJ+{$U?A*{9bVk9Pfh6NT{ zr+EWp^7Yf=qo3G4*O1}XY-b;=8LJ`|ib_x|8p+-o{skQRKH*p%h8gCdOR~tq(&Opo z>*Jo@HAgkjP>V5Z)<_{Wy^gE->vL4g?W_y|Fz>)uAMyvL?%dh}iGEv_L}=P&AL;w! z+PjZ9&Y}0=v>pe!GVLZS^m3eCubU7`=uPhjb|;$h_@Y*IHQC&mifu|S<;o^<77((h zjsJZBZRmD+Qt!v=3qF&^^jfaub5c<-))!H*4^dEHM<(T~92cQG8;iBwf%tSjOoVfZ zQ=nNjy~HvSz`Ad5oJII*bf_M6TPk7r$b3MFm6qs^Fjt+pw-s4oE;J13Nxk=E!l(AM zNM*W^OqXJJIzK0QhFS_&PeDp__9wu*hgb7UT&vcU3_IqEQ7zbh#{R3U=k@A&AZhR; zYNWBZc(K}cgwe?j2{&GHgy&dhf@2*U@ z=%5=mhj)M7ME>!0YR}W6%nBq?3aoU$aw?wvg(DzCMPFi2ihToUZ7`l41#Tial@5SrkrHhnon~8134H;WX%nbQ87i zr!sJPDB93?`kU!;ZytxJCD4xOk(@&$aC~>~q;73<4H)cfd#XlOS-O+{DCAcI?esmyH<9~IeR}Eb2xpPz5HiDI>gf z?8bD;_N@o~uf6;)2K2o56y0|YnO*)grJp)69^!%Xs+Uyq)!(|T_X_OtwQ-hZvzMiA23X*f66tdU_JaM)z$)*Vo{9 z@{Wr#D3{AJ?C5AKb?B9|9&mGY77M5%PF>KBu&FSQJQy_Mt1F@Wlj2qVSeCiutXGzL z5MkJc7jOo~QDBG1pg%AqQ#+(lBBR4)$IyD_nZ)6uN6Z{MXOG9Cv?yo{HGQ`bAQFUH@D9Jy8b=cV& zaxg9W9ysB*0fvZ4RxQ~*A?ecn4Q^-9ZC|^QtFn8!e~Y5L-O|OCoHyislCZrT~9`v;BqxqsRme zK`$rmmDJUfTPUHcU^LC=u_J+oD1RJlDxRaQ66<_2&sSnre*8-=cj!GwIhq2YdEtOd zC9d$n9~Mu$B*?13rk1@0!bi2^2L2VVITmYX9BIY(~ zn*%|}Q%7V5e{g%4=xNDo_Q|tnY6aBqWB`+ah0qFr`&vX!zg``Kvj>Jso3Y1YN=4K$ zmt#d<&9sAi=g~pyU;fG#T{1x1^wm7TvYbU9>4vbOrRZ3vLtbLe=}c_dq=<^74-R3r z?5)*P8p-smDo4jlL=>njX84!?l}UwK;EhXRnRaYsZ?S@1_m0B5|VZHZ@?Z9cgNd&*BSk~;5pbKlGmp4@#UEeLKg@=M=6U^19 zP^B&@GZKQ2x7)j6%wG1d6~(K0_Hw=#L9K4bZ2b3+6w%d@|6_)>udQf4gDypB0QzuZ zi)B4X$do%_e^MiV=7!maA}G!D@7GdeN13G-H+;YSEXk|x*>!Tgk)80}83mgAb1c9u z+Y8o8pL{|lMlt11<-PXX7E`@!HPT+3${&Ggj&9wetEtzAA$kQ=;L2F_&LNb5!LxDv zQpzFm48n?Jl}bB&DjVGxzOfYOK}47^Kub8`ZSIZ}GyE}F+t1Fm6)k;FpwbS%wxEls>_ z?;q5bD8u15xXL+2ULGb&1ZHD_$|GtlY@PhU3+*8bi(hCNv~nSBCO=bhLtF0MYV;Ry zUU(1Z{n+=@LT1$l6!b~neulF*4=Hcd<=4oSWTg!E?5+WjtA2yrg;PPH_3+W2 zHlK3MEn+J`GaPu?}`^O@7?l(m3?>I=n6`bA=SdNaC+1x^(Z z1122YWj46Gz4D)9Vu2!HPFP*tF0)f9th{R9HcNn$Yt8PuT@O;dSuVgzu64e#ue(V) z;9#zt(cT&5q9v__Wn$Im3*GQPl#*q{{~PD@KXohrPtNII)zkk6=M<&ERZrW7@c4lS zm?+)t&NQ*hb?eZjI(`O?`wvF_Cp_*+pIy)v9JRDZe-HNRYv+aL#B0bs_6+vOx5tTA z!#=d9hIKr7z5`+&hg88tzYP1k``haXrzXlEL36b=*1dWUCrGg);g2qwkAB;vP59{# z?{Zo6C^;*Hii155c>rBvpya}5nW{;=vqWyrO3#njT7S~Nf9aaTRZYiz<(kXFGTjA2 zx8_zx7dOcesoB=x1M6}Q5S~d(NM+5i4fM%4eKi=3_YsH80p(NaHKBlPAtr-I;Sn~3 zfesIoRXxV@fxhG9vt~pcHEQE*_#+VwA*0zE*i5BF^UyQG*tW&)5yZ=1YWo5@+SSs0 zap!6M=#Hgf-X2in3&y5vfrcDm!X9pH6SW9wCPTQ!h>n)yi(a0#_M{RrjRL zyJvW%SY9eTDWKf`r6@(Y+&h%9qz|DJE3|1rH_bUryKjxK5qUrE-l(|2MGYw{K}pVc z>Oj!4Cs*?xf5_gE0OWlK0okL_Qp5rS-Xfky27OsRqVpP>5a@}aM4Wj8@917YLgFXx z9i@z37El(pxF>qEQ512KY}(dv@HWGGV1ur}9y^+d>=OhYp6+qWbn3RHxv!T!8fFHZ zP-4a5_NHU?bcdJ%DZeOf9xFZi1^KGoC*skmxR+CdOx|Jy#eh;#_ArBjXZR2tW>B3e zG%B?fo`Vbt%#q=KB(|4Dt^ShQ?{hE+OE|i0#eZY*93pgQh7R^KE59mA>CDU5+TU{y&w&Ouv6O zk`IIb#b>~c1n+m*VEXIxd6X{Ka-sI5l)$gG!EbX<aCKHc`WPo2-LsUWfYb06S~3z4!1!^edD<&LCI3}r6!v9 zl#oUU8t_bZV{0V5oxa=tVf#46pNwbUSS9Nau`&B;r$cy7w>b~qSP;c-J$9{QS_3rwTh+HukR?-~ z>=6zOCH1wOr#0@##ySF}gb^e{U{e$C&@|Ow1Zit__+El3mz^~_vz^BsOlQ)LS03-d z?73c=P~kS0Eie|~HYZ}U9&Y_a9eM8y*|ImUE6d!CtCA0em{L4PIWcV(qtCWXOZbB@ zT`A^)P8U&2-s0WbU zV8L8auXqlT$Br-Z4qhX`AwgPXzc+Y31ped@Sfg&Gczh<3y)kwegnJQVkqV$Gu$gho zy6b+fk&@aPH<;ajVVAmj_1khZAnaIA#O!(-mHI0@edK-nmhO}^)NITj9NGu2mr5!- zADb9<%2-=o*QnR#VO68n_>8}*GZ8ZMue)?kB+FH&XzNoVw9!G;_O!Lz=Q+GOvVRCkaPxc4Q$9dMZ$BL$ihB z_QdOwjt4(p5BvJ+Bv{p4tR&1I);m~ffQ{@SltzK9I#O_hOVsiV?SwxU7aU6<^^7v8 z9cNCgRoOTrsF?8oDO-pe81(~T!q6}+?5W64Isi=B!dA_VfzXjN2p*hX?iQ z{)4;-K>ex`G7&dKX)D$xaz8ZMOP7Y~A@lI-6&|OUvCx73 z6P>KHb?ii+$#{@R&~_ThrhiWYC-hrv0r{OCE$D@gcgp2jSLWM0M)|gE;FzK%%()yz z&;&Lbp6SYV1Bi!;*WY5KVWfA_q(T^W4#HArC5TYm4F@H!Q2<`@yi7$C@qrl+=f*g$lm)4TA+2A-u}Cw^@k(XV-J-oa&Q zUEeCvFrUD2q-1_R><4!oot!T~niM6WOx5hv{s3&5BT>1`6nf}Mau9QECilqra{qx0 zEDaG|OGfdZ=l4=!9b>ikhJpF-sAzpT=>~3^_0G_|Z@#6Qu=kfdzntms+JRy4;uicA z2S+?LljbQ1PI$$xDyO&`vXtqKeblM~?sn%RJsDhvs4C~O z3FWqEBQw2fv}#FFZzxzD8idw$wOg(KIW|v2k}WpS=3YQhk>>gBy({WMpmIwI;C2>2<6v-^F-FBe#HKut*Kj*?7_W&Wq=w9H9J^H`mr7bYB~I&?2}HW%VHN2gTLmSvzWqqM0N(+0J~FQmuF@SSMGgR9%{9C>Rjbb zGB4&v5=M+Z-`Y!nwv6T4(Uj*2R09*it70<|7V*X6Ui0VzVgefh=ooNtjYr8g#QrM>5#gmQu3w!EiT z)D`~(;{oo*+29V2oN<+M(C-pDg<;7KQaUZD81?@1z1|?*bCKck>h)UTCDiBrMUB&1 zaR*L|lyK4MkTTt`S983ga?`9!EpzDO1{K_4a>=TP1DCR@dim@=L>4hFS2+ML*0f}q zt2tOXrIL>3s||}+B9?ljm@(F!Ow`go5}HK?I7CvI4xQ5qNN&Yo3Yh)>2HB-^)2TA0 zm$>Vx+plj4_a+jJ#)96>3J2Z*nV%WAIox3dzAO2h*G|50v@1Cb%jJ^ir&UZ zh>dlFjSc)p)=|^Ll*M7lb{eE0G|?5^kO$8=`XB=K4@1Prv4TeYtLrHKD|bh?vB}uu!w{W_>sM=HHt7PrP$WQd%TXDs%9y%RylZlOd zo~ezz`=+(|>ze(9NY?ltYLqx?~V_@lRtyVb49n%Nikf#pRb^&(45 zv%G5;0MG1xi$9uKO`$^pvB`o?N0))A0SG|1#2jy+WMT8PY$)ZeS+G!A^^(H5tqKKM zb=gmGjdOi+3In%#on?J+gk#+_Z+n%~Po|_GkUL-?{x-8REZ|D1m0cRD=8f6t;go9lz)ehmY?!GeVK9$ug<(OoTb3|{6~P5cF6GIwEXn-A z?mSsfBmCWj;UJ;MOduB4cv3F-gp%GL=ID=*@tSC&2iq>Vn00#Gsrlj({Cc z$*#e3JTUG$;xU2GLqH{;19;tyk%Yc+tHf@kMa)QYY!+5gr@t*APhGi{6~^~65b<7e zl5Q21XuifBD9o?ICi5_JIajsC&RWYpjVbUf3T6mN4LkDQP1a0ys;QRJt#MALhdz#K zL>(9!d7r&n1q<_9Kq0_g+MO=^cpppaG-mvi^e7Ehhf8C$4Oa%p9LTOfgk~1Z-p`*Y zZ?KyDk_&d{`o$4q?^mdZaRy9RMEH!H?|2L^B<_LBH7%hn^ zaX4Avt6DO8R;(^B#+(kTcN!Rzh*-JNUXR}3HK71#-jyT56?pb#U zQ;sWd+o#Qk6?~gJ4=bP=phJJ{cBNu&1GB-CDcx1h744-&7FyK{M6A;Od2eEa>eCZ` zxU?N;iKA$1dL~k6035bm#3JlS0*#+s9#Y)iJs#ljb{P>t-BGrg;`sXf&285$NwF`> zu*yc)V)C}FTodcm6%OvtFt6uny$s+NxV5f$)1kB-Dz#jFO_PmAtxuAVNt-C(A%`_L zK>_&hrf-sA{97DH3Ieik3t38_W_Yg)xf12;<2klfy4#Nx;Hz(H#*C;er6Jr*Hs0iD z-o>h0EL{6+`koTuqpKEZ<*SrQu+}pJxvD~D5RgZNB?@_}2lCZT$gPPE3;{eXlUwpx zF7nZ;o^2u8Te1WETi|WTSAy_$}C;pS4Q}cY-${08YlOaR3j^@q*IlcCM z=>JnBq|t0Tb(TW`I>M?Zu7DleNS2{>R~*5*d9VSnw-V^I%+~f2% zxZYb0MDFIWy{Dbj505#5#M9``GZZixa{R!qD)t1ZE*6CF9;iF;lO1iM)Sf@QgbpdOK(10@ zBr$&8fU@K82k{>`Bs(%3qR2#jiS5NdjfFI9&JPjlr0GAz1u~hharE(qCi)*DIKQPb zD!NG&Ve|@heg2EZ->rwv`S5V@7pu**rpL&aubXkEoBe<;s)$j|m>h}@Kf5vxLR+Ms zcLP{Wc3=;mc*0b>X$N#RT6XWoJ7g-geESX`9z3)3)b~1Xrhbs0W9|}cF?a;Qo9o&g zyu=#{qhjkb-w>QIu6dOIx3#)Yr-)3G@i)@f_1ejh{)J43;Fccbg%rZ-9A}7G3vhx* zSAm=#yEEmOade3vbxGj6Io+P$PQp=^JL!yJkpt;58`0FajHHd8j%Ypo46HMbS9gDt0eU3PJzNT-rT@gq`j*u1qepFB>iQ9RMAjS z|ENuA?0Bm5c(7zMvD{;B@sQ;&0cmF`2XvP+Awh(B5(sY+5(@Ny!XSz>z>+_Fewztp(pc!I1 zvk_Jhllbi2b^R&EPHrrF%Pbfy!(&qqFB`DWzpq4|DY$ z=FF=`$-NQ5*fmr)@I&fQp{DQuMg9JM-=By@KT)Ygq3LcH2=E7C9BV6^9K8qJi(8zP zS-nTKi79<#&U;rXa!W`HaJnJ0k+y5{qi+?9L3RO zh`Vj3m`Nn<*{Q3gbyhZabY*N5ZIi7_kL@d((pv`%^u5h~G}j(b)E}$tR*SJ-@>2v0?E{jm=iqPzpiQda)|V7Kx~{6*M1DbB z$8M2sqTd@z*Z!u~DxnmoBP|mc-X$84*(O-fZh-(PpAm=n++O&FH$O=BM+-AZR`}mdLK* zZ9PL92C}ekuizi+`pr=1ioQ12Z*)H1I4^1dhU&AC z0I*=2%x{>BT}a;MYdowLi)SP0N;5)YibDR$2L}bScmOpmsDvQ;;PJTH`3gV{_p*bl zI;M<*a20=uU^ix5i+m7I-=o8}8-ntYE_Ze?9x%=z=g?5~$9Xt0`s@Ki(Xupkc&ZVC zQGTK2zfi795HmK`xN49-{3d&+e;vAOmmM%H5|#PC*8GM)nG#R8O|#=~+Loj~Rjpaq z%Ws;Iy64H%stFnLeaw_yYJ5xRNz?kwi)T)v8dT&(}@u&TWi&zd|JrR z6|IPXJN+Uo^B-R803G|BB8=m^G>K(U5jRR3BipU}PPBeBX!mk-&_&Yms2?`*qmbNo4N;oo z`obA$+b29v8^_bNpOgR31*uNjUu*4XJxs#^DS~(OATOieoJ&S4s&W1b&^(Q{UMxm> z3K)G0wSfq~c|-&1|B%v*6(uL+rrM6Vx00sx$*KpDM;IBDK_iSuQ3}$@>U+fHPl~KO z-g1JGCeO2h%DqDy;<$~QrwV`xM%{0o1pz1CS7+~ib#AM7tdk< zYJM`N^Nyqk?0i|XWVA@vXjfRq@RbWoPXyF+Ki!xfF~+DB8`wyC0Sg$#DO!6Nu0O`U ze4Ta}^nO^hq++ttvyCRS3TfLjq|_AA?u zi;SPz`vo!tc_vjiBaUj~*2{>EZ}r4NNLLl3u*wc!;{BYw!C+X8!0dxJv6!{p%mk<0LfX7c;Pui3TVMHI7g=pbM9CU+9?&=tCz zRd5NG@Dx5Lk+4&FwH8y7;Z6~Cf_YDB%%{HtDi)@>t>p4%HAgn`j9r@cUut;2hqu1e zMcNb#h+h1igWsB?rIU4L+rjMNwPf7^^k&=sY;DDidZsbLAkqQR9y!h`sDfo2sOAN%1H)7FD3-({ZLHcZ(^UQG1FJMbf21eAP;FW&Hm5Ycwe zzBed$?I*BdAD!-S0L+UdONb zvnU%-1Mr0|sDbiYW^l=m?EfBHicLVNx1vyf`NuF?nB#&HA!J(kg=cu^ZF4{_PKgo`!h$u`E z2N^ZAV1?@lq%z*$Z7zr!rV^_7r=b`XphGQ-3r_tJpQVD|8tWQN&^PRUl`z!yp{B8h z4T6=RlxCB8nv2+2ved`_Df4ocg2$$S)EkTZMjzZ4AXqI*zho?#Vq=SrPcJ(q?+^2W zb+CH_-J}6)Zf0d-0|l9@(b`7sLrtibs(9hYM6^|%GItY;t5$Y97a4Yb zGxPI3fHwP41xLA@U|sc_tO4`!zU6?usI8G%(Mh6u^@!~t9KX#tF~@GsTI3_$)Z<<# zB)sDbOt`&GsPxga|B>W(-=^k0r_LT|DjN88+hNa!Jsg75^B__{c4{z@;|QBr197dr zhUp_b&YQ>kCPqWtKfj&mWgPv(I{ebk&9xakXg|K7yKm0#cSg6U4&65Y;#(EFbHWj# zX%FCDyP-eGBT4kA{;elb{Pf4c&|vYl^iZnMiH+@F@^qp3L^7k`wuS%T4jo}NVGjT! zs2<8%fC#wT^$Y{~A|T|IGt;3o*#S68X;&JI0Erhb9w@o^MXX0*G5iRBeB4Pqw-@n} zb{J?#O-?6lpL{G)BBRQ0FD1+VP^$l6J<%xD@ZhXi98&|sdCQt8z2N<=xmZ!*;twrx z2-YJ9=0g$rHI+SWMBsplQYrBPrDzeAmvrc!j2^VddZ!F_ihBm$jRH!nk&Qf=xU~hZ zL#Yv9tu&Y7^1GU0nFkTck9aHnE@o;VI@Gr(L!!q}c0)*!IB$B%1F)sHt%%>wps_!o zJ+BG{4QW9)V9_B_%B$I-7pp#olb&X>gRIyu(i@NEeUUqahIhguwY$MZ6LBFkh&HuM z=_1tXOR{`BDV=QxWxWj9`2yBxj<$x{o{AP=Lb2t2f%zVqiPD_MX#RB+6Idv({DOM8 zouPy~wZd$94Km%#FX7bSjGkvVHkRpB<%akTq#tYH%rMWKM(mc3%?IMe`4`~K=sMFt z$(KpmP4^)KpBwyWMVM~vh8{c{(2fvlE)SgLT`bxFfc>!i>T?9*V=os0!|GH_eZJ^q z$^o*1&TqLii1vqO%cJ9F1a_Fh9QE?*DlKm;1Xj;dEtgl zx?MvcedQy<_gKedsEObmCRo$X_v|*ji4f{!UE6$m6Td_3NJf)iV#>lBhzeX^(OC5~ z?EoF8Mn#iOz|k6j#{TSG5XN%Bn#{O@kjIu+4cMUs2(6Sn6pXIt$_Eih!sZPGQD)OD=$2wmP#k$6I=|9b`tM#VLrf}C<CnI41ih!ni(~Mlhkx+}#<1iwgoW~R_YAJ$M z#*3YxFqw6tb>L^o4(B^dFjM*JsaY8HYGzjOAhKBmM03_#Y9r)F^+0XLup67qp(xNN z&jXd+Fd(Cb+S*N!+%eY4GR2mxf0;pK#HY=f14yk=WQgfD=ZAKjB`Mq(vOmdfLA{-h1hq)4%#*Z4oY6!yPyxWM@0phW8x zAMv*Yh`kKeG7RgjzYABw54-x^^EH&*)^w%KLHxFwXGC}RJUy+Mw8*tJ*o;8+rP%8o zP5fXO`sy|fWY9> zd{k?@bg&5_VKtcC+E(fVFE#J|7JRo0soADK^!K}%SmpCSG)60=9?(bqV+D{9VT^eZ zVaDPUQ?qtbVQ|+A3{*`?rj3YOWNLPxgH?(fQOJQc={7st0$`^z2(**ODriZFG~%#Q73=oZal zQs0)3CO{W6>JlN^oP2;+6Ss%EjzAAD$rQP@-Y8Waq|JRRcd?{43TvDFtgkF%pLGk( z;@H3?+rLMwwN7LIDeam}2J^aJ5`d{&J&Zo$mL9#o^RzgV-4$T4MDm0x#_jMAsgl}_ z;yX(7W*kIPi?g;i{|O(MdC6` zwW8a0*$+5n4lV|X$H7i&c{kB_F3N7e!rksClxUBvoN3=f6V!v5aw)joJ_XZPm7O|x z?Y5+G-9z~aSV_XDrd1uHHC;(_*(IQ_D=!>pv>B$)0}<%@dZl!f&{<92pbW)7A)v%; zsIK!h#jzZiM36GIc@?bl+k@x*%JN9B1i45LC4Z~w_Z|j*=P5iJUQap5-<^7V+8|SU zACO5!)LOu~k@p_lf>-t-?V7gUe@XFqH?(EKC?9I{Y_1ERfnRh^b;fdU*#-KrPa&CX z;NmcRn?0lxIgxs8tP8cW;JI~1whAn|yVU#t3&bxqm9ad~b8_{W2Ru7nxBWL@rxtIL zX=qUpauwqoMml3=I;1H#x-NuN8AIzPraqWLc=zpX&sV`PlF0AQy^Ny@sdd^ z%q}#qq6CDPep7O*44>OZx}EH}IM90Z4H3raU(f;pE6^SaQZ^%%zz9Jq9&cNG(7R-w$!cX$R4ic9a!45@Ev0Qk&em#e!2zsLdb4@Hc1Nrny z?};%UNQpBk<`=5x%fJOvo?T@U+_+`-&T~U`oD)eV_XCI-5#hDZI>|SLA5_@O=&xeR z`bNLnHQ+^LLS$ZHkwqFO{1CG9%ldyyHaC3*n?@2pgXeY%%3BkhQhKgSi;|CUKpQTF z9z#JHm%*mBd*3>nzRRskLp0!rcl)+Q$@nEIR9(?k6JG>;$%wwh5pka=*m{9HSj2@n zwE}H#AgiPx5eG!qrZSBI#s?ikBmP%T+?sHc>FfEo(bR2Mr$JT^j<^ja&fm^#G=x3J zgnTV(LfLs*b1yYE@O9a8^EyswHIdgesU>hfFa4VG;bs;!lWx`3N(Qn9>WSGX1q3sZ zwC0qgspPjBHR7bHc_O26@q9+=Ud~t+Cxt-gAp99DM&78tYd5K@gaC^5Qt!B7M6}iQMZG1yrvxbI~gAo6-7w zar3GhzuyfE6#nF}30a+(ME=;FE*GKY%-Yy(Vw`q~v}zffBoW^g)Mdr+^=(>`dF^Kn zwVL$L$;7!Cvg}-ie_K>=W?6C>rp*M~n0?v~nU%M|xv{L!ExMe7J-e|Ve5uA1(;RwA zd%!FkU(Jw=S(XH%r4gW6m7miUWg9k$Wah9Tlx&v6E6P;;)qm8rHAVKLKHW8P+LumX z|4;w%ud{pHugplp{BNV{x}06K?I1UAlP`7gWaVF#3yAZ%>f)0{5@yHji1Skz9xwe} z#Yb=|{8!EYEGrv|dK?|`9&19x9Awxsc&gzJtW?Z*^+7J;DX(8nhDzlMsvW^??FlOocF zd8Da5sm%BB!o2l`^omZ+9jM}tH~-x_-7;vLO9=dOqTxzZd;-6aEhkW`lv>K5QF#iL zTe@%~KR0=sWtm@!g_u?by1v_lIAqyPd{~qyBJe5R5M?0XLXBB#Otk|m%(o^*S?na_ z^0gc>rq+MswhgwbSb_ma9$Tz-@AYI>G|v$KwTl3ud!7DpR@I7Q zSWv^;lNXtf-`aT*_q3ZkuV%}uAyZ}0P|Aj3-IX=z#=K#kZVsy^d~qLf?38q{d`q?^ z^0xlS4=?-N?kE5G>Een58TtrP?y@I;644o4BVgUM4M)AtOf|2Aoonq49v-ZPhWz8W z8HNWvtU7Chgm5Rk5z4->_MT__1;hu8o`8L2|3&}P{hw!x1PTZ~Jh3J(A!KWY37Kpi zV!?CsYXIz|>80jsQ(j#~+D@-|vERwmTM8-7ivifie=Ibeotaq|D=xsYAoDU|*V``m z{fh_|2!0(V!^Cf}LcIWsnN}V1`p${y=PfT%SPPNs+>!fe!n7+*c{`QL^QkKz)TNJ! zGL7(13F=#^4VuN8g+r`KLVS@}i>Q6=sChpm zSIuBeA0YAIK`QWPYhtJ7$lqXMXG5zyEq_hi1a@VP_O*31w?kNU*ErG<46cD&G+-&_ zhR5%}3M`TJ_O8+3psN!L^HPo_^$gqDu6BONNsW6sAjw|%TgtMt&a0i%#Q@M4P7K34 zw;gzr*WHQ8byG95j~MB%=3OUE5|f$}yPMp?E!0NdH_8}8M==2e#usTk@?tjU z|3}z6#pu$7UAymU+gxqiwr%Ta+qUiQ)pqw=(`md|ktoTe#?bzX9MQlDGFdcK#rHbEin(o@uRpomvT{j^rwSX8 zgvLz()Y2Ng+-_VDnHg!`|4EqTk92jp-EMm6#QgT9`#Jt^Wn~Jr`JjaV!Z)eg2y;wi zh)emG7SoN~`1_WJs~u)LbNsofqP0=U7X{|d>X-y_{_I$j-L?D7r^uyCkyb%U6<($X zCUW#5!!tZz{m4s4dAC?Cki=ZTk` zO%H&8s7=IkKoIBNI<3c~bzX2^sG#BA1RRUKW$^A%KQu$tC@^?HMAj75;0t}gBuG$* zyNTv!wRaW>Eq!2N+B$Z|UcWDOqCUiDP!CiB21~Vk*C(>Lp5A0k#`GXN^2T~Z_f7je zWANAty$X(b8mCla3Wo3P%r`2ZT|0S!wRk1v(@Z+XwAj8~$OkN{=5cIT z`qjN4*XP2xW`W7p@yZ^R+SCeSG#NKno}IZN$7aLnadb)MI2X+ttu!z)O3<>`S^v-z z%%^$AGC{{+ z0d1n|51qy<9oW@<3Lb_S8CZ8gRAbpsAyi|P&^5WsGz=Q8s&SJ9oiMz-z;#Mz7>&B{ zl5{c5>T%;;ggVUPZMthn{>AVJ+oTAsW5~g*lq*a=cW)X2?e$5G{bgyn`EJW1A-wjJ z^BBfAV@$b6!>K}zN`Om8NbcnK-zXxKHe z;m|v-Ckm$JWJ5Gx9K0{ZU4M3A z?;MRau*AYE&PW*tJK*rMTMhnwu2b@*AK54!O7rS?jI@^VPAl;0IfD4y7ig{^m2yIY z@H5p@G<5sO?MnUj$18H%@U3S-(iSW%L@^DXu~1?cU5!t2x82~?!7H6~a&u77@J@CX z#RobzhwwUk+NRE5s@x<~HCFWFGz#q5tY7A(g!OT~?iZ05DP7a5mhnEmU4%px<-%Zg zvd)SX%gC8Z%5#P8X^J>{LKk^dFJTWUW4DEJGEwe=fg_x*S&*D*#+wGNZTjhUY+Bk& z&0rd4vrCVr#2Yip&1w_u*qN0r0ENZb(d5TE4&k0wHORqs3LC+r zEY9<^doknscV7U4tmolt2NOeM1hu1y{Gn{mg^Zp(n-Ocq>giy-ZX63i7#4X;E8SUJ7&KVQIu3mK9LNRng2ySDAchqW`LQAXRS@fl!=E z$U7IKsfLHS%|I0?OtK;{ z$&{(Y2fLY`Ih?MOzPG;xItxQe)YV~K=SSGKcb}iNKY7SOhON#N1aBu9@rChV>ymF4 zc(#To#`02cc2`nFQ;!Y~H3)uZzbU4Emk)S<-HxNsEbet<+rXyzjYK;jWgS3 z@(h1_?_=OXW<`8FlYMs_bThmjn_ipXkbAh~PI_1}Ex;aUAWr7%#q1HsW;+Gb3i#MN z-?@#-b(+t9rQiyhui~*%z0qqW&~4ACUVxVuF~VIrMbJ}0k2}Mea_FmKsa)iicC=HS z@xrhjh5sq=v-kHK2D!>OO)gXgdL8ID%VULHZeg=u%kh^nPIOMpUbKyJL2CdUY4 zYmGL8wb4*tR+qCQip8#u;wE%I3;2ih4SARfn|5CqPVS3hq;*utpf1lEXbicBT@^*e zdz9SYXxp}??ZaQ(97!;z^L{+*|HPav_YNHVPkJ5O|Ebrpb1?pIU2ZlS<0+)=FdOmc zwnF%yD)svrGwWlIuHVQX4QA?_N`H_D1uZIl7oS+9JC~-9r_;~N-^~}CA0O|Lwlu86 z1Y%l7!12E_ckrX6>>Hh$9*3SK->UT3vPgK**pX`zR($r`s!8&;YqM6Oc+zUTHpjdC zv6gR|$C^SnR_%Eo_s2?YLY+$#HQL3aWh?y_6HvrFg2uY06g#k|Qg?hWD}D4g9qeN& zUbVj?X!bJ~$^I~ERHt-OxzLwish%(D|2tq@cXdJuu^|2Nzo2pRa?uO?2R$DD> zGe{)KOOEYWp(Hui5JIAa;LVj9Z@0|lB;2u`##oyBVg2j%b026SCqz(3Kne@owFwwk z<`uFokO??F89pM2;M|z@#ERqcPtRHjs==|HW|n)$`oUD0m05w<=YsgEg1s^7!NsG4 z%G)YBHiZ%hax1 zbes<|5%^wGiJ+YDGafl6Or;1KgoPX6vM^*7+U_N+2j0vI0`B4#O~_VnjOX?fm?hK***#ChE-}F6`mv z5A=})jmU9C8h8(0R1*DO?gkdS0Fn9bfXQ8O-vrg^27E<5%uk2}Ehs+N5tSz9o}FmG zwQOirgLV!Uj({y==dfcD6$lfJik`KPwoXcdq9rXN^F-1G6DRA8^eK7xSC!y5Q0>)Y z^n`825i&Zt*zjDgn)QY%C~LQAFK>B1$C-lTc52b*ZOc4X;I;DHBady@XGt~wwGxzBO(T_Z zBUSmbrooN8d_&JXXP?+KlfhK9JteFapOz`Qk|=5?5eKZmw#1EO<9fjk{HHBD%j4b+ z^<;@U_83Fr@62e3rZP;2#@EKU=B;gm$fKu{)KdqZBUk`M9HGzwT{2gNp-fpOP3Vwl zN=|9|TU4}qoc956Ohfw&c7`t5$ZC0tNQ)+aQ<(BrYdL>+$0Qc5>Rq&-ip=~%ai7UF zw)yBgG?)7KugLY0-6AE&hTFgPK2kIYw?k1cj!YSxL~Np)o&P2#dd$K`PYJDKTwT*wh;3R*^3ul@%Uz-t=Eui|^b;e&@Y< z4xWz+Jvtiy*{&LqG#u8N`YBwKvpWCy)86Mu?bK7K!MA@LTi-g3Xusn#0`SgUK1@Xk z!?!bb|DA29uWazS@N`qMk$Fs*9OsIGj?_{~{e9~cT~clW>dZRJ+YsMUNM{jnq>gqv z(;-e$~uTAT`-!J)f`9Q;R!<+TSYiL2^hZ(aRtj<}+EUX~IEzxZ*Ii9lVagfTn zM5ma(^uMHhcg_&Q7|;xCkd5hOl5J6-|U*i2`ZYLABWyx9CN_-+^1+hdNY zX^T?Jlu^AoZoV7Rpr|@HUNQOTZ^n7(^<$N#Z@S4vs)x1uw>Gz^img4m-A)z!i~FJg z42rV^eiU5w=yLADLjx=5I`4|(q05cuq9O~f2Z#BW1uN?nTVnVgYkoZ>nWzr&U>S0A)lOQ#ChGA(Pgu9UBOFK_yXAq@ z%~s8|Vmm)D&0*??V(=$&J7SKAg-g>0)y!tQ1rJs_hper}0AJC0bpR(_8+)D7J9*kv zXg5>7bKr8EyxKeT`*X`l!$)1J`X;ORZ716R~4|BcS za@K6jsMl_nc8tf-o@QBQoA_LlXJKn#C)M_(?u#kTq;B~n#T5;zwR6_P^-*!rliqBA z$M`@D(m^LpTVBi6{oH4VYaWBZ^-$NX5$^`0GOx=EhyY+UMML0}BuEwJmnG4!woALd zlKP`x3DCTZL$GnFE)}7Dcp|kKI2h`5*YBZ%%WRkzsM&7u)hiUUOX1J+)YxaG9weHk zL|3)PYS%u{Pbq{1+#^_O{*ZcyijU}77|2syN`co_z#tkpmVNW?)Sx19$nk>`hcJQ=cm!DxAgfDQcP24|jTb}}bU z(UhoJw{GX+Lh`Eqk>2p~6`IF^4P7tu=i%y~G`+_Xe+)Tw`(L}0l^g0d`=MYM0=u#* ztr!*36)^4!=7U}TtmRxd+UrB6C-X-4S$m;r*niV- zHYtmwORJty81p3c$JzBb)I^=RV zKClH2W+p5U3UxR!56zQWZqs0s#7O*kuWxo~J?iC8qiuDRGwO5qw%mTVy)eZ;b$Ky7Op1&%G_wq%k8Qp0v*S5hf)zNT_07AzC%@0s30J>O*D-NB zZWZ%5Y86X6mUoWcnQ%UrwRF$Y39-)9F<&F)w&!%4Yi>0iva!ox$xa%` zZK0gWJywVLSe`d3Oe~0zIGO!9J#0P;c{G{|zcZ0fh)^>yHosL2flEd+Jf$F_C2}ioX z6VVCT@yi!OlSE2Fq+swT+{%0c6ys{>*R}){Y%#@pOs0z!6+q!Hfrg3XpH-UZ5so%} zSmND*Aj`zu9(0x-Wlx$@8*ay1Sig!FrbYJIf)DETr| zO&S)?66LPXkO$g#7co2Kn&xYx7Z7sA(-I!vrvyC-R1rJSXo>;Zg9ai;qH?;bE8FeR5{ZLcIZZq z{l~N#fGuxWx3V8_=m&8J_cD6xZgRVy2X);2JzRsRt)s&vX`FFEAe{igpv9yS|p^>Z5bxw@cH;Mf-W|B#m zFiP-TmMNR9iTCGEVHnn?jX=xn48dsdOJSQ--YNQ`vswTapPw=xq?7qf+)4LsG zU)Tdv8DBxn<6*{}5tKg87CO5xP`UGz((VYx&0b~AzI9Q!x+Gh`;S3Q-u*P!01XY<* zTMXYJP=GtnsyqM*u!X}v#>={$&w zIOozwkLU9zrEhqUkg@8_gnDeLGvEJ@{BWZmW2(&XvW77YEcxt?71J0N5p*_I1JTvf z45Emsx1AG*{aI?GQT1(%k?>_jl6{!{BJg9h(It=8@}FMr(opZEe)&umdm|l9jc*r` z?O4Ls#j0t{2kF+5+ByJ5EP*G?2U<<}0%?ve$yypucnlE*y{!L_^of`ik}{1=RG{vb ztGuMAfscZWJY_dzT*pIm>Hw~U8Q>%{qc@`Zdnq1I+(w5}!^jzzt%c>U9mC#p#=-o? zK!{|rWj@zoxTas4wiDMXo|SXNIGw-bBi^~{gGRT#Rds9A7G9)D}#9f$^Lc zVdq+|ZrUt$Di%uDWmvc{3L<*7OqL(~m5Q?sy9G#+y#n%Rvm2f!#jTOw`W=SH>IsDh zb#}o1!Zf5goBgC6EZlI9 zj=Nh4x*FSWkL_EMbjc~nt&&fYH2HfUAZ8?;A>a8Myf3L=@A@vIB*I`rEAe5b>${WRV?j%(GAxV~|{_OYWB|6NEqpe~lVF zXX-FrX@Qq8b~s_9s)^%6o!z<8D0tu~6K8GNoJqU{s6|XQ>$M)Ot_IAmK9R6wyH>;t z`_gyl^giqZl;+VHe=So9f%OIo^%d2))H}#^BK8WWLBexAwxIu}cT}|irn-_85e4gM zjx=wR?j~ZhbDsF^YlH4DwX<{X77Jp#gNb`0^#Y6fpF*dL{2M7PuQoy?kcrc^lY<JUeZt$-no8Hf6gAX4xIKBnCTsQ}1FwGrR)3T7*a^?6J*J@e5=u z^4fg~?{Cy;l=36Td?b!jPL)p;{I-=m$9-Q?m=4;7cS~Z8dZ)daX+C(zt_@CR&FQhv zj2s^Rh-Tn|Lr6?FrfqPI-O%BNI?l|+LS}n#xw`i*-zL5t-_ETaqvwvT8~gHjoZs!x z?dy4tlX=nDd;ancZW&(V^%$?EuwR|e>TvBA0yj_`Z0aTD0MBnFxtR%u%60lvarg8h zg7nsJw?B2SlhQ&d45kvT%xFguG<*p@Wcz4B+3!njj~(B)Zp?tF*pfvs_IX6Muawd2 z;WxUx{G3wi)WwmV)~pd$?{s|k|80)rCgy2>Sw>DeHQiXY8E>sPr7yhZlyZJii!s_f`>_+Luo04bv~as=CqOMUBK7#%_UNYys-f z?7M#_nIGHX)dItBLGqs5SJdhJ$hbh%N99U2OZ~`CmFol_?E?!+n|U7Tvma@r!PKVU zQddeeN6NHUC=3 zxpN46?ocpG*Y(Thlf1K+{(UQlZh$L_C+;gQ`S)eJQqB9r6^wmsrjk4L=Zw8uVq<~Y zlO9Pi8r~-2+`Zryy<632=C!K&`!QI@!x3Mdwib9?VTrMzBC(M1Iqt1Wz-_XQf&ZZF*_Q4cx_XT8A?GPXM{Szv}q+S2wF2su{dg~JJSxve#uTqm#PK!85otA*So$Y8{STxYR|L$@uH49|_q8IUZn8_& zhY8QHLyP@lm7le9a zq?4L+UrYA9-5i!!`5(>}L!||NUdI}4n!DWj@Z@>!(evdI#~t1W@v5dny>zGVAsg%x3pCk?d{Mz4ChYaR2QVy1jKp_!g-9i|xfL zlDQw{8Co}*ZAsWE*FmM0&Q=TgvO8}3#SemgBAw{=Q}7*1)~o#Wxw%ZCRND8SbUXNN zDrQ$ZTN9qFeo1f>Rfq+~G0i0x|CclK`v?7VkD?Qs!5;)hT$03k;|4i?x(7vU&0bZo(`klPHQdI%D6<*eR0)EGLUZ}4lm@-{ zD^B?K-Le*;ln|b_5P%c9@THjxzj(iDNR^YO>B9no4?P~TLp(60oSK|ITlL4c>Ry}F zh9b}-^hZ56t#_2c<5GIE6t%aFT7_1kZ|R@6#*R<_xmf2yaUXnfVdKEPSYF#$yj7Ge zcrZWCe>h&4a@Le__nsSXx5gdCPb9CyzA`l^ypnRu`{LrIzyH-UR3Yyc?E@@2-Jx^i zFb&)#17d|$dwC{6Ud1_}?P#2S&!tuqZhs4>)Xmv4{IyhxNDKXDTwjumXLJ`iQ|?d( zjygr3tvY#C|3l3ch-oWxk!m)TZ`f9D*O!p%AB0<~R-Z7*Q5uB*=$Au%biX-C@e>#9 zjb{Xi;QOkNbF+uu^heleY1e@qhs8g$cl=lO*HZ2PNhIk2T#o1Mz4tocdBpj~T4|5I zN=)*f42`LBnW2;utjIA#yT8xJ2XoPb2~nMLd@kIFgaXD^KhI2aTmiB)Eph1UP1o7g zucbKCz~2X-(_?Q;K1qh%jzQbPV__XZ_B5&y9F$ZSpGtB~%jyQI<-eO0ieN~qxAn>s zQ$Pv34Qm!Ymwv18g4(;FQK!?}c>i@D%*ksSK2Zz`Hzs&zl=VJy1~TOR_K4a0)hNot zk4?$P8R$SkCW|RI9l2SdacAve+B4-K)7v>0u0OPnNIX5<)B7$aK+<)E*(*B8VC)r^ zmVH>=Ek6bFozP$d+rLV(@;tslvd8xX)f{?!fgETYzk@v<1e?2pZo>t2gb9K(fjW&9 z^+uKP?Ca-`GZe8Is3Y|i;#Up+DBKF!lAb_LO-`z?Edq0dS+%HE??e+v*((BF$Qznp zS2*e*^YFC0yK24BHDR25uW>nX5r+D~2U*W)+2RPnY^^vhX!byXGg8U3o-tf$I6`a= zFS5jWa1;THi;A?sM9@n;L;*JF5{km*yLz`9%H%6_ogi>A&yGI z!kX{0iMw`deOD7P|I}5L&*$Ww=vcx_v#1dih}KPXrJx2RYZAIDOpH6^l!^;=)(d9w zgFSG@&F;Hqk{1$YzM2{Ebv&X-<COEy9geg00?|gZHmn) zphl$+M2o;RaoMtkseywAB$qfZpY$T>viJRf=Six?B%2e;PI5qa7Zi7k2uR<^0;^<) zS%MrkK^^iQ?&IT=ClxpS9QHZaJocc{>gqY3A~`E+%3sisWFe1qv=uq{iE|BncXPL| zUFd~x;LkSXLd&XmRemrc<)!zfVgG?n_BLCbM#{HhBHDSF?FohIdpK|uYh}u-c2WU) zHb`$v(=C+ew?DC|#j)l4eQ{jVn@m|Pmx3&DNl+8Z?PUSADT~3dvQxGUM)mZ@{i?P4 zF*1+^Ao&o|$`MMX1{zlZ{oo-w0w#jB5B(5FTD)W@_s47ed3F=W%Q{`ZR+ zj`y$nN=FlrAuO*Rc*NPNX=qgw6Ymj_dCG|l2+{?ePeFLzLYG{>t+lJu^NQmKDg}Eq34gpM~8mTJ3B4a z7zXC6oXqdNTmuCo*JY2utqy+=cK|maA;wZ|d<}5ldv2cno`YBS=^}2xk_yHSE&BX- zCgY5P{!%8rgSBpuM+gkF3dQJT63TbHg4I>!kVo9|q7KyNKs!w@CH<;06$q4U^hYT%dt7uQMm>P1X}H{8l~?Qze4JK<+&wJb_uPw=+>2SYMYb=Z zPqE-7dK(!IV{BEOHthaKUreLBig?HGp2Io&D}SM}V>quJ&r_L?C}h`3w{P@mH5|@} z{4pcP2;oB^G?Oo481Ch0O2K)x{L;1oFeO!6T8gOh{$0_3xyBE0LnfJSvUZPZUOYq5 zlsz@dBoH&>42-r;m`WDAah>BCYD7U&YEpF&a_O8FeFs9Qf7kV9LUtVyn$A@%Mu!c- z`Q*EStkQX2_iMR6^{kdynf!%jgU#~}yQrH!VMH4AjlIhOk?v1JvWxEXd;M196!co4 zzOSk;rmvztbF%lBymxnHdz$=?3}M`)e4BoT!XVkG=alslEYQL<8Uj6 zyZJM5F9Zq`TN0$9^akhiuB?5mtu-<;MOQMt(=i7vYv@?t{5&@)lH8A@#VP%*_wTzjF&A>0jHrBy@K+dCxE$ zcRptHG=5r(A|d?G{^Uot`G|*q5enK@7V+>JAV>gdP3J4UE3pu&9RB%c{GN*Ew9jrE zCm^>gTg#fnTbx%wWp3saA-E2o9=*THgCh6;{z{U{UmpbXJ5k@oY}5UaHsTk{IALp5 zS^m%30oDC{n~b+xeE-OU0Iscfp$G?gzAhrX+D?qX%B}-TH~lt%TK$TRT$g)7J)wfu>^1EY$|7x>7gOc(c>L7UZ(rBo%%wGdpM`x(NdyOE<>O`(iDTy z_;^k2AQz#U&C`KySNVNcoBKAU)$K>b1*Qth0NT$CweK1bmNS^MdokzuQ0!=1a) zfn@eo0FXADN0-ra_I&^IllZ8Sufe~L(=4;DF{IM!`Tu`TS$y@#w;{PLJ!_jmneppM zm8G6$S+XIritIsuCPGg^%mZ{IkmmrYf!m{Q;jWAQHDa| zrlbn5O#BW~Uu)h(d+;n!B8uV+w!G2Xd>K@&)i7z-(zz3>e~yAwQA3pQBjh6z<}G?I z9_4Dv#^<;w$!1yVt)C6jjqV4h(1;-C^*~FGAKVBD%%!8#y^4{lSj7m-M-LLtJ<+Hc z|D-QMD74V3J})rt9+f~g{?Dv3$Qb!9ZcvjzEI7-+s0B0Y{pu-<8z`u3|C3@@#doBY z!}gUzvI!`FjW3*PB(XSV4dM36Cz>Gu18BLboMmVYlVrxUCIlU$L!Y zmt2e^cF92h?Dll6B#b4I0>8bk#J@af5x5ySQ;%~i*{*cOdzRF1Y>z8T39fl41uvm^ z)@!qlrnTX3MEHiILp#VZJM)f0vp(z_oC({okFF4>pg3g^$0Bg96}4OJSaLWk<^L-N=sjW6;Vfn8ir8&BTq&=X zDx^_=%oWvNNQxeJjV8ea;!5zFIUjH9`e~* zI@!x~nLFt?c^@}}ney7o_%>xXS2`U^=%{O97SK&L5H$8IK&m0qzlp{av6oAu&YJzT zLA8a#Y;r#Mv>HFs2wrW^mO@u%ETg7cvXEAZFJ|>*C8J~#R|!(_yQKlXjZe8{h%oYSE{3 z*U)`ot8!jn+$-SLIfo`OhgAbBja35}1al-^RIhDW&3MJ6ft8w0W2fc4__w8A3er^7 zR0w-fQ&I4dl#+NihiZSG$-No#cI@p0qQ14d^Wv(ogVf%(9>^(4G-X>~+oF(38~1bD zp{g>sTyU5xV5wQuoHaWe<>$`Ib}ku>8X5o4wu^=5i%%!*!dmO@q69-B_IcYLMa=Tp zpU?6bz*+oiu75v?qUwfl34dQ?G0IVe<0Otj*F%!wV%azMXU43#LfKG)ZXBZm`XsTD zOoeQ(dVgq->Hrz~LG14(&((E}fWLS?SmnM)VGwC44!VhgRdK+&X{%I1VAV8a4C8{M zum*jFLetOXs}g5A;V{h!T!SO!Rvk1A^Zv=v3YIVk9N+Mk&KHkhJz^or{sWzfBiYoN zJbMZeH&1_M%9OX{+8oSHIxTJ^T92-8oZCey7U=z!u7mGO)KGD;E8Fn^9Q|s;#d`_> z#OdaANA5@*)4XlI>R>2d`&18Zyt>6qZO0>7Ui%oh@S~rPNkBi0t@?8_;lo z?Iz%WTxp3|;CB*TPxfafqVQSwIQ-vx*+;J%kYkda;GzdyBvw z+HWC+%_5;aO~4zboxuNk-1=3%^)GTC{%?oP6m`>oRdBfd4Jqq(VQ-F2$;={0rca*e z$mDsMxzl>ztrd5^{&Pt@08bxCM4!VlOw=OGs_* zG~SU)fNR4WBJYy;1-=hIJ5o`3ck$Mxm+16;JCwA_Glg4w8{WBw$OrX1>j+-j+a%(K zI=1*%w6UlIg+1{yts7F&VUos7g)r7Fo`dzt@ z9N5^0CRxR$yyqr9zV|zf=ALlfePWy7$7(vOMh%f=f0s zll~;~(N^=h7_B{@8|#Ue+9*CA{ZR^sXcJZ?4H7k&$3LFyv{*u+ z+Z|dTnFM?}&~d|NVIwHoQmDO-%D<4WAY_76mS+Fteh7%z4vqvKEi&dT%d}1#KKCeEw%W%Drsuzza)VQ6gotJt^vUkelz^#FGqDX9 zN-8R)w7a$rl(-P>S<)|>gh&D%08(3=CW9sR8v3YJ^gh_8IXj>{#&%+fqC4mIXf}CY zr<5l&Fug()1HD9(Twg89wCCkO-JrwK4~?X;iyl~ivnMSzhJiR%OU98C$A?T45xf@n;kfxD%HP+={ctX%DD-x`ohB`Bap_8P&jk?15DUi?; zM%`>wYLQ7cEmQ-v9XIHEH}RBFj;6O{{NaZbwj&@=p4TMUx^~SAK;)?~1$URFKUw4( z&GicnIv`LN8`GC!)v7Szdq+l{q9Uux4yolE@lK$ivz*Hh;-;kzuWzDP97KLK}#1z>DO(#j?em z>RWKrT8;r%=H~o~lSMSwlM$1Hp`Ryf`i|`uhJPz`3*z7X2pQ&RcAlZgo`x!`63v5} z=Mc)<$(^N`%$=tIki4K)&qATu)pgVB_x`2d-uiBGGb!b=x5|VWyk@vK=C=EAmd(>y ze^33|nB&f-1pJnd6=!a3pi%HnJP(EJ5N6MJ&i-p*+VS|p+7A#?Yenqu1(Yi7svI^n zFA?-6L-wU$as+1UbI^DBfwQWUW8Su3)IWY$0&a44K@`FUAn5gF<_E}1Z4d{t69*er)WA+9 zgtpvCX&hB&)>YNe`#4%u7mo~$on1+KC%A{RkTe9`7H8AF@g3+h3~0Tjs^Uk*O@|M^ ziQMI&dtT(oNKM2NotaEw`@za@G5IJncH%x>o$yQnlf0gaQ>eCB@6GIntmLXchhy6r zWQ?87Wxd-d&W6>p>;h4AK##5uBh5c>`#+LZbXv^POW1eWnDKd|6F&s2<+c^ecbBO3hahvPSo;XyyBx=_zlf~9dOd;_bvoMi-t}nRWXoGG+6f$g<4Q)Pd>a9}#aPidju= zs|*yV1fg;}U}*ZqaZJO_PQpGX!O*4_qAZK8zO1l&QW6`%h$y*z@xpoyzt0{p`Z7)X zaz-;+sg<_*&GnvMxLTxBG)=76jPujr&rzv6LIP62cj?2`F~lt3jOn6@8D>L|$pk{P zLc2yY0+>MGyjm}O47c3FKw0W#hGX!{^I)wZjM5pKI9|H`%eyNJyMej2pgZcMQwd}(bRg) zyQCg*!hHzFHU0OyoZL3JGf(zy!<)A5*vCmIm4YT+Vy#wk&D|$E z)&=51*Q_@{p`6grSo$dy`ZZegP+@DkL}0s2R%f^P^2G^9w$NTq=DR@VeG_Q;tJQKh zJF|J))mq!YN;_z&(d0@D%}yJpnURA|sc9)+^#h?zKt!!j@ktSiQnZX7X=^DOX4=j{ zd|qoK+%n`91g)hEIjTSCH>_lg#^9N9C{%)Cy9ly&7&yH!$N?}IeY~ga!W`AJ>OFI)qEy4Pk zatj7g) z??JU^4X?$OF?GZED6}{e-`2b6@SzxMCgW!IE`{ZixnsL)0L%M;3J2k&Thm~u4G6Qg z4P(h6Xktj1s)SfDoL-mZ+`DF~e>%H7abb(HE>?IwqtH0^!4o)uy(It-H53W2d5aqD zQQHm}-T10tcjUlEvH%0+U%Ij>XGx*Qid4ZM*eDIw2$vVo{q4G8dtptE!)ar5Uz_= za((VA4z>4{m1bye{e{Q3{0sBeyqhl6bE?5nm-At2u2KbH9tl7R8u6|W-{_1lGjJy3Cp<%8uD zk3Ji55q$VcxXgx(mvANjFL)kzTtfaK6XuiOo=r_jE9essE@xgDXxwDr_eZk%-g$Qo zfu`DIQwe=)Hk$E{Krdk};!l4#pB@C{`Q=7l6pvLQIwjUy+Y_C~ABx)2ge+mVd4Xf3 ztzpct!imsZt#n54)i7`+2*}ye0g}V4$2|IvcS~1Zo;(g8X>M~l+emV&JN*4S1OUV{ zoMm4I$}0ly@mHr#8*Rz;=f@o{X7Mbe19=%zt;&}h zZxpFLTrV5T1+ymj%3Y`oiuoZ9JYpbcT-7-HBJ1wsLh2zVho*8%LsbqQ^5}jErLA|k!%cCe!X`thw)o33$_5LJB6Kx8tk(Pvx!QKIkh#p6ma>W;$`(Q zF*H6EQkbMhJkaOLW=+J(g!v8!8MFrsyak$-+)k=))$O|Goi!mwXGm$dZh=5Dqf5vq zglOge_M5=0Ym>Dz)+RAyG4jV$VVxZok1!Uj{X^aJFFBOOrg|hnOEh77FXXmw*}(!%^$$Yj2E}FY|SRW^|^j2(o?0nvUyg&So5X1j%&UFUsY=eV!;IWu<(ZS-YJ2}f9y zi1lP%Q#kr=;}v$BEBvJ%mySi}HPPnM9Qc@32hFS0Tcq=iQ;$a?Tgli%%rR~6`Pio1 zGw!A7Xk8RLToE^5eJ^aj-$~d=$@EyE%ZT_)xzF`n9&vFQ4LPfh*b6PqSMueLbbWk~ zk9w??_+-sWGLy{sq40pPutG~={;jqn%WX@{LxcxOffaH24FZ;BMzzmaLDLReif0UPb5~F5`#m-<*-r2! zbfU6r98<*K^f7l`eS|vowD`vOVsMm?2j~N5-VXDr7&h&*cA$N7UCz#4gSx)UudkKf zeew2UeH^>CJX`%mr9MZD))Tip-k1k(E!n+So6EVwj-cl~lK6L9zB0`cEqY4cH{)_V zT3tGZy*Eh@BXvHeYnZ+5<^}G-ds(|S4Mz+n45l4Ky6$E=-anJK#9%n=QWcSsB+uPK zl=Rdc6nKc717(=fnmkKNW4n;=&^d=ZrfnrW$8VETa;+9f@JQtdTGtaYV=LEm{+MlS`z}+YW={uve8Y07yWwp0iyRU%xix1TT@$;oxv1JF)1@oI@88f>K_%YZY>11Kk0RY%j>jBe7?IBY@sZyJjy9dUzk*^ zXlnw`?K=eR9+mJ%wBm|ssjGvMdeJyq6h8+TVN%5Let)@u8#?xwD%o zaEiO-?#cVaNeQoq?uv^aj%Zn*kGr_6a)nane4yW4tCNql`K>ooSwtK6!!s^CN%FJ9 z4k_tPY1OCteX5PXZd}jNH%Zx-BMX*L`MoznTivQc=Z+SN$*m63436KukB{zI2DP@t zWVc>|gfpjFg?S=dx-V+i&C*|s|F}w^dOMKuQ|&9EC2!kos>HMn8i9B-QECRw_Uc0P zb$`Fx&ArTlwWc)@yF9mP+*D885|&;`uEerJEsQEZckfcExp4+F0!AxO!l&nOha!et zVYlaHGg#jomPY%DAF)N4WtinEJjAadCJxzE|V55~I= zy1acMj$W;rBabLn`(nLV*_5B}TBHnco4-R@>esR_K1Rho%nAWK0hPC(KjYw6RS*+M z8nw6Nr}d0PziqUSsL=eNM50#7%ng{^tT?x2JS-U?<`dP`{Ck$GFfS0C@RIwB#$isp zTLu>V2DoGUymH@w-g=ky?_%)nv`shRRJp0slRq-z=Z3@hgc`3{ygpVDlAtk`GnI&E zTdcn_i5z~W0<1f|sb1r~e}NdO>!zZVoW1n*f{;C7{^+gx9G8c)!j=>8iDN}nrQ9dW zH2Lk5??1m#TVa4Ue`ViyLN4qE(^s1D3ESD(n&{uh3K^NUvdX4QGLa+2eb7?xY zbCG<{r+Ub?Dz2n6o7?+yjG<)~b3R#uOPLz!I=Yb%6h{Om$a zTEMJ*T+-6iko#Hh=2ZK=175X`PXv8<70%cy&;t^cDew-o6gY>{3bhnkyI^@s3HbyY z)PK{`h&MjB75*{l!c_TImIDLqn?HSKQ1jLQ6a>4)34nRCzn(NsId5pBxPm)#eY&Yb zeXkd4Z20Fve&gm)u2BuAo7)-A<1Wc~oy_sr>7&kTSUzUnv6_VI76c=xfYX^r zI_;ElZ`naI{2n;UZ!MB}@Gz%`Nn`J;9hz2}mqo&(GfhF=2?r6Zd|{KoVNW#r`ePu{ zfGhOXMMmwR(ke#pmscP9Io`%wg}psleB5QCo=-SqSZItfd8k&(TcleIp`KWnQf>Iu z@~sHYaXZMH99bLd7|Zvb$e$ilJJ@Ap(ZPGYQaV26olzcR@~Kmyf~`tc{83qRxFwM} z@xIx&%VB+9Er{-NVPBs)srKXt5rcQ;PNwUi@2%V(XkzAnFStvhERy$XfI**C{u78W zf0ypz;uO_xTD<VQ<=xNk}nuqV&?V}!dc*1+k5_Q9? z>bv&0Zv%UN)5_mOf}mPF?Jn$0q7lE0M6&JR!#{mhc#5B6XkD}V03Vu!rt7%!uqg7@#6%Ax@y@vC zxvPek&cR*Ij|bl#-M{zK2b2e?>)mo=z$+nYjhisXvyZ_xJ)V_9Wfxd4ge@|>+8$ia zuh1N8sn*(ETiDvrpsG;g=wD-%zZ>3)IlXnZRg?dL_94BbOqZDA74+-a=7~IF$#4-! zG9-Nv^FX%Cb*(ZsyXfT>^aUSm@IHIEh1o6LGtFx63%&HETR-{X$#t#4Z=)e0aZCZu zcZ{N@39JSV?P2m|$Vj+e#_qYKyUiHrX;7~ZLDPD*@a8c;9ol3*-aqkE3smUNc0s&ydeMxlvI`|#i;OIHtNv7I`;obk6Fwta96cHDNWK&GPEobz*Pf`6TvIhVv8uDVb1dY8;D z_c&~hsr%syc zX;yi&R4sMoC`EA!xa6}R^A#vaJ>BHh#8A*Czal*UdCAgz^UQGS)~;unzU~-EkI3aL zmgjMf!q1-#Wovg8T-&?~x|S^-TIH`+9$B?h9Z7+6x1LX4odVj_wnYuA!pozEZ<0^N z9!3j}(${yPDoTeI?}6D~T&&D{FL0k_U`68P0&0mpt#>qfd*InY$x_YkiU67W{IP-P zNP|knZA+5_EtM4p+uupedJim%Kb5%fW4_|Nkv;B=D){fd$YnAY9ozlh&GGm!^I(@Qs zzw#51+vdsf)gr|N%b7`dc3k|#-JsF=(6G{6o*gff6Z%uVEsxT_<<6lxxW!jus#h@! zNHiOyO}_ySMJ{M{1H~tU*d2oECbX&@K|3Top0;^w_3gt0kJLGqlUalVR*IAx#6qmR ze3e`rBhHRpLo`b0^aPcXrb8y!o$+<3yLIQwQAb+1ge}!Ys(X0~z%;&vw4u1GjYfVe zRcW@fE85S7<~aNOkRSZz# zVV2x=V83xPbIyoS-L<8fgBNa~4{S3_s4H(B&Y|Gv!n1P~G-4o@LzL>6l*nh3z(}A@ z$gBHBZz#zcPfM=vIgyl$yKd`s<+8yVHhf!XrPJ)=m)oALF;M3VwwUkIu78L$bA@+n z#x9;3iQMPr*v53K!@Oqar(W)ersHcQ^W~95jg0G!u&K#;aL3Ld`>BJw6pD@yH=Z;zt`S6;t<+vmGQ!7i9gSD*wm>Il zHAUuMVzfD6*}qCs`q1+{(o)Ek^93v%P-98!ytfr}5O$j@UUFXQY%Oe8Fn

    jM>>Q6Gv&?+Gr@Vqg8Wk zyQ=3?I_YsS*m!2$Ri_6^bJ%!Qcadd%h8oZC3-Jr^IaHfV3H*Z7Ptp9>`j3~xXm$mw zaP49%L*1^9-$h$+qEl~$awS6=@L4j>cp4RkCppk=u_`KGJ~4^d&i!v;|3jR9sXYV3 z-OFBBcJGVVfMKV#bH6=05x^P3LI>URcJ`xdZM9q3 z86{Su3!LOK0G6D?WqLDRM;{0qiYg~acjo*!&-wzlmDd~O=PSTVd2&z(gDr>o!xx7B zA`!RN3UuzYsvU6O(2h}5-yXGZKwJVXUL_r)ZF`W&USIWJ5F()6!F{xgG?P**V)=lq zpr=%*&mUA)4WZY^nY9#}vOy0SsbhTx`R>q5BK#a{!2r>2j9MtTyV0R{KW0*GEj8@j ze(~Z3K_;cn^vP58g4qlAtasGSv;t8+hgfjTBT?}DR0j!N_69PQbVuQcSfQY4<(9)F z<0T-`2si-N2JAo@LY%n*$OOayFzfR*QS;CX;U{n`2EbMr*vlvO4f0T(4lQW8QY6!E zc1&Q$JxEH`iZ_O74#yWTRMG4m4?r%@XnEi#WuRpgjX@JSLncpsj)kgF<%(XAC2u;) zQRGCi%ARd+!4;w(j^Gdb>_UWw@qR)AsqylfyQB=4Gt=tI#sn(n;MmRE4 zxd*-mRs*<>d%lUSB1myk3-8ePABAZwKwK~p`$H@|=homq18B~O{e~j>ha~)x$&WtU zzw%>$bXfjlLH#QM{A+dG|C^A2={F%k^}EMf`e$v;4KO_Kp|9Wo#IAI@zfr(HfjjNr zxc!e#(SLlb|4RG)y$A2#>fdj0d)zdQc{epQ05=HMNBiLk0;~P>co8z%N|tB(M)}M| zrbW+eq`V*s9H>&T6()$5r<}jmrY;7afPD^hvDPy+EIJWPzZo(mvr!^Ls{BpF*3X|G ztvY@P6fe;0=@&u7Y@H)6imKGyi=W%jBP{!wP+Rd=>OgWksxrPe_u*I`52(ZoqQ!s`qX}COCoMDCESk=}j0uo)5*JHUo$VgWc<8 zj6J2*D!Y9SyIB?*#&Lg}`y#++E94^rLX4=N_;i!Z`y{ifzGwTfQqg+OL4ix-n*3t8U`qJXzy1n*em34{PIA(RC^cgx+BBoXQZ>Q0MT0cgn)zPKM!EM!0>%c=jc`IT90`rkKAID-L>wGZdCnY-yG!EDNMOne^R2?*U$P~B8c}OR$ zPc!OSEHv-XB4ewCfRfg$_2ssB}~8=&7cF6|q7Q>!}9raG&2@FXtJ@uUaf?&Nnj0VlQW3o96` z=}fh~Y<;vE{85BudK{Wqg(WEAy=>8Fj?u^f!Udy}M<@RrwiBJb+KjDyhMg1c6qaZI zJF)v`V>*Lt(F_NC#C75Uh@DZS(jQU&{)&*k`pz++X6gc{L(kdJkI`9~x-3UiO@df+ z3=~oZOPTf{d@W^!1Gwqe;bFSKaaje9WP1T=B{cU2A~DJ@@lzq zYBQKLn`0xx6Hbh&KF_^|pz_jr{t!-%@+n{TgEaehgPM z|5Cr9#{ylUrlWw1+#y#KeeHM9+KWtu>ZPgLeBV_T)~!p5tsY^~hY{lSdJ=lTmafC! zN?F0R5w!x>%u{vK8hlHg`raYy+?)PJUgOK_bH#mS54j&gZxNJ8%3-{A>#$% zXfO-Y(dLp!Ip`;xy+-X!E9X6&0+h-HzfbgPyyMnepaJ8;M8n2S6cfAvmz9NMqjYOA zs}_ib-@F#u0B+khQ=I|a#wtAt`e*Af9>qbPm8)33(I07?O9O`8R`6y{#ON@AW%=gv z^NGH3C%)}ySghDScl3R#4kTNKi?Cw$R83h#+Z}v4^L(tPg&fbeJLjzJE#a21_jNd66p+n^I>!c}v5FwFXfK2|a2cti$6(7TyrkF#*yTBCV3yhk z-p7sJT(qq%DmeeNPgp{=$qG}_R2)g3R7pN@!?I1!I4&;^XrEbl6vHZb&AlTX&q!I` z2_FxuuSr;f{~@_sF8bQyQ16L-jIBsp{5h%Fl~@?$mt(}dxOZ;GV^;evGbW2tiV zd4bEQ=IFVN#1BkTZ$0^_mGoPpoEOPjzef%cWDe_bTYS6Hywqdmsqf#iLu}MygpbkN zXNe{BbMmfGjOXMF3q|OHcL{XNa`I@Wl39-h85ZN_Y+OqAMZ|P%)7Nv9GmaYPG|XLy zlT8avf=W<~C`6ny#Z3lF)r+T;yG&k1svGH0juVZQ`u%xt_}ap)&|E~&z9yeAy+@dd z{cq3xhn)X%(o7%gO+P;V_2++vb^K$`{dd})?*EzRX8ujQbUMoxzD$h>A_Yk<2VV_j zj#~{>Mxw^*9NCpyN6^iqst;vd0|-gd<-IYwD}=LLNwZB04i-;8#r6~#5z*mm#y0yp zuznZZ7Pyt`K>MEY3M^g|qksyxf!x|@M9pqA=uHsfzaUnX=4;}`V@DEe8i|T=120{} ze2VBjp({CJ`q}Sx}qtW z)A`!p;>ok~>e2C<>M+HTpml70juk;}J$C{^(uHlIp!E`UVwn#11!J-=ucF|mDEX0Q zixsI~bdu&6_{!D7o#n!HuVC96Mp73IsxGp)xC%o+U(W;kU6NixGz)FF0T7m??(+ya zDsMa=R7;1Ackl{H_O1T}<=wa|qq|@?`(^YoiM?9pnP|CfbDV zv#J@M`B1)Prx-Lg{KUzG9oD_(G^miLM2IGs<$yZsm@zrVJo61{eVz>3PWNFvn@ikd zi$sKxZ+qJeZ2fU8QA&`ln&L+$i~`lvxbI_$l5mQMd;W1Gi|f=lCnG?zCGHsU`FeZU zH2PvrOEA}W&MO0ZR@-m>aWY>{?Bac?603EUt96H)G|u(K;xBreE2~bS!854GJ$r_r z6_t`h=$6OoAA+6QXd?M=_kUfhUAq>Rmn|TDdUFG)_>F?%!Q=Jh^4s}lFtu*^)b{fjj`8q zlP#iMRE^^zt`jO8^5P$oi9=(pt^qx<)whE&%p1Bw@94jKA_GmE_ndOyHh;Fd)F1`F z*Ez2vL0XAmNH>&n$4T$l-Jl-FJ zaQ?BX{Z#~F_^&F^zbt7m|0d)so#Qc2{b-8Q6spb)DKM=Lc0tg{l{uG281*JEvh-E; z3oJNUBJ)(#H91!ex_aVrq1HFdi!nkC>+^htSr{KD5=g+J60?d%K_8XDq;d;?bA!pJ zq8Haz70!VfC6hnd3ub?L`zej^8cId2x7@UO5N%ycTXNoQof>A9U^|KdW5?{UXz4|h z<-{PsN1SDyH~HK!qGQQwtU)v7u8iTZ7HNQbSV_AQM>S-~N=ijz?HfSSn0KBxJUYz93aSx-1sb0kRxfdv8YE;sGD51Pu1h zqTy%y0mHa$*!r3KHaiR$cOBbnOH<1V792N>Zs^I(Ug}9pRb>qSal+|vFqqXD0>SO; zFuiOJM#n3VY%!R!&8nUSm+s8&dQfFBVA-t!ZhnF8)1O(xJ~T&n1K$`yK^+;1I{iD? zBeToY=~5e5E-}*{;9+iyw8~Z>vIX}d{Mk_vOhTe$^O^ijvaR}cj^_bcO2cT;F~cyr z$#qg(_?)-x8HG9gPZ%+Fmo^?MXe5anQEiL$`Gz!oA2uFqf~GqK`LMQP!y+*7dk!_i zx>LnqdPvlc7aiparJc78*PdRY&>NZB1h#piG&H(e%%r=?AAMZk3i^7$S-@?=gX3^@ z-VCkURjyFiN%<&KpKZ@!cHm}`!*Ezpea3ZQIB%oB2VENt3|=qb&0VHG$6c0m=h5#> zd!jzjQSG zGBW=G+YB^+Qqw!h~EoX)YCZ-2D(Y(OX$%zSAO8URGzm^}FEH>^4ch0^B# zNyGC<@pI~H09;V?3Aa^kFKM7&NcK29N9vdB9=q!+cyJ5hYoAhn_|{Tbb5B5GxZ+5d z&&nO=UI=yo2X@>7y$aYPvK`BN6WD7bzv;j*9H7eFt9w=Kd-qya!(g&WEE>VcR6 zDF+gvw8|qp+@PR)E?a?!dF}0>BGso{g?ZtXf&z1tH~5L(L;?0PZRRj;!8^bm#>9sf zGr5$xov`GS`14!sgxlF~`qagGd`*-A_dz;pG%^6)fIibu0!Rm7vN57a`kFx>bfJ6qe zwbcSfQiB1yvI4p0BGh%nUW@LR2kIvQPi!N9xLzs1RscsPEKo`zPlQRj4rw4telB2F z5aCzog5pZwRlFqpj(PSP5Z-Y;*d^~O;4!uiq>cpsCTx(iTp~#j%NOv4-A*%*1sMcF zgnSkRl?@)!d2vjZd%tH`Lpm!kRfCSfvKtVkFeKFZ4ts|1Ywa%1+BzH1ot;k&dNfvr zU?v?DeE6Qux8f@MD zw3X7~tXs!INDiRzxEczFAS&9#x(OK2$FZ?U-8C|#tot2h;lEreP614zd;%v0j(UQG zRz%uG6Jdo%7X_LCR^euq1=R+!w$KIq*c}ra-<f_$R@UeB zY=yW3uKvBF9RPFb4XB{02LCro_Gc1|@xR)J{upKVkNx{!WyrsQ^al;%-*gQz|0V^- zwgUbMjLXB_-TloSfF2Rt=Qn5iGfJoVyN~~maeV*yNPpE18Cd=%&iz6!|DqL&NmyUQ_p< zzjwJ!iuGOYU+TU@2rNX$tgFCsaZu)!Gc9ABtFKiZpSth9@RQP_%fjqo8#O)LfXP69 zb<(rH7rx%TgUcZ~AvkvJ9ZW;UAq+d2Kt+7F$Vta)HuxIng#|axEgFv1Z}62O9qSTJ zIGbJ6*-Q_=mpQ4W2RPmLAzvw z%3gG+Pku^B_gY_y%U=-PB?+1_Jhq-vt4|>@F{b;fi%4fvwzKR>StJCF^bq)UI&`cq ziqW4_BJm|mg?d|znc7OA&!Nf{{X*1Ug)$O2Z(^Rgb-Zk$5~M}HlNzMwFcLGK&g;Dk z&Uyftg2R5u0Q9Rk_qRjOjA;L@Dh*zkhUj21>>Ra9#dB#;U*mvv?hwCC@G0+g)%I0M z3+^gyS>Y_u)Eu|FBm!H_`>08sidm=}Fz8TgZv`@=LIPc#=o6C;5o;DiF!*nNg`(wn z>994-cDP%jxIdzio&e#itfb+A!^2Nvq7NdR1vT)}_kQMZs0M~MS`g$L7bZ1w6$TQx z2gAft^1wmPJIIaetA25yqxg;wLTG25u`_}5rF2RdwTMgxUc79O-Svw2&c&90H%+)` zT2xL(D%ymgT*ZWNQ7jcxn2m5$RJly}I!1VktdO0THc(f0w?QB|6xT?$x`VP0`YYPB zm#-HYM0|c!;_JwW2eGsYHt}UMf84>cRnrs@0Z3f94uhmM67h<%9;QXim|ukjr+l-s)&fl?w$E0U};@sje?Ba z)L}4UA&5w=CSj@aXIqvsKUEPP5xW*Mf&xei*e}X*hjUmhN3t6e_L&8*B7*Khg7CWo~~y5mdIr%sGj+z*WGi zPs$mmt)SfC5}C15OeOzDSVE!mYTs;QrUi5sGE3_f8KA6!-1i*W*az`b96tSHBM5YF z19yKceVwxcYjj0|ay%G^mML^GA}MAZ07g)b@;N4F<7W1^?;^FJOjCJSvbpK$_CS4=D-n3eSMg zEEU)P+t&P{&A;pl3*FzTh(CsX{$peFVK)B*QWzQkE{XkHWAmF-#Oypz(ebajn|{#j zGoYfggtmaYhoftZkgMDZ7qOoU&NF8)zS)wsp&otk`SY=DNvDIb!PDOMg+Gjf*Mt&G z<9%N=*YXsLP?L{!jYsP4e&F}_oB&J@1hn9cQ|rAP(#N!&l>~VW!mlw2ZY5C}ScI*F z5oEOF5vj1{QTQ=h62`2hBGr+5dR0xtFi!Q6(o{KaVJ$LG{J5)8lavHl_XEV!bTO9* zk1jH6mPF$&J2Ch%)374W00J-pb7a;@8480PJ9d0`h~f0# zf&+VEf!i7AFPL(LEG9aK)3p7#tZ0;z=RowJ9^t|Uv2!@wIcXO^m=GNIgV#Q>T@bh` z_L7+Qt|&yn)(`$*H zMHnm+Gr1O4@TZq9dVVdReD0n0y<#6B^3wXRs5qoDYWTZ)m!p1SOq`FZ4k`ADyZhAe zyS$)=ZEDU24JLPs?DeyqL$WBsO@Y4UWouPsLn^IM?4aB*Z)w-`lhUx@E--u=wV}GU z={+9FfhvojL?3(7ZO9dDr+Y%2k_6NhV5r{?s)>w8cMa8DQyMTdfR6x-mJK~;)$+$+ z-vq&3i`B#cW*$B78W$M_UW}gMmK`|e?c+7BnZMWdxl3QZbRuY^-mGu*b0(sQK%WJS zjY?>X(hs$E8b5siA58kn`@Ip8E0Xbh54OnHv^CsX@YG~X%3If;ks|x-S)9yct%ugwIqm(3Vwhh9*X;i$XXP|s=3^AS7}-9lASvvC z|7Zc;P(&r~hLPZueGy6@K_J5`+%M)IrJizvt0aXN)1b*`@9%C$=%Qbx6y@aSDm;*M zLg$pgk)2halv*uRKESx{Sjf-oFMc)f;=9y@OVJt?X-^abL2H|Os|Q?Nlt2dWqd0x8 z`g%UpM;)`0KBy_(RV)5oes0C6@F;17FcC*;z+6JRraTj`cFisRX3Gxl~!_-}BxVXH)vMzY$9okD4Swm=anp5YR zd$-v+e9XXp2V%QG_|5zmmOqn0G}MfL*Z=>RW&Dq=-Cy;8n!g)@|7H1usKkXbjCsDV4=Bh&~AEW*srZ1v1`D^RFPe4_*9 z1z!?4oMv4L1@Iu+xOC)Ltsj0Rsh)%>9nq>dKjN{7cz3E@&z-k0o8885HO|m*IfCZR z|AvIhziWcI42%C`{knpPXhd?~Rm5S1aR_4?ErnYFpQ#a3nWiYR!5=C_6lC?1uzoBP4NYI{JR2)M*+hMzv2 zTGX&JwNWvD5m0Hg;BTilf)AtH{K$Zru-QhQB-7()_Eq(L15|UW2;rZ561iP&_KS zC@?Xu#}3Z@;cvHpXRKQur4;i>8DzIo4t%IYOvY+(wC7rGXR8pFUINxkH*bl*U!H7p zYS!+Kgq83_dw7d(Vlj{Urk?qI`N`a^{_%}$b)=ZUIz8aB^vgUl*Bo+q$>jVH9^&e@ z1jF@HIII)4T6$%3Q(u#iTFjC56(mf7>Aq7Re9fV7@Jv%))n0Pb1hV`bmTnjyMoBcm zZR*)Ei%HqO0#|$n7g6mDQYF zQcFY>yJo=Y*6Q4qT+{6$*Lk*|B!;sEpM=Aqbm$}O*{Rm*VzN5U+?TNf1fHXD9Old1pZ!P*q#8+N z_1t`8%c_`$hom|YdG5eDMNKO-56PtTH{*RnOg(!g*D#`=vJSpVCrhDvVYWr=jEzMM zI1*492-#oVb*4~Fr3(K{4-k7fVC^gwCRDlp?pWCfkFsIM*lc3uYM-?7c7V!zkK!2E zmeTx=2-icX9+L3jDfzPO61*0PVeE3=D*rC6 zR|B$w|0Rksp7~AEs%@;sE}wP@e$8|vu$bv$V(t}s9|)}FTjB@*kk;9Md(Br?P^F8` zCOypI3)QjxyNW70fq%~^t@^wfTX*jqxpIFk9io4lj$5OBlI2i|x};Y{rRlwqK>3!d$ND<5I&dO zavG`#FX_~8>hojB=P_H4ohd=!Lmdn(Kg(5 zsWbS9gWV1wizGJv8$JIs6~j#ZH?#GReFp#3q5c{Z_a8l{`L7Wo{|+%QQ2!98U+qdXN2->hxC(YlNa^f!9=s*ajxDYGGlnA#!( z%Ba1Iok30p$26(pS^&BFjMb|xV{q1>gH@3onmB~7uv~3yE28YKQVCsh2a$RwQynZ0 zEv6;zEuLrXJ1&wmG$9b%EwiFeBqdHER(VwwY0fs%dt+D;%bGClcSvWXqMv5)#z&d2 z5!9N^6g#1i9Fc%`7pEV1%yfRovz1EoO|DWl-H*U%r}9R-Hv_g0K8+pB>MFL!tnX_i z6P8B+j+P9I;{UqT|Gc$d_ecA8&hS55DgN=L{#AabqyEUz|4GjOR|z%->fgk?qp=d^ z-CsZZ5sT)Sl1}O&%Qp(5#5E}DsT_5Q;S>h7TrimK0_pYxIX$6TdavFn7|TZ|0(wB} z?!k_}X5M$zHDXGgLKI&1w)fx>p^I8oFld4m-I`5X2X-TyL&1Qgm z2^Ci0g{e?pQ~x&1DFsznUfuLLs+zZ5hh2Ie-c50mn=l!M2-*LrBs?vPWk&JwvOFQi-Ro3k(^J;)D-1n z<feL|C-uW+rNdEa^XuO$0fFsB?vmor zoL&LDVS-47{h?I^l+OyfSzF>Pi3Ull#EZ8L9M)_?2UL$ut4tkCPx{i=pRVDfzM$c6 zYrLKeYrKcK)*d459FM!-_PR1?oX&f^+|GYi$90$BY~7mAc-=X6*wb?zcsm|%dw){k zdPULjxXWmdZD;4PejXlQ(m48B`C}xUeyBIR z>QuuGOJj9@KQ7)cPx(O&<4!GfytwQ(hBce~0cXB$OKp8Spnk0W6Uci;E0lkCN(yx$V`L zddW0~!|ls#<1O#o^V_5^uPld^A^W zOnP3Ja9r2~U#?VlaK>U@cP-l66K^CQZOT6QYj^>J&)qb8`x&Vz$#<*P=k2cAH)gx| z(HXjfddZwC>)oeOjZ^D5ChtcvJkMV}E=5S)XwOGhxZIU-#g7 zI$(-;+7zp`dS@(81q+TGw8@PINQ(1FTNtbo=HWyYIt9x6oP z`?HJdlB5j!SFX-D=k2)(YttUCi!QDj=DYThW`>HM-fY5FaB?xx>V(N?T6QWi){(U5 z3A4d;NT_VWYEGD&tdu74!%Ee>2gU7r36kyYnw-~kjC-%;NRBV@X8y5b14wkkPW&Qz zep8+!^ZwPP%cBfy&*KY1g=4#Hu0LL=jwd%{Z*cA~x!PNH$C(z_&jUtI>VF(p#J`{7 za5^!$F`ldBy`CiAea~QdxLiU}aCZ@ZzRlPjWWCl%Gk7e%K78x9DO^vDdfZWCFMWCK zXB0`De!CILu7ES&i&ts9O%p9+U0H4$_IOuvee))|?8lzV@Z0Wp>B2D*b#G6ZsC~)c zbjkCWihIp(e~LK%szbrJ`_<)@?iBKUhq#}m9$s(n_Eq=voDwv(IWAfHs0r2&L6xgk*g}<6I)yIAM!i+q|Vb z<;$%}z3gxG&xIRT!+o2}9yZMBE_}C}B;{PMr-!RJlJ9R1gNq#3R0*se*u&>skw{CY zd*vQSA;(&)osJFVo2_IP7zCl2U3>TeYf(V z{QXe%H~_BZsO@1_aeu45VZo{7HDKMN8ALDAVLIvkYSJa|)!pM6o@=Z3yzTvMWX9tu z9>M7pquE?$ptfx;WoLg{cQ!pkJ>A8lW%a4TxKBgfjPiT*`Ug$ym1R_>xMRBY-6&`q z{kGkGTI}%oygtzVO7W>z<4*Dcimm5*_IBKYydVD|1>5#H>t?WdYur)SJ+?8@&b>Y4 zO}p~(gyG%_&a&^xUY_&fWXWRSW!Qy+;2M+4=z3Vg75=Q+(IM5z1)aOPjl{y^b+E9Y z|N8Z|G2bmQHbL203}Jcxk*kdZh%4H&{q@lbi0kEm;_+?2{r&Miqvvc&`o(cl!{c$@ z;DeI{)vn&|VJEg{t^YPsU+wjr)1c~oClU0lw07XbE_>kf zw;q?TaB@CU%>_};uA#TLJY&Xr^)^oDo^VHe5|H+8`Z~Gyl4$#ScLn+s@A8~NC)Tzb z-eulz*X(=Ojl7SOe?0NYcc1Y3;C>qW^weUQz3?7sbx==SLr?CrI;ej`Ie z(%;$rK5>qLgCW;m@+~DJ?$-6~DZhLyFZxW5n9D6>X72-0wwp2c|pncg%bYj}C3(%Ce1t*MN}bz?ZG>W|VC5ZU)) z)n_RbQ;xOZN>1^i%Xucd-TbBlZ;atMxG*=a#Luk$G%f6d!*D3t_vB0VlDJ_uL0P&o z+biw!2&gfTM9Y{kPM9i?qY2h3Py5(@-mj|GbW;M;nK;_?I>r61;IeczVYhuVFmt?X z5Aj$!I2KoRoe4}S&DzWVIv9%>d zw=GaP>6O4yL@97STeE^*ttP~j*pEJM=%^U1CJfotJ7u^I#@KT_+g(fF$&*jaB`efg zHz#kCD%e|T-1h2wly48m_3l1}VY}Qex1Syi)t-{mFk!z`(7vF%BS+ae!VEYfiFEtpTR6g6=IOB zc!pAM?8E=;%dV>=Qn@OSy zCt%h>YuBCmHD1(yG}6k4%)f!su~*&u`4ueQd>?Zyq+hu-A-;C;8^>^>dQwwdwlM{Y zpu7rY_)q1lRFChQ!{6H#6ON)DXG+T8fD|HZEwQ9uo|P?9RokBwUsl%V6;+$%`mffX zLmKR@eU1Yk6SP}mjsq*(uqPT}4ZCjhf6N!@5%$!n&@Qy=BZ@*(EnMe<1vOxPrWwC` z^p9d5c{z;f7OrcwPkf{^WpN7CNDteckkwSMKyjpG16+2d#gXq;aEfBNPzt*|NC z`r_Yw`8Bl|uzpmKC8@4teE9A&oQ9{$tP}on;PIlR`xfN|?Jrej?7JdnvepuWiy(>m0yP$9{E7+^3idi(8*$pShp_3Z?# zWQ4{qf)eQ`ES`Q?`c7J*T<$-zTa`!4SMM%5az8hkAs0lSjT^F66c<9b4YZ)?w4Hn= zyD@G!AI>Tb7gg%yzvNI#vR8&w)q4*c;9*Eb@KlGnx2fg$7)DBJE`!D97zVZs0E3#0 z4LK2nD7j+{LHp|!ddUn&Ug0uQjZ&IygEPcTr9ns14y%h|p2SkidbXgSJZl#i2cAk@ zl_`I3p&eZC6Pc6IRU#ALx)8VB@=@j4C zm53Ag@e|xwZb(~V$0Z*^>7i1H0&+XT`6+`SF9ykYl)3o{LoGQWir4%gunIMKWI9KO z?PWUmpfdH4$i+z$$-Nf~CoqA{!_g#>LiAOBjOyM`)6mxCo|GkSLl<^z-3RPZanZ*F zBg?|{(Q}skyxjC933Y(3P=+elbFQsBcgfBpst!5gYY``$Jjt^NPZFRfLY_=y=F?t+ zm~jO(A0rT@PCc8wYO^@UJpiGZhmzTBwba#c<#YuOzcp`(BB9XUs38$I`gN1#@fe|H zy(k=4OjJ5oT4a{o-Hp4U={A`agj}8}IO#Ir`7Y|MS_=;4E)+*n!bVi$q8J}T^f7^@ zU<-e`*jVzR|`lbdbKDIm$%*-_S+#hp6)hC3e9Q=emp94?_uIy7-2(T*Ih^8 z3netMV$}1+wP3{T;KOl@S5bHc`gBG5)odUo$>SH67f{)x_|6O~<+~FSn{<{(J@ms` z%X^U~$v&k)z|LBto?X}oIPJxUoEk~g?H8J~3X_>l(@-&#U}uue#T`W|Fo@aQO(6$X zreITQJ^J>#YOU0VM}8s(Arv{)oi;sGp-YGjP9SnNZf&%O=Jg;ELB{4%qes}BiVVd( za{PX_2$RK|ZBzkGK?|y@tH%fy!mLhOQ=^(nOg_?D98S3cAwJSZ+RPzx9xfF}4#2m~ zoz_^ZEurH9R2>WJPXRv8TJS%1j!>(T&#vP*p2K)Um?b6HGph9A=VAa|%NAkNi;?Gv zhZ4Q9XCWF{(!XJ8)hUELx3Q3xk5iEftJgMsJgfE_1ER;k20ea*3EZL|7yjg`HGBRf zJaoKGnFn`Cn|4#%40Li_!$f;n9KcxrxW!^{(TsjJYu(M(JyvX!t)t*(UXQd;x#ldb z#km+m)#V&glK1SY*JJ{FJJ+nHjlaEiC(WG?TjaC=pF8hrACR!zs(u#tZlP>eoU|veTJfTx&y~ zEXh2^ix+s{RHG4cIvHM^W}}KlCH}J^0mIZp(5JL$)pkY-$cbU$zE^7Yb4qEv{8?9y zHA>zQ8C-EXJ7#?ssy%O0c1Z)qP2&oA zWwHgMu_IN)MPZ2M_yV<2@FI5vmWfb0@n1j0^L|!p<#WpRgbL^75P?2QUV|et(3RpqHpiN9#ayt~FLjSo-y2^(5AGgjT zvZZXMsq0tc_0vPUC)jfZ6Dn4edU+pV8}@V~Fw39l3RgW|HerDS@NTx}L%Q<)C@UX% zjrK)UzqBfwTp$cuWQX?_=pb;)%g7cx51c_%6pO&62Z?&cU^zjqj3A7COZ<>}5w+6g z^T~xs#(koxBBH8ipq05#>(Il+3v6+}=jh4#8es^6tc_s`scGV;Tz~W3RBC>cfl?B{se$cN{4tjzAEk2dbCu&6~S8?f?a@Fowu+g&jfvddd%91c_?NLyZFu zW-rXyS^Feokkdm2{knNIg}My+L1dXx09w({_KjU?wpsNs=WC&2W=dy4<2feey0d5q z)B@9mp4*U(k+iF4s_fMgYmU1-&zyvZNOc+@sbudg%0Xd4)9_67`DB^LKB4tdvC$h; zvBgL=5=(cOhw=)jp5XtO{;)cAezTaLi-K#M+)0+D3;d}%qI`!qR=|GvL*xFTI$D}| za|OXcTW(dfr!|NR?E{wO-X)8t1V%hovGhH^Bonz$=pZq4UzR@IObF|^4S;ou=H604 zagk0`aNaY;qzi&ijez3pdC&U`80P-Y42!ef7$t3p!8*MPE2K&_jw=(Xh$N8>bbe}< zD}7Ed)0izivV4^`fkI=D@8-eK-b*C;4?(38aiy4R$)Y0+YdhEvK{9^qM4RroU{SIw z3SNXeAq~*zXnX^6x7JWfk}06hRm^LPo+#dRU5EV+_%syYh(;Vx+VN#}R?irdOt9CB z9nd`eljzsfGbg+Cd)NaJ0*12GZ)%d^>1q%^)qr>Us%ZUOSAF*qmm8-|y&!oMh-^Yw z?7b>g?V|btWoE}#_`0lp)JB~wy9twRu*kXJ${u|>5%^AU-Gg2%l zZv%=JY9k#Q-x`{r;KM<0Kh#DgqEz0Mq_xBvI<0)=pd!63N!tiP4bMV4yTO9Ubn&gA z6;(Qd^dOZ*3nO8hB%52pwU+&rezjFhv348hZc9Rqx1AhbTA9Nntj?F-cN+NewXkR>srB ztCpTOX4w@YmF+{1}bDgi@2Vc87iuB3FbkUB#gj%8;rWV^)c!~G^M zuN_D`XRiy~;i}i|cOz*AxLbu_$cLybXqN`AY~eYpn>p(Fr6SuA{Tv%9q$5lVEApcV z2Utj6-bg&s$lkQ2W>Z>P^A|u#GH|JFI8VtQ5IivWuu^0}9~&TS9F6lnoPaIg-luP& zZ2&(M0A^Lo=de>VL4AedB=`aX1q;j>3e0QSKvIuB9H9Ug!B}_~axt*GLU*fj*`+b} zK1~5a4#3AZmLV}s)_hKjG+?|CGAoERJX5H=uE6qLYFo~iw+{yN==kFopkjJJ&lH+1 zI?@T5CX~bF>nyvRy*`jpgvn#=B=&KmH(q@yyTV4M@?7hRW?|5~Adwc#m5kv4-G+Yn z2gpM@$#h=YxT84U*PNw^no>`UWh^MGeFimEa`KTT(L9*+{{vHZYX>fr)PJP?336Mh zNy-(}O2;wxp=8w&B^|LYnm+)8pZxrBhTFY1pY1A_Y7kW_+`8xBWDgHjXgSRr zrU6}xQ27Y4dWKLg{(v<)nA#~H zJGs1>$^9qhxfZZ-ppZhJy4pv0^+e-`|A}gBP&)@|;Tz5R)XHSdN@dN=?m-sj`N7sx zMZIGX9*-1Fqh@V0s7{nj(}*kWnor&S<17KhB;J0(BcHXpM?5yOWGddLkb_z4Ri>-u zBAv>k1%52Dpi-LvoQ_=gOqG=dRzp=Vwk!CCBz@*}&8GcXw{?u!IiB^8Q_6~%r5s}H z7CLA(;}w-79jSW#5jZG5eJ;+pvLtM!4m$NKEC?~yAhZ%Dv>G7Hq6vyco-D3?S%QM| z4kol-4t7zI9xW-*|Di2mdcn_7-Ze_{Q=!{U16D|g)E{1nl8sq(TtQX=@5j0q>>JpbdHd2 z5H`96Mt8Tgg6RAA@cI5;uk&L2XAfu3bKUoK-S@f9S#{L5hA%v^w{EV(BkBj#MG}#r z{(Lkqa<$m?%&^xq(4^aac^D+J*bU90sm0&5( z%SMl{MlHxJ-3a2^eC85CIKYVl*qw)dL5iM(bH3Gyo71uVj=#QW>D_0*Y-D_^0VI0RpZ zwUM-~`)+3(I-0BRMoTFJ!*3+_U}dtZ!yH)Ex}0GNWn4;nhq?v+=F6v@Bm`N0l?Fik zPqVT#J$U@d>UQO^a8n=d=D@8?JNtxnJGcwAAzyd{#DXBD_E2 zw4*lF^Se?+ia|?H6S&lS&}uNl97dXmEZ<|SVklKNJ- zZr-(`FQL^h?50c}s<&5_Na;;VA6SP%v{WTHU8ZC-*+2p7>(p>Q<#ZW=(L!%)8^j4!`rmt5$tQu;L(e|=TX`%_fr*#Nw-KxPqLZ4d0BO?1 zK00rsJef1Tfe!+j!ct=dJVj~+yR+Ykhi+0dXQZp0qjr-$Zv-kD774dTe3Zkp?+Mxj zoN5~Qx7m(}oa3Nkf`{Gh%zyoP@bmHK{h=y>@Xmlw3;d+XBGQZ7e0jF|p1+e($LzB3 z_040#MkwLCxb)d*ZTD;^0+&n~O@?0T^pe@~0OIqzl>%E$fwnHTyMI*9zBs1yFc%!1 zs&Wwy-}UA;sOd&q*PvpO8y1%IRSAd376B|)1kRB=ISSlWY78nLx^Jm}Ev_XgsYb^6 z1%5TWFhA8M&@*3sWBbbM;(6ly(D1&Slc)b)+e|!{iy5D8J8fa0#$+qC|804b(^|MV zsQ7VEl6u;O&kZfL8VA`+f5iQC71Dl3%KXvh1I=4ywWDF_^*RlAZsB6^%VCGv&D!gA zC?+%8)VTujRb@C`c`s(iPvW~IHtd0yX70$35>GCPr9tw`3;YnFg>U-tv6+DcE?d$g zB?|MU-9gI>R-Y9>^BYal=Ex0c_gAuk1oWxf9K7WG{bE4s`D^4t393N$|7c=&dqqM@ zF6lMHoMz=Ox*amhGr=#y(Hmtv#he7@Zux1XL~pdlv8=D1;Qv>0J!rHpnQL;`9PWM*@9nhwhYjT{)b7e);aHUg zBR*FeA|DxDEqS{7GvMmPPv{eN{>~SN*)akOVjiVeAtvwIN-|6+suvUYR4VM6)yS3} zA554<3tp&Ac7dltP8ZNIm)~1KMgM3^;v4DPn=s0-&gm%|p)vPSp-s26^GpV{G@fOv z0C52}t}sf)>DUEs9Ajc<;nbaS*t>U}FSbJFhT!6|R*Hs{KaTE_4&^U#FV@)o5&2Ja zWLJ$iHhgY-(vKzVpZvH=P^2fAKp*8M&xK;D^nNR`tz{k*%OJ$2(zeV8?htq#YFu7E z@!B@{t_<#xiHunM=G1W&3v9xt=EKz0*2KSdU;YN)1G6?lryz=|7>fnW<~~DkRbh3h zQb)7S>G4@t)m&Fq!_d4!qZe=2x{F;;)f`iBRY5hv@xsMvrzMS8Y04PY`%ZC4gX3n%>H>;b zRa~I9_a;tkeNMiQxCa{hkPs&=k9)9HLODqPt6aGB8EUQdH(aPEt!pT&cii#1yP~}= zN9A1X?t066_;dQLrxaL5eDkg0H1|X2b+vk^_n9~4{Bwk|?Y#*1m@{RH;=KJ9&pcTv-bl{Vh9v5Ln4U30YXhkBp+C2>k{VUP+<-Gqn+M#}2Xo+{Q?Z z&z(G3`*x-3J z_9OELF*iM%=s;_TjoOQ)7+D`}_XNgBDyh}V=;-Fkd&!F*Ok^lyYn#_eYOloGbAx`! zFw=2kt1JB&tnR}=tp(B5?qxQH#AC_rbp0U#Po9weu_XO7MW{|RU@2)PLrL3gq^d`Y ztyZqb9g61L)k&P>xUx=h#oNQj5!I}1+9Y9hF+G-Ph|t&)upxuVis!QY6e(D>$ZX$5 z@f*l;by-?}y;quXf?jQKaz4Ivo56wwM!`))zjRnZASG)(tbIL0Y~AK3=G1Lh5YpS+ z#9Y(l%DvZiM5ZK<>io5oyUEzr+m9Sz6fI-=(&!DkMABrODWh?TSFElhu~rfn%G{2; zYP>zvzw=4ChoXo59(KQeq$FhB)*`kJd#!-|fsAI=*;|DDuIZ$mM-eCTgbto;4K;#` zJYE4HF$sP#DKi)&{}oB>PR6pla0{Q;qi(>nL6DW!Ggh=`M7rBXd@<3Wwi=0BfZ#m- zKS1hlSOliSK^<*wK3+M&J^jhh7?O`ecVa{C_v%6$aRiGapjwRl zld-vYjZd4?Qif);&vNy(VxvE$oiGjxj1b7orP6g6p*fj*9a8B+%6ObuQ$sMSLEPjs z3k^~?stx;8<$khOyGD$9nv=|^vQiYgRB2sQeDG?eggbGiq8Pc_ICoazPq2MnSx20XUR()JURi@0rJ=MjREy^M|s ztNvx_zc+pH1MwQ|R9-z8&i7|CMs3q^>qO(yXe#DjkG-eyQ_xzIiMX6}v(FCKTk@YF zHGzXtc0GejN`da9DiW>2K?}B!w=I+Quui@SESACl*cs*cLj_e{jAD;N>qSw}`j&lp zqL@` zIbVZkFyc9&c)Iy)jdT>>wjzM|9YmZ7GqbTks;J$5dXOVm!_O!D6LOQ zyVXVctC^wXWi(V(G9LHaexx3H$H2=Cz0BzI@eT4-ZDs6JU3U?BATkCV71fIwv4urC!tD@{`JRvD0tVenbt zK4Y59)?)~+t60xO&bF%>{fCmA5mebzm~Yy zE9mU}`LWC4=%73L3{f(YRGuQR;aay?T!{otO(Faq6oq`%Q}MJl3DC{qHYWK)Ox-xM zB^GJpDdpn1yi{rsXecE1KmG_P9!nB&cCY0>DRE_W#Zhz>c5sK+cY>3V%vpYKc4 z>1Q3WAjkKtrKQ_4v-*Y#)1+rPF(lE&Nc47q$np~(;f;8Q0oFi~!fG4)W7V&J)~YhK z;n1Hxt|fWrsT%+FR52-y_9HPzIpas7UoJ7U9|0yw@&4sTyvxda2;|GXxcD9@#AJ?i z;;Ad^AZL8~x?1btf>JZY%VOOlC0fc*SH|PSJ2b`zekx&cvJ@66kl=c`HRLw|aMMg|>T1m8^ zy5M?0R@PQb!UI+>O}UX>^5qI3F3F-VQcSvUSo?}BQP$}1n4iZV&JRHTUxfA|qWlX7 zu{b{1!-$}i(f_xz5mtS3;Xx* zQ+dg`%Uk;Y-bh0o`IM-dBv_RWPCCZN{)ApdI37RlJ1j6xb30UsAmGz2&*tOQ{5?Aj z4x0^|-U^boc&OF0*YRv!rM!IpGStmw?OUKodv)ob5HTH3>h>>pJ2qtnt$N<2k4o`ol}8G#QqHp_IFor z2{Nz8))kqC)@+|pvLSQIAAvSy{%*u1EkadJF2oFbd`A0qe}5QEkNV*Gg)j5f@B;8_ zxY~q*gBkbPNhE_ejBKR#kKOca{bPUTj=!*N78bGtk!qSx4M6a<<_XR?eV`4XM*_Mi z-X}XoHlz*bi%6k5Z9^;Daq?+0?nMkd!=_;Qz&^l20#zG)CNHhh14|95n!`=o@=G6O zr9J+;zSXV|XBLwGwZtzSWOT$9%vjqdHDvc8qo_|WScJVRJQHvJTeEwFqtRbTU^!`$ zag>K+JW-)krwPkU-X@}?&^eQ#N_BX2Fn!1s-vjWj#~6Mk6~pWK)p1{2I;+t;>xr~a z8tYZ4@Gm0Ggx-lTxY%kn=jmXGN$J7%3vh*hdmn;_hwIznUw+=0-2&lhbMWsidV1AB z8Ay1N-b+0Ms^nm2cLgDY2Bpx8);QhOlrDYF`Sp0BlT?AFXNx$#Gv1s!gIiU!yNWj3 zj_xq#!`qV#ZALg0-w^+ZzXua?E_s|faNbpyvf0qFHF4m=s6e=Yovs$n?Ch`~1d~H7 zl?;^pep|wBq_k+8ajJAKSj(TH;(EBWF3>mO7w=9*m8#M~g+1^JN{E9QLm6AGKY!XYXk`eioyA?T_$Xx=0h-Sc*!gJZwB=C^NQy(2LfZ03{)bUWH#CE!aBk+64o!KbBkni8c=ML zf-uYLtu^3EjGop1^-T{XOd4Qf|NI6^Q<4<5QIns0LbV*$;&h;by}^~H;8LqQ00tElaWM;p{z(3 zt#$qz&a5XcjPzRN)AaA7e}ykR-P~+6qv&S13}jSIL*1F*q@#yYq?%dvpq|E&#V^|t zpQ85vT4Gp|KP{k9=DyC(xyGiSPYWtzKxUePKsrD{&ObbPDfAIe`mk-DbRx|1tV_Ax znm(~%I% zl!wzTA}OJmWbG+On2VLNe9^869Ws-1g2Vz%vH2Nja^=v_#}o4mnH=nS*z}Il@}a>W z2e{JuWxya!RqZC;8wd|%G`Z<-zg26V-5^Fi)XAMg8VG$-1ye6vaiRtE5}BqjA9fZkD(H$8PcI(~NGT@ua9h(LvW#AgWI=TdPv9;H#s_&ytEntfU{dX>9Fr zfAh>S7}$!EJmpDh|9p~i)|!V+>+)$8eyt_ed40NmHWQeT@$y?DX7azGw$R-|P@#ba zJTL`fQg5T5*0g}b4J4TJa2+oSLhMES(rA7g>L4@OJ%cR#BWP7!AKmW;2cCBS9>`o~ zK>&~8XFLW40^Z-EYF+`934EtBr{CGft0L}MV)HNbdZhh*8MJ+Lp%foA*jI~Qz)2GF zik?69*P!ijP;htN+R}Y`W*_ikP>a~gY$JL#T$q=g>^oSJbjU1~Sx=AuJFVoWlho1^ zTZ~O?0eUqv(u^oY;QexvTx{IbON#@Osez9C%WiFKZ%DTWaqOg2ag|+!YIpGc49~a?=A8cpvwG(ujV*2OFJxt3#9Rn6Fo}tD-T5P ztqUcpgg5Pfbfz*stm&0Uw)6w_n*>yN&cpu?15glvnWU)v@1j@8A~*h?K%Si=`5ys_ zZbkTy*qY2_+J#R%v#H;Ft}b!wfMhKf34|`CdDamqxR5jSjY>PVZbd~wqWBp-n=km5mxuUA0q%``Zp(XtA!dD9K4`od=HP;y?Wv>$Kg?vc%wZ5~o2emK5%H*Z_08 z7M(h7$rEmqE0pOlxyRq8LbBFkwI(`}xHAOwfk2v+=gvQS-ks@gLDJ;Q)7C>pw6;$> z9K;n~5Byk}uwI+Hfip6eng;FGk56!LHZKtJye2U&qdWT}Y@3&Hz_{xyDy8HXJ9?u( zEB_-wB&{0}SPIVhQrb?`-ihFe4fSvU=uObJf&%g_4g*`gP3B5Ml0X7Jxbo9RW`g=l zGH%U3HDWM^?GbQG11qWH3nJD9L&Pxk(QP{Rw8$ z~5`O$92?6M=&Ku`I`A$kA1O*q@c_}BW{E7k4HXPfjROsixx!9sUee_>35s@4ts z;r>canNQLv$I)Shzwl*rXE7ILBaB{O<1|RmP5~7CFT$CFA+&|PUA2Tr)+@xWdlf+2 zwpV|ZE9fsN&yBmivab9=h2m-Q4O#0TrJGD7;ILwi{}~nK*bvEKvD$rUODdcAyMn$D z^#r2z83CJ6>-- zGsRa)KUAO~vHF_XC>e9ZFcX+=_T$XBL#{mm(NEWFxDGoUbjZK-yhxh%1-O=`BrYTC zi$vyN7)bvQCb!)a@}eNT5(O#@wygL*Q-uQc#CkxZwpWq>gw>aAFBQMCg=WVM&|vY+ zkaq(BwKO4cACO%c1p_U|mI3fzpA}n%u3MYF=_A+V{kA%7J9j7E{R%O*+9%k4Gqr~) z9;W%iK03M8%|QJHmO)DTzK{&rDyfx^BP@A^EEO6l8%79ZMe9Yrz4<>#cMA@Y0g$$P z4u8K!VyG;T>G@?hbiZri&xVMi$BRV(D^VuID7$hmmk7T=AKoENn<%S80f^VFj&t3{ zye%)HmLtx(ttdKd{H5ymGrWIZPy)mIbP|lX`#0!&kw;U`VbcO zzLVid`UYt{wBX?`;#F(R#r1a>Gz%BJT1{}q)+x`{{dmo_DFhi%Y9KD;_JY610-7NT zdbw?6V8HhWFWS3cP9&DzE;xTdGxW z_AJBrkWbChaa-~@Qb_6VqwyBvi2*}Ki$IYM#Y62S;&AV{?K}JaLNknN$$Kmcu{*Jk zodWs~slK{D_rs100xzEv@w}8BOPChxKi||6h&~OF9ZsHHHj}&*n}1wLMICUdzOUi_ zW#^iy*FF9EO3QqoC)HskOR_b~VLkDigc0E@eUmq*7I1!bw{^u9g>XTJD3wn;=`0Qs zT$hb97Bz<(5(>qe4ci?Rnqf(w6B<1gc1wgCe!83Xlz+pfNd#1u#$bG>Cv3|pz>~k7 zSt`rv4&$8qjD`yf$Lm=u)AIaYvu$O`KWdZK-9Tu@laF4x-JO{vthd@seFG!?R;i() zk^Z-dxxR=}W%$+A;cr;0tOU0c3S93pa)n?_j%m-^w2y=_>8KuzVozlPEGqCxv1hFc zR$eRFJwo$$tiC<#ptnlx7nl7Z;c9yvKIla<8&X=3g0 z;+RC>j5Ab5HVqA0k>(oCH{>SBAHvr+qof_fFnmB@6T0yA_47{O!OLtvI@ctrj|xJr zQy=Xc!%22KL(j>p3`=FIGfihyZO0pucPwVz#v6|Axmg6g%DgBSwi()jN7+5)+vicE zlU1bW4s=LIIHzFWS*Uq@d5*Z*xYP7$2BMI5evAo^zYvj`QriG-lieMGeXE~37BVpw z-b0A0wA|U;#nesl*}n$|VU)qgw0JG1#CDrsY!fFe`TFexrGa0HyCQ@-D8Y17iB~h~F77v%Hl9QL4I)(w^o7Yh;IXDIMsK*zcH7NLtE)eAmidFeXVCIh$2Un0 zLLF5;;T;?uB5&qs^ULP4BFhs1R!d_wKgXz1$OkeLgU57SMDn&Dg6iKkZlfB7ch8K1 z9*PHHUA4DYej{Ig5`y@H^|TDZgm1`gY3bZ^0w1IZJo8}D`_7o7*hUy$M3?OTY;A)bw%a7I6GCGoi}Bu-ZCRAh1Z+7 ztt}VeuU+_(o3B9ZlcA66@)Cpa1HgGa6?PJZWudlFd8(26*Y9_((T~c2UP+U>Ts$K! zxKliep&OvrYgaK_*jtGEcYCH+Mk5J%sqt zpgimS$o{O;-L}hed=HaG&0kHj{`-)$^4nm0f;xiKYJfIB7I=VunJ;FE1#^R?8rSo8 zvh}{t#}Rm!HJbqwy?onR+$x>6v5$Fo1&*qX{8#T>acw&K*X0*sV=~=4@_EzILzkx` zcvz+bflm5v$r&Bz3v|#0+7uYhwl43qUQbJjs-pwUNXVr>z?@rzmk5jI3|wKB3blFb zGsOxQXobTbg6;I7l~@O@uX{>Tlz*T~d)YQl>v~Fi#cYHQ87wcU_n~rg&cyK-O2?dT zDAR25Coc`Fl_(9MEqmFWPU}AZ&FXJ^PnLMsG7}#-;sbb*__`+}Md=8o+=EW|!QtEL zzXT!8K9$?)``}kOG!zA&FX=Y;4YMM}79uaZ;f;Ar+u$$CMb{A2(##Z?$XG9Hw=u;; zI#&B@f$rB0MAc{Z`e9A3lV;%*m>+CtV^x{HP=01%7=*Kw#p#$aFcD?DdyuHli~G!n zdJelEbGlmy#ri!&uLCB*c|^%$x}%p`WNy?ShwGCRTJ|VMLm%_x-PKIQBwJ-fZlYCE z`U;LPFh;6*Z|)>zGbHMSAGyZ!O7nReZG&fi8ro=5BEZ*w<#FH}jxT*r<6IA5tS_KY zgy*wjDJoo6^m?@qe6%7U`no?q62uimJ9zy>6>+f<&gcRiM?tOu%e!t>S&^l>7Q*K8TZ!PRcCJX*C`})zTj=PMbt2&Gh@uiCwyfE-_JF`qtEOO{g|TP# z!+Njm^}&h(*BE$_E2 z#re{+NmtxSdq!`m=WiBO>KB^|YS9G;BX{Q0S5{DP&bl!J4V4iBEXF}^-rmP?Cc+qv zobq^Dhpwt!fO#8BW$4`Rc!v!tSZyD-9*O?jWbDxRO`MW6525IeBE5)Hy@(r@>@e}9 zcY0lxggAkLD6F8m_D~u>;0EfFw+ipBAxgj*u%b}0Q0!a$7flZt8`>%CpvLyuR8H%U zaS~Y50&VAfZD(u1lRq6E^f>7N_nL<~lQc)$x$yo5(;xdQ+L!B^s(e_uXyxNhvD{pq zd^bV_*eENK0A1%)igi=#9+Ix`Qmu;@Zh<#dy+yCiFs9}YV`*LZ2Q8rig0Tf8Rfpc9 z>XQh(#k0?rdOg4CmI@(ny|I1WuqbhZh7vc%RH1Hhg)TljkMN9_zc@&C7~0G!d`~`kVuxj1dFipm>O#h6HFAg2u~DRKU%QwB;wv5kkWxx{(Qe1T z7n#0v-os9VND4k98Nxl0+L6z{Ib#0HE4hymmmn3E*d>-Vic#d#(J)*I{<&)r-!hCra z`h}1_KQ%LkQW;{U{BM;vYmhXHot4JB%=OIkU8}@y75ky)3s3ZWl6hV-)+tfSHEEX( z@-=)t=E-qcJ9Y-UJ!kPsll>0(fs`k1H&Z-L(=4}Gl>5xLK%%eN$m|m#1=x>Cb4>}) zB^H@B$f!8zklFh7Ph#+p}!UW8MMT-dLT2F zz_%a**nkL;ay?5-m%sQzr~R2~ zev7*odpW#Y0$PuQ$RvmsK%Nd1|81JjY+Nskt;ko?Q{53pxPv*|*NA8hmfP=ms_g0H zR)X@AXXTxWi0Uf12E2yjwW7}f<9q{%>+kD@&&{;bBOp~r{B3A%FdY}Axr9pOY>!$+ z4-YzNJARV$=p~=01UgG~9!Tr&b~9BE*SMb7a1p zZR*jzzem#0L@V(3Ai#c{8R8nc*(l8Q>ghniUq2)W7H_pN&gk=MUVocH3Qf@fq-R6d zJl#+Q#;o@rhk>bnqTEWA@=x5p$KpJ1;?CE1sxd^q2 z7;p`$;W&tddp?+t$IFUZxg<6|0c-!7u`&CB~jk93a0sCY#?rj2sdNK zkeRIPTtlF4Cj@EU&$?c|?6w;TBelBKVVKb{bvw$4soJ>rW+ZIBuI!Rlnj;6sLy_M) zVAg?a`A;A+b$+l+SX$6lq91%UjAnEjotA%P9p0yNNU+%z^#n)ABEuy8XX;?J2-Em8 zh?%8H@-eYQdzBr}r6yw1{h9P$jYH;2n}o~TG(l_MWjo)`F%IJTahdx3Pge*r`HYj?Y)$FE zm|8zKsfjb<(>_ml09xJlBaVz!$HZZd)>k=4&(Oy5oLApEC-+C8X`OrK_)WEj64 zMJ?6Lb6g>uH*`74dv7HV>?cJdJq$}~)kB-VNWgcUsUYp~THt_(_))27{PGlDfWG}U z)I>}3ZJVyWyXb{6@gK(K?)*7Kznqq6Y#tCLI%3yx5Dk-=H3U`4eGI0xNXu?UcaZq; z-oi_p=>=zQw-SQ4_3SBLs)&Vy2c%YF2i96<42h<8hTbgiTAii-SF%VA=$`rgd6cFH zYwR_hiKD`uMmx9*#q!3^NXn%_e_gd@&s)Y|<<$^zl7nZwX>2SYEYSHT)kgc#s zI9lAC_k4)eYqLtdy82xI>0vA@Zjj_x1-GU4ZMjnBr0|;fk|S&lO3Tu3*7>rqd=yKC z8?48{8;kI{GxxKKUFdA3_@x+MZ<^^r#hU$)nBkIQ+jC4R3-@ZX@crq|XWe@I`$z^l z6)dB$`%HzbZj}i_Ft(h)a{jCf-huPdg%?ve~{ zNErV}Qn$%9f#$0DQ#~s$vDo0M>XpXjsY)APDQ8)C2nZcjudiF|GLmCz^@~t*`^Ikv zJp|n2R)A(uM-g!yRgzlOyf{l&glwx$C|gO(OYL#IX^-2J6M}d+Lzibw2xBiaLiR&z zFn5R2o+WGV@4LP5Dj;g^a~BmneVPbn#(87yul`@tf)wqOA!c10W*fM>d21rb20d_spL8mK9teArWn& zdRs{}_r(Ri|GgW}F>`u%AZh@q(}BHFevwnb{f?eHKvrh%K)K(k)b>@oKlNKb)Ie0y zW2SXz&(~P|pmhl2;V)CyGXS_si(e??kTlx1uCdj| z&iBRH=BDbU8mh#D{{a5t^!5a8UQV@qA=Gi2EPQ{{ji_+q_HN~qG>5*czZ3m z5@QU9rP!ABIF3};gJjU9P?w`pXn?=8T2rh*n1Ixco_>W)z@^~>orLG0oXMT~x;GzQ zEu89anTYU7g%>k=J}miZ79d<(whj%a96n1(%(Qj!jpWXl&=u;wE@m z5chiFcxqE!XyV9Xes6MYdnll>P=y*^1r#FbOO%3H> zMg`e5=p_nr;!%dV&om^7%9tOZ@;Ng}5&|c?attgQSiloBbE!MfH&y)OQOt8ytg+h> z^^Kpn)rz7!7WvEPW+sQ1`oO{1Svd5xY!v(U$h$O&t7c-43=Gxma<@+3?b4H)51IwD zjc*`jIuJZcl0Nf!d*18VZXmAZ{#VqLnK4WVppPWEOky4;4$g)aSYb&OEiQO_<+g6Fkugl3I5e5Dm(oBoJTgVbdf*FwhmRy(1>Q^xE;=hD&&sp5(TV`4DOO=YFn zY$o?2G-(aP(Fb@lJ=v?3BNw;gYx45+O5mE3*Xtmm#Mlf$Q9(BGeC@}taX?qOLQp3zi&n*%(|0iGchQfPa{ zoR%-`melOVkmo;j$8^(egx5|xnyUAQJ=ZQd+WxS|^-^ni_b_%8?3=|SM3P(e$I+*! zG;>M?G}oi@WlrC~wA8@Vv4KJw_g{w*uFfBq>cVj|OR*qMO_6}#U(w_BIhy#w+WITLt;gW5o>r3|vQweZKw%S6mCati zsCm05_KLI2X$ie!82`5m-r^wK=I}%)Ht2tRL)}c8bnK^lb{#kLR3Xhn*((#omD3{Z zRcPi_2E*af_|)a4q~Y&{->YK=8VlTcaACjrw9FSE{=eLe?Rxy;693gy5l?~LfB1?106^A zRz7kRK%I)EsjNfLW^mvyR81>zTu#D zEiVB*<$hC59mZw{Gh_4c7LQTD9_>sCiSNsO;F+*a`Y> zg_#guU^m|Dq?Zz}Epg&#SBZrNZ@UnJ65FRnL!MJkto3(wUeh$PvVuzjfi3V>`mgtZ z#iUWRJvj2OawuuYZBzI3M0p&xZfF%}7bk(X0mfm)9a6_KZGqA%-unlnyx=!tTgu~B zdDn&n0yfN)0!89o&)`rM2dK)N0-mJAJkVxo?0KU(vpp;GFt%HISU5;Jj|pl8ONcAb zwN_F8(6Sn{Kk}sia+SVTv{2dRWaVXHY?BDDG{2IsRMa{cW%+Y=rd3vN_{q#I#Wd2= zy;ErKJNtNFm+I`kBBfTbO1UHP>0Q~8dRd=r@!(k^hL>OY@|ZxX$8uYk8ORUtn9Gqi zpB>4QU&T0QY?1XOyQn9##-OWGsKWi~AXK#UdCvG}ip2zZ>_KPn@|?RUhIso5#>rNX z_iEUyGG%^jfGTMgO$PMj+!rFIHWd(Yb7Rr>wLY|rGxN;{DF)&d3f4VBNGPG`G9nKb z>h6k*S}47#obbu8}<*KD6??l<0W&#EqqRvp{f*J(*P!ub?$JolBUH`^{(B1_+XQ1k&m>UUdf_nDzU!BS~{ zwWU;55wc#oSs711X3=cXbsp4S*T`#-_}>!LqnzN=zugy03+60zgMFluKl#4c_i>*` z9X9!Nz6ARp?&zvWd3-2h3C(!HJ=#CIHL zLj<+%MsEb_K!~@Ja-Cb0yfZF0;8yR~UfX?@z5h*f;65`~&e>3-SccU5uotYm`P5MIt#@RQjj#?odu% zztm!koRTCN^VCY`Dq>{SwMg^-(8atd%s7)^#HoFYu0(%>QxC(=-toV)zK8CQLHF+mWq?8T z9ddJHJ&ACjEkU;Mz?(ZnFO1;{8J-RtTZvqVdebHTrb)#m00oitg^zb?3~fw?mb)FfAD$rbNP9-m#{4md4h5m{!B+Dd%Hu$BJwiAr z3yLM#=ue}bwMc#PkV+mV@dYn^(4y%X5l)Ec2-HH;F{5-HnFnaw7b`R`>3}W8tL2GI z&slPP6|IN!qqzxwo9KdXw2~ik`_ro0ZgSD>7)a^TCs@&c5#0 zb%&qw91Q7vSARpPA6NY8VI}L_aD9=3Qk#QP3uQ;US)`O`RM9WgV}uXBA1?E#e~>23 z1&z(A(NnN(Af2f)^VhDDpG{i)5S1M=xs7?(vM{AjsbDh?y+CFL3>^`;m4J4r>yc)4 zNCf*%1a89kLxDYcv8F#x+8_4>7?Lzn%|(S<%xULix2Mf~y`zYyyHwKigLzDiGHykh z*MY3ffvn|6N0Y69bPkhBWZQBCJZ+C~(Pf6|;Mry))m^6y@XPBRDBFxPQM`nDXJm)F zSLnK#FL(Dp>A*KZx%0!O1Z6_;e}F}s4i}J{@kty6y%?qQU0iY`r#dTz*(%Ew`J$8d->=wUO@j7Cyk2!44!`jQXQB~_ zl+MVm&{knWv&zK@Wnl4lkC4#+>4jYOe|^KbNeXg1lFleYK0tJ_&DOl4|M8iMNiq^!fQK$X|>XH z*}>PQ4W2bTAeJG?g$YL7L>if2rII%vd4ty$o_)<&VcdFl{cv%8c^V;d|I)(NvVgCZ z!t*byZE@y^1!YkupIr&s6q$U8fm*ySo4u!bHy(8#Jd2sHzi{@=X_l=qt|Ee#k@*1Y zH15Dy)U+_KIg5j=>G|$YM8J^_XOJ1eP)~gsO${$mBM^mdC`*4T72Q+#S6=@4qziXg zg;7iWC3wH_Ib$#9Q1O)W=pjsyGu>X=jA+9KTls;xrFvYDkE!?$dxNPaS5=d6b^z;m z<^V$t2K)J{be0rL{|#T|4a=sEH4H(!e#>wW3OAbV%;)T_A`#%LyU-kFD_sKtLo_th zdsxUu96b(X<3!%3NaLCTK9xHWEDPzlZtu6heR7lTxMZNpoaA2G4 z7UYyXkIP!6e`aU=SNg}pzMfMGecbxKo5k`&OM=cQ6TY*>9lTW+`O~g%6c3NQX)FjH z2g~`Vc$7oG)(?OFvAA3=JFdawXv4)u8&G1^DP2;2C&W?9@!m(fx{+j#IEk?H)$zV{ zBXe*VIfgq-f^vz_w1d&nqFDUB*H8paiRNbldQtVl&@$Hy@w><#S=zY|MK#q*iV(@q}h zw7MK>xl&c`x__Yvx81jhkJKRO>ysPB4;LIv26=uHCM3x0Wuh{WHPY{(6cmk)y?-Zwk~ zT1|_0PH~CD>Sz26B%>d`{y);*0w}JaSsM-zBtRepcY^x@i!K(N;1(dbySpufV8LA$ z4K5+LyX#_s;O_3O|K^qZe)WI1zN%Zd>J-e(Ij7G^Pe0wgGd*{d2qykr^APjy(S0lQ zP|AGOkKc73$Wp8fvBhgEzBhKoBTf?BzGh6olnbifJ)QN7x5gvGThm2>PYLc07d0@aR)LKRn=FW=a6c_K%`>WUALt8z?wk@stjtP$`XkwCdpCGK&zNiv=LM|3 zV&jpLlH3UeK}{e~6TS_2R>5De@k1_l$mE=+{v9IQ3K58p3P*tYPk5#cI_<-c3f7Ah zLReJSAM8jzxqsWNh>@;=2u_m0_1o7rCd?tkh6KhJQ_^O#mcYL4P%B@{};M7Oy^Q^vd&J!fd9WjODYx zSDSNI?{tE&F*;W8xg`d~x&{-ehOWN9Bltyyr{Le0DvT;y2@y9&e5t0`PM|(#&?*Rn z1*X|XCB`=G2vsvQo`3TZa}86&1?l2B9XY0(Qp(rUX%m$wpt0-K47mkks2Nfu*5U~K z9mVFsLlOHG7ru;nmKw=SS(# z13T$-%1GCf9#h_wJ5G~|{#V#LnzM30I^}+-5;$zG6MbtOibs2cnSzXDvCPN2tWowv}>A3ZD$ zB+} zIny9Y0v_Rr`|GhV>Z+z*1|@3G`=3fhZii-WJ6w*)`ahznLC8}2M5B$f5e48ovUfk0 z7-7mCAE0S)>GLuWSxYi7kC-W4mJs?@)_y3*=8D+g|A5P@>Ua^Vy5?Wp1ef*k&yhca zRr)((rCPD5$0{T^g2s7IARBjZ4~hWD1ITfYvTf#X^Ul-8nIkd~Q|@XMZH6DP9fv8& z?v-*HKD>&c`sEVdbOM<*d>K6ecBu+h>{RWJu*=#&Uu%{HBrla!Ig7H4~5+krxw7>F8R zr24|9S$mL9m)qa9V zx(hqWTirY&G~P{8X|6wzPs;VWHndW7v~OHg(wn{I0BflSQ0hIG%>(3)!ri+VO!TF=Op|N!GlY=G4M)m^L(k|HFZ2AUHfn{~!Uj`GAKpBVgdJ}Zt!*7eJZb9mzV+A? zfJiCm5W)ZtORY?taNM&Hvm6?{Q5 z9P=+l9R}--=P+a(nFNa{J^f5Mpp{hHmuSniLC>|Vkz_q$5sC{cy^wBZwGUY--%i!o zx14-xG2)H7-^;67>&sBSCgI4E9B3);5esqJ>QuGb8um2cB!o$8^#QuRusKueC*u^{ z{!9V>_2G924tsOAl6@dLuI^SQW*PxmH}xH(BA0hA(kKF~LXO!M9G^cHhuYuzBVyy< z27b)Wh}f!P>NG~}GA9W9JdE|);h})N;3HxMlJlAfz#|ZcjUQd9b`cFQ<$3!09JKji z&7>q4Q;vaNhnXpZ)ZfpM(o>EdUPcv(HSO~c8}$^%onxIhOo|X$P{m0fa`tJ$90b^X zBu8+@D^2+<&sBYn0`ou+j*37(NC=5B1}2(Aalbh=-e*}#2bn`h6G$StoG;gKv^=1P z;nu&HKs-@FmJvM~BKWXYJxLb)*5J^$-H>SlZu%pZ#0Mddj1nQpK?JqTqk@OlI|Zb> zy5495jmKIp0ZsIV;*V|Bo)R?THR}fxRw2su zi<^J%K%i#jox76rqq;EVk4MJLr-X2)p`xFHZhh@jmX`)uf`?per83E zaWn&xngQR|2^Jx3_sq;_&b0ZJgGX#qfDK8A?8-7*sugmdkNu1Hw+21~ke$JFbWp=p z>>_F*w#0#m4FiOxFlic&G(!g@IA$HnY91bMjznqLeQ`1y$zf(Kj**k_C@c?pP@~h= ztMB-;#;2nu1B3ix!8jp%=3j^Q5Tt~Nxkk{bc0f*yg>w-_(bmc)>Wg9t@T=CoJ@VwX1pdZju2()yLpiIc& zNX~3g&U>G@eULRCLJgXiJJq0Zfhf2#X4PP{gddBxk0To|CxoCtf4qdm;d& zt`OL#T_lvIEDn^D%y@+?jzcukKrqt48w8h}*-9}L5spPC8kFB7_Z^E?^dfB$&C|xo z$u#mR23_tRTVF>`XOzfVX16g9Hyz~c3jwUz1V<84O3N6`zr3u z%E3X&4FbigNCSp1&i&7|m!@G~I)*r7h_|Auk;0@Nj@S%&dnv-INZ`k9F z2Mp}-TKL{%-Q_W>2J!Pc_M*iy>7i_=D)te|p54m#!qhL`V3c0=4g=n6&Hy8}fb|GC z%?4g1AU%@3-SUBa^bdi8P`Hx3PV^`dsRrzEssIT3+Lc~_xaE%zxANT35SjHwvxV8CjH!Um*KZu2-nqw0X)um zGZXe7KSGwFp|DF8Cgh6WfPl$eeOgC2N$-JDb-hzT%s*gJE#)!t)~`EE@`W5LFG9;l z=~(TA>;SzXOh`c4(cT-lRSw9nksUE?heJnVU`>SxUr=u3$5liv}M#ku?W{+}x;K+%f!Q)z>d5yxe>- zGP4MigObZqVVNZs8KU| zvidi(n_P=*x++1gp2vGZz?;0}NP4hjAPT z1f&Pqvo5udH{e9Cs7Yl&C^HnC5jaS36oW_QzQHcwYl9hQ*m|bk-+VIge!5vKq9aU&dfMu$Am;zz62@Kmt zXE9C;3i=?rx{XWV;H%sMInTXNAoFMt{V`Edp3aF%aMjl(aKARph{mS;`6%LZ4ZG-+ zELlz>3-#1+x8Y9740607<`hKLaeFGo^SL|m0|=&`PU&~*RF3*Nzr1S1E-LFCs=Kn(p+!Fka!`-Nac)qx*gu{Xhkl({;0{jP_=sg(Nwq+~VhbmH81ol1?1SmHKd_0==-%m-#gY525~R%arCQk}!0M zf35{CJ$J_bWUN5URLq(+--h3jK=wpI+P<5bF(~6S5PF?zzPiq9-sId<1Q<7MAU4zQ$? zg6`K5e?dFf6pF=O+qexBT9C%+=2!{Jnc+-YZb`_{*?kYsCojf9yD4pN&k*(;l$Aeu zK*(gPN34B)b7V(bZqb$TCLsz@uf3L@?@w*`l9rU`@k2+fX>}U!hEUYlYrQPd>ys}z zpEW$!K*Y&m2*s~zY6nn>;$10VoyMdL-Mpo_=uGax&uytOaeGub-GYC>na3ve<9UOI z&F35HRU|gCUAr;3xogXZ{mK);DX+dBr}t}=ZVyRwiuGJeAPT>Wb|f?59o9r#2o8;6 zl8(TTlh>?q+K6m0U4wV{Rn^W35#RZNc5n`r#wdQ4k8w*%q-tneYXTp)?xvKuMurUc zwoF+nTC>%L_z*Y1GDx?MCFBNU_NRy##}^M0a+GFhu`2t`Yn8ds6C_WY^jp@eyEt3E zYC4_*Me;kWpNSDxdDU)j`mf(fw)li@obv&%>r>h=d{cq0YZoLg55v7 zKHkNk7-oLtYoyIeF9X2fVt&?lyiD+zR3t*`Wo43gtbHR#eyieFI zJmq-LWG`)*fIHa0YO>n6+@VjV;b?Tq&D^e8^~f)0=tnu(5bBED#`nE~vE<+JgIlVm zz)y)Iw2nI&4Hub4xQ$l|pUMqN6KCf!X|o|mA581wdq{cO+7f->Xe_Puq2FYr1BC^Yj#iT3aaQ%-LsiHca-Uye$7I067;gUmw#2woHW z%Gh>(PtDX|&3LHyCic(7928O)PEr@+D(fuxFTKon$rGu@K<8tct@nS zOCLo8t}xb;7o&2i;D(x};RQwkyPpBaiP9XEC=J@1sc_`u-a?$-MQ6E0k#fN#8J{na zXazrn^oL-f#4#ZP)JoN0r84y*qeF%pq)V6A1$Vv%PB+)lJdUA!$e>#BW1(JpB#zrx zq&J#q9_hsve6E+zzuh>3-p@+J^q>3d(m70mDU$+My=krJ=f6egHy{efGKy-4>ri{R zWpqUH!UoMIAzVgOELuO|eDT4*`1Nb^md;97B`UH8tSl8AYhky~X7^6);D&P*v-{IJ zl2*MrP~d}&hqtUU)Z#ww(x+c0ZKjVdeo)qoDF!9vo)bb@xAXe#JySQyO366FVD++?5KrdQ1j~TQ&zh>?8bo*QQGG4f zUQQ#o#RS5-=!_5Bu9s)O=)3@?)H>F)R-r|>4n=P;?6X$Y6K#;XfsoER&wwep*HlA| z4&PiNQ6oqmuap*Ed_U}i`AQD4o2z%q7ASevREvx#ysyUtFqd+Y*C@~9jJ#aRb?d?d z6$4pradLLOgNs@Fk0S&|C-=5^z5Mn-ki`Y>Yvj$!Hgn;#MfWU^z^aK_Ol1V;@t~Wa z*oVHdsz(0FeBla*^wD&*>n$YG`aQ8?m7_1kU1(ok`e-&jRz26@-G%==6pl)B@%byr z1d%@zTy7fw>d}}>sZ-E-LzcoAFnq{9V0G3lx!Nsj6K#DnbApxPH50|ZgB17vRI{65 znuCsK9tNUg7@Gq8NgL0k`tA9PIAv(zpTu-`f-8#=8HqFIKWms=eBLz^@`vCGRUI2&j| z5}-#1$4QKqKnLuVAP}fBa1>18dZZer@{tNNdG`*(G;L;-j;?9`qrQi^%j@-la(nhL zvQ`0|(e(C>14jS|Xt)1hWbvmbI;HA!Ri)l;FX=?M*e|bDFw+)8zLWk+o(h4Lg&@k< zhX7isZ$Gm1?{TaZ$rH726=EI=BKI(uZXOgPSeNS2XniV{ka3tkvj;GQqz)wT(?MTt z(FF28w`mo0a5Qo_ZqC@V$W#_4%j7;pd!&k#_wA+0Vj*a|MINFK7;p8ksub7zb$IP+ zpo7ugB7>ePYg%?Cw1eN}J)?^+RQQ2t^q*vJ?FEN&qB9mHo!EnBOR&jI6 zjyN-6_*1>1HWm_`@f6oosUvt-cMku{TOYNN$zF$@=ju+)jjjGZ1AyHKqzl^7Dr(d> zYX7RqjGFJDtfc3iMe?O7W&9f-YU!KX`{^9%CouiuihF^oycZp7*G7KC!b2PHpYL&Z znixGfN<|`=G`nvwP5r|=Iu|S4%a}8|^1u@hXk5Es;>%~s?Ze*1k?s6%{O4^Xp5EC> z_i(*3%00pSICc&E@%2oA4~t)K{_G9|GBa|N!sW|Xw79pzVf%smGnn+l&!=Jm@NTD2 z-K)?mrEJZ?Y-hs|yNES=9Z@;tOeTJp?YevM!z!4xT~rkhf)o%p`o%@=+5!d_O;A(H^fnAVdoGpf~_ND+wLNSp- z1Sm8;-*PPkNf-9y4%!?9zIR;-Z9ZWzHE!`Tvo)RI+^9T%;$%(nfN5>v1%&p)x#J#{ zA>wv*Yey{dSZ!IFmo@#Mw3#}(_?iBpws%Yq(whqWPOx<(guq63ITviKrb9=cPnatb zK5Z_J_{(^7JW{^mH75jvZN?sk_jWq`q|(QvRDKuiRZ`-|HB|2Ns-ZbCAyVw-fNeW& z080T@w7&q6LvN^g7xm`EM7)$#KiN`>fR^VT8`xuNbZ+F&URv~`xzv7jVM1g!t_Ut3 zBe}0}6Ui0>b&8~b&S9s2^8xmRaR^SnXcRGO23X8V2R6mD&y?mI5qM_kjLHQQ>9;ef z8JW-4sBTWG*fXS!Ucd(D8!>ayvE*2!9x^b_7=TMX$`+`AV*)S~5Msun^D4Rj1;g(- zm&{%WaR^k=*to4^QYQdix_GgW4%V*%)0l@u{=|m}wiIDUssR;0mdJ)d+%{V@lQkQi z)t_D;S15}2lc{hI{)x9^ikEq2S+vWNeqNfU437zC5pUS*o2h@_`&;$!?e5uv=rIos zA`h$0Hm2M*Ya;CKaNU6X4NeK~Ws%)(V~E|PvoPCTv&lL7pbdMMAWutcKE33nyFZe5 z>1zQD&VS(WdpC}|cJaD*o0YyM!&t{viapZv{fMz2hXvI+SZ)x|(A;U2>@k8B*p(y* zR1ySmUpoR&&-!Z5{7qig=Wu$eEm7PTyGU=;swVUWN9x%0?@n7S8xjlg?1@h6bowy+ z^i7B}K{h^~vdYYxw{J-q7FyhdASBBg7y)wK&LKxX6SH9prorpEHwB&QdX#eAOX^hZ zlD;rK<%0x6oc_ZPbN&QdJ^n1Yq$4W7EuktVkK;aw>9MWG$+FjCSuH&Q^xq6o|^_n zk0|--bM=-S%ge0gH}#g@@bjGWI+Rz(=iVe&#S2Qw6nx3=it@ zdVd4Y-+oV?)ErYQE9K$fW-SV9z8ltbq_hgBHyNT%1ZW^$xeo2VWg_`R$nt9 z*iHWNJA*cChlhDE>abUf*z9&~t?6+M|75*3lfQ?#W6=aM!V0}>uKDVhpoaabcl=>wwJG-*YaZs)mQ|mWLDM75aZm3I|Wtk${?!`WU z0q{8`7om_Vh5g=tJHjX7$sF1Eg{pD+`N)^KI`mxp#FNzqgpE zEG*+w-O$G_<%Oo+%a+Uj+4K{mcaGfAX|@>@az}GwL3|Czji`13B{u z*3diJXP}iKw7Lk7_aUmvWdU@9zM_e2Z{LZ#Jf{!dIeV=Q+W(cWeObU}kKBW3r^H5IbX;Frv-!PQNOrzgI$fQ?6zP~Gxvqxm`9`VMA(@; zqc}QK1xIjzL(tW@ji~>vA-AC0^&u3pu#FA-sXOM0Uw5;mIPQX*dgpT{$9wnLJ)<4@ zMPSx4O;lP z$4za_gDzJe_C&wxJVJb9f4D8R(*>B4=suxD(`&xi$)Y#JX)+8ZUcI%W5^(Dv1HX9x ziq{-wi4(yO6PO;e0mXWsAgsAR)KFkpwDyAvu6zyRNaw_i zOvXYNy*Cc(acHu?y?Y7|Tx#GJia8WU)fUC6ix^mP-#qqaRCW>UUHjC)!?l8ma)*%)xFkGI>!JV|I88$R%Ah!XZ$IhCW zA=&Si^$S*;vjfrJqXhHlX`Bv&qy8yf3rIQqc0N=CULRMUU9m{A$61CLj0&G#EjA>i zAPI5;nKbG7HpC(&fY}W`yMzrVNdG;flGNQNax6>pGIT0DuW?W|Q?_#FI1G zB)i|M#5v{tUBuN5vu)-EO)fb-mFZ4^{AdNQpA<}PNa?Ln zd57)M2!WlTBAW7g;AB?-H=qDr?#O+|*Vpwf9$p@%*f zo*~&#%NAY3pKgmXSsv2Lau$DB_wO(aVWx5t_Lo}ON6%)c+gFmiEA1itm~ZMw@Z`R1 z?$w?QYh?=(+{XRj%TSBHzZ^lxKW4U=;@0H&hF!28D9G(p&qZf464ktqU73|GimR)b z!$Ywg+0NR;znVXFxjL$vP!U}yIAL2DNc@0j(Dqzn(w0G!lQSX)*V2AVd2vJ{ALu}K z3DaO_g|K6i2E9WUxLg$o>qj?x73DN{ALx90s3nV4M9uBKm*g(@&6-`r#i9&AnoZ23 zzK$|w0|A6#SQ&Hr{^<}85_69U2_%kvx~K$#Sg5}TGr4|jv;?Ci*DgET-lxLYkMV-j z@MPMatxT}FT1_5b%q8w0+A-YVDUsQU!4TkP!s63c2ptTaAN909thIyB3%|apN1bJ z+guF&51x=Z?uS2V2SYJ^lhpCAlJHy8HDu_`7n#b-oq;H}N|JGi&*e)FT0 zZLi_m%kBo3{3f)yG|LU=kE;zCEYmxkiIdPB7hAeo`gU^4JTG+lj%QB9RLXGOo5ya| zomc#wB8djux^Q>Fy)GCVCe-L6jszOAM1C*9fsN#&&QZ-@q1L-3tD~S39|E6;iA9YrRl9xqJZus?b zh7tAe`pFt7ylD-5)`)S|d~LyY@k*yvA96N$>vhd^^qP9~`!Ubs zt-!_-=7Tk3+}0akPPa2MAkO3y?{p_DJek)R9Pw}@V$WjqB&am#rosh~F*C8Ey>hWO zax=FyG!x<8?@G?qE7*=rey`52i`TEx_sJIh#&{+m>Zgu-X~3eKt1xRWZXFXK3c*@O zlcNgVGcueRCQvZnwShinNi>Ww)4Pnq>ZMh0|6!Lt>)!g-A$P(N1au)3Kat{eIH5k_un= z=EOz%elOeo@nD;*t+wdmr2WpU-NX7`VEHj!_l4VcMN1JaBHnV4Ep;p!qr5l+?eU1A zqkp`;<+rd|T7j_Z!M#^qBQN6plV6!e+?n6`sh)=iU=#tGRW|V+Vt-W5_T|~P4Pfq9 zzWTYkIsQ^~QR>Qf1<+5O7zvBN&;*sj#}DhUEb>QVN^0qYl9`OfagwLAl4G^j&-XXx zLt^hQxbCG1b>#tb2T!27X7%tnvmvtjcB$d%ueIdAQF{|qVYcgaS#EEvI{p{$TTn#kz`R_s=>cZYLS&#!I(qcloxz z-(=-eB8f-cJ~AbX?_eIxla~0Xy8W{CM~;K*cf}ho9UO$ib{Sy zqUt|c(9Q1^$+!1&BnJt#TvUeuDojP61KK*Q+ayf4FB+Hg^)IE=wY{GgwM!bvpA1Is z@!Jw!ZvB~VcjtZGmnS@*SF+Ij+>?fd)9gKYv|7fhKd4T=$IWY3EuhWXh|M zU@$up!#v}SY0WkF__m$T;TLRUj}MuO2g1Um-wQ8RB8SP>!~Ld^&k?e=3NhQ;R^p5N z+&zxkb$z{?mEJkKEYewFuu`H$xjxv3uDzc1QMjE3RG5_& za%X)SZUixj$f>wiGls3_ETBTFg+>NTC>o z*6-x2fY!Own6CfSrfB^8%+7X2bGxYO{$*AwPiSZ}?a9P_4B1jasLBDVyQR+bBt@P+ zoi&_NvJDi5h50@??5q4`y3;d-RKso_ShqqjaLm`E>#Wg zzqJ-6ewsgdY$`t5DCeZB9xW4Io>V@@e8fFIqrU-z(>ke*w3QwhYU?Pb8{I>H?v^e- zp4Gpg)dK`}OzljZU7Sn}ZBbzWupL zeI+M*V^vcZ3T=RrxFkT$)WZev$reUU4ECg?y`9TH9+G5dVyEC>k3G!k+l|eINz<-%rBoAju6YX8%`(BzY)!{`sPi5Gp`Z(a6fw_@DGGZP~ej6kKfF z|9tCjmJ^o!7wx}UE()%H)BKy|hGjYa@i2_kUut5os>0gt414RJUxO9?S2zA8`?nka z(_jDoI6&3a$mJhB_>V@T0u&5wVcq$U`k(?dEKOW2D1aP1r~qkGOLGes3Qi8xe|C?& zp|drGi<2v?*TqeZ?M+Po_VVA}m9(^RF?FHnEvw?DnQQE&KyRGi|xOf|Id+r ziz*%3I>jHgz=ngUM8v4}evkI!D?8&bk(7KBLWXZj!DxjSNjOF0+|&&zN&A}bS{kEk zY`|<^)5KX#Wcwdm$9`B1Bo49YXD<@v$F&OEOnq1}t_}KR`-2hSPG$Ea*!?blp-Z~v zE3I%tx%HbRB-#t=o=GKPG{DO%3_N*KKVFh^|6onw)gNz`*-eW$QyG2hMfhq^JITo^ ziX9|Flnqo8BW_2$ObHnAwVv0I2($R7CP*4tM|s2}=NBAM-GY@d%jjB$_>g!|rXF>> z#j#ylx;qp@s6e3+A@~-2{gC)Q18OZqFQN8M3%UaFV0;&yw(TCY6lh`v4>Ys#V?S)q z2ZvgTjN2xeq)#8@QwWpP@VEgrCmg27R&j&}mgcYQ!PqaIp{HF~jZX<5q|zEr2)DW1 zIy}3GYeXsnw~+)>xeoo83wa7nXP9R9Nznr(M=L*TF_g1g1I5mEXp#T_MS?tycxUhak#1qZDpl$MBuS z5qI#4MKaj^Fu@dBa}V}a2m|)9B>3obXbV+^<>=be(p|=q7k3$e{rwzd%)kOFK;E;+ z4vYD!gAad-F@6q6<1QPjgun~(`)l=U?Wg{kOHAqt&N5Wub`L{LQ1H)`V7tjg&%e2~ zdOPQhvVt$jye6#g2lv%beCxjv1%El`A3_1h`5(sqJ3;=(jLrFP#^&Py{)e%7|96c2 zcabpK)Ot>m$&1@3o!3=Kt2C>`5LVsP77soI!`vu&Tg3W?bBvDhApNC}FP=W~#J zEdS6A__(C`b@ejsLw=%7IX;}q$p@|P*2>*?p)YNOzo*B~!;ZxPx@$SKO+SM-uCA5; zFbV5-+w5=yfc+ACpIzOz4Vs&QH#m!}5ah7yWoYp4CCJfFwm}~rIeUv{L-VxI#vtsi zqLW1fSqP3?y?8MyPOsLNx)1v$w_j1m$g_N_14+s6mLrUxD>~oh6~@2MRJ!7^J%ExV z3`6rcafX!2(U-S4R`1LWzTHEEyG)1;efyAYzfAlF(5~i(WNTon+NP+>$P3<}YEeU3 zT2O$i`Tb84mYUnJ<)7Y*0bYuvIvkVXPT%fAAE04LLIly6dz;U@p`15A;8|oKt9u=8 zO~cNY1af9x8WXGBQ*-1aZ3(cjU3B3e!t7_2wu5X%9bp$xk9>=sZ?pIM_nQ{~Sx2*bDCZQCvT}?TXUBO!J(wAa zLfiKz^7rIG_~Ey?)yTdjv|m|}R0YeysrMf`#AUM}c!^yR(3-Od&rLkdHL5nd5u3BV zjsoNmNMRSJff060EX#OYEV>A1wkcq}yMrA_N*cd)lYJR8jO_<0H=}L#%>0B_!0L6* z9FIDQGHHsK3W*_6qBZ*>CP_;>&lMkX_?iyRS|h~>$gbE3K}>{us}<1*NU<$m=bDn0 zJHwj>0Vlt`spU5o8ikIU7T{t6Rq<&7rKylcz-)EbC4_ni{ zt8?6x3duvx=KvNF%c=hgC7hy(Z$(jM=-ta>(+;I3EmyVpa1j1J)Xsdn&MM0sV}A?+ z(X_W`=l_FNja5)OS+tC|Eu3-$DTcl^)5tQTl`2ew{$hoaMB-ZehXsY!wTi!g|Er~Q zapD`{Rqb6KN7n0DC{$<$qtuIg$S3UPSug0i|SOgVUh6&L?c=D5j_l8N>Z9ah>c~ z$i_F%9($;4_yCCgibR-(y9|<3Ut=ac#YZ;4gMmwyk!H&G%Jp6v&^Jievy5phjkS1 zBR%;=sE3J6FR-z&-=tzD7Soj0oJF9dMHZoo)*db` z4WV->+5{&-4kc2g-^E`On}``yeS8i4;fIj7EPIVlq1KbrvL>K*G<|mz*Z7&71c-bU zjBTjtO4BZn3!DpQ6XaAXUisjBaxM}NTu}193c%pnY4ULvWhsRFjBA#Dew)8sqS%GL zKa<`c0J*sBN~jU|!l!D3t0Hlw!M8|B83Zb-H*jK9%owG6%g4X_H0NpBiB1fF=AEXg zy3tz*m5(c=+_k_<_pUj2Kui;UQKLi4y~aO{GOav1{VZH9BYG;=Xb^_2G+Caxts3NM zkGhcx9${iT&@kA40G8{&z+F{a5&k#W@K^Z$g#mUTH{XAO!#~ue|1mgl{Tm$E+1UOM zbok$?J?!kT3ab3o)BX>1n30E}0}ir76MT+5TwOEsH-`yp90Rvj$#6XiWcpwtkG0Yg zs!c{Rk2TXW*lBilmL|$b6u0+&(Fx3+hjJNjnu-O9X5*mbz0v(rVl{=6vJA5It-*K6 zde0!S%|ze(`ab5%yd+_nV{YB*Otk=_)Aog{Yd)`GFQu@nKO?|k*sHgu2!eCv;~UPG$)(n0;NWwOJ?B$v7XeB15&A>}aio=_s1-Wu(fs>Dr> zrB|UI)%)ikzI{6ibC*9CFPf*yv!n4{F}zW^z`5V5Dz&qx33rghMPHjtR9~|~yi1IQ z7Heywg0<4OeEmK;+d9iGE#0`uGq$=o3ATO;PCdo0FK-11n&0O~#o5{g$jjfdKxXfC zYf8a3f)dX9F2uGjZTsKdtRr+X;mUl`|JcfAz1w$$DldL zbJWBrYrvBUkj zFTByN0?1{GC7JFXDFG^Qv6tuUX`vV?mKiA3Q$+sbL;>W>?7DT;nryc*ktml`PL6gI=4YN9Ys-+Ipm6-FvD`B1=7zjU zNG6x!z0PGYapb~<=IhY9qAxD88PwSCov)&(^X z5N*9kl`+#mDq}7{>4;jTw(eqpLK#ZWBR7VFY}rspN=nW)qBS)^rEGW zocEz~pSbTT!&PsLCmrj18Q)~rTyGJRW7{@20$J6*<$Ql=RB5pZ!-cZOy1)+iz%g%t z=rgX)h-K?02hkQj7K9JOn_SOs~GS;h4aTIYGJ@_WFU4pRRkY9p4qZH5* z>a2W;*76YDu$!I#Fz8CKSffT zJQDJ4Cob*Ua4I(wj{n2 zoN9$qj+TcV|EWoaT=qz)xBm2oKr*M$CO#0&uM4eYo@}hkTs!t?M_7{cOt$*I6Xc#0 zD%(e)kj9q;FZPePEb3hTD&_$P%&e@G=DiFakWbUM#Qoop@(+pq4@lwU;P?-u{584% z$B@GPZ%6@hv;D{3_+KK*e;N7zL6qJSHvq8uy;qu!{Dq(2Rz-~z8`oj_lA5C3QzPcgF;zvBR$`Y%gD1qE$ZzC}KXQ-ZAC1h7VeIgS|VCbkke87vbB@ zpRe5y8HLzdjeQF|P8Sa?$g2*Ew;1NWH5x4d!6v?C1kEcpd%vP30|eeKgxPl5`2%wT zA^LZn9+Kj*2)OmQ?sDY3Xmy)H#v?Tws!nqlhV!4)%p;_mV)w9jS`VF30}O^kY^oK7 zn$*rjZuq==Ym!kU0~rT>wZ2d{?$RK>z9E7fj>UuxD&C4z#uu~kZX!l8?)o$EnJhIL zRD^kk(xkDie#kR9z1ED?IBbk}(=r$|&nQ_vBJvJheXreu=|$cRfbd=J;zS&?FKD-5 zk?c+=YC4~Hl&u5pr+H6*Sn9I>a@??U=xN<7d4ZT@Mf3KDZ9xXYxg-Z7;M|PkP|6%Q z&~zw0$eppv8Zy|OuDr_|W;SQIs&PWK7cGtI5#52;UZQxj&uHfEy$X)yx%cX4nvUvF zjdV1jT`yMNu{AQwpw@Nu`uz2a2-0ZFP}wCE^|M`^{#0q{XS*P4i7KKDG}b$GpN__c zb&*0$Ht8k0UKR!2PJLMPO#OO=pBBDvfa|Y3dN-q*huzyF^S1+CXDXW@6tbZ__TMS`bh={FD4 z?=?d72OK6bzs9iHC86hOQm${-cA7rK%S&2#zWMNl`5Y`=wxrGRe$(ogd0g*Vlt@%( zr{BY5vhb7h&7aKjZt&CIj6PK3;*alB$cm3()C^X8=9*7$x$x8D0p_-8S8Ufzmhat_ zx$yJgOxE*o^5d{;gPPx6@v{mopSAAOoz~1kEXGg=kMU;_J;YH?) z6!$}Qx$Tr+tDd=rMOYX4ehs-%i=OGPtYpCXH@`n4O{@0A?N(mDE{?BP$(v$!ZAyig zl8(6!L63233qWsOfL|sHt$wnjG;d}M=@_yfBYFA(@^PJGX1TL*=!B_euCX`wpNlKbIqXnTw6u)=tv74Ys;H+v*3rTo*QF@45C>W!p9h zAIGa>XjzE7tUL&%k4o`bn*PSMgS<@9-Az)@>1A9lIG%TTKAgKB^sr`P_;V6mwhOYh4u7Pd{c2qBP|ddZ;O`q@tR8Gkr0+Vq!vRNH-C;p$@= z9-MW#lb2tGgwqIpy*k7`bM<*rzf9)YF?Z``MbldIaqb4E=Y&b>Wo_k`mftgJ^TSQr z(o=VRPAkrqeOBJ!n*7!v_*+6|%KWme_43}hw80s{<1gQ< z$l1r}q`sNs|Bt1&4r}`R-^bsIfV4D&0SW@rG071srBVXYIbw8-ZeK{l0FiQ}NK5zV z7|j5Y8Zf%M8}v6n-`_uQ?YefI=g#At$9>=DJn!>3Pvx9+@64RMhYM5vrT?1I_3fIG zl?hyB`_;h;%sl^=f=)(dXbc>$M%b&`3ED4(fXAQigw9rOSf@SyoU#;v79cmL3wT2t zcS{xlGg>)!yFcd-NB?Xp#vfA7gw~`E{zW>X1^*n6mtE&<9)2>pbbq-!)fRozBzO^p zIh;1}Z>$H%-BOg?WVK{J?>Yrui!(KQFaIHL{#1n_MXy2|LL$RQ0-1* zG*7OL2x>2xb)RxFuhHYue7yWa#l+ToEIP)Hyo{DUdnrJx%nz@vs+nYe>cGr#&sqMq zqP-A%KTK6LIxA3m@7|DMTE`o_Zd5gvJNV=1aU)d$|@g2B1|%;&bL z=Y7bdzde&1r;N6El-3bzD~ zfsfTjBktvGTikXzbI_12L+Y8YX(L{Io_8Y7>$RA(sIGYp4a?*D^!(mi(=VlZ`SkN? znev+cy?1)^#<{09*nPdMY^|u{?@8~rjoIHTN#oq};rW}?^&Z2Dc9>z%ZAp0t%c2Bv z``!9MXwb@tJr(&MuWLuWkGh4QE=_8BXKqsDEq}|bwg*z39S*WT^3ZeGRQ;n{IDOcp z`Bc0!Bkr&F+7`tQw%rKbc-Z{RTlsEIrt45_?!rL+NO@$(8!PkaG}P%+ypz{uw#lr} z_Ot_LYHptCv?+@|XQmc%JF@H_w`S<%J+Bz_MOKH$5uJVWYTN&wtC?w8?$hqK089V& zNiOH;W!BdHzLEQB(T2av$C{>PedpDqbay2j1OlHH2>t@BZ;jOYIs5+NuHOdUKKQZauXeN8FZigzDjZ)_2SkkdjT( z=b!&ENFZPemTji5liVCF7*Qc6lKP3bnXSlmKQ8WW^P-D&9fgXh&ytH-M)iF)53Asr z%EtfPN-wAKfDbrWiYnAI;q9K&P5vN}?5kX4BX9}>XXy!h2F(!ZT~B#+@A%95H3&97 zn&9^wzVyi+*m>P)aT~B4Y=EezE z`Q5gtv5PlqYPU4~=8EbhcBN<9+<3l$i>?#s?Pyr~xiNl)ddS?Rj(?(a>V6MQxfiIq zBNi6GS+)mxp$vk{ke4&=+dP$!BY)dZSYD1L|L}rKlU)A=p*p$w!Ts))`z!e@{rDho z$1}l?z~Vyi)!gppRs>s4zGDB$;If|^XHp`-@D1$?G2O zd}~sSy#UhfnZ(=3@C*s>FNf^F-(%{%f!*>yH7c_nhPkYJM~7xaetnH!!tVV!Y@)t& z-E6s8dhr_YyETkIkYs`OO8v0Qw7R{LsSffz@F*)V6?aRmiCfBB`_ZT5n30f8K=V zS{?3wnFB$XzbE~3RM#!$9eI2dpVWI`_x_}D2J$8I!7XzAk8j**+~LsuvW-plp*aR+LtAxG_nuN&I7XYZpO zN`9&2Ky0=1f8{30=_!}-C&}zy3K9pEpXPz-2KVHmnLWo7_J;1Fb!q2FHsipA?O}0H z4T6mM~G4`tY7E)x5T#3BwMz)Ec@nYMrBzSEW#%53c>!+WVc4bJ4w#6 zaTT8*ufzjkELlzPLfjJMuOLffwlL@x`i8;I7>`ov>HSO7NqB`zl}Gn)HIiq2Ki*?f z-*fUXYe}(-m^SWw*-pMsO5sI0#Ajr4tG~CAb!9My8A(_5&zK{Q2Xz`%U9uVn+($dE z2AW7>7$K)!5TX8=*P=Yo_ea9U$^2Vf(7_SMLK^xw-oa_LD|8cg+l3iw^yF)z%y6XJ zcjMaKv%%>Xfu4WPzsfwZuSfk{m#<^s5Bvip0!B?<4EE(ss<%lrMf)2TQiygPhjl2f zy%cziC6}r?-WKw;+6x^X*@gl>*TvEqK%PUM>#p+R#itg0?3EA1)%h9Rd!~p<{e7xC z^~hvwyIAi6HbiLgd)!PF-B8VG9n|tPKe>dV&uF$;b8aU< z{I_!#lx%vZObOY-s+jRwYlP+r0f^&lF!JOx@<(f+8svyNcStV|d=`_6cS>?Rv6^Bq zF2nva*e&7bI1`st`RiO0V@w12^2e4ZCR`_hJ=ztF)~pTi+aMJ3Z%rB=L?3|Le#0&c zUrT`uD@zIZ|BHDc#JjWoTYEqLNCpA3GE$W6#H=TCTuA3rs&^5?!*A5-^RRu zMT)ZUuT<+vY^a{fgTF99X#VzSu}>Uj%0=@9RB>p<@p9HHFe$M+Xw<8U{di(Mfh5x1 zP9U3T{iZ5+obnM^FE>%&S-@&YDw4xB2$#xT)j?l1*?FE>y-6ZoN21=0j%(C{YOm#R&igPO`9wU8k*Hv3<+#3)rS(^ zy{)FDkip=hAZgDa4xf`NIo5vBVv4({mwl7V2C5WdTTQ7m80&GF zUJ|o3hq0)az2p5Uzqyim6imK}{H&Y#*)XX?$Msd;cuL|m;d^CB#?RIK&J6DI_i`VL zdjKbf+{7%8zkTYY1eABl+@x1Gr315PELX!;$xXgrAoxeV`!7FkN_9R^C3xmpWB?9d zB)N$)fI=^F(X}s_oFD$mNuCwxce~5ASF%#^N z$1rs%M|Bw)@M!9o% zM{}kh;}MJyvldEEb>26e6C~?AP;~j0St9R3r?wozH2Lw|%7BppNQC{wpwYg{5iA1Q z|A@$)uibefmj8szWHT(u_;|v-0yhq0PL2%oePv2m5q3L=EJQ->_>t>|e1_&I)mM>R zL#om)s^%EJ3H3P1-kvd`xnMqpB|i0*WKnh-IJ<)ATEcZpWq@gN#a`srp@>GL9^4HJ zF$B`a_2fweJ)HslpnIWLOgIhW`b&Z?9C9A0&NynvxhWu8~66+Jvd6>s@WJqH||;F}PI zBP})Gi0sUXuxDlAk`EA=UT!)Q+cf`K#NC_}k4^PWb|vH`tPsMQ0N`+VEvCadu;zjx!euD7d(GtB|9N zr=K;Lqu3QB4!X%;zg+sknY&@4M9Z%4xVzRnork-~6@*YK)XaZeP;J1ruP|$^pv$8t zEYj-s#nUx2-6~{^`nMw&LF%DA}qxF>pOCB)LiyJ7jyOvPO4rVu0 z>*!HrR!LP-;m1 zW3(YpRB&XUKJtHPpLJws&%5QC?zb6n{g?0;go8TJwbqPO@X~oyU%Ew2T>7Q%X^uS~ z`A~3@ndYt6YgX?_BYza^WI`?B8=$Po4V#k=%mlQ4e7yBQ1$p~JQ?C~RfwA-wARCb_$J0& zvq-lar83jUpUXURB#hsy8f;nYmiw}<1MEB=>UFLo(SX`%g1|&9`pvY*KtE?I-3J2L zh=SCG5Pcv}cGl*Jr-y-P5gAazUAlCJ)Pr zpJcg(TDX~zXbH-t9obp({$-d#Wc{J>J!=gwi;oNRj5N)RkK|^h&&WXKozmGygk}+r zw~zO7L~?`p;HV-a)~64de}|7P`t^|y8qv_X7^EbXK!@UXOw~8Q(u%YZo{$nPQunz^ zAqI~OsYarjL|5f@AX$y&z#9T&2~8zVWL2x6-PhR^3&nezg=@;aa<+dBBx@VU*JkvW z!TVL$?QgA86H-qTFJ4W{qBsd+yZF^5QkqV5r#y@_d0W57gU^~4naC4Yp~Ud9fI&xq za_s{W<@)G@7bTH}5v8=>`UZ7oANWAz;u2h_#cE)h&w$a|KL**E^hh5^*$B8W#Lk4n z4sAr8qfuxfIU(nMwYU*@`AFl*W?AXvQ}*aEH=P5Yahu*|kyBcLB+cW#bQ3w&ozPPr zo~iG$Xqxk^e+m-w6@QFyO^$G-T4X^2m*0JB8|cVr?$63j?e=rld8m0Z-Drcpr&eh{;ls1# zDtVaWbEG>ZWp!U9FTN60{13sVw{~JR9mGoW-wQcEHW>{cQ-<1YMVHF24@5TQ8z+Ok z-d(yKVL`4zbpjdb7POX{KoPb~e%=^TJ?@l1H7N8J9TynuW;|9MJ0sNPj9LFilF!c0 z6XP~kK3*aizTLJI^$MW-6`wu1SMe%>SlrK4_05ir0$0+E!x!A7Ou<~Qt?%PvDAD+i z?Dv%}S3tG@Kq&|0wNB7a(ue*TWVxFIaQjNg*(+QO?c89{S_RIOFYg|c^V1_f*d>)* z;5n(Ak`A&jAfkso^phS(tYC}`9KtNfRLt$hj(<9>3pHAB>e)=?QNo$t7*)HP&)EkM zp>bb{f|V-ZmL)c3UjZ7E=$o%Pj#Iz9vG$?v@#QOfD)dYrb1jrV$V8M>p5;b6!s%ifF%tF|e8-_aDh(bs=kYhFG}8Cj&_ zvOYlI$uCJ7+2y~3L|m^W4vsRg>432+TbeFweH%JyS041od|jw)8ELK0B`rDWbtf}X z3|Gjw&I&Hgn!b+Vn=d9={KGJZ=7>oqvxL(MZV^u5ui?SE6BX%#AO|pm)|*|*-%(w4 zKi*T~mMRj%BwV%pYS97N`VAu4etEcgy1KI!A!UCiQCJklqy1lDg6N07l8a+c_eo(Y zYQH`qKLX#be;WQMxS+5yrG@rfjRcogbV22NRDgVE$R5`UMZ&)cai+&z+5VozT5vUL z>z3Y2H@t)-Yk#`62~Ba+fln^nWtuFRR1ANx=K6?PWg$FgysB|9sFJS?zVTl2;7RM` zAaP2p9yEy$MEeRwpjRj!=ZbCf5RI97&!s139h@a_Z~hSsU6i+9nZVFsdF=k90g@3EEYZzMMinv zxI$f1awB9otxlq6nIGRE<*~C&z5H3pSKJ?-34Jl7^FT_j%`%+okvD63kRq=8rR;(iw$v3U;5Od}VIpD_42#lI=>i7nw|B0o+yFps* z37*rNh7O7yc*}JG*W`kRmQ4kAui z{7qp2PJ_cI5f#rSsD3dMwXxg_Xd|UK??_oMH|rh_xn-I#juWPJY!4d_TWTgotHQ;d z?WN>U0+F6(L;pkWzkYf#sePrYwya$vz4qyc6bKo$dq>JO={7aL==!w0o`gl>Q&`}d z&uT&bDG2zKGsS^;?>lx(BT;r-OCrhPRc+V_MK4)gl3;`G@+^4Z3O&B5=CG776mW2T zEzMD$Rp}6T)0=L@uWsw?;c?bkj|mxFisHXqH+;a}aF-Mvc=I#wdmzwmkk8%8bsUFM?Cj#D0RqdDis`b-|Y7>`W=9(tw zy`l^xkx?3NSKyi=|#E0o^#9E{Ff_AF-F@hmCDOR#^Y0s zVQf$P2*sR&`{tPWoI_Ye$^HF=`ufgdZ@RKB%T5_4l3Vo8MC!_rdmpYu*uv(Mj>#{DYH7q;QZo^8@xEG3cDq;$ zh(4v17z`>k7(OZ749#OCcPyDpWl!V&u%T@uGN)pZkXaLDCw*n=a&#&waDawcsI3Oi zO9@yMHI}``MzxGEOiXur^WB{N&wfyy<;ZdH@LH3~L!0mtc{Q3u4{zyrS_9M^zuT!d z-h>LQSg>Ncl`<|N`^P${j>iUsN)mGJ-;bV&R!h))TS91Lq>l`1y^;Z7Xb3r9i+S%| zYlhH4Tx)_V3IDs91Nwcw%Uh8=6Lh=?8BSC71z>7#xVavxI6?b9d=Id?NPxS6d{$K; z5pitn#9d4E8P*)Et01{-6n%tL2IXXzT2D`oa(}@XyFN&V^l?iLqXC+wNB?}54lZUTM88r>MK``?0V;0HV;#mvXRu6Vp zbM!#pXVq(hM+Ujnp9^3g-w$~;|R$2b*_3SA%5lv|4yp$AaksuHQ zV;IF9svYuex#-<{CUhv|Yfe&ecTY3ZS(3 zB~?CKNaBh3)UF#3N2^duE#ZqI4lh!TtAG;z*9lIScRuTtn6O8w+ckR+3u&6Xt;-hw zL~8`q_pk{BAXp>ScCOvJYf+nXL$Ga)!{XutAYjZJ|JNW)65CGKBqu0_rf`c=T945 zG73KZF-*SJd(H;APg?v#YuEoKQn8Cz{F&})vw1hnxFdIBaF! zbDi3|j!#_!rUY8~HodMeZ?wn`f|^J3XyaC9nnkLiu0{fEMA`x5Z^!8j{u6PSBHb&= zJ_d<~QE&?>nwayDIqy8Y+3oVvOF8fAPE)~azCJ3>=rYCn>M7ljI-f|p3A1-U`67uo zCqQVLYS}{ACGsJAKwz(Xe{fy_C^D9`Q>aN2r90@k%R;C1(L$ccpBLS&ps*hlYi zLk9zA^=o(IZ<~|VZ#ag~dl=mYjRLO=?Yz6f1uNN#)U0fHT<(rxpUtmb%~5nT^jlHD z!Qh$ByUypCuQk0+`mLt)LXMath2@^4>pyfJje9ULrKa)OI~*QbLTic@ah=RcxRyck zPhSIMzrQ^B9={F-6Fk^=-*}}^#n3`&cJ;;ZsvMrgik$*u{0DBz!t;teE>$dT0J)pFA~3C0oXQ@Em}4Z7qa8j>dy3!rMCU z3YVku_yY`_X6SD6IIt2~s(39;eG6Ix%+b1RY2}Kmyfw^#sh!ylFrpi;k@LtN)n3`z zD*A_kM$7_#eV#Rf7fL(>2*w`6>!jFgz+%N417{DX+BVt7)ODs2Q6ylI%TVi#5nl#!JqS^s2}k;mU1k-=m1e5lwm5>Q`1- zPtL85dJ#skJu_0@&TvRdXn)R-b$!hkl~C3wBWLkThx8ypar%G9VR%iE@bRM8wo>8_ zuX)uA3tkGjh?w~Y0mZd5j{F$L_15uan&Y!j}kD*SKM;5k~GR;s3m!3%K2ehPaB84hBO@|C+X6$BCAGGt$08&iC!r}Mgc`&x|w zt7^8z{=MaBpp6Z56OC492O$yQF&k1xLye6$7wElf7*?1sPV;59y&!NV0!TO>u+?=1emmQe=RHxIKt0&hS}ec+1y%e!w%X2MAD!9151iC;Y> zB4HheMJ$T!?6cuj#+EXz%b@14%!#%iC=p&lapshYT4CAKQ%S=R#{ElVC$yR^fKW#5*a%#t+LI$TIjK!hBg6Ud=> zWG&h34axT$3PbFD(`HJj({H%nrkUr_{0iy-O;O zqvxKWsn_}$Erp^9sI>Dx0x0ZeO~1)!1V%b(>?g$qwg75cJfEG5xp*Yr_ard|REXnH z7)VBv3TZ=d%M9;ob6f8}Pu?~GT#F;$?FJZ)!tqi8`2%JLzlv&(CKd?j>^KKHHKkDF%YGm!uClMc>mv^&I>ULJxivzyM(6b4n>nwXV7P~;-=OkFvWz^|pr z#t~#3M(ejD!=it?0~xa_-;t>zA+4tXNjVO_R9DWMGXVh$rhAg>jV!#m@OgLhxy=Jw zZwy=plXo5u=0cue4z`5nHS&MDKfmc81+%B_QGeSgUWWx$209uv5QuB=51IZA=z2Nh zEUnmcAW4<|MO(Ma$vn$`A>-xfSX-a_V&u)owByS4x#7-+`s2Xy*=dc$d&F&kZqZ29 zv#9l9)%8(Ty=pV*y!I+ zX&S0feTgjlb0IyhuAsom`nW?TOaJEek>Es4Qn1pO_`CX=Y@o0o{(8iNct3M~zC+UP zTKBcH0)8PU9C6@YAc^_n`7`X(Ky&NrJ@($J{>|8vjr+QJM?CyhPbVwPgD;)426TDN z=arcbsr9owOAtx^-FAZ>es}q(48QZl*odyVQ!T@q;9^n=MJt|MK9aD--gdC{eYo;` z2v`8q6*zTNAko?E-&Qj=6HwcL9^?qw4QZ?aBRxl}ubE;-18$)eTpt?_5wLo~#l^X9 zd$Fr}xhp!kwrokY3vi#E?0d}8+p~mhZ`rdXsPECm+OrY({u7@6(|a1*7qO0iMF`1r7l$0rp$tIDR=b$3%kU}$>!_X&TVAUfli%lef!t1w z*Y46|b_e0x41~HRXs$Txf4|V({5`pQ=Gdk6l&p(+jaOx22u{OGN$y@p4#01c0m`eL z{R3+0n|KZk>5aDsT`Acg?C8an{LSZSe6XIbb(QjZsW8T#zPKJoSCBI-EOwbF-I83! zyiWl_eKC-Vt3>6MV;3>3GQ={Pwq2QCT!gSuPM^zUFBErz4o*3jgBY99jNK7_kz)g| zae_WGqY*P;t;CfIuy#6fayjC64p;<~%~#5~Q-sGF1z8kkE;8&V)Q_tliM?#$SM%HM zg?2CZLBuv|)P_$-G&jU5sNIos+mR!JHC}#r>G`treFo?Jk1{i&Bw7Ju1J<%Hp&|dC zP3bEJAI27B+un)T=ZaVM2?=!zI5jr9NeA0yu}EV><}L|i=9i#J_>kv!1 zM7<}1lBh3D3~Aub_vQXHZtJw^m}t&Xj`n4I;cf@ZI=`6m9(C$QQ6_n9CV7kX{h*_( zIap&nT^G!eoB6eFXgh;J!eDO9In5*Mt#pXjs2TliYuD@n?Nl_U@jj6r=P;o z_a`JhD1<<;qHu+}skw`=yFd>ENz6J6XUxspz#X}Lk&-y*_rE}MNTG^Zk(0}8_wUz-Co`5Vw(BIy-_NbthG}_ zV*3wTGhNfV^SLgd(fN~>?%#bAw@r4#w72UFcx@$17o}+UmZ3vACo-&Z{~b6nWL1tr z%XWZKvMakp>C^{N@7#a4xu)^^HFhau-Q352kPlvF!oD@1kC2ZuMMT(xFlaJ>N+}n@l3luD8Io}Rp&6$dY)-x^|Sa_`2bmM8scIPZjteJPcmUF3qNhjBu1ATDcC8%&9$gj(>puZ7Etc%njyH?j%{Bs>>FGd>~&}g~nq7<$( zvwKPK6qCgzSymHs=>83X*e>pqzVpULEQFpx&?^`*h7XMcx0HFe)WzWfWDV@Kac)a1 z{^c3OR>8c+u+KzU5WB)`htcfqjuUZT5+XK%k}QI84YzSUKNXs~vdE}~0Iw7fBEKkm z6PY1Uk5J+2rJk$3cSbEZn&RBI!jnJ`V>ff30f9_kKL`-s_V?Hvv>5<5LLvfPZ~vH6 z0G%ou;26r2b%qQ+BMzvfXqTkjtn(^fXJ_$uFeQ zToyM?Lxe&iUKvg2;S)~OJb;@LGBb6cUwDV4^Y`sYwz=$0Qj8ke9Dxv%!wwOc0p%dD zyLa~%KlQ#x5`T;yG(LAm(#>xiT3EZcAvMP>FndC_2dUNmMn*MMSv<5?0DI{cQ_T(nS)>@cZ-U7wd)(9{%?K<)Q->8JD!W=wvaii&)S3(;E2z0XvEbuZNgK@<{RLA zSZ(rG)pSTrgXEcC1VX(D;*ttzV|3c@T`L$?HL#m$w)WEItMz8W;O!Q4dfcmbN@1dAI4i#s=)%wxki%qgr8Y*>H0XTj;DIqLXU!#1siYxHdC;(h@48?XIj z!J=dunA&VKs?$zu8o+E0HM!5>IN56S+^}aJh%=1!Sw4W6b1nWSaLkwjk#jU{{?{>=r(3q zJIU12+r8Hf*hlAVm8U~{5^#%knV89?jRG5fT%g0#gEMh35*SmJa1k6>$xZYk#Bv>x zo@?3^LPgkDF9e#!rJr?3x^LebNnBidT`-5Z1DPNPgUz>lw=0E#Z%$YFjXKc~MrF|< zcuSy=o7*__`iQajH?<0=r+4ex)AqL4HlA-*7O91K3qC4$loViXj=^_1a&mM{wEtf~ z64?+=YSmyobX`$35w@e7(87 zw=zh9GtKMX5Buq}g9k{piZ|ZC#A?AF%{DX1m%G;tJW?ML7ut06LLDL(2d4(?KToFU zFb7s{*E=N=7Is`uTlsI$&^dKo|Di5^wm2tmS5WkNwzAi!hotJnWNlNg9c`rhzs`a)Lm*(Qf+}M9n+CgOa06_eP0anbc6KVsj^D;x2kLMgbVRhU z;ohC3mQNQI(Wkh#x~rQ-k9DwveQWT{jGp}#Nb?}AKxS;wG_s3aLBVuRHcrG_V?(qB zVmu@(vZj3eS|KbUr2`shy>$Hh`A}qx2MRLAwm0;{#kv~}$`?n!Er-WQoQ63)Zn397 zIMpeyX1I>oAz`}ewadUyn?{=knp1#lnX#8pS4#H#M5Bykqn5hE+Qf&tYvm71)EnQ8lx zbo}hIaDRS8P_+|AXg0DXtFxY!J(jC9i?x)&vSmQ>*Sobz=0L;~Nt`E6ZRe-4L}fyM zDMfMcu#fqt6hLfGD{!N50E@O|EfxHv5XZDF4mqE1H6O0RAA%n|s~9;uZ8;E!@BY>0 zA}#i^Vy&F1c5D?`|XGSTjA-q980bBI6JCOvJK9T>Uv+!FwV9i-y>1> zhBFr1L|KenE()F%a^7_u(HQaL??i~|cw!C#COUs|qs-_5F`4}zJAdx2Ib~d@N%x#L z9u4f)zNduu2q$>KKQ>b$8X+E%B<%f^zNvqgzG7XClY)x0La3>ZUNT}z@x6o*u&9mo zy){HSdKfOYk;1+gBkp2dl728r7hE4F=9%9~fs>bm-j6mk*HjNj$K8AjJ1 z%9MvbH@of%YqD%LCvliPka)NIz^l99!nl=kMCQAc_n;B<11PZV`&qZOamtDI^EV&z z-x=AE-pcHn1n|#Q9D8ko_eStND~**I}Id@Ex&WOzx_3oQ8hvHGS>v82-mHmWCjMc{QBp zwLum`nXXSycieRlhFUY-iI$g{5jHmXrGb7ZT*G>vk57KyyL$3sDjZ& zLY6JXF@j4`jFoIW;nnP7#Sl$_0GdP>>q47ta~aKYEs8USu!;d~ynjr_qbxz=qL9UE zCk=@W`?UPl$HT^2G-D0@b``z#Pz5P_BZwz#Pns>UrRkMUg=8;wNI3prnst=6{>N4bIycKt$0 zwP%i`7KLW3PPO!YtH`|Tx9t20IxZM(+FvWo%?X3)*gSh^1KTNc>>dD#IMB=XshQT5 z?M2>%@{~>r=zj5wa#;vk45-yo3k0vV|xs3NHw64pA%dGw=P=?n#<(4&`*3E32F zh4^em_pN;H(dK1;j|v}Su?b8EMMWocaz7MQzNX12i)f7#clOZTuo+Rd~C`D>m484+w zk8~k{*mzc~;l?YC5042hCDclnqMZ{glo>VjTkF~FCsUXebM0Y{>>{8^JX{{af`T?%^ujmyHIZYFJMmBhqFrtx1+BhWa5bzWj#w$Nz4TEe zbKH;y7ot1oGs zrA%*y!^)t&w=Yg52M_@94t_m}%h(^X#)46fg>x6tNXt{LAUE37mGFBuYxB4Hzgm*d zXVH;s^FrlgWQ1%i(#u~}hqLV2E`{4)S!h#_tW;k;Ilcj4;KX{G371Z$2mi%$9rly0 zj;GC^OOud2a%a(4FsyPrMSnkTlMIi5&RZ&sg91L|IDjoqC3`PPzspa<5RX5I8Gz0>ybs@ojLPQb*izk@T}lAGQEG z9B^>Kv`Tx`+q!yv5`(G<2LjX*Ug=f2W>=hqvNw}|f-}11W__m>l#%xpJ_8~&MZ^N^ zM{vnD&ZxJ~`jfdlRP*_NI0h6?1q92Q#+Ba;Orc+y<>9o4AE!R^CEruamZ;-BNfGuM z`d-TMRr(iHYQ6l1n5yj~I+ya`;Ua6MRDfE4YX;iekw*;9i87kBFx{E@zEcHQ{+U8* zSPSoaj1nQf9;;w?;~ifUYkO<%4(0@CU0`LdQ!h)64JifZ#u-> zqj$^!$37I>4IWMa|4&fidP%2g8TsP+1Bb3k!YTW(vx2TOL+eO5>>TRueBuEOm9b?Z z5#4t7RKz6XJbvfCqLm0QM_-ZE@?p5%#|;M&anQkUqc~S*CY?;*?Ix&~MwELaBpVV#AfFN>J-L zZm4dn+>`7wP9Dv`99i|YX5#d8Hs>j5m7r~%Xj+a;9U(1Osxt|Mu(IVwU- z_}_etz|F_pZrll?_`KlZo6eLMpl*$<)h<)tF`Pq|^~ccao6*Q0RS@GN5<=4}geIX_ zsm8n4g!aEzcLpPxK3{Z5wFKbcB`#1KT1#^2<~pyj0acPScSjZ3@2T|YTLmi7gvny_ z^u6#92qXyXh4)YXEnid&;*+I6a9=h7{ZpUaP$l;-sVF#*R+k7UrRD`Rk7m1!cLfm*yHPXvBw)9#~;-bGb!zU z0T{F|t2pH25H{29TwWqYBGRnyt%o_zpQAiP3Kz7EZMhQ%((!lYl%C*7;-`76g83N3 zsv33>a?+!UBlekRTd(-xP~5PkzKwKnPI_Pt+Q;OrkB;$M^`7#cN+Qw$^Bw$~^6RfM z1MRSclM)!pUi-Npn}(ESZ|c{$G++%b7{T}WYOu4iQkSaW;WS8UGNA0$hQi`RNutxf0sM}N*c94_LE!17Ec;{o9 z;`<8H%tu&kn1)278!;oSFNxkUZM28*wb7fWvVhhilIo|W=$clMUn{X68Orr_AKwxu zN#&^gpGQ=+EjhEBo1M5fye@dQ%Jep@qW`dhTZe+KaV{wkZohj^n~|>wysR{ zXncIy!|ACb5b)jQg`=nt;qG`ie_jR78medmar{agMU$z^6^e&DHhnHbSn730(!4rW zOsk~gRv~bTX9Oq9U4Ltu-`b#2Q#DVg{JW!(!Ylopdhv*_Y%R9P60Kbyyg2o6M9U#s}7%tOu zWjskCOYILwyZM1d_le4km0kj8XrJ#bv2fSS(#ghnjZrD@sOhsaDdU!`{TqAI5Bi(o zn^t}s$QQRCthcB~Ec2Kv4ZxTvYgv!cQ@6LRo%1*`gB|@afy2oI3v+uOz0=@SUua9B zh*@GI0>-q68#MNxJ6IL(NnwCET+QT(x2IT-qY+|e8_|;GeT)MR%35oj0#0puSqW%x z{3;SLfV;kme3fLytF`BG`pS^eOk~M1V*+n4lw~{}nGCyyLd6&t(wSuS8bfMWWPS^8 ztNhd+&-yiP)t#S~dXiWI5fKH=rtv5q@!`&MWCFKvcrp0EV*9akXEHr=SJg+_G0&wG zbY$s*kn?aDyUW;IMgVJ{jDZ$!jS|NR-MkPZ!g1c>FCL3LUixvz!E@YpR!c^D#`(YQ zs4d{SlU=aWvVbd|*vAw;g@50zI)Om-?=oI?NK>?U^lh$0edi5+GRnsyh?foF$}Hejc-&niw08-v7+DvzIpe z^l(3n>UsNAucL^ttZ#vCa79_zh2NiFp>F$iqi#MG(tU2gMI*WO9&q(9+-kXZj8o68 z)fLo{8Sqf^-Wr&!u%WD39F;T>$^(A>PasX~*=;%hBuo`G66UyJ&nKUguLxXZcR&M# zTGF)#ui$JJNQ&zt7cj|d+lJyLe{44Jor{&DlO6iHv0t06-ix!igptA<84;PxvM;WGKs?{bWdhuhC)fLQ2y$8&8 z6wtVv0y0*Lh&BH?y=?3Hf_AKSVQ930wsMTnM8||FGx`Etj>oel+iJM=tz@Ovcp8LZ zD|6ec=qEs^i*GB1%Ryea)en_^QWOo`CNX=ZD%MleSX??Ek!?@dOuoXs*~%x%bq$!z zrlDIV&gBT`W8h!UzQ{%x>VSP?Z_YYrYpiG3njyM~Z{}(7BR&&4d0&DC-C#itS3>$p zYy#)rrR+dOUuFMu4s}=?hBdZy?Yh=dUfXv77;!$k`alG8q2XIZBWqd#PB8 zhc2;&uzE}>!NJ~8IkWQ4mBH-F)Y%4PXMOrh^I7SH06$6ts~f(FHZ&bl3Z`+U$BoIg#NiY-%K_XMG;PYz4WBq2~AjIHZasZB!t3Gs)Q>_QD%4^>l zS0neQe_1@6QKb^tN*khm6KT!NB!~@(mU_`!Dt8W=bN@(NzcCWS0+A{{3QJu6=Y#WX zT8og$4k-11MSq7z;)}WFaHM0as4`Un{{~JHttn=N>&xgJ8)D48C-bucf6|TFIo*dd z>HJdzxj?91R;}rN!cysRkVd8XR{RC6ow*Ap2sF%Ckfi*-GxVxj0ZYR+jIflRpT46J zniQ;|GMamApbP?c-xQ81!+$lvSTZ4#6$1r;M2KtbY#wo^ttlNYJ=pZ|T8oz&AS$SsBk^v>IQNos41N z&mzA*gdnk%XrQPA8coB+myBs1ds>*)?~`-_8fAIh6OUq2iYhaj%7-s6Cww3>qbqK` zt-ciqVru$?`D39gd{mgOy{*~2F*5+ei1VOuTqfvB+3()1VdJEz;gy&!&E;y zDuvV*L$?&Nx8do)ruAfmR|8O*l8e=R6Mu7X5{Y_D8FiRhnQZVn{bo!LFQgbUG-G7e!`ofF&vd@VQXLC zbcGM~3$1y#Y6>U0d-in1w>7oflbVSsF`!H3HgB6X4W<5!-gHii>PZmAs+-N?y}oHy z>+zlOFEJr_xxg#6}3GdFQM>7SCTy_gVY6yFSfFkeUvD^1|NCr;f?Wu7&Od zS}bo0sIGDd`3)1P$2avD!os{2BD@>{(Top}$q25%7hR4T7#pAPeE?zY?BQz8X^%Gt z{q^ZVUbb*MKtdw>JHDyAaj|Jp^`9m2liGyjkux1qfp>1w9F(|F|PnEOcTLw$zP5Nhq zh3g@-cb3o-`H0)C}9&+hftd=<&P*gD0?fl-e(##5Ud$|K6rAenq089zi+1F0Uy#5-edX<9h86Fr$R zi1j1x7V7^!k4Eu$yo9J_3VLASYv!>45n(@}I-!=!wJ=vPW8OFW7-cu#hC^h8A59-s zCYvv7U1rCDpGFC=1Dim&@UuOHDgT4d^>)Mb==bO z(T_8!Q{=CLvA^FuGnurM(fk|kwsT*e9-Uc4jVAAf8D#CPPfveO`gb9fBVGjyj#4n% z9QNEdkjeZ!Mm5_us2-{K$u)Ib8b;Wn?5#DilBl%i%KEUq@sdh+lGfhKJ27%@es9ma z&GCHqCP8;m!<#sIGwZ9hW6fzjfcOY7s8D>lW`gb)A$>U(1@r2?DCha}njdJz{t;cf zc%;Y7q(s`FD;zT86y2zg>^ly!mmW9Gc#(I>vW*tEA0d+H0Nry`AE} z=KC7kj>&jbc0YYFy?x+$=AC=YH4@gkEMBhhe>m@MwyD_oaz{gUm9oCv^nmNlVo#Pk z`eb-a=Eqrcqd)e5d${1Sdn@T>XW_rKb?d0Vdh;Wy2GP;}$-_waX8l&?{P8UM`q$iP zeEWlMwH;ZGrgF%FUirP5tdIC%+Y!-xQ#EsR>xG@Z^{I1{oS*0<$hcbM>-3Qc)MykeTQH@*|a4F*;Q zf_qqy=Uvk|y1VOo=q17(x{NnuUh8-4Bs=F#I*G!JsV;! zr{IxOpB-7_#!+yVOlljBfBYAO8f<0jq$os~2N0dUh}Rb|+)%{(1i@RZ@c@@<@OiiP)X{@^x$sdmreU|9v|DZV8YwpnBH$zA1K z8#RgtSJ1|A!v0_$-jnyfE_`%D0oS#6D{Q)I<$1}ft=;|c@g6A_&?jNFANzlomfx>|zU%NJG6mjhcR4%YZ0X#RBNUV71ed=nDB zde17BNYq0f7j=q#mN&x~EWDs;I^QoSd&!%J)5 zsA0T2Qa2+>e|CD)IlGQ%UR^{js8eqm!Sb;W4`^ zvoNl>WX>w5I5jt(6{68OX1|_3>^}Gl+~4NVUK$FW4_Q!kP2$T?SJ)C%FPk+DSnfQ7 z)PkClKkvn0i#kh|9*@&bkZcdl-NfNlqF0)jyX_8%|6HvcKf!oZ?tm@{vLgWs-4^pg zH3_P>225Jq?xpCscX7@WO1DfCSx@BFu-u8SMEU07cNtXnv~JbVYM{kvOz0fo$jpad z-yHjGG3-GzJ@p?yYt?ad^Ka8yzF?z>F1Z7ysep!j3}O7jY`}$eDT5j88y6rI3 zyPFa3nvkEQT31VicH?BHJ<}vu@ykB?4HWA}S!PeTns}90py2{>aBJw;n`Zq=pc@%O zXoP{1DQ2u{6t-E72#%C>yf+4ZRmg*P^qN9?5f2LO^VMe88(+h?7v*%Mb(zy>F^$cs zy?;R7Y1(P+GW6Ke-n_7;Wz222eDK2S&Q85YU?cXq@|b^pQo#QJV}iMOgj0Sa@Q&Qs z*F3~cZ1r@GgZNHWjgzv;yfJi~)egl)3yNkz0Lmf&K~su{&jXP;lwTWT7@ssLv!aah zPs|t?H+qMjQMbc3*zA1Lq=ze@DzrPIRe6MYehq~#8QpW--%n$<4!#twwDgwZ`iPuc zVBNgP7p96F9@qS9c(*`TtXG0~H;pnIrN>bq1H>!7qEo=pyj z9+OiK?l%nb8f!W(lI{OJ2)7JZ93!VGYWb%{EV~2b^RB7ED;@ zi^sx4oTWObU0d(-D>OF7THos?|6KbSIlZKzXM&YPpdfF-tiYI`aOg0XvDj-SZL4!H zZlww|nSucEnPY{QelHF=CFxMnY4=O>@ZDK+yzDe6duqQaAHxl9eUTc%pS^=s0lKtC z2~I)G^x|?9O6SDuLd}17wowo-_Lxb#4#k+{>leYfaou^P$GhiV*0Mqqa`}VoASg`8 za}e9vBGQow6rriokJ^TNZ^`Z8nL-nPgqee%3ex~=O)!(GzE^CTSz8}O-?lL_B?Uh4iGP}+OC48$x z#sFnpNa|Cbe+P*q`7)+1N$JWS*?F9$?(|XSdXcwnJRC zZLt;!ZSl1{_OS0?aIEm%YbVGcq0u52z4@OI)`Lq4i3TpTKi#=EF_8&&9K@K8CrmSp zU2vrJGh|?fwm1bCSuhZU#U@VJFOTn(nWmEuT*`LM{lm9`BIwx62ah_4Cu%=HP`gY! zr;%+k&b7-w)|Ls<*;~waRSdZvokT*E@dqaH8gp9zj+*#INg0q7@sxky&D1O$FLY8&Y@ZD`YCKt*2wPeB#k zRlEwhopWKtchUonT5T*##{{9a7)ZFZxtIrKfd-gqUdTCVpVM3T`+Ei0V%}3uy)3Rf zh?p}g@ZguXvdwhd=2DQK32qWd*d{SoHbp|B-t?r5*%4D_TwQOhlm-xvk!d`IVA3?= zrhWmljn>xj5tjW)rn`6^)&ar^jJ2G{M za;SD`^|O^K`M+()C`^H3mHH?)g%Rvh8v)=@gdB4m4BVzb`cj>ZoeqF^1On@5Ftg@S-ygt;vd3FJ=F^Qz@9W(J{P;@(EhJe9$ zlpcIn6ceSEk!6`W%*dK~kyVnoc62gp*mN>8C$RO{feo!Un$qhGX`4e_M%u+%Rg8l9 zB7c!8>ae~s2P^345@W>CAVJGwvnFD)w5orjt-p8*i+Ylh(_OkPJV?Yle4gk`Nt_?K zW4rYc<1&CEQ8-&T`B^Yp8rAiqx!*wo6_yVjTXGE-E=>mOQkhQX;6G#rSgVKDvOzZ!f0qazxjKDuc}$`SRs7x};HS zX0+lkwff6USu>F(q&&Tcj>P9I4||VBZ&_wSRBq$3a0(mOnG2!3+iMi! zM5{~!oof9eX&xd^VL|Xwv2yFM$YZEp{9lz0Z$>>2Mq-{)RkUUoB5Bq{0&wO-6j!VT z)bAcb@|dWqJVs%#pMFg)Lo;373klW$fxm5%zICsemRR>nxlGrnMrj zIzsKaA|0Nv4u_};~QIPPUO=26b6Ixe9#NJ zfcU4-6tIZd)%&jM%6Z|@c+22_P)iT!gb~I%0Z^kdTY*~8z4({?!LC@l?gKwA6Ym@+ zNTdVf)qj~amsHU1B+A_u-zRxN_1O*ZA zGa4O@{e%-b)C8#j57UQ*9jeLBes2$YW)?Wh)+YqG)UdZZ8%r}d8lp475IotQAp*|t z_le;%nFBfO;)&7*5tBfpA=mU(JBYdk7OnnpwX|e~1k-QLBY<>a>Hup-2`Z>VZc$8E zrjVBkE$s`gT|meJ3v01l#o??C0oqLpGj!~o2&}+k4*9F zx)9jeOSYVDIT@_RxjOn&3Me@+@-jlyf3r9bQ&r$+ZKRWWKpIzNg<&UHvNQxrby^WZ z%PbN{&yaah>vPU$;s5&f;Q|kKl!=sg-_tc^p@NPup(y}&qUmkR9ESrFZUdIucC0>z zf$7w5NAKYjS5ewZ%L0|DSa4hW5~F9oIut2kPL?y-NOdg%9?M|(4)TAFI!{wB0Lm{A z)%LjoZ4c(&3sUQxeo0BX%lde7ld~=SC1pzqWq(75@e`u82N&AegpooIrz2Kx3y#~5 z-ly{5?h>%PU>%b`^$jKOzdx)_%chbNae|z`(=OEMYNwNTB)aL~RBo@2C0_t=l_d%X|1}sQ>U?IN8%sJNEhBpHuHE$%J0?Vp(T`J2p8jo z%Nc{(v6jZ&A`g~&E@Xh7h08f?HxU+_ev;`iFkqAdY&bi0TKU*$HgI3awArW3>ec>f z&Kqvp!}3p-)GME;U*aB%FweS#)L4489j5 zcUlU)OU%H?TK#Q3Fcr}G3Y4JLVvkcm07u-c#v#m@s-}}>4%v!Y^Olnu>%i?UF;=;m zq$~ey)H%ABE>x7fy)jtL8%1uyK$_7{qfFAm48a+M*Xf&Dyzg!tUr@Qdu4az_iJGF zQ!%{utC;6C!9}SaM?m5?E|{D5Bgj%hPH464#yC=Uk1_Oq6`A4z#SQR(1jg(*IPf4t zA*qHABY{qY{QcOaop5Md)pve096z9$c{eL+QryGQYi_R%q=)710By5wa&H< zK!jPutv$mzsPY~?g=k-)_34>kqjAvoZyq7}0fwt{r zk{fzrMB^iqe?QuKeKf3!rI{E&Xe1Fl};BDPoK3sVsvyv4vQiN7fr;p!>|&f zmg*?W3Lx{2IrIo^IwUrKQcgi)!M=tFBg%_ve4sm1Nga}6l9!6ppZ)a=iDbkApDu7V zVah2#5OK`qv!$>&Er~kRkjpCx0K6_KT3!z7YrF!A=%hX4$}wuuR1X!?yDkG}5r-!9 zW2n?lKj2#B*gqnwt!iG?Q>axTsaa9sHKm^WMGm;s2`UmtI{Nr)asQHjTS`EMMP}-? z5>_kAyo;HMUQ9gn!`t)Xw(M*^JulV1lMLNk?OS&1k9$}D5j{^ii5sG<_-z2cD&Ig^t)71XQ+A~Ob#VKvRDAheRn9)_F9bf@hZ=qcH3VLi>sgm!;$pNi6VdwY*#70 z5dA=KPxXx7VHchUE^9scPJW}`%8*=nG?YbNIzWF`w`<6}^Gltem*M;7wcgS_j5&Ol z9rHbE*5D_?B3b`Dt8E_CvkDR58k11FXR`S=yf2GS%pT66jX4Wj{BhR)#f#SEpZi{A z5a8k6$;aK}ogfx0ighpUDrR`!Oo;!Pb9Emfi3D|M54KmTWRpk6%~XZ}gfir08a$JE zpHub_14oI>C>Y0<1N@>9)u2b+9Gz?!H^dx2I}?$NB|hKEW2Ty_B!fW3VyPJYcdUwv zX`b!sq-iBu7dzdL0oJh995E90OZxK%aOt;I$n1^rD+FC^$Jzf+=_RjOxf+1MW~bhN zheh%lq}YABRlxIMKNl)K4QbCpR3v&2mV>}=)G&8=<}%L|-M0zofdPhi?|b4HmEZDq zN!)Gux@)0DF#S^We_h76Pt;IrHN2tz6e01LZSEwb3@4MV={-c>Gu4apfpxCToK8v+ zFfuy=Yn4Eu+3mE6WNPwWgJFW@H>&7i$l-AKDo;stTZE@1I~?kmC5zsUfV_qX;HZW+ zi;r(G&;20py*SX*nK+W@1B~HyE-L8x9T+XiS3dph2CAT}@~mGrOdzZnUrOH%-c9d#;;k>>4cR4u6N5pzgW0u>vbAnNl&QnC9hhV#hO ztorn>foH%h-*Qh$?l8riZE>mUUiAg6Vn#nm9dg{d3*`PIcAq?8U+@i@gaZxhN?WLbM_HQbc)hufrfgM<3fP=T!| zOW>c-6_`!QVSLXX{SUadY@PkTIh!0Q!^LBFI09S~n5>|*nsEAUl6(_M`-S-wo8O;4 z`XRuueb?kMv!)K2_Lrt^fo$dzYuaRSPFlvLOQedu^n{e9appY1Z!hLTo%+&J2slo< z*sZ`$X$+2=<013>WYU?J18}5>LZUtzMiY~GCyB0z&kWE$Goc2q6qQ*H>bR}R{46#% z``Ako%ch6t(0cDmQ*sO{PE(e4ivjtsQ7mO0>Q5<)a#1$Rlw+?@bz59An>X^CB+6bP z*X(CcaRi<#Y(b5TRKZe09fc~KV8+khaX@2)Ua7Kr$6?Sh!E<=+F5FXgBHoeuOGb6F zwJzfiUJSgl9MMyR0Uf0hZ_6`A}>X}motrBaE*t`L-K0HUon zN-x;>`&{hfNz46ZO=IAI<0B0cnKLjt_iHH6JL#oZXt;UoKVR=~kW(Rcx(MN|VPW0y zbN0N-Wqm!<^N(yB$viho_E#YAd3nS)xJDWN{+VPq`>Xcxhd1@&^opi$)ZL!`o)7zv zX_s%gBX1j#pkhcjS_9bxfo5FM>){9F4qH(+_Gpy@qa5SwaKJSOFs@+3=wJbz*Z44(oE_{9)zQ&H_BK(PBJ)Z6PuLtw>r2WX?4<$_1LxC1Nx9M<+-rF0Xi#0z*3ho)W zhE8U?nwShk-sUr*@$65$6)*a7h-$~W(y#CC{wNw$g*$rYfz*Ng_!3;P67@rL4duE$ zJlB5j@YDk#Ck)oV)mQcPuKTvak{4S;uGIelrqc1v@hyMPsm1z?ntNvZckbKcQ!c59 zpZm~f{|9*tKOfp4ovl)luC`SRoz`bT>-)2`!EjE=vz1o-Qm-@=ls9#TBw#p zb6i>Gr~JdF$pkYWd}g@)PTU4Qc6fWfU6mM3OtJ|xTHtp=N>^kIw2#r=Pz!EPKMl9r zqY>3^b?jXZ`hz|88;64RMi^%VD-3k{W%@7QillBPlAC`Qd0{cgX)vT^POWH+YVvb) zfq&NMTdy^}u}Wnhoku-aQ-|Ez_zr(mAvTae zRiJL}l*1xb&$p>GlAuX(Y?vQ6kPEFHU;y4a)@(QP@Qz5um5u-41@nQQm-4#jZFKCG zO7|rQ1Dq}@x|_xA$5##3eeay+O*#{9R^;?|jbD0IaQT^TDqkE$vjC`OU)c7aEccSz zP1y-X(dm4B(691M2Zi1)kNmQ3xGLS=;&0L}J9nSfzgeVROw4%8p9?+QO`MQK^3$Fi zGA*R@W4TCr9r|o9hz%_LYJHK3mw@ENeT$AntU}X#WTSoLpfwqLsr%hF>DiHYn0~!o zJ2j}X8?N``mi6Uqw|3Tj(tZKu{-t#ZeKI_C?I?#|gKQv_G%UCCX>Xt>)2Eoqz8y$( zThy%gM51HOKP^Gaog;f}ZeSH}ef;balUB0sh%ox68;!0}+nS_u`Ps()f}U^Es%hBR|J_zd}6G| z4VT~gvETUv`N-MMt3kI5@{Yq3?$Bn7-k<*-ZzIfXqV6T{U%OjjyJmI$bIe|?rq)+g z*Ap%LWdx|YJTBG`g8|+u)6(v!yK9@yv*8Lay?ikpBuNWJU5hyc?mX+Hcdxe|^15P^ z@%xvl!Io-ee9YBN9o(mca;b8PTRBDPzN4z0gkw^q?SqXDrpu~!>AuDOe#IiLlaY2= z__vWN8Z&V(Zgz$ zz65`#*>CWg>6b;TYWIw?0j%!cGS9>hc+9f#kXqW370?OCvmE$Ef{I5 zz1f+!U3gZf@Y$((=7IN(Zk*Ev}udm|WB$brEUlUwca=*BGJ8rSSY* z`^&mNsi{AC`<;Un;gR{Cj zGh4^I&Q8RnqRlu#?yhiyGk*b=HLu?7Kk*ViN^jgMu-zVamuaT_Nyn}awsMt=`{&mz zjX##73Bf)TV3I6DO#9}gJp4VD-C$q?mz#4o`w>XMePJP1keQq0%~d4lcEdj-F1n0+ zE&l346-D5ciws?NSinz|Y|^`uta$tP8k6fYKqO~m`(){UO_!zf^gsn#9d^2-<12FZ zkU4ms_Hs8F6HS-ANmkOk`SpD8!DH&uPed5diQ(P28zB5-o8KtO+t{z{16(1aeen=b z<$dEi{JN%}qmRXW`vO`}&>o9jOLMuo`O}#bC)bT@7cpx6S>V}Eq~mN^Ps3EnfN?d| zuH{vW7S4!Jk`dmo>Q3CI-d3HldvsTCD7X71!|<(llXdOsTCS!SKx2RR`t1L%s(X~~ z|AS2L9~<63GCdAHPJXWchfa^@e@+WQ>Gae+9n8sDl>wIKNYGa&b2}IE|I$XXDwvyD z0mSV+$o1Hu?Ya56$@#e04Ukw>&7JLColMQ0k^Wt;>|}4MX6{0+$EqwT&8lwh;R0*#1j=&nnGM&I|o3RcHeaa=!oh#0hQjzrS-ri75Z)G!OJN`~UPr znwOmSzZXK;k)a2x1oZ!*J#{AMX8%VG_#eVQ=gX8 zCVr^?kbMw8dwS>6ZO3JJ=i}*m(XVyH_0*tIQjvx?V-Q~lR;Xf^&VJOsfxa7j!}D}{xq@f(Faw;V zrN%VOg}ESaQBv$ESGqU}-Au+eWLaU!j@~yrwh|D7>&9ytIe;=@JWR+|5cepF_mwR% zi<&Df4Rjca@Q(7=^A9xK<~Td)R>8n(salK%vSz^e`F=4cThD!&3eT9gEVl3+-Q0Wc zyYp+6IGrO~)X-q8Y+r(00i1^*zB}gL1&~on`N!GRhAYv-C1KvawpO(Rn<4$ z8Lv*{T~Svz-EmtOIt{~+J8xyb^jjEuGL`pR=f1q6O`4*;wOAM$uj|Wki_MDL-8nX( zGP2*5%rzjIys1t(HobT@T^JILBY1FJ7>XbK;nZTYW5-BOdy`@WUiBkk=$0+>X}8%~ zu{A*I_9}a3i2tiapYmitbvMZJFjt+ZH5l{EVB%Y-{5t@1V!g=Ct&FylIwXvtVT@+~ zTbkHm`;)*2g4|5&#G_%!B*F9XcK3RPxE#$D*@AR&_M0o~NgMVZx?^MFjG!o_K?-gh zIWkR7L+}f|o~OHW$kt2dO*Qv$CSJu?5i;#JL#I;@{4BZ@7Mt>IGRx}D1oNvtUJ5it zZg%tPmzSu10dj(N>)S3?Zno%AP#BSJXQ9_DSg~3&wc1}B+`iUcX{*?;= zE9;=!(2thdHV}OJkYrKbu4km!i70Bj{wFmT^q9}VcxG~>!~fkD@ga?)+NQ`r!g^Tl z;oA?o*ZCTX63*BHYlWZcb7bqQHB~jo7lYYMC_Irxyo@<_VDYAsO}ET>cN?|4@UO$_ zX9}tmc)cKEC98SE*N4NsYmO=v&K>R)+6xPn(DW!R#bS6(yzOKQXT!qm@}>%3`G&W} zGBmZ`&%$eqx;$d`#Dyu>ysSqFtqU3z&8<#wkSvi;X}MSKERs5!hh`1B(3@*e8kdI4 zW12bYu9QM!fB4i3PB3J0k93OMP@hX~f32ljfq|_?KY3Yc(G%WRoqbNzu+{Lb6mMAz zERJ8`#0o5U71Y(Z%@_C zb7^dI%fMLr~7MYvQbyN?tVpFG7tMJLMIT>|A)RE zkRjEga}(`s!J>!D^6qnwJbd#<&Kg@p3x0m=v5r=nbcqrvwyP~|ws81pGZ6 zT=E9iSiJ!5*u_%lk%tw4vzb2VThW73&$IvM^y|cxj`6@Ol@j&P-$I|{YN#9IHAm=< zhwBI}Y-Y3Hfsph*p|8R^Q)hBv=%Kxd(;H&Q4_RB*8BZ=*67e46}OfwLq)y(e{Wzz zX>*a|S9QJXbJmJWPj6>QiRuL@+7$dzR?+IXwQd2!8Hvtp1$uBh_mwbc;MJK6d+GZ1 zt7?7A5?tE{PbSxT#`6414D*t0H#E3&hTi?xYfQ%z^VM}{^t3oe zj{2b%IPNU6R5hLK-G0=I9g(fz-gSI)^R zF%bALi~n&3!p?$?ghM)uIFE&4xDp=6`ZD=g26Vm*rit`V1_dsPP_Y{=q4C z*+662!J|aF14MK7J19uv{8nkD6x0|YEx#f=6ip<{cRM2c*$!ToPh<%Cor;Fod3VQC z_(x@ZX(~?!$?rp+2G1(9W~S7!xbcyrA(s8l<)(5SW>3~I6&dpsRGS6TUA^JxUCj|| za0ozjT1}|!~rfL#@nw2-hR>$m3xSG046yHt26z6tz364$5JQ0YUQ`|F`8>c~K?`cnP zzXCo6(AL29@-sxH003YYVfjWAQ;-UWe}a?_w}z_H}#w`+2^t72}cO2}zEsUfDGnf%S3*0^PA z&9R>WYjCQL#j3Y8b>`l-+84l2TMaS0I=8LC#1>8Q9_~-Io>TF)^Pb%(%~e~d94&%xvGJx|UHFA#p7qZSI+V%eT6T$5v0Dpe zSZg-nJ!9grpHU*hblP{82ot6@^xCW5Ks_J*Am_z%#O)c^wHbG|V9J#c31@D1O?!{*zTx!LmhM zZ`|~DXM8b{(-Ev=M|c|B_h1uLSYj-VJ|1~|d;Tr$QcAD*MZb{??&khZi3nDvWIS94 zPIL7)lXJ`F7?ajDDX&iY~R*uDM_;<#DNMeGcwg) z;g&hQOaLeiRHSU!-Mme2(eoL?f$EN478ONni10+Lz@OEx_(8~gbedy8h$n(AJDd^A z4)G%oz^8?ju02X{$jpZhi!>Z1f_pPUjc#+QN+Kn_48Fes6o6mDez=%o*Jq)GZ{+YR zuVD+#X{rt@BBBA|Bd84W$=ZTg9I^M4_1@Jx**~0(eNL@?(i6r<)F?F_e=^jG83Tck zaujQM!)GPSn%eTu`ueBL*Lco?gG z`2j#u1yr`$DQJT*+idI_yPg|-6KBP}!(vtWCb5WqE zere4*=3A5y3kL*p6s06Klt>(RWe#L<CTVVCN3DVm3moNA%)25jW+WOvRdv);j z+>tYzTzc&G?tJ5#P{VX4py8nX^L@Aukz+ayN}kk-9Bgz;gm8Sk9Mk(j7|4Bs1l`B? z;aJ9UiwZ?~mbW3Dy{@fhymvo8SteK|n}!x=*3MuJXc0QTR<;J8*ob44s$8cRq&vR4 zAnhwb*!(d`zg$%Rv`p#6T#3ofVG~*jvcYwH{aBB9yeEt48H}3E)`(+iypBDzj>=OM zWm{Jq(8*$7HRxm58$tI8Lv8wgjG{h-SuuA4AW9dra8f&o&Gbr~;JDZq#T_}eb^Q(h zL(|{5(KEPSpF^REv=E7wxRx`=b(i=1<58fvK@p7;MDKW#HBS`b5a?Zey8VhRjgG*D z6>BT%j5I#>M~6=sXbUMHb~CA2k%}GfvB|<@wAdibl4h!OyJAQx=(d4NJpyqp=Spa1|L9~t+Glm>2*me^4e1uHHBXa6b$M;sr6al*yJ z8>WtrDlR7ELSyhZ{RbB3Y7;s_3_4i^7I3a(J~fKgH~cgHFQu0xBY8-Bi|@v?6GG@X z`+lUChCyZRJwSo=VRY5F6ooKc7NU$J){9M_F#H{;hqGdWDq=k(cIH zX3S(5j%EFqFAp;ek=x_M1UA|~UZFlKZIO7QFoZ%qTzu1k7Ph$sPgH-*akvVUHrXHu z8ATjuVZKGH11wTzCIjA8iH|j>d4JRgQ+M>OI|TP9g#4tSP0tPu8*-wD8PYS5^@CLF zSFFN~l$aMdsLi18D=um{`kAs$SEUqU0aCqbCr#{4?2+kn=w4THr%E9h< zZL3UiqE5%c8eydwX8T}@)BtVJwU};!1v{thXxq4fHLD|4L9^sYU0cvG}hPf)S%ZX z=BB8I_O^{${?EhOvOk6qEu8AS-(iqV3GteP7U>g;;5Y>}PWElekH<8d%G1YWU~8Fm zA4T2rq`&sZ*uNX1t<>8il&t6h%C``v|Fj3uf1X34VJh{3P@sUQjb-UMIahY|**F`1 z27J`Zfn!Md8R&y-p$CUd)=GWyle=Qco&IJjg9wP_R>GX|{t0|+k z-;G_|#*R%e8Z|LM>L8DoCtV@5dJm?Hz-g}8q=~CqgmwYO zqf0Oiy!Iu=Q)5P*5@RT$7Sdzm7mMB9t5 z!a=r-v^LfO>AHb1lZbjJ9V2;1>SOZ65%?tbf;IIO-JnpZ+$m2S#X8xhna#Bzc5hNa z`~H)$;4F;YcSAiEJ($LeTR$9r8CIC2O-6L4vbo&0O`K728F8SS1DiDS0H%-aI_8d0cDU9h`+HnNx>ARhejTvZYT|~cyF_KG98D@{)(;$W zFFL!}J%~76lpm)I1`f;jJ9V)cj@c35^ALRaQo0b}+h#&b+5R?|3WL= zlJT|Fw$H4bc@arYUi&R14+ADCL}La8i!-*2b``hv%Wbh3#;~?tBF?29Y;L0~$d<9v z|7U7#PZtoFw!@KK5B{(OhJB$jJ-z<=DIIsH7$q(r`h0}@X0XvNndVlfuklZb&b->z z`D%_8uj0msz}#9x$dzy!>Xc)yP+^n1qekm(ND-xQ-ia~RXghssE~O=|OIbnOE#CrG zoAlP6u9~49ahdE_YiG4Hvkc$+*fm(=SY)$t?L{@TKy|*UneCrS_|4C|Hj8XCejlbO z%~R3~wyI9Af@+1p+O1X4sn55-K9*X9KpSE$X2tgT=00GWX|!*<$*s8l54%fA@+GP!WOC+T!1E+@P4dj z^Ahsx4@()=H?hhqsl)1e@&AXpvkHo&>(+EP?kEc|EGxg3g?u@|~X#y&56z z`&m7W2LDygk3mL!N-0KR%YICYn}&@#7V6b-y>w=utwq;ZHa7=y(rJNMGe-H7i_jA=gV^0?M1@RlZdz-BRjxF`c~jFZf&){o-W9LK&4q|6kK!cs zS>r`oCww7RPV$qiD{Wuv7JwUthGgU6gtcxPoE_6CTn0&PkkoACNB;*LP zwN-~lps9qV8(5|tB)MFQI%eN><6b*>v-)YjqdQ^Y9^B{&waLN10qV(OUS)P*(tlqI z;d~mfb~+B&z+7LCXPI15UXAB4(H?ts>ns0VdP~cCTM_UC@1!mG&bkO!KYq$`*$U(~ zS;}$W@YI+(Joi&BfXYm*2tXEq+lmJ$HQl29q1*7DmtFucr6l;*tEt{JnDG3rTbaqS zbo)2>cotLLJU#)2$J2DCf(D2C|V`Fd;SAHGHi|L(yVb# z_E@_FO!r6EiAswa-)=A>%$=OHIrV0lA|t8ZKsO-xx9zgqS!9PXWGC};x6jG3!GJB_ zZVm)w+0FWU7+_W&03GE2>@q2mar^C4Dgr>clEoCv{3ewqQ7OX^_s&XBBx{9kVNiO~ z5ncXxa}Kz4y6CM~GVz&uj|1|`v3t%{J~YU_&}{80R_bv9)0F6Q)V*&9qcOU%7Jkrr z&oSY@`S+CTSD#pydil0)Hs5>uei&P1y@J9{ANT}Kp3HIzWEK?5!H!G4X>K5U#y1bx zf6kX3Hh12D&;`81taPL4a;o*J0bM;f5X)FftoNXPxjO;@%uu5#^Sp}h@~B4RwYawa zn}F8xv$;*0a!R|sqmq=D;te^E!|mz@9fP|p*MMs9Y&+Bf393lX98P(=ZUId)8)Wi6 zI|*`6f34!1&Pvyxl#?=YJI{}exY+he$^ss8g!kvX`+Ez1G%2qSBg*uftrzHV)$ZMd zM`O<_N1`#NCKOc^=i^IC{@0#|Ez&0C*qiH)s3Yu&nJ5HKZG zVZ|_&%to3*!dW4sH-m$l4`^p6PPUjal z#{i$CDAQD+<*!f0nR{v|MOBH&`v6ivc8~!QHjabG$Lk%-d5?a^^OMr~46zX8Q)-jmq*UnZYY0Nx=+M4gq}R}lE#I?Z0=(+E(siJmtL?L2Roug#I$6(37M@xL z#3hvT`Q+OkZe{phR5Q!F87CjoQmFo$He0`?IX|;CQg5t!=liRz1&nsoj{Z~_oVR5- z5wefC2N6djf(l*GP+X-vQ(P$)caNY?YrG8$`L&P^!OL8N^yvtrq@?}xTW8kakWym@K6 z`l7vtr?|*etPoziNH*(92X2Lx6{YXr?R+e0YM3ANLWFKI;3~H1rI1HV{YnqQdZsTf z@y78a^|CzjWjxl5IMpZEs8V$k@(3=Z`Z&%j@D6d>H>%07Ul{zG+xYIa{-W#Csp?<@ zR#=<P60xuexhz@+~SLMbu%}kGaXmZ<`SM zb0y^mB!Jh)#U7j$b8PP`qW;Z$@YI@h+7kn1TlFh`<}tJI0P00fde-?O9gfEG$RzVX z=1Y-c*4N8bn5D+^++7a(*5nGRI^`dHjl-P}IxTUt>*Z-LiqaS0yH8H8XK!yeac9Gw z->-yj%|M3@()On_Y1@ZEjBoGC4-ZGQTi63jZw0+X2{V2893DbkwS+7V0nsVJ) zZ|}X-oU;@k{mV%pl5qQvo-VtZ2v)k@ji?T7a6BI?Pts#Ybx;GAGfht<57qphuN?bo zo3AE@?|c>~B?(?$_ZIxY!=dc;{ui|V9~}J;xaQ*G=KAlj{vS5}A2|E}HLU-?kLUjr z+y4iiXZ;88|Ht+GZ}B`U%jcQ@Li^4xPG&}Sh;UL7=NdX$1kLrnhZ?pZ-$Ng8BTk0q z=lkE_IAKB4ERMS@Q}9edIMG1@Y>)jEz3*j3w9Q^i6`OVzU?e+`Y~JPMuHUVyzx#VU zPKD(?=HT7uYze$R=a?^@tzQf!=`Edi`f&vtZCw&>uHpCg-^( zhp+(W2Gz%1xS*n{q@T!@KZT(meTJFOpglqzT;x=Dx*hXzX6#{qeE*hIdovPt*|za9 zT=KM5Q-JoSq)j!GH@$Tn80ESvl-KS2K1Eb^xUPEvSUf-YP`bH$S=J0XRn-{gY#TU~ zIi8a~7Q(D(alGD6z@F=1YnJWhgNU#+x%BFxPlxZL9gGjCsc{QJY zlRD!)x*Pkz>GWltr)-_$B%RSwED@5g_z$9rE}kc=jzU8OzbwOwykAN%N#0+rQZ>nBOiPD^>g4ayx}zGOd?nf6+|?EJEQvQ;?B3L`N9zUnT}tRzZIpi4O$ z+Fbul)n-5G=|ocpy&f?LRoImm>=!;KFu5HfJ(fwWwB9RMcU*2h{^(NXW8a&-os1H! zLqDM0x=(Saj_YLZ$J$aS1YYWm%DD)1yHy83mTO8x>(P;VDUH!QO&; z9wrKlKi*@PA3a$Xr{Snh9pDR_!y1RtbSL18gk)z@hI|vVRz(38R#OlbYa)7BA@^7i z8v0iOExrq6TE4Q5&r>t}(56&eVD7=2(BJ5~oHtb-;ve-m{4O zOgEXOsF7HPol;#>%PvgiS3ipzwxl^a$M}|^ati!C-GcAYyyS{6Q~WzXFg3C-%>29p z-2UD*am`9fvElLvVRw2VG6e{ZiJd~ZuF=t~d-3pHa^wPgGO*2gV0mV|u$5?M=6Wyg!@xmPJtmka@?@mr$Fr=1 zItIpVI{6!m?4>cz)q6#Y>T_}rk(Lp5DrlPb{Q0`=e&%JOUq<>oftS)r(s0KO0?T^D z(0&dfw7^n9VsE;fo!RA2RSI**ZxJp$MUhA{(YXs>*Mk}Gp`ip)4j7DLVL&OT;H6gZ z?w)oLR$!twjEptQpV6TFedwTUFa#$!;y*M$HEq`&BYPhT4wtm!x*oo-ZRuLKco?;P z48hZq)e@@70=y?pM8{mUzB4|brSuG-j}tI4ehfvpO&BhCA9GdM@-*Up;qxM~s>r#& z-YhLwk^5R;Gs*Hp4s+C|H2ni8KyWSGxO?W>a=Xs;i)8#R#TwMgaLMtxvO;Ft8C{c3 z&5X=NAfYOf#B|xxDXe{BS=aYK8#@mJs+;&9FZ?$B(v&6#Qh zCpitra{4{@Nt+ZmE9I$y zhhgP$!5Pe+#k&QHV}4Xoy+k)#r`M-;v^c3$KCMVxVnII2z#KZEn5823@ zU2gIz$ErJLuhs}>{mO%!KS~U&XGz!-$p!#gP?oT)pHbN#2PlT8kOI+AUtVGQ|0`D&Pm3cbt?6Qn?}@AsYT=&zOPD# zxP*9Xjbo+ttS#<6{Wv@Jtz@0)yMCs_oL!JCR6=hv(MI6Vibm6$SoL~LZe|v@(?W4F zaQ5I&u^Q_#pw?bG(fEqNj zTd>MvUQU2y)@(AP#D_m-J(6GG#+|$nD=c~*JtGXm`fglC5IbSbl@~ET6+U6DfwW+4 zF=*DDL{_kxfmy5KWI(w-WcEo z>*^}$xK>&G<=MyEn)4d&iXYhXK0@B7M@l2y$fuS&g~vu7n9&i{6f9MVDwY^rbbm|L zqS-7x<&aL321K&&2blrtan>Xf>gOcXCmGWQN64X7U^ZCG%q_73GZ4~rUCU&W4g+}8!PkK;sQT! z50mjPeK2+MN;0kPu?*>MIBquPaMQa)Q5#E23zU(QA;|_WSYB1GD(dr9#QD@vFJUEG zDB*HgD4tW4XFv$wS|GZU8A~xYQOi-*^-OKsCeo=pem4*~#smE=`a}I)C8LQs%ncut zto+H)zC200{toLcOV`JC-vM+^$ZI{)+-!N_{00owZ-u&e>EmM~iinp#E`Q@jH_0FR znyUKG1Fm*wzvx8wb$KlS*5{gfCNZlthg5iF-%fqp-B|RfPYke9?x*~Sr#e-8f0@^0 z3?9r3g_0PYz2cW;+=RuJsI2_KSHPdH=;0;0yCIs0Fz__8ufiWA{dhhdb^0U8ATJ#* zL7O0B%8sj{j#o#&IsdcZ;ciw3{iB6RqV zI!4vDNYKUf5g@rSNb+_nI8B~ToSa*Qt?*pbTy{xp|9TOfV5w&D={3f19ldC^`Ij=z z6lc%`5HX46w6TFg3+(|B2uo(4wwsexmCx*yvGkR3f85=vw-~K|7Hq<@4&gyYx=~Fe z+G9|U(Dd!)#_0z9}252>-n?`U(noOqNrJC5DOZs18(KZa~W z>eD{WEiu^4tyqxESj=aXOz_8?Ch`kLxRW6>ghdl#W`s5QjYBE-{?L!zWJsmN{GlHQ zDpik5=wg}kpwTvJ{dE~7mxe|!nMV&)VS$m6Xa1uVmQRiql+B0W6!@x5<)7G|GL(Jk!dy?;(F)jGM4+%D6c!m{Q%8MDJN0ieIqfX&q~-Agx!T(0`KEb= z4M9J{BOU7*vH8k939T)L5&j^cPbO65LKgSykF)%qt3v*m7K3=bVYn4oZ2=?#TGKRP z5X5uSxtaM_WX(EP;Im|L_g!;w=VZgilUl>4&HXd~fx~K{Z zIPjOD8k$3Xwk|jj!#KGySQyt%KXP|4H*-G!|MPSV-i_a_}@3qeismG;f>tPt*ZDKP`+^dSd>9Mqn7K`29 zmzO1g@#6Ix(Z_6!QO*F6xFX5p?va&ULtL;pk@Z@7mmZ=7PNo9&nG?Q5UML%8T`% zn^!7i#B94gX{S9W`jAw_(3tQQ;4oDV<)vwCngYAQS+)%MUN|>)?Bic1R2s!mz~p+1 zx$!P#Z0g#1>1uAibnrY--^yx-Tg8v}Wu-xwoaYL`tucZB)|pog-veqW0NaJro7e?K z5{t!g8%$k7NwNW)$pXn2af%XEY>H4hs8M_#kVfuxxgvlB4UP#>Ob#eY{3P13!U}W{ z6wZMCeZ%-9aA5_cK_oBlaF&9r{OXwW(>WhlrWD*R{_`^Ak3AHZnG)rHh(xXX z{Rz1#6pRipCc6EjUn#+ODn!>lPFJtC06;t|+Rp4I`{J--|;?u$*oKUpo zw3+S3LVYQ&Sd-(ae2NWbwW6#z_9DD6^W&-X&%?yx&TQCXJW012+DxLK6?<(kQ;;#w z3*eKjya8@8wH-ToCS3cmS$JOyRcxYVv=EZn;*>{g0-T@_lW&5R6(FII36}t~BV6dH zghJ~pN_233-K1rWCq3%H*Vf`nvg_UBQ4Y;Py-KIKx;5#~pt+S*V3dAZ&Y&3a*H*z* z$+@j$I?HZXR&gx;mE1)$}Lj7%k0R* z!S7Cwj)%T`4hz(oy8cXf)hM z@vT7$kRoHKW^I(8{UcgN8!%Hj|gOKf6y}zWwPX^F+%r z?o>WN+xzr5yX#z|o=4*+o7pteO>+BG;r;=k$t$qb#f_9zpllW8FhCC+l4%LEM@T`R zjXH_(frg+YcbmWkF>YIVZHcdcw;N|7s^Vt(aoKQmy7tW7dap$337+TcWtj0EE5@aW zH3_vO3}(AkuKKXaB^&kk{Ega|A7u`|9?<2dN(PAW~{oew7k^taOcm(4=7iwGRYAs@IP^&xI~#pxS6XUZt<|*M)S69XA|1Egpd&jc(^}Mx^Y28ukCHgLGaI>QuQ%V!$ z%dG-{3N`OGoSA{gAM@%n^f}ugO}6}lKrzcykPwqFzpa#-`J_h9A#oJHLTeN{zx{`v z<1<*Wj{e*Ol^8fAbW?G8&}3p(#G7m`#~C)nbQbhXf38ylQuKe9%};!5rw63W<58D> z=JgQ(Aioi_v|8S}E_PYz(ZWZ4G)IQApDvCK{J9?Pez$V67w@afE=^RiBdYg}wn0$y z@7@S3^2`$tUB1rJM}K0Z^wR6MhyQ5Jdh9*7kNIFjwA=R= zlGblUNH)96LQ3u{ESQ0i0+1TVL=uOKlXy5w%?q=VNDSg43!_YjqSix+5|D!u6$8mg zU~toaOc*JR)K%>}Zv$YAHC1MX4ZlF3(o?x05Wcw}a0Nbi8!S7oz@h9$lF7kEG1EW} za__+;O^8IZ!LUqW_H$FfL{8h@u4sk$J}w+G>d2KGSKjLG>GFym zi4?4VEq6e}ES`(RdRG?FeSeV@Rk=Qf)>x-57ZBJ7oztI1EoUt~<5roz%O}%2+K!OT zuLugP2SlQl=CA|C8h zLGuq0XTv2jaWkq!Yg)uh(Xwc6^)xzkiNBTWT8hofA&N7OB)Df)e~I#Nc+C!#+htXR znZV*#F1IK#a+ymtht?O!LS+dTb31N2nNuU0P_W;dYxvt8mUd@sXTKKg)X3Xltw6>X zA%9CG_%b>|7A}PJ&Bya6xhz{R>Y>lsLC)oi=Qi!6FSbk7$;0?zg^`{;&z{z>SmOgf zPa3!go#Gi)%k}NYXRZ1X^sIG0?PF^{=0;TJkEU9++TGC8dX|f9734WIOH>K3XW&~b z8B|(}p?DPL=UWWIN))IANyG?VD2#q{F6fGG%ELKikh-+4g-=Od=A8*+rI^R|x5TQ} zcDX4D6F)#Mz%S{wH9sNhTU7PxTwKickOR4ao-iwx$AJhe33NL>GW3q7(c5=zwf*UU z$p>_vsF~{|+c#rTElkNo%Cf~5%~H*@M|1JP1BdT4z7r>{n)hc){}oRj?VL1vk<<-+2K>t4DWpEp)>ic*%Y2{?E)QgSlQ&xQsEiWw%e z1@tX9)*R0ko3v-y;$K!s^mcew<7qiR*U*pEUV1nGb=BHQLvGkSNV(6zwl1Yw@sd!) z&+EA5+Xt~FAq5=oD)3Oc$YHy`s>tb8Nbi@XZzlNMp|Sw)D3Zcn z>om_nn#$@-wnNxdKsO2|WcIJ4OiA)8*mNmbsTghQ?XumIx)&)tySRhLtiYY(WE$U= zEKu&@VI*@HKgYkqur#a($3;yX>8_LZ?u&Nf{+0KhmM~G!Zh4oFrf=h|gNVS&j~BS= zsqszCeP3IFX4R+t?8zf}%3ctP?py`Nw68z3j}$eI`mgzz5M|`BDg^O?wM8*Ow|)a& z`?I;O#CpeH79}Z25}+TcEH>Oh39Q-IcGWuw!;vzdNwqZoDD5crFfA;ZI0X+{C3)<= zBXiIqceto0{yy^19%YT)LTr8ZzXwtQpi!iIUW063-STJVgM( z!-omwEH7S*$+o@@m=|(>R0Msh2BKq-=T4ZNKhCOTx68Hfo=epE zupiO&yeyRkmWCRuYE{6Z#id7^1-F>dqMAsE8cSwtuIG7sVje6Obssp}Na;qqJ&a{+ z-o`WB6wK^#%(#6>diVJR{kpN#8j_LmHfr{GP9b2}-*lqFY=$H^(g>m&pI>(hVn};# z1-j2k#^xRIQWoRpd35a5wBE8}Ixz7nW$W6cw|Xh3 z`8lcz;A;+#8to;M)3baNMOPO&$*jq*bRvI&XHp2nA&w>r{@d|>q1Yw{W5XXqZ^-v=B z`bXY(6{F7E==lSS+F+8vNabW8>UAL@q)SMKSAqdl2u9iH5aOWR*{;(Z#q1$+3b6a5 zL*)hVK3zbRZ1Ymm(l9~G3AnK%NddM~d3xzwIi>whQ6pwXMyn?s&_G%lwzIZp*POy? zHL@!vaM4KPxryp2wPtKNaH;lgM2JoBJ>LQ}{3azwqVwUruC%xL8%`XZ_i0kStm=Id zZX*&iF&C!rT*hl4nf|#&xaUuMfXlAXB0#*BMQaMiWCho30wlqlIH^2L?+!sWL})g1 zE6J>mpaO*$7EHE6dL2?P8JJ+4$c@%Xjz7vt6O^jhUtpX3C|a)?n9z>7zHfPR+o(8H z=GFJ5eG_VogF~q%Go+*+KuonI;vC4pemL{ZITL|-t<=MQ$_1^o6}uDj4jDXZiOOcJ z)=~`iCMNi;uH*}}+Y!4!>(=W`ZzvJ2aclKjK|$hblEhzs*-N>rBb88aoEJq-+BdOv z_b3Uo$O_xe;C!ZHz5d#%vI%-{R(=LH-MdoF3~~S5v-whS?A+e7ri0;!1&bqx9Hg_@ ztW4c+hVBUTD+I#`ok3)c8%7g#eB6oWNI|I^ef75PfI+vB@Ci3bMuc;uSkq3jgqaIK z!pa4+rY;k8F0{VsFe6wp)mvp;u|N=1@~FH zXXdQsbUZ#9I~1|02nqP~@U>@ct$r0`cLD5Z04~dhVEjX_|J1_v1Yb!_q+VeIN=up* z<2GgvuHd8HZ_i--L$3oeQ?3Yx=Y)bkaCUl*_g`(o4=ofQkpY)W4SQs$wPqtPb+rye z(k$xfKeVMjV$y9a6X5MgFaHetw|TT5)HWqhr=BJh{8?o;jLPp~-4L?nsXM#d*6q3d zq@Tv`dR~uxs5&uf;}LDFoGXUTUIIGtXd0}FpOA!Rn&h_ou#O*@mKQZSG< z(y@J;gm-K zOyasHg(Ux4yVP3e>1^E0EJ}Pu?yGACjJI%_q*jL{*xIm}uV@2kS~!DBszd6HZP+ZA zwed$=QkkOd7@{$B#uJtoccogIahhxykj!+(i6TFn_tnEG5>WE~9DK2DA|kwp{Iz-M zio7Flq2w@zn95Swch!Pf@c_IZPhar!*n(Slk#TmytvVRw({8`D~?)LrW$a2eIPx$G{{*YirrYS|5IvWEkScN4Yqx{Dq3kR#k}H^0pI(5i?n zgtGGi4a}0N_@Bi){}QYGBWvOQG|Bi+@eV8N{~&hx|5UugNzDCkp$*gj#%AMRs-6F0 zv%&h0H^={(j^{ruI{u4}$C+>>#&@aqanUcq#F^bUBR4}0NP;?`ZAZ}~)udMrf~R2l zg?2(F9%=Kk<*@bh0_V$Q0fmEc2vdhoX~3uNMo^Ftfsltwk-PO7R5#!IOOW5v<^09T zf<2(4?_7e<&EDOojqj*)6Detfp!ZYAbH8%&NQC^#bdz8DIPUmLfj=vA{5Z$`+hMHV zA(jv?cX*4CAcl{_#SKn4x+Kd7|Gg2}9fzZ{9gn47P|O1*j)0rXy>H2=?h2`QVA|Sd zmP^68O9n5;{zpgvceU;-jW5lU62=8B_lDf0v&AsWp{eARI1GA`?Ux|Qls+j$m*-(_ zH?kMR4b`lk{+uh}zrSr+lv0HA`k!vD!JA)*4A?)G?{*^m250McdL0N6)SukPJ_P)) z&ie91Cd;Q6>`x@HbJ_9I3*e{s4BqBmPk`alcPP1o-~OIorBL#fJR&egS>DlRtd8FWFG0A)FK?mzP zmmN|iGl+}WKqTzXtErKaP zBSq03ehg1dZPvFCcZ&hVajd1WP!Dqw-G0rNnASKap}gms+jJ7CW=Rt_d{e#l1NF%B zj`PP*Z3HkRo(@+eC#`Zg+ztQFQvH6pkM#7 zI&C(_hH3gTzFbG!o~WEv`v{DS6r7xIZqnX8{}pn#Y4Qz`s(0e- zw$z|iCFLqeVzWgR+^H50q9c*kn{|vn3N~vYoMc0zc(>p!B=lhV;>y zK?2ih{f*(98I$xLv}AGM!5JB$TU6zoUbLCS#Hr2qpq`Wg&VbbS2a51iPW=+FQj#;J zKwz+&wNd~#ocRR6ZyOPuyHKN8S0ih+&rRal{0r6Z+otG61xo$A)@<9b^q7jSpFz36 zAF8X~DTya@xz^4J+TXh6V-9YwsrSPrNf5y@YsU(I5D>~&!lkZqyW6j}^W5u|ajlw` zF6q!_p$$_kp{}KSD{31b=}eaG#yIBnuSBedF)EII1Mevic*@p2*u{UoI#-Z)WN`U3 zj3ttBx_Avdp7U;b@oQD2VJz5loM5@Xw$U$JDokEZuzsGpooH05;f z7IUtjMGAe~%17`*9DO^bbUQui#J!w)@*b9mWS^P9$6wkxZy&_jf_XPzh%k8?svJ9$ z!kP!*OKZ*Wx=1sb=OYy50hXLC1?yex9-;RiK5e|jW^GF9Yfk4i4V+d*LNdR7ofO;i ztQUJj1kZq_crGrB)2xpQddiFyq>Jt8iHobl_m%3y(VZ-t_>CV9K_9@Tov9Rx$z2h; zhMI84-z=k{u!Ju2WP!$DgkP7+jL3}=_7!@#E7U%Ek9m^Q20KEWT^?0T)f|$&R9!^% z8G@ZkT11tUoZU?1n=>uM{L&-0*y-OLT(#vploOm*U2=`q*%{5vw84XHG^ostn(g|P zUh{jv*n72dR87sF0s*P(t5;}erPTI~Yg~f_Hz8gbb%ij&7UIY}Lx1EA_Mvwq#mgMb zTDL#^?jBOhhLNkxIg_40Obq9~dYtfqLLRyNb~tPMce&(__5GLYc+-aigTkJN^@A~< z2zYMA1&f2^Mn()B&M(#NCvDjxxUk!A9}gGePZz=Ka8b%oQ;Vg+Gy2K(`LBu&Zhueb zqV}2?QyephKvg>}sKUt77HO3uKLB)N(cBGvKdx|8bxm>&c#Fgs@qj9MlReY8-p7AJ z3cPgo$Eeik!!GizALD>}hreA4Xn(N_gzx9Y@uigSxYML#(C?3oSSNBd<4@aX?oGB& zvYYgqN2X4gXR;WvVbW7IC2^{5G9$ksfJ6=I@S8DcC)gGl5q(Elr+GJ>V7w)VZ<`t> zoZ~+`xyCPoA6Sg$ag@Ik((E;hb=_p*jy~o3c<={x2D3u~Z0^1v8LLrx zhqMwbYND7(%O1N(FnPr9Re3`SIEX2PTj4Umc*GV;W`0h(!{a z4bKx9rMA#bzgnc_e#gE${5U?fj<7nOM5h$!U}P-ZL3V8+zmuh9Lm+E+ky zOhT_WPkU*pGKEW%UmMZN$CnaBTa(L9XoQK;EBF^$KZQL>UJzK#fc!j!JRr{5Iy{fo zHEsE%uRmKh)rukF7#DS+5$xF`SK73gAPk+H{|GU%??g4-ig9`z+AMGJwXz8wj8ZIK z?d?}_?ko&$vkZI(MjsvN+#MiAl|!>D{hrKX74c?S-c2AI3Y$`W7;0{TP4UiIv)Drv zczIw3(6lDi2=yJM0)+GY0z`t?kk8{rK@ zqAk8yFn20ndHg5znyeek^;~!bamqevCs-!>pF$pSei-R(<+jqFp_Nxk$t>bQ2*(@U z<|;Z~XSZH2$+{B>=)?AS78eDty(`^!*cpMFxFzZ8nD!iD@Q;-_=RI5JOSFj718*{1 zknZnIvn-+u5f-FTuyBV@Lp)Z72D0JO$$(}mWeZOx zNnhqcf62cU0sHSA5&OI#4h}cMi*Tc>RxvYy35R z5WXAbmO77Cl*M}s37B@pVF%-SL2J2#2~jX&!wQs#u5D0X?N1{l`tUC%?}@4AvZ*^& zdc(H5~*B(B`6vk3D5csjosSI?Ox0} zY$J|?xT{K8fdq0;!$^lsQhw>48vNde#AB z9u|Bk&z-t#ETl0lqk?PwI7D72`x<{&a0wt;{JqtYn}&`~#h*4)t-_9M^8jJNbI)xw0(-+5!p?KzE5CuGG`3+Ien3sPl`^Bc>9TSRu>j}ohrWp?uzcUc=*chjLfRIKCDnXioHyOfM&}`3|58p1I%7V7J#rBkq;g(EBVo{28%V(B~9P z8}Cw%Eq<)SR-z}E(QhMhOCu+vjsYEhXv~8?Ybj~84#I&N@C|;_YBoB zQ=*Bg#^w~Kiq&u>#a{f;q5R~Do}$tAzCJB3X@2j3z7*PwFuA0^un}Yz&9&|(QsnLW zUe%qcj6DQ7VuA>Jrk#?B!-lu_bbqiN(d}>s;U!ZU1QK86=ESQx`(8Wnm@_~`lBg&} zEZU}H9W7)&^F5#G#dT@W3fCvDok6ymJ7sIzo#wYs5U*a4vYwOz0?kzykwqirXjt6- zUJzVn%s!!6Dq08=GFFCopNzS3-5>f$%#0yJadQ^K1TBmzQ!H@vj3Htcj^ROGS&r>3 zs{~xaiE<-hDMa|s{-CLV7zdocGE_i>7qRwWE(#S_f2%%Z!C1?mMW$PPQ2|8U-HL0^ zUX9}!e-Ab{iMKant6A{uah)<_cgbNT! z%0VKnJfuy=^|GDEQRU@=QtcT;<6I5z6Oon8TJ96XW6`v*T0!SqHt(BSXfM|e#4XWF zZKc}~Ip^p6sVGofoN`S59QvjfcE)Z`WiEx);c6AsIubZUn{tUR$99uukifa& zA?x(*z^k|2k3OsNv-a$FTUnVS58%#n*Wg3;lwE7-R6pF3mB}=UN}hk4S=LL5#Pm4@ z$zZDy41EY^d%Ei@dE2nTInQs5h`Ug9GyY=V1T}=-HXo0GY zf!H-mwy_N;qYBbd8g``D9d)4n+&;q(E6H(UdaKG`X(z4ua*(A2NTllHD)5M8o|7o9 z?V0R7zOQAiCJ4WyT)#9Wbax(-nGMTUdDvP_wfGHI_(a0m(hoahfxvnI#N31%7bdtc#N(8KU| z=BrvrMM3gTasHH7ID<+uui>IZdq{WZnjK32VlGdblBtg(D45fS7_nxta}tKv0re+@ zB)ba74nsy#525qw=GYuNWy=^Pr;)+yQD?MW9$&r=XO@2D(k)8NveVymLq%^yf^Q*q z;ygwlk>LsgP)7qTW{$bk$WOJ*i{XAzjBlHe8VLo0xETh?z67K(fD=?WnN{gkK;3A3@#8+pSU9_;dM`VZ;tHz!7 z0xNqv$~R`^AQe+#rJUdFeYw~-8=P&0csn{hDg4~Uj>Y~s#Pie)juZUu}<$l zdP|X$z57@LUhn8?1F@@R68-^SA-Y1bu_b{>ApfH2B-@*I|2LRWHp5{59yFCVW3=%F zb10gLeCMD1D*i{}=`wnJRoK?7;X^+j1H}vn^T9uP-Kh?Haz}*0;*Pnqrl5~b_P^p7 zQuI&9Ck!y8i&v`;Ug3;1a06CMy68Z0MLpHcR?^Ft7N~~8c_d=l%__4UA|%<{?BBZE zkay(e6tZzVD^6~^@)P_Leg_NzsO5S_Vv*mYrTUV;n-~k2x7>c{7Gcf|p8gck_gu@? z{3_Ru{yUkFFd7FXKN*ZL82_rQ)_h@v#z??<--(e{gK22)mxm$~O@OkpZ0lE)W;HjQ zbMzP?fxd(A{(i17161F%N8~9aiBtloUIM~IeUOY1v_-WBZ7j7m2N#B^D4sTumVlm~ zlbRShyh>Z8vK7{0Rbs{<}}QjMC<^I@$LK@ z7L`)`P?uTi&{I?({lONSU^CGX*;OQL81^)*CGkvPf7Er$lQIM|Q16SXwI{Q=;!l-8 z4-}{0g}L8+m7i2v-tY;1NAslMT^{q!46q71u`K9#uZ5nH&0IxYQ!VC0#FTqPeI8c* z5Kt9!EGkQO`))jfns>Fv{P1#1@k_+21==^}Zp}0AoogLtT;L{xQzDDZC+Fb34g*zs zsG+)}*wMjWf(Ld7h`l(ahu1Jf?Gvf3f(+o>)gHt z4_3?&2hgGw)uL>bx^t7#dQE6vjaLT1NE?6gVJwCIHA+{BK}rb=DX1ovVYstFMi~yX zN%u2al{zvU_F3ddrtp+i4Peu%0?=m##Z%gztyx;1?U4p+j31~Ukxr}uk%qIMZBCQ) z3nLlf5>t7&nu<10b-IBBUUX7EE>>-*>h0niWC}j=Kf_j&R5q@}lT_)V(swT;4Y7kM%llH*gRH0I{)7jz z#()dF3rC%&NPvE?c0@t6wdJ68tTB6&0%Y*f z5#AEstC8x4-`M`w(-Ky@^Xf;t^JB-N?W8xphE8^to)8BoHM^cODv61j2WhZ&zFeAR;@@Oqj;R14f^`;w5 zSARdbo#Na^E!tw;ePMrl`92r3;~HZ?8jj%7M@q7a-Pbm=;3oip;4AzscPCn{{#IBA z_1~C##~@3aZdYtF59;4sxI5MZQHhO+w8K9Q}5pKZJfK~#QkyO#`(1(G8Xcg zD|6^Se3A*F`!uoK$tgBD9_9#zaHINetF^1>rr?lunNLbUmY3mxPn!n)WQ+Qyls_ z_~P#_!^4G0yW%~3hU}$mRK+P-*=UGfNQO>4tse@{rI#KROF31zhB@UMG{Z#|BSc3m zM{vT9=aRu1D2!JAs*EOFcl%iPzR>gjM!u>|J?tS0!LEAq-+p-r!~&6P@e$kx(H2-i>I$_zRo z$bpUfkcerbo=LASGo}3!$O~exshOZ7*Ovi%U{q0lmLe<%4Qe3QissXwG+~}(aiSSZ zD$&O9`Otz>=FJ=DWe;a!mRVjOL?Acg;KQFY&ZEg%IQdsL1K3#dW}nnvfL8w_VHFuv zc0X$L50{=9lkSg5vdMg6QrKKxOFd^6g*V7leS=G0zaW87-MuMwByztjU<(vwf>ab^ zfh?xomffZlNbAVBg>O4Vkq2unvAcIEOrz%Ri7hD!Q zU%rO)NI|h!u6M-JHYiBh<~{5Uyd*)!B8WcO2;I;wU1XX%!@hlIud4sp#ubzNT(0Q@ zsX5E+LhBqog6YeE+f=?mE#JX+wIlG+i%jv3Z-BM%t}_$ep8!LN7Se4Yl;CFE}e9`z)l zX7d2j{I;I!4`%gm(}oI>QSC&XhOY>6W71m&4xb!f7crR%PE=P%(o<iXmKvi%00T)Z0u}oARNP`nP%g|=beuPo=&2b_P1V!@8z-Gu*YM4p1=(IZ_rf5cV z|K(v0jB3(P=#8(7J?j9%`}m-}L5?CCK6PHVsdhY$-YY0{rFY778{BFHBRlU}UUA##Cd0Q&}G}a*&PW|g~7vSjYqo5^vzqqm0N%)ib<54WJicP5N z#VN%C>jI57(Y$R0y-|>tvxKbvGs6Ubz{vJCvvUCBTQky^#66RsU^{0QndnNQF>94D zo;QSHu)L*C=X$ol$D1^fP`hSQMpN=EsJ8ArxQ4jJ=953_B$_5`PQvE|&Bznl2Hgu! zRUjob8@G>z@=OI!Iq%b%49GI2>G7=$R?%GOU;i;zFs>Cp#wI=Q(1!Pnt?ysv2aYlS zLlyO(QsF;EMK%UDmjA4x{!7N-|7yL0|5s(ye-||PQ5^UWI)wkK#qfWoL--FWh5uSc zop9a|LEJj0iWQ!Y!+|&(&WKPaj?XlUzGsi$x2pFO4|N}m`T+60Ej5=$IAwx{^1 zU@f>m<9&Xz&cu=Ns-MovaJ%~q8<+;EUP-IfNL%PiP`V?g$V;*V4!n&}wSzPfund(q z0kYPPZ^6FuOg8`SqR#B&nlCYzK$}(i_B`;@c*Q6?)pIR-CDUDDA>*EwL#iy0aiD*p zI!3W@8X>o|WSz%^P@MnulF@;(pKxL5z ze$fL9&>yULJFiSMg3T$Cm;In2Yew7P9*=M`ra5HXTSYf}k|n9my<92Kg|TD>ZUCyw&9r;jFxDt0%zxc&K0MQyk7 z^dYS2w!kS*f0}f6t;YV<(RmS0F-2e$q_3PQF1D|2a}X4;*joYjCgvRG1@)!(qT6R6 zz+T?73O!Blgn_A#25l1vU?>Tr-uP6yxK!pa;^%6Q-_F|SuXSH_jW_#)Wcj+gO-I4#LBR78C=-zgY))t^)J?>kID$iOWolt!`D0F&Ve zTF9iZZf!j+vAx==A{EsS(9B$Lu1=O-|i5Kjgv_VvoF-3Y8O|8 zA^@INg6h38E0wraACBz~0AsScPX-P_^Wb(KQlL zOVdO3xZ-2NG%iflQIeIExUAjE|4RJwgQ~Dr{gipT?*S*;vc4=?ckv7kow65^n~)b{ z+p$UGF(Gx-XYtv7x(bt%E*&jVjq<`}4S%5v(O+e>GR<(B1`anTM$+99!^-@^2DVhb z)&?CxjW>6c>cA3_c_20BCok8b7BxkklV0_EUfx!bs%mo09{b47x={yO;M@}|&c+ex ztU^Fm_k=FaVOoDLi+EB|;_^iuR{@9>n)^sqY) zWF_e=-0n4G>*+y1D&ukVYqep(&LmMLhMASw(>f?a1OJ-rFq;-;TC(c-6}nMJ;TLg? zbdMXe81zX8&_^P23>!~(2|=7{m}$KUuxZs}5-A&0_WJNhE-9bB*xgBZH+ERm1E-;| zbXjHCn!=A7Z`b^|d=~wVK2)BuCDoL}03qse_4L-pQVh5CM~js}Zoi=tIdr3fcg&v1 zOxn#q&8K!nq-QdOkDg1wHUhbpWtK}o*DBuvDY9Kvp#%*$kuz?K8>~n$BnV@S+%daE zl`~6Z(xW=!LEv%Rc-T%k%GxtC`n7*?-h7tDnS3SYZGj}Uzwa>7!2~9L4(%LY{|O`C zLkLohQ842jl7MRb*uw_mLaWpOG&*|Hf9}@o zVRrBU+U(t|+b{#-*MS>*H0gDy?;bn#YMTY8W7zr)ok62910QBzV0^ltTB@}88&U{WXbsytoBh|hBa$~QfaSb~?9{FK~dSxxLF_S}H zTs?0Uo%vN@@GCK5$4gl`-R($;^#+Ugw%V&{9Ki*dmZFw%MN;NaBcZ`!xJNuxlclwV zC@Ugcb~ur?YL#RRUTTXOPGn+^@lG!DPeIyTtdTLzNhR7S&1eTOzHW0Gwec9I`U@1w z>7H4x$L08B0^_e(lGs@s&dcv4(-r``dUz~R*dY3)B-%OTW ziYy6M_TK`BKzh#Dw12s@sBYmHz0o)k2P1NRJhv>BLfjNEvG0xK*N6<%R)}nzItGEo z2bc7x33<8td7nN9&(i4x>FleH;K~>!z8+!i=h_s0aZr6$dc#&YMv(5U&oFsL)?&Y; zF=Bht4(vG&z#nKmCP^)p1VLrf-=JiM1}%&aLY3m|gv*gykhSanG9w`Z(+bdd2~Dna zPKVq;bs+C|frA~=gx3%BdjMErMV%!UI^|CgA~b^~*sy{M$<4#{a0CZOU7sJPt!KS6 z2Xfxg+_BlyWbAGZ{Y4w>dJ2N{=^Yqp%HiJAc&%~55C3vB??_w0;B;E1Y1TRjN4TU& zn5=I7bdr<%DnaDOBX&`0zPb5{CN*q2ZgIvEyHq8J2J>`?WnN13bO8GGcV%~D&-U{G zhVb4|q985(qUYB-3Q?cl*K;pUJOgxfz*%ru^gAtwN!ul8k)>O=Y3Ixv%2xPDI>0XR zfI~?H96FMgepK%uO#==)AwWO{#oi8@O~{xsL#=|%-mJsQZ$RdjzN+0e7uvO9uiIB? zJpsO@c(=L@a4o^4dUwnFW38OG_fcX!RuU(%gZHqqxyi|<;bdqb4i_Vi4rDuF3D>T` zTw~fKuaGb!Mha7YwGNM%rjO(@MeS|Y18YhC(Zjf1d}~jpazb`YM=&1dG%f#lO?()~ z7n80A7OJ}uzK|}Gw^?7k)>b`%BDkuyUG5ZY){Zt3NM_@yx3k23L(rw*F|L!H0G>f; zo5Cq(E_6O)HQX$T2Of{x@1_?U^Pr+C9t$pi~P)$CJMV1$Z38Vh{ZFeJ7! z9}?rb@=DwpB5hQFo;1poMOg3Z&a-{;O(luNCLv{WqxsFKuoYe+pEhxZEWxr;Y3_wqIDD80l%Q4_=TICb>o?|0`R#Qx9uS;?wQ(o7oj7=3788K*aG?y!Gv3Ys~!d_ zz!M}zG&h(FEe}J%Pgj=p%*Tvgz&}~|o+iqe+jZKf^lc7t%sZh)!;>{84LQ!WZByG! zg6GiRFk1pqqzsq~O&T*p%5-(8P9`ReX=3wVkwF7h^n#g;cyx1{DWq|KAnYsY)73)#T z>}z`7U6?s>2g4dKYR~XAR5Ny5p<@;Z^mq1%$R?Y0Kq%rL+*PF2m$*etpC82MoTJkGvVc!|X$VyGJGwD-Tz!L(sWIV-y@xPu1)`l!Q|f)HylS1_4HA{5J5IO|;T04+v%}ta3oLNrb!p=ZslwU9QgN;)#^|y8z+#NzZY0Ga zg;mri-~fGH>35%D4nDHb&1UhoXRvswY*+VSaJ&5<*9h1XHHx4j1v5Db1{(+GX-B6+ zwI^-Ou^KAzVQJ24?PMY?=kzeIl#Nyt{nV*^?VBA$^9C4Boh70o0x)(IJv0l>K&|GkWnM0ZK-7j$W zT{NpPUF#w5>IX6Hn-aPtt}xsq{wmE6_EW`lid?LSfJ2%wQnfd6;LSCrjo}q!>_-K| zXQa(KI=$n`ZJQNr3(i>H#EoB=FfMQ_jRuyg^Hl>L6`4SDcdH>V=4VGsL{RR@5MN^M#P!c~7V3Oe=!*b;3mb=NK~fy{fC`-Upv~2o zNLfaT8i<4U4$Gxk0S76SUq9O+l)5-Gw)TB?OcCa#7cc{}!%XJ3;Wq$SYos9a|HEN< zg$&iYgodWRSiX?lZPTr_^Np6ukJ$G=gya8`(qm#}W%|#M^f!qJx#pM( zd;I5pfFRH?G~{rB0XJJ0ce>=@aNq)NMi&>C`~C3dxEb`aa9@T6|v>Fk)5MtKzNF6Mfg)YN@1&iF!0GNx8Ts?mIjwt-4--ELOlG*6Kb{ zk)eXus`+VQSe^?z^Pdv*)L}i!JZN$f9R>HFt_K+%VW#%R&oV`^8R6QTW$22;}< z!tm9-vWjtNDitx_C8AmGud}JA{*9*#RWx8trt^Qq_&_Lz-AvN2^m|` zHX?DZlZSqSZY>>S9d`1?ZEHs%HYu*Fl-FB_wOHeOjh?j5Y;EX4^UIHqSEx0z=Lr>C z($%0D0O*4z2^&A32g?xBy6KJU-25RQG|%u{-8vk&@jYpltaf2*`*MQfdJpOLap}m| z{0t8Aigm;-l*KJ1Ey;zDiG58agpGAT0C6yFJO19)KS9$C z-pY#fOeq$y;Bkxy6k|Uh7hzk9Y=bY*{=93WmauxZg9jMCOt5C6og~$=0#)qJr3)5*J;oac@(-8H_S;MjgA_ z;W-<>Ir1QJ{tInB1?Tl6L@h!#XTl`gwY6E5{xt3ArM;-KYMr-zQLQ@^hc$EcwJCbD zvjy{3q3|FZ=pzG;$BT#k8@$))fluEAKYRO)=td50Cc_2<3p&lV{I6qA0ZGm58KU~acXaT&>0%JUh(LH6YouD#%Bh?4 zBaO76uFKWT-waw%WeGbxO%e4Own z5^iLbMPCjcBYIQ1%oLuhKEsZjD52u=xUid>H&~vAdg?Hftgv!P)=)T*LO=>~$N#8H zbr|-rzj5eK->z|i-ypW{_JQvVTN?PJVm~}91a5=KU&{lo6E~9p#Y1ZT=8ZBs`eP1yr1cH$vN z)YlF*_7DhU;S=hmlQaZd2kDuFjc_4ikjq&Dz~2bm+>70-(Z{NszS1x%8o&onz&1Kj11aq!QPqKSr*A zw!#=utY1nUKs8fuosZB(ku^Jt9IlDr6IWJ?jfIviP-3_@nA%W{r0bxPATU-RyX^a( z#it=1&OY>YU5TQrMv%m|9g*FxR9BBK(!r z1G`Ijc&+ZGKM-@Rmgn70>6-H7*BFtP-O*iyRScDb;#LB-rfslH#rQtAD3|gzPsAd$ zbouK8a3!_$8^?@2E1wJl%X^+xMF(Xv7+mPIL~T@StrVGoW*jBKM43WV=iTK>_g`#c z1x~WDz-har)8o1$CKJ%q%GFjTXBuRu@3JE#6z9#xT2b@$x6XF*>#C1;+olMDo=WBR zRn+Rto1?Hywz+F3s0GJdWmHg{yEJ5QA3l+7aC>v0SVL4vsQA+fK0yRBuu(gm2@pT4 z?salm2dWO|x~gjNr>F|8Ov>~#juG?nlT5IwTIKXtXuENg9i?n&euG_s$(9f{p*3b$ z`DTZxk~r?L?&E&KC4SWRc8;<7HuG`nzF7*f+Mf#V1iQY-9wMs7m6-rl&4)pM zWu677o{P6Db-7U_oel2_e7QC~EcSUFdF;D1@G7!xvpP@TcKBK79Ymp%^fw`H%Ty6x zBCDuwlhSlWNef`YUm@5~e)FxFGSqSMz7_+LMOkr=6%R7ZRQ<-&bl5Gf=NhZ;n#qrl zrl&=rK2Xy>+{#c=VV_!K=&S=7z`GVZ9fhq(RXewWQ9X1Env6!T9G$>SYeT1Ys2jyv zsShv9qVPn_gv43oTzKTZAx&;S!T`VuOt|u63-alhWWFju-+;<>wA8*6YD2>ql<4`c zpP04U*#XBg8I&pwtTQImn-kZO1p!DcZy$?M=#(@e-Gn<*o03|LJ90E)$)@byQPURM z5Q}q_AP)(jiBUNW6RZ$?j3kuBei}py0lHmc8teRh!Vj0?l+B3tv8hs~$B;p6Y{G=HZS@Cwi;amE>%qIYE(PFv;)C3uDfO-(`7pYNZ^)>uiGf%8Kq(Ah5!N^l zKNfQw#`b0wO;T&3><$N6+e*m7K_~NUn|NbbIn>D(8pLS5BM_3&db?O5eeSSDSus@0 z@#pkl*37p$Ia4sQK!^Xim>13@o`>vqSJgRNn71V9bZMD4xKRHj<#f#Viq4L}Q|y$F z1&Q=W_k!Y78~h0Q4epTJ*rrhO0}|j`Y1))Xro^Iwn7J zeLpdcwuy?UCz+MWU&9R{2!0iD<#&xS7u&>ZqPWzOQz^}4oEWcs;k<2P*uw$kf@kD5 zY2aqD3@m}Fl$`TaGWmOPkT5Mm9=c_xa;CV1G*pCC)85bga4ZRi{+ZuMuiqVo9ieCV zxe%Lg`=KeYQyp(yV8_s%HLgotY|Lhf&tEg&<<>O$abL}TdDCCCN9gC{O4*i-0*KPG ze~V(r5M^^I6>Nhz+wZQ6D~`tjgbwpkS3qyqA{C!{51Pj>>VQwz%)@d-UnzS4TYJe5 z%GtYbpjg#CC&=U`J^gW1+JGAm@mTbdIj~|kq{It3ZwzRWCd@CntUVPUITU!Xrvu?^ zlgwqj7eZ)@>|wzlo*i`~l2QV2t)6*Y6#MsD1|NYC`I=ULGl2xa=yczE3PKHJTT|O~ zFI57!ZUKYE~m|6t~fbf2J*c!L9?0EO1LHxlZjG?dkg<~^)99YFqxQ$0{ z7}<6W2-bDeO_zN){J1ostB~u?-DRZ8utH@t?ctEj=rsfQmewGu0;n>o5DqvEM;OZR zEDIq-`RJPeW)f|0V~`IfgEeZbn_3p}edEVF1+|Om?Y={I7T+oLs@Sb+-+f{oy2E2o zpwYb%%+me0)ARJ8tJr~yF4|Mz;*)zm^4Uav=DQv4wEX@noRMk;lD8iTAr}e$%Cnf7 zBG&;6=;^|ZRG-8n=akcvV!Be+S@B2JTA0@p`P-Qy!e)q8IxoTd0j+1`pr*WMCyLQ+NN1|uqG*JD> zBkYkYnMj$fQN-jQc(n;dtNet}1_}ag9p`P-3k`lXw2R`IPO^=e@^kOF#qNpMRWuP) zao8P46CZT9j%%~0{6wX~({FVwfYgMW$1k}%R~iYn%a>SS9>=^c-XdQIIRS@a_wN?k z#z}$_lkuvJgM{vt86+OYx}p8a+9?9=uCwQtS>xwo-Ut_7$GBg*PUrFagX+C4l2C8i z_NOmo3yXoYYNlHKZi-E)pq#rYHsKO$&3b{aEH!1qSP}$^WULuoS{R}+yTpOTI-cXXXlU4MKT5Ck^h!o;@d-Sp+&xmP zn|^Lp6Gflw!d)XfQU(B#KQcQR3gjnUb{WEdiVi5+aH{Tf`Aj@tmIMqvqp2{oSZ2>+ zJm!Vgz|p~8Q__@JPMjaS9eaDd`~{HAf@%e+pxdTWn+18W024UNdtmYSt`6D%D8|eR zpTTj!4GhAV@!X#&!Jh9h+yPB%i^gWf4}mtlv|>sB5?ymI<6g9lis=S2y*sVBPJH3r zY$`#j9QZsfq|vy_Zmd+5N$(}>*+Z_KQp=;npkyW?3Mxj*MW;wmvyop9`RK+;4Yn|4 z+W70M(u8zFPy|?{&!aMX=dF#SExsh5q=ch9vNV^J*i&6dtV}{CJ`Px0x#=kRll>lm zfr^7DhuSjkZEPTRoFHNsWe%Qp*E;d7 z+>VMET(i)Wk2TM-lz6H!7j>F$Bkm~8c7#=^&Zu4B3g#%yV*D_dHGZimE!c#W8)GBN zTthC(93zcl<$?Yd^_ieUn04JPR%d)U`;1QAb`3s8c~2qsFmh zN?BRz$Ar5{7Au?jyyvbgQ=+_qRNCT6%1KIG|JzFVC9_7dPrtpth^B4Y)A3f_vq_XH z_ZnCEY5^u;G#7*CvWcJ(o#_{cB5~^gW@F1~I?h!Kw!Pv3J{t7?6n8^Z>_ayw)9<+s z@--rXRYm1I({t+beVAipA43aCEOUgf<0xiSQlM+7%RU_LJuArt#>Gqj(l?|gQZt^9 z<)33A@y}})rIVz9$X23li^ViqK0X>r~G!gsl z#W?%ViUVtbN?=;af2RHyykdi;^dLXFmfl|4%XQt!*oj10MOooe2}e~_RpF~WK3*v) zlb8ysah;}KB!ulick^Dqtz?>+N{Hu5RlB_QFZ{fUo{?-}nq{UX#>oP#&txW@*g2_j zr^#$Y2bjeNPysG}^>1|j5Y&fy3Hq2MdnS~De)fp)Jz+;ra~3WE!KGNbMuQPGH9`_{ zqarQmq1ZS%Cvv`A4A8pz{(@!jJT(YD3^rF!Y%micGo*2?5idQ~#`_-E!%RtKNoP!yQ~k9_`&!eywznNS z{kdVBnrkusQo>~&%f|q-WYY_*+yP1&xs--~!S)EJN_)vC*|gN8#G^fif@xL;4n$K_ zZpop#j4EYh4I<2z^#Xg&Cy*UtvgU?+HCq}ErdmQ@U%`+V%}}>mlgz-BoI6DPq!0;uY! zsTNyNtbrK$x7ZVoMKYKn+y%g}oMIsLO39|y0<(MzU|5N(ZM{%QZxWP2Ue7AGX#Grs zGN<|w5~fip@ZZlPDoauNU|F(nu0C`+_m6Tn^}CS`T%xNhXXlE@^UqYED{f;SEb4|Y zU}_J>XRg-G1|Uoj_TyX3#H~HE5_4!HxJW}*Xg+9ULE!VKGaAf3Bs0*}#SMCTeP|%1 zvncd`?$C{iFMN{XXBskzO+e6$ zlCZuzDLDJ&diBpDoFGKiI^9Ohb31w5aESD;G^bJ%hPb*~k@45T#a?jlsqh)V0+uO3q6;fsDs|a;e47BqS6PLFO+|=Z-0K+ja5g0#iSVd}^}p zO5g2lm!^}-Kgvo(9!fjkY;d^cgUK!o4gfhGBN`mc|Iya=lUAn_w6$?GwsCa8XJPy&EC1i}^i2PiOy&Qa zr~i*OyZ_QEm!fHlGg23{^GN0Fi{u+VaO*hppoRO<8_ReI1m;IEECA3YhfKr~+iW(+ z>HPKiS5nz!rdoL*PIwGr7tL?ovYc*7S-0kEVkm&Fros?)iL1fm+5SZ9 zAb4vvW~#P>eFLXOdZVLkM_cPMI{Wvg_Q$#3x3RNxc#qbgZolX5iyJ`zi{J;vRN^qbf(<3d<>aKpLKM+aAuQe@B6P{5U2lqVFFBA^x*>K3 z!nD37#zHvDD8!PljihfG;Cf@GC>q@4m50+cHyWCr+L)rXYT*gOGlZ}hj`mjAobTd|YJ5&?Lv-w=g%xg&*-a@|a$pW?} z8#QIVXCo5PtGL7Mtwc1MazJeWNxx}R-@RwPS|u+&Usw-NTa)i6HT&g>Ol2lpw1)!J!9 zwjX45jV+dv2Qj2z$;)Ys?|6gr?R=%g*~t{ctkSm^d6ioS42w?WX%vj*8gs8j*!yI4 zZFqkJCh~Qhn)vMRJlQnl8~(!vzCULmqM9 zW$Ht_Gq|kh@!!r=1Ui}(Q#P8sx^%vasus6vMr9<-;75L6S@p9gamp^m!wLfJIbd2% zipyu=q<2GJo=p`lwy=Jli9Ukt!q!)mCh}J*#Mh3x$tkT4Si6$_|mQVX+(KuPUkbzM*aD| zbv2Up-F~lHfLHXk|3KsGueKb4lAKr&m*&xtBF2gUKfd-*=NPU1VM`9WaF6n`LL=H_ zYsBC>e2S#f2&H4sv9=%n1_I5UA`vf`(f!w@Gohg8y6?@Wy$Wu0jW$yB#9Hw1%NVn^ecyiEq8R4k5CcF90N0*{aB3DStY<}0d=th(X^n90xS-7an1ck2!dD@H+WSD~^>|otIJ9OOVR^aK4 zD{OB=b4Y~t;*M%aZ%MXy#U5g#OVbmPmO@$a5a!R#ov|4yn#3{tF$43mY`@orR1*C% zdvTo%u53KwoPp9O$6E0C-1qSe;3mG?2@PY{uoCX&njpi0<7wDhAB@AsB#`r;LUUNy z5K=JF^!?q$(Zk(Dxhq0saoFgU2pnu!5^#!yOq9S_JQd@yRp}}QuZEf%B&sw zCyXSM8%=F&NK}ezxxz_-D2EW?aadFe5V=F?di2#q;TY2Xx!F0Er!coeAMq)7D7D%> z;g_#tB6B6HVXU@`_dtZG?HR$8V-L=;xvYnA6!CRWCvRt!SNpns88Q_TnufF9mEGBL znp!ast0Ha+X(A#F(MIC_IX|-gyhx(o=1YI@7n9g8!v1J2MX1~g+3e%I&|1SWMhK5n zBU8A@9bD+eJ;eyG6C=AN5R-1#s`(z^VFfVr9f`NP*3#tF$%I-K%`q^}x2m2m=ve;| zU&+aq9Da=Y9xh=by*e#S>O9-mcD{Ju-kho^Sm?~&UT0q6y;~+raQ1=JTDsd~L6v|3 z67b#@-`Qp=*+h2^;z(jnQlw{gNgy3=kIC<+Kp)G@C!xsn&zq$9ZGNSQekPImGnk^8 zD%4xHJxHm*S5%s)S*h?=JBp#8B;lVwuTnSeVXSKf16?$*PIL!g*33AT1Y=8YQo&MyVJ?HAu&vrIo4=HZ+;h2YUs!a+-SuSNZlv5#S$ zvQLXUHiuWe)_Q1v-D|LKkO8}2)*JUor3*OAk|#XB>ViMJ?jfRw2Zc;TZ%zrQPtHx1 z;5_<6O3F`six83wni4lDs!`J~iazc+n4n??@UsdiJOk}em?nK+&9qlzhDC*oHVu;I zLxiVe^A!-0lbXYox2Y;H@ubms-VZ~y;P-Dqqm!QvyiL1TE;s$td<`Q0`smm>fGujZ zRD!2d5}nsf8{-=oMPqF|%oRY=W5k)j3g>f+bkOcvL*@*oTyeJhFuR;L(Hyo)l#puJ#$+?vm4LX}Cc z2m;cMUF3@*Y`jbiqt}#`Zm@U3m?2kSMHqz`Ogs&-Xtp6&Fhvl>CaSSt%H3O<0cH`q zlXc9^DSbf&1uJ`~Y6>5x&7K9~YQfw^wZIq^K z2f?xzpNueKv6RS|h;1^DPNhyw14S6-%iieN7-jvB}RblAqZidF)5l^(-Z`5)@|$od$BfmS|Qj4Y%Aw0EqN&(2Elvb!H6qoXt<|8<*H z*nfFBZZ;I#wM4V;*YGY|v~nOGJqh?v0;%HqGCU`wQ`QFYGxV1$zZTA;Y z^J&Z}*Y(V7c%>ty5rsjl>Eq`_Bo$^s1u?8$=Qo$=e-_=(AZ-g*7=ZQi5xgv5$0Ri> zMT1=7CVx~zfbcpms(HdczB@J51TOB__R;MHTWkXK*!GU3<*3c2;c01{sqBT?Jnyv4 z?N#g65(-so2P>$C#6c5Fy56ArZ5c07!d4f~p}ZvgF#C_sR+n z?ewSO7Ep7;u~<-3tJ+c0;Z<7ij`n)b0bsdz&~Ns@QZm>++9a2Y1#L-NuAgtY%g`F( zS%tSLtoYFQUF9PGQRGtbXgj~FZT8$$b9#J>7u5KUHC32-VGCDHe4h9|3Uy{o8~{nz zWI0MTjzett0tjg-XM=|^s0x=_`Ug#ilR%)&&Pa%Wq1$quBKgRVY)Yes+d96u7#mKr z%zhXDSR78VAFMc1Q;D87iySu<79~bgh=UrBlSF{S<+n}IxL+Inje8qwqF)3gsI#$B zk$0ke1f)pTN%4f@x@&L!hwJXqL9v3z?c}`}YzKtp6E7`oiGg=j&AH)1Fv{!^^ExfT zxj?sRHq@e5ud-&05g5dtp^jubIhT)pR-g_m{Y-Q>TJQC#L}&n0&2R`pR9U^(4f zPd6A*O^Apmvlm^@o5R?eMD+@7PN&pEww<+i9X^|a&D_7?MJ*;Qm(7R-Aj$h@wd_wg z19NSw$TM2rYVM1Mkb3tRQ6Gnq^t98vX)4a1L_x8U*MQ&QpOSU-T*K2E?b)F(-q4dl zfwJ+SuIQ=Y*~B2b?6e4&V5Fb}>;m*v$Vlj*hz1F)8T6rg1h7)IlIi`Nu!$+wypesY z#GTE18^RJONr)lJKZidDNVvrNAf;{a62MiyNQc(h>MBRzv*1H(S-eA(cZ0%c+gA0C z*ud|h2g==NVa`7-+;K2jcsy%0-J3wfBp+D12UP&ZI@Y+|*Duj8E^p*rrGrW{JKZ{+ zN+u}^=AUO%+SkZmsfrZa6xt{K)sucn2$1xR_CISl=RT(5bk6QrJR)7UDrHA@{zU5`hhr_^GXw- zf@2LN(oELu@mg50N3;TQ7aL|>;dTLe&;&ogg!dm{0!V-@noSp${`Wx6x6~#&rhWBV zaP?(h2|0-|Mp=RumBS}og5n3I0IRswRDuejED?p*8kNZT{y?U$l*PstUkEH*|7%;G zc!?p)&bWzgS@OBl&)<&b-6aXwTjD)h2t_c8->j2&kMrRjS*btnRsF}k!cr?hw9FSL zPRGSXl4!*Hlc0~Q^(Uxsg9&JdDb@lXl$%(g*cx#qaf;8A;<;P`b#eUs%VDI2w~fw|^)SzFPLkW+ zoEEZG7iQ{?mK!Z|@9%E8VDvrekt4PKMNz9bak8vd%M2ZQ>qaH$Gox3}szeT|IIl$C zH@hj2jvm1i4w+8IKi^j*PGnu{t{B*PL%ChwV24lBH(Rw2EYR|g_{5%LV0J55Is#rW0}Rd-wS*VH4DX8M-Ea-&^g z3-M6a++k{|8V03|12cVbG(zr~b%XgiEvyQ5L~PWD*D$5tCC|qf~UNs<2S5Q+PJ-Ws#D{ z<;0lixbalCRssf2&;(y9n3ll`b4f#9+TUr*-5_n|MCZJF&pYqwLYI@5WeWHmUgQ^IaG{F=2ly@ekw?EKsg&h&J$S^!X$wf&qOMnYt9qN5X7{NZWN;Q0H-uO zCH#ir8^X)#EX_{-IN%cZ+t^5&3omo8*ywC(x$uFn!j-iTthNg{OZ;8-##1nkXT5P& z+HR`w`epz>1hQBvp<#kjp$s-QTORZiJYEZv0#_6b7!fmtB@4+JG+FRrj4S;(noo!y zM?*w!T=q~MS&^Q?q&k@R>^z#AVAW4(j2fQERchy4EA~D@XpI=&DUQmJe{s>zQmrGhvv7Y^LF2|^-4VYSSCf;0%??X(V;NXU@i@FScqgmE8~bH{u>n;0>VLuf zoW#83-8Wl)TPsF0Uq%Zh!Z>dx9zNrr7s>n+`_BLKpAT8~wU%#iT{K^82BT2T2uVw~ zDK94S2p2+|TS)j97gteIUb0k-GtEVpsqu2J*ZaL@c(z%)ZEsb2HQiBD@^e3hG+t-ntY_TIv|haoMW~ih(MaTggN`C)^S6dvd{A)>0Y}TInqCi4}0JC#U$Y2fcS zDI*Q#8-dxKq=&67(TM3u*2mW#e-j98h<<91+#REbOq9<22P;auu>aS3gNerxc6>1| zFmAKLQ7`{w0&a0IoIFNLsh$?6;ct2zM%dIKxin? z7vrT8Fcbx-D3P2qdq)EKQDD?iv0ysxff7xh`d;p8n!I&*?th}HLfx(2Lyrs>Kv(CT zlHwl8#>n1^u0h@>tvUv~p%9+JYYwI%%f~v{LM~UV2KNxd1y||sCO(TCF>4;<8lv1? zTKM<6+V{Tj{NEra3?07>jPX7uc#o2t+V(4iqIqVHvvI`lS)}S(ubnZj2b*jQI*u7< zCO+?FCim}B{=j-uC}5&B7p2uVj4BJxNUyTF6Ht`V;%#c_Rz(6%Yj`Ww_b9LwH9s_f zR!}eg!5ZG)v3WVQRtM;D+OAS6B;T;;X=x#pkNRKKy<>DFZTs#$v6GqDw#^AEn?ZM~WM*?;Zz?EQJapSrqN_gYn5S6y|~c^<#xYVr5pr*|vuvYL{7 zK{7`f8sgb>&iMGS3G#B2v5$LYMQ$%1cW>Z3N&Fd2ci19oTdwRot!dGhG%=Uo@Cqcw zNZLvA46ym)kxcc`AgfaqLx!{m7J_Wv&)4~|n6jw^_5~!jooKmNy4R#<`y7s`@}b^h z$M~Ft{6^B=PH_UC`7wk+>W+Q%u!?=)IANh<5J@b+;+d|DckJn+0?>CE<7tf2E+s?J zOrdS~r>&HCQCI78VcL5x9MS9TIvkbEq_zGzuNTEE!}Ni!6O`lmy}hW43Y%Z8GXuI_ zyS4i>QQ$KbH9p)xR~1JNllJq7qO};guE)s<)SPdP^a+*?ZHvR&8^oUDEPBk=6(0 z@-3e93g%Ss;|()0><}7&cn7w=TmA56w@2^sp-nWSLr*+vcF@!Nl~X4HQuD)&56mMB zD*GKHVMj$zFj-tK30p5KbT2;5MwBbc2=4;`-s+9|esM(j_|SD}V2kA9gFmD(d*4)M zW>|1`dP&uJU}BV+X#1H`peX-u+gu-=*5V=69WY$x->Polt2}qTmBn_z=n(g*$y@O}0*czv;6UCPld8o_`@|TW88u@2A zH;n}3<|XDg2RD!dm3*tGqU=3j=% zv5P11X5_5r* z(FSG_c@gPkxUN_9G<*@lPRpwK)ab6u+v0k;cK!h}tR4T^QZf8jC*(gN4wnCjZ)a!W z;`*;o|093l|CeaTKMkV)*)sa?$r1nemeGG=A^)vqq#_xw)ckAx6YXH3NwLGbo#%pM zFogx>S>9sWth5DBH!rrfl@+*w?*H&kkT;g#mRM`qDQq<%ZYybVlP~e4yxS|X$;w>w zFhu00U&qaQvn@nC&OsvZ{_fiGXd6mTzjb-KZCi=H_j-&tp^ba~z1_q0{-N&H=*DF3aj)5ldu%~!R1c50Mu?X@W6>JT&7Eu*!|to6d6K z$W4K|k-^LR0_iSIFi!@_DNB&N%a}%&?QPaDXIW_0a66>7HzNKT0P2)ZOk}=QsY9EHVagNBIn-~ z!f=+-$>q6;he@+_vW>?z2^_bmomoa;nO;z%ovT~Not|yI9&;mc4q5iN-*q`88yZsi zH=~04Ce_TWti_d@`@GE0eNXr7idnt}ED;;xG>%GGkJsNs!CNi+h|~Nu&qAZukyH7G zIPwkNm(n!QMG7XJA4K!ehY?JZ$Q-El z-_miBo1k+zhCwk=zul74AyO+2|=nBNmNt4q6!=n#Q-zi_df`p}F^f)^1^#-5K+5 zN1+vM1?B)Hcdn1j2%9Sz`C>Gy4oh=Rk97eYE^tl|Lry^|i2F$o_#|}p zVrCWqNM^A!^7~QACrTA?y?EI5KPnuGqzu|=(%qVN%hD{V@%Ht416^RYsb(aRR%Sc2AC zH-ayf&l!>MplU@W3)S}KF;*tlz}BPFDE6&atvvOCZE{}uaw261u@B+Nus=lyvq~#; zRLY(!xYjO(dpPCm%#au8&~SLm4%)xJH7$2qxPkJ0#a=Yz+vAXov=|F8H3+Ok$hgW@ zNfRRSrLeU9wqa;DCT|u*8cdvwPrBsSO59T}X3yGwYTC-mEHGb~Xq5`rwqEV>>7QCF zFg6v|YRCAMd7#LOyOUl=KjWFfxID?5L^Z!B?n~YD4UizC)*Qx}_Q0Jc_x3k|&n zxovfBO>Td!j-#AaG=M_xCtb*F(G*8%*l{d2gox)K6beT(X1^3dIjd@v%pT*H-ZK-W za3nr0pCyi(;GY~hFJ~y)Kh{C>OYU1g&g;+c;8UDGMz$aq4|6d2#(HKS;kPJVIKCW8 zwj{Qp;*-Ss2y}zlfBELq!&C^81OjHr$(~Z)UMy}Ufr=HQs(mt_dMZ3v93Nn@`r~F+ zECpy%0*ZsmqAb7SAfA{|QqV5TqlkEmY9m|i;@YB0w*XiTs z+@`2==L!*%Fn|gsUCkvG6h=z+yhuQzzSQ~}1+_9p3vN2tK8c-pTA3?u-DoNfTY5qy z&@E2z2v`~x1~G^} z^QUP7RYT+D1v{wrMVGLkX_mw-Str2Rt`Dj(n1ePP%pqNtiE79=yvZKyct!k*68`m};14_Qs?ENH331%f$JmyypxE~fiWmAU#9LZuXYn;h`U1;PqDqPs! z`=w){0sW(bcgTVavoS+U)kCe~%$@s~nnM#R9a3;Bx?8q+hY{s`@N?wG((}gqTa4^} z@mK;kT(E?xwMk3a3;k)TZ)K>yVkkn49*kjt!eM{mcEUTuC7bUkodAPxkbQ`#I)g$8 zX}8Y2X_u_exoDhH>}P%|Xz(>r zZ8eAsu=uW=+PlaM8pnj?I#2k$4hoi?5$O*;^&`Epn^wmfdCD#`%7?L~<4x*ZXcekCru~K3m^AAvL0F>C1VhS$ zm(t%2TJBQ@Ygw}3uaM0yVPs5`@(0|izma(ABA77PP8}bGvghfX&^+Ba=z$DQ7b*Np zr&;=ZHYXpih*(y;Z`Xo?ui8l3`431*&uyIcPiIdW`B>ItwOR9bn3HpxZ$?%?I(|^E z!OK{&@ zwKl3(!AVbpRt@visexqVYHZ!CnyFYm!Bt^OcT@ORv(c<3iK{dL7iZD1z3XXCm(Qj& z2pQKr@=+iK8QyL8@v1QoEceS=R}sC}=y3DmSQe9zEufwV^-B>?eXBa=UB3x-%2Ic5+3#{>~|6%xq;T znI&OZgtJL;FoLR+>USRX5i>YPejH9fHgzz48mLk<#<4a;EBYWB`gn*(s}L znI?{nx*2wb$(ZcJw0-5pe z2Q4QG_41tZKH?#X(jK9Rl414X;CiY+k=ArJ?kEAMXkF&B zwREt+C`Peqc63uKB6XTE{~xr=l1Tqv!USyD_`JQd%mTNonBc2*_{u*%55d-}GEj9- z@}dx=E)d>{OuUI#b6eM!jekZix2N4{joRCNxLzH_BCOoyjwfkdFQo+niHeg&ee>~0 zRhwLFL@^Bz5|4te*EY)xg$Py2b4a=E&BU zUtc){;;;s6z?AstHF8!A1)-FL2V{+0Z1ATNZ2F{9UpQ!BFfBjuk?CNf7)galT0K7Z zF;Jvd;g1clq{=x|#Q6afk9 zO)jhf#YquQE$nGTLP}myKCYOSQFu69;ppwFi2kkZ;c1h~Moh8?BnmOZHrociAF}rC z`^`6(&?FX$rK>XB`euL>@jveOLg;|%II_(Z-d~7JLAy-vLh3}|7Zm<7+R)v7}fAX@T6J=NVuuLN240<97$4)+9_Yr+(mB6HKlK5M3*F^ zEFVO!PTT#jnIN$qWs+6nb?;jpSmXdjr@0r`b;Qk0#-h_#YG3t(_mOYfO<+?yyGq@Y zRECXZS&_lWhP7IXMpHeIT9{0Cka)} z-~qx4-MUL=VEq1{V+aKv{R~?ZIMv#-2`(5r0UltoEWZ-gm|z3!g&nIefc}(kPQX4* zHJ+YLrLJ0^ZwpnDnnr2rTet7OkADWL!d))pO^4y$kxJ1XTp0=pvgPD5n1(u{Ej#1Z zi;myWfaNdUGItFC)4K9ZRSzxX0E=aoD!vNr`4J3@M3(yb%;w?2&}p@T!Ei&9b%~KF zK(qj{DHzw(W#BdcjEefK9vc}Rx|F;eKnSmp?wFBFTy!Y6@=N~GjzP7Uz`L+Q2R*<_ z?5GDD4DIo~TB2XH16MESX#jQ%1THHwRU5iqfnkL~geM#RJQC8IYlN`HzUP}`&s6lJ z^qx(lMq|L(>}g=Q+X^~IM6_Rg{g@LzApM1w=IWk|tP9QT#EF0ohLDL=NNk$jlrari zXjmGj`m2;zgJ6Q85=7io1{2L8(+_523rkq277RT?fJOes^&S_9uXLX$WPd~4=Ga-dtXJHN!ms+y! zWJ~p`O;hp9pet$UEK`X~G9IhSD&6CkSZ_K^zykwnq5cAW&sO4O3XQcp=SN-&d#BI8}}CBXA)04~LAw`t1E zD9@&bTn~e>xB>O5a%vGq@rjD*Vd!v-Q~JQ#Bzdwk_)JGWF82gPRnpGUnvglFL%X|e zh^@$1S3E4_p3y20M7o~lk4?W$J7v@0`$G4D9Yj7pOlPE5R!QATOUflrp6*DS9$Q2m zj}HEVO7#*F;V4$O^*TNHzRO>M%4K7*_B^U;$=t4pL2_rEv~2lyFA-s;%8m-0D1h`8 z3Yx2EOb$WTsW?Ie!8F}8Q;8gM9xM8WzyBuqf>7&zBaS!w{a_*c?+X^gbkmx~TJ#YL znv$O=bm)4(K1CzCJ&9$Q+9Nvu2py26l$KXF&#BDoQx6}@xnE^USo308mrHJUZ-FIF zv9@n>osaH;+D6x3(k7{k&|L$>SPu2Y9x?ymm0Yxv7|&^traX^q74?3dw-1_&tLDyU z-^d|R2x;fm#9#lJ+@N-O%_{5;3YEU4UmALawVuO&2cwvnPF>E4fDcD9M89H9T9G>T z?t>@(B$~>qp2})rK02OaKyve0t96B;Q zq>D^v#;7M2-$j}>ts9rgOdj#~ZU`A~I-nahY{yv8M3+vA%h4{uha@|SD7aol9TG2= zwr#HS^?T<~aCru9MZE!+iksj(0P*_)ZFXauo|5#lK={{m-C7cwg8RXhp?0RF=)@l9 zmBz*G!CeO&>~I&_nT^xL7iCFI%edBhlb^nHlhq}QbAwmc)CO(5(cFJA`QjG0 zW)|n=H5ecwpM%SZDKy^;y@{cwd&t1W0bbqZ3u%c|$bd>(*nEhZN-AZc?z{8q;GcwjSGmw7s z@%_3ZvI4JAXT0bi27>2pw&^w9?6FDOdp--$;dQ0d!DKZDulKFJ46*}}|Ew!mu?ee; zuc8`}W>q@ds@1x@TXle_8|hIiZJwQe+)nu9GqUJ+fQuPXCfnMrLIzc|UcM%p{c0-K z!0;XKUOxQAE;4RW`JOLBxUV+kBB$tv3U5ZwJaZwsMJ!4w$TF-6NFj9bj=l6aLF(AY zGw^Am5K;7&DN!2b{pDV61=C0Rc7r1(i|_g1$uUQ8&SgvB$YG&hLQ>Rv8e_gOy`qF> zBymw=0nG`++`)1i$e?{GBRDf4+#z1Hv|z46t{DA*{kM`iuQtoA%7z%d|NIH zSha&XK`^qscAr}*I0TQ97mk*xHAu7`G4@6oqyHd5I~pvJVKnbhG_c zgNUCD#0%@WX*XvOH)3Dh{U-yVfPI<}U*6bQmPS0Am>yPAW%W82a}1ZWHc|)q>b$*4zZ7G^=J%fW@?s4_*l9oC zZ1jdgQ)oV?U$XI1|LidnKB@TC)RjF<( z6Zh2vYX0NB@q5mE3nPAJ6T+{EgUmkz2Y}7XBr{#yC!hUQUt$BWFe!w5GE-CiY~s{K zafEq*hV0BfCr@e`EmN4RCg``Y$_%?<=>wwk@?a%E)RWw73gJIS1u6f+{(p=LC0|;a zZQWVqatQL`7n7uKWEI~>l4}<>#NjvAsoCv~lXYky%RE8<{E}3?SSzI1%8(}$UI&F@ z&}aNNr7J z@uRaKzGS7~X>!cZE$V&35Br<8rjEAxREW}Hk%-bTe{FKRo38DPU0bsLvCL-2{Fux8 zoc^&Z7gCrQnFL|sHJb4$6pzpfEKxr13w7uEbv?m+&F@H%OPA3*%p zlo;13y0Qjp|JMf@`w5+HS2)I2NV-hETqBmvUhM^n2&+4bGyV8Od3;{eGz|u6$;{kS zYu#{i1eyzX4YhfglE)v&5Oga)VQO8PGjVHdtRYSy*|+HX@81xOaJR%Gg28gFqI09TmKl!#@y&`d;qJTfp!ogQX#+0R;mdQQvbc( z$`Bia&%@SyJjbpDwBiXSH7kq2F@&Rs^2>_la||4$4O0FHJ-VG@pYhXND!kC5l${qI zKR4Bv_+qnuS<>Z~*=FA+BF}C9-x2$rWi7H#D@3tR^yDFZ4_+K)gnkFz^;w`|tzoWY z`Bsc0G!?AB^D!paXN1Uqt*ybvX5ikzg$q5uKabQOtrUJL!(Bbd;U@hr|z%p4#mM|Ehu8&_PoIEUB zTOemJPRjJa7)naIJ-NEg9G5G0LPlMy{#78D8T~3Bf>6LTeJ3UiMC4DB`bjP)-@7GJ;Wwra#S$k z5*mHf7m0JBs>&<|JREb|YgIqGGH%7#cDhNNy4sA|G|R92Ft}5ldWZG+R%vO?kcv}! zl72iXYcnC68=Hbn%%=_n7~nH^otaTo>#gFuL2W=_DZQ`N_?GTxiSKc7QRbwP{zW04 zdnnIHh#LMI3K9OFD8y_NWi>z!wnbL)ct04)oj~*KYq&UAGEiQmM#8Lz_&`LC3M5a@ZVq0!PF`< zJkWnph$Fg2NHUD%?I(Q%yHnT;HiT3To`pJ}FwZcABA3_k*d#L3;=2p8< z8PV!SoH~;LNZPh^QB*ye3zRl&X6XyJ>n}e8U%$gxuHpBq8=cNr>CIZWgMSe~}PZ zKd&rU&^6aSNeEI03!YTNK25d;eomj*DT)c{V^vF67)!XPc5Ud9y1U3C8xDReOBT9n zCj|}1KivbeF@L(XZL+MKbBBku@+Stql&AhL_uPuKCLBadcXc7~7_tRYx=U-3gr@fZZf=)7B!LWq(hI&zS--vz)cWz>8i9zSm?lU`gxHPC}zipv>l zHvN`x=|{C=j20%JRl$XX!V3jvIEDw|Z7Wne|sRYggU`62MQBI1!d z*(MzW)T3j2nNGAe5Bxf50hs}CATuTYg`DN)b(06gM|7d5w`<3Msn2m`u1!JU@rkXv z?URNGm+)lur0975eqc$oAc-NTPVT-^@8sT2XcA|m_>XX`#ERiLAIyc2ym3)ad$Z9u zvo??0*4{|+zJD@MYhPL4*j5;WS3EHjHo>rmKH~F95UuebLpOdY8QDiP?e?01djGjB zDjvWKAITaM2kL-}VHO2O5~GK^m7*MO1`h_)B!>gQ6tevWC2}bY*usYzj%Yf1*0m=# zKe;@kEeMwg>!CJ+ipT&JF7VP%DTi2>vAmy8Jcr`RL1B&Vblosmkc%fzR=!kf7) zco<8IUskF`e}26NB`3V8@VxgXVJOJ%nlzv%<*GMpL-^mso;If?PQU8+(_1D!OMej# zI$5BzJ|rp@mYAVFAsvVy$_r|Vt0GzbnB8K~_HXdNG{g%1kHwpz2^Ps1puBgr1Wfn; zoHwC0l^I8Z>-`l}LFb(xbwfTuct5~ALvi68Hk?V?ybUe&J@-jnU3=a!J?H5x8| zWs;N%4QgyI)&X#b#M8Sp!ih-x0a(Bq$vP_(`EFG&f&uRDXQagBCUUn2n-Q)(f-iMn znnah~qP|x$1(A8YtB!J>YHS)VF2jf{)(8U{EEyBNYs|9F1d|LkZ2e_jhyFy(mtk^X z4u9>AFZ^U(t)dkO19t{*gymSgaACjh^&iP`+<9QI^YNew7mOMt#zhE^X9MNKQ4^8< z{_>6>a%y*>#I#F9sGB_wLm$ZL_vnmzeS()gV-)gK9#Kv9OAjz)*zH(67zZBnLsJ?@ z$#B3~y<`4hwLjYCc1{p%75wEzDV8O{-1G()kVdC&ABkj%e6kZrCn_L90G}r?`i@P&xiXx-Ht*4 zD`o=2_@GBh!iT&R`0pTOzB&xQVo@Gm37?1>JtpKe)%R0X9~YL$)iHL)Wp)o^_@|l+ z0_aZFU&*pYS$#xYM|E&ja)uC7I=q(sZp*{}&7*+OSh;iI@wb`_Uk2IfxX#T9;D^l_MBg3S|h zq%3jsWsJzd%p_O&Vc!@(rvg|KJYFyCKR9BApF;{O(l!KO)PKRsora=*}Suq~u; zL&(?^yh1ZJ(x6+MJVI*B4FVZ|g;<|a+zD`-U}4H+>!P;cIZVHeeg^X_wm~3SuL0jI zF8LCi3jtLvwstHWRKpmDB`GP72`89Z<2nt>K=^k*4D>X+@MiS-*%iXs`u{D`I)`Zy za=8><1k{yw?@F`Vf@sy z+U;UOO5MD#M_FXFj%f?H@Q9!sF-Ai}f|Y>D;oi_x zDt2MO8oGDEG~4LurUOwOb1Bb_r@#CzFRPuZy^#|9w<288nLx2WmhKVk6NkY2L#ti+ zzi^14saoOIdB9HA!i!D8oEe3vt4iIXVKRV5-FDM8p5%bE1Kk5+81-*$Lm@8#Q9u zZ$C#qBu%gWHv>pGl9K0H>ENOC3$pImbh-t?PkMR=EcQn&nkZTQv5Oy}(}TG9cpx+^ zfz*^M4Oa4>G{ireuE7oXFHNWRFHHyiUz+aD;eTnmShn8v-|4F3kNgeFO|7GY=cx7whTE3hyfwl zM)ugu?aw(G?A~9 zk@Lc!SrOF>o>V+Ei{v(&sEr!TC@+$Oe0qI@Rn8dGHf>X)(#FSYYwSS}%gzrUxU(uL z+4-%>a4(xW-mw@9eg3V;T+d$Y53d61)z&Ezuhvyp(wgti*Nd9_X3g0)y|h-($m3lX z!V){9ZHj`|7$xS1Q!pbgfDhwYyS{`WvG)E>R?De=Rhd3S~t6j3LH(>Afo>OjQ`5Y*7 z3vEC>oBF{Au)jb&I}`KapmSu0*|pbcVRP6KFr{w9*X6mtr*v(28HZ!&|MaQh zQVywqSMwIYUM^LuTh2@P=wDWa-zZ|iIrMU$9pb$rp4n46?ScerrJ$%0U*e3#$ku8) zj_>UoUy6&E*^tQ^%DXd}q^tbx77Bq8GWXymMP9Xb=V8Hs><#Ozd&7Llm+drG>fENy znBYb_t7zgEB(ewP+z*2#)kI*W5sS!7;^M`L$l+o)S*3Z zXMDFN1mQi58}XEI;Z^(F5S;l?>ADl!4!gHljFzf&i`vQ|{I*;#V&$K9< z>5`Uzmwf92dA|7x!=j!q=WjwUfVFx&j1 z(tS`vppm085dv~|2^nm!A;-BJFX^To_qCzczaf)A^r=Hh>r#aN#kkLqVYhS-duHBp zjNdCEqGg$GE%J5dn@>X0`)oPLVMN=PZ!vgOu?sIw;IKFU`u&e{%!Ug{jF z@grR&`crxO$L342<$Rjc(`|g2AGRvZ)_wT=eQ<@(%V9C~qRXAyoC3&Z$h4aneaf^GgYx=ZgS#6HL(hb* zYI7~lr-m*%mUFzc^PkC5NFUDcn{^DQ`Wq_05TDxe8#OjeQq+$I>q~z#=s!6VYQ-)YQ zc~UkZ@~NKt<7B67YU)7%j_9fQ3H}S}_XgV79+Rk^MIO~qrsxp4NWGBtPealnSSjK; zCe#ckeC)VS7C&R_hlJow%vvn}6vKCJzH}RWaGPnDpEu84O935wsVuEWjQy`adR)ao zL@^Mbb~=lr=KGARuP>v%)wZ z)O@xW)pLbqxASG{&oPQ?ON~NDsD`Zq#?<~r0_{V^#!4j>zoRtQR80BbLcNLc#K;L8y3MC`;~g_(7y4EVr^q=!ji+|F0iF% z`lWY8k&6-lYJ0|0R!5qWTM0HbTOBLoB_(IA>th>el1Hfk7TOs+ne)r9Aih^J*x_6r z@>Gy{6GR7i2Pv(0`+irIH8;C-pI`!Ttf03y|Cu1c8$d#af@kOybafvle>+J2CoX^xz8;L64K6eF1rtXJO;*&60u@ z^dXr1&B=iugdX!#2P>EvDAluCXN4oTxEO3Z6Z*5UM{g^dyQ~Id%5!av0~l*B9MnWx z08NTrxE%UTk>Y4D!}LGCZ`g>94p96(1RKR@h!aFqLGBf~|2zD9C2{;~p_F)*0$K4o zMi6~pF5n=jcPrsobyzS9{ABbhj&UTG5g=)Vk}MA;G_E#)?VREeh>2?pDowE*ag-k* zCCS2M%z|qVSC_a?)V+gl2~}NZT4XnT&)C53-C>#B$!9aOcwen*eR6Mlb5EAxC)0g| z`DmC>-nE9&P`|U}2=r8R?ur@b*_O2xYGfiwp_2ZcrjR*+JK8&cFW^_dys!wU;zYf# zt;w`fKw!Jyd{UsJ(Hb+M3@0XkmryF~NvxG%No(WCW@NWzku8V4Nt5@d7+u=&{(6Hl z*AT#&Oi{)f>UuC+u*W+**JaUwj#Ra@jO%(jzyAv`=7gEzF4M?Mo3zsMHVIE0l2?=C zXllu*mR2fE#~A90H!uA=L(NJ2eic#wcyfa@rsF^6RmU#L)q+1Kn_ZgYGIs9@g~B97>@ zJ+G+Rz$D|V6n!KE{FD>@eVOc2&fLrqfOB&~EpO+up1GAL;=a`NE*Vc_Vbx0V9)8-& z;&|hh=h~nA9aE3N`K}@@bOk<07~nFjLRS86+?f=5y+Py7=Op7iM7g2>_?^a*%fhOb%IWc=~KwhKU4bXmn zzd*5)N-xrF{+({J>Mm8LOi#ckWzAH$WIe#Ta+YGe(pV^r1#6Ic7Q{tc$hu;uzTD;! z#{Rh(>A+L46zL?^JnU}65k;Ad=eDUe__C!nbcMaLke)nKvA=paj5{h_VfDGD&T52t z1FRqRQVK5C%Xn_cJ`Ww7R+Opx>f>sIJ?^HS(`dt)0&D>X{W?Ez;tz`TnSJXVg`&F_ zz_RLdJHxHSp(X)^S1WQa!vx2sUlo#j8UP;g#Nf`B4=>R>+c&>^!j2x$NDFxe^@8*W zne*7=2NduIvtBJkx;+$K^oy8qq2@BQXmsjWP3u~4wKU*cVh7YlX;BZcH@c^&yG#cKZI3is0c8AyAc=(c*z4#gK*v{Q5easn7<*OT?H)pD^kl=0G>m-(1 zaSPXUGdq%?AE@$!&Hd0ZlQUt!zv*0tIlN4H;eLs1WZm~>4zy^_9+}aWS=OUh0rx>_ zD-LSzRiR=C5~Wdzv{5&Q=5uS-S!#5mU+T3sSz#skbj`aojcKwsK3H*%th^Z0Q>moX z8j(eKwA_PKa->PNy}8m~Ip;T*8+!n5G=bs{VGGO?WJ}(5pYLj$;qj7k&FHBVG9*g_ z_U+{kj?)mCiewzbW70ed3^$eAH%tqMB9CyAhrXYX?J9y>UG5Q*X{98HG$bS2<4Vdo znJI4&!9UKXgfEY?hyE@pKHC)U1qfT2@X!N9oeL58oBCBB=pzPNTxtx~T6@E9qy03$ z^WiWm>44G=``QGA*D4#|2nV0UGxa+&Xv_*n^|9}7FAHgD1kn_Y&1l4)!c>~5eqZP) zX2JBu9@yVae>LAAdV1Dc-oC_ie;lM26&sk0j>_ccMzGcTCIKeM_j92yQnzQR7UY@0 z^c~ETz$Yb%JR{36dEx}Akw{~9fJ$4BEU5G=ykPgnmAf3T3u%!=TZi>(rfoJkAV8XTLrS0jNS5p zi}U+*C0n=`dsJlLH+9Np@68WF1xJ?WjEfc#qj6ir;d-VcTeTXUY0#o$?s9NniW5so7b(THo;L7 zzO&8lgb+l&`6Dxo+*`ezpIR-ym5N|Jot$K*4sX_ z3~7t@`S`a0`eQr+4$I!n?hU7sHR}SAh(f}A$QbF+_ab_>`&4NMn{WMA_ zC1OpS$=O3Li??hG5a4Sv1jx$p+@Hwo?WJ2=Zke%Dj`Hupg%8EL@bT{x{E#xR8<$hz7@jVzMZjUc4j+|CsD*r#F3#TEh|y-IX#*`teqE1 zKp0}GOKVjYJ)Nw{wAL?^S*ZmFsbt*FZQRdwoN`??Tu#^c5zoK7WqGCT*tq8nDh{xBt*?2j5z*zn9) zIqIZIsYoXUuJU*h@59K5`a{Op6D8Hi*hmU<~2jxx*e}{60+78Rd`-D0CWdfg1 z%c$->Ph#xD*?I?Vj|Bq{`kB$Il8l!zb@rBE$;EN9_rP6QP9uF1T?{EN4j=tdf!huC z5lCTN*5PsnJ&YskuJ!@j6q*|XW7L9>%r+Y$^>x}Qc4>CE1yIK!rM+8|sw9Uc*qy|@4XCo>|7d3lfV{);MFNd)@gXa6|2D-*t7u6F6g{jF1Ydkgu7)*u7A#p2{yvAr(@b zPS)CQykVBRo=+)ZmAU^Pb>A4A$@gs?+j(MVV%xTD+fF8SCbsQ~ZQGt$6Wg}l{QkGD z>fXBF-w$2Y{Zv&&vb=G>v zwoCaL*3QFn{tv%jm?$}hWOzYkR{6mKJZDC{XcX4dBM-i`_t)q5lJwqlMR{lVx#q`+ zD15$jos=kO22_gS)h>Dfq*f?_=*aJ(Ans+M`yPtO@1YR=*HB=D`?~Nz16nC=I1l3G zF3TI3!m`l>PqU$vyiAaA2!k|=pp=rqK%iy6UF9!_TF!r<;n{j5reDcdeT@}+PzmDA zacY`#w$f6MUW!l}FH4c($|4bHkD2T+Qi1`eNg)+bQ(^Uf z_nq+~_NuKI#V??)hcv+U3dgA$IjHwi7)$SjWJc(!$meKio^n zAMx#2!wCkj4~KR`lCIkgTaG9OROI2+-Yh0a7LWqbt|IEc@p@U-z8{n;N2vt&zsBey z>|a4_VIKB=ZG}K-toKIdU$!N(*(~@LeS;1x(;=GVLrrxaNs!5o&fnbW81#s)5oxI<V@3&{a|~EPKZ-Y+x>~o zdGmJW_RD}hcY&K>`GSwVS zcy0JaWUA{LxzdPByTh$y`KLWl0B6)6&Q_R&?a&unxUr2 zmN#Y#c3}oX^Mt(5*S5OY4&{KC5j-vm+L*#VPz6uppp;NekR||vRA7BF+h{Vl8!-(x z2nA_bhZ#jVu7DMSsR{Ot>x?oNwVf|YcyrT1s)krj${LP$OrrOxU?;(}wBfqKxzCRs zyba zt0QtuG(E>T_U&f--iaI>ed;Y)y+Q4b&HKN$PD^VgE^GwJKAa5KYd3)F31g5=+}BmC ziIe$8;i92Ok0(>V)PI0LwS1nh^&X(PT)?x93Ydleo{Q) z9|K{8jQ@rNnEycnRRxx4%L*SRi&Srn_Cyp&4%d*V>DNkGqJ97X4oTqx(SOfR;3;G- ztXzd-kv6-hbrEI-v|D(Oyff9hPDZb$m-0Wd(=#XFlNV9Vqn}j8`{Y|(3-smK<|@ap zhWOD8X-X8XimGYt-`ssr?ex`vxeJF|ijN0Z2Ww_gSY}N+A5Rjw14{iF3sY#I%{6DQ{y?!teimk$T5vG?D%VNCdYgE z3b6cl$(433<>=jTZM*F{-jWVp>q$%`g*r~kDZ$t|3v6=M#4CA_M0~#%#1cUEf*hnM z5Z@~@(yIsKBdIqv_Q1run&HhgZ&{1}p`vhJ8l|*ul9W-Hw*m}4BxRapMGDY}_#>r! z!pr!D!15sDKo`%N;p9KMb`B~b1u%2fa9U`-2I$pNiDU5}AzJfvFT`BYp(Z$cR6de* zLe}gIhg%cdyvux(9Co(R$0*VtiX!GgLe9_%lGSeIkV-#Ve_7lwaTB$X3KTYPhK9j| zgg26LfZr=nR6Ui3>J&2@JH4@RDJz`kL@8yOBw-iiX|x3zB{NASqm<9CGVCLrfj+hJ zPfQsWlkcs6I=Z@*#EBPSY=-K$^X}ZU+}y?D$j>C_R%X$lJr&D)o!MG^cwLWu!vOfv zlFTiC;T-jq7yM}lf^_CdhcFmxfW!g(7&3uB_}r#n0l_T{XT&5iCvgiv!Wd&L_E~f? zX(oLib4A(^Yb;WJOCWV1!g3P#rD=sZIz&hu4X|FEw}J~Fj9@{bQl6(lT?jLuM!DPT zXH=}IFrj+nz7Cyw#uvOb$~sKnW;W(Z;XXGab7V8w1&Y37MermSMCcasuK0Lz-HFK0 zdvXv>Z}WQ$XGZ_Qx4NDnwc=LdfSe5WHlz~CxS6<-Q?Se0+5a08;F8Blg#S5od8qD{ z3X3*`W+Sh8645Ic99b!N(17`#mx)*zRS<2ima~>Y+S!Qjd7H*6{*nZ(e23;{IAPOw zXaX~ZsYVd0hUbY%o%EC?pvXR2vae!{@R-tFF7l<0*xY3nO*7vAu*Ty!5O*3Y%lpYi z=RyDZJgPM!L+0nCcurVchPR5gk6;wBsmK$rv!bfRQ%{`$YY=hx-CI=W8y?vF0}sa9 z#UJ;KL-X>y*-U0a4p)(QaIDOQPi>B~;Nu(096)prbJJwpr&Rqqzo0yR@D#~pJW*C; zB5{woEe&~6Im~6vm@2V1Y!v?<6fP)UO=5Am`S?sAi}83hkpht^BAHE|355eW11hbH zIgY3VO&jJaAg@D!MAH;^g?6}0=@Q4%nn*AKbv+f@L05>uy;=;7zb+SLOASK%rk@EGB zLF%Jhhzw>xB^Xv+stGjzU6dyLysw(rE3A4H-{10_$P>kD^o1={+~J=aK%A}NR2MTu zWWN)plHDr5_}^iPkBs$WEkpiS%}P)sb2;;*VVR_7j^q{vyowUxe3yLz2UcEcVuO5{ z=aGI+n#haSS3I;!$J&mu8 z#~#E5`>-OA3=X)*7R1F6(%O-;B)W~|Gk3QOYcN7 z!S}FIfzFQ;tJpy(22rY|rf!iUdu0yY~}bMeI8-_us`C0x(n8E*Q#?> zMKl)}#r*}oW@8HJj4Nd_jiWr`_&KVh)jZT|qQ=N= z45XnB#T*O%iiyG4U1PWywP1I7sQ77pQ@!-(N!@rcTc=8YKWrGgW-sG= zrLEOS9KU{g4C|@TX?C+E80BVuW>7a?v#Sct4-N&9#PFl)KbBF5a*WY%^2ose9PRw< zi;DT*Td--$TWjyu*Vr(5+9v9PL_tguk_n13zXJO;O#!h%7SAO@sOG8VY@0*TuW@-R ziWnvjNsL5(`54QUAya86l`H{_3l>CTx8C(LL2bV;Liy}_xRuv_od~Nki4{G01WtDi z=-sL>qSma6)!0>EbAHZpoPhx5*aoF>jbTu?LIFLNdMq8L*1sRp4sV-<{>+?31+3qb*Sn%23O>^PhwDmZJcj;yM z0CrL1&fTk(`5%<62#6k(VrOo(5AZqrH}>2_pKl$n~ej{LN&{ zln}orHO0WS>+4>S!9C3mwqONP~+UyT_Lsx4{J?1puF62tzW7rWR zy%>Ytkh}J*B@zvtR?KKRU&#op%u;NW&T7V(%u;NV!AfQjF`Psj@Ra0!G89>?TL-%7 zEKP@WQO^dhFk5Ug-ek!SS0+gw;;7g+Z6_*7bjK7imaHg~7bp}105B01x@(C1phjn@ zC1x<(5*Jn#K#%YsaJQ%Vvf-p!$SA;$_ZAdy75j^6TEtd0jX#o2xLXLIkKkPuq{6b>s9fY{2{2w8N5u zxTG=`bOhrj%AV+s8JIP~5f{=9X4t?)r7)T_1}7xa#?M+POO8=~|B=MxEF19|OaZ~M zhm-^=hm=4eJ5#_sd0`qccrXnGMV6}AO>LM}78lWzou_1uq-(sLAUPK*gFU%%zJI;BJn74oPSVl0=@wxdI zuR|oI6}e9>)Tn3ua_HLVh;CB@pGH3p5XrrLD>(?S=G{ng2+UGGVVR{L<}e#fWK7X# z0mCCvw7HDD@Utiup)#Ut$g%;hOvSS?7U7LQMx+ttSS}*6g}4jwx(1ml=q$nJkcS7(T_Q0Hw|GfZnPB$7421P}f@PEjl`#wZE{qp6_4Q4zIkh^&fPoH;l9c_kUL z)VVYBdG&{{zt*&$8#D4g{iF}Id09E2qWD>lAcZd=N2IHP%1sFQL)NJTJR>QM%9u(y zy!hV0gdtH8f|UtHHXw?rI6mGY+(aZZ!h|H#bx4lr$85}|`H3Jb(`j+a?zYMAe9jga zm=k3MiTIF18Vd`)iokwD=*3YB8)J8ACayu463P8vu|}E6qJL4llFg~-vB|l1$xV`% z_(rL6$;_lmMd!U8CHH!06bH|~X#pbt2y!M7mH-3QiAjdDbhw@Gs&FctEfZiuA;$1( zi2hh+ucJuykVcF12Cf45PQMo`c0%wpft`_*^iUhhHxtA+1!(jK{-7>Gb>`>ADPj#Z zj!}?_3lf3Cz>t5(Gj##B{k`t{%@>A!+U%fkK6U`+VZkqEnbuMTRYd7Hj>l*+)QO$3 zqcY@<2Wk@IHTnUje1KAXfR0aRhjuOEV|I~PxIdW!Sm7-Sz!C*nG!;s$mkL+`5N;3@ zmB60R7-q5%5L_t)7J1ul@-eH8T~MwLnYLaH;}+Nmo7#m!q>CWC6$xlj18WBN;)^HV%#uZgJ)# zXX(gRHQ9c3k3+IQ+Wle*M!N;yv}79|toWt29m<#ZrzI=J1%y5EXF8Vr%e`lv(7Vks zjcdpH6ZMCo$$k1$&-%#2!DSSEht`?=%E{)*2lQjlwxDwe|9W-}O(Brpd_n$2{TDNO z?_%=yDe4=AeBZ>#g1HQiwuy~PyslGWo4M{N@NJCvgYJO$P<4f;6g^Qu4J88{{_B>o zhhAG>ld?6d=(58^*L(GEZ}eU3550(zrnR`3=8-*$*w*!tmrJ``Rd(uCU9U6s_fa>u zNe9@J;!GnV8=)~1-6tT~)nuenZ{O{i;~!W%iGZ{5sw?++U0;CXQd7IyWQ#&sJw||+ zT9`|Zl8;}@%nSXKqp9|>*3I7RkuohUPTSr?sLMcC_o3O$DvM$9f*o@W9v!Onf-CNzcE;aGxp$x@}b8yjV>d1?FD}nc0Gb^KQCS}B&XFZj2d^C!K@he4a z%HDaM0L$V@d?U-IjJa{YnGR3rY4FUnf)s9>m76AFi0kA|sL@K)x7U=-dbsnG(i79u z9D_tK^74fI>b1_!;?4z@Z*&_jjV|71x{#z)t(m6Ygr%z)%AGJOSF*_0Vwgxuji0Q` z$H(B64xQgyA1@0x?b`gA=&O4K#C+}8c*HbcpL(;7t`Pd5t#0g{;>6H7wi=zD$gdKSYx>6pWp3= zUG@%$cf3xGw#Nk5kDz3{mzVE1(w}>9jnkN4>p^-ZU{E0z%4)9G)Y-L11ko@)EsO=> zUkLO2_iWI5x5gn@G3TR=wxArZ5!Cb z7Hw@q&Kh*^IzQQP-NRgzNPCB}M@f9WPnY#|o5L_SMEr(F*P8=7{ZVI`ZWvQ% zK?I<0EH^!ka|eL-A*h5-Bj>TI*Jtnq4BLGjs;*vp#%7HMHhhF`5$%u@lnn? z*8yQkdJ^A}4*0E?g21CRY4(2czohQh4f5}&cO@rh_U@Xk?LpE3@&pa)7kkdwln4Zf zzN)+TH%HgH1V2piwk*d2!VMF^dDL$)dq(QcF`d-<#|V(DCX4G1NEV;`TOUrmPS39R z(3P6Hw_+a1Nxp5biD7)}6?r{(#;4#1GW){Q~L`z{eFMmkTrDqX} znoSyX5!=#TvM`$1lv1%TlRa?8sG<%1MA9b;!@uo}Tk3IU|6Y0^dLp z!4D@ioO-KxPJ){r9vJgEw9}Q<$rEt!0-m1UvINr(o(qn=7u~2)myI&KDP+cX`Nxx8 zZQl#Cb=@TeJ!!C9F2?a)uD#ILrnD?tEzW=aae|%h@Ea161|ba!^>*_4UaM?QCGE@8 zU}x=9{N7`}+{?+nr0t2^AdQ?X&M%a+%!~Jh5!nH`8e~friv9Xs&HkGhVls^ZM183-oO;Ho}xAqQqSrqmaH9Ct)ekb^{5FJ$-F~ z2lljtk&knAL0)+u4`^Uk#%GBxMkU#@69~ll(#I*s7ancK36A~DK{*aR>` zRp^c@Qm8|3amrd$9UZ_R#D%5Jdq97?n~_|Jg#l1N79n7cn@#l51Cs<;nRhf$dqecF z`Rxz*T2$KJVa*F94yXUs>c`q*q0i9eA*T&&NQOk?9f}9f0=Haw^*{)FmuHZ;s=atu zeR#D-zplVx+}<2f!v~EC67jYv6J5BOb_ZJKN9urGBSeF#=H8d=+L7cv8k8aqi1F>I z57XH==eWsCldB^0&fsJ}c?k^bhxc2JWNGOHnUBl2wcu5>!h0_BtXnKtkKLTK<-Hg} zRLi)`4LmB7fVo`#y^1*h_}r51!2RSVbn=sJ5jRQC%DAFP{A_3rv_vFQBBk>z%s?~( zM1vzf2#r+A;1nJU_F;MP9iNP5V=mKfsQyfyro+&TviZksz0olk4EzZEiVA2=aCw^P zH{fevf=WjdoUDX0x-~(%{Sg!gf;%aFg>W3wo?Zs>!&i@F zB#WZ%aeIz0d-vQ=0Hi~`F<5!}dJXz}k>u(m&7*5hbEW{zr6+r=tl8PIeBa(hf>tZ! zzp)Zxg7ZwVg@l{d;1@=qYXfT`bM>#+Mvqu}x84TA2rsx|k(*nz07nXZb2QN`Mp@*@ zl+rNfQc9y)02*VuR3n*oAaRI0t0>JH$XQ=8%vpF!uV;j*$6}zV8E-v6Q@$AtIY&_T zS7BLixFNWdS0c&qxs)luURH3&%mm;}Uzny39*j#xu`emch!!U1L}NiHPB@q+BJ(x& zi=yj=LB+%SPXBJTG3>=W&~ZrNpCt_Ba@{6+UugL`ukiu(J1Ko!jQUD8+nWIYYFlUJ z6Ti7n;EaI%9Q{pv4QnE~#dmqL)U?{ncag{tHhL~(tJ>?K_Pu$ge1@Ql&1!#AROg;+q+{xH-U0TRwP z{FS(^My7a(+t8SMX0D#g*y+`xuvNbdbKqOY!W7oDgFde7s+0ehF$IA$CqRKaVk;2F zH@}e(RYTj3k#RJKI>{?!F33MWsd2bc?T)hcnD; zFfa5S*LoDlD%TQmu*EhrH7TYcKSsEbSSM3sa~lqi`Yjd>y*RjxxMgn8wRnz&hzGBE z?kBFEI084!v#@gRRJW3os(x!JGc_<2p|3Ux!6rARTdO~6DDtvf=kFBMCAPOv{*%0% zPjpGHYi$CYjt|&7UD=frxa-Vbky+%CHO>Ey@p5l!`(kxS(`khgb07Bi#n4fjN^$}f zOF*uoV1xNbxW~!ng9DTW`6yD-_Zjs>1xB0Ghi6$D4a!O;ihI3*sC2VsJhWSc@ySru zn{N(gZmA2?gusJYzG1MC*i9u<#E2jZiiaSm`f{+X48ji)=KH9coLhDe$0_j7R>FV? z;#a2L?DIPkI?I9l8Jx@M>TA6BwfA`WxBR+h+0gS)2VP;iV)vn)DEkbIDY~4*rR~-6 z$cUVN7#H+G-!lwJ!cYD_imrj-JM|c*51%Pw-=9Cka3SzAe;~M}t}y-#=i0?W-F^)% zaI?*{Dx%@p_vqU3;5|B*8lc4c^fg6pYhQJIWM?eY(sakTvYHp{?Mof{Cm(UNbV7w5 zByrB;=U6l$ysLDrSuKvkK$1s;ksCy8*d(brU2&hyf|g7p~`WJLpebrVHg;XIK@e@f;MTQc8U=qc;e=U zS)yC!+X2QaMK_FhLkH2NN}3GJ^;zNW!?z;+Vh#TN4yavdGYC|(5Qhj^E^BY4C!5Mz zQ3Zk;=+krWdzQW_68EB@H{>#kQLRHqz`Yl3fv$~!m&Uq+!6WlgDi85WoG@R+Y{8Qo ztSoN%NbH0-LTsZ)@- z&p!Cv4NTj-8No3*_j1a^=TW8XHP*50D{1#llin@Z#c}E$3MyM-uEp%1Ls4>}qguAp z?hh{U$#DxOqZoaM0LzrQpO^}fzqb1S7^*?7)SuhD_%tb*rmt-9m!ReQ`sJYw-Q1%wr^2#l&&5!REkAcd)06Bf|2Ag!oa5*B@=yOfWhYW?(HXT?c$fq)9{YoFXJiQ=2lWBlyd zefP`%mLka6*`kKnF{NRKUWl?nJ@TesZf~5Iz;!X}xXw|<#ce`m;N1TNtA*`&SY&m(A zcc32pv>!4<)Hd6Z{OR1?{>Aj1uFVrK;!}en%=M4>pD0To;l{zG zIKe8`u;S5A6RNr%8)z`Z8!IsSHIUFCOH4u$Er4wN3sWg0LB_4CU2)DFs#IR)Ob`qV z<-(sVGVoxLf9Ng29gbgOWb_t64_Kf)OfAG*Qs3+&J)xQ8ZjBnJ3HY@XT9=^ zkyYp$zV~Jbq4GBdMQ5Ls?l}>~+c`l9@R~!7yq~t@f2TjP6+Csr$_VQ2l?b$Hd9~mvYB*Pj|M2(QE8{cGK=|7sc$EYuzOoNd6zV8{nmvhurOnB8SY3`%?O?k2;1dRjb} z6}B~T-<_ZM0StPACniv>2D~Bi!VJ+GXMC(WO8ae+$^*BSDAfDBK=kVB@O3!~k9WH0 z6I^Dtr=Ij!9|-M(Iy3N-wiqRREJgFns59a-**0L$Ksk6%M0%TJ2xY6mBAqjaMl>do zwqYMaA^xuk6~p%SiFzW1p=%LSL`!j=12a=ZTdLw@O`s408sL_sFbzh;*bt2+?gx_5 zR^Q$iiBQs}H)cLh_e;$SiPrBo=Dt>=QgQ0$te`Uu`^ZjV_{W^OTeQyv42coybGb~P zyxemgnWeYf2EIP>9QKpc=h5YiG1SIF{TOMP6pzj4p`f8ZXQtK9iWgWWbGt5w0+dM%Dwn3XovX+p13;mJjm{kE~C@5F3 z5euYsVB(icPaGe*u|x(EwPHA?VoK0e>tLrI_YbTEm!R`jp*CY0WG9+3m?3*X@E!%R zIA~ete*-^CLEk;OiQlReE-}UxZ(z~No@q@?jaJ++! z7BS}ke01c+1qvSRAp|q7n=ubDf$@H`M9!NnQQ%YKG$Pq2kVR+HuSbg!CGwf&&)6_t zBid63lL0SqnhMyjWQ`B3F+{ zQh#SD!ay}lvVQuY&4QY@?nLU{0p&330?M$Gg&Qv?)9~=|7}4VQm+(;@&h1EdhfJrY zKSR_h}ZT8>W zH?cGQSG(rF7x(=CMw|UdiT&@|?0>C9`hRP)|KaZZPi^+XO*&DhrS7oXZ%9)KwI#wG z)SR-8GL&45ZOU$};g1+uW|EytVn#7b_uUobi%5Z-YTf;H6JFmjVMkAp2=U#-_ZGQc zQKBC*wCsa0agj;g(mF&RYoD6+)JDU)=%Z;@lbXHWk)*9xQtxqidB2F@0 zyU55{tF8!$=n}htgG5tz6aH z4^yejX{t8v{NLtMj8Z8LQYolXPCbEQW9sI~-ap5)Tj{4PJRH>~B9*n?Z6=n@o4kFb zq@TMuU-mRf{W@jFIvS)lzYBx$XRF@MOG;aDX4)Ji=)f*zjyQAS%O6t^GPrbdo=s*Z zPP1fMZhfD$Vak5As7YEwr|dL_7eam{6a0#X@oLO!FV}CMo25!QLGa__K$DhUUjIsR z;!5e>Hz3@IDu!T&>u%4~(#cP4?8CJ0MR#t0K$Db!PWkr2{0Mg6JQXcz zg33(?yK}P3;i>>uLXsdoK}L*q(CCmbEqO^q_WsFjPSl)!K0yZzqr%#(;5DqA>z59l z8A_c{Fl2cwUa48Mz0&iy&t*XDV&0I>JVzbYB8eDeAi6epo=#8qkrryM7uC)&1nEJ+Gf2y!<%}4Gr&~AE^he3AZ1l@t)3P$cQx0E75!= zPaqJR-EVehe?PD~T7)nbi|=Toc&&QM{8FRAw4JN|oG}xbNc;ZfXskjGqFKBDqT_h& z_z}MrqD*BAha;Qy$GrRwct^YmccH&fkhA6Daw8r0*>t+Q%WG;Yuw!sK{mfbH(|gvs zH+r~k&nIEz)lhnV#=Jeq_JCL2jV}LIMd2`ISK{Y1sXg`RL}cSf!SK!=_^XA#pRvzo z?n0It^n!jYAl$8lw!O54N0WUT>CO7lqPc4m^X_w_dEsLOx`plxA|}%Sb~+_WTIo$@ zoBywE<6rx1es?mxY`Db}XFAW1X~5zXvjbOZ54Wlt`IO&!mS2m-w9D=vR#O zql>d3gSecd7Ioi%+>w^ZSX2GAgpGE3%Q7seyUilnA9Un)phx>_EmKE3a8O?1-IIU$L_5EEwg=0Q*JK^J>Cab}l(H38s zLO-VY)WwCZof!(v^->+toF5G75QL?=7f!>QW-M#(?6w+=mi@pvHZNzjYsXXhVL-b1I)dPa!n0Cl?y`aUX+Y?W51e9$GtZPiA@+-}19M5qa_9 zyFzWw3_U!22D6*5?j)uKkUi#~kp6Nsn2lEA4)7Uf;WbgvvulaUI>kvLs{2;O0Y|lX zf_hK$YE$?fV%h}0y&s0*v^i%Dz}(>5A#G-*ZYX)1kmq;@tr6BSlX%M8ykAh5@Tt(z z%;c2i{q@&14&i+-MzZGnFwB(q<3jQOsAzFM*%}U=V$K@8}H}w_=B) zIDrneO3hEbS~ZFk{z2!V+hdxKttq7~He_Q4W;pCxYB{NoT49)!qs<5f@ANpPL+(AOqq;x-N!$li*y@ zSR$z)#XR&b-@GD({z7e0U&^Zoxke2k=1I&1bGvA_yP=s9YKrscXZP=HzxYz$dMc-0 z;f^h2si-Cgjx>d81u9alWyQp z-gM(e`c<4KaEmo26q~c_>7W$cZ$wkJN7C4+G=$8L&BC~cg#xkpju=gVY6DHP23l8*%daQQRCpn( zDsM3pQIjRobh0>3o>)T#VFV|}kp!YyBTiflMz9x!&KBpCWIz46@kSW-h$%q~RQ0T| z$n#ACCb)NAeuJ5OW?WOqhCn)DxA8>1}rT>)W75z~nGM$!@+9?c;V zgdsKE7m&na6K4`5B#&w(hBkJv41pfQ8Zylaxy@pOkRNeef~s^zBDGvdAcL25I66d`&TCX zE{cVNs)?13omM)AT2AU68uH{mU=pe$utff(9FzDqu{8RCZVaE|2L4G0x_@JozpW!5 zOw3yxWezsQ0L~hU4B}Xz^vj%J)fUd_Ys(nVzzAv`g$kvzz9nSwHbF)bJd$EDWQbA@ zk#u_zy+7$ui$$=AkC`%d1PHuD_h37zzGaa)xsM84GkNhBMS8lt58F>-vRb7Ykbgaj zWS>8EGu+#Y^(cE`uq*41&6O@XRerkPo>6Xz*YQD~o?5(`#9}5rC!VtNi^%mIK(_`Y zeVvl)B;T41ba*>G4F(tuW&PyqYf=ggCEofaO(@5MjK8fL#EPqVo?Ztt&|3CET*)Qe zb&^XyZk9^%_a2}-M%FDwIJ=$s;V;Rg0mNDKd7KpqOp#V_kP-z1*0~9uRX)e>=R8ap zRS0WK-HAM{iyeiqHr%pR9G5@lb3lniN#etnx|E3a2;KMb4IB=`5~Hcj{fy$IOl<37 z!~@{wGAIU?R>@>JD#T^`9dIB%A!h`hRNGwbB1q1S1ZIB;Eoh(?fDg7EdjxVHZS_(G zT4drK?x$H;Fbx3bmgza$!|CP@#Xn(in^Yi-N5Inz;^x8nLHU0NWeu+=Z=PA|$tmMz zG%X49!JAjsr~qY!Rt<_jb*u0woSdMVlp^%>StuJg{qkUV#m7(k)Eno~s3hYpfnZisn!^>4~0G=0MeDC5?I}Qy;5;0IzqwnT%q75XH`n`>nCLd=R;+Ej< z8XHsOB2Z8rduIudz=6D^Vuq2h?o~>FgIw~MU~7>c>v0Bf!v5It zIdJS~RC;e>R3KP~a>94?8p@;$P7N82?4UWe(5qz@YEg!ATE#D*i`R6#8adSOPX4ko zF4$){RJ3JeF*frd{>&BhmUj>3^}ppKeAzV+Qk2KzqYr`_358aSiAKiPEr|HR(;Db(l4Nw~vIW}CWhSv^bV^uLuN*IcX%tlSot{+b$EBRewW{aFMs}Sz5JdWmtBWNg@ zj2!9&V6IF_1Nx)-3`p=Ri|g$O2wFT=g(Sl_z`cl$bDq@hUxj6ehXDocAB1YJM_|5~ z7Wnf^nt8X&TSJ$lJ-q=2Y-A;{5ORPw zk3u+QQghrW&G7K$2KDL-Y}4@A@_L`*0%G19mONPyeA}$_R5_s@3RRhb1XM4Q2#*bZ z8tUw?*1<&jS?vK|hF>MN3&l1+AiB%F9S2;vHka zG@~f{48Qv_n|6Gb38vukH_Ai8wm0M&(sSM5`Ea0wO0p3*Ht$Ik6uXrulu<-Cl@ zWL%VNUfIGwQG%|9;<@0ncmw5DB2-|xY9S@~G;}%|zacgrx#O$rim8@^Za$QlVxMcX zfXjKdJ|l<8>7m3{p5ZL4iD2t0zqs|+Hr5+Zrut|L-Tmeu#qF&nx~NWnFB1Q%)g5<0 zV6l9+PTkWxv$sApzkpO{TE?N^zNLPdlEdJ7u|wr<(@X5e4ySwzrTGjLaShpu#@4g} z!fznZ!|#A5yf0yd9V%AsH$4~vV=p^j4MRUABlS zn`__l61By%%w|M=Ql*<9V`uQ=JgHBtltE znEjkLS`Q5AkQM9Aq4WIdtkI5Ebc>(#G97x6R6Q;udbY8e=Ji+VeCw6fJ)eeUEAYBV zo;{`oUI@|d?9{}lU7P_C(wJ#bA7MG}bvrhSf5fmo82642s`K1XV6L#p^lLAScR)+~ zop&LR6JIqKgn^ZpGb=m1einn3FPv5V+1Lw#@n{eVkc6P4M<*W^7#f9Yoe-uoYQaKz z@Ww010MmySu32-mi~=@-(84r9v(gdBfv*^wWL3z+?)yhT zVAct|{Mx{uLC&()kS380W8}mkLwW$CcDTrqt4|AVGR}WsJ|@w$z%6exV%aAn?zF!! zqp464p(5KBVB{#79U{Hytd(s>BbRBag1$V83WSILCVdz+?=Ra6l;JabkDls?JMJu3+CBatl8+zy-6xZZJlIo6l9AhLb#|1Qn~d?d zFFf=@S(~7^(D^{E7;{k7;)23tTi>*m9RrrXb8RbJy+V$&_=DpurcOpv$(n}MZ7H*C zOv5yj@O{)HnFa0PDUw)7ns7W+*R5Ba#qZz7K+o!8_T__i23(ta6;LY^T<*%GtYFes z;oe+-QbYKL_p8YbN6g~W$nGByFL5lI@JSECoAfr z-IOsFIr>#L1C*3fi)F;=ajkiKr zPQlR$V};H9bEE+!k4#a_Qc(2D)V!12cHZX4lpdw9u_QW|yk~&>G${;u z(r!}02U2Fi*gkjbs$)a`6&jiLO+9b}bRh z9JD=ZcWcy-mI*N-p}#1>{mhGasK4vCP!76`q}b#Q?XzTZ_LdxL8G21YbtL{yXhxK5 zSOoRO%;_OG1Jqf;)A3rXpMenND@&5L&LW~v@HRXsil^r0AuAPXNe21ASkf5_?+S7&!wiJ?sfXfp+Eic zkxor8fS_#k4&6X%3r#y^$~c%>=cd53=AuA_IwKvl3QYrL5m3`A9TFQPFd}4;+U;4v zW${zYP9=uo$K<0PF$DaEV|Yd4F8aK0Wk589QiCj-0(1Rqb>+)K1pRJ>_F3-b?O95R zl=SZKq!{sd5biLN84QWgcyt1R;LCZe149HZ9k(F|VW4giMq!f9{l6~@3rZ%2*(iVk z%fN&~aq)5uyt*RLgkMX4=b2%MpypMOH?gzQj5S}+%3UbWs3F;td(Ywd{(vh^-srr~%vxNU&=gQA#W-KtD1NNFAd*7-{L`O{}$nLhVyKWdw@VCAPq5K$j^ zxkrDTv8_zryaH+u-iX1o8@BFHQTlZoXS-Zs1&7QjX?uN!tq~_Y-TJgf8nOr3v}Dwh z(G1Gm5c-hwWY%!0Tn>&Sdzta>JqMXul;P&08nm<&Y7+G`W!TrD;I9x2FQAJFc(Gj| zjMH^XC9VA6u)g&kL$1~lGpwBb7)t@2I#Upt#3Ps~XaVeKu3zyc+l%AX98Pe$JaEUF z)ZbrvFZtAw!MKcty(W_jt1UWO9+t*9BXdI#{@|9gd^Rw&XlRv2{~^3b5nvPUboR^o zkgpNT{IZ5W_-u<@hh6>4JOH`TN!QXf=Ecw&^XkB^c$v&%+jOtKjdsdl= zB^wamgvq3ya*9|xB*bxL_LZFlZLO>XG;%qW%-$t4p)T7-E(FWrlRU*wK%w6(=7!D- zxG(+|9iQPv*x~^FRc%gh$0q$-CiRy7MRl4w0oYxnpWjdiBICps!Ff;5D`8O~@a6Ib z?e+YH!$#IOaZqL$Uy4TEKXAKG-T8(Skg_d<9cZTMK#H)kkU`V`CP2VFK2Rf?CG`OQT5lcBOPIZ(T17L zH={yL?Cu52MuMD2UsUj-oI61`oKB2RCEZnDY}Do^r<`@t81)Uv!)ym#!@CF2QP z>E*ewC5kuiW;maV#yYQFS`3xQ#PUcvKi}q_8-#ej)~*fMAFW3}?~RsP6>eF~Sc`yyOY(-yTkpl0NCaJkdIRUXUB0#w);nMics`Nw9*0cQI!0y5NhgC=r8DVBDL07@GeGZofe?K>c*Eb{SR87sj zExUcYg^La!(XqV7F&XHwf-1Z2gwYNap1gfI~DD99Y{?wo-HOU67aJ^ERGn$8%wK6 z1Wg7iw23UEICHk~-7K0X#=+_TqSY4;O!|Msy#-X2TNgG;gLEU(ErP@*Hz3m8jf8Y} zw{&+mA|>4dvgz*b?vQR!;ch*F|2>X>jPrfp9d``((7EGrzVG|2x#oJ-Tx+?>vM^-L zWxqkDcH~iCJT-gei{Eb)hKA)sWOs(4s~1()lfsu3$o7$U2Jo%%vGbOdl@4!E#G5Tr_EehjJ{n4&>Q0Ul*POSM7F8lt$?7ZQ*j{AB??Vt^~m~i@8(GOYT-(Y**74~LbJ^Yljj`MSeskg+mxuf z6U)i?CCr}**O7)NRz#L|u|;5pb1q;a+pL#wOes;ZiOviRzAf!|s`S?Q-YnyT`j=HS zr#gO;&(p~*eV4Q?h%IMrrRc!!M8+8Oyrw)8Y7r{5u(sStnlSD%-R>)t^E@dSCp9T$ z1$T$0VHl^yROJ;TDDKSmxylAYA~wYYkK>?>LJ7Ce;!IQ}b!INDp;yob-#=p5jBP+< zdwuHGVQkDf6|t4ydOo~}ujvP*M>`}$oQll1+cZ)g<<4RmemQ=PBop&#kB*G6ofksZEmE-WN#%M?g{2WDQ^xQ*kka=rx z%&-l9fZf} zPiiqxAirw03MtxjHnhQnD9gT@XpOKF?Bq7O4D|yJDQXl?yO?Qo&8{K9327yqiLUdW z#trOyhdQRiRpjXo`d6~66s^AJC0v#I+U5AVLoJ+@*^mhUl%dF{87zpa#D2aJ?wEKN zu)SxdOZVQJWG0eXVUh0gYm|@Fw+m-N^l|+KT}r78zGHE%R9h33W?6C-jof0|AYld) zJ*17^jE}*hM>T31i!|;ko1X+MLKb&IOX1+@hBHIixA{l(GAD7VPO%Cf&=s;FKfYIH zEL!i}uq<_$z>KORqVW&FSZ;)*v!qUa!EWLm(zUGR&$=;L!gKLXP$MUmSx0g@R-{ht zr6GM}7|%KRygxgyq!^AOImhUFXd6(?b)CPKS=uhSDzziLR=@)+*6{JLJB(6w1QgvM z^9f#~@P3tT373RB1!o&K3-ZOQjm0Qm{mdumG#NiXN$qNeZ**Kd-~7HIqVLmT;#iHf zLf||ee?*$LerHLeXk~?(a3BCl#mZOB!p4y^$DAXU$9KZw`0~itKUu}5u9{>)lO`%KlKHSFfU;NdMi92K zDZz^&9=Lqj9B1HY<@jE#A{6yVNNNU!D+|B{Q(NU=oP{uF%H5o|7UN$ zS7gz;iI6@X0uXk{(*viDBtB^@h;DCy<0lGfIdGTtJ@r@*ur|k|&NnRsR8Ny}NmRrZ z#+nXEEnY=9NvD=F?zz7s6M!Oci@SoJ8>N~i7P>jRGQOQ`mk@~hT>hCD<;LL`8R;YK zqGj$nIqhZA)&umzVbRiWibMW73YC+Uh4b$-URnRz;rsuu;t*2iAG?VwApYOB>}LH@ z{_%elgs}a(1^NHAAcXD5=H5Sl`&bY%q$+7mD2m!VQoftxnXJ-8qFQY5GP!CY^=lNskCinY};YS0Mx&3diP#TMV&XmJ~c-MFbe0@b}V)42;a&qfz( z_%hM0(I<4G@Lt|?9D+Ovek7Jx(b+-ndJ6;_qLYpRnD0+s&y~mlW;sRPHAIsOox~F{ z`x4w?)58*hUJ(~>pyOYA#ZPyqPp;=Xw&lm~QQF8qnN{I~A()PI8Vl4ZMK<>^K`BG* zeF+7^)M?9b4k9A)f*tiBeww%|8W<^Xrdxm$#3g)I(>SL^zU@NG5xkfEP2eO2!`rZd zObH749_KKBJdWy4IfLDd^Qlt|{%tesn?a`6772V3W>isHs>Cz3!S-}OrvyUPcnA~e zyv?%WO{=unV=bx`)%aag$D>AxBh>_^sh75;xr!UrO2$y}JH+#us#tXUHi4Ouy23%v zJc))3WqY=|r-4Y*!%%S~T2!y6*JF09Gpe5h2PjQ+73kDE`br$VzPz}#OrzPspH$U) zscQaaI5YO$ZV!EGL0Mt}&PyYQS~}yD$ajtj-)aMA?e*`os5_@&@724%kXXA(jPD5; zT4cn2v63jOBfY23yB$?N(_IL@cjY@f58tgmP&a#o65 zr$tq_5YOX~z*EwdbK;{=<8f$q``Ol(=Mt{pRKs)FH~#gqQ*G|XjL||Mt^27qDr(*; zuwFGYgq648~^t;CtyudCv>+$j2YV0lfc6u%1x&`ba8sKliKE)kxW9Q zbcuLxN@SvZm6`P6ewoQ@UM`fe6phhx(%ed#N6kh@(NP<>L;bYoYE4j7DmR+sjk`wb zzI(@{On|hs!$B^?%vOGetqQ@?`o3G-r${VCN)X^Ga-{rvui$0Kn^Bf4a`CMDR|Fcb z-Ve^3v7qMcFQD`S^W80vMXlSLJ|9Beeir_^A@MwIy|nvcM&^6m=xpG)N6KLPw-VYn zu6{-JB=p?r%1ah~vl~4!Y1`^k;8Wa!M@qNmCRHT2)LQ`BNAu}5=$>;Qin_BDmB*He zoIF}d+{h3$i)&aZ)Z*GnyI={{_HG;qc@OfD(YW(?j%s3fspd_f2WDw2M|uapYfgi# zp=Y3kV?I&jO4|U6U-94tH3qG%-}?}7YkJ~)t$0G;udEr93v*j0>Y31nG!&{u&Txh* znl6$iOx7FOQHY|yx1rfV<3@oaw;60e{x)~weDN6#FoE-7HvhROH2iV`pPqGUC5JYF}=B>VIUbgMsUgxQ|bNLt1P z7YsHVC6{<-F1y1RMCQ3tJ9;^)9PG%N?AapgsZ3rggDX3R**uiLR7@r2S;>2V!C1VMYv^T- zfordlI^S##6K=Aq)RdbYyd82!2Y|{dt)sb-=nxA(cQ*4|p$-Ui$1BZ}2c|IZEOJz6 zVaKpddisSJ;ztQuq!E?D7-=npWT+a9LXgT@q6N{(oKJqh_&pV#3 zLj3eg`o?P%l+O{xS8+ls2b^i9;WbXdmEWxv!mcG^40MW%uZ{?%($#IJA3-%DVz+SiETA3#aFhn$o> zYi>@-sihTT{RH`D-SFzpC>$3*GNxlV+$-DN@aE1abU!AoW?&u8*z^K`O9f*t@-ehJ zS_D~>1A*INsGfcTS*~5t>uKkfv_MFexi1?uD}MVP*;6*I&`krukQw3juliOwDVd5r zFb-&n_SxG48Ev1jZD_T@=|}+opZc{cY@knCn#b zNSw)tK&fk`U=F$DCv6*6`vlhBOmP)l4jr9R(EhR9qTHlBPfsAXEE_6dtSjV<(`U!X zsWovPa8J7_$uQGju5}1sHJcEd8Kw2(0jBAr&q)zoo#!6K7`_F~Mp?g3@>``f%?M zNfnv%7pbuX7M;Ni7UDe>+reeX;+5KGIH1^kF4KZCDP%E;0C+X~pXnS(oerAu6PSbV)|<2l8H^ETN^4jR)|LvD7jN zeP(Kn*xPsK9uH_6Jud*;dMDUkx(E)s-Wsw~)Z|)ER}+hKkPU!=UrV$rFf;H^+)D6D zgzy4wM(_f~RFSQ!bf~}(Y)V=1RfHX$ii~O@49GThlSnEbko=6|VClwi{IckOyxBdC zq(V7RnOF9FCx!u;=~~*76#Rc~%xexqs}s4;2lu+1_0Z=;SGo%ee{=G$0c?uVVl zkW7M6czv*5dmA{f%3-#aoD)kg_B#5RYJAs!#aw@Wq}+W3u`B?b$D>&Ob4dots}=B z#=BbCq=6kvC)=64YYFT8uwJ(}u?@Mxo+pQeYh3w^45rVjk`3i}zU4k+PU-ST({q=} zS|++j>pAu<{b%m`GYR~$X%&DC@VIFe+mF*+{=YlNhEd#7*Vs@{3!K_oTR9;9NU%ki zN&iS?8ASl3e^e?nim?1X1!h!})iX2H|Ko?ImdxxNq-<<|9&hu*mMdFDI5DAiSSPo05%_?I9R~uBNPWK*!%^G4Q&1b#ZJlwhWi^C z>=pR;f16;hIDUZwd&Thx#R>L`;}MDz>=pR+*Kaw&UU5D`f&auvfrGC@>5=(<2lR05%_?z^Lp@k5E8Xu=xuV z8`%5>ik+05`4=b-u=xuVC)oT23J5m;h5|4#fz7|60AP>U0e?dQn802E{)Pg89b^am z4FzBVdjcvH!V~8kkoA zX0TU3cY^!LIso`u*;yZXL!0PNKx+X3L)#{S55008XOBijMsyU6~?b^rkE)g#*h z;G4?+$aVk#?A0UN0pNSg{>XL!3)rhiwgbSooc)pQ02Z)Uk8B5kp8)$K+W{R{(+h{z~psBdjxNUFg^ z%KRgmnOZm)+L1DfSm-(!{_znaqm-eQF&K)C?eDc4zYMo$B!|CHV?*dHD)kd74b}Kb zEQ`P=jORJv6Da{C^=avS$P&;Q((&LIC@UW{3KNgM6IraXL_wDnH-lWu9#-h^Sv+^h zm%`uWq~yik-YC}(Ha)m1z6LQ*dgN;p;VAH$E?N-7gJP2uwb}TYjPTE1td=n?7?(yy zx#GIY^S*5PCT6a*ka*2XR!dD0eupVxnj(7&@^A^@y=qA1bK|gf$p)vP{mftCzWa-= z=x=p&6bpPHRxs_bFZH~7dTx5`1d;+JPFcd&HiM3;>emW!7xXy|)mJo$qVi46)DMdV z(lfmXw7c()pPk1SqyyfrbxF7Ipq=#zmXTe6K5{ukt;MfZ@NUZ2BgL|{+;uNbt-5Q= z5q<8lksx7TIfaq{8Az8mGdr@?XYNex>PfkOmc8}giP@nv_qdFxEY|I?zbs}4vG-ij zW}Oh8l}r+7p9=I;zZ#h?f{xVat|@enFm~mYQuBD(k_@jUmsImn)yc*KH_f?b*u0)SP%SP9=kL>x!K}~zA^`R}RR(mRQ z;uFm2105Y5I|U3x#WSF`p7tf*G}rQsJl<$Wz_}SQTZ1Y{S@^j`rS-K^Dqe**Ov=l% zL^tR3sr8Nk9)ji4?-=1q!n8-&BP_?m>ipYqS~}rH;5*?xK|~M}`#o}JrOYgKCmN6J zi43BXZzH7j`pgy22k>ziF9o#L@wbQQN=eIIQph*r%;rywJbGBAETUjNe3Q6|zgf#O ztu85}lCwE4kyhuKYqrK}=(eMF|Ym=%M zxO!?a19I$-&$`twto}BaI>Imy}*I|AYMSv)HUCKeX z+=%jaBcc9*ZZepKt!3vG0i&g>!wy?HtQ>ws)_MQnO@_MKT)v$J>YU%w)w8B1*g z>(`cU^VB)Em}M_*H}7Q$ISH&Kc3i^lPc2*Bt=#Usjl&)MO9qBnVNb1i@Zos|F$(u1 zcZL{KX$~6hNj^JSN^=J-&xdy)4vMAobTCeI4h!(9i7{lwS4=FLQwG zj=8koZ=l>?+##I7W)iAY-=@gse->`&z4ZE|{Sk964d2)o3ZKtjj zlB5)77bDpd)~hk85JD!LsMtlP(GZD;>S{XTzS&t@_Bdp1P7^jpIdT zBa%mlyVqcK#P!fU~6#e;v zT8iKdm(A~_D2@{0I1z<0h6>lKbwGnSlE@@iK_f56d+zyQ;kL5FIo&6Y7m!Wr9sSSWeRfS`*Jy1--$wvZ6oB4F5dF z(Y&hrDxXM))bHeANOB_4x$K1ggqZFM=YlEw#GfGP-DAw)MGBOT<&crN&XY~Alku|AwmJIw3J28xfG?qHxW8%)Ys1x2h{S3YEq7uAdHfoJ>Lk0Uggp zL2Kmv*k#rI)20%uE}XLe>l zjwmX1+n1euxYM(kyuitf|KbMTeSzqNUU+L^J_GR@g#2ahJEm+X;x;AP4o@Q9rAW3g z{v!IcDk*Lzx48qB->2HFbDIr`ty+aW&WbI5n+7}VSZm(C9Owg#@{F(w>*itm0@wO+ z#kze}R9DoIbXC`FR>l(*Vpq$tS~KO$dg^4#glop}q!PN{j5+?=PnMYj+^7ECRsYC` z{&iQ)`P;6V74YA6)j!sS{=b!Ra96$f935h{%57}!(#fg0olu8z=ea$WJ?pU@N@VLe z5{zllNBHCwE1FR3+|4@X!1AylNa7R)Zz^-&RHC)1t@s+~d@33bLfv5k!#1UJK+Efi zE|KHoNkpDJ{|TohzXyoPsuMbpP1cT>!=|OacB%B6C2*XsMsr>8B$l({3|qc>!uS#; zYAkWb>Krqgymej~?pfl9OOzyW^W8C#HjJHeW?Vm}$!U~J<+ou)?RE~qfwYS~Z#%w2tCp#yhn3%$1U6NA1IetXwCai62dMIe#n zn2n;pER$5@J8eoz8r7LgzRdR{{|A(MP0*G%q>IYWqBE1ApF}Cl|?f(VwAb6 zKopl}FZac@LIwb2Br;Xj`r6+utZ%Sxl!|&jZs&YjRms^XWqlgR^F2WaHlfGa#F=a0 zC8PYuT@!)1v?FR%Zrqu(=cA~B19lalDPrO<6@Brr?&Kuf84|iP{x)b&Ggnz2)S*N$ zSMkrEzxrYVcR1X)j>IjI*1K^|LX1{9@;os0-D-k<((5yw%eN<>v;Nt+Ax+Pdqffbq zo;c0xO$06p@96`L^%hQ;*g$ThT8ysV56H4J_lJizn6Z(n1g))pDlVIc6xvkC&h&vh zX)<%BJhpVrPsDpRB&ya-CE=Ir67lLYA*0^i#D&>v&6bZX&PO|dbOH&^w=o#kbKbpK z9zM)GzY_7TCQs4%@sY`0oa>k1q?56CRo&TSX9GK+Sp*YlW)dxe*vKTQcK}%e0FT=< z4ejkDc%A~#B!Y=B``g;sMCS78s;8M$DPI(9_Fc=GJ%$G&yqnR_GT!@oOq{bHj-(E? zRBa^q$}aJw>@{egu`keKb9^siLvz=TrW>AidZtawc@5I)?xh{^Q$OHGRen=Bms11k zRc0EgnK*F@SL~6z+(KGL<+8=Hjy0mSdUoilHuQl9+RPO{tFKZtQvc=*mNA7H%QdVp zO}koj{!-xsU`tkki7qnNpqZJ*)Vy0N>D!zji`au^=uq;WLRIzYvFvL|CzGwz-vr6O z)}}Kv1DGCzfIEof%3f1qVqT9tO48 zw&Zq$RsS=AXl02U z1bjR>N54?0Q}0@I`^@i+LvnkwO4nQLGJw$6FLNa6zgQx-vskZsx=nsE7#)JW^Lf+0 zQ|t95LR+X6jA!6e%zQtwX)5Lo6g|#Z?fw>X13fLw93BB4kwc0?Hljra*_e#P+MtpK z-Ev7@VZxW@X!0dJ0%sJRqg7YveF}n2*64%MVRaR2isUSkC_oajn`s1E<3O)KK24wy z3_%;&AQsGWMo;i|?-{aBx-}`oN|6SP^)AdtsWzD^?^nalaqzLZquC^GCr|WthZcIj zA-Bo71wX%EWeMgPFmw&y3>ioaO+X!|7O=`9%gjfP#*dEI58lQ75D~1!E#!fW+p~es z$Y`TT#h3+a=l{78HFoA1pU&7gw1F8J;G6O*N^H;2TWSOjfg7v1_M(%r4@mHWPCl<* z>heXR&k0PQh>)D(%QL;3K@?94VDv7Z@*Ur-6QF+9;^jiVIcy{e|60 zz9-2kS`3fgd~gtkm~lED>j91`2YQg$axky&iJ}Br8_MZ3Ls5wr(R87Hd{me+Kvq5I zwytXdWc4qIFf+S$uYJyE8hhVHt5CG;#TUnXXp(?Yjl(ENTdbE@h_c%z2A2S=YPjU=FgS5b6{_&8_E@mPv#>9Y=<2NhBCStl-dVkgTeU)J7hYmGObpnt z4^N9pVR!58MIUlAJt8d=F?#02HPEdu)@ycSi4_A27^_&PCx{}i%zIg`7BR~$6cvr1 z%A+NTCl5=0*Q-hDnirmAMggaAmPV-F7hi_nT)4HzDb!9J8=2Vv%V2=mRsf~Wgkaf> zTSW@!AbUYxky9^cG{eUlndu^JX^;~f%J!B5P_VnU^6Wd5IDb((`0X}_{_@kemN`!W8M6eU zCV`l`K?j!JgfOR)5-Kk9wuRD884{8hS6gvKZZ+p>}#^500v5=f%=H(bqZ5x7#Z&5^(S_*+QZouVuZH(o4e$n2(`z)t9OPJWm}?E zZUw2OZ;GPHd$*=am3>F*1qiiUm8#2REpd0oi$w~>R6pye$5RTX?LiRrAek#-CM5n& zulMI)=j3*|E}#4?Rs>cplGcG9jRI#w6fiWQ9d`FTzSRPYQ+yut*9&caAaN2Ur-e8M6bM3%%g2G0X*`*h8^@O2) z91T0Pa#>^%)`jqxKLia{id>7`nU32Si%H4mVbk3jyHrx@881?`}=A?G)}(_bYs%&b6;$KL6O{`qfvCl03H^-e7R zo!G|yw-Wd$wk;(z)Eefk^A77 zj4u5KoR{S`b;5`ou0!Xx2@NWUV%5g%mdN93r+S zT0s3p7U}L)FaP$3Hw@@S`eMF%*d@~3`aS3i1AsaHac?HU&cgqR3n-!O+_n$peuyHo(1^5ajwjHR#UN_@G)kx5NP_yN`j8{C;{Go$5dAwthND^LWfF{A zuA`;5YI|oXZ6UJz8IPm2x`*E_`R6Wu#gJkWUee=8M^WzW-IqVxEcnti$QaOf%G2SUkVO128O!+7w1DkXW1Q`u!N3MN}!2yPoW z+iWtcxW16q^oQgN!WXTJC2?)7t-Dz1J59^5H=-(aed5pfWT)h=+QaA0iR6@68I{Ry3_%6tkRv5a>z5Tpo>Lj^Y6w;}*CpW=@}~5dlNc z^vfn4=TpMaZ-HO>MFN2S#|@D15eiW`nEkb7E!V7`)No{!QU*1NY7BI`TIV?42ACb)GwpWpemU zaJuam?;#n+PeJ3{GD0+)Uoko|ohq7;fDTcip>gpOgus*A)e>Febo`7neBg^J!S8|Y zndFC&69>R1yVylVRG7O3)TK8enKV9}=E*eh+lrHsE4KOeGzVN&GPgAvXYgBm?_b;L zFf~&-ZAa*v8>5`pG#})|)%f8H)!U5E^y5bnX#lYT;hYq8VJ&u?ujpiG zWSqAhoHM)$27M-oxev=&jyU^1`oI};IUN*ESY~7*P7a3?^macs>2uaN^<0Qz<5Tx5@XuJR<}%uNA*vnkGpXeqlJ$ zGfglh!=q_~@BK_9mgr8ub2{O6-?1OmLlzk&&Wi=@TZ^U zYs^8>xan?Qh?j{Pe81gfoo*J0+~RIbe;>9^>a((MXqe2Nb>?^nbx~`bt|B2N3U*X`gWU7 z$B6x~|H5DiQ8|(O>L#Ccygo^Wok_!1O@RzL&7u?6p+^@)o=&$BTkmivNV}S$6Z1}*9^P#+cP-ugItZoibNc2ok@67;0WZW(Xg z=o@>?hcpg*!&(7l;J>O*&j>{N*~i&YpCfq{xrz|Ts;!j0fjw4|jBM=QO5ZExfG zauaz~ZELpkuqYkkt5xkX1@Ki1frl$ydx4ZG} z*&a%$yp5qF#1;kJPTNvwaOeGN-|TV~(U284107fyhchtZ!q0eSQ$~H_EUY%5fN!>h zcnHRLXZ01>6Q`YXg~c*f3*TpO8?xW?MbftR%FwI(HP}hy;d}+xaHMOd2UyLOR_m;f z+{!k4b*GQoWa6U?!PbA)kQuRTjmNx?+k854%$Z2!w&?9npjm3ilQ7FwXm?@@N;**+ zO>vAZ%LEd0uQNH>lk}$K6%+KdnWfuY`@nG$LL3P)V@0XEufcfWufpsJG8bwQBA{jj zqj-~7UqDh*)!Di@fI?MnLZ7!X00s%)`dY1LD+Xq7L43KH%d@1Yt#5MhZOiL#nH1Nj zY@8WBsp3o`jP9ok8k98wI zCzJnmj|LWN|D0}Rf9%m%|2uVp{Wr6%o)ZJ59i-^6qm^nExiAv8rqNU8VG7TEsE{^Y zo#BwFt1!FzZ*HJ{xHUtLM}&7iV!AR286>l)Lu!&KK~oUfHFo(FQAi*z$|);B=keri zn=v2~4YYm#p6vo^*!?)SH`5a>I}FWiQJc;Ov^j-lMvNW^DMAfTj#@*rlHkFJGiZA; zt0|g;=LCXzT|c=9eTbEUckhVI!QS(IXlUyksZxZ!k%+NPZ&~gWU*%nha^g3F1vNE0 zv~>3x-DltzkvnDZN~Dx$lv8K!(HNc{(p#oRJbEi>-lf@tyW`iRy&fdJ-1~~+s`ofi z0Y>Y_ z0$qsj&Vo-OamvMU1{sl5Iz^Muqwl0f`isKh0+W_I&wY9d>e%P^NT^n$aehOIzhr$D z7Ph~K1V8Hu{xu_5{$d2+$2j26`S<^p5x)`j9VaEN4oQ*WbVQK>g`IF^@exXTrj+}u zR$+Ohj*y1E5M7tnguCMNA74Z<26>~{P&H@9L9Jv85#W*A4W_7ExNKLY#yX@N)#$)P zJ>%Frrx90GEmSy=z*Z{$#v-iDyU62J=jce&Rc5H00<9W#4KkbK4ESI_MEp(@Bvn*W z1hiq7_W4r8m{3}11(aA~wZoDI2yq%dS!CZU%5NN0XW0DHl+F3KYCi|Zh!;`FdtS7kv62@z0eFadKlfN$4LGjF0%h-CL6pdF#FVE zeG99lR!m{R(OTJOd#S$pmLdj(L&GA1A|zj8L07QxSyn3$*SdOfM|^HB)$WC~E!=m` z>_v){avX`&p4%?o{b#P7^l95Ts_<@D4CK$tV!aRaA5M<)$?56?^;DZgm98Zh9N)fl zOAAmnY${Y5>*J8#WPG`KG;hOkwc`r?4!Y-j`^#^L`InT+3La~MKmI|-KkB&tH8H{R z>Hj`d{YFRyhpL#4AH;O!v;l{zY}Q`Wg%8*gtGzz_UnO<)t$DtP^PFH1$G~u`pW|bz z(8cYD2*KNv(RpJCnCr&Q@VygrCQrp~h#R{XaD^`$=kQzabYq%^@7}MpJFhady*s5% zY&9hNWSPkFQK-y|%n8yC#iTai$qw_kwkW{cV8JRKtOKhLV?H#fZD@g?8?&2oO5D~* zI$iXfc~pZq~77RAUr6lR0pDxPCX<>uTLAJE^Wt@etZ0V!yT{u$;_J9I8NOnj}45 zo`_Opl^qY^Q_Sc!Q_%Br^ls8OQ>`T4GZH9v3I7B@CXyaL4Z7G6qD*A6u60?jKf+Kj zz+Hc~o;MZ4Y^a;iyeK^w1_D>T-|z_ZB=tdbS8WdV#V@kW zyNJ=sv`Lp8tQb^H zTZHSWEXCQlRhWKKl!yH0`&PbVrMbx4rCJB+rt+guT%DKC3)VSOKVT`@ez}>%R)bmY z42U_;(>Msd-YAs$5vSNM1(;gQ*{e)5WJ?FwMzrQ;-ULS#B`nmE%_~mamCXaSfTYCl zRA!-#_71aH>U#)Kkx zI_)5QZfELe#K1PGk2yIr*N-*fK-(i7oc}rUV}7<{iK>ih^<^2tkRVpryP3^zqwQNK zWmBeKgB_C>>)sAgu2ub$m_MKVkFfM>tom7J_pf6Wxaa&cR)LSB{a+FDH#z}$0AuCH zgbu5tnCqr$CAX5>tnO`7AtN(NcY~l^#pmUzp9WJ`qd?9YjTUu#itK#~5sN?eO+_C+ z2Oq+gXZ5upLcV@FE21pyfR7CSc?7fba0*P+%btEcx2EaMWOyUQ9h@yxjVPwwfTwh_ zNvL1mYv}kcHFWszR@i==dH(9S^+OP;8|6_CA>OJP)<_gtN2kUyF`-DL{tN{JXkjZYp<2V@pW!~m(*KEVP){k6UpbVw_W%CeH`G5T#P0>S3K94@6IjgykSKrBGgju zfb#CpsKJhRtzKVx3Kmt$B5cWxZp!pzfBINq0EsZ%vrV5eQ(NC!AEc3Nz)+FDQs;tj z9UBK%Kt`D+>F!gAHk1289d(}~GW4A@@>j}yBS4}#Pd4shYiypJWVoTJjVg?-PElw@ ze?eOim_e7`5v->*ms}Ci&V+}w~Fms$PG~@vSPxCEXeeJ(wE+o)M z=0TcXgc`o7P|4H-^1{OIWVYPcFfEfz#cq854TJtp)j5A*(0@{Z!|_;v!wDAh|3}^5 zZ&LMy$uUdt;0*eSl94!1!c#uJ?$pLku7%iXZXgn4CmvBEhZ9IR~7L_=1Ys)u! zkHUSxbR7(BSFT^m!^Ka0kp|b)Qbl^xNz554Om0Rd)Sf3ELdMNv`$p->p8te|`P!VfKOJ{+--|;62)F7RvyL*Lq@*ziBLg=Zega z(e^({6@N64KT}1f|Jkq>ymI6psUkSq#x8)PtvFjXXbNw4lB3Z2lheMRatiT#)l~#9 zgM8$NdrVG(^0;scJ`yofN^d5}kGkD6Z&73Hkf7gFaARER-L;6dafOLfNyk(V_Df{o zBa$tOS6xz0Nr+}KSI9{ z+zuNjo|iyXRc&)!CC&OIL#wRSnu4&1a>f>ufjj#YKMY;ahN?ke&LeEwsf>TpI4l6t z4SHFRSiFi;J;Q{?2D9tnTcM1R@+1fM>%LW(S`@ZyuVVu@8EpKKKHmrQb8kIe**Giv zTYS%I7s`bUY**n#7ivW(K&DvcS^18+lie~uF$cx#_K5t1p+_!aDoeMF$l2z|lu6#c3D2||)nAak^k|rf8%h=IKiSD~ovjZ0U zjIY*T$b8EU3h#NTGVn!&xHvavcD>toeTHse{{=f1rwRpZU*3|eYl#jG!m(FDP?2@# zTa?(IB;PffvDK8`X``EuaaVx|Z&OC_3S$U)ux!1$%ucqU)wtzQ{zwYeh__uvL=id`X%&foYYyaK+DtJZGKUnv(S^3?Ooc(B4Q1~PV4<`@CaG{tts`cHb*HBsR$5$8br=Nj{Mm*&sWp#>2(F zh90|7O%}Nc3__#k4tjtdBj{lk|GFFl5=0=t&?XODqK|OFxJ|CR^V9N=Bzz@*D`4pw zmMlr>DU$u%?(@CB#Pio9<>PE0a`lp9Mub|F#TNXFMmUyK-#=x| z7|I(<&|4v$nvGvJ${{CYErT0t!3yzAH$l_F!9&pCzCey-@TSo_qfOh0k61j8s47IQ zM5}SGq-{5wB6CV;vy-TyK#I@!P*Aa@hJ%v@+TV92+Jx-l5Y8_6@&(heMuA@q?*4>8 z6%DWabl;YQd?G*?uXhzBhcSKUHpD#-EyAa0yBoaKh2E5ieFLxeT^_p@-4ysNcuGXx zi}o#%@&?!E=WZ9?J6Oq)MufjkD^K+V1u`T&v8M>JRXTebLjd#X~%#2tnAM@XAj-Uo2s9w>XB z<$QrSy$hK6#^KAoXJ7NYsBKg6SloU(#=Z=b;X?zleMp)C)_AsPxnG-Wu+c%Lv?;a! zaQ9TbI3RD5zkK!3_+`k4x+Fnsc`=&4fkBEc?M8oODw$Sz#%gp#Dvo^v8Rtr3(eY_g zP31ClPY``9k+`Y!C*){?lYYYzA>n*-J3OtvPJ{0rTjYKSXT_Jf<2dSx6v&v-D^IH< z6YjLet}(AD_r4=lVK6yUH+AZI8FyY+O{0aR`3uIJM_%-8p3xV|&U+1t+RbB;jHF*1 zT%ES3L>g?r+G`I`tfOc^O4-PUX%VabkaCImrrawbOS-jAWm8V1DBzkcA!G zj7eJ%#_1NTmLXP3n5p-U(OJ~nP7yZqyNKG6PPQp`Fo8vP z_?93m7iiFvPqwRE72aFL)s#U>~Mf^{;96k`+73leoHxv*iNJLLu zfoIrrVskzPj?ah))J5bQbfO_FF=@1wz*Z>EWL5z*21Lqc5~9CKbSPMGE5BTq|6=n} zo{GYQ3x>vk zn^Y3#7OPevh@nB3JM0aU>QiqR)SG;(kPQRs55lGBG(l#ZmL)Ppam?F%DPLJVcMNiK z=6x_#u^CoxC07v^gRCV<{ zaN37~sE;o|w>?6v?rw@JHGl@$-{$(gWXA3!l98(9^jgLHT%|nR12})8^<7 zqAjBDyRJz$P@Xf$cr01+^g=62$cAAZJ`(iS3~5H0s+PfHnIXe7&&jZWLu1n4o=ior zXkDeM8-0gGB(yt%KHK9P%9J}V1P)&_-9t+koB*nwYhK(nQPh8dr+g=h6bQlff;*O> znv6h`0eT6Q)lzQ({gR}9PT~?1Ipj!;YJN;>R?X7hk<|SKyrw#`R?~;w%3!D5gmHSh z$Q5}vdQiSFrG4mB24Z45!T44CHoDBqfY_-Hmj2w@7z4(j^EeAsqtJ-5nw+B}g~OJz(JX$z6B( z`d{k}x6e7xnLRUmPwd&hnJ0T%S^5ZV;wlF=BQ;|L)$Iy6@>FO10Y&}k0srn<+<`It zwW9vYqx>G}umb+}A0i#j2V7u-+ek;uI~fP5oF(EJtNBEMvfn2vAl$Zwvoe zgmV%sbl9K>01toOyAP;7b$`Lc!N*-AXvfC#+7WD{QPH%>hBl zO=e16#+bzd5_!FD%xG2z*?o~xfa_{=X`*ht;aRR-HRN*_oP=88J&jsM9Me{&mkk{$ z!?mOq)EU|VUkNMvX~*HdXt;xVQc$T63zqc+sHVaTouavw1a;jm!c$TfVa+cclkSh8 zR^b~0M1l^V3=c%Z`Rjmg%71vDt!}zB;G2E}FJ!|qS%G>~%GGKTY(3T{nQMKTrNWn= zbz0{TgNV;N*H*xg904$&&@51`D zm#R~;U37QsDFwRV8yGJU9`vvoW2$?sumM>0VPKO$5b3flX)R!w}; zg<1?@In!stE^pbHRAvJJ%=*06vJ7;67Irjm$bgGHFHi7hWLR-ptudQ6!La`MYtXMs zFWBQxZFyo%MKj{wP|mKn=}T5Opi1Lyl239g=L7r{0)zCMpk?B~o;w40837sw%8 zN*ZtyQuz%NnOf+X2z>5Dr<@0+4wv~hHo(V!bp!mZ&E(H~-*;8GRZ13)U#0EeaZmnQ zQ-7si{&$`W8vx+sPfZ<{SGY}%>k=^^kg-Rnb`OxSLdHcx?g7ljf~&6Djg}aSxziTg zOcYq)SAkq^Bv!PIAsu-LMruh^TskRP@{iPjP20e_i8c!* z8e%$GdJVo!EQQ9u3TC-skEly{n_|+w8q6h5H=_@o=?^&|)HJOQJ#oRN*J-P_66KAJGeAo2J`K!@Tmqu)Ka0L22?ESO6U&k z;`B4+Olb?5CVNBoA+>DIqgiTNj%3bWl&uk4 z$v5#LsbFSY3TbOUvivj2a%JPdqTiN~O%`iXlM9ihP?7=SNDqY^Rc!#(z7lVX;h6_ezrw#l@&6wQ>; z%j_+32RNe!Ore(dX;Zxht18MTQXl?YRg06WUhCwJbS|t<3aTvO!LU4*7&1JNk%x4( zR1Iv^>gtgbNPj3Ve_HdLuvIl?2-FHi>&bEm6|bf>U;ViI7gM8ch8QV-45??F;+F^b z1@#!+iZmo0hYJ8-yg{N{!Gl*4IOpFB zBFQj$#W{tz9`7Bb}7rfL>5)ym>%RfBDz< zjg`OSK>f9z{>ot9yDe-0TmRpk7B+?lyz8G%izyP2Oe+cL12g*Rh%x@Q{r{I#B60S3ea^WcR9KbO13jrbAQ0c`)jXdVFY>yQ00eYoWj z2qB>Uc*b@y7}pZknNLz>QI}gSFt6L$7uPmTsC)roq<~QyC)VXLZySl8*+pU3hhwfo zQrm#*rGvGl&s6hlEd0vx((E<~y)t1X3I>7F=*VxFlcNP+nQ3yLH-KEwgYV z&@W^*JQDq~B}bfMK+u1jw)Natk4a}_4Tb3$g~!)A3i3$hCw zfpG=uLg=JMSN9wYO>6@h`G~Gib<&V)fXKu$4;qFu#r2DKP~s^h=`~Iuz>Zp;4>~`s zx(a$Vdoo>JQrd7s&AWIVF`6DY?-L zo^L1@5jHr1NkOA#-#9+?X=N5oavoSqL?Ew5fWj%}l|}kha1g|p8;J4|yXga*|GD`x z-q&$_N6!0e&i_ho{Kom)vy^|>eHkCveT#<~;Q`5La5+`Da2!I>CF1}J!6@Y%ZGU2h zXH>1%Y{e-w=@PjZF}m1XC@Q^A(Pnb5M}q1y0p}k`g^X_}tBbMJB zj1W=y?Ph=^J>njP6HEG0PN`A1u)k(jqCl>f=O!EpC<+F`v%Z_RO%akrKyeW?L|BM1 z{`?}2J*3Sb7myOAJtVDt5%# z!ipR}Brh3H2yb&B8`9C_`Z7M_^l3COgNB`zcE(xWuC$?!-nqKMXSeIAU!(h1uSovzn_zg$-Uu*zSy1>oNNuq}-LcIphcL;9yQ8)KzI1ql)T zFZa>uczi|oO|4wR>JkYt%(}rfVOG=>x4>fgKxU-qc@f`uNjmNWg<2XS%kv3=Jl>QS zjuW&i;G)TjLrsgO9OQ`W#3<~dIOw7;rxQ6%lys1~?&Xml?5(d4k2G^$kUL=%pO?dZ zyxAp23k3PtNcF{vU-w7(W$KLlwVQJ$&#yusJ@DGsa7P=UX=yUPw&*WZq&TaN55EXW z7Jc*4v@8(4d%AVM*R1_;Tt>nOHD6JLWy7h=T|z=aUxW( z`Oa9xjq~^SQuw?EpM09!?TsKloVZ*%u^cWVPndUf@_c&c)(ts#Q)@Gg(gszZgQb!f zMxdikE)-a}IcW*|x*j4`Fi053cG3SO?b^nPb`H_bvg{T&vc&^@y`}t?g^aBCw)_rH z^{@H*E9|_zoBV^X|AwK-27n~}gRdS@Wsd--Hei$mwuMOCp`vlVsM?aRZ2jZuz`V|K z4IssI(I7APU+@CECt%7rvDrfv4wBJHb-tuQjn#X3ptC48l%X`pT~ym7h#-4rfWD*s zI4IuMIV?l8#B(0mMQh02SsV`i601|cPT!@_R$AvBx#R4f?&FM)nlmrn##LM~98IJz zMX9f`Y=pWm zCIGVQpG=M%c;roh>X*6H9D{_}F~VTS_z{eKuiHnC5(@9ito0>oiULsgX9DC5#3!vN zOe0tX!PGLq;@e{ht2tOTs-RN4<}SxoYYKfYE~Xo{U~|IBo+eHXe0UZTF&)B(Q$jol z_EZ8Vr3#hOZDigR#4Y4w)&pGo6_+voI(q*O=KI&G`71E{ZOQ+uYCd3Log!Nv0n&0{ z2zsA0;`u1)9*tss^+$F+;Ilj-I3b5YCN9P*#sDItug}J8j|Kdz4#StwzUo7`&py&` z{``uK;G@>%+;go@(}N2iT~1DY>0}k%5=#ayi||LjhRB5BO!}so_GYYE)pMzNHf|_s zxhjt97gSay&zpULf*^gJ4{6d}i~8BI*CWFwr+0*(k+hs6pLGS4ZgUX;m}~ew-1=qS z0Fb>uSN^@ty8}o5Yxe#M690EA9{?Hrr&31W`I5pBn3$Xbk+HA%69}hr$T!fUp970P z#OrvWjQuEYXg($G>F*B!sQ6~)O@3`!!V?gNc{bMu_og^}&?#M70=jU_AD+5ZJy-UG@ZK_%h}! zBulB956zB>Y*}i-WTeQ-jgra89xt;Bb^~jHO!Y90f8<55Sq9zeqkJIId^e}Ny_Wr6 zq3`gg|C;0X5$tc<0N^kG!G-}~nE&ATtwQ@VAcA6iZiz!yfXU-GbDtHVSjun{+Mj{m zTMCYkX>d*h_wEcMs?}BSg=G_`ZPvq926^*2U*A3xZO8;P@vTLF{hWi7p|%s&6D@4i z_o-K(DtF;tTsWer@MqSi2n-ou7Dptqi-wEDHX4Hx_ZWX9e3Uc3OUNluSuS=)a}$*D z-~+?{p_urWYRhD-hLwfq{#z+h-P%2smdlpBU!U5U!46KJo=={Cn<~gmZ`5@t0NyeU zTk9`=;y`z_t|7nf4|m*AuOnf&kllHhz*@=IiN1zUBf6Z5@q|^yNNs11wxTC4!RhcM~c;ww>GNXo3pacHW%Cx+oAIay(t8z8*M1&cZdW6~*tqj+0w zpaLPN=jVR3Qb3c438emT{)onopTVH(!qr5(y^T6wkHbQ;G=8*Ipu3Pvev3BarWDE? z;j-yMwd?-X$MExZ>2z2?sc4a(6A=-4mYZ z!Nwhr!I$5@MAd!us+ZDQ_juNLjDIeZQDS;&;n*JwvmSQFmIhm9fzM&w$4-90^G5Kw zKu@*D{Oc$D$MUN2J>hzxXbtdd{f{?$KxZ}>HRMVxn0hU@}eLIpogjM)9roxTB&uxq0_s6J}6&_ ze%r+b(P5Q^x_&@se)sXAq?@-L9cWTd|=jlZWGaZCs}eqv8YJJNPvrP)8wR z@``cetgNzaG@G+Ecjt_>I_4*$`OT2J3UPD+Z*%E3{lqU!V|kNv3n5l|W6WmL)|6Ld z6!jhG^sO!m-3QU1n>eUoU3sS7=nHt&>qpP1BG;Vrp%0d-Os#;!ItP(tT!DDzB6CK1>=&Ta8UQUtd;x1~N-SIdFAFZtnO zQ3i{K9F+yjmZc>?XVU%h8C^3fD#$*y!5M#v8w}&3pWaA&zu4LR*vsx)X7wTb=Wm!g z4`{5~_WK}DJQEmY({!oGH>;@-h<1)V5LqtmaB zhT+|s%`5$3jU_Y6Fe^N;##V*dv?FFQF9oa9Le6&gw~ z`t~?=>dotZ7U-5Guo!7$ODaKS(aAIsMaEOLW#y7iQDx=yPoASW<6d6#UG6WG9s)0Y zrPBLTym$P&l_V1&G5OO#+%?(wYw_O4{P!9Ee-`fp4pT8eyls4l0VX5-q6t~3`f?Hy z;Obbonj+6)#ED_e__};(o+rD#It$X}^50(a^Qbudpq2;vPK+t?Hq(YTG0jgJMVp8| zn{}iXaiSYFgEz$SIBY)L?SKFqLF5tqj#g)C$Zng9ER%gCO@^J}iGSX{1Pi~0lEFIZ z1YVNd&I}~4oztgoP)-QQa#C>1`8+DZyo%g-fLJ~3#C5brxyje{+eRB=we#SywXmqv z+p%+}24BN?96-Ni3Ez#QrxW^A58YN+T|D?r^Tu&x>e`^M(&7pz=ogI%ST59jTnO!k(L#k=>0g0xHmjvHGr6j-XyK3m7b~i zr2BTmXZ&dRq2l8D+wRCFeZF=F6`i&RAMQX1{IIu(jB(*Wb7-eUscReidXJkuUHS-C z8VJ6(xO6>>CW({yuJkBm+|dY$v>Ss;q}13NOX*{m6y(&3;Hph8Tn>$m^Z|9Fqf@JI z9sb(48~zbs!>9i?^|s0Li6+^UTk46zwIDCokdEnPUq%u##;#?v=#LFQ39#Y2YQtfx z;`0EZZ26#ds)WNNF;e6=^EkGR;p(m5}#YMI;)=-XzZ0XNYE(C1iw!A8C@> zQica6#MLFc&tagP^&KwM9Kydv!1}YYla1x4zsSsdpQ^g+s`J;%aGyo_O&M7KEq-Eo z$X|@%hYuit6SB~JcLcHU%9VwXt^xg%Kk++5{%xCl5owmrfR2V}iF`%fL`*a^sVr3$ z1+rljWSJzhVIg9*!uPVK;+EOtJI}cf%W4|Z%MMlgzHutoD7C$3Kdjq)Jx0SeWi>uf z&?}?>OoU~C=6n#>xI(1USGQjEnFIyGR8)O50OKe{X|&RsTg2iAlR-n~)Pg+VZ;>4pE(6&%hwyFh({ZuM7aR94Hsa1bcwQ(D z2Lt&u8YQLADNGt`3Xlef+=F+9l-`fl`6swJm|N%S9D>7=#Ix}0OCJtCCXE%(xzy!E zq5rf=lGeLX5oWJHC2$*M*;ge%Rv)ddmOQ@&=9DS$d zGLk|$;)^x;nA9s6Rl+RDG)ICc5bt?}a5;ejC{n|$-e$6jw_r+?rM>AjF((Jx-XGno z-n%~1vC4>=O_Aa8>6sB9%8fa<>X{0qd|BrF5j4y|uR0gyq|#{m3^Qxyg??dVNSX0M z{>|F%MFkK1n0yHSpUnK#Zkh4Eb@cacU4O04_c@&Vd^sb_KQi+HpX75~S@A71jSA#$ znJI#Dt#wruFU{gBi5^HVIxD6?@@-(Zb-9Hjx*eAgv>Be-$=SrVWhPzEo-mG>1%;?A z;6VEwk~ps#-eq*=d)ey^&WoCg<7cCap|UoQwNFl7(ukG}k@`%O)V;I0Hu;2y!!bR7 zzKClA2a)!U%yJ~>k>{{jrwlr~)(&RNZrr!vvphGibY^m@Tz=+U0hC-mViG}eFL&Qe zQ_sYn`9YbKvFc%*I+a)GD~S>A_9Cy&$vS4RPZ=Vjsc&8em=V>DvFtVr8J5<9q8#`~ zJs_Z;E(4(3*)ON@u7BEJ3+O&Kbg%9Im^FT=DOlcM86e39I3gFNR514cNLJKJ=55Wm zK!(?11QS0bOHv{L1&twVzkf|@&kpU~xaNL?9DDC?5xDT4G7VmCT1;0G1`u z*;Ym^5!5BN)t%KG|K0};;$_EhE<<**D~bCCq#@Y_;ULKe!eYOc3;F|4P>)sY2e|js z#xgS9yWDr}=Kh*{_c@t+_5N4xJ!E2k9tS}MOGGTnSE`$~2~XOyEkV&JLtSti5Qw4W zGKBdNEN9-lwRODi!S&YZUk?h5gLG>~oz{hoUIZt81sje4QJ6%c8a_b*xVkFV;>9c= zVbwa9Kf?JjjfSN!LvJT3gi@3J^@jQMNa~8uh-OcoMGMInjG;^i?C?mXvUK(n1x1zG z9-fY3tccNY5jPdSEyK@vz&d0+kSY)G_@_l=Wcy7+|4R-7;1vIiTK{)Ee!w6W4}Cv! z&EpuD;M?}|O%9sM z=0{0O(6xjjmB7l2FBpPz6g?SL)$ zlfM}EKU2$x0IQ1uOJ9%>PAGyxlL_S2)d<0b@FWq6A-JTvcPz+tUoyUMVGgR@z9H83 z`?sxB$J8!B*Fz1BW*jr!5Y)}!i9X&XNl1damZl_21m{8;*s4OcOv3vb^SF>vmY6-M z84PHNngOBAGzbY7U)PV^Jjx7EwWKgJX)I(8hSoO4zCN?=pUBBd&;l6{3E9X|P8QRW zIasxm1>we#b%RBlet^S2O%o&F#P+9Z{uc<E)l6zrrE)20Tm*2jaSvW0GMT2QsB5OMg=MuQN$JnMBMByU!mib85hVuG!~uw3PD7mpKhC@CcV| z7b)tK0%NmL894bUmK7~w2c{#eaf}FO#CqR-!`jxoIr4kI4_0N>@h5l~+Z`ddTOT9C zz4w3D=Jl`l!~6K_f48h3vNq9x{g41oID&$LSwKXOg^#ivy#|_C?ls@}c_7J?&0NLM zT2}#rxm}b1v}GMSpsl{Ek2IM5h9b~>0?ELBTf;PL{7XJWD9*96;|G>VdXgwXL3z^M z`orsI4#uRoL4?l?qvq4d3N;?hC4BV#lIF?rb*j{HQwEh)l9`G+McAb(M&N^r;&k6G zki#Cr;scuZ%fzt!0>1s;D)+Bh`s?Hza9QREkku# zg6Na4Rj~Gcuh}PRS3|21Do5aH3^6%sjvY%e8fo|xx1!YKB8UgG z8s49*fIjeG3#}`))c3kPkw8c2B`7vBqB;&czjiG#qdQ)7r;ia5iMf&cVZ};V1#77& zWR+Jy@cZmQ6e2-9Wn!wgAJ2l9g_X#T)v{H9^CNxobj&8?y!qzR67?p~PYjya?b;M7 zIm>6giTvJ(02`+VRQ9n&z1tjFE*92kbh-!*v|#ENJ*==bH=-U&#~Soc7v?#m_LR03viL?w}Pkt0=Nk1i2Q5Y_@Au@ z_6OoOqv*y*0KCP3p&YRuD8p#6L9t{4GKm(R1RyR z9Slfpayi)Tl=BL0sq#kisXgjOYpA6;IoQP)Wu5^W-#Avigu%egifL>xXd&bcRf1E$Oaod0G1eoN>77Z^i8^XXrl|83a- z?wS76vU$M%b&L*33o^n%+KO_no=p=_JNgy1L&!{k8Zf{Vs>n(TEPIEM#x~n|Bv?!*=1Rf-~)to;o{kYo9tiniQ5qarykH@#d?o?A1 z)w*3Q&Im6IKWDE97ng;{j)q$Nq?KG@g}Tu1+=OjCPMG=#ZBly z!@88qh(@dM2TpM%MIeC~SV%)7kYQaltrb7B=0GZ_pUA&v; zlll3wnMr4ZDq05X*v)8SszN`_PaFMx#&n@&#wU9A0plj^@ky&b9ZFbiI!NkcVT1U~ zeSNMENcU$@%JkdKg1Z*(e=Xhnp!7HC{$rBxAy~h>SpG=B)dJx=>Dr@Ovyt@XbUn65 z$*sE;@5kLCrHFBJwzg$qnKYZrl>%oR%8;W@nohbFJZwKQYQGLOQl?}tbO?hcDns&P zjfJ5s(}aLt6(z7yTsVqYw$cd;hi59d1jx<AgU>$PX&nmv7f!?1MNZvz?R{Opx;K4%hvREc%)0Ee!S z%)Cv(N5PhGE82}W!l~BfB46srQkQ$}^LX9-Vx?bh4vBy5ezIxMX%&`8ACw*HTB$_p zhzSqzQK0f|>f5~!4zU46aY|PE!Vbu*iI3>;>f02WQHT?lBBqcLM$zD_9+2x#UxkVN zzRHjNKcE>h0S;Y$ddc^4{l|pPLtuuDLx9{b0cyZfn5G<)uV{~{uDl_w2?`*nL#FKZ zf{$jCr&4@@JX%LD1Ix!q9;Xh*tYO9^M@uHVKOSK4IUdGx8)lb#H9IrBj{V&ArPK4n zr|HSu2NllPk%M+Jnk7GD;e4}#REtUrJg2!g{4l1iXk z9?T&c!ayBWje+zi51@32sRa$H_V<(lR-L(#Rte(j^n8;DGqX+5xzhf zc@wRL$Gw+YF;BnFPVaNZQ`o%F8ls4BNmo?2(q!uPv#cqHQ^UhZo)2O30*PuaeMvUj z)wAdDI#s!w%oEDjIuI}Ob*~|j6s4$@@l#7s-@J`fTp7>cVVS`E8_RB|!Xl_oz=bRa z7INhA(Dut{kbLeKmF$5RbQFUK+0Y01(x<`8L>XV>9Zj7W5xVh=aMc(pdROYVb1WnV#&NYa zeyRs#9fii%2PE~&xB9J+>A%22G6A-yKX+-yTlxLV_VPe7qhplO8c@&*E{6zCDw_1_ z%$N}+v=Q9_C6dpKGB^`qR%nJOq*|PN^sP7J+RCH1z4{Q2EdVK@FA_aZqRYY^b5p`) zdiPy`$9p4zwiJB`)ks#=u?E4w4wzHTfSGYT$dLYUH+ zGJ!xc`%*?-qnWhZ#W(M3FJD|czaPr~rugCQ+zHrOin<=Ox-QbW+I}BgwrFGnnl>m$ z>hh`KpjAh&>=>z{zGJ7=G}fVehMPvhI>Sh^^XrsNqgGh5u|aFCXDTgRdsE_w z56I}3hs1Or^>h4}v^@af^#K{(hLisyqX#l69V0(3Uy9yczT6*>$boA_|9E%za(ODc zQaY$wBIty;5jvc)Hp|FN$*-RRqJn$r$>HYn5ycbc&3N-zC_(>!SDhs@TG%Vm=5q`8+Nt_IR4XjVFYwO1U$a= zMA`m7*oz`&mX1dD1oR@7dX7dyMg}&9MlkeJM%E^drUYycVIxkcN=D(Z$2LuscE4)$ zmgr%3V`ah9wMntwHj|x;W@US`lwcfjeu*v1l+n!% z63w`U46nNFxu&|E%PHDCi(ZY8&nY$QvU5S#^<7FUCs*aydq;r(&4D*nu2Orry{4yF zO+P#;B)MM8@$7r6b^+;edFC*qS>G8d5^r0q3t4cj7dP>)%3>4!tLYLX@@2Hc`(^FS zXkhGbs;XZMba8dswb%XDQC{o@8tyWA=u0;QX}l~oPL^GJ_C8Rdojfr^73EDm4dv1+ z!uW(mby3PG`!{X7)FwKXYG_U3P^XA#gxi*b3mNp*m$FbP3)Q%#8w)&+M6FYjq3~bD z7k3UE&o`6lDzzm$VbS)ZIYyg(ABV9+cDh3ut&3gm;*Sqb>$8-kO{A5Q>2xmk(_(>}e1Qpe8{dhq*Ij*F4TM#A zhVxrtb;9JbPge$N8+4dIYP`RotqXQJy6%J6MZn+uzz%sjQ9u4g*p_Y+#%9}jNg7@* z*XCui$NMV_?k_fom@(dS^Jv;S4yx~1SABEnOXs?DbUei7@)v4`UfK|R!}?agLkB)P z&1FllEyu1y4S_fFwSxI=Y3RzQ5<|2&1i;H6Pg+bxtBLw!l-Q%lPYHF6l`9S{*+!gs zt)xs}yBq~Cwh(HVeHMdNo&3zLj(S4PEjLx}B!7*9-0&VM&9(T{mI{erQlQy?7;DEC z=|!1m6a|gnJR2dq8$OreZ^VcM%{ImSf*y0miVdQP-kgWN zd|gEP4c(|^1%sn0uMyC2kT)2r)JC1sMig0IllX&d{7K2-Gap1w2J{6d5&lC?RFEs| z`jyeS5y6*j@hK%*lFxkCxsgGRhy@3bc}1iTk?pgqk+?S-7tJ2?FdNzNJxVeWMdh0W z!^u)E^s8zg+-8%hFus#c5FH2t4n zE*7^;8VDw(d7qBHiFkU4&#iPRRb$zsF6JZ6#z}= z{n3xSnO|s8nlJ-W50-j#5VX2?`Wd=wk#4g^5E^ZhmbWrOx2+rAMb`p5?YF&d7nbgq z;Y_}p?NstJt90@+MTEdnmCv9%61?lvi@^+tmrgy(mG(&Z)7d5D=;@{< z_y){qMk=|?AVd1Kg`sJ1!i&L#_~fB0{TMMW!y!fS72mH|NW_ZZuXJ*C{WsO3|$62ri*&wJ5ZVwb1vKk;Fo;y1fBc zT8IM77Iq|s9gOrr*^2f zVjPZsL55fIh$>|RD|nIakcj)b_SH6GmX1m%GR(0p-s32N*)F#&WM~UV&`z0(%VIho zxssq$7}2gWXH7Gnc7EAxaOqW{ohQ}eY#bRiEHlg*Bq^`;VoXy4m5F@aBY;}W(wQQW z3O>@og$S8EMh+*3-nt40)1!`wV}T=TnU+A^`Va)RB2F}r$W)Dt<0a_3PZCo%v5B0l zkxn>rwMy?h_T+@Z7&luT!5#B0)a$C}5zbZG`J=d5HcXw2X_Ki{5!qTn)nn70!zFEE zCM)85Br$t4*wC#iL<&||fS(Hq?`al8tVZsUMj~~MrKrdYFBUp6r+h9?aEf1I4%%u~ zIBWq&nAEF*;FkEr&JtRWcnW)93bqZop;~MVQZBO)_zOsA9etjNQ`?7Kohpy045@%R zkk%-g>u*Mv!b7Bh-R4rHl~+IJ1MXQ(-v_!EByv(A&&mm-_)6pfji1Zi%y+1t&_=_S zDfVlGPLPb`MIYHQWF6uf4%G@5ycQWz5POn8$`D^5w92;<6g@jvK^2bh2C~)Y$a9|# z25c{@GVh>2K3rPiX#P#@IIe_-&>}pW{0A(Q2!S_7dQP-hQQIg&JO$#fy(}cFm{OS7 z)N-geZJyOuqtk4WcCGe!xE&3k?$kOGb~eARNr~GToE!bxAA}4ql#Gl{y57_`OquBZ zLR2}Zl%mF?5@mB|3^8DyM=`NR&hO;OHXFshH>~4}Yuen(HB>HR5su(uJ6~y)Fk4Qi zSks`#7#1n!X}Z)t>+f#)%&lzDB0{7`%ms!i^QEIC(d?0iVugh5Gy3XY#>y|l4k_b9 zd+9AqiMpbRmk@nW$_A^6rx1NbxaRFpm?KSK-J6@*+ttm$S3QtrS;WGAoB^wP<0b7}8n>_hODo&CuEYt8Cb8LR3 zthh@?Pbw1awgo++iAuKaQOl9Mo{s&8Foy$r7Kn4qCq@HTHgXcXO1>KIJ`P_-HwOJQ zP;TnTOji=@N1<@ERNrCCymGIl#)$?)qdWTmP`2Lh!_~%};IB}SLz;=_HDpDGSHAP;+V--0YL$=iTr0RakHjrB6TGKoLY5=Jf#4rsE zsY~u3R>9zK^ic{1!t%L{7t*6UdySc5AvMZgOo4m)m^j^ZfuBL*UHPs~O;c_P4ro+9 zkw_$juI~qmcC#u)ii-tXP6K@I^yqm8YRQ)tvR{5;EpAY)HH1T>gn;_@lNWw=y z!7^-H;ltwB^UZ;k*KPuT7K|1FIq67#$(R!leGbf>w;I-xF)u8XLguInLZ1ufdDPLq zQi8}8YXx2*Lm0~0Iq4aPVD90iOQ`Cm8xTm-vIZUKTNVTYUTnxwENFzOMIh*r#lpyWBL(pX43FOeEb_`v&=;h^TXFzN0Kjr(d?FivXexH>3Ja7o;a6-iLPmi60fvv zMkhH`<{O))u6$f0OD{{HFtZ-T(g>*^l73<^IFwH}Ff-ToX?;{PhcdvWmZc3XitT7a z0kM&+#J_3Sl521TW&(>RXtDWnek0Acj@bX5xMQu)l=!%{>z6Ni_F8UFI1Z1Ior6N& zajDuaGB3#Fq%fNRZYb^UJ6}BC5Vx+^Q%Zj4waHG0se}H=kDOlm^oShzRai1yI;uTX zD}gt*1r!V%4op#`-a%Y-+Pa;}na9kjTU4L@!8^t+hxiwmpHQD$>s{_6y71zX2H*`E zf6&kgj1hyJ7l?2<@IinwP%i?pf#G4F?I#D-#MdiaB+cvuzI3i>+6we&_zFY%#MHu; z&A?T0!wpl{DaI~oypBwH(0XdZXXJC%pu<`KhCgxGVygZSF)THh+wMsQzhPf6NNLcc zpibPV(#In{3~E{R;R!&V3#&EjA?BtaX@O*wRyLTerOx?tYT@S7Wxz+5bljU%n5B;v z#kn9;$~U8-B=FmT-+JTBxs!O8or(o$XUJF2;fbpjA|YpdOQgjzrmb$*7YvV4)HoG0 zK9z_IjRWfg@xA29o8MPNv-7dFh~FZeiQ|0%v5*oe zfys^vz`%|$qyn~wpl|K+y{Rz7o7C0(>iJU+Dr?qKYJF!C>iVS0QpOU!`8=X7JKN@C zj2y#Ok0Aob>hspA8r#qLH6^N(DNb=0jPMxzm3N;s`8|t=+~iOx;U`;%V~GE{k_O_A znkLl2@ub{r+vq9O#(r66n0@wvVt+t10}eP~O`6i`vvN_eaVbUiAi{h@1W-x%Xv!?; zwYq{!fschW)WBluvRYg`$P7Q@E|8BL_EaY#u3PlJw4G)AtPRm8!ov|W+JiZ`k=yxc ztm*eH!(_sn0iOks$)WTS@_|KACm!SVu1)s8Q79JdDu3cC<_IYuBksn5NU|Kc_1;e@ z%Zyd|W#eby7xEjGc&i*6i;?3#cHx&ell&yAeKVUW1)GX8++G;*t=%3%UDd?x;e~yq z^`363OAH7{ZwruzoAk;t!h?6gw;$_vWh)WlRaCfMjq#{6YPswiByhKIpBHO52XDo9 zBuY6eRCy?kWs3B|q=4ddU>HPPdvnbN?J;F^Oj4qL^bi!F&m}l7d+d+WFQZq0s5fG5 zg(CVivW|`2^f}ygF6>$aRYcNN#jbWqWq)gcjZ8Jw3kO2Ent4^?2By#ZyHpBJ6iA`w z?A0L-_+6TDnyLM?go?5)nDVcs<{E%wBJ|Ycn#N$L6QrRVqmiexN|(21L*Oo6!-!`O zx1(DW)?Ua*cs&;8J$M15+5Zg8=#_+OKEYnXBg|d z&0&0v%C6e;?YEx>r9}ttEPtoU%gw?pAFbu=GvIrU@Rp{L@r6NjSEh|)J zxI|ELHEFk0d5I*NAx*B?j+Drfl)yDrjN%=H{b5)im-5qF+uX{wcMQWu#Z+_c;g4u} zBKEFXL=Fh55@bSBeH{qVGM>a$RhtBL`ZKy=>mnv1w>ZnD7bOhXtiiVY1zRLoOJ{Eb zd%8cvW3XqyFCCF{ToElvujqD@i3GB$TK&>Opj%XY-Gv^(_{0IBeZoTpI1b@@{3NJq z#0K)jloViNeC!^au-GUjecvkhP2{=2FM5znO4AzBdP={vrP8mhE-g>IZc`?=(dHF_ zbf)1k17gVb9YMRov1%d1g_G;HVlx3|*$C!R9K%q9N* zGSF+`L#yoO+Hs9XP_!V%7Mydre}O6 zXo;}oG}DgydgW2s)CZ>uu4$-~$vM{AUnfc5R7-^J7V0C9GeJd-%A$_;CtuBuW$quo zV0J~?k^A(zj}_#)1Yv~eOJl3_lF_7S<&5cPWm-E$)6@y1%{8lVr$eRAIi|jq!sL9; z$pOjq%=#igvt<)VV!iT!0oHPE^N(q1;q787iqhD~uR6^nA)XJR|uGfdC*%GbmY03G=@U}EfRuu67>k>AFe3~j?d2YOu=`793Eh_o{pGg<|L zpDH$|-QGvukl$?509D@A!MN&~e)>s~idM;FtX_WYH%94oGjJX7esYBfA|CY4;5{@D zqI6uloejiT19BBQ&T^{>-*!QH9U7Ff{`ZOEM%9yW8Xfyl7T;K4C4^y)$S{eQg%#d- zO9l~rw0*}7+nb>f4Z>#XF)_UD8AlX@fgKpId?3pF7e&u9q&dAgHp=43483BG>lg%YjOKJxvDyjhr6NS&av=HS z4T`diNS;2Xm{IkG2_qyckj5R4$3aLlmS1qz1GcA0Ot!~50~I|oSgZKdj&xm+1MzHyvk zzqo+GV|uaJw_K|jc{m9fwm|f0qZP~l z`Ag)eM}Z2nG0QmhsGKoddP^d+7?#sVBC@FGPpDg`VRznSue{Y@;Wr%2_ERT%U1C%f z@uW`=yPZrXpHS|mr>Hh_qbJTTLkIEXM&d$o1%#@ucp8@3Lu zYKiwd_+CNmqRPEN?dM=QLDS(jd*;eSion>&;qn3zEjwgS^2wj>s1yL5 z%nKB`&KR@Dk-AcBW%xkpOFE~xe>UuU``ix=!pKdDJ2`?H=#_WOtZPVg=QkmQShc9e znxn)8l>CPDK@e@D?ZpF^BR|*16;Ff`;fAj*xRRoagm? z9ZFPl3E^(w*=#=V^62BCN*a&`hVz^QWjIwO+8-3Iz9xC_%1ug}~R|rCfdE%ezuN> z=ZxoB0Y@CPLTs8MBk8;xJ)vH)y4L@|%Y`MYk{Pn$MF zHwdWgFhzeJ7yRn51Aw}{uTXlR#g3ew3E-GOPS4)R+L3_y4)um!+Q`sMPr$~NK;!n( zJ}aPM2nP$J77V?Dk%Ntsy@8Pf3^zC6JN7mPibjqE8uW5PBJ@f|u8!Z2Yy<(H6tS^( zy!(&{6T$bh7Km z8?pn2-%sG~h8%$5j~}@GE++x|k7fq9PXTx4*?%C$-whc7!~0jUFad`5uL9hpW@os6 z72tv``%ijrU&RU--ctp*-^$MT+p7Q*`T_WP_d^_j;cu@3Q1t_~@b)R-)*Sl}jJ&%c zfT|zRZg)cfRX;$;?uG!W?!c)O?wA9(GR6J_4eIVGfT|x*MR!90Rm}HPu>z=KzMmi~ zfGXyDs#pP3{QzaS{Vw3f13Q2tx2Q*VLjYBGcZ`1w0aX3C(|h+6K-G`SqIW|8RX=Vn z-VFg%vHtcd098M(dfhz*P{n#r6&rvm0A;^^7eLjIdm*V60dM8_P1^x$o#Quc2mA=fZ`#hu3>eGXsFCdu?a_KeT;y zRF!G>HX+>#5+bdDG>14e64D?j-AGAy3kXuuEsZE3q9CDkcY}bmbW4MP)b{}6!FN30 zAB_Iinl(#hob}w--uJG1?`s3!3%<~H5Hs*q7upU2J|BFc?I330t1h%11e^wZq3s}M z;HxgQ9RwT>e4*_iX5gzXv>gPT6?~!XAb>d=e4*_i;OO8BZ3nRcUv;7FAOH#Qg|>rO zfUmmHb`Ssz_(I!3EWlS?XgdhN34EdL0FeV3_(I!30Bqn3Z3nReUv;7FAOJ=1g|>qL zUP|zVwu1mr!57*NVgqL+YY|ab`T&g@P)R6K)_dBXgdgy9{57r zL4a-Ny3lqIAWW_cZ3h8NC|noX4gzG$b)oGbz_xQ;XgdfHHP?l@s@?W<(lDc2Suf+haw?^d;cdh#i!M98eAyrI zyH{OcQH0SAE8`wVG`w{YwUM=8kTz%XlOC>ZO9emlyC*M3f@{X8hJKY$pBwj%IqJgg z?veyG@oZSf2NM>k(GCc!8P#LBi0?mDiy+3iKcLyZ`?4n&HA!3-Y{lvQ?LKk5up>3&V`?8&i)H?O-WIs=8A({^Vz zK1qjJ>)+~VqD>}_<2UBi16_|ZiMyl8vhzaE>FG_;m}~awn#v|0Masjy6hps}2%|hD z>U)$QG2xE6x*tjs$V3vJ+l9FLwQ#Jc=YYdy{GP|Uc~qob^Ro^IWWks?g2G{t@tB3~ zn@F;#Y^eQFB6T9A)IYhfObw>m!MXaVH8a z#E=LtVDM^fN;oZZQgHAZSBlI7)nvc2Do16V%-c#JgYk^Y~ z-CTPsekePf@`R@65l!hNLJJArLdtbGQznI_3JIx7gmS#{$T9PqpFHiq?aeUgi+ioZ z8v9S7c~g-u=SMQeOui78^3_E36Uy|n_ZP{^jc_&B6;vCDhLuo(_a+XWVrV9O4F8TZ z4EE-SDw0mvTw1r!z32;R$=DXbyR*%3JV%urK7Q;8*WS6Pwe-JZ9>9qMF?XWy0gZ90p-3)v2S`9?)0!WU~B_qeNMq{ z5o2We#zhewBSHTw!zhz1VON6v$R4bEz+t)6Y!FbSd?K^G?l6p?qaAIFl@j;-on06C zjTfAIVQ=siy#qk6n#28iM;`H)-uXl#Y{0)~Jn!t0F`>?;tXL5;8`IeG91#xX6;;?s zVX7EX8MDg9B;~W=0Qe8+^%llzsZ-xj!9*zE@ZT7IM)8aLj{I5firlAZ<=XP1T@%qA zn7j1fy(Q`?dn$rHiuqt*88ErGOd~2z3bA&>TWa=2qbNRF>JYSKwtE;CW-8WiQY|V% z*|l@8O{lWkq2?;n{kmm@MfGmehQhYyP&9$i%En2ur$_B%HKEO*<8N?fW+E;h+TiZ4 zCV&mvR)TbEhScwHp9Hh)eIKS8Dz;FT_!KfGe*2XS8d#f~uPH65a5GxIg-m1L)@yjo zYlX$ULJ+@ioM`xmhGens{uOPXyCJdu8cK|XD^Xu*8!a|xWQfMDWH+8?y3oo!gqj)w8{HDrDbKB)G#8} z5Suj9P+Rz_YZQViuPg(aa?&7942%vR2ZpfF5c#_yUFw*Rx0i`#jS1|ZzI*hUb!TS% z8-G%F6Y=_OQessxNS|!ibf^$Sy)iRiP<>C@X zKW!A_%ha&Zi>`?ixz@vO$#7=-`ID%W83W%DBGY4YB8KU6k)qj7=7Y3DSuGTk`EJXI-fqv4O(s5w z(tCRQiBgqXs7a*IF;!m6i#gKe8*p0YUdjyINq4>$B03=JNYJ^b&S;jjJ|rKLE+-zr zBfHhnW;?^nE5=YfIGDiY$8xm(+&UrgWPJJEy}`7cn-2H=_}{0R`nmcF&n2c`UopX= z4)I>jtQ#(QomVql(tSgs9qhg#g3caub>75{$uzirOU=RX!FFlJcFFwYtB=l4Q%fi_ zEw@C5t%G_CUMTG@-sWIrXGAbo|v{x`7xTwznkR<{%pvr%=a}q25Wk3@CMJL z{E$Y!4U2C5J4B0|NYWkg7o$?!HliG85SC6=JyVtDUX?VfKkld9PyHcbz9ybmd!oYG2oU9~W2Sj~5kJ^tf|Z)s$*it}YMZ z@O>XwUFGD6#}vOBAXglVBO5YFI~npSg~_ab)SzB(yD&oaE-9A)zsm5}$DJWgd?Nwj z3M4tb39HA4dBWTz@!b*RCa*2Y;~#5o^KTS(_fAV#b&fwXUg9wfYi_+d)h{p8rXr)R zsvJAG^uvKb^Hy$05 zaJYjz%dCgBteAb3i3NO*ig@Q&xMKT@y*5yq8jcKKuHHo?*#^8s-MDd-Iq`FhPdM{k z%>`th;u$WIk|OT?3d$IpGUsnO!9p}H{ydIXH)YTEd@L58nW0-t;VEa&Y^A} zd_K)f`r3nrV6NPHO{Z%a4Y%etnkT~_!;`f~H27(^xbwA#ny!X^`_AaRzRyLfVngH1 zm^h!tm3e6NzCm^;pGebwbW_RbNnS>aiOg&=QML8s>HI(^rNW1COu?{kzB{E25qxIH z2$U06P5r?wFxX@ibT9A=e~gy(o)hBqYVk|c38I$-O+UQkrpIhS{G}VrT82331lPIE zlBNsxgvCM{b&zDeohL*hraGPODa2ig61Swbea;~+7 zhLR&DM;IC&pt(BH&B(p(IKJR~Eq3J>_A8GN?l375(D-NsrtycBGA}zn;|Hf_v?iEb zdGkWSbi%}+WPL0nzGbgGE5?{shW(56(wxXxV{EXt?`W@Gvti#>d%v{mKElhl3z>?EWpxDgXKAC*OblR6P zZx6G?CBtxmtFl94-%hJo*U39_em!CYBRh8=&dPtl!il{xXcDnO+lSjDQCfiG{mpph z#8#&pDzk5Hxl+A<{D42Iz($#*fMBb>b4JCy{#Nr&M5DxwZub%R$Fk`^Y~Ms1B(BQU zITAUv3mpm!<}z?=9mQic)oe`dJ$h z?7&rb*9L1_=^lLLrZH*`9*ZuIHKsX*^6^W={Nu6J1 z^HezBBx%$t>e;Y5%q7{^IEG&$z8?(bdjIU0=j6I*>ORYPFMYcqW2C2}X^>Sbz@Prf z?LHli``7JG4`o3;Wqn2c(E9FhF`Mid>y2$4^fWN>nzhBJnf&UIg}d~o9DpJAEfgTcr6va!z5VLZW%&uWQ$p5$7Q z%1j;YbU@T@ojp<}tA0{EL4pz&Z$HI)HCjFb`XUtAe~$c}vYrAzJ_Y-{ZhUFjA@iNT z!VV16{{ifrK#lA-*x&cC$X;OqCQPIXB6!^3-6C5$ZU-vNs1eRm5L!R&PWRumX7hBw zF-G&>9^Ugv@W1{etQ|Hreok%-_K^awQ%jgB-D778iP-2|d{SYd2`~Dcd%}ifB4m|1 zR+}=h2y2SMV%wx4(VMTiAw|X4yrpwlK7t_Y#0{tyjz3C zbQV|p=B`or+`eBg!J~oam0FD0Z-O~L`Kb&}SH?mFb6R`4tYA)eTbx%|eih6qi_w3- z51c@G@;AZwoZSapVFW_nAISWYA>SXc?x``B_=oB&Op%KmN&5LtU9F>5iAmlpx#CcL zCkz!Ee_8jIFxh~(MHQvy+Ga~`;-+2a0?dmXkOYX-4=;@8YDw`hbJe zUhbswsK}(_twJ7ndXm>@&aF3v>1c^@^wk3ectd;ACC2ep&KgtZ3@9Riiy#*UA%vM&2GNQOZnaWV(-Y*O@CxbRA7 zg*L-cx|nawlv*s-)cNLzA5d4gZPFPLG5ca=&Ad4DwTMxBlb1n~Gst__9aaPJ1mig2 zn|6AI-RCyyDx0WGjoHAhDU2?7b(4jxjF`6?mCVz%yoPg!ekqP_d1y*qQQhrng9(GP zb?$Jt2bl_?$l_=8$9h3mbN*y?X;}av-`~FuP=Y_7a2AtO#$SQr`&{_Q?V@m%O3yv> zi@QG-?on`ikp-i^OxaJMxMAh5!%WoSAy+Fh1vB`x0@j_>QfV%^byIJ<*SP8q15e28 zB8QejLpFQ4YtziAQwfY&S31iK4JBPO3bjDmNS+vHI0FaP@cvOh{}1j^t`54)a`1@H z^doe)c5Pv z|Fcz=oWLW^ZzuB!mp7?nCh$g}oiXS4viwZk!Qj09k=F)i7tyz3uSO(t7z569z!TZQ zeKGPT%r*CiuWz*6-r4R}X&!riI8S>u#{J$qQsh~?WTwohfm-{VQ6Zi;wh1llk^8Mr z7_(%dqe$jJ#7r}qYt)X;AGy)#&@-Y;~cK85u+;G4CyLYvzC)N$pp;8 z&6mv{iZPou?sc6=pGtfvX>M`ousCuSiMYduDC@HQE~PUZP9?(13Yla+f1AFvm>|y( zfV}>R8M!zCQ}G8r5_l~8O-lcxe|m%4z1&p9TyO2!2)iZdU|^HOegYE?3w?<-yq-nHTc z9*}n0XmxT9?T5V|t!3xF#jf*kHDh$M;|aWO4Yc^~?3AZ?vj7{qe+mJzQ1Y|~=b^Ke z0U4w#hv|X6p557>oLv}HCRtr`;Kx801RK=c=MRMdym|jF1R%bvWd?*$>W@aw$;`GJ2eR=NV9v@LUME=PW0T2^8nybh=>Ss33NU@AKgWGegHaR;}(o4Rd z;WyK)ja2>N{oMln*%mmPyUb-W2{seWCLI(*ho$`^8m_&kAh!}a5R_qMH9kGmK~g|t z|I$|%oy{4RA|CtLBlBo%uu9T(@pUW^kkiPOwBpaV9B@14WaVYXtG%_^(>o3{P}S=6 z7<8_?USzcqbCn3YL9>CuauvRb6k2?DcGy!{{2Je#HA`IfLY!utzsGlMfAl=TdA9lT z-?4Vs`QBVQ@B|}CO+rv0ar8?4!T;STu6~-Ya(U2&s`W z)@_b=Q??8LJ1?_s7o}sV5UA0R_tBRha_)RHpfX*ASM}r(FDgJ~M}{8oW$mu|zuRoc zc2L#}hTZdau1kv$YP$4?F%!@R^80lPm%;QV!+n-wJsCk6mR$czciPLe#|ftxR)Uie zy*F;yN5))gvjJvn%ggY~NT3L+r{tFp1;K*XNCcFe>oDUYZG`XML{n1kMl|7O4R_O3 zZMymyIcLUU*s2}3q7$6LMMg*&1TV|`zVWfS4wy4RzwNj`dA>CBG{aJs_4sVfEp8av zVyV(0wBy{ayTi%WM8OF!e1!t(1!0Ao@b1}7ZIw^9iOx+n8gD9n<C-O` z^^X|#IDrgyyU^}zxDUXe~EGu9$ILmzoY}P3-OFYAZ(c&Z(YrS_P!+b+)K4rHq;>eeYF`%*Z zy-$yCQacJ_Pkf2!FP)>GOY+`udl#cFnkr-2Goc!<8i{1dsk(hM7>#yOP#Y`AYGON4 zwb`eTGnJ{Din`{W1MfgZiq+Dst1!oC8KOV(M$8QcdSCcu<^9;|k9#s^E4u|~8tJZ7 zV;iMK{|kdnX7qx-N9yWC)=06)R~Va&$hL5lhX<;&m?ku_EG$(3<^xA%;aNnt*@vo-kgiwIZ7oOCzoI=Zjk z20bM6!aLfXCQXG)?d!swjTYida^|1SS9(WpeAjWThd>4G{&h1ATdWAzhe2%2R`2kG z?n>}9yu~%#pAfBft09WtSdFjhZq3ZY(-{BijV*dh(i{1WTk5r*!nGEoA@0Fa2}Dty zPgx52S+Mn(bpyjIi$)0tt|MDd21>qi^}>fD#g<3Ez~}Pr4#>YJk=%ihpoyW2Flf7V zh(4aYV_8|b#yy}7;z+j5Roycx9)DSxXCT@Y!5&B_#D1hlKOMap>Nw z^u`j2B5c9q*iR>*PA91cl_r~X+KykNULZ#2I2p&{AW z|Ja;Ai&KEE!rx8}1nwpcWVoW*RukQ~#FZoN;?H3b7BhI?V6lT2qQ;~u+Wi!Dyy=l; zh0H(B!^uryvirt>+_&`O!!4EUlssR$KA7+p*^s3klY1Ux%o-AUrI^Ji-(bG3f9TYH z5qB_mPm;T5;2LFOF|X@&8_E@g1vKUs(GTI%HSTNIWIu|)RUhc6y$NsQ4&eCziS9K}xJwvdQXDIR&{*W%gIjnCF0h3emqc4}M zqm449e3Nb-w6^Hd6n$oLGP3S3Oe{Nd+a6O3jL*`Q=^(0|nw%%JWrzA6FmH69I71QL z&!qA+UI%`BDzWpf@Jq`Ml2!gq*+FrGKEK!;``>I1`ad>D_C-b?nU}%Q?h0utOzL14 z?snYk#7^E61uZlsrH7BpmfoPhBWyN*oQ4p0Lwk9}9)D8otvQ(%8XbeTxd9OU-yYh`6o zWy(lmS6SyyXB;LkW=htaa1OOyTA$awt~sxcWCT^%@1wi|!UI)HJlZLaNz1u}H@Zp& zh!0U$9gF$%(tVTJKEBS0&E;pG=+G}P>%G|6S7>Cu+G}EFRhdp zN$B4?5ztEfn>hhalyX9Tej=*dc)7oy=h51^r}@wBpl&i$$==kX7tTYmxUg9tHYLz29fXYH(I3 zt?d|#ZM1kC^u2sxe@p-NLbdzswvalULs=68`|LBr)f3HZb(6Ibf!#4<*Fp6Uybn(j z>Ix>1%)W>4Kv9XGrkCZHLhadH!KD#|dfHA>d10@dlLA?w(q1| zdn#;*s&|4?cejn&E64(c)Z(Dt9SWd74I#_L`n>Zwq)P(|$$i*?Fzhb@3Z;H1(@Bmg zOpbk}J4?vs86QBpotBm_wjh58yV(N)IV~oIC_XHSas2Qt_3Z*4H*4%G;M;K6jh;v| zBe_}+zqgikuLTe7v1LSlX%^*kiWR4uS*3ZfDOmU@LCCW>53I45%Kfq?VS_4xJZ>k{ z4*PQ}Ti=ejaDYJ6vl%#K+aSz0B}zyl;jDJAl+%`~*@<>w!0Nuuq}1>c>D0?u(Yhi28I6p`qlmz!Aj@7@`X`{+%2#hov>9M+Xy(Mt zivnBgg45>YJEPu$U6mXY-#%_?nXp+z8;x4VN7pcNUrf?dB{eb~J+XDA518NU|43LNe%hw1A zxV%EA67XK52bCzi)p(Yes^2EK{}Em>lLD<`QvDNb`O`L79O^LYhgTc!O;Y5lNH$|- zt}9|vA#e`mjZbXX>B1 zbbK$M!B?r%%EyhWM|+vyuj3jK!l5&%2~GVb6ht8&38-uWKR(6re6;Y=h(huZ;H~9v zsV$T=guU}rZLvk<#TYf*>-~&dM*6xl#B8w#f zsrmQ@)(*X9JTOv;`pu|Va%Xo~DMr1QP+)}kr3?P<^O4L;!w5+UIRD=5 zhvJt+yI4@Yflbo7-afORXyLS|it!_Z$bA-VZZ*`J(v(!o7B%F17>XiGZ{UH-IkDrO zy>Gw~QUtLDiQ=2xdq|j{pgI&C=B6{5U9UBzy=lPpv48~5fPNEuZ_s>A*1V)vVJv*o z|8vY})@?j41yL6#83yUsopiGbn`3Nx>idkXbP>Fqy*3Lb!>@2vw+*j;B~E&^{@TuZ z@}aV-&rno(^aru1QCSRuv0L>cP~e7mEzV!;z<&wfIUwOX&<_09)E0`{vhQZW^kODx z*dRh|%E7!{;h)F3)eG(mrh7~P_BAgS9O7m>Oc#PdY8v0%{ObLzsN8x_@|wIW`s&*| zV#E(WrgqJ7yt+lTfl$b17fyv%>lJc4Y~`8Y2uu5dHHLQ_cGd~m%Mm<1r5RFHsmuh29=t*$5eb;OJu3diw#!gRi6^=BdO1y%^DP7n4GamE zDfl4geK;ssLmV5&MYaoB=5{H^21zVVAH@HTV>??Q_b;2ve!5PO4Evrg)$=He2O^sk zN`v%N(Y?;M18Z%`n5^t@o0+QeD4sODD&a}p3}MyryJ656U)Ca>HF^8Ska)zP)Bt6h zY$-(n-h!dGMP7A4x{EA&2SS#0J;rC;x%3J+r^j*)cbaf>oSSeRrJW9^NkB1OWDlO@ zl5n$b^)xEt_B;1{SmvR!8s<5M*{jb@{2f!s+B+6D$P&Txq3p|=i_>Ap^VEhDu)lu^ zQz*HOXqUjL`ua_tsxSI&jc0>_I91G(b8*P<1D;k-9rEjpv;%4L`Fhu|M82-W2JSY# z%_4Bn?AOTm;*2J$YbPR5ESENWDtfClrTlqmFfBHZL`SOzE&<#yO7MJiKkD~~^&}A) z;&ObWFArY!l}5y>(cT2AeG6Q>>iLq=R2s`C{u%c;>#Wp;Kz4rv3VLfNhtHsOisj$S949R+l&a%~Q zFMH}vD*WEG0k0b&LH&h@$~fU5hX-ixQ_SXHl{@?i)8Q4dMiB)k>SN?lIA%(&=6YDI zzbvENMDu7uJn-?Z@Ii6Nk44djAGRfU63-;LKupcD(KvLfe{w||Dj z%?(-lC*%~8+dj>oxgCNkN}h_-9A}e$aUqp~qUt|ABP;VSs{UVIj82WlxvHN|_5LMQ zhvFIeI`UAefqlmEcM;c-IiHI?So}sz~8Ca`Zw> z%R^jr6wJ!mn~eOEcyh{It*W1{j?6BuS0=@L>eH2Ud)z0LAy&T+A2nrg6$(B-UD+?a z17|bnmsVFu{`D8(f#S&cfRX^vt$sz2h)9sq3io{!>rD)>rzBRokS&2~bvmjLX{Fce z60bdK6nI(x>Y{GnXS43{rCM5cbG!7@pMnLvGRR*=B9mP=vVt?RfzuOhk#6+j^1s%tE97*1 z;@|OjO%z58jP#H_^$icBIKHh@BDT{zlf)32j-&f)9PbU&efZgW^$m%VBF9B491Q%2 zo?-FKcImm7q8klE0qJKB1oVjlKRrd}oX6zS7(r5?zlaeOk0ILm5~BgQg5BbTWR#;c zBpvH@IS*;cObhSOV6geS1SHDYfHYztzmqSZBs`wF`+PA zzr(ozPqNb@AF+_?8y^FN$T_~#laR_Jp{`}UdM+s zB*(B-X}Wq)6zivL{~xgaOPaz7NmD=<<%&P7_nvL(`j@Hl>3E3)m@0(sQ3KDAbp&ra z0>muAhpZ1pkrv^s*zq*@18Ve=pU}_XlKYA4UTfi9_e7P-&{4agk~>y{G?>}DCxx}t zL`c;%L0=!^?bPoK`=CMz7YZ;xZ8-SCDnV9YT_&aAgrpRIPl?XfZv6|FU_gn0)w)2@ z0Wjg5sB{MKu~F!vHN^7QQ|+D>Pl(75v*qR=hDu(4ZuKlZp8mmFeYNg$!mgJ(??6vW zo_ykf{W8wKyKn!5rYJAu8A|R8{EEs0k`bC3WzD1f6^SJM{q;feplT-TE`s>U zF&6W^gVbQqLc6XVFRSgo%Xq7MN{e|vu~4cqprU8-F02czA`uuA>-AMj1Ly=Gw? zr|c(S4|ES^j<#7(MAuYVp@`$Bhxj#!J!9;-v^*e5#c3zu-w9&R7CHS(9t<7iR{=K) zBQJgLZLldp;)dF7g2*0WoN`}u{OWr;Dgt`W*7#WXPD?O6qFgMN9S@amCl8~);4YzI z9Ai(D8sI2km)Q-t{y^DYY~wh{iFR+C;B5)A4HvQvueh^AW;YcVo)&3wqeyB@yT{ZPh2GLxUQv<|x!Zzz z7q{>(+dc06M`9@56}895pV)3q(AXpK+=r)#qZO|Si1GU%|tkIme;nvGYKCpSOJEjnh+lYAMtw_EUKkIz=#TLv)dXEUqg2GNS(?4Yq(or_T;* zWmPqz83L(vYv5-p^eGAJ`;WW8Hhp5(zdavTe*4K9<@0J-8@b2&Q%gS#P-@6bbG1#- zg!uaPb~6~3d)CGuU|gvLEfU{wa;Lr2?rs2=iyL`GquS}aDk5kGB50xJef52_k6R|m zOT#w)J!|i_)OjB}U97?N`Qy>iVN1LeOo8hG0|9Vte* z?}twK#Czd(e}g3P0<7ILjax~qbEv+Unm0>}b++jcKG&=xE_z6n-0OoKj2<8JRlSY60R__B7A1_ZC6Ig5pmCBs2$;3Hvntm;) znN)_4+?CgPq!r^SS}YU$Y|6h{2w8mZ5kGVvF{) zpl4o@$^&UKGZ)^@!zqFrYZt6Q?ZQGvy_Tr&6kCl-Nv}j@tjmG#%X*C>xVsmv!<(is z$g2*2)AG=bYcbwGx2G)g6@LO(0`=Ckmtw(etzq;Bc;kd>7$_+HbWAMF7h~7|iH!#g z$z51}X({-_NC6rf&l6zm8W?8;o*kd3Zy|)a;ZVh@+aSvdisjX&OOfj4!bwg>&|#OP ze*D;hOX*QrKpt>K@9lz2L{G|HPzN5`P6{TT$qu3KcV_hagckSdR}k_D)17fR?#Rd@ zkL_vVmG1{G&UusU%=BwsnJNgU>Bt<4?|`%CakQckYgImc)X!dss)d>hO3$4@eFy%c` z+2MJtkt5nUK4a@FcV<{nj_OII6i-{Mb@bCH^Sosm%NU|4?XK^YwEfIL&oS?`6Bzfs zxqPe`l;^TaJ$1JjpJ=VNV5ncZ$d%r(ti~!cc+NOORYfgzGUN*}eT<}v>BsV`rpyz6LNKUfh%al8^zh_|H)BqQ3Trd`z4GvE^@_ zKPv+=r+iFER;PSSrERKE_?S+j>USe(70^HL3j%yhZROPJ8MMzW%t<_$K2-(nHkfDx z6<9AZUU{%9v8lUKWkEqLT!t3zM(a4gS}k1mV7ErNOl~UWiSfyhM6%y!_kx>P=@t2$ zB&F@I_O_4U4*QS1+HA^$_Ls!2BUQTG-|A0LlN}ZKHh=KocEpdWNd7kc$kAG(l7POG z%7w_w^D$w~IDe~(80oTqfubER*>cKHY$``{vX`^ zl2pDVy|a$W(CtIc50Q}i5?NPpU?)UsTb=NZZg4BHo_emL&aY1xOdwX-H7j(SKJse0 zil?H+IX-Zv_*&m<;HC;=?hIX8PqEK~l$idG?44>sL)#1BMt;?lgyqa} zHvGQb55n#NAGZVS{UYK?sK)ZaCJrw3A)%jbn}QDyDayvc3L3|o;{(;MB$+AnYCWcP zIW4j>*HK~@)H!d=JP^4L@5i7AOUz48(PIxU^+UsXBgy~cH@xMNM)d)C0nK+z9XD*~ zOC3tgQWUbkQQ z;LW}L7(tU~RDhUup8lh;vMbn{SVQ3Em(E)^l3nhi*xstQ=X9XiGW;kM)Uy;-5sihv}=oSRA|eH9;*e*9C68QLS{+Oe4th5&C_j zX_L`+1=j3yAQ|n(j#7f6wmmMnV}YtB>(72wV_SnB3@6BWM1YQGe#JOLF2|0 z20YEfBKdL*-QlJmIKut^N zsNAb;SPA~#yN~gOZ_{48D`X+N%CKa7(3qP{f{K4q>mHcPH}e@!2ubA!9NSo&z@8>a zLxd+YhkH7Y?K8P}rgqGz?@2lr%UYIBZ#7{qIvEQ|`MwFS^fAt`?>VUN6(S9#uHo{y zmX@^^eV2kx%3oQG-CU3Ty}o9WZuWAO^&!0aFcdzfa|>}ANBzpjbk50p>F5elCHaL> z4&bT%qih!--~G*kbqw%?j541x$}v9tfz16p)DWIaFa#HtOS~^VND|K%caz%OT5CuK zSmV(!F`^pMlt!c0TNcE+YpE&rs>FjG|2zIx=hrUm4OCLALMsjiD_{7;i6KVHWF4;- z#<+d%*Rkl|L~fLSK+||^utis%hgE#vZ+aGSUShPrK+S?NYZu8+tnG?}y;rQ<$12jj z7QSBZu~Bv`et{-$cE5g`GV+t<=o2$joII^Z!JYPrTN=4L9kxWK!Ly%;Can7rL$eK? zj2RU7-m-jd8}YeqTgvD?RS=pM-)Xfk_KlR=9qi#Ko3J&-%hNko4wmbrr0GGHd^Gi9 z--MCPi>LzmEu&&b`j)}{Dy-+02(x9W4G0X33!9Up6@j+4on6z*<`KzHEbR;IoXsp4 z*N|z8$hX(XH&{f76JObqCMN;^`o42LsYqA5NZ?0Ph_-i!xwodwqdMjJZ{<9_R<`_! z%h#H6oMZAZx^}grX*2G#uZ<2Bo0~`rVf9Jh-fj%?>ef;-F0SRN;@Z$`akPF^X)7!- z>V&gg5TIGH?^U+D`6+Tgb)&p^=erU0+WO~}#%v<2D8&>p&Sc+Pk9hA=X(rF17nBc~ zt-v9X8oHNC50_%a=OXsCXLF^Dbd)CrTCZjkzrJk;icM|8BlMG=7`41>(kZ!RN$^|Os}p7yOsN;Pb+*NpE+t=#h?-s#be&@+`~AZe;A z_@sf_HiE|bWP&g`lalx3oar|0@wrSD_l5Q``Q=kRS_p%ARC-2+W-N(ESQlGlJ^}op zj^AXtkZ#8bvv$q7t7#ecSiJnov=-=)YPALvSwtd{=dE2l20o77F{(b$e9vCBPEll| zwyHhCSK-jz*D6yJskZ5BM-t!F7MZT}#US7Ax+eZ>jOAj$y2)V4P+O~X_lAFgk$m1J z+=h^DsI_CH_5i={#!KPrZpLCQ*YjDECi>lr1B$kE6vgK=qJ6#xczg6z+}~cE{;2(& zXZpJ1b=qn3n^mx*a36J{#3Mg*B~G9=_fOn@PN{wA+YG7g{n{MQ0u)gGd+iDgjR@%f z%fIybAO5A!pMA~n%0*+g5A&Fb1);(O`cz<#N;9nY5hZ$n7*Xw`{QV2LFFTX7Crwy_Hv`YiO`lk@U0t{pR5%5sndeVU%-@@d885Ofk6;>Qi zt&|{e$qn;=YPvBKxZa1>9SplMu#lyA_0|%wVRvI<9Ff2igd_~>gfr2PYN<3)fP{}^ zf$P*=+nhlt;_VtLd(GIs+l~23MO12*v%O{%65S)o+wqDwpWp5a4V;}}-bsg(Dv~zc z-KUzH!|vInOz1GN@4V_T2LC8RfCyvrNJJr&YF0c^u67w4-|ux{AnzQBvgZvH@kord zzMRJm6IbIO(pKX5$m+v02|9DPf6YD~->Hxeokn#59}t<%_m1u-4XkQ@<4-3^GrhY% zpxKD8H*7YJ!MHS0&UU~rdS@g@q1LU^GQ8#$EEMtm%;Z=v27=DX<}WQiNQv>+d-G>Q zgpN)mKB$uFR!c)8XhyL3Mr)ZKU0WIi(o-R32c-y-Ow&fMxXdKsu5AuKM_Nq_8EIc$QXq zf6C3-Z$6lvef!}9DMd!@7aKYxAlu`*E}qIkgg_%nV?+6Gir@0{1Y~5P%#fd-^%Qm1 zi=_IT=>O89fD|w#);FgfHu>p9$B7FS7SP_!1*?o|4_z~ALmLzXj&@O7EITBEal zbW*<0;fYdp=QI8(6HVVK6OEhg^JnSWILk;vB^vW1w`M(ocKRrb ziP%|ZE?I2V=9%P4BmG?TCRQ2mhlckmPid`R+_J{9Hm&F6ZML-fT|*%>LagkNj`8zV zCYKf+s%Gan4(cAk&ri;s!82YDYQdFmL;&^=6rdp7K0j0kwwZl?Dy>^xmh8wxBmt5m7{S zG&z1r>a36r>NLXiZ!EU14$o`=zqh|)ThQ|9o7{?Xj_VJAoWa?8I5MPnK>8cYEJk)5 z(-Wcy?E}L8yk;3ee9vmw+i9^LpHCU^0J61H7Bv^GCz;Dnm(Wh+HyfzaHK@F`b16a3 z0*|d0lpX8m#1{jHQdi%u?k&2*$&p#|K@rZ+Y9Q;ygxUFGnM(@?QVslNoaaGZxx}}#xYWq(uMp2^ z<+(JPkjlhgds_oTBUd_YeXc(nH^HSPta;^BfTn=j`pzzsK0?~6Ti)uh;Hh}C3tZbc z*#uG)UNjZ_fR~WgM#hTE`{#V-oN2YnT-JArB@!q6T_>ssQq2Wd?W_5a^>R6eWT@g{ zS{ClQ+;@h1p4fBc_yfH#mO1+PuKYSunGZ*$4o_KYKzXvDLi4-v$Av?)UIN&8Z!w?% z`qR6yuwCRR|A{H-G>STpLVoSr|3m$R#+3A=8+aRL2IeGQ;YL}|hLt1NCRmd_?>4w~ z|9Q~U_w+j4_)6|mR5q!ej{O6?V>hFUQ7Jk%U-M^6Uc0Z}{w$vP&D)9S_FLW-#H0-j z#*6UssBU-VmL(i~GnWakm%mX*1W|S$3W_+=)xQ(h1`lBouc$E-SCUb_ORm=XVa+KM znRo33c9Rc-d-`4&*-j8z4|h&WySPc6=1>sQzNM_hJv1ZXhld?Ew#Z4Be#aZahQx9) zCvmXHf!?40_E_b<)Rf7XBmhDL^@2sA?juS2JBtCyw=Zi-@866ifscxI6+5~Y_r z3GByQ)Ii@SEck>ys~q&T94VS<&lfaB+k#+!ZL#+AL_gm;7h%W@;}Y>!YcL-u8@J>- zhmE0PR?h&B177gUh#^+dS@f5!o$tNxAW3Ds)k(EtFPD@$34KmFxN-;GY=QLpHUp;9 zwn247=lr0p37hyyDl#ty)kKdK!PKx|F7pk3H8eWvz{xS@EUJyF1#d9*yHQ7;8ywzm zwlD-{#`X-L$lzyU_X|7N`M$18%K(zt{nG68rz#J{U7im80*}Wc@~~$ezQK*34U|w* zg-pTX;Y#VKcgLw#?$B#lgFTVRQro2^gY=iU+J7Y7ks4MQO3%F$mEx+?@~DZM?Q1cY z^rZjjLGTm0ua2Q3tCh8%-<8aQvZcBFhIdtJ^A+Q&%3zq}Z3m!0_S1{8ut0kF&v%+# z8Zt-%_KV&9Q^=rrF<>E%Il$@SqYKBVVRI!U?W2MytU_^lw2f6byR?(%{Up@89yBw< zAF%oFPQjB@i_P-LWej5bMv=S54vV@DO~2PzbG7>PxU;ADCePOM@xcu*5~ntr?HW1y z7ecr%(4M%G*AX^sYDQ_{>rtxc)b;pK&yx}z)XGT96xK776kn^bqAz^2e}vBaWzHxn zvrbfLkg*55gIjw@6g{s#s#RbM%~`jO01DC&4+r1_{P+~#^L25TMjDb9{oxrxQ1+B+o5*PPg+w;bG2OBkhRH`Cuid$6vp3 zPPPq_rRBOS-M2A@f*Qn)u|V4M&bR7a8Z}6g1_B(~U+K94L*pFcYtKXRWX2YhPb3>u zMefvLDvi^_<1vcBjiW5~9Jod1&V*y8wNDm-j;|N3gM%rjW1sl2RWqe{5XlX<=61>8 zzCVG%VptioTfVv+H*MK-x!1l|$hbo8C_RV8tz3o~_*dNBWV^TCAcsnjbbYJa{qC-V#kXNkLho zN?-P-y3N+frSj0X*{?`FMnG-Uo)`*p5P!kSasl0cAc+9BIsP9&2gP691pGy=QYtwqY5u17;eWSi-j8-=ibDkE6Gpei@t;~pmUOnwh2seaBd#`P$Ygu3sHAeLy zkW@)7`f%&vpw$rN^5?Y2nc6FP8)>FfS=Sx%{3cpf;aW1Swk3ouGL_%^5$E8_$y+*b zqmfRb5R&e}!>-#-LctH>JXn9Zod2OA0$6|fe}Eqp=ONSn5||IcM!3)M<~^A%#+8?* zFKOOPsh}v-5Mf`ZSrzH+%4GLK6}^ON{t;d~HqMm}a&gTb*=V7pe$n;Fk11PSe9Hpb$ljj!p#TQ)0l?b|GHS`J#9+Pe-90g)jelH}9kB(-x8r6+J+Fy+Bjz>hNKP@$%mYBZs?7LOiF>DbVB_b4&{d7RU zK4yr>{tMa9+2i;>c@$7F_)8*#M)w1V%%c9ZK|J77YCt_kjOlCt`sfHoMdHV3@!}c* z{$8d8E3kTw55UL!-W7PfuXT^nD?Kp}VI3#%6GRjmR#@=@?vVJnO}XL-c$D7l8Mt3> zPepm%$5I>*Z#+_HJnV*v%leUilP$5dzF|SOHPJRqjxIClsN9dpa1CZ61f4)FmPc3^ z7R(?jEMGQRiP_pEil-k_oL^Cq z2yP@DUHycLCevwUANI0E)Xm3zuz}sQb*9ldY(#cYi{ucgP>ho7cPT;mi&%j~>F32d zTakO&Fo6YLyy3B-+G6)Zu(4^Sf=?z^I-U6-(87G%~u`l=cORzRiUUb`b{Y z4Cs=q^!D+hlb@#8l+41ZXQDTSa%fHrrRhkE`B-v@brc%H&EQh-SxYLX{DkV=)b&hn3rUL`*PO7OGudb(({Wfj!U2gx0Gf0OMeCZ zZiQ;){FiAZz5&Fkn-4K_Jj91W&}6V`kpu!jg4LwVQ}}E|O!^PJ!v)Rg)pUJ=F#A%L zguJyR{3Z8IXXPX6jdZNQuP|_xlfQ#d4;O#zP7yCKZBZULp6tyvLI zQ0lyIGIGxNym9G!JT1n-@idffsCc>2f|Xp)O;g;_#g*gtad`0A`A;Rm3cON6F3Nv# z*}zEX&$7^8yeQCocF);T4uozL^*1OBh}ovERk{Il_E_mDt_fUagcqvzBT-E3l7!lx zu7PKopTeCR=ekHIZyHxKeSi}fycKcB0{OWJUZ@cN`V$-vE}mBl0d^S9S2e{{!s+ht zM+&9f{o*!@R-QM>5@FfsqubP?PwEQOWYx9NWo+hk@a2rD!m*AvI>t|LvxQNTmFMLz?DU$!KiZ}GnLErKMAfAbbW z4HP;a1LY)N-8jCztM`%U6ogh`2O_S17EJtrqzPxy(nxOd$d7^S|IzlAQC()=A1EPR z(jZ+TA>9qqNT)Q?-CfcR(jeW^-QC^YUDDlfzs&sAS@*}A>&#t?7qFhg6MLV1c7OI1 znI}ebe0U@N>tUMP8(;g^*Iro7JwMns*Y3A&G0BGL$t9IjzV4~0%tmdeqNLLqW4ZC` z>2ci+l+gq?cCerEuBPP&Yb3$mTQKq6!>@T*f5)~ngK#~KPLc_h1}R7y{%Eo~#LJzl z@{QuIrY2^Lw6wev`_>Z~xE~3;rxx0%>8`C?VD~&)l0q3LV|u zVC2|@tnqDO2F1Br8G2$an@svm`CVmQO9FZIkVpykGA^7bcOoF-|DCGB!tl#X03=m@ z%GIA>QUM0E|CX!&%(8n4lnQX{Jtuv^HRE9qrAFq+(uI1UY+`WJRC3rzykfo->tu|n zwufP-j>+-*JUzPD>uE$cR#Rx5&tVuzwoT+evhL3d-DDNXNmgdCjZky z_?MBcmjJCoewOd^{%v|srz-X8r+evz@UMcCDbiVm8+WV$u`Hqxq;SuM2=_8vmYWQ^ zV9pr7YVu%C1?E-)6Ide*m$O_zIe9kcMqqZc^XK2y`6AX5V2!f(C`+G&qujzuZY34l zGjRygnZ`m~ay^Y&CtK4L*%vWi_6T+f+f`w$f|T$V$4Qy=gn;^WAO*hC?jt8{K^Jk! z7c2rr#_G)79A=k<7rdSR5f@*@QOEg!l@8g-(0 zoWHoEf%gn|K?Ge4>3zN%@Q-kbsTWxF(}bY=N8Xz8Puy}q%;<#zihnoYj)n0Bzr1ji z)9e)iESM%>z+L?{_=&i00vwjK#d|?jZ)qwXHt3F^QQ|Q(w<-}z*Y|D+$fJCC5v)LR z3`S zF-DvqABf-R+jc!~<2Obr>L%@7HYFu<)fq^^QA3lRnLZaN z@E+>GRe+iG9-UiMioQn?* z>$3LM#!EO%F|D&Zk0!PujQROmZei5lAv3*Rkgi{*1)%xpm!|rY7{s&L_rK*y|FU?I z@deW&D}qx3l=ig`>74L5EprQV01TCY$5g%5s<9&@I&B}=$L+RL?U*l7-cnk-#>*)X zJwQFx$hD{Z5n-h2p#+`5Jz(S)f#ACMZ}AH;5PPqja-4DXNDA)_w_ z8^B8cZn)H{Z{WoI06kP}F5|CCa+(C$9=x~*z2fVdk3+8srqfcLH8p}x>C`~ai`47U~xfeWuHE z&M&|->@UGW7Ju*M7X-!e6d}&3rd?%HK3fD=ZzqnXAl*Fgub@gwOK9x9Ddj<;NUdq` zuEyQ;{@xKxBMrwS4Rn7KE^jwW_yr374txK$^_l)e3j$2hpA`J91^;ELpXmi9s5{*F zSFMU>j%Y9B(gw#H(yY`0QDKx%Uh`if+Sb7KGwTi`@2`T3&%cyi{Z_XhKZ*$z5~U34CL11wl z7QOE1;R3Ycx_88)Q= zi)o!DhvO7NNG0`_j^D8+1O~<{%lza_vKAy@xdjGG3t#;Uui}{C8X2>~mJ|tyARJmNk&t9E1hS^KK7@3GTWe)Qu&MYZ0n=X)TcxC11T@G}K zcRx6sl%kyp6Q)S&EbXz)<<=USb@ay&x{$j67sZg}H*VK&6hoFj!R?}_`{fw^hopTe zatx@G`U?Q2Tt*Va<12L*3XF5`f_zc!9Wx3!ZgOw_tJ}PXW>O>-2 z>MJDd7nsNmt^yCXNb&gKKIR6F)C{yEsN|o*Gx~9^X0M%1{6jdToY|Yvc#7H+H;X*} zb$KuAsmV_ay_#H;S~p`mTOEX6Z<7U9dHHkj?+Ko)bU+2$ouWwcjAQxd!ptj%#ojDS z_jXCVK*nD>=Qrvx3-cf8oL`>Tf4ECu&^e|f?9T@PxMl`CrYoow3SMC$2Hln@FW>;c zr;@4?=D)GTLPrDdUtZJ4`Le$Rkbi=3XOKvp2#Gc4U6X;d8&oM#|DA#|L5uC0L=$#P zDcNhwM>=Bjf<$Vzxab6wHzC2j_HAhn6e>0d8G;O4902(*4efiD|2{gE@-6cKo4K#M zeTOXSy8-==5lJFk*@(j_FHrWEBKk)+5c8k-Lx4F6KR4)qceK8shyZ~*0MPmCS1li? z-WkgBuaR+tD3QIpiFl8G1CE9#>?lLYq>o3qD+qZ&g^et=524ur1v3lH;gXh0TwPYi zn()mXxUEF@U6>SoBHg3qaEpMZq?ax30vfkcFiL%70GSQCbSXVU)?{Fo_#xPM81hUh^Y)=I*JkXM<`eso(0Bc-KBXw3IhC}K;@nWf{4 z=6zQn8zDZRJ~4da2CSgJ@nr8X8t0psa^Zs7KnW@NmTdn?$H5LLe&2 z+?^g)W==v{J~uBbo^a&k$23+Cy$|ST zY94#$KOCZy5SJJvsq3{>qCVQy@W#!buSjgotBK6M#zSdcNAcF0jmlToOk>VY$;#kL zx_3S~8m1Ohs+7t>J+$FZws}a9u=oMA!=mUN8aX0F14sS|cQ`|D>VW_A&IM9!@u2?p zOs#^DO3I|#t$*ikyX4NjIqB^splg36rNHOH!Q zQ~ihjmuuwIdGV(qQRb;Gp{H6}YmEm5pRJACy53WRuM*s>R6`vxx}LY9K%FDBx%WUd zT6WET=x=Y>Dv;cpx1muBl*mrl6;@jYhRfb~!GQdWLC;nrJqSFw`AxMTsU*5nKxM-hRMA`zXTQyn~UB8p|824@)%%)`mc& zF09wZ!`zCFJP73EdwlKn1y_-!obb@15=(Wz4nN7>0yF#OOJu^Ssdy-+5Gj__tqqD5 z>5S$>FxIHQab$9MRJvkzYSz7Y)cfP(3I0vGB_u`g*Z+I!{f1=&V21xX_5MUz0|MYb ziT&RtSzp3sV;uQwGA`H%bh!ocG59)W8G=K$Dn3q}Pr?^PD~nY|Ryxe5*TV-xP3UpPA>)2>z~yZkMm)%~s1wa;9Ko<*0zaT8~nbgU1NjbS4eJnF11eLrKhfGupd;uv&YjHTcOcKkFH=xBZi_E>2E z4QBse({q5p;4gOkL%{*G-oI$4dI|U~E;hER zY?Y1gRkBK+K$kiQjLSKcWux?M$R>PBD9QrcCVf)@>~&WY9R>T=tV#s!mPBpxE=AI$ zANl+8dMa8(%us9wVzTJQux!o<`|>ez?JPs&ie*-Ag~Ot_wfIf9FT_dF#_N@vFtf$@ zN&b3mcfgkG^PWtq;Tmtgrbr}~m7e*OeOt=lSkYKV=q1s8p~K=ARNO!768;1#j{bQx z^xtO4f0#vm2`lcUEUKa>{5LoxAFNE(-X4J>#30bGHnKVoH|spaY-23cR;SzSKvEu9 zG{71y`O=+?yfHN*{BMsOB0KZ1B}QR(xAN&fkD|TJ4D`O@O$);pECHeN0D}tK4 zfCbC1$x-K$75kiLe%1}M7fej&b*(^gTs&7R*m@5^xT)~^S2>9pz8IdoaOxXMQ1mL< zs;-`{q@1A&>zj640Zx|msi8`G%xSOvyWWfy^a6aYV+A%q9(5J^Jieg>QTBSI$1BOi z_iG6!jnFd2WaWi zqZ3n)T?2$4zoEaKYRikFejivu_h6t!6^C5k93-~7^7{6VaKjG5xG5+8hp-9H1IVoJJZ&zXS zds9S8othy%2awq@^MGKbS~bb0}Dlz&myZ1t38b`iN15?FxyNk zIIfHaV1}EnT~*OkJ%g0j9f%tv0awy+_O)2Sqc(>0c0`vl6zG8 z$JhC6nVva>)oX^zg}EaMPSFc_M%-*2uj;*`Ml~_8(q4>mRA>Utaoi zW8?pYk^UX{BFjs_Rli`G!)0nVAgxh~q6v`%0;5>l)Jei&G(dw0bxpFgNIHh`v`J&^ za-8{Ewq@B?polxnzW9fwJ1k_1c?()%_@gt_zr!i&fb|h$S=R@03lM9=5#8{$6B{pjNOzq_=Zc{}}H(B=WYl*Ai9@MBX?q>uX0)ggB-sPV%F8^kW7w zni$l}v8IAq%8OMmdKj{g7BuJkg-+?OwWCH}mwdb^(Pu6}&;P-#suglbV_uS242Lk| zR~R`px!3^Q?;-a>%JHuc|LctT-9E-j^G9m?w|@`N4F5@VkM$)4qGteN5I_^O6cJ#| z=8C}AvKf)ByeLc$d3b55GQQVf2L#{nKi#m5;*2z|^*`17e){4ygJvuFiZDJ`HYuJD zhXG%Mzf_`vo+Mt)agfGsi4H7z)SkrfgFGw^4P$VQU01s zQnn=Ke}v%g@A><9`PI|QO7kb#Pe3jEPwo4kL6EZ2yb!AfK#-b&5&#-5%r_(0CRN*U zvih+JNrhwEkTzqmTNMK;!E}qh!uhD|bRm7d_fsp6LGoFH;q(}B$^2&2Tv&pijzWI) zS<`P3pIPw!_5KGJ#?6v>5j}qI6A?ki8=G)M#f+^ks}4guMZs6?$@wsYfp7(@la#^r zuB{O>0Xce2vvJ+TD6Dkc1Af@2dV2KD7%Bh-&$ONRDIx<+Hdxw4p&fF9kZU%T$={S| zi?#IGG`+ z%H*MwU6XUzq@M;S6bqHM1(xN8ZUrjkLE4*F=D%a*HgW_{kf)79mjgN$PXu5;f-6<> zl~GZ<+QKARoZVFT))8ipHX!B-;E=zG=CCBe+KRN zTbHx^KK}ll_s;qfVv+brspu=(*Ig%wK~echouAeD&pS7^G=pScA4VVgmxLgMf886D zH$%KU(m_{PrEu${Iiua@{el;$;8^;FUUKZy$`rPJ(LS1xI5uTIC;@#N96BchM9ubi zA`{-tP*pN}(}Y6i76jL~jK^9Q>*7YIIDY2gHD+Yfos*l~LHZ~9_T2eI$)qEV!Rhdd zTj4kJn|ga_Xw8#T++P(_FlF}km-7WxCt6*Klrt&T6eQPTQ?8|K(SjcOw)2{G!zTw` z;K8pD{O|cetTcb3+yNc=KY8%qopmpS-~o*w&yxatRzI1pMgRr~EmKNtct%jLrXMr% zaPc(Y2y`@?V+%O(;X%d$A8NMT#a0hOCkj@l@>JF?WuLv}x?2#2&P0US)b#uKacB)T z;8J(Xc1IZz$85-^lxl5#jWs}pINejZhODe%enSUiW+7-kgc`gt<8Kzbc0H zFBGP~4!z%zD^{96(frS?+<$LQk5zfMvozy$bffK1UbuOHty<2Fx8GG zVc1ieX0eC_#c`VAyOXbsuxEK84J~aTXQEc+!Zz)F>Lj>Gc}W6%v#M9018n>2)eHtl ziz>IybyON1R65>VVA8>$3W_7C&4e$qLglP^C&olt!Y>EE4 zH}!uV$A5W(y@a-;HcV>-3JAB1;Hf_M9V{8wK<+4$dFl$Mb(JX2m2|2r4Ux<`RO+#Z$3}a_aUJzOLI3advZd0*0~zPKoGl zfFz9Shc=8P$1$`46Lmg5Y70%i3mt(N-GHyFlbC*LLujphbEnyAti)Povg}Cb1a#MW zeL0+URzvsI_NF8q*r1gn+YVoCyNC2bQ}T_r%xtbWMx1;`O33It2@hj!w4{2t?YUmt z4S%|ff(`F7f-AHEVy8=pWyOhTWYwjTz~PZ$QhDEg-=Gff%f}5IB7DM@7kKhh>(c>% zb$BbxgQ?|eZp1&3?Uwa zEZf;0o{7^$<&&hj=Z53~cT3w2{Gd=4h_&WJnbar*9*MYX`0S~zk?nb2OYsjG9%}@=eW7jlT)+g**?ZB=kGR!y9 z(fb1`4ipHBkRKX8JZXl~ptx^q;8ecAnxDo1-EUk1R@#3W1A>MoHo8{$)Pg2jHoE+} z+U7dC(9|Dw&Gc;y@L6eJ(AI<1V#V+4qmyR2n?Ey-f2r(X#vG(8GiiYXjYrDc40WN* z((Q;ztfP~=I5LhnW5h7#{tPoq1An-9^4Oh?%T~D7uTzw&LAGFCK#ruo7I{JB*?ld z+^JiJ1!mzgy)CCPzg+%dgH%5XNYX31fFRQ6VBN&P)`osK+= z8ywg}CH@IUBllgscIL4%vN}ft{IwQulkDp>{F0=nyK3&Wb?))Bo0Kq`>K$`Co4$@} zyh{E#($=$XbGLgx$E|d8=IBYkCv)7U%S^9HZIzse(AFoC^&eBk;Zskk7Xoj)^uwFV z=bxMq4a1Dr^T7*yuH{}Oxui$%Y~0Ij-1B6Py@w=p2mBg##qZ`uetHIq$aW3K?{<~j zb95MRe<2&*?8cHr`&c-=F`N>3f3t-4v@09Vy@>4SLVjAqob+^>+v9$7y)>(ExvV4o zD1Lc;1z7gDWO%nJ8?JE}urQl^lR2(9UHn;HGLxlZ*!{upTH|T)M{Ha9#1-!OreZNQ zkt^SI`E8i{?R6zORb4{V5^`E+%h4%!>x%nBqoTo`M(P7X^WBZJ^M~<*xkNqKn@`Zq zE!*SeHkW5d;uOYaBShGJ(leh+9WBD16rJM+j0fH6S8>TD_lBvH9`E~u#xyk8ZfvZl zcOUPt;FTNctDhd5HJl$N(H%~nuIT3U`}KhL!>GL;b~8$wz8&R{9jW`WFQ1$%U*BnP zUG|ngY=-u7yMI1)_SH{*c5JGi*91V4?-8~@V;YwZ;?D_Z5!h5yy$LUGY{o$13V>QRCnAY8e==jF@NZkE{?`NMr&N^$s?&-Qc`lAf?PDN+k-Du}? zx!<1>p`rO3X0KFt%8_C3?v((15T{#iw?`UEgnaU-8gZ+({i-Ikib9UCw~SV~MxJz0 zC;@N`H<3*=pOwvxb_J`ZofVJe2D)9ulcw(a<{n~i0^Mbx9q!NE(_xHmu^78*R}LVJ zlW6QZ;4g_5x0(rhx;g7*x>c zQ;$U$p=#l?sAK|%&wr)HZQtRbpw|;N9)KJkz=*la76>h)`0&!Iq1aVDrm|>E;bSDz zY>OTx5otX{*VgxkRN&xfp=a7d70kk9_qXOY4qb)p(R!&bkUHod90i)XuRzv+9!|)D zsoDe0siIkiO0Ax`m~)HL_yl;#LCM5ZzUllAVOi%*boTbFw+eG_2Vgxd>zy@}?wYT1 z%W%8T;J$7_o;yXMw;{dGgenr>Y+^T@)`*(Eu_9qL3w(ge!V(r57df-;w?%SyB|~$J zw^~lh%qNfbQj`?MR3kf{E|@UOR1**IWP+U3)yO?#6CO^g$>}X%uGpirNgIG6aJ7#p z%HyZ@gb&34EJx4#Yk4kL>lop9ua!&Yw!}p(rf4E%g$%BG;3-2?6T66_yr}jZBZ;6Q zbi-?z`f$6fyKP!Lv$(uWq4;VaV`>IdS8I{ym#7Us+o+YPh^nRGbj`B+8k+YZ#l0px zIQxS}VCS}dT9dgB<$Th>jwtHUB24syM0OZYLnPppTL9_uDUDx#i5`qKRvj|0NxEQ4hS!!1n(HkuuzVRw(c#CggT!#)9h!;JtWK*$k z9{BtDpu@E(;f^R`)ji+bWYiq8&l`?DW4zfWAsx0iZUCAc0BGiL2U92I;dd21b_w(y1#v~13UE{0rN34tYZ`{{ zN`1rNiifG()_Z3OKHb-GhC&waGMJxJ#+QUCiYCh6$nKthDR5{kt*>hhR zeTBKK?TNdRj6|<07Wpn%>u=?w&bPDGH}8aRNe=6iWk^ENUfhzSYKHe>#>r( zORSbJKK>wBGN-kZG#P9NZv`(>Tm2FwZLIu56 zx#qiCEr-4JnHV@)*yzTNmrlY0%+=o7Xe2ax*y#DrhtK)VWp?(B6-lcVNnn4C3dVvgNsb84ZCL~{!}ygt zSni^5{WqCTb2?txbpI6%EycV$=1-#Y%$OMzy4SGt1P9AHmL|@_J8ZyJ&Y%YdY|(fO zd9pV<)`=y|ta5ku#f=<8&<7Mr9NuDD=>$AUik@j-iU!-TvS^(!;w&VXTDIEIOK zy(3dy864gb#WcTy={(q?_A4G~;5xWnA^ED#4u|rNUcOdv^=sD5HT>6SiIoj>n1-p7 z@w~eiwXEOkPvtn^1Kf8^@+4Q)-}&3eRu%OTpKsHxy0d0CbRgU2@1e1CP?4!U&KJoH zPW7ftNV49&ePIT*A6YS=RCT@Nd z$w*pTiT?Y^eZ!zzT|1%|f1gxPehAg^cyJo3*^T&v4WT{g- zkazD}k~Q8Pk$xcrf_!h4Qab@ zEg8R}$Ci-VOU{g#Q#{-BZrFei1zoe7dgAgS=k*s!AVEcPhhdF(2a;b<`^1F_>wfSz zA!J$+?HbbA)<0m1`T^0fC zghexVz`mh|U@rYIInT`j;?6g-E!Zg;DOD{Q>2SkPYgKua{n*nDEc!7=da}>WNBilr zPiQMPQ9-Zi1K6%<2%5T5ef`o7c9a|sVbkZSVu@YX%_6N{w}5)}g>$Fny<(0v`LLzp zJq0LmlO}bu{#vh%zkE|%?n?113uWP>T9~y)o{HnTQF%j?*FmvdU4itds8+? z?b5KbX*!D`9@YfK%ngv)GLzhTB(?gFo{V#8=4LXlJa#}z57yNkFHn)sljK()wHUH2 zb`F-PQ*jpIIM<{CMx)D?;>Pa!9t5Zloe(d^MyqcrRP2-W(*0CVyzqBE+kL)6%@c&c z@yE5C4f~Qx2FG3sSncN)GDGb+-Jh^@Z}z3oS?l1?>1-ZEuW!c*vy*9uX&eGf&+Yv~ z%2gMN#Qnr{TSr2%(lu916FZ6P8ud_jT)83%uOhTdU?y5`-8UK6saK*fk{@mTFe9X*k@>ybD!I$ zgUS_g>K#!yvonh5hwNfR9YO=*g&i1y*Y8~Gr!mE|u`QgBMy{M2qa4}Pa*nm+3mSl) zU(QHW3vgMDY<1B!tz>zx*8GYsgKV=M`lRgWij5=*bgOgc&3W1yeeG8p#;lUXz2Ks# zlFvI_oNNFI@WCgU7>*>FM-pT5 z(JZU+5#U^%C+A~00D|hkBPhqQBEM5mhuW=|ow~-yrlE(dlktwS$STqG#hybB1=to) zUemlzhZD&TU-_1*xHnAi$cV84+Hms#D09696d#}}t6hlpj6~tg-CM^?+Tq-@sumvu z)E_{-$E?3dtYQKFxr0+)u)R>uNLfA&lHH8}p{flv1;{g-fof9jKjfT0?VLXe zgxxL6`;NMI)`meiU&?`x#M6!;i47rtPmZfTFd{t*@CB1mNK22NMNzB1%`$UlPZQwJ zf1xBx1*YP--yq_8AUC_h^FL9Nb>&#DBPbj;cwC-KMkv@9Do`t1K7Dswb&@aWMh2s3 z)`r_te`@PKBaF4LZRm{#HDdl63Yc&7R_>O{ds&73JYQ;~J11Ju?H#$k>Iqy$j`1jr z-S}tl!j_Z4Ta8+E)ZK>~Gc$QjyG}VfA9P%&pn2-u^f)^KC*uonXhndj%A#TVy$3dM zH{D_LG97~|P)jk9IuYdWOUv}nmsSCntfYgxo9NqetY`IZ`lgct&fuh_XiuDl9rxK! z+UAx~h2~M?d1+0{U4GtV|4yQzt5;%-|I+9 zz~Q4RMAtgwTMp(@K*UG68o#~ayRDpORd8%n{s;ZdLY!O>9lHU$oDcNY^ylg)&jeGGM2dfk%2ChK6KPRc8#g$jJX`i zZdB;sUngAxkJqj_m~GRcyIF~uu5On|tz${ntC#H1tGK5w?3QcO4O-P?u+nu}O_^K=lRLNP zg{6NjwEcUb6vGdE6bBGKDDy`cFjulZgtT=6O_?+cllteiuLvaj-$pxv@I|BZ)vHV0 z*2%3vG@*zoycU(5DS#1?L=6=3^Ya+VWw4mnC^T$_Gwo4dl+DnPh&LX9Ei z;gua|u#fWQUOxZ%Qvss#4pmM9OzW_H8F!AP)s<3b4a|H2{o|c0_B=3qO$%T;M2%up zQIYI}&rm8`6gd)_dI^_3H`hd)i2{&4a4O&vgr(QD`f$H1TpXOb?!O(^=#jYy{EYdO;4OD5f~42l@BH ztO+9fByCD%C%PRqiYJB5dEJC*$J*UP=~=FiI@E?6twbuL+r$H<1Mg<2#9tZ52s`1z z@nck$4LD!$z4eJU4heNcPl!rI>%I}L`#NP7Ee37d5Nt-J^qv5D7A5rJngNkFH&apA znV@iyJXUULi{yJJ>Nbgv%ne;J(HDv1vTJN~nt(4C+5!TRuP4svj)5Qz4-{%M>6Bb$ zC)Pas0V^F7h+W+Um3F~oFvxIPeaVbSCQ3hk32~sW`r&;hYg1ZcO0?^P3a2Wm1lHCf zLjG+@VbIC3-acA9b!{sibHi1QEWLb~AVA2t6n*y-5@qDbW zy@<2`#IU{+RopyZuMsnd*DNi%`eDvCHBc7>!=1irts)7XHx_2TV%uYHKB>@zbbm%c zdIUkWd13L4HpK$VTRwF|Tyo^R%4lhd>jRVtMft({<*C%UX{XT?#r*n**;iG}{^@(s z{Y2g%iCxTekJT5qQGWgd%gK`C7^7Ch<96g>DcnH2U?x7DjJ1kt2%>Hw#mHn2Ar%Rl zD@CBE z>+NpthikD>r7@?@R7o?JT#l=Z#n%$Rl6DK4P@NU_91?A3VR z`GW)=#C9dZy}^kNY2FwtrXgndN?}2I5F_Q_fPH(_Eu>(vfqF*;VxX~H>?m%J83ET1 zok@u7=yA*?B$0?b1Q47<)uTvT?Ir}o{4ht8!#yp5z9k(1Z1Mi&dGnKV==R(BOAzY1^CA~uVQ4vYc zhwiQywQd`sc0Vbh9{1E;S&4JHZy#ol2cTZ5=U zeaotcCc}A4Z;&~1IF{r{nf-XuVtfwRaigfZ*ht+x9eY~8dwS`3-Wsh{GdZCBPW;xMh(9M#x#EBRr~D^jHWKuTx9Ll?SnTaj7Njpw*oAZl5c7tHQXQy zx)&%tF&A&kW+99;+_E|<=OA2WU2cD5TN8#e@c>>fpNDZ1D`;dsL~BXK8>z_=Ws6X1)Lx=Z)eA|hl=~B?#GEmdsHeq+;m9Rp zs+J4fE&I5mJ=~j*+lNRMM~iUAaC43Iz|)#56gyjQY?OY~8%tGhPYw#C5;}}6t9U~r zj3-?24LaCy-SM0VTpPt*;RanCIa11u+NT6w)Ywy-7+b8=ukJBotaq^-;duK>hSx)+ zJ>W=o+MVS>+J7(}KH_@)hC}FR35N3#huZh?LI3m9BYo);&M^O*uCSp4#+^8d!y;fV z$3rR*cpGcPuIjMX*o=m1aH1!i>Ner!X4EQ=!@|~g*T5F$h)vjAivlc<$pS1*?ytKn zkMJ{ZOvdF|j_0eEReXxO9FHa~TC_I~6IN%EGq2R)ScV1}S?1`o%?nyFG!V{)c;9K6 z9$dk?^@0B02}j~RDKb{kg7M!iq2EGA#FRsd;2l4L!sqKQw;l&K=R?1k_^i;RNyfEi-Bavoi6K9!u zFpA#j^;Y7JxXi7Gb>EfXn~MbNZ$#3F1G`$&iou%Ak`{$Jr0pK?Zn`8r@f20l?})_FZX=`K3!LY#eLlkfH6dz+OV4h}u(w z;WD?EG!Ij4y|TiB9^bCik&i+?n9p1ucvPhq3n>q;DbJw?tR^WgXYDMFU)zN@s>d{P zJ-Hk`$n@JO95rfE2{3Ol^w|ee@=PlqQ$0B(Fk}pZ6@w+)ak|Ab8eCmja*1H6w)eX( z&o_jy@DCF<_jA`q+8{z22aT^k2&7gfF+SEhYa@O?;~EMP_&)tjvZ1h>sIc`k25?l} zJ9K!Bbe*w_(F_AeU(+xT1H)UNVJz5m+2mrmn|MG@|YtIQwZ9@t7~O zzcO`cf3pCobS4todZ(!qtao(?ns+lr5O)PU>2k$XV=f+}>DUf2NqU1K37l0X*W?Hr zdOb+be_Ld%>qN zfZ4<>rYaRlZ|69Su$_Yqu0qP z?XV*o87B^>;2*if4-hJO+n)}xBj-VZ*Rc}hi7Y1~L@&(YIqn?0e22tk!{g397R#S} z?3`ZT%>5vgI%)Z&+V8?us6?G(yD&9RK9umKpb|FBD^_JbXiU{xVLGS?)t}=*SF+IH z{pI&P&FS|M%?q;%m)otDM%8af98+69^`@yl(2o4$4HR@Y~Y6y_p>zmjO zAN9Ew2!pM(nS@jrk6~||n5-MEzs#R^nQXQ8A}TmFaI8{~vrbXK4{yhg=ibY-T=k%r zhocI|<#8p!u|}e%emlIov1;ap8&p&HVx&2#ZdQH8#Gvb-;>s8-(Tyekv?b_vzRn@O}?yK?sJ zYX_kW>_GsWufodp^#K7%?Xcm&y6WK%mJIsE+wC;e1SrU51X3E!R6x2dX%Q zLY_3Mv#Gn%)!O6ZA_~!O{^77%l;H68Z+-QPGn<>=$C;l_lI_-55{)vc6B*CaMK2Ki z2zn~W)>C9lpi0LldRMes3B941b6gQE=_me`s#9{oKRRi&OJP94Wc#y`HKw1D-S0$dQ=4+7PF*oqZ?n=Pj zT}5hRCNq7#D};aEA*4W8vZ<3C8>_=w3v0wSwP!{MgT)1FD}Sz3B%J;I(dZrj0u!lp zXwBxYC*w4U7?M~`(nKHz>)sQ^m!>VP6C`Ed01~#fJa3U8%+in%);YutCM)!k#{$21`Eoa#zk6g3lS$H*$FwGxZrUJdb~p;w$-Pob1Gl5h99th3{IoojzB+oFyL)e6*O>8OI@FWK`PCtu5C11CfjNa)-E4X zAhBmHxEI2|=nzuqlh;^Byjx~sY~2xJg*54(fV~hT8lc}|tz|Y+nc9(@k~n>=3`rza zygrh$yj6KGq1Yq_FE$-MQRuxNH$fL_BVn{usO`F3$sRgCAwD&3S&=hqO4kgbrpt~E zPie^FHy*K@!P#2a&f0oPtmcelC1p4)rJ&PRE;Lng%~Y(*hI!fPK|;U(UEw3v>^f0T z+2a1m{=3(1*h=+0CS`=N$!eaYYIQNoyU=zd`|M~I%wBhNWOmpzYq+C)m}*W7mYN5U zyduV`aZbTnt1(oYhIDnIsE9F{L~!~f8y})$RK8eycJkLxE;L#Z(y%b^rAn)01id^8nF*_eFg_(};ejv)&L0bX9k0!Sh<cd z^rXikIAk8+gJr9nMGwT?vSF4{A?)Tg0gwH5kbJ}wb6_`YZ*wr(;Ze!%{f5G7cQ(rb zCCm7xQGW&%0&TD)L4hs_1vX81n|Mc|6gM((9b3@|@UizETy*`3@&i%$+s>@T3SCee z`g}{@kGFFzO%dLitOMuqbGz@mP*Kg)zC}SQ)AH`*2X@wTwF{mL*GEdzpGbBj!fbU2 z!5jT%*vIj8xYKlR5eph>mZt4K~@&Fom{!UQiglKwZZB&~JI=v%hfUj41ezFPSdA%GM!X z$Dl@6h{sL1nWcB0PZX0j1&&iZ!iK%Zwbf-y)LYXc@!lctZ-c<;O5aMg`yx2V`Pi71%r72DS5m zORItxP;xTOpJ5#zSKa8cHQ0je=OH7ka&%v{84k)j5@MwUS+^~LMFs0%uWz=Ik89I& zJ2RO(tL$(lTn=FMzV7P(GWFgZF40W8=i|4!$fe3ODbqWe%CrEP77gEW)UwNsc%4KW z-$KT(h;-Oc#mZA3W!`$aP?>=`BcQyw!v}?{0G=XGE?fanKR>0gn?SeSyTcY9iOhr# zvAEqhF;zq%#*8{WlQCCt!vS#hP5|%JRdQ858h0nAjxl(Je@bwg$-Z81cdPyYbzE}3 z?aFtXf4DRwfe&I6o=BNZAZ&tEd*^K#Q{Cl(mF6bw{Jy}r$kN)SuTC8gA@OnWW(z2a zu>SMyV(SEgXNin*fC%P2qCxpha^v*Y&`Ov&NSzwq9@`wW{6H+LRLp{4d$`F!fSux> zDo!|p&#q^^Y})A1joAgfT(TI{8v}-k%brb=T@Tz`MOV+&AL2F#?3*oPsCF|!*-5wj z>pa`UVRo-TNxG=GEewLfHDjDeV2Aj(ICxF$G}#>na*O#n z8t~9MK=UEO8F)H`Wx_I%m$_7HjTwj2XUSAd!{K)HG*c4>9^4#&#YKAWZZcTN%BR&Y))t zdNIn5CU%RiiKgaXICe>pQku@s<_3h0v2>xpl!4D+Bzc_J0=%i&i)yJ^t^^{t9Nn~A z90~UA)FHpc>q$*dP57c>!m>~Y>#<|6#dA?EFnO`YGFjSiFy$XM45}Y4m@3YQftRa| zlBxDy`;%&pS<^KWsyhEa#JvSnRbB8me90?a0@9%ZN_R*psUT(00wN$O(j8s}6#+pd zl@PG#R{8=864Ib_NOwrX{r0`&_sV+L=fnSfzi0WZ#Xk3(y=P|6{ATu?eP;W1jR@I? z*BEC{{8+Sd$9U$Xa!KgVR9?1deU=qEj>?H49Id5?b@xtmc)lHPyDeYiV#U&99|1`G zMJZm5&bemz4qG7CNDdpT;d?n*hJ^B4R<&6djnDPsxmBi`J(^D^dm3tFW7y1bM;i z9ZT-ZzLk7o-eHD5`6sfDLo@CMc+nJnA((F{iNqu_%tPQBMpS18v+vol5y}{!%f;`> zQ)NAU3spmJd}Y1`6%jt!$^V+B+;G$s|ECtV1#AM?=<#z;}cBzjYz2KYfANZD@MCg2iK<+5@q+%7%tkA*&4&F zIEd&PZJ+-+DKgj1;jp6KOkzSGkMH&;3v z{cfDV4~Pl^bCw$$Oa4wG=4+~bz!#;VOl`+e^2J%%+ETIywVKtXDCtUXlZ&G{4vaT1 zr27=6$9N}UPZw-FTywsiWiQiDQ)@UwMy-++gZ|tHOSQ~&`New{wES3cG)od{A;feU zrg#(S9H#E3W9m#UasqrXIb6^QF9^e5Lo?{;Z7NAKYH@{>%g8vOF)s zBd%*^@Qb5r8TyD`XQjHg)y*DPIHsO%*k!Iq_+8%(fAB}uXFbKk9WjKHsoBpJEjL#7 zj|7Ywa$c&Kk-AM7b80I`_FC|acvQ=nsFp*wQzfmqI=IcLWB$pzp%w{+1~}IbE$B%9 zlQ0;o79oY&C`#F-2`R+U&O#fMYT@W3w>s;txg*V|$Brj{)DXQ-1KZx9$@UR#-GiAD z8_|eHJ05)owqQ}M&bpVQhAouJx`ZrCw95VKICdFAIY#8z5iqJAk7Zirj*=xRd!GEq zZ}3HbR$^6z!D`V&Bg*V~U~c(c#Xq?cN+^VzK+1%EqHTD_fcwwASGB-k&jA8ALkrEfeFkFl}_%qhH zVZ#FYI0DfK-8vPSGFrXCFc^*ml+1U?>9FYv(>1r;} zL~R8n4KSd<%Gw-YfIj?GT}jT9j~I4f$UIKyC@x@N*-1ZoV?tExJZ5JYj7n9y7Vcn8 zQ<&D{wm8Q|ez)z-D7X(_RK%Q zZ2s|Gh=3*4E4va3dBQVx*i2(!gzjchtJ#oJhH%Z?v=%C=UG5ktT{q4Wyvm*wX7omT9dBthF9V!)XCHu;^|qECq5thb4XOPMZIjQn2_~)aCY)J(&a) zFT&Z{qT(q&X-qV@_LkFQiI$^(CSla@BT!&3{5m)pCsg%7fl=lx2yK`QT6hivPC=W{ z$rJu`hYO%eo!y$Xa2+FvsMZ{iou1zXg|3+|ZiG(S@P|A1)L&(-hVMWiA%#PiwuD&& z9DPRIo$wY?zoB*TB!(Cm>#7sq2YX9ER;6wkivA0naG4iA(L^z@+!F-Rh+bZ(Y7VWk z;Yi_yS<^yyLJGRWM{w*vs$Fj3c#&?c$2UG_D2cTAz(-j+IY3p$W4 z2lN&fdCz~i-_=^FG_76?pizZ=MgX1=VB$stoQwm=b_E!7046mOQh7eEj3CDq-W~TF zt$352tvL>;INi-E@LB>I5Leg`0b@mS0l=Xf%wUS#-Je@PCS=*M(GYhUai}iRPSxhR zF~POu-fRa6X?LGL{U~J;P4}#YKa7Flh(q~5I3s0;&x6E3w-FQuehC*^6rhjRcQycq zIR+G67z|4(pvMT!jw|HWB}{9x=h1i9yKTEc4!|tlXeBm}Ru8}!dRLdDHA)?b6?Ktl znGi!$upD?b0`}!=H(cQQ>rC2xbG=xsi}bHM=KAQpQUgFKB;un2rY<|40Ufr}s$Vfn z>hXob{g!DG2a`sxnd`E#}8+dE5DHT)6n&Q|J&gU@0n!ye;Ekc?V1w-IRa zYcY{z2hpVIGPBgVDUKE3Q|9GVpGKPY(s*7A#Zw%KlVq#%d=YC8!^rL^Q#c^Z@xDGZ zmAc4gYP*4RiMJz8-#(Vm!VP0VS-Jm0P25Q8M*?=fyEC3mICq{zq!FF0k_9OIoh%#M2WvNOb#RiBS)6%Si_ykQ3T6!zKgC|TCmr(`$HR=O z&f|eBhaC2pXQ*yNjK8s892k`!6FKUPgw=f!T4H}3E3=MV9i$aq>VCvY2-K9qe_U)W z6NiF4e1*|m4ZmFWx$P+?RmbLdg0CCkW(JgZvviR)xOM0pG?q6~emKxncFBjfrh zLkQCMLt1j7hS)3gOb{iKf*Xr#r%w5>3P}hdv9_`Vg~-Gp2CEoiLiZnKK@&{39vpK) z22L1VZ5VTyUDwdE^(7#dA<`HP1o*;uWs72kwiS>RYm0geTS;n{AD}lK2n)~nlwUSg zT?~s{6@eLk8JQrY!4j_weMZzB(vDOq4D9|V--#}l1>zI?6eD$o%|#I%Tr-t&Q6aV6 zfMaB|0+o^y!|cCI;lsKb#P`7!W#Ii89Adr#kcLT&uS^ijXzeVB)x;$%j5%4nGBUjq zak!gn!Q!B~Mr*el93rlcVN2hJk>TT>PVbX@qNiZ(A(}`qTZZ z%O0>AYHWPB5B2F%rS}10Y1D?7G$iH@n#c;;g<7D>=3=4D7(`{ttk1FgUG?=h%K*2g z-_bKZ;t2xII3cP?g)%MHhP2~ioB+MWf!nOnw91NwiOO^y+VpsQgNQew&tdjyVQ0rS z*)2mj9?VD?Lt;eeS?}ZDWt}Wx zZ=r_Q%q%c4Y;AgwQ}N3&wH+A_6N4!PK9m5=#g|nSb&agI*B_9h#PWq4#D3WZjdp*K zmAj4R%=rgRj0vD5Jy_7ONkJ+GkBC6%|=P(2!0K5XcDuPIcFOtaKqPOAuvbOutN{V?*o%tS6rn(vdcD z;WrDma32$-0XJvj$NhSKOb`xV!_IyKG%*B{Cd%b94?#tV-S)nlY;q$l5>=cKGB-$C zQ(^*5kSWils5^ARv_PxxqJbz2!vA^n1R994-v8n<#w&YB?}OuUnyR%(5NQvmd_X9f zs~Qe476Po*0=e2rKjrg896|@RUzdJ%=mgrek~#mfd--e~$@d;X~E! z?hE0N8*8uMkp&BgOL#U&I2BHmag1#bQTX5pLRyA>Cy)$?2UeMObiemS0|y`S_|9^` zqL3?tYDf_`-{kllQnUa=3Ui($VW4LqaTxL*7r=uP2*CeLpdkZr3Z&HV${eQABH+cs zC(6K~-aQ_@=(aV)KGz-~$Hn0^Lyfx##j|9u8KKb8q%PtfVOf`-8p0yRYc`O63G zCvwq?p|{}!2tfxS_{k~=68teTKJkAo*%2>=&n_62Loj%TaCRqjUxhdhsSutH7fOY~ zIU!Y_M%a-mWU#A31Ak7Q-qj&GlnxcWW-f}ly|AZ64^Ubp?!TiR74gjuNq%cpLMiEJ z*vRDppv@g(%G1znddma-9rw??9lR6{h1Ut^bTdM-<2LRALIgGl>_}l<_lSMKimG7a z*{*omV37^PJ^-Q7=iZVb`D9=VLIbNf)Rf}xGOz$ldB>gah?eOR#ME|{1gJv1u3&7C zFPaD)%96FvGG~$Nma;Mkh+VHhV#0s5L9qcc1h^1@d&tq}&ft}evz26{o0hl$1chPt zvIA(O_8E0_oPUZm(NHYIYm`?|;2YDg^dcr>W_-LhM*Qm2C|y->)dCXJLE(ed?Y;m> z0oqg-jIo269>!FyB`A|Yg1$6P+2Vq<Hmg(qO3PZS&pcTd2IVM0DiZsW)sIhkCCh(qo;aL24WvpZAeT%3WAXy z!Y9|j0sV%A5Yow^XXHhmjeYzH@5T-Sv#}URKv1D#W24(wB=XM{(<5Pp{&~&O&rQYTghs`+ShFua^IDZ-Z!_}BWkprmq;~7{Iw3O$AqVg;PsUdG)!ZV zNPx{3ae*buDa+`{EW@ew2PS#NXgWNAlN!Tqpw0Bp*|F_(2%Y6@R`B)W=Vb+XDD5dBQ{<=LxEh0l3#%w#;TM-tBv(=B;{mYPn z24uAwsSCf^*14eTh`gKhn>ZDOgijG6&u`6UT{Ln2#^`<8*tz$R8h!DReFY#-1Qj{a z?nO@Ar-Gh{(~`M}n1ZBZc_skHOS%9UMYwL*^^m5A(R7sEc<@&1!IP8tRcEaSK3BeX z56;NOACY+5Go~In-0j}k%p0F%T(Im=*FQp*XngJx$UHDCHqgYq9}+h-L#p)EYZ`Zm z2fBGcN+f8!`5GAvq|z3zBbNhxJalBPVjy=5SkB#7EN!uOlQ*S{;5&jgj|j5w1!Hh~ z_yO#mrJ6QvsNopxU8D%c;iPZ*BklOCs@XJ5oIbk55Sep~@y9VV!x%BzS%VPq7a%^2 zoK9rL7G_{l`GK2b@nhbY$FaHsGsd5)H4kZR=yR{(4P^r2L@l|aM%arS))oXTJ_f!Y zZCpieEb19@Q`OmDjBZQMJA)K4>CQsC@DgblGjN7zWpL2XAY*X`BIR<~n1?vxIQ+YTQ`rVUsZfA;q#%0(X!l_L#MPR2M)_x*JJLPP z5=`fr!7O*uKK(zLI6)4B5ShbBD{RP zpryt5ZHUbe5)VotXutdASf7KtmO-MX7p$vLAvj1npfU$QKmOQUwZ0puZ@in3a+Gnb zgCm6s1w!3RmT7~?g$daiGM}Rc)@$@1f{>}Q78hhnt(=yY6s*_4qRUkBB3P)B6xEN$ecG5%cKxT_*$mL&8tZBY6 zVa#1o5&C-Pn`Kj$Em?iY1?oB_DwkI~F+pb!KxZ%hP6DfIWXg|cu@jj(J~?-b5vtlu z3nC#X-shD;ummnkbq@w>+x2pVK5eu``X(C>iqAG<5+JMiLDc0I(RF`XWE5$aG07ja zn-VF>#<%E0`Oa$igAs4q+g`LWZ!qhMa-JlBlqxdSe><;Dh;iG>#fa?cvG@WmLPLZaQ!z1yr*SUO;VQ+OxiX*&}W zDA(8x3`DMhKwmR_mf~bbW6x)th0Lj5#Op>&%#PIFjGSZ)0(ZM!P8^b@(j82E`? zU>M(5xC*I!z3yiPVq|~L1j4ik0Pxtpm$2y|?%n&@7bB%Qh z(>)Olt#t z(wc)jnBQ@0nN|r)Z#;N|3cP`z*~VgjFylRdv$M?bfLrXYT7y*u7`E`79)JKbGI$#+ z88~$b$tJhZS23ip0ZWgKn?RDj@TEeRwW6+^qWwu49! zxA^_xMM}|BOHV#*Gk85zaH*`4La?q|4-0>fzbAED&sTGGb3U4(6E;IRl|kHP&cbeJ z2^~pQ7(8JqL2A~}H}$sNNK!b!Ax=VZGxSchiKpxd<6yNlyt85C?T?z|2@BOtr$Vys zDiWDak!WV)Q&nxyuMxLJx_MA+2h^jxFa6=Yb}iz<1@{zHbfYPfZ}!suj~Z_U<(&h) zsH^#sbh$@4M>rey>V>UcUN@!PxaO}_MJ>it>eT4k96q8)6)}3hPV?f``(9!tqKlS{ z^z7EHFYjbN8iL1S&W~lMvA8Cjui{0#4o55Aa*Q|bWW5YM|IC`S7q*t`Zxe>^){{bA zE+j9SmD*BZ5??VGPH69Lgegx4J{+1Op{NS*aVGW}SF^$t8=j>N7gAUjwUw8_nuTww z5J}ka5s27zanI795`Fh4qTakSy5nanu2gEOr4UBDf#v+WZkrb83mLcks;+!5OpP47 zKh;V zh1#i$@XBaJ0(<=vM= zO|=T`2_~C`n0_buAeLKmx3cjS(?4R|lNfP!KcV3LaFIEM!GqvdSAzJ(9GM*V@H3-v zOcHn;&E+edSQn-BETLWxeak|^Mgt%@Rguq0iv^f_f7O9~u=ZBM_ z%UY9M_!4JuN~52-8Vza9lIgB1a(BIp&iCpwR`=7jgf3i)4t+zXvstt}Pb{*x(5%sTAakf=5?9^gRK%(St#y!wZsZz1G= z-CusXrE8w|9^I$b&;^}K$)8IejVQEv7;?+}Qkw8TYx#2RZPbg$Hyb6-J^xaN`;_~u z#d`QPCWY+8S2XqmQG%4`;|1G8=Z!8$VkBn7@Mo#(IC${=5I5V@Cozxz{Py8o8;{YC z)9M0wJ&7H&qqXwui#Izhn>7U|=X$sb9=$JXNiwU}&uGP}%oRI}uMm0$hbK7_#kq=EWR79n zxi8U9M&#C7ppm)~HyT}ZnHx{w-pQ;NI;GCg+As$D8`mtoW=gvkT>D3TE8jXdY`M?e zbSTaq9!#tA9W*22%?uk^h{%2Xp4UM2yT#SasaYyhPp}tzsfQ{9n*EN}d#j{n2mxJh z7xX%!qRM`SPhlZYpKHI|&EJ*2)QrqPDHSy%I};lR#+|xA+*eKRm>XTX=fbFqEIug+ z3bBd^@ap4oYna&IbF?!yvBwn`2hZ5uGrnfxz^KcuCM(Z<-NeOVrzo@xxKsX~jRWc? zd0xhy>MY#ye2mD^HNcPjjHqH&$UlV{h4-tsaBHdFwlFc?dC=UNUqBetcG;;2#*J)> zFpBc=?sS1{ih|}Y!Jr9_2NvbqsiA|s8Jtin%C|c*vdIUU2VH>!Vnz86x&p_cit-=o zN)R*;btMFvhq?k(@gM36P$h7vD?pXNL090wK+)Y((vehw1NTG$S12hUn}90RS;s&U z$R?m__iSNQ6Hv8#k}awUsM|`u5RB;VF(Ig50ad$aT_Bs_BoooyqYF??K-F$>eN+=rC34UecusV;jyUR9K-F%& zYE%HNMppLuMO;K%8Wcxr`pl~{9AMgl<1?_`b1QokM z`+zh+2QSZV4K!qbph`4oAL>sK**?^t5VC!!KVfA1P=84J4k8ZfVl$uw+Hryth$6cb#WgvkWV5j%pq<5 zx~r`Tvxt-m1=^*E9bP^fE=zv7 zKPZHHyki4J`H;2Q{uh~CtM$DnE%OQX!OQ^Vtb0n{4KYW?eJ&cPp7lq1qF4# zj(;pHJTLSqQ)x2biadyKBN|q_(kfrkakk*TPyQl@O{G(7Cz9$Ee@=34 z#M!{M%~9e4hQ%8?dwOq~1RV7BEc5iA}D{OAuIx2)@hl$+@K2 zD^uiz(f!uY?C*(24T+r7gJY6+LaTqC=lz1Rham)%T0F))^B)1LjzO6O;N($E&LqW1 z584{;iCsDI zA;6gIZGvmm5T)B1>3OwRS8k*;UA54oCUt8)v(`)S$IZj@2qEp^EC>|#wFVV&{VL{z(jZ}Aaw*@ILO zp#!lXBbk2|%YK>ELt;7JF>IpzMcsy|PPK|kUHgKvCWH6z=oh3Uw(Il z9QIIDc>e&koki6@%i+jC^LXAt;0P2Y2d)%@7hgu5Zie_3^~D4i0oEJs&1~3NWv{r< z5eeEwewYz#gi?g3PF>Ge5wyIn!#9L`vnU5gD)$eON3l>sK;e_fK?aL(ydd%)?oYEE zzK!IKD0kgsC0cfr`uw+iP$VE_0San^Ur~RdR+;}SpCg0SW1Zh5DsTj<0>eNcmJz>6 z@TJRw0vTJxMdleGJXh`?;W1E;Bl$QKh@+Ow|IFJ#(0Ry%9?xS49D#*$L2!aHMtls| zdjIzmbY~Mn3_9u|A3j>Y5(*~6rtQMxe=Ps=%!eUJ=z88_#{-Ne--qWY9d6MfFuRO-jXH*-xq(!=1QF{u*whj8z6Xe+2X=wVAN;FqgbqT?1KAw2 zM6tjTfM^{UPg2H%kMR{>;*$LM-EsYk?KNz4OgtY9Vx`pyVzk^cTQB0~ow*s`_sCet z^n%eSmMS4*0j-mSuf7u zP_ES4lfG+b=$IZo!MLkI3VH33phj48;!3#e_43dRTp?Vv%xfnvYMmcuA8Mw2*mb8T zy^WlDo=Ipee6cj~CVdCurENRsguT74ebnd=0`Vrl3@I(%eAT)xkKrQ9Q3lJ1PZ@v9 z4n-bPPP~T#bW~RDpM`f2kp7RE5XeLRB|PgoCPHw)fov0}-NmHhiykkFwa#>$pUV}h zk<&X#eadjn+2|s+I8QVFH@Jz1DE}=tL;5@1P1jGY`+mexq!utKHA+a5rjazBzm>c2 za>}xC_-wlg30G##b5bdy?L`cs+cywLi0L3AJwO^%w(+0EbW}uoOr!w`*(1b+Oosq5 z+2fx$=c~bXb&fjwEK7OQ7N&FAL`?;1?nh|L9ts}{M^MS9_dM%b z?>Od*#N6gL%v^)sJ+Qlz9rR9X8ou-phq61PbQCHtef967U`0_QAz3~+t#{{5#6OGS zAaVtN?Hw(5yvP;2aQRCN8{R&?zH1B^&sWiyC4C-483%nffvYBJ#dz`!!Sj|%mIrpi zi5bWAv(UqHhBEMPQa-vkd9+i{5R6bXNPX=sqrc4sH4Ktpp@ZBP>h;S%%izce_n0y` z0$WqRA#+40F`MdTJt9dpaFcu!IImpBQM?{D%TwL`qe#@JA8NLuC(WN@BJWlEg4Ut< zr$feMpjdL>ElgV-x+5g82dF{^>lf4;r+<|I(4r%^?vQ2 znLjFKJ?0``=m@mjV}1^ST;wwhN>RA8%~O{w5&Kg`|MryVCuE{+wZH~zOYPy-@o_27ikop+`GJk$RNVol+rz^(zQ z;0*W(8icoDhVd%G=b|5c8v?_GZTd>l;xE)As4P~y5W<^7X3$TDW(e<9?+a45&=U#Y zVx&-7;Z}=pK=Fm}mklrYmNz`lnf97aEzT-P^+Ne{KjqZ*LZnGu>YvrOq3dWoz05Hzs3&lJD(Cd?5rSbMrgzqA?ZpSB5Cx6j1e{##%qn0iB8GpT< zo4n5)XNB31`8Rq)otLi849Cn&Q^j79EhlTlZ?nCA)0b2^`ol(Q#gA3E;8OmI=S)#x zUtx4Z;cT+aRAiw0CsO~cN^#+~@7r@$`I|D^XNf2s)-81iEmN4ybKOO-XB0As(EpwV z6q`taBTqF1KO_I#*}n46v#=k^9-4(?zQPna0^J>-Wg-)mWn-=Uc2`tttE-h1#V<)c zZas_fG@$bfOt{N|srK?qjE*02KMl>#Ccy)wH-_vrCTy_dUzn$ra0{EyK`vy=&>kU* zJv0|ScODkTX2;-byibuX(^XF3q-D)fmI ziK7@Bz6hz`;ATkV6zlmTSl@$Z!2@rJ+M4#ytnbIb|8uN^-D-b<^F~7%;06Qi7RAT^ z1Ksw{xQ9TfmVm{KD_GI24I>b<3f~xcpVKN5C~_(iDb9MwQ9-azooD}>byV+2HV?6m z+J^VftnUZBhgd%*B!Z22f3beG8U+NH7tILA%QS0V$t$jM{(4I?*8heiPKt~vUEn)* z5?morp=8J~5YL1(FENr}NEN$J!wqZFx0%(_F|TFVlJM>dT8y9g5vw88h)C9j4>SR_ z4e_7Fu^;6g7RT`t^mv(r{{No3gRqhxr7`eQvPy zn0w~0Y@GN@I-jZoQztI%QGxc!8=^7uPlYw?$9s}ulw+QXta@U)@vmtu|2E<3JQKjva_?F^q(0! zDm*@B`cw1>th-T*3It@;nV-I-@>Lh7K6{p(DXE%T@m86`Ic5Z-n2KAEXH@^dZvrSw zV9;>1D!m?V%y|x+33=!6AAN%#$EMos0^ZO=DYQ4xwD~UE9wCxF3>4uz5XpbZ1Be_I zq4FI|^T8&Yqd<@q;J=g?qbc;ZJmXEsZ9MSG+6th2_9%4Flu`0TCBp>b7a{{;hERy{ z_4Q@&L;S*Mli|gOK-SX)|6bwl4uaGGp?$3Y7m-`R|5+`LiVt~@6{G`7jzB}e@+y!5 z5yreRqZi=VcNJu~K0t4~V`F2xGLArv;`+TI1JulDe@*yqe@M>2$=|z1051NQ&7vSB z@ORL2JdY13IRfoA77?QeB7}Ds;kW$zc!Wg!VBXjQ(FXEr}i#{2^ak9wYPtq#OZs zjv>4@U?Zp;`JL(yPLpa6CFK1f;!!#db7SnHbI&c=gc+a9!Qg$W$>z}zraA0uzY%8w zFZY}KhY9h#v6W}%r=aWSLuW^S7Ca`%h2d^0kow_k>jyhu%B6^8YxN z#RoYpZoeCQ(u>O;PaYLdN52xHQKJcAm6ya+LbotA@U`y17fNYpf^E~Sxda#FmY8Y2 z(d{X<9q0OS|Mi1y`Q9%ZzQQ-x$0kT{|EO*7faLcOJGxpL!5}ULvbmIJ+^IL&COfX^UV8VED#Oaz^ z(B6@mE@@;=K*C9W5*uz--WuY|c>mT?hW(xVD+3q!X%^1~bKzM2*S88)u@_ilR|BFV z*xlad!>`f_GP?k7wCuRX(#YJ~(K>mgY1 zfRCnMJ&WpHAs;(k!2`huT4j$}6xHdS&@a7~P1LOPV(8L36}Zfn1N(-hc~V<_=-D@% zF+;|!ihhBZtJ!ZWpK(yP>CxYPFsnPLs^T@O*T`Y{8{8BcPP1OJS<>=Z{nw>Se6iX@MZIhz<7Wz_ zq9@z~C~wiqI2~a}C?O!70N+6<1U^>zFHTQ%e+6)Ozwa@@kM{_t_y6B6cp#a*#L1y; z@Zjoy@tUIhON7JnI@X&oK*WeVs#eezkN_2mLafs*Ry)6Oc9pRhyUYG2=GW?&~7rZ+blIw$w z!QkS5@&fw{i^HNgCcYf?4chC*qAxoMSci=1h(bo zUkw(xV3+iz!5~Bl=lR=JbCo{aww|)7M0eig-VgVZThGcm<$e~Qd>5ff@q2n>l-Vj zs`;(!cV|ntm$!vWH>Wq!5z9i}qV7~1;RY+*mTKR>OvMJJl@7lvZ5qnhR^7<&+Zr8` zSka}y8~l*ZD>{7t66b4p zEWyJo@3zPqD(TlUH?VtNYfCohN)T$3;Y-nzgmP(j`1E-)sJR3UeWr{3q23(+qD(_d zWz|I5^DLu`^zGM(rAb{cgw)8pwfvPF`X&SG$(*xNy>7LOqECuSw-%O(EE`PRs2#ER$D?Cum?KOi5EtW_r z&(Jzy)+XWLfmmP^IA>vWo8zAIM4g$FjSbnC8dbc;bh`QE!lGp*z+oA=;oa#qaV zV_M%{4$tpynSPD-g>xhL<6@xSM0ag!26fzW@nToBd8fBPsEzTt`JRbnIV(i<9{&ia}o&NN;jeCyoyk)va!KMd9j+-p-( z!pKVg|#Y7w*C+AQTb$Ynje$O73)OEcRIQ~?KHMr;2aM>d>lg!~~c-%V0;LZ^)wDeT* zFs^bv@u&KvX~OJ89QTXmqBU_RCD`Y5V;!5Mw!*(WA`;fO&aOWFyH10E(;{K`DPBVj zhI@5*(pu~1sqsn^v*CDA@Y@^4&^IS2-@JPC?mo%LrTb0SwEF~XDw<_<6<;N}UYz2) zpvtdvHTVjXQW&WTZ&zFeUv}e%#S?|PiBU@#)nQx$T{wiA4LOX~`6htjatc>AD5Ra((tILw8fUX3n3bI6a)$Z+~fK%dxdS zAb8|fMEGwpldb}|Y~R&jj~IOFb*8p;;YTBWmufbsO6&JbH8m}} zrt*kwlNdd1E$1n&aW8v3`>ptd^eF#AI(4>2S(s6Qkssy9gr4--v-eBWloGeEhFz!Q z(y4+O7c=#T&2&_`U1Qw-G|7qnBkFuSgC$Shq&_w*pnbkS;wGbr&2&(<6<*iO#ab8V zlw=Lt3eKK;?K(ys5_jomoRU4@FSTJtUzi%?ChpEDSzhxs2)+6)>ds}y%^TqU*Jhe> z3hgr@maj7I5b1m?G`5((p~6{GBbsWAM+*puHjaI-;QyYvlkS>c9-DQ}MxZY@Uu|Jw zK8=yfOTJTer1TFtdaQbL1b8+-T@ydCL87UeL-WIUTsj77oeX{T(F46na%FU&#HM2F ze9Cf9r*-z&hY#ob{8C27ySk0poxg9;HGYn}len=|>@?A`^6XPkaibXDC$8jo1ylJq zPuHgUsKP|7C|xz>7>drFndq-dp&$1Pxky*Qblur@eC9rJ+Nj*0{^$1{^X5#XUb!2Zu;l*JCdnOdQ(__Xic zDGS}_lTzB?l;>bQ9QPk3H9Z-<8F|kIa?7N7;)+*wrErLBHh2blN5nlXbcv#wq2|+Y zcZ#j~`>c8LR2a7gcC@zG4(*{HftMX#iv6s2bAfQwbI=)mP0uo*FXNDy19W9m-`qT} z(>q!~FAa)hL{CSWl>npTzG&QD)V+dnxadDw?ETC>4ZOJv) z8Ode#oe#vGul(Dc)@Afxe9<2Ma>GeQc1JKL>B|&XA?qBBwqMiv^y$lr=I7Be0Kszo zPYuo@+pD1MkWB%GPnPu2W?GOacv@9dfLn_9>9W+g>AWZ>{Sp(a$+HeSrwamHxi`vJ zYJ`d`xbrxvr$Iey7>(H3s3BoA%A+?*vla?i7_uc zr%yfidxNORQtM09zrn;zNMx*R**623v+xn$Xo&2HN~*L3*zLF@e(24A?2@awN}SNd zJ$tEa%dS)~xRmW2^=&KLWTT3+U%bw4Gq3c!Kax67t}o+w2A|oGfkc5pfO(;@}q0kzSv2>qjVkfk8xVb=#z!%`q4Sx=vk&gG!m8E z6Nt!-t|$9=@wTSxSYU$G9WFOcaeJoZf^n9i0RSTPX>GPK0ZxT(esnG&^)*V6zqvU+qD4tsbTBuEg zMX2Qd_)YmG1C!E}jc$G|qb#6V6zk^~O?tXW&eu6T#La#qjQCLeX(($VH8ra&m0A<$ z`~`zVu~lZ1jOeqHC4yq}cnMi^=IJnCo&%gx*L7a}%D!XXkVPnoq`$^cIN3wh++k0{ z?zHJ%@nU0*eNI~6T+he)wn=@$Q20f%bp3A!&2uY{2}=|UahLpW6U9aCs95bV+HVqi zh>~#hJ)*a=AAy4VL(7`$`0g_U3O2xxMZm7>?2}XUlqUKEUcya<0?v2$%X;LK{Q*|%=5bPrneUo3JU?;(gKEAaxE=ons<}wZxnN`0|5U14 zCfRi>eh`Cr+>}mp^J4odBvDa-Kb5MDqp!cRwC4UdXof}EQ`3h;ae=<<+l=QVeQp>` zU_?M8stdpSfeV0O+V>JZ)BmRlbw0moOD2NjZwEqu&ZcRRX|BAHAnD70yp1R zcl%fMaaC3HF9r{iRuqa#_EpcSJV?P3}^h*jO4PE3NX}j z4>N6-*P2RBtnF;5rSFJIiWdB!p7u%aW=tt@-4&@*t>trQQI^4M~ zk>+ZM_FP*K|MAoF&tKlRoXLDum`KB6d7ZD>v(wr~wQvoquteYRD)&=`?P1Ph*6pWF zL@^YlnA#O9>bS99n!mUV9=OiITIM+1zc~z(UGoQ^3jH8e{n2E=j`X9-f_Zg9LXDG! zx$|iG_XmX@lONkvWvIMD^p#k*<73{tbKZAm%6~{$RL%eSrDN*@^??M>&BFWv+g_>-HYwz}vX`VHH|I0m! zZX?U>vz+Fe@%%9jM4wI5v~m8}&GhcKpB4#f`cu2#U!u)h0Q@)^*TfTSB*u0o~;D;`LAtnVvE!gtV|^BDJ$G=odj)eNUOf? z7gc`|tB#C<#jN4Qhzi*PrI8jz7%T^FBj5i!>CPK&NLVJN8d-R*MGT80t4f4 zbEqN8J~bv?37oGN@hzn9b*fm>0@_VL_^d>cYRWW{$T9GTcz#Vycno1lb&5j4CQqpq z5y~yqjQQBT0t!tX3#aZ`RlD&ErQugq4Y!yc0lTliqBWna91aEdBb^dIH87u0E+U@^ zx0N0%CE>{(Yx=bbd>^=(Pty$L`}uWVe4(1}K2MZJv4cqLdg>Mq17B_kA${JRrcWAP z5wZCgby@}5gJOya39WFO_6iI`bJOV)!1r%0KytiV?wlVFqSo--VKNcbrO&6}dYf?Aec-PD@=64H|T(SOi%E}FmqI08f zRQj*S5o#xK$jjfjM3*(uI`H+CqI1+NLAN>3nVu9GcvVeGGn!oWjX!FkcT+j;J-c4| z>Abs^bc6ZH_r36w`WDBT_L>Cx!Q&bY!oU`xXm72g` zc|AYJBEVBL0v0nJDbU7De%JiFFC%jl=VZIY5U-N zPRsmcv(>O-FoM&cex;i7Bw5~6%X1an3yqdnysJIXwOmGe(hC&L87sIo6ry{I-1%5) zsizz`O;+WcidTO7iw~C6o}MX8K|W)rx7<^7zP!YE+G!DAY9O@$+3S1*gP-En?ebC$~143jZD|D^Kbd*0+t8P}~r2WYGBO z__k+;vD;J=ICdM)Q$|@SJwYlv&7j|~hVtRlKODYVFEdWRPzA)k(smh{y!>bL)2Zh@ zAl}c8jwNB;r&frw|F{3zF!3^-Wxi!W7{E3E>B0i;GV;9O_jXq{wwD8(=@+_dzgWro zlf1!mqhZqgPTkag<+oFfQcA%B`WCbO`bnmB&oqp_&x>62L(r8~dXEqs+>dZ!777jE zB757h-lm7fJ@1rysiv6ekZt;^1>ArIZV2ZFH}r7m4hwvPA+14%>1%V{SVoOGQAsu7 zqtS%jHZ|5(o*b1;wt=O_>G~K?E(u^RE;*J}aqy zmtMO4d@ZbT47h5J*TBtJr{q7}?|Wu~8$Ena)LR@P)_=;m(x&LPNSJZ>7Pst_223~ferRO-bV zQWyG_t^*_QxT50CmdtFMU5@pjre?GR1R7LUrr8di{wcEA4%wB$G4cw)jFfFS1YfW# zp1m)c2IFp?Vc%l1H%e%V9KETH)b{o)d9MiaUNln>jlThwyU=)#YwO}C;=r(I4HF`O z6*pmHL@4993CJ{gO2ioZkVyJ>&+jsF@8>5Od~SqM>(8U56bKkE$$`LPL1Z57u|xJV z@E{2CPcZl>^3y=mR$+-C=6L9s{eXxu7#UGaD=`(ba)~YDtZ06eSoj3#c4yC5F~qc{ zGVz%lga$h;4mpw65ilnVhRnRk=p-Ij!Kw{_M&8cNd}{DPNH*$M3Ts@E%X5Vkp_@im z@7~Pptj>H}-@{kmZcG@$UUzsaWCuN}I$yvp4`f_!{Ch<&@uBv# zV?lb%TKTi&^BQR`b#@}A>f`h&0y7cA6F1Dye6x<7u=jYJRb4_DmMrl8sY8qTJ;MCk z=J2z5^;ikZVzbspLd=3Mmsu-rSU5b^Hys^UNlv}*dW*o!wUv7Oepk|vPIhzkq84lK zjVRUNWKUB)>zfoh6&3*k?`bvN@x4DWyyXy>R{a)`<0)V(rTpHJu%P8;9tMuRiHRg} zzLduz+M-89o?y(M#gtHT0M*KqF9HW+-_*W=X=T_(N#X2!b@e@rpYUSt|4uuY$ z#EackSF4)KyGVNEq8a3~^?k9nd8V;BN7y?Flw71I(Sjo~?m8>Z7n4l~yXa~7+1>L} z-MW$9Hk=`~WY;iBrnaP!6PB0`9k?B}wT!*~yO&OJqhGTtwf(2V=_x(uEbJ&!-Ingl zDzKE&VvtW%yyxc4xxMi1tb!Y2v9tuSu|bC%yWV=DMu!<2YJ6(Fzt5l$6#l zQln)?s~ck8`Mk00@>&y+>O)V*#?cuJ4iCCW)G$I4ES1un?;hwv;MB%kn|DRkz;EG? zM!Hh|tmbMzrSAX3+*^P}*>!Ehz|bHaO1C1?Qi61sh*Hu>cXx|`l1jHhh?LR@!hm## zfYL3ggdjcNHG=}LH~)Y9JlxOwe+SCJW`;d`uf5i}&b_a_))HN`*l921>$|hA(RTZh zXQmAvI;sA00ak;DK5hF@j@L)rsn}Qty}+L~&YSd-ap0>zOV8Ds^AxN@vpk&z9VxhYi~|byK-xePmF`x>>7VGMRc7!KGEd?D8kDs^PIR z(U~Je3fY;CZT0?X?B7{13=IY9I!H+&JJ?@J*sduhoS&x(yvJdkA7v z_6R5p_xEE+70VZmbxAG-LPP|On!tNov$a>|7JwV%gL4c0zZ`ew?BmRgDKgT^ey$i- zDn>P8t&=}Uwc<$)-i^{e_$nUo%i8VGcOb`h=Bmd{#p1XMGFQsjprl_LPg1q{(-dz` z;4pUm=;k14Umv3XU=VKet8-d=(>(N13fg$jAh;9pYYpm_K`439#%-2w@T?0tmg)r` zT`2^^&@}Z_-2}RJqOQNqeEN9CqHGg=J!@yk=zwkx_r_ITejTJF5uv<|w0chj$-SIk zL3#lwpK}byQEtDALBBebW6F6AvTY`aw!8c>+d{JjE+{RUDbsULhVRbwlH5oCeaRbl z7GE8V)km5%6wf(~=wv%Q6b$(BEoX;JNv0|uJ5XTG;owV>N0v&k>wd4tHQ{gBr3Mo! z+jB(?4hhuWVz>9;Cbf)%$1BE1`%y0vwMB;+T+@h*+QiJFrHq6Vb(z*w2?~K%kKrgj-B9{Dp)Hu7V@H|Ks0|5xUNC68NOtVsg#Ow3n*FwOZf*;lVH}`Cew`aca z-_l}ZrSRa)JOoOcthYKeJ^V568gg6ClhR|nYklVt<(08~V#@tcKA&>9*Wkfv3sRrY z!x1`re2>*a3%>99A#LO=L=0n(?_KHfc3%#|A8U?VN6A&|6Cxd%V9oU5uF=w!gDl&Y zRL396sPEja*+^|)GuvgRzcX91tk%3!lr^p#n6p{(Q}eoOqksbBE17P(&;E9&F1t|W zhFZOxiy*}wlj%0g-n!Vp2E_Y$Q&i0?dfA}GRS3e)-NlMp2Je*-UG|mD_Q48>WnvfQ zVQ8L^*EVP7!SbjsbraK7AJ<(@RF-&~0pZSSLSZ3+J%;v`rX755hU!=$@3oJ~Lf#Lm zp9|K~ADSPQ?9#E$35hLYZxn2YZJQr*d9Kf9*+8OXs+%DJhC1558(F$lOfyozH%Q=k zOu-Dq5UbrV#cSmq8AZF*dsmNsm3y6VZ|eF6F>bJ%b^N?BkDH_BL#vCJMBNlon~Heb z|E^BNtX$!pGW5x? z_O~&1mS=CFQqIL?<$Nm?bkR|tiEP`wY$hZ>*KpY_{-O4`l^4Bd5D}4H+V+aP?RPJ) z@&GecuERdF`3%mH%!FrNsvi$ietM=Bs@fpciZ7HrQB+@yyLxNV$V2~Ch3#z@UL+lj ziXF5{|8U~gG`71fmp7)w={=3AczenUxV&5E=_Wh(*Y7dND-X*JlVoKFFdcX%YBX=D zpzZ6C=6a24Hj>P1e5KkT6B-&6=<>_zwAgUWeUz$$;*ofzx4HsLFeR`zO5uRF15<|e z4kz}gckz!q$xWOtkA+2k?YF162}SJ8AZv&SRS54^-R>eDXnUUEQP`(mxhqB7++|RX z{(ZxKBk8u!j$ZkzS*KL$Pi-A*%1F51c0Tw=+9Z0J3z~#rU+oAc9=j|DQNNeGZ7itZ zvvTM(dwA`@K4I?ha#n-GO##G>iatJkfy4sr+IOJNhs@&<&S19#`d8csyytLsmQ$T}Z2t-2+Q#@Z>Dq<0HqixQ2!T9A9QGCpc8k1QW zYC6pqWaERHaTrf&8}A6(Iv*A^EGMTcXK4t!%DV+uusCYG&JNT((0|F?JfLUg7yH6h zc3g|HQgZq>TZqUt3!M>Ui)zYGF|v-HRHW|&_LH^0V(rB5ZzPPT7*s^Qh99CnlzKXeepxYe4t(va2MnIrQ}(JAO39x6N%(9oA0$jh6CZXkv7lYvxc|#2{Ugi z4S1$@%)7q$_`D-@JG1cMCMl*HSJIW~v{GGS#w~aWlR(mgHE(wTJ=3Nt`_@30iM{v| zC6A<)uw>2^Lq-4F_b7EtForzhohPNgnZaXsDTB72hG|23HS{>5CeH#dU!&^`V5KWap#ARsRmSSs8o|6lI){&qOHqc|O27O&B8Y@!hU4xivpg=z8ew98uQ@DQl=HZRWT<^3)s1B*U8(tuiB(ont(eK3=M0piliQ`iD zgq*_c8!!5Dz;b$*zDE3IGJ10b`DR7G6a;?uC@g{QDb(*Mit?8tBR`5hptN~S<0-LC zGQm`*7Eti6{CmMR;TqG_psy}&4UHf|mmlN$)jQS`dAxODe5>3smnCJN2}N<(NHrrF)*oa?`*DzRXYMB@FZH zByYB~=k2wlNnCSXYAwrlSC|*~2J~0&c1uTh(kSB~q!bmrJa{gLUGXzgE&}=u_(_fh z_>GAu>23eM;J#2WRkl-nV1|0Ns_u$mDnTaERF|)_uDKo;pQ46*p?Ic`Fod`7wwD?@YX^yV<7Nh8&J zZ5cZD*_hW}4>S8cV@z#Gr%HxroqJBz7m=rLi(Zi)FNa=1*G^yi;S*gf@Z(IRTsZU_ zdIicA%`O@8mh|8EPt8u>n2WG|iY}SyN)$yp zSw)y0Qh{Um!G59q$~|Bu;(_IG9N9CssCZFrqixGJC(2HYUV$^`zieUpaYk8`Winr3 zjYe@Yc0jl`Cr)dTKCU%@`-5LpHucL(m)~X%=##WDxV@#c`G!`Jd^LT_Kw;8cu|PyL z*0W=Dyil4tgrHEG9r}$L<3;LCspd&v%sW*NyKEt;t~?gqb9h2B@cmxd1A2N=Az0rt z2kL_|dpoqhlFn?trvn4}4qr{rUO7L#^XI*ry#a<_dp*Int|)#NMl4lgJ{yMnRBonM{Zi66aA)-m9IK7{5K`HP;v zR!I~aeJg;|1tAl;Y-|2mwU{;Nd%IuRg0F`vZTuUlZHh_$I+uVS@YS@Q(1u(9D=K!` z40z80-0>Dy{yvT8lhwyB9p~X9{N_N$8SuQ)-pP^uP0z~{0|c7) zo+}DA9KFkF-S<}0UAn7uO{A-+Wz&8p)1D5Jn zP2+XxC*cB9ubR5nFST8C;Ae{$1=6~H6m~uyd!L5YGdN0ioC^-}4ZOSCxAuLW?0n^u zpeL-!v&(Qx3kvQdq3)>UHu*TCzrj*}(XZw1GKszqZ9KY;)?uDXBw znd^1siLb`Hvez-y_il)W#m5c1Sb=OF5XY@sPgV^9A7olZn3syJ)+yI4_be_nE;`PU z(;VnVr8ypd{K}E1 z2!iqPvXWLxN1eyg+Y&K(;H?oxZV)_{U~lSoR$rhFrgGGNOU4uee9*1O@4uJ6myL)} zqcoo7E{cWEHR4^eCe7Kx5y$yp-H%`qMP5CfQIFP?{325&7jf>nNd!ntY1 zcNoDMtNe-`=hR$q(j^{JYvd8k@>yeIiLVXO?ywnAh?!R27gS?tO+s0ngRi;~sg1FFI_=6$(I}6>Xt7zxwM>ZZISJRUc!DHJAhpX^;TO{TC}`Fe0kNaWdhKf zfJV*Dn3f=>Z!68iv^G3r`BmDw6jtLUm#WC!JZ4tF!+wLIW!L@G3gWDkmIr1^r->d% zuPxG+BiUk1b<*OH;i|f$(x7U`kzDn$O0*xay9HgkpWCjR`l{CBB?=EXZ?J=L)k}h? z{JWi)&~x4MmR%84(R0&tIdr@NVtivFf&U0hd`$36NCPD5Oogg7!xFq!B}jRJn($=^ z)*ty+1P;14Zh&*VX4nHtH8Y@Nols~_qOsu^Gpv#szXFVPr3%gM-i!h`DS6N`?iSX} z?Vko3YfY2CGEk@c1+nik&CPCU4>$4;ced5J=0ykuc01<~xstAP&saSxyJEvRhFo>Y zHOY1v?6zC6K!lZhB$WYj$l*%ncu~+O%2xu~aEm#mY&ll*a^5B`BJLOK9nAQiHHT}vEY(&PqX>5d2 z%F=9Wir92kfMqn$vBt2$3$1$siwg}OQR7D?x$L8-`Ql0zAJ+4b~LHOg8m-(j3GYU_~lOSYsFrM^iZYta$Ja>faU z28F;Rcpi{7(bKxR&ce}SlMRb`Tv!THAD+yuw94(Bp6(CQsPGyrEmG8n{$fZBM`Zqk z3U;ph`{iw9H3p0O-Hd%yywQ>?xj=~oR%K`omQ zNk>%2UoQ3W#`FBQfiRnWZYxdolChSk^hhqo87p8yKPH4hRIN+pB(@(HCf@;zgaETE zrU_NB$}lrt@8W3TCw!>ob6Xtx2Kngk?P0OUb$rT~BV{4%Bv&-w0CCDo-3oRXp3-f9QUv_s20p~dpYh3=GQ zh%aYVM@5o$LlBk&zc3r{e6kK4g{x$8h>Kym0aq!tjP+$S)`Rbgvz_HfC1+E~ZZeS~ zKiTFj+}2moNQ@ZDvCV_823EsggOg zcNf*a`G!jrQI(%F;tJ{zttn5p^^5c$PoXQRC93tb91-{efcH{;zir6)BO0=@%Z4#R z;0JysG!_yABpOWbRQ2-wxR3_YbDPj|Z-lDs8CE5tS|AM2p+1(_ST3_wg{i;JB5!O@ z5aUC7!;EIx)(=fNXhl%XHc6?|(72of#SIdheuSJcs$sCsSC9_m(YP0RHWtDVTru^0 zAx{~pfcFa2gN|NDX$_73>dC2OY}_W9;KuXd8f8@ExF;}`z&J=~M)~JcH3c&1dXofnZO++1{MvLG6 z6`V8J#y#(C@x64~`N(@h@eprHxt<;jFc^?ES~)-}CSrXx2>?<7KY~!Mat$4$@~ZQ& zeg@2OX4~iA5Y*zc;7f5T3sZ6TtlDK`DtpXD4Ja0YdQ=gEiPK=-wRejdg&Ju2azu)9 zN1!`XJ_|R(_%_K}A3Ojfc7WOvK4HQel-3F>Cjkf>di{#=U_Ai2A>d2CNqMEi)-h@f zjX6AR;dfvTRtK>7$x#3lQg~=>!U1lvaVfDyE>IwnhZ3BGT|S|4xd0$I57=4DehlR! z3rINhF=KuKOb9isEK#Kt#DfUqDx4F>;Db!H2J5jBv~qGgk9uIr@M>0N*Q9e?+OWE8 z%?fRYEJN{V6h$?YOR20xhVaZVx`|#e3nwYQP`KKi31568R2ATC$??uSKZYz-x#W9B z?J-_Mi70@&rWtFK=zui0f`>1~VaEOJhq^sDc~p7ac({1DFQH{`{nYd0$aN<>4JX0< zYi%iG1@v=LV780o8nThNqTKYPDrk&_>5%uJmq5R2=o__pL)A#N#jx8qG}F`@ z$!dGe4^gekUV(uvz|kfkG|m_JG8}cDfCt|=USg+G&=SCyk6f!dV2Q438~F-gtKeZ8 zVDjkY=9Lnn0P}5Ob;DImvb-DB19(-%B&1jGnyo7y_nA|Q;P|cJIpzW^mydBD$(`KUwST3MUB~%vh19<8og+veYpt#@ql#r0Np|hWbr`5 zvs~60yR1*c1=!~`HRVLS`wlE2IMEky3NyKA>K#tm&QuoskuekACX>bK7;gdLmqUe* z_4w;|V8m+=DBUn$uBciWtxux6!Z=_UKvMf$B!P_@zm3=!ksIkM_cyNLJo}0M5ThoO za#jsq8*W*ssRBX(_yo*Si#CjB@<47&aAW_IJ*`+vd?E#L=)^0lpSs>JJ}}6Hmhi&jvgKwNU}R*J9zXu3PD>^UKk<4&3ySvC94;%N&EO%1z;@(N>JDO!i>V*`orVN2QbZ3 zy^Z5S_g#8~){bY&x}H3kA7|KDA)uVV4$amn>%*+7kr4o2>KKKw%@0CLE?e~QNDS9K z2m{zeST3RZ5`Qn61>YS#?Zs|%eYDC>iEBA9>`^ttu)up-_v(u#xX!KwaCzOXIiKv% zfG@_W=abJTDO%mx<}G>W@fudJ5H|swYw~7n;UYfM$e+86p(D}+|6&?W zi3s_v7sV^p_SSz07Q24bHfh`+AVyaV|}7Rr+^C4?CI@X)U-1 zXncH2;4GfaUccd6B0hkSi!@M9wPeTg8v9HdJ<`-@3{P4yr7mRI1n zgN@wpMj!8~aOZ^IM}d;kgtXVz6V8wF-rh#U4anE*C)}Atzm~J3`XaYoHLP$E;}sNZ z3lWSiMfWo@DJ3qcGAb?Jem@;G?n9HzbtN6x?N2a(6@ruCc#uYB%F%4`r+WR$9pO&l zk{xuPv(o=nuzO<_4}1iXLl1?t;9)kIw8lMcwfh#jL;q&gJWOK)8k?&!u2jLQa(}e! z`cV`{BBBAJ4yF8{9|9}g4q$o<*q&By)33@4&V~{U>`s3ppx5A*zDg|tNCEecnf4d{ zPcz+f0em6iNO<|}DZmBz=9Fp@0LKo7K}#yiGLQR+9!BHX;SEg{OHk1*i?`t`qQGoW z`&9~47`9sYup$^Rg%jNO%i`@J@XLcK5)*p8eE~3HbzsDL9P;5xw37f$o1ve{XqE#5 zUw&oCW9Y!{)M6&DQ8NZ`rBEB?&mTR-iBujWc$V9b1ynJvGfXSACLL`>j{q983eB~{ zHQSxark~09%I8luouk}Z3u|kOpI{(pw*-UROR65wLxnPPzY=N-&;XHoQX%(4EAWtS zNgEVyhd>rC1K%x{^EGE<8iJVgI>3n_3)KP3Tn$|kD+~(}K$ieDGhqgs=gYGKy)e7otYs zGyuBPzC37B`aSK@k3kkNG%!vE;1(YNAK@M%1Bd}>OtBqyVDOzF9PoZn`GcK90*iq& zl2!Cy^cY!rjn}BB=!!ecNP9~)UD}wFRrdgLWm(oNo%D>G+UjX>i)m4haaM<7l2Hpf z#*2<;+#st!r%vIdMqn!%Go1;t)wrC0%+Q=C7|G%v!)yM*rM6k%v}@%14YG1l2B|mC zR-GqS{Hg5%QV|Is9qm9=R2eAqlmC$Zbs{e(fb?^({wDqKI-IH3)2^+ zfZe`x{R(awsWp!lQ+Np=8#us~;+BJKQS=Hv#9j9*AF=$==}bDOZTabkTgZ`1gV$ls za?#oZX$Nem?j5cHXQ>(U0XFR!p)RE z_WGzRnB|!|4N{CI!wbDIEHC0~4&q%))_7qQE1?yIsu5ou6$iYmpLqpB>~|WBYjIyI z&W#B?D+&(y{$TL)SQiO$v_{nw+yI*|h7=`u2&K3Pg9aPt64vb&Sv0%%LF{vhgk<1d zy8vUpkDSIDczG{Bl4F{Ea7dKvlIpoSI(lGKkhoBIcS@tigyM7w25p^WPY2&t(*&6kLs~1F@07I; z4+b_El?&D8M)k|IOE^tBsvOQYg0X1BH=C}^eebjr@34UE20zcIE%Xd}n@Snaj48cV zlDBbxML%y*V<2i1_fwa~&MYs;G=tNz?}o<9vM6%qb-6aXz{Ks;bzGyt4}vuPOk%A( z(yw#dLiQp`%fuJ-v3k(`pCRFMOSHrz;PU`e)i2u2xBMP8OM?Dfl=zo77Elvh2FR|+ zXaMhU;#pW-^@;T39L5!smxMvy>h@4a8NJ^?vYxLoJoeHgi@#$VCI?ZW4;p z^H07%Ks~$$`7-{AiZ{chAK*0y8`ArQHh-fwB8|WaqYcO96)(WevApxc=Wy_skI(ow zuyW-*;|7i_lOyHl-*^A=vQF;)L7H~3{TFZDQk5x*dpaO%s#J|!g7jYKvNhAokNNi7 zrt)r~S2(acFwF=FED}G7Eviw+-q8r@W6S&)i>-z;KEuf-nJOE+F#ajSL7TsjfJ96; zUsIb^S!VBEUV5QWKi#}5N00aVtb958w2bJUlP3G8Z+taj8XmSERahITG&`IKDRm3A z)08GT-{hCr;aTl)lTv*(uY$a;p0qX zu$I_bzlr2aj>Qd8Y?U@%HN0B}UWKLKf35WvDf<@P<*`>o#D1R@_A$nl69mbheY9=K?4Z2Pv)uR4aUktd z#N7dX@mPt^xEjR5Qa_0z34b~VNu=a^KpwZLq+IJWJw1+i$6;trPY>?=EF2k*ww%&6mmuQZ)f0#0uTZQLsPSlv(yDyZ1UV(O=c-DB34R#m z_vPC?V71M{GRWj$*``isyTcH1Q$dXnB(ODIY49)aKZCt4|p~)AxfJCsoH`%q( zS(S|(Y@F;L8acp{bST-|JiKS*NTtcDBreH%-^kVRDEXln@J>mfDn0B?lI&E!%h^kE zP#q=KV3mYcu|N7GNggU#u>x4F@Z;k2&=eqMRyTpXkid|SGL*pDT+sIKPN1)I1MS}x zKVh%)K-)(N8DMQ*X!|Hh0<6skZJ+c93<7AM^atcZV`uwaBM0qs-$LB|KHB?ZV3`uEWB{Vv}GYeUEPyN(g84ILl%ss5nj<38yR$Q%T;PsRr% z4FYnJ{gxciKahF{XrGJ^$hrfxPx=FL-vI4X{Xxg~yCMVh^MT|qK&q}&{Xxg~J2^e< zb?EqbPxS{KAMZ(jKxz)4eKI~y==gpov4;LVkQ)PNpNtPkZ2`1T`UA8SXrJm2I=H zfwlov1KKC!14>JQNksqL{K>K9AT+s2IvJXIYf%Zv%+|cozvJXI&f%Zv%K%Fe0ebOJG+Cckcd_WZ` zpna-8==e_A2T*7UXdm?l_-+Cj zmHwoG0W!k;=XDH#!5x7dFyzx!>A~=a5TR`dJo6JsGa?*bi1D4Xu=-CXQ;y?w%)e3Y z+%r4Qns;hu7tMym3C%tP6Z^@`Vp@U9vCw*sMmW;9Q1c>NSsam5w9upxKh3cvJPB?l zzT-7PTX@$;nIic;w?iL~JBgYxeYDf97;b;ro-f#H_kw~j5p8MQS<;0)@R=ubNJqq6 zZk#2WKben!Ow-4U4pZoJi{?1F-c63aXDrv-Pxq-?N~(SHdp45(TUl)4 zcWPP}wxjven$n|V;k2ncTz;)ke23sTynMBanIi69x=JxdyY0GH=f@^_ed(7Tsw=_TqL>ItIl>>e9^{2{{e0xwjd{+dCKnLOr8 ziJKeojJdY#3nDuRGx$iFSa%N+CKA5IS;r1K+furC2;OlSI~;59Am2b( z(#ZU0`2;{q{y;FmY)?!AMgq<)t>a{Ur*-FoPy#Ct{g+8-cLLQ>*)YX>sL)$O!EajL zP*BrLq(^iiBNCMUBwMhD3&PG+@yv`h+mv{#?2y-sHGNfC`We{SWR_V+%D84ku+;J6 zVFz-NfJ_f-qCEl$U*Nqn)abY{HScM`K-tT=1p~MwH8VpXr`xIYzyJbWNR40xq5l#L zkk`{^&xgts88=9zUIb?iPSNuX3htKhRFFXA_NOj5X!UcTXetdV9K79)?X%|m2Ow{r z%61E5eCM9?aWcNs$^h8YMN9`)_xZ0mAK@eAKE(GH;syi+!M+Kx+4jMa{>;ODX!7o% zfYPTru&1wIklz9PBQg*K1=$UGM+kEhdlAA3RM??}W3^EDc9tamKm)M~q5q43$TgNDLh}fH4ULR9WxD2 zmcMdnsv9_9aK}67+1*w}omW?C#^1~`>WL~B!z9N3d2h?Nkh9zdF6W6g))>*myY#tE z8&=|S+0nDa^apECDl7lqBA;7KXOi0sGB{wz^ABUP9XqnKXdNMozT;hJ;_CxJFs2*$K-B@zbEvi!W5s>P`{;2*2WS(cP%us z7rm;|m+^2KR8fy?e)am@P+s38ik~b#y(zv;Xb~6`SlBkCt3-OJRw<5IYKQlN++*ql z7=GPMa^SjK`PoP4!2rr-1P_-pRv$J3s4Rel@xaT_pMd@Oe*}@oH1uCI5?Ed5zl`Kh z^*M4ckrvcY^x%f)3YY!hBSUBXuj^`UY$aekMx?NYb3!vh-|0xsR{1_p_YjpfAT{6z z_TjB>ft96SOp17_B!7(Y!)M=-K1(Ej5cG69Q`i~Mxpn3&hIvu10W0+Umq>~r*igst zl0w`!{t~9}6beWvbjMGGg02De;o(C)WDZK-^h3X32#Rn$C0v&V_dly@1B?C#F1grG zX#(_U;M~(bi-B?h70}(1bcuqvG&h+}&l6zCjie#d}2+ zJbonKHjIWP?l^PAIAaVwXU}f5ED$P{FI-!6jOdN}PV%vjUgZvE%EZBacuvp9>I51B zj4LEwbgZM6?K9{RWhhruz&}}uFdNBa3gTmp5CVgmeBOOIOK1LoC_7MG>BNqp2Px;3 z9#Ej;pH$n5Cra|%_}~oNKWEj9C&O|A9r}igZB*u zKUZCg%y=*XwQO{GI&N9+#zIP(VC_5Ae^$Z-r11xiPwK=1zx+=&{LmUT|Gv^dVe>Cs zvI0Z|SeAHCh*r5FqNM)Kcj}>*qTDYW>7qkoZmCr*rguG-MX0;vOA$>tqtPq8)P4;GiFQgP!?Aa<2A_QD^LKTA6Bp(g#XK~w6KJ8(c$OmlL2tfO zv>*0h;oOS!-ypjuPmoTID4k$Zf|6YVHRFI#e~v3H#_w=v39mI~O2^Hq=yJn3?oZae zwbf7Zr*LMg;6%G;`M5X=^D3tW)f0wB37ThWdK;cn>ho!4DrX7g4uL5ZT8@}Z5ll%z?h zrQ?4>#~H|n6GdGrY#Hzc%dQKXj#yM{k8;YM4Gzw&=LzXqviNgCz;o*S`nUk|xp4PP z7JR`yA@3Quo7Yf#2@VGbsV8l@_O8q={8#L^?)oFpJtUkk#6rgwN$&}J$a3O9j@Pc#q1HYyQgaoXZckZ`>e(P z1A;(#E8u0QSbjeaKCgtJ>-?|#PFPvzza+HR1+Aeegt-M&Qr3&KdFKNLcY%F;{lfeX zgvl{L9@7wHzPULF%3`fJ?FIy>H(fYQFT9vodkgGdo9Wv=jgoK!yA)(O>=XGB6%KCo znq1sja`^*@yr<-HTz&aGfXI1FME^xZfYp5dOD;!<-~*J|97Wa2*Mn;T3TQV{+RU6W zyW^749H$;F9T!tR*X)i-<*C_S@HiM&!TGGoJ%WS7#zx#fYeIi8jQ#X-{U;O<>b8HY z@yRI;JI4hr9ae_cgnG>svq5otaZuXt7BfHi#qb z?sFPzn+)J2>{ieAO6Itr$whNar6MQo(bg$gL?L|WL(wMG8iu3B=}$kX;% zux^-54+v7^r9Kb=qF%-dWmYf@kP6+C$HDm+4(?!sl4+@okui-NhtU4+j zi6%#Oxp45^@>nLbx>tjBKWT32_|h|^j|<%o!*F^o!{`NpV7=fKR-C7KL-TTbit|II zfeTtUl6tg)`9c3yN;1Q;dj@xtY#dRd&HEsJ9NYVA?TM1A_*d>f8LcVooqXuFa7UG6 zt|iAz&T@{Ry|0S-q2X;g@yxC{qKr{0Qo&bt3AdePnc|8L7^oZ8id}}spOEml_FeL# z^w#AV>t9f=3>c~JQqj6j+<&Q6OwoE)i!zTVx2MGn_NmQq^ ztm}`H;9x&>1_-<4bMB=%rpi!%@h@H6;5*~&!YTCV>>{O=o|f&Y)aw^|%t}Q1h_aD5 z#8rxoQ zNj*GED#tG3rqj8Fa?F`e3FU&k38>olPjv;Vf?Waw&*zy5FB>C9^!6YiaH#c5 z2#D$nf40y;&&?1!thowW)=Ok>U&OznVWL%);m7K(%Ug`_i*lE-Xd~ZxfVz({Yh*}R zb5C$;T9N0UQaSoqr~pn1lLOba{*&WkXoa+YAn}Eza>jWDwA7Qaa2-G@Yyd`$9qA1Q z=!Mt;gi?48eg^`%4Ez$#kh>Wq;rH|lM9dV2lI{@u4M93qE=4+aE(H6F0J1#)AqWn{ zBtm_bK>oO(cA+v|@-EauzL7^e7US6;v0Edc#t%bN#xL#gj>vIaZ@khFH~uO@;3T zeZbsH$ZC6P{AI_>4X%fr$M-C`9HZxnw?Deqd2YEJ6YPIcEnp>;|8n-1KEbXeAPV10 zpqb(UzjiIA%4pVV4lzWOMGtAZn!=tfKOWB@FP-&0rHD_8vk@M-IGkiNKLiwUrz(pE zX}ccWR@<-PEuzOXe1<@di#VUg3E0Kfa|`5{lb;sI#h$JJcT)eUD8GSo-)9;7UI2g? z`n+&(N#-o?&zjvI6g;)#0Pdv%eV%)EXA$%Z9%BPn#r`=v71*P8O!2##1dN=blKRC2 zjD@>W3f19V>{_2?pmh~GVQgT+ znD!^^|X(Vvevo=;vPYvzX&WmmCOD_{TSQ|O5jacxNvi0CDWOVU?zwy)SFltC}|_WJSd(fw}<)k~SxcWQJwjIZ4Ca-RuM z;3a)q=OtPpJ`*zOsR zn@!7|N+uR6FEw_^no0e>0vy1o&=l??M_gM`T%Y3%ZBt;sW~HTbkU)n-raQj3L)GsV z_2WbKkG>yt)Av~PvlMtbf3@yNS5XIYIBEyQcK1>EME)|RM4Xl<@vx#Dp&%;5NFkC7 zBi6Q4#-0i>4VVR`_~>05C+r&AM%2p?yLHxb{qZ^+z=0R=I&_VG$F-b$xz3{H7hkS3 zc)3@ki1jBb0&$-iBsq8A>tv55uqA1TDq?9_>TuPS(GC_o<>^?Tdz%@Cj<@S6-KHYO z#J%}UUF;HnppU2*@(9S4_v!r|x;@2CqcyO3oh&O=R>hF?%tLt7QYc-!Bb|6p-E=$-Zr7dROe%&kqjah2L|zW^Ysy zr)CCcaYr+Z)HL|dVMIvHDXP3Z$lb{2kN4h(4>FV7J8Q}QxJV9QXMD0`|A~*}I^Ig0 zzVm#sV_RUw|LHdVF9--Y8rPA2pYkuqC8^JI+6`QM`n?4^Ew_t3-D3wL?*8F!|8Iyu zIU3lJ;7&n0ESBxO7{PV2B>~Xl_p#pv@42y`1e2Xy8Mx@}=%Y@d(>rxL`Y2xS+;cwO zsGNE<0^N~agowg|fB)hl|DD^>?8kA&r*20dMJk?K62}{p(~`I#+?*Ay_rDa=#t!>z zs1#1cv>gR)o?A4>yOqoSxhT*Zr)3 zz5mr4(d@^Od8ckfABE(eTRb zn>up__y1oBFk**A`$1)JD!}L{Jp0^&Ii}gC1#>~9xOoQ4K5{3(uKr(-+GB@(98674 z9s2%9QG39{gg;CS_~~CF6lX>4{Rbp8 z*Ib-G8XZ(lr!USQWh^+i^v+_T7lqriV)Xuhh|*$*jRPu?Q&Cz+i5$)?reosz@5Sk` zpB2{kzaC$3GzyrIPHmxK*)7g3q+?F|Zwl!QIR5X(7qG*E455=h6<=_a-{ag8Ii|Az zrbN!5vj5BR1?Psq(sN$_7$3uvY9SM=G|S(+Da;A+-X_Go8sD$o z@4JRz^DWzuOt6;j(Tt7v{`RI&Pe&YW&q|Y!XL(ZP&af`TRc=9gN7aCDeWeM=NLEYE zl1XACbm+L(kL}~Kx7J0u632O$yk?)*dm*gZgG4B%zGkPnX4azUC+l>XF63Utj${20 zwJfjswCU*KV(D1mup0rjW6CN}s@JD^N8rPtjfXhrep)Yc1KZyA!PF*!sqv~Be9O$n zw%OLr+xwfF@C7EuhXN5wtt9xEtZIl|VzImvgSsf)%dewf3#>dE;cBei+m{JSLk@63 z5I`({vJoNVy*&&ujhgG?85HUnH(ab|p`>Ko-AakA^4VWqOA`E6<=NdYP){3v=dhp# z9kT24DRBh1uV}`>YyIG`9?kH_T<-oI)SZHH3)C72Qx)V>&B0HP1dGjU6|eif3o4<0n)TTXI9jCu*;NTf z5&kwDS>wqr>c71~>{gYm-MAn$c6mtXu!(bs_6 z$tb!`zcy+hI$Ibn#a87j_&ci~SNFWPO!w*|$4XvN4hv2e2_-`E)i(Bmo>cqnuTMq^ z*<-9$4+|w`mcG8RaPM+N3}v>>Eg>&?T**5*Ui>PK@7n_xS4QlNy_UiwxojENwA`J_ zXoyin4?jDLQSP!gHjRXlI!V9Y`Rvl4@8T3Um!y^FvvXM+VzuAfn4!df&uNgJbSn7i zCaM}A$+(dG^5w76UX=HX80p=Ymn#W4gUO921;7^9pKKo7D3dGoZ(fKVn!KM9IMuB* zRFH&68I~m23>uAiKN{^yCBy%WB0B~Da5l{*bum73xhy@NSKj4%X?=68#QQG7lEmka z7=KN#vov5DWF^>yTyD>dp4UrjKzZNF{-NPE4gN=-^D3rRM#cejDjEIioO|vawC`eD8xhDm9G#T?I1jYe{o+6W?sZG3l*WP*vG0L!5`n z7EDN`on_x+YzoI}=Ql1&Hnc}F_IC_ld2eBz@4a%_ugyYs%>t>RJw)5VVRgf+?3;9fx6)ThEX!dKwy;ZDmMCN2< z`Jigi$LcpRGl1~Gengqd6*`R=mHQ^18WJAaABafHMmH_3u*R0AqRgyGZVK5Aqd#h{ zZ05~E)uJyo(TNQe!Rm9>QRY7x)E;te6cITTr}g>K zcOcb=@hnu}6;RqQq&)c=Z4ld~;aafkSd&V-H zxYkuI{pHN>N(o-^Y2-1O#l+0Usb=U^II~$-$uKhQgF5b!Nc9QezYpCA{uIVjK@y^o ziy~k3@^dSBWTr|^#x9+$%wkWgIxYBEZ0 zvO-7fB0l!z*_WSDrdRnWj2$0wF1gD(Q5QwJER;Gad?grQYzTduonG0lsh^>Tz7%av zq(DDv^aVXfsN+Mbaz~X$bJ9$sd2Bn-Xy29whw&toI*qc3mFH*OFES-sXL&NXxm(-s z)~Vfd?`=YoT*&J@=-cJ>Qpl3g z5BHY5^ciKzy2^4Y`!H7DZ%GzSl%nn_RiH18cx1)W-L3MhkT$ff*b-^m>+BU+TfDYP zB6J4)k|5`ycqGC)shZ{Kml0IrR1=tM*r)k@D#x;@oJ~LDWNO17SbZ;D%I5p9*K!(6 z4teI3x=n{j`|kCry#s|^$;X*DeZw~otl~_@>5gxF0ZR$)O!q$Ef8BSplWd$&kn-Ht zo*k!LdCgaQ$Xtdpt|0sEvJ8$+;kITb7wwNLE2Zyh(vvB^&Um#vhoGNj;O| zSzvLEE+|c?tabGTY!XL}Vhw88qjU)lZm4T1gkQK5_F1gfPwbK$+_?I<`P~}uc4MCR zjH50q66>-S@;>NsT3on)N7%||Y_WXx56KFiq{s}fZxQqD6+%cyd%OE`b)cRBURKhY znuv*?^JAD_Cyy}UHhcgaZ}s=-7mGcx1)cG5IFW*vEXu|C_$GK&a8JVe<+uFaUf_dR zU(6kjQkMaLC(t7gdEt`qY^#h0nE|ks{5;tDUirrzAmP^{*m)-1KNhqfQX}soa)0hK z<9=J3$SF4Qnk(%PglBma;}R`qA>>I~UetY<>58*Cz+y;m6mfZbNP7f>XYsI*2m*dng%hCg`TPwW*_HlDfUV z+y(7=01P&*JQQVfB6+EVd!-M9(#$~iBjC_4ZS=DQ`$((0C+T6~m^U$hfmpP`t5M}8 z?Im^V8w_dvY&{fK3Z-Bdyc(wZ5u5HykzsT z_VX{Fb?v&O)*kdQ+AuKd+dH_G+SFUOht7Sih456N;h}-CzYRK1xE`h!x%BmU4?WCM z>jyvkpe`wf=*VhN3D3w$72a0IjrpcJmA=_do2^={^Vwk>FU3V|ZGgnKc4zC<$9&do z&jH{{eu*S%+SPbiA>()>(b=kPTIjuYu`?RCQ(~+S7NWk#rzR4prJ`SpO{Fa!Y|9qC zE6Np@KKQKWZkMUP*8YABgPy=uLhV}H!QIK~eMv|Tyda^x4P@J6f^pcwrrDOSGD4sB zi$9IdNjEORrSV6`S11MKr8cYkZP+dZnf{WYnW0}@Y)+xI z)0KsOz5Z;e()Tn*-Ep^U@eppuja{wYnSeRe#zLlQ7PFVMexre&hiAZ*O-n**UWH1_ z7}xb^QQiwVTY|C3YnLywXXo=k$rJM}({~9!r2NSGM!r_epIXf0+wSJFokN@`1B$qf z%Gp7A?r&X5kDZOce?hpJ#Ev!R@2NCF%B;5i8~5SJbkm^RNn1~SjS4W)UahJN#H2?N zU&fu@uhzNSEz3M+taT!PyL{a9wxejWB-6!SGGDD5F#YaSnh_fkJ zUM0Z7^wU&p4k`I(60hp4uCMdrQz`aI)e=`alIi%oFToSMO66SFCbe-~oO3G{g(fy} z?;@PbZR6M;;X@7JsL?AI4|F0GqF(gQ_gj}0=;YJG1_k;&6XarfT)ds|7ay>ISMw7) zv2GY@OOuR&*Jw<#7Le~q%OMH@BJVPE75hlj78qkPEW$)(KIUVJ<5a`eDr7h`(+Zgh?Ju0_1fmhXpIwk7c(3p5U zHD;x9s?&mcLC1`7K8ey77(oM@C4_ff3yYDcgo{BK<{t$Qga*;`x0VXrDq=-Pb7fSP zF|v3NqqkUXAJ2^CBUQTIEM#&ZMq7v}hV@`=W^hE3ImN+=VbGZDc17vGaZX$mV)kO9 zs-qa~#b0D#nV6!Z+O3sX*=QIGJI=;=gS>8aM`CFH=7^z8kG`hMN(wI3ztUBbJ@ic& zqdo6X5ga5z#o_ap5&T7G^=d4FQSvMjKm`OQ0dGc0jkb))2w-Ja2mg8rP}ZlP^1Z<} zlFYPgNG{R#pB*?k_sMR{9&2)1(sa$5$k>hr*n)=y)YKo|u=JCKz<0Rr=%rpJaVFcw zXohMtybDi#-0Q2OxvUb`no&8Ma?rtDSyxG4Wl^CrquLA11!Nw`Ywqpa?C#^lyX>v? zGE1iZjFx9&{hW`aRJ*@pYjqdmWZ`7VtM31Uqr0e`ft;5;`ynq#=OU#lPdF#_nNk6! z7%Q%`tBsOhmvlJEXs;S8E(eeos!dZrNRmObA*5=}W*OJKYyK1qm#mui7RSn-?Mb|9 z2divwUVBeEpWBy9%B)kyqsCs=O8d}DN=jXJ0V1*e%}+gPhC7WsG|nAp%2nYgO_9Q6Ra z)Qf zp1e;Rz_%$X8Av_(=H$IbL^_umr(BNu=>mVZC^&?drG`rY0un>S_vctecZlf~)3#TL z)VgfYDb9Ziwrj$pANbh$f`ADp83oLpp5-u>{)8us=dw2I?R^?@G?OqvH_+Zv)@uMH z-HEQ6OGLTid3b$|>R)-0pyp3!Vr^Nh$B~s(#PWSe)!vX@%HAP_w7sy%96XdPZRhe# z@ZB+NwsN`NE01`uYnV?-Af~K@fRV5ZhV3(0k(5rp2%YhVaq0|Gr@>(!y~{)?wY&&m z7b+AZ2B~_->Dk~i8nh2G<{1_i%?!t?&!`iIg_=J+)NsK7(&CaQNZ$QdRiAHPNLf|w z5&sJ5R(rBaA&HD@WfeUQv#ETE^&>zA-)C$dUoo4i#jlrCtDZAqF~)<=Zc(Y6u9N)3 zRP}`_!qS0jl_Cg;{M4sNZvr@1Wn|8BOn#*AA9)zC*K}F%k*Wq#jM5m=)O4BA`r)H- zPw5+PAoc_eB|BEJTxgWA(9xJw8UU{v(E1g_N*%{o#FgOa8kJZ58*lZ~W48^druWeR}L?v7!DqJ#ZXk z?Er3vWG1X)sU3@{*4KDO)YQZ)mxmQxl77*ixozmdOeEsC>0_DIv-yO#Cdh_Q>v@JA}R&L?gosc z)r;iVH-e9r?b8)gKdaBzlk4nRzpr?EjJ@8VJACa0SL0pLzP=>Z-{JCnx^K!?La&Nk zyt*BCSZS5u4VE@n-)9or*>f6P9Rjd1Q!u$og^)}sJ z2pRL&>k7~JpP$ipmp1Nl6rt#_btZ4<$Gct1*VAy{(A}<%$vElDdW23MF~6@ z)37CGBC80~8&s9ai7$T)kk#Ej+v3~P#dq*}KPG7KleW5u4zduj_)B!^G3C zCwsL-Kev(@YKE_`Pm%@Fk@g=V&(%pN+}=FWJ~V4{TT#4CLq(B-qs{ z9GO^KON|h1*>*gt`JBzTHhtV|BYc_a(W{(`9+&TJ`{GCRM2=E(|E|hoi#rbkTi8cC z;V`03ZW(Ucj%x|2{vWqOKf@VOcIfgTRy=%7~!>qYYz0&Snn5!m!J=WR3m^ zZt~5BX^J}a>T=Iyl}oI#(epe*niZ_=>*oZgmGXA( zUwYQAE55`W`d`?H#-xuxklbF2*9bOBjr(p)J2C>Ns&G+x3WHtx2s6QrrY?O|lG>a33@C1I6_d8R z{2O@miakVl<`Q!$Q={yhza`2(8kRga5ujX48Z4*PGyCc7gwT-kTJy;!vmNvG5(k+R z!^^(a(IO)Xti!A0(Ye`Um(EauueF_X;_cXl75ET2z38!6WvP|wez}`7!+ybelS$KTr>;waG^&wZH-9#YR`E>w6T_; zYP!{Zn#2ZyAL%PX`kcEyyw2&d6|I?}yT`H6jOY^QtEK^+qv}@~yYT!QHyua~dux~Y z2T$r;9Ufm`MOxa*_~MAnr@_-xL)hUpkle$5?$wse);2;2&Jt{G!2BykyMw4LH^&g+ zjFa74riTq15blTc*L+Y)a!+m8x+*=Qpho|*$^55!7&Z4S0Uh=}M1zya- zG;HO}omo7^BD80ObS3oFjs^O>3PYb-Z*36KV&q^nNQLt7))aZ~ov91Wi&99!A;-_k4wmRbH)eIea;M9ne7`m&{ zRbHw3*lS4c5rfId9?@!eG{h3{DI8s-ur z`u!^p`XXfc}Ci&E=`knmCS#9Id;z9P7w7P(a3eke|b#nip=h9n zA1|9WY^)7#Ky@t(K1nzZSE@G}5s>`8Dh4|{Yz{YL*y3_zZu(=ty*Ku4KH>ODj;<-8 zgw<)J5h`2g z-kGA>&tAD2VmyX42UeDZ3qKEWkk75yJQQ8QqQM8%#P>GX_hK89a*3& zj;CHrC$Oor&76mGeDy8fE3(^mCX(qkUQe!UfT8*OxvlqT&#rAi!;@HzTBwic5jFw^2EV zsDaL7lTGv!VM=4#n!bW8_iMmGdqdo@5hzdBsJw58+l2lh%08|o$+7FO^RX+-*YT;3 z(!6V%;~q}qiQOyk$)~5NcOG7AE~*h>_QiFpvs+*1zj;7HtqQD_<+1Fwt)>&r_DF6g z?%EL!lVpoCR%hXov0IN$YY$@jYCAomBeX=XBIFljo%omdnPERT3^mvYF2w$?GJbnqu z;X_<3lt*b<{bJwyv_|7qH$O6pY)zphT6guc4iPSX>`1xTP48)JDTfrNRsWudR?Hu> zQqOb)?dmVtT>8JLv9l7KDU=>QR3+lqGmcq^B4iYs z3}ZAk5Mh{J9g!~Cf!u`@Iqj1r44Tsy?x9%bBmqj_d z+r`!G;m32!tx7vzN*vXkf&}WtPUz1?jUmFyL?Wjp?}i zLeE`nUuzQ1tt>KwY>yA3z?`mwafsHgQQdyTpfXpCHDOBN*M&kBJ4lx~GMf#AS&kKb z`cNTNntz}uG7&7u4Dz-hJZh-pA_0Y?WJi7!pF8S`%CTj|K9b;wgm*Q1`u2dYT0oU> zawVE1((1S?L7c;Ng+8)m6;qn9ZJ96SWs%KG7k@oPWX%`)QWW^vZ$;9Lcrf`fxEK;- zRT*r0+VvUs+O(AWY?NIdn%ckBgg!+8oJEuz%390S+diqK^}hJ*=`)9J%J_!W7!q8x zop%=QHnY82NgUx=R|#6mApZxoPtWGqY-(S2Rnq2^tBh{Fa)bykaKl+MyzQYdV8C<; z)?^r3dCVMNv$|BR)zICZtaTF?+6g_ft-YYf9q=!3diAB@GzLwSbfwj5st@JtLpIw- zN;a$~Umkrt%@$rq^1X#0h0yk81f!x)CYkQM*^I8LlnUf0aThr=n#3xhGLj4A$2;@i zL7s>;mg`E3;>UAl*{RXzC{3sf2r_3Zo0FYMZr^9yi%pI4)B?4CdUNbc0LM$>&L0g~ zqEwgDQ)Avy8PjaPeRCtbEHJU%z0Ca_$BufeL9dhh!Q(PhiR~eZCR6_b9052!n-+_A z8b5>6F$LkgsxI&jS(nJ{ET7T|S_QHbdzDR($9kRwt)8PPR@s7zRl?p5r?&M}W#X*7S>o*(TXwt8>0{j#K&xCU7h+MHDSS z%D;4XatH4A498kf&C>960Ud0DT zGB*y2EYY($7Ta3#SgCrVmxq|8JnC*F0GPAy)?`RL1oA*xDM`W4rXw(uEA+`3d1ppl zsBnhPac1 zfp1x2gIN=6=&``Rj^s-du`i6$Sk{f(zLT?FcV#wV8>sceuX;*!W#$=q#B7S4SfL~m zyV>GdQw|wDW{NE_ek=_=0-(v`OWMM!?u!X&Qs5BIc=sjCVbq$TnRL7U3md8SMCAs_ z_SO{6OAb)qkqGcyjr3yYo6!G2lm7$2QSdEcpFlR7g{;tW1hsOk95lsgF zT8uT|mytb5Z$OonSx>-)RN>g<)77HnFjN|{wC?uQs7*t&KHyhq$Yd}tGcI_VE7_jm z)jT**E9rX&we75tk&hOtyKPdnR`1s>7RuOaqcX{kon;1FsKl+xx+#+zjANOhN17b^ zJsnns5uBNCX{fQ~SH+^-u7?X zFY8oligD%^saLGj1aOduTX?4JVfHUk*-V&L91OLH`$xhMp?N4@1OdSPQKaVDChVN% zyU2qY;q*D+iTy|vdbty>qMyjxYCELRPa}ZH{9$nb5#S3_V_7f_O~)iUA6@M{$=K7T@t}bj@ZEg`7(U|E7~Rt| zpB=l=(RFjeD1Kshrk&;zM5D+qLV9<2;04&pp9cz>`r+01+rp&-?s3&;%DMcN+J-^B z1A?coNLp!QkRBHdy9`b z3-7WUvvv&9G*0kndLY%1amYAlvqCiN6r-L^l*(X0WT38$pUgk zMDA5jEZ6UEN{LqQ1Ea=qp9oBll{mqy5hu*VwTUPC8KZaMf8c()2Ga`*0Oz<#l5$PB z#7p&Vf{0SlcczP}0WM&=&NHp1MvTGZ0u<)aIv2_ILC(Ar8Khee95+|7r!NhtPn70_ zQ9Rul^de7=@n;6RQ|Z`sxaq1 zJO5OsKhTx-o?r7UYzJ?$Tz?>tpE29`t?xCm`^N_04u@aMLv;nzL`df@1n3F?S^*t` zaW4VX2CfXTRlvCdQNqUsW}mJ>^d0!1&M?2h58eauqJN3E%_XS#PA0pY*gL*K=bDwM zV4QV%o7VT~W8Q4tBXq@$dcCXD-jl0*1Nq83(N|JL{|Xfv4CpVPXk-iXZt{1Unc$BEIVEA4Ya9rT%P^-cZ<_gWj9MU|057IOPe z)y+$VBwOR0VZ?kx3E?X9y!SsYgz7u*zc66h9Iy7oFaMONY~Lg#9YYY-tLy^#5NoMN zhh_^MWr4NH^ievd09iu~=m{z>2`??jacsiJEQ4`3wA6%kBIDdx{PX$K_mxGK@cVM;Ujh}S%G5EYeH04m?Iwm zL=x=6KJGd#lkAV;C3cbcI-LdB5V6baJ=9t_Kzl9bvKRwrjw8nS8+154h3&nBp9(Kg zt^iK(g)5dy-}@BZd-Lcr>n4k7l^NOYz+q`1MI~iczA#%{{a(Vo2uhqwI!=E2D-6xnBkQie{fUppifQ zkGF+d>*Rq?Xtq?G00}=dzIc`aYKt`ph&W0B763+@$beio9W|l%=OJ(czq*^on^tms z*_+PtrC+Xt6K??PvL5@6A;FuU^;;u!$3}M)`^4|(VZW8%$X>ETZ{oGh84SV&-a&c$ zKu|iS?%8Vv7x@Q71EdGriHoNy?HLv`V3fdAmkNE%U&@OAawq3kAZ-Ey>C1cP$lJOX zrM;iDtv*SBUMcEqI+3b>9%}yPqBZpTovJg`pr4(8wGckj@PTY;JMcfMhkouetg}!4 z5LG=}k%{&4k{+nDs{yg!`&A%2q4#(RWG8@MRlf1RKt70VmkUbA(7gqp#Ch*pw6^xV zb?2vT6kmEGYLIg>cduN4-DXl{o(DE6i|XbN<3h~7ntqB`gJ&jp77@%EjCW8#i##SS zB^nT6gqqT}pwV9vCAhExe8PZ4`g;M*tFRNi&Dbm=%_Kl$u?Fv#%@{{hS|uPKM9cui z2@rbq~ofqG8QH{xxbw)84k5KgONnJa7iCR@~mUONo6Yn6rE@IwYlL91tUI3-M#o_vt{O zSxlB#5==w*JFJQ?M8*k{{u5TgI|{q%hxd{_QS!Nt`oZe%0}n(Pr~(6`qAvym z#fG3C5-jkB?qkf8H1g^tKZCkcf+pTa`xt(EvBLh-{OjjMnhSWS@KsesCA`uQmbc z$zr}__Yu_!kg(AG*L-mND+iwhXf~5qtLq?yIIvB26Y2%4-BBmhxJK^P|H@@kPIO-` z>)a0L{QaxDKmr>5ToUWaPPESN45>7SU+4w@47+C)psB3?cvaRxQ>pZ50W!!H2#=26 z)}j@jAz9$fjn4)COM~2-<|D)vP!kcIx6qvr0C;=$qvpF$n|uECsrOpzUUlZPYm4%r zx1XurAVC|}BxhV&Eh%;Mg&6P*a!N0;4`J@W)s zv5s9V?3&49a%mp-J?;H*1r6mED2}I8_AfAH7*zj90+dcSbxqlZ#{V}|ha&Gim z10oE?5~LD^A7<16xAj}DQ8Rc)Y*l&S*CYc%Y4olSkMM*ID1L`m5rOmot>21m*6kYl zj8e-S3;Te4RC6lkg4(%a0<)A%Pdt>U` zG^zAteE~^T3j;Y)Q_2T!yfye<)d$jsa5&s+Cp1sRmFzl0%Y1k$ad1 zlZKIo>cNHP!38VOgvOlmQ0G1bquc-nQYJm^0DJvF4aN07cO-Eb*nxe#u^9KX3>sdd z1*B@_p}9HU2H+HdaHBH|)NlgC{4?01B`2_(a8OrB=LvHK1NDmcE)r6H(%_B;%E{RP z94h)Rhnkw@BDC)oZ=kyv#ZdeLaJzF9PekPqHjuxDDx?0DRD{kjC*>|gUi_{B&NdLK zo50hgVxdHsf}~!2dZXx0hzJLm3txcj8%Pvqz(;|zWV~8<-G8}4vU)^k+e!X1ccb&J zJ=!w&x$kuW#cow+bwvTF#YlcZxBi~Fywt|FOYrAd7Leb?Q#a7w1JeTe_OEu|M6AA? zC0~3y@*cGR=Np-^Cpz@LS{YC_7fCrBK$;k7nOk|#3hIGJ*@Zvw*qYDcMe~mRzQ4e; zDci~jTu1^nz#B-~R)Gt7ul&xrSv2n|3xHtLX`S=Ot3m~Z@Zi0K-x%`nk^btwEr5~Yymrh%QLjNRQtNaOQ8&bsU-jJYSm+{Ae7DP(im+L4 zbamHzvYohj3azpTMi^ZYX-*tfKj{ug7yr&FDoz>-&|Kp6jgFW{x1P~rQzpZgA8H^`X z#`i)0OID;1P}^!;1)Ur=nTi6{tEd|^C(Cl-nn8yq#c^|th5Ad8)OXA3hre!AeedYO z`hy#FTOgCX_|+gdfL5|MGDtr?aJ+t39oJsjKovu_AbzjKjXQCl_cCAvU_HtXkQcF9 zLa*hG?xQ~81jP8fs~=iM$p)rIrTV1O0reN~aOa8UPi;y&`C6M6pbZ%A?;VyA53l%@kY3HPRP ztStHZ{R$!iKyDbrsW6J)b@@x}d*f2h%NL_h2l>-K@{=(_s~V501H8rZ7E`!%SOGEs zGy}2(17+MJA`Agyj}icbMJK2EAMVK2M0Nerv`wgm)>y`r12QBS=9Su~gTMh6+ds^k z2{=Vm2$B0FT;{NQjpdcWUri=JT`%F?GXcM0kncpqqTx2z7byON_1r`CDyRvXAVDSj zx0r$6RW#w(q+ey*zv?=?#|-4uPttXvt*UQQYM>^UCkF&GY})Mi#p{IJ2Dr{o@twJAh|w1vCoIy$Y&cWmK(za&lJa3%jkQ4Sbgk>iI`s zfA^Y@Cy^&^T_3oswag)u1OL@He%~1A75G?I-_ABMyJ&3GQC@am?bU!jx@&-W+Adx`CmuqU22?u(Q{e2T>&X|679ab^XQvHAGU*gd_yj<%V!%X<1_sS?Dj$30bs8=EJ zi+)5Cel)j+iEX0iz90XM@AsR+6~bR03KDRRwC~Qjq>FU$+b7VC9&rBa0q&cVH$Yu} z)yG082H@)ZfRI|(h6kvFlR-AQP@{7>HjDCwl+gn&m}S`<*< zpn3|RdB(m6v1(&5PCz?mfA^BKnj5!*g>+Sd@)qvEAQuNt@9TK)#>+_sAxs8cGPch}q$Hv)xo>~8r5GjyYd?!*YAH6g{YGAUiPM{3#EV*&%mK^kVE zdFd5zbluPN1)TR_y&|x-opt2MAL2bnb#-Md&vF`kW>cP}&{^K!nrJpIj{5Xd`|vmp z-}0+xT#E-_s^fqhGjkPFwv1SW#;@J|8;^~hESthOwsFQcPfuB-2nz;2ta%FPLE010 zABpFX z(M0c-4*FE;ZQgua&`Cuy3c9LJdQ~OQh8=rvr z(3+|s8qE2J1Fuxn^fYX$kG9x&HIO}um5zt9#;NpUdnFa-rLrM2K5~LLb8p|jK}-1% zHQG{ri!K);WfDkDyzb~|}p13s4{yu4|j~}g*QL7W>G2NST79&Vd!eqKNf$uK=!{hrn zLG4(Lb! zNd^ILno;ugz;LaVySB}9K0-$aRllkxgKwi{!sE@$&0jnho`yIxYhZKJ?$N*5PSXJ= zbw=#E2&|lOE?t{ zpl{*Xw|i78_4bjy<%Qa#m{5%BgCV1>OP}k^t_pTmM%(l_LG(m+?mnTd>q(UE_@x1o zWsR|Od)!X@v4E6s)CubKc&ttV9~eWvjTxh$;~vF6Hl$tSs+2z{?#bFUF3cZ?9K7P- z*;Kj+PqlIy*)~S)thV1=PvpXXmomRi#XVs(zmwBWzPmn>s(MA^32Qu)IJZIVT=M|< zHiAb`Mx0xyx1OMq7t>cKPlYoBU8kPH1W%_>K#++m~ldwbWo@w5^ zphRSzwm4&bw^yfT-R_h1{I1F{-rk$6_}R_FOtGcsGZxWC^>4nGCfu5A=FlgI@_8LE zcjo(8+gdqX0{{8%<#b&?9qD~{(Mj}E@V#z^(SO51`tJx}W)8OhV8i&YQ-J05O#wCo zd3}3h8%N?_rizcF-w9DmjWsKx>OXFY&`8<>3gXMZfv{c--;A3%Wpd!XvC z^#E390ROcfbbp+Gtq0xT{q)G+=R@~*KTh#ChVJiv!r*TV-QWFKzF!#NW(Dw{^#F!c zU{J-M{Q(rD0RFQ-R_K22Cq?~!KXgC$176f2|L2F9GaM08|gaf7S!2Uw{b;fA$Bkz5w{odH@p&fdA|dP)z{;wH|bTf9W@% zssR1TU+Y2lcTXkr>+=Ar3*g`857;L#H5$Obn1Z1845%_Q^Y2;B_ZYgr`zgV{-VdlY zfd8de0frYdiUNKGa8wS^g??=mc=lhC<@;;>ugQM?WitG049EYO7yGx_{>Q-Of5_-v zLu=kmaF#HVu4yp%hZ#j%_A%jhW18TRWES@GDg1wA^g#d_y{h9Z({D2R-G9jFbG1i= z|0SbWWjE0_QH4^O*Lj+(j&vR$393(Wb9&iX%k-swcCV{8?Jd*{?po!2_tM-YhBJMz zMhnev*srI(SW>a+@)VK|QcUH%{F;0vaO>5bV7zg%8I8rUXqAg{j=cITx;XGwcv6j+ zr4;MA!sJiP(5lW1ZDnwRL2yV?_U32ZeF_6h_o10|Q9K;%j_ToCst4jJ;E$YcUym|X zbSfnymxm8wpECcqZ1ne1g5EHezlNIrQj!1lZTy#a@vqzXe;u*#+u8odU4$~UF8-8A zAPU0UdJp_e{7H1z1cPl`B6#x?4tT{o;l0JP_ZP4j-gb8&Y?v+BMUz}FV5DzSi*WXT z6RQ86n$GcOr0*}I_}@wZ;Q#%vgNOdliu^mi{D%ns9f=6%j+B2t5)mId64B`2k%%c( zzeXau5rw>x@<~}B-5{-1FGowWnNUJ-L{O#Zs{0whMI}e;3{j~6C>tLQ4qv*2>$=D@ z>E#`0AYn-?s_|SMZKY+|7IbCj0yPF!eZ-XI=4<6;F~N~~**~y=V@&8@&ySl&Efy`s6j7?-Z;kv+-MgBbk?UA#-$$a_h#*A{M;Vme^?%r;3&ajN}sT*}~g zsy4#^ZXhD_y?}n{@ZWdvA6BXV4R1N;zqFBm>G1#k4*u&X|L|`E6BVrCNicRWL23Sj z!EZ>}oZI{=-b5pjED*(Me5jv1bfX{&f34+Ymx1Vmj)eJ8VP~dRq=kUis0laYT|n8C zZi(mWW!UO|evx@@PgtyJ%mwUA4>i+12VUF9)+TZ-x~`Xt#)+5d4OS_k`!drbY&m9W zYu0$Y+b>A%iw7w_hC~Am{A_eu!#u~01CvhWn9eWNwMC3s&uouns!z)RFZ3?1FsKy$ zhnVnU+2@5mgpxU$8zJ?FHtQ>@G*z;BGW=b2T4K{aZRnFfopih_=Os`#5t!Zebtx~8 zZ*jI1YU`r|b!3$fkjE1Qb^bf6gUaOorU49Z|HhB|So6O&JC=XU?r*|Zz!v{6{NcZ| zw*RoYKm6fy|I;*O=6{F9|20jS`9913=cE14gl4}p#Q(VVu9}qEEZ5_?W7>)YZm^@T z!DO73DK4=i3l+hJf0VkB10n;i+s30>A*$Pb@iMylo&1E6Wh<&*3UA=es-nU|8$wAX4{0LF zBr)cp=1cvY5h8+<8OhengB(b zl~yxnZGCd8zEWn~A)mHdW#sd9CwqEyRVj+`*4GN_lFegu~@9wCqupN&sopG$(>^+*GSHMVSEcK)P!7aC>V6}vlEkrfhG@BE@@z^RFmuh~3 ze#0W|)#npIP+rHrtl(z5`|;@ysZE;3{Rg#FV;GZX-H;fqvfcS6xWjD1Fj@?2CiX`w zJQn8-;Zt8ahz3osH{1$&q@};Rp^evGQhk?E#Zso)nLU{#)Xz^cp{|h7Rqr9b6PG@p z^c|$vw(caD*BewlUj1+-(16G>w}|e}On^Btd%dTh$cPRE-KEnR6FuGNQoTj9yNeR?0?j!N5u&aWF^e znx0gnjUVzbeM-8u^nSIzri;((CQ)ir_gWR)A?myu-Z}xIPtvAlGK*7>`(}0nFMI{o zk3o$M&1wB)X@LQENhe_s6IV}5f=X8STto@jXzJ}wOH&(g8DAEn7uBmpn^T;dG#E2RKwsocH|c_lC4I<0Dbg zYWKYTG^M%mns@rtv_LySr!eIQVw=SCoG{0cwSmgAv8-M`@*GLuX~{2F{O#b!@);H( zA|cqyFG5Mn1VDDC5eE=>f*4n`RNZ!}PmVgKa?i)fMMe=SYPm6q+J;wi_y5-G?&SC`FN)N?_DtN@{zW?k+rQO*W!r<0rH(gk6X~VuP zE1eQf;-rf39d~y-qd@X{MYyOc+?uZzg6ZEawA(FFQ9m)_9yn6+%B1H@+(Phh5mjgN zj3O%bZpIT!^*C9rpSJWIT*(;`)s|z{e2hc{89!M3dF|`=&HW=tQP2+XTkZq_FWn3y zyR5c0&&!#H`JvKeLmg*Q=qhBTE%yEC8ROV~F0C`;1LwKSK`G+3w;x;=do^h)gF2Uy zoo?MlQ4&-eVCzR$LJtu6Je~O=DmIYWuG7(H^DQ}5OEgF>JMT6^1b;~1ES(? zQnv9vC-e9<{{Fro{wQYp-QI|%K@8H8OjwtS2OYPU2ie0@rvo-;muF%;jX|1%=s^TE z87=Ql)Cv0zf+<*gK@I(G&z+6n_A$rbNK1?$xmxiowBIF>In)lS>>;U>{-Y}+=27Z| z*^0!<-z8ejr*s^1p6jP|7v@;(%opZAQ7y7i#r{emEUmsdLG`?(URt9noxmL*zE>hS z!OZ;NwG^lIGuj?SC&jKZ9m7U0^Oyw#Gv%L<_vf0#`62e(!)Ir%ue(zxF&DgWT zv2DJPR}2*|#me&(D*+2wdHLr*GG}!p6$r}eQFJF=G1nG?JA-c{H?AlsRUNHHlY~_4 zoyu>8>AtD>sbsMH^31$d(@V>%cg@SW&8_ICSEEK>-K<0udKsU?gRjN%t^!}N*iha~ zqCK-#xDukvI)`Hb5|?fk=0Ys9gpYRkVe%G8 z2_H*#LQw}gQZ+S9MDqkq$G&WebxC?shgH1omVi6`E=6xG@6CRy2oi^23qy`r98M$T ziy7pUa&ftCMTzlOGzF`SY5)ok=l9y;oChFQxp{G^Uool3AwT&;9V<=^+QeQ)7evAA9c{ zquJB7jkY~)+qT_3ZB5&@Z5z|>p0;hrU=634Pn?fWnu>v!(~2Gn4O$^SU-l+gO8%fUgs~ z^Cf5kY&QUD(EfEqwQ1hjqd-|DJp=drO8$#l&Cl?1I=%e!!$|+sY}6e$6KTa@;o(<8 zP^GF*1Se?)U><5GaeSl&2i+${p=7&(d^l)f`<}w#m3POLw^!Jo8`L5XuG(2AwE`(T zxYcBR$jqdEHjcSLvks$Ae_m;7&Nf!%!zt#yYj?&nDRwv4rR$Q*6&nJc?|TNHjXO{BeU|v zcYd~)lu#aB^G|Q$jBx_=+TkMG0PyW9GWl`HUIWH}MV-K^iD|@Aqg$$wW!qd6zpBEs z-g^)_PqUl!%t2Iui1OFF1Jv+ODIPr+9H~2oY4$z+^NX-49OZ>BxSXjKs1P@nf@XRQ zY}%(+6S&Igf&4ZB+aV}03hq#wg70b9MDjdP7yYJ~a{XR#eOz)Wi`m*8BP1=~xce8~ zzHOYcmF*m~+WJ0_^y*FppNH`rbn<^r^2;g2mrC$M((8mE$fViXC%<}FJ=o93AYc2QH+%UC%Q!yZr(&kY+}%ID_a6}Fe-MHHBb<5H{SFlmpFPc6xGJN; zpfBO~5%H%sDYa?L@1Q45JmjAYyag6oSJRX=d7li~munhhP`d*m4Y6nKyZp=sXT5AHh@K8FX`wkV%~=+Wqqe8K+GN<6Vuub!;nw`H(IMOD zW26ZcEs_oxM}N8mty;O931zWbYnh9rpE6ujxX{iIEG@xPiKM53V)Mfy?Rhlkq)nP*SS&Gz+IQ7)kl zgCNAw&ByD(3qoswrP;@AmN;?(vZA$YiI8qK$~`F`d*j)v+A|! zff^kJ?*gvm^6jC!!Qg5pc@;AiLa@i5lrzs72w07<*#NXh;FoFV6dfK#9p5g!0uV5G zV(jw(r!xh@9eHqGrSPS)3pcHX`jU)=wkMGL-42Q5A(Qg3>w{JPNz58{|EKw6aiUtl zy)0p8n?C#1)`*$W*l0R+V$?Knd{kHQ<2zj+)diMTUoYkJm|Xg=&sV*fs&3U;TU>z? zd9Gv}EIZ>+-sGZ4576<1`2@%nLj^#d3Ar1(1!%hT7hrn~4FKBrY@*#fERcGh|dY=7b2fA2dL-E58UzqzLW_ZX-DJ=H|Tfd4PV`M=Xnf06C~ z;068%1NFV<{qGR-e^FEaf(ZU%+5fXK{}5et3Qqct|Flif-pS~1E1~G5^{l?zD)No! z2P0|-)j|N6to^6%^U9q=_6zqjH{ z-{I4k*_iQJzJd6^!p_ct&-TsyFn$vSf8YHh-#V6WqUPWBf75S)`gflHUHb2G{+97? zVP#-|;`jzc{?_&1h4mX`|9p$=RKL72Xf4zhHw+++Z z{`oHF-}(OW_g{YheP*Gj$Ny`?_)UiWWBa!szQ5vc`J4Wq%KxAL|Ge-1Kkxrl$9rY~D41QXhSQ_Df1CWAxw*QK_#6kZ(s{C{?_{;JC^ZeI=zkg^L|AyTDTg5jf zX!MtFWB<=Em;cp>zp8&X;{O>t{5O02AJGy1@_Fq4ai-vZpo#S^Zk~z@FHamtmuur0 zUSo`L;-q5$kR!wd@FSoCs`?Pan2yBf;n0DjyI^v$zo=AEWNWgOWa|+hK?-sktUn&e z7JpPIujUrBB&_}}9?y89l(kveW7aOK|zovb4!Q^kBHB17F(} zAUnr(XDpM2(sl5vLm2OgxGBd1C(W)>+~xNInS31XzhV|JhRq{HU*La0|9=l60)52wTT(Yo^0Y^Fps&gcJ@7moUQ4)*EGj+k>|i*cb- z@A{Za5UXbUb^ifz0=-9L}XDfS)Jz1s`_#tH{sk`C?&XJ4EEy3+8(FDn4G@T z5VmXt)BP_mz^^VJYED=WToIVT;F&PTRikdzcxjC#2F*Ng!fiHGyTuM5#MnT?d;nf% zLqX?!B5->`G*>hNxZB6hwUBpmUw`tzT3Oc7pDl|k^kLcD$igqSn!ftQ@F+R@ia>Sj zmR`DNYj2)>ieaO&K8jJ4vOr3?lzVA+_=@IM3^jv1NJ7YKlV{1lv_SBwtM18X(_ zvD{X@q#vzq%pebs?17Sf-G7-#&*BxYnu+y<6frhw+}zT>hjGV4+o4|#@d2Qk+y%Id z7V-Po@KydB6WnL37xPl^DQ|0AW7cG+%-WHw zy$bal`;Rw+7p$ifTU#s_Ee`)v?h$=fW=ba9=7ivxIQNJ4E7G+Y^ec)x*dp?f=t}JN zmBn<1DKsp7Rrd_=v7MoQ0&$AoI`nmcoGD@}E{)*X4DCIb^KQ+$-KFE};XTFgdT4zn zoC#5#ZHaZEOx=b1?fZ~piTNOHV2_h6xkm|SGM56iByZvm#QsnC#GAWk;mY(jGAE7K znfp55SoHpD#%d45RKH7py4#`JHvrsld|_PIknbq5Vz_3c;lrf2ATKOT!7WK{u@#0P zwM*4Lx_tY}4la;$pm*!Acf5B?hZ-k>Crtoctgb#VvYdDuV8yOL9lo2vO+LQ7B0dGr zeot_{3O5Va30XT0&))AA?_BSwpNQo`i#oU%LZ>@r&?EZSa~J!(_I#cnzn-~7c!}}i zy5ejwqDBl#lUWbt3Ik3IqZqPkII#@gYCcwsohj3ThvOZHns3(Q*5}qG*UdT;vj*sP z`OvJ#bLeSd*aX(~KZy@J^4ZP4bi19)N))vs|{^{0Ctan>NDsR9cfoTZ%NMz7g1O1UVKxRYx@Q3 z+MyWn3$|h(68IyC$M11BdKmJtX`k_))_MTYnaqLb2`n_bC+O*j{&#ONd%x_Gng!_1otPhg6CP$}MIzx0r40ps^Yux#5 zo9zaJ1cm$c<;cQuoyFt zGV{4h+cv~3yhl%!BEn4f|mu%~J&!5{DO2>Nq z_d0+RjH>iV9YLK!TY3okNWR+fz7Mdz4KhEM{-7R0V1l*lA?#Zs%-`g6B)-FJ+H<+u zQ0?C#3`fq%l2re=AJ_jlR$vNc9kygh2hYKV+3R=ntlzq`V!kzW>^Kx1G?I$-L>!i7y zHLKLp;XmZp*kkY!uQ1W9^}$^F6*!jEoc4w~oYB%DNON?YGph)}3O|xI=MbzLtf6(> zivV3FUz)fg$1?_lxN2}Kg&Vxy0z*XmaD0lRgSl?z?G(13j~-?cZAF`$)(vrN>Z4fL zqlC8ou5G{CUv0syf52Uts&d+VTrax2Zr}>zqT;Y>T&{s%#G-TaPJ^iBs0mG=#@` z!#`Lp>ts8`31ZQt_pXHHxbixaS9ED>qa+Wb!p=$Aaq+&a(2;&lS=e-Z9!3U2P?^}(VSM|9{{%d>glrH1-8&NVfKsj1c$KvpMK`Z7B& zj1G}Yqtr>aOD|d9JfgYMVCTxr&b`!`zikLI4rWG^_fM)o(&kQJEjt548+ud60-5aX z>+4rYh1}SvR@r(ZcdtcUHg%tY$TuJncH5JLPOrz~s0f3nBN;*yXc7YfvaS5V#4wa{K^ znwpiCN72d;(F1tOso7pTp#hfc`G|%1ajlgBmI@db^=PNSct@X#uo@mQ>naIuR-h7< z*|nP@R#l(XWxsQ?NRIE;MdHYfqkrDprS;SrJcYIF*YP!Wu#$2XxtABYS11h$r?a(s z_I}Db)w{!U zqydba|7?j(sPD&_#*)mHRMXV&;D_T^+S<5t%`Q{dvln0ILbxrIQ`6=)(1_#^a3EDk z%vQ3nrKmN7?S{P~>66)?U*#F}Msz)fs%O)QJTJCZ5@@mreVmfC=>>K4895>_{9BSj zXY$2k{KXrsqv~YkFXPe?G~PO#oyC8=$L*rJDaHUat;^p?Vp888SW z5yUtg14Qg_hB(1Igilzt_jC_C^csVRjd7N%N3Oe=KAL=S=h6?3XB)+p(p3uz7_+!w-N!h;kiuhu!l?{&u{E`0AA>L^Nv$h1iY@PXl=+dy((tPeVNJK5 zJ>sMTw;2sC5qynAlgujSH}bI8IjWCm#Aci&G;_xNQ-6S;q6{PMeG!nOSWil~_2MobgJ^lSN#MOYrjda_U*gZ zK!MM-38JC>FuwvM!GO!;vn?!pxD0oHGa zWQ}w${B0ct?DUV3hV42MV>E9dg+)ylenb>)R8G4ngx#iHz%XjMK?Z`YD0?~d1i%KE zVuvK-2y@O9e^(Z#r~K+Xupa-nq!vP4wkhZ!C8dR#HoI)Yk@?e5q7ij$*N?(1I;gD} z76Zb_8Tj_#ak4ePibSkfMoWN}Qjy;?Df9}1)lxh~8e96ZzFxcPuN844q#t#}lo!&y zWY`Ep@bVzF#JZWeHf?auQ{AT+@iwl*0K{?2!evHu<*dR>t6k+lnO)JNT4yJYlwM&l zK<)IVzOg?bnZoF;1<23zVj{kz{ju*{T*tf?Io(rRhmK8ByH8hJS6MW>o-1C*KE^;a z{V+Ty)($%WFU#=OeXW@(yuupnMEx>?X1ql9?A%(Cno+oLrnT=WmT71|}A5v$-K zlE4xv2~6!!Y%@vy2_7V1P5M!XLp=tz-{(q3{#)wVJZ*Bm(41!IiMXwz{!nozV|+$K7ajAKE4<{!*&K|#J0sQmUE3Wr^L~hM5+xg zyW%3uDl|%=5(Y(=wsy02RcK;dB0ZXKc3v2e`{{7H(I`EutEB2!3O9{$P7NilwH1py zfv>U%G6Iqp0xKtZ9ylzHKRhlLb(ilkmFF$kX8`l^NUcBAAFmCU11W+DgMbJS?e$9C zcZ9FAEOUlUvDpqFpa2iX{Aswn^t*ilX_|kW!Z{0-rpwxuQXyY9NjdJ_zusNWyxk@>ka zXQ7QRvXEjb%jd>^JUwLws;b)Q042j?PQ7`bj^13CFDh=lGnYk!gsf6 z)s-~3Y;G&NRHc{>XmnZMXOFN4q=G8nE+TCF4vt8N-dT;pX;XYTsloNKap=C)5jJAbd2(IWFjzG$`{1U`6J8r7A)qw zNO^AnHelk7b89j45R^sy zb8r@-diFUq1BHaHKLdUn?Eub)7M&ll@?#bF*`D^Y?tuCs_&;*iBC^YMJEQAFiK?r4 z)&39@L)vHkbGi98&*m=Ka;r0)9E_fZFM~odWyb_)8)bC5S$p?$AZ$gnF`P@VI8&@x>b&P zwb#7Cq1i!k`{zYcfg48FeG|=GH*>*jJHrc-PV%Ko zClj1`a~e*sG)WuQ1{a*&H_32Vyywt|tDJWIw&r8kcSG>3)2#JrtRv}7ZP&jy8O3|t z5c$n2z6E4u_i;!72yPuFdrx5VKKRyQ>70ggJl$1*(R-5Z@LGekoYD z+Py|rkg@$yDDk;(aUXO@&gklS_rl!4sw<*dueO^=wIKXn_PZ>x`*v3qb*+;RJss2EHj^+Y>`C26NU=(g7gM>Z5NJfq+W=jGzPYzcrf!4NDr-1%} z{FA2t654xDt<6n6lfL}G*z0pK3U8v$=5ytpiuPq_lCJ<)i@|!lT^MPQMt|r?3cZcT zDSYK_QfGXw8MLbJX-91;<4u19m~EqBXEMQeb|j|Do4>?g*@b}BtM}N(h1ig!7{(BM zn5ad3l=gsa6fYhQnIl&>2tl?|zBER@K-x?hJxIMAyO0=~&*3-xI8-jBfcYgAO7*n3 z`VTBKV|t`{diqvvy7^gY;Fsju)$&cNpWs=_GjDQHO1 z@CMd>s2N1lDwAw6)INE1?LA+a7Qt^G^E?%U8-R`UovjHMQ+O>jp~0&-wVgVqI_Rn; z?+Y%KIFSTN%uz7&S+mC$&Q?+gs|lxSds%|g8fZR;8j9X!Kwb9Fsn=(YN@^>&bFjA< zm&}*UpHc;!nfmRR4&FZ+yX7=wWQVDZjvqQT{0&nFQsX~F{oLvZFoNMxHJZ!>44wf zs<48YqRH|R^}}>?^Z6zeY`_qB!i2OiM%lIv@NxfIpt-PfzrX;H&~g#!11PX>msWy+ zrN_jX`Z#OPO$m0cO#)^)oLLB-bWq*)TxX8z(Mu!x$(e&lZYw(xy$z``;kf${+^^Ed z=$aX{LMh15yw(Q-9$2WCQV$E3+WOORu@_1gu*6y-6EI|?@jD#A1!7%NmIK2^iQfLO zwC6Tf`_y~dih;LyEF;1ezwq(;7$YtUYiM&mWZDTmm!rg(UeGCft~5OtRo_kds&uI@(_>Nh3!i?0hAn^PJ!xu z$Bhqd@A_MAk0QL7;e*#CgjXPp;SwtnamJ;GK?C(% zQLo?H_4Yt}Yp6wXPwznemSouCHC@3~H(?W9{&)(GCfKIT(;}SEkBCyQ69oNy0EsU% z$xO-v?51b7^f4d5UU}HY#msD7EwsLtqOg5$CZS(if4xoh_U43ex4KA8(wV%@{*V`# zMI@Jolr;!p?5rU&SyzMyl3HD-s=eK*hs@+`3NZnPIX<|?0EG{wzYZay4c^hF_nFJ& zbj^E#!U(jG*mMPDOL=SVJ6ephJp~bCtB2&~1LnR0qL~B7VLMGs*mi3?AjzsRQB@9e zCGISi$?rZJe_-|yOEGB*apk@=)%Kes3ElujkCoja?93qZG?3e|E}l{Z6PExoo!Jn{ zFVC8>vFuv(4u5TUT3fd9;A2>?f`Mw}qKoLQWX!(I*st{RdW+_x`;^D`{bOaai*fs7 zQet6tg0d+QJXhnyuk{wU_IAQ}8; zpD@2_f{Mz_sRnvDW0cqxG3thp2;bX%Y6)~v#b&an^{N+5(({s5pB}^esYdV!n9>p zChvgOL`S6mF^|Exxmkush6~neZx>}pUwfYCm>8&hta?65TX=_LGs&#EG_t1u)Y0ur zm^h?DH>B`-z`F5?)1eELN=TYtq;?LGCs0Q4eh#h6GtweCur}w7V&Bo#SQu;Hq0};F z(F%i=b?{IYfRei5KF8Z=#D~Qi@jXkeHVSn>@Cn5_t!`TkRXkvH$ngbq1-g%)Rn$xl z>JwrS?lv^po?||^xVkYtN;LMANMAjSXfJOSonSULCr!U4&Locc=ML2!kpoR9Bw6+2 ze!2afOb=P&=jl_Gl}>ATU?ukl=%#Pc?%56STIHpwYWu0=9r)c?73<8ZEg!xkC%&R+ zi)n$J9LNc8FI!qhg*{0p=9ZXExJzbI*^N@rVj%85D}`odK=14Ub@iEkwk;cp0EU!& z8H|btNC=V9bThUze~xw`QbsQQ`&RBX5J*XaRdVm}(E}%iT%pel%x^HHFf9I=58^l3 zGpmdWud*ZN4Tim&`L*WbJ&jUP>9UFr=l5;k^_}u%$-|N_Zrh>9lt_}6bIBu0t4Z;$ zwT828?4n5heZX!1q8@orD`r|G4txjDcBZ60qjhUj#`OMbP!J?FO4%6l>qr<33L^ZV zo@(Vn4E$MD3K){g2mv5!dAi17DE#V9bxGQH4|Tg3nH3i7eWZL87jbn4Y{mRfBb06^ zqK-9S%Z(Pg;ZViol5N;5maMl)%Qsl%E)3-^C}p~w0TGIx0gtKvWIYHIm3)T6QpfM3&JT)A7OVLp8$}(DvlN?g zya_x}64-!@dX;$9=l29T{F?M}cyU_YjJBWp01P|q5C!;QzS7b#cQ)#X`?N$;(}U&} zm~~;aC|=a}vPcMv)++P$NwJSTLQeb%5-^ z*b*&{q-`~6mquxJr5!A}z!T!=#fZnj(Q{xOffz*1cnU>u0x-fWP2RHL4tKQ`PR&b( z%{5@k3eMWF(Ck8dOnsfWfmZH7o~U=HjYMqA`L`wnHbe=>KtX#o)6qS@D#MZb@~^BU z9y(WRSMMN}J^Vc$hvc^8?ggO$GWa4CciE7GLPHsX^azY&j)UV_=m|o=VI{=)Ii4P( z2KUrHwyDou#pAz7y-0x3VvNv6^qnYFqWtg`Wa1=8V*o zp^|M6F0Ch_vL@~^GiaTN#=_#1CaP?G)k3ic_QBl*eoOR}lD{c|=aBm~u^OH9t?;U0 z9_cZ3drn6U!K6EHkPtOnbRnpOsd3dH<=ArrQ4$>g00tx38g@qx`=-gd(H1CNV@4VW zZvxAcS1x@Dg6CEht;$<9{Op1SL6klwThqO1O(3mamBcWj^Kgs|X-AkNdnDJBK#5zN z>ea&@SR=QjFHG^zDcA_l>SIK`zB6umVHghN1J=7|%KDn_-u&EyY6UE8zlu_;#Zej~6#`$dnKV079f_9}GfG!Ncq^;~GR!g`dlv*^to*5# z3ewY9h{uA<9p8gcK}iM!DN7K{gwEkEmRnpy&R#+LF&3=m(txK(IpAC{%XnU^+ZD); z>x{i;G>Q?Rhn38gvtotz6h?;eX>|DOMlcqVsX*T!gP1kEOSds}EoDO={La7FF#ngZ zkv}?0Vm*hRX~6*`Vj)+L7NiL)mVCaBzm#u=lZ&73#9@1-!fF67a{2;tw zbC?t&F&iZ0FT>{RnCtp$k6XZ(^mN2dG6@0-L=ot*poU(iz#Eu7>Y7aMcFyNkh&AZeqpER+byHC8+MbLmo-cwD%@~^U z2i9B9TFrh+H3GiL05lcxFbK*ZIL{?QQ5B**aMz8n+O>f9S`D{Kk~9EoI7za@yYkjj<}#U1bpaY%=0~JUDxt9 z1_?Q`zq0E;A)mHnMV_TNsv(+r(^)QNV{0p4;-%GS($PGqqb12ldp4zec?pdZ==QaJ z8cj82=I^f8R=NZDL~7Kk(O-&~FhFhp+%;%Rf^Bm*JaE3OX1l$)WXZV0$((;Xmo&EM z!VnysoHKl;zqpfS6Ur=qG=jC^ zpZ@8ya#-7NPpBB>?d|M$&iiaEr%p-)Pc*JdSOilxx%;z3Q{uelx`wz$x%Sbhv%;>S zs<4q-xT+L=c!%2T$Y$+iGz{_u`SnD58gra4Rx2X z9CApWksM;AEA6AY_SZKAHPI_j^`|~;Po{pR6i62EPou^|Qca!;2T-iJqv@#Ptl{y6>YH9OfJ zEC|xx?VzyExCg^%<3WS4%~%`FYf^ic&`Yys?!nQ4s71X{y`1&@>0PienrU4gn>pJ- z#oXf2MU0EN%wY?$+UzqoFJ=sIDx1u3_$X$$SKPY@e!A-M5cJ2|d%sWexClY9044ku zJnVxLlPPhbk(nZWI(Z)$Zn(ycNIQBwc zwT|_Ua|iIe3eo3kETnGs6`lvf7Q6Q-t|5%6S?&y0UX~w2XL8t7qpJ*~HzN~mR92vM z5``8j%{Z`6U@dq6U(`dC&)Qk(2=G%kK;6<4=gE1pp39=Co=K#om9zV$LtkMpM}^4a z+=LQR*Ynb>Bnvn2fuFjOHp#PHJS%k-L93uQrHsf(;@xd^_t^w;qQF@dquc`$0-9^| z+K~~BVa*IZW@KNFl?Cg%$I3xyrB8jO+RKB&a-^5P+q%tXIn{zLjs|Y9qLi8g0Tavo2Sd2P1py*#LQrE!RPZu9*d`8aJZKbHG5`%o&zGFEqsBmY z?oQ=zV1eBRc`Wx#YoeKU^vE@@W-&XfW+Pz_c(jBK=l2yp@9N%+AHS~ah=2>V*<9x8 zq~6obGCyn;#_mJND%pXxWr}j_r2*btE!RTOse#Tw>ecog1Z;J8i=T15qdmL5yY1qS zX^&X04TaQkV~qN2o(__yQ12aWNp&BH&a)w5s50e{g0Umubwo(Nr)y7fD6C{ zcLa*iu%jSlA3Atona7#20wn-O;<-5K-sdHBdF`9L^b3*!XRFMVZ2>TU94SDGw7O7e z;tX+^fi5b7R0;{HL@7924_}|dP4|3Pb06PlVh2nh9KImGSaWzOQaWq89qD990#p#F zC?yzs6gb>vKx~WYI#w~rr^{%J{|Y1r0N2Ai%nXL;nO-;>S5L4WnXm5l0{*SoKrugJ zXN*kAmiX4#R>^wV`q^#SX~;3oG}%>&POc2eiP@vd`rIwjslz$%CC7{JD<;*0!CkXF zM>+5K<`54xUv?PA-c$H;;Z1$g8X-m)RS)2BIE2>iq8G+N&BC=T4rBNva^_D}fEofd zbzs@&xW(#KInysmsIeffIiok%7|e_l`#nmqlAUmP{5x!w%3*8aSQ2gc-rRju;ZP;T z29@}3QFIi9@lqHvDu3eJTzuOO{F;48W~JeGd0D)S=JA-mcZ7rAauN&pQVaC)fYX_P z7u>l1euavR$1(-}eu}evV2Gb}(8bdpU*!vZU45%W;0gG@(Dn{y3Hl0XAZHiH_)aP# z1qMK6?bKp=>L!M4Pli;3qWr)7CC4icsSZUChhlKa3ulXpd@e(_B>AtW0YMvPis74tzkJ}~dvOV{3A{~NCB-2y*I{OvVgCIlh8Y0L58MC6< zLu>w+jl!4nj(XnS;J(2Dct8}%UhoXL2AyRv$r8#a5cGmPCi@v@1Xdj0fy?RehjUnM zuUd2OSjm(S9uECu5wN;=l_mF+(Fr;e8a>xuB{DAU_iX_vPgc{wHCuHYp28cn9h9Y}zLch(bG@t|L z+x*aaBcGp&XaS$eTTOr*a>5>~K_)zMU9+W)t)r>oVzEEpNfvv4DZikuy`{9SwWZTJ zn%|p0m%mnyNe=o?VVL%0(q_HaMQ1}Czi;}NEt@$>wJIeee& zeBa@Q=Wp0>FGZlCi5aV@!7+<&)l&Ka{p<%~P@G4M2DZ$m5^K*zT>&ntl0Q4{^jFz4VPlngq zaJH}OdE>wUja9l^Ma3&cho|84b_bnD5t>CTb1Qw@ zWave9op6qC6;sB@oNhIbsnsX=1Pv!qYaZYq><=Ho&7ShU`pRq?2+ry^O1?& z24w$tY!dk)0NiEJJrwKIGqpkunhW{O8tlW%y)tJ9(LN%~;13Yz<5 z{p7+P_g;b(IwvP^A{X>ButFfu1c(FDqMi$JRd1XS!}HLQeArhb7Vs%2EYf$bZ#qV` z8Tk=1IdcF(v2+HQW){Yf1|5;`lHXQhFyUAHAX!@L9?yo|_6^TSobR%t3^%MnFi(IC zO=kK}B_$TopCR3G0K$!3FD`52hc8W)?Z)TWaLz*>pVvVzF6S5CCknSpFVB!qmz=c^ zqd^5-ZjeLt;h>t;NI$X3e6I?hc8&99mR5S3hG`~Y)EfbqCnaWc(;u-JW5kPrc|yBQ z3$<}BO5nK3#jT-fp@bv#6hP8&1PI>3tkhu?@|Q2joBj032*GNL=C!M9m<&ma}M7S@r~FS6H&!^36=qtUA3s$Z&ff&sgbR!B;oISMQ3bJ*#Mmg>ak6n&Zaf z&a$4!*mE5m7qhi{hmd_jp#J;b~Ua%giYLrDTze|?_!hs1uYVHW#XP(>kV%~)@8MNCN z-4n(0;%J6Bsy;FoA+5JZE2Vp%UU~}iBWRwE_!cvps89B$TX9%M@epFB@&LkK zRRr68A9Dm%f+IFz6XWl@U<+G!@+KOBN0Cg-WgGwPEU(WPO#x`e>g3Fj3nt zET~5fV}ek^mqbZ?^fmXR=R#)(l<^BTkdNy z6+DUhOU-h5dyMkyS@dpRnU&S~q4eg3?u=y*laJTsH-^zcCr6Z31G*3euEDr!Vh3p& zyONdM*Mho-4{Jmo@o4-Glzb1mD>}xYrkRah6S5R#CP+@}p!cHP;sJKPk}Eih(!JUI zX-A~+gO_v}MV2Sry`}I%Jfad+y_;8NXX@!)?WbodAb2@UwXd~wdxh^IY*I6}0Ah z6w$3VC$K@f_evb-05qPap7+D5qf65akEvC+x+_z1?Cp_I*Ei8B@8=X?tjz%^*w0r# z2ck^A_Uy(pT&$M85>ecb1Ee*)oN+XQM$pq%vQV9`uz8Z~QGf2s8V~9{TI=-5jt_>N~ z7n!$_CGT_5%j6}41e#`-Ht?)RU72o`Bsc4%76mQOpr zZcyOM0m&>=AMB}-AI}6rrZ_^TnB}rRzfJ|DUiZ9G^P+OLnpvjV2ClRg)KdDk|_AHhmwRd1YgJZfZO5k(*zT{qRb)WOwhw1hl|1cnH4 zBstyMu}&sjlL&;Wma^1Fro*Hw{vnY&Gz{HQ3o>x4Mx+QMAC91=vLtJngs?Br|3xd7 zyMigF-;A@PscOq#(bkfruh0hkn!?Iw7;im-LiOibGyzM=LjU5$Qh&DUB7qRnQG2>E zXP~>70(zXBy{85i{Fx+!mdS=s_D-fEEzj}D4@h(KRqWN3$pH) zGmR_?^i4LcB8&{#j>dG5BHWb{l9YhdGt!JZqbBxcRdlIdVZCeny!yI!d&RSzcTu$< zPdA<{S6DPk7I|aN?(A-j?M~3HOxTM)QF20YfLohWED~Wk{CJ_2K^3)7`DG7OYo8qY z=x7+qC;(3zt^;R8NkRo|JG}3_I3|n-J@VM3zXNogtp)0L`Ub6EBQVLYgJB{wDmRo;4(JKRm;h|@(=Rptv)fZ$GbRy75FMHp#dPhj9 zfVh{UuI2&u%2S`uWF^j-E~B*}vDIo#9;Ivr;zJuX*BH20`8(v4D68O)r#4jrG+q9! zj9Lc}lM7BpT}k;)O<5whGDu5?7Q6LyyZ2#9dTS}c-D_&m@^XjFuVi++-uklBrhN6` zdY#8I9JeLXxR~IOqDM2;2i;Tb{&Q{h3w&ek`iX03+ANDwb{Ru1HJF*%vjO)vG|nN7 zf(e3UW^E

5MjMPofz>M-XNS7AqB6Be4PaZD>c6B5}7o?kFi>%Bb z&{(-SltB|BHq)AH3K+vi4ZQ>cS%L78UqSt^fk6SyH%KLlOo?12`LBAn(9GkdD@SZBm2VhVnzj0SW_lJu|>3~VIGgb;Vs^F50ADVh`KoR3Erg{ z^wo)@YzhB0p8y;8wC{JUOfJ-f2Ll_knzB19O*6AehGhC6HBUvXuzJ9&iXRGQ38t|W z9Ow{N8aOow9ybd`br@%9GPq_W`rxp-#I%6w@ve#lV;)q0_m>rO z34IVR-cfbky|ZR3qFEB444?)i@Xdn{n`ncs-eQ1<8uYN7<$uCt^hxxgciqUmx3;{X z<7@x1R*m|JiQK9m60^b#DjTv6VfAKFnRO`JHk|L}%I&VKNX;%Ogfi!Z2#HYg5Cq9RwKB(71@*M zsBF5LqyEX;f zL@+3>kK`xgOEEw3bF;6NDXQe>VJ`c}aK6L$z};R8Vq6$UR#;ukye&2@7A9=s@NBA}LSe%sU}q4&&Gy&9wNz#>c5ryd9!8+J2WHuK zR_Yj{zz5V{B#K=Sv@T>-9M_M17tviLhkX6E{V^Wf_z2~Q!-f= zEeVBaYBXILof$eQB_`m8ne3AW7uaF$<_e7h7v%SI)Tk-%s>`I13rM1-v|q9k?`Q@c zLuYMjiWhoeYL412LAFZtUr0sNnG4Q!MqO&aVUrAM7Q({4tmI)n7&<5rK0x{2JdKn% zC)`Pm(=wo=?%P&83BLCU_wXWp%PDi^f%>h@}Ma6HIUdskoWS*<1AAmvc*?4B=<_vJdipFS3A2 zGsfmZrOjdu>Wj%Rfp4ypvZaUfK_dX_LAk^wGxB>L4yW(B7$+i^m`#jzOpH}*n?IrW z=4aAjxb8q~k#e!TR-S*M%kW47Zik1HKVo>Iq#CdO)=M!v5ky;?1VV4!2e z);ajU-COD%m!0V{x*L{M6>vyT>lAS4iGuDGN5?lj@wq2T+q2+Zn*D?^>*Nftlc%2b z%0+TXb#9K)ZIk2jKdw{WVeCP;o-lkp!Pm=thxEM9avnYUkwelqUajR6#H58XXHUGj z2@%Ixg|A+2Z=AAija5m-@vn;~aE@`F#iN$fzDlodh5?%~14lpM-@GII*gR&;nKRKJc}r|jAs)#fkb62#Zv zXtyIU6vIP3qv!E2Hx%YjOvy|oq%@7iqT>vv%cJEAgnOUlj()3~wOqh%I$HzA$kzH+ zLHtni#zlytkX?X|qWcSAwC> zir&))C;g>MjvLF3(Nc0qncxwDolVO^Lg95{pWu8Zp%Q9X4rSKbT&nTVq85q3Cw^4@ zsEUG`hPYbg^;@q}%3eC`uVnn(ZlD|q8eICTLL=u+kwG&(+bU39&)Tg99Y$tRkUH3i zm89imZ&|X|s;quFh#DK>J)2g(gG$|77+hIUFkiY=0OLagKGdrgCa81J z4rN;lIrym%;cM`h2So0G*x4^7+>2so8!>$V%{feq^tiqZE);B?gkon3Ms!rz6qyU) zP?R-zAj2vj2QkRD9wXLCYpBV(E>28&d>N*Kf9euI${6*B;$V^p-oyo1Lk!9ML24aR z!9b&5D!6YN0(d)#3f3)5uu~-1L4>cqCiA~4*qp>49HvTCu$BD>PepH6R2x{N0Gf4rR>^YKF4kK*$tFgoB5a$ozw7-~|-d z^jnA&07M3L7~GzyH@<$d10LciZ3%_?2<*Ner#DEv`tz9=$~35t;M3b`^d+?E0Rw48 z_zoT~Om)VCwSW0{iQW2_xnK5ZDZAXj0+tIv0~Gj3V*$(SUj}(RU9kMLK-R=ccoVZ( zkHdq<$BxcLN*GAa=tN3*h{fWlQe7q-6v`3Nm#GozDciJM7@iQ{TW^4z`dk^!| zZIS);aahwA`@(F>m0dMBBjzb-@aSa#2dC69(h~U8Uj2LTRN5lk!ByI`CaM36>PB^H zv*~W0rPew{Bbi=`mQ!;?8x(FI@my%Fnf-!T)6hYsg z&Q@-$J{}H{BL4_5Az}Z@UIvkBGmsj z1_%>P=4o?y16Z)`HpY8Rsc|U$^4f;Mc#pYLmjM_H!PnoS-%*V!@eV21LA(%-lSdf=wWZK?K5Mt2`3*qQpiAxb3yd1$W4Z zdQoDdpAWil7#>(t6Tq92Wqm@in(|!$q8B1m(PQxJW5H4tLIe(m9<`=6x1d_|6nkR` z10saLmemC;goPk<(OE$ULj=(bkf|vQ)Mf>IPp0Is0ABlg8jFWeJe$H;La<88{pf_^ zN@hG>2{w|Bz@*HWx{0}|lEWimdqw}fC=(tJTzfU$8L9*_I{jF0ghuM=-XswCssug^ zG?14;Xb(Y^j}X^G+9sQ#k41n^6!pHhNe1SysG!R@nBq~LD6^=* zY6_GB0fGwb5Gw`!iG;fO?ajwLP z-i8C2Sm+Rf1$H2;ft?vVYDWE5ppy^8>P|ou=9j*+#`K*6;rMR+QiQ1B&jYh6k?2r^ zKHP~5J#awd_dBW~Ny-ghd?E7WJCx$F?F{JtQsRa zSnsmfDnTiSg*fGCv#8y8C<>1qCIY44FcF-2r~wX= zx(v~@644aSHt>ZQ_3eMFTRQ^bPafXZg$t)>$nA!+L^M%`zy}Mf`9N4r2yxmy5LSot zaKaZj_>^V!p}>Q+CEC&uLlPZYxsg30Zu@#4aB7oPWzVy0i7R!`JvUuFXj(q zviex8{NS0+tbB;2O!dG_on=4Vtk76Y(e!774y;7x%Qwoia!AW&Q+P|#EW z`kn!iAQ<#ZNWp-JVQ}S0d`DMq63`RQ-nP0+qj=!&=wH$QIr>*W@@BXY%#!FcWzq!5 z@kb_vzHzECUTAoG=(1YB|=Jp@0ok z_$^JG9JO}A?rZ+L1iK}N1hDtv!DgW>4vE_IFN9DeeEbP96!4HOCldm-s7~LbePvje znx9s0+lc!E8jQSlX+}2y;iPDb_@pS_rFj%*3^xEFSeP2Dkt6NvH6#yhsGc9b5Jf#R8cQki>_idjf=vQdy zgh|AJ12iSa030BH(Dt?ia9qGHpS@q2T6e^@1q|C~y^6BdF3pi(6xZQu0%Wi=J2+f4 zuc*!50)7Yz3eJ`y1a41L0=IN-TpE5#%>sz%DI=udL3h0}uhR(C34rPW*vU@VRI%xW z@DBoPCgf5@P-DjE_Y2h0vf(iz14KK)q-sop{!@ZkwhTrL_SXNJ71j($GWvb>K$l!9 zM=pgpAIQ}hil(i~%k@(d3>uQqbGgek0BXoF=t@a6YJ>x@oG$rhy>FgBBxePns^P4l zTBv;I|2^`DAizcNugD)5l>djwpIBM{6Zr$%eDr@G`GdKxZThA_fYwn92CF281)Uva zT|y~^%0NdY9hm;ps3e0JFyMT(OP7%P?iupyk^aRMLKFs07MoSk#RKmDfD8P^j1~!8 zFu|xJp%5h(!0Ni`I?PlUW4w3&epX2=JLA zCyt1zzgrmyuU<}LwKYNzPp3mdE6NbE(w7kkJ;>+iK_~#X4@?T#J;75 z0*CO|XT>p^K~bG(h`=G10w?l>bu?IS_E^6b3HLGKqJr~^${jp>I5cE@=z%n4M=faT zZ|W!1(76~~r}zMoEm5Rw5*B^|C+ILX(geK}PWDUuyiG`SxH$rCJ>Vi>o1r9B)+CU$ z^^l;2i(3%{0y(Iy$6l~~kdjouh-6QuPlDjfbabXMQwIM2* z5`FAHz-5<>m_vxpr-0+>h&ZQu!9cLxy*_sPs()lo<>~*&xF7N|yRf+5=+1qOoa~U; zKP>MEGZsK(0*Ob8A_$*ejA0J%uae=!T4S8F2 z(WNRZ_%aK8?H?&!OWt5k5;&b0t$K9{o6rpqES?7kis-6HCg$m1SPQE!rv+1Nce0!nr5X zWdIVOqST+<2)um+x}$=MGJgYsJ1&S8p#z$71wB&&tv z-x_x{bZ5~aJ~)p=zv9G-%s)ZEkX`H9J+{`)ksKOn~>>zhXvPEAPx053F{?E&@K8n0aa5 z$`h?Q7o6)}#_O&>xG%cmbXckLnXW{QzvC*GHdbGRUe~>K4?fTN)O>N@>=kd`_uqIh zVRp%XCF?ZVdPUvKO}fmZpT-L5r*pigLDsB^2SHZ zW;kl?`P<{|l@P-1342CN!mPyNThV8px)oP@zee|N;o;ez0~>5gx82*gr)1Z``mPRH zI?CK>%R*Pfb09%?Wl%?V!baf?LCx%CRTb;_c8?TDa0$Z@KL?K5HI<=m*!>n;^2*zvWJh7N_NHTU1*AmY}t@ z8SmftqEtB6B-*CHO>Q_|=1>4O`zCAafQ=;rrj zZzem;6q0RO57dZoUmUopNvEW+za$ebiQ7g%v|TwseD_-jSyt27W{=mwZFk6xLIYPG zJJ4T}3Ii#xQ5J!TO#haLTN@fhKEHE+H{!E^s3ViDcx7;zJYtm`N`+InMjt}i2E7EL zo-91|lo6IRir2$2VxP1|Ny2exJh#{X3 z_r6uo#p4}tL7QB79Bu@P&MbyJUqPfXEs{!ee+{YaO(u? z9a0a0nxjg&{UJ^8Z}XR+%h4H9G@chqpMb0AyBY_HXPwyoR_KK{W*b@MS<`sc>#fl& zL*UCF3)Y?XozNvdQ6PHV%b&wZhqTG?1(5s8CbPe_h&Er$86bWRXw?@x+I?-(w7A8dwvC|u+5v4p>E^_s|f zXzdTyG#+<8{Y@8U|2In2V$s9Mx=;=IX z@GEntb33H;LZT{1l?I`}-Q@~dKG^yVT{TkNJYnenCHr?XHc|spYsoxF>a|V3qe+*U z5pCZIHXEdFd~<*_UAe3($m}mO4O;-&zw^JODZwjm7)Vn4$b+sZ&BO~bg|7w6^w-=; z4u)cx0p3yR!KLdKwD4>lARp($k#1Gu%5q0><_^487VeR|SV^;KpH~A@+Q$SsvuXEq zl>d5Jm2exfI%dSk&^qQpq6rLz6ix?ucH|wSBN{ZP*Og;NM@Y1y*L%5hKCZBGn-I88 z1k2F6VXSgWOPC=fSwW_jTvkPO1TX-a?ZU}$>s+Xk z66b{5+bLkR(~e+iPBwJ54U?UF7cS9=~0 zfK(P_DGxvq14yTWEKHV-JfIE_9z4+{UdB?DKkX9@77CXJKze2AwiLH$Z0u!m{*FGD zh}kF_#L{X@y*X}(s}mrtc&9q;M=&H+i3U*}ha>ppmZ)2AfstnLOZ9Qq9Je{}lT2U} zO7ja+B?cksOOpbCeg~Na?;tA*%ko;FN?ah>fCN?AMQa))@5N#XB2z>Np{;4a*t(Fw z2C1>JoR&e!1WMJ=b4UfHI3Q{LAdP_kD}~k^%;qh|a(hjhn82ezEvYPuB9RHo2gl}# zk%EY&%tC5yE|ywr*2FZ!pdz^ilK)h(bZN*(#PWCm%{90!o4G9tc&HMf20zIJ$OtUB zBpMe9AtdqunBOK4KQh^E4D9pzO-wt<=OHl|p3hW<%qoZp#qm zYX~W(k{KAtBBclkp&KMwG6;PkVYo?@FV0!kf{b7>y_gn_OeH=uJo*2W3Cy&YyfikY z#RgkeI9k?qYy#HY^c{GW@Cn10QD;jZ@0$_Y{&o3_PU5n<#6|8$E=GMl*_CSX4QIC* zuiMa--1RjpFK-d$c)Rou-L$50;{(gAYVZEMWOw6Vo!;t@e9lnh@z7&_0k%3%^3i(D{vYeLgcHIUQ;FO`tft26}9{=}LV1!Tu7i z$cN58Nul{Ztde7&RHrS|B?ijSmH`W$2LL~QyF!DTK82g^mC}+YrBbM%0^-ygA0bVI z3IO)l(AXC!-#Y>2d(TbVD-3~(A=9t%m74fUeDb-+0mz*dl(9c@@dH;0(ySl^`YQz;FLFX6B!N?AH0C`k?*yk}#BYvrQBcCIz zvAxEZ?H}PBRk%ieu){utoll`fs?w0=!ju=bCMab9kLz&keBhtW0OGDky+iRTf%vSY z3dF!kG=?*q*CbjLRj=JoQEKFCV>?xaud}*)(`T~KnbbZFYMbaaGCh)8!W2;2VCCDp z@UO^h-c3;-NQK3<=ZbSGrLw|44p~5+AfIW5^W(Jmz>n*;u>oYFMArjy4I!}}*i5KB zwO=jD;iwgj3le0+j#L!s$_Ob?))j44i!N$LmQ^K9M1w#w6O%+A?%0i01fwl48$E#x#c}y- z%+EB`?)m*b(J|Eu9|G|fM%z3REl2W+d)pt zQp*l=g{*7`XK6?n_Isc}^o0b!JyNuNf2uA~ARc>rS9|weHDbr-g%MI&rTqn8JWSr| zn1o5G?Az*ou%C28mFTAA3igm+#~mr+Up*DDBQZN6+qhZhc;mGQ>C#6Mm-9HrU5-AL6;FIOu?w6fYrJY!zuwurw=O;NW ze!{~KHbpf(C+gK~gk`LPDQsvCfaww=q-k@TDWFgvC=$<+X&c?xz3pOYSUSJ4)DGjY z2Z$RX*9|1+o-T1Y{1l0IAPp9N5^?xbo%W{{60&7r5eQ8tA!hK>CB|9NhNmHBI0=d1 zrebDrE0oOwvLpIA&npkB-3kYGKs0#$`o7TTn2mUG{ zS_dehQYS@>>ylqjp#?D6wfh?FpSVMMKa?5(fozfqo4W2?vncGmr3cCgesp{iO|SZu z!Sh@teyKSy`S}B2RDm`G788=7CW%c5+vZVTmb-YiVdslta{YhINSN5h6oQ zA1u+{=?UXja^0d~VM0a%mNWs}cfAokFvZy7gEB z9`;qQG+*X=aoyI+s+hB9`>GOsF>*ai^_R_ZfX~MdI7qN#uoj#dYwz{!MF=~-8Y@FC zFD7C%g>YGwO1w%*)vAp}zFo)4=U5JEKGTHKI|^u5)zmoY3K(74vc|G1LohINl|4el zAt{v-t-|AIrh;q1wOOrBY=LB=!3a1MqHck^W%|fPn&|R+-i^6X`-Zf)pH!RK>cSSR zldMRM#-h?Vb?XnKk>GN2?#6&Wan6cXt4_R zc;OC{$n29)Z^>>0at${f2UiL$r@K|unbsI)*pnveynzwZ@LCgin z2Y}sg4%H%1{iYzO-^4e;-nc{dKz~T8Fr=395KR+&&5+vTkhyFRX3a7MyD&q2uy!oO zAD1e1IlWYum|C|!5NYhYli2tG$TUVE(WMBFYr52krI^Z2OSiIbWP-tSEDB4RN5(}e z&}ggs=Xy_GxonoR{{0oo@7nIf>;$8Qw!1_&YxB;ouuvkle%XFX*kV|J?A3bBD0Lbx ziWNw3jrqK~7;*DDbz0Nsg{4*7d9?M%8W)MzwYq{#zwIK*uiblFZ_d^!Q?!aIJLVq9 zyShq&DE#5l)lAct;6&<**gabZ!bjq_15kt+K6E$o&zkWy80yER$y?38uGF&IHaxHh z3X@%Lm@g7bRK}cJOhAfmySh7^RNLyuK`@QUDj^n)8RU9=779`BVs! zC=d_W{aqzREGc^J3Xi2<8L>I9_cG>xM8yL(t&_@HjFD`wum-ej7o$$=8hP3Ia`e)5 zS}AVluY}dwb{uTfZVn*q(T-KxVp56Ex}!kc8(*`Nc8%PNq8@wmuEGWyhVDM6d3gcisGrtcqodXzo^*voQaJ zBGA2s4i!e={!LEP|G0Gjt5}ZPqGG9)v7iMr`%BgbpK-3If&?$<0Oz#O;q^6thG_0Z zr^@jr^B+IGVO0!6buv5bFuZlLaLcqOt&@-g3fdv=N9|;!2WuCqG7<62#1>=j-`B47$Wx{X%876 zeZF@5oA*TD=hqeQum17%`B+QR_;;0ws?nC>q|q$Ln;lOFel8um=eTjCbfn?I*wTr6 z%Rh__Mi+5f9rYi_&^yKh+hmMZImwf%9_Tewb@x+t@nS1a5jtPGJ)H*@wL3Dl0rtecmpT6IHb^TMOLGvD+3IDRN- z-^AMD@q(Xof-dxw4O?#PSoM60< zVk%=NWl|(dWhq;h7MT`hn^CeBB}HRNB%;u&veSl=dZe;EMN~#<)ApYGOV985{r~^> zeXnaSm!{kOJ?Gr#e9k%d_de%d_M;<;vtVM^frMvDJ2sR>o+WIv`*3;h%N2DgUzT~F z^4UIR-V#+h)c4!L?`5>f^^qlWn|7Q&Y5Y=rwTM;4&4`WfP9JD$-5K&tXd?P)-Q=Zj z3qy-Xh_KsDE^zlE@yypK-eO7aIu-EkCt&PFE>O>Drb-uJS9}Kj3Lufai zTDsG@@LO`y-wUFDJ^Pa2{P&)wg?l{y=6+OV(49mo*J>ZK{@PR4Z`lvS(*3qKB&un>e@@3=Oj+~v1P$?~_l-|mro&}!Qoz1;Uey4J1AW%grL z8gIX)9yxT*;XTQ-^@HH&$FNze6*j&JlR4a&@xhH-xUc+cW{b;&!7RJOac*jl;+RN@`f_;5)bstTZ+!Ys?gaHKe%s{Y+h2Y;s!Y5a8O_QooNqNQEEK$P zL*~@w?>mexbEFzioK-$|-Q#P?gL&6)ZGZfl)cWbr^+RmNN2t%$3>4xLjr1)26PfA;QI&*Q7?3qNo64E=aIJN4L8g;aM%_2Lifu7AI? z?=xOz<;4%?zvpLGEo%Ffvs_6rq_L}}xgcWM!MTxnaph{u&b;iwH7tK~yDeaFMPt{^ zpj@Te6n7o3Pw?=r;CeRu`F>NU{tE+h{VV*Ua0XHL`ebIE-={4o9k-<2`WdkO+V)B> zmG2^fb=qGP^(?HdN6^I29+BrQ z+WRb@Xymp=r)3 z66X$e?Q6stEG+bX%UhY2SW$W4_7BDZ)0M@Cy@%e^FL>=eXYlXuzKP-cPl;~$o^5J& zxab>sn*lWe-DHM zZqNNal=iXkr?lS7v|lIpE!SIq;Jfngr7BC!mN9u^^{qsnhLn~{B0*Pbuv%GTKV*UMX%HzjY(zI1`MG0v#j;H&a4m7naN zKXV@3{ir7L)Bk|!oucuhw{D$oqW-qEsrh=bnDABN*ADL34IQf9uk5-VS00?5zoS$B z(Zd68?kB}@F8%tQ)}OkDmAEX8Xu169tqW1>JK|F0ZnsW#?LU_JGvjavd0*J?6w>Qk z6K{SkmXSU6?b#O-k^3*5Nq27E;x4*#^Y^cJ&wl^(TRyfw_Cdgp@dIfcoM-o5D4qG< zA+|&`bg$T+4;P%n46iK|**D|VLnY($J=jBnV?&D9yXz`>6;oaj-Y2^K~LAk?n8J}++ z%c$UFSnkibad)^uqO~B`fUF*QOPcg}V%dY2vt>qgNRNkv?s=a4GJe)p|I4KNFe&#u z^JUF=vR)pmt>gLieT?L7j`Loqr=8iaL0j==mHzv`&A;kI)o>(U+7mi%JEyqIg^#Ap z6P(Li?(>oOZC-r(>FfP=@xG@X%8qBW=G>C@TY2p$HR^-PkId^2I1(Rw8Wv{XlJ-sE ztP4LMyI`zM9#32LFsidvSmabgGe6yu|5Pr_PY-8vZj3sWDxdo@dw;N~hiKAGfsHqg zptPV{m~DL=_8SO6M8X@&xuKM;Vto5o`DStIX5l4y84Vp8@4`>+b2uYR_qs8p7o&b{ zUquUF#)o}Sj$WJaUB-r8w0)fo+j>~VO_n@BtrFev&_IqnKQ9(XFSx5MkLOb2E%C9I z_~-4`F!ISE{=o<4Z!Opf0Zoj3uF@6*gH#Hdli|m1^JNFBvA0S_T~vrse;g?tZ3mKf zUvA=SAl!URaIu0|WBBln#o=X&hgtbqto#&l6$to)>Wa=1T;K=;d9QJbAFRx7AW##)-+DDu=qOqnAB=KHYU zK0j`eA8(Og?eoS0(HhHV_l@jSr8g|}rQR&U^CKpRLVaeLab! zwND-s;w-n7J>v2^9r$do{gJ}-opSWNAHH1|R~kLg&tmzcSollT`{ z4M_FXC)$|zxTBF*Wym6zuU4r()MOLA_O{~K$t*tS!^dKilj?6DuBVVM$Mr5vThuT~<$$Du{Mh$a@w2NpuVf)TWwD+5Mz`3p@7eOR zul#s`=Vzx_O#D5(>h2;)`tR_w;cFNQ>$H8H6&hNsMlXg(Roq(hQM9?yWSXf6L;7n? z_SPlyPHM-gXYE~uG|EUj&Ucn@bJOFfS-9po(~_!zmSx^tR+Vmo$EVAiOI&5ir4_^H zB$7sc*m|32lv@G`pC5?vvkwCaN{7&T=_|+kMuM{Ak@aOIj>6a*@T;$haC1XVlnJgm zczWhbum%eFW*6b+i!X(%h^&k|-qI}D+$eV&w!Ru$-)sMI9Q~LKXkcH^U&WNOI}PbQ zn(XuAb1&=$iCrDDM%KDZo!+AgV-Gx;28`p1p@ay6Qf$HTIKC-^wQX&jaQE=H$;A)G zn0_s#vVpR6#fqCDLi8SW5N>mU>q*~J>y=@2YQ9;_V9Bg}v)I8P(qnn@mwU6{e0y$R zce{6Q(>;0JIQ3VyLq6? z``=&LvI7!D(WDLdxPs%7eA9E5=Nzx^`qocfx8ZqEf;OvqRWG@HqJTjU)&PXz zmq>%mL`=}iSx8$&bV zmjkojPvDoX-HF~3NoJB{Pc8bWD7zb&{czYsmP{VH=Rzfy%hGE_+0{HS-YR9l<6AzS zR_tm={!<34D>#omA;`I@z+wrl@(ExYBjn@<#=+3MIE1mqs&o%+_SO^pKoyHFSe*8b zIt@C#MaKkhGn*2uO7BGw*Aa_`j>g#A@&gIj#}V0?o2Zx#Y=W`66k~l?;A}jRNnZOy zEPzI+FufP(Ld5PkEkQ`CTCvxMJ?Y3Fk!E$xjsxs|ht%<9Wt}>G572djKO$z)C56$T zif91*m@k@SAVl_-A(!^KVlyj<+Kj_@^5nW$Qk2ph^nL)Stp7mP1oG!*<1sDg5wWhSE5(%6~J^m?=oV`~jZy~Bb-13 zm&k%1w4Rb6P%!~8aAbxA0%j2w3*H1P;<;`TXB1fZh}J#97_H}el8d+&YEsw-!;c^} zV5};TNO*@4&Nk5h)_evoBsurSG%OX&i9Tx|?wm$3@_ zun=3Ug*Yx1DZz6Yq%ZUF$fbc27GlP@8np16v^gOTJSHNxd^+5a0=^!QvsgyTNDZ3< zVcP$M8>=z9wH0G0BVu=40AjZRu|{Mooj6}Qat;6}X5%Gv$@k zFLAb;LiUqE2A&*?*b+e)^E8%Eu|@W6M6@tUMj#W0O^UpuaI?Nd(t5C%iZS>FRBOIy zb2g?ie!}z?O$5t1Xz-R&V$xqA8)5pEnTP|~;w3fumU+k)vrU{!IGmL)llXkx*~*3V zVvGhSUMotYN(RV(AL;$#HVo!-CEfFH`5Jv73LK$aJuBT_p4C!w3*ynkCh>XA% zD|oQF;ZMO?mH}N(?DN8WcP^5{25ixG;hW`<;UvvN>pN|XggDG;N+v!2n2?(IW%8W_ z0X$h2t120zfr{1vq33uuJOl&JDzZgYCYltf7Z!RL5Nl+}A!;a`vP3e7WEDB^-9;>_ z@G-v92*AHsu-OUAf2X@hkX>}a4T(0dmL#xGk3D*6f8ir>_SqNi9UoiFKEw_lJQhF} zwYk$#hohUe=!A+AbW~VsHaN1K>%n=VNoS)q9oS8!vSn)Y)H&3$pAFP^$BDfQt}Moh zfzNT|rvq|mi1|`ugfp)z#|%ck@ImfLEAjA|9FmT4!Z5G}pS2PLiG4j76kWp23_rFsXUqkw+ zFS{D0EEZh^@FbtMiXl&u4Nx+w&hF+e7!_uB+kmkht{I81qL9mt=pL z*R|W~Q*t*1CiT5~<-&9iOe=?S?#r$!HKgZYDNEpgp-7GlUHN>SohBWA0c_lM|1||! zW~dCkx5ylW=RYWtv_&*&%hz+tF$L2oGE(S6Osb`j>p)Qx%-@J1_5F-|Z}?MC)=*h` zZ^QA(%_ZCnH@wGWl{+zM1C?9{9zEe1_{sl-AFM9D*n*+aXW-4&VWC2KFQ5}4zCe%X zawDSx>3J!#ph8`1IboaDn#)l*F_ZK#{ol- zV>1|7RRl({8_W~fau1Rql!_ASKdqYyKB@!P#H@KrC99*6i@-&e_5pZ+FC&X`cM5a+ zNA*-J)G!2DRfZz$7Jhnceqiengm-x&EVV25+fnEtFxzZ z99Iz&slhW4=`K8%5NjE9*JUOZfRVZq;4cZypVsZo>zoke-NYCwAreer%Kc|h+?B_J zBybqquXoR1qKom9o1^ z74TeFVQv?1GZt@n*g(d%6Vhcj2{JF_f&z}KFum}90hNpJ+(;ODY%>bwD&qKteWT|! z=;tB1JH9alM+m`u9hQZ;;=!FY1m0!L3YZ2=AwTx~9- zHQO9NOzyQJ$Y&LAt5#~Z6;m*S?tSSFF`)~xorK=q6hV*6#*mW51OLG z6h&<~wyIvyt-|bN3@U7p@?EOtBr@Gv+0}lb^QQM`J`9)NzkYhD2KTgG4rF2ke)gv? zr{5EA1l=!|IJ9^3uG-LCfh`Q4VyjUiKm1@|FY(PjtAiZ*A+=O|bRL26|&0iIcy&&iXO zaC@nt*)bxKlnMD#FXT(gv6gplv*W}3amdTzke7p8g~vC&=%4$mK@z=%!@sbdOVD7$ zTR4J&6bs(M!K%k>e zKG<7KRh%k`JfKG(r7qs-d28%gUdyij;l%yFe|=oPAO1VoZU0N5Z7n}7Ie4{K+Bv@S ziB)>xD|n*{>Yt`OFGZI9Qa^JgwIX^>_>K5H4UP0?bru_Ui%yNqjeWnaUW)9pzd)zB z0oR)U{K?;9qx%(Tr9+`xO&Aa5X{D{NE_mid9Uw*LS8Pt`y%_MS^_S_;epzOG`Um-y zMoK)>EV%{gi7vNhkTv`<8*@AuM4?h%e%I6d}w_1y)h)Nc99pvSy! zkU6`(N$>Cc*Wr!qqhbCnDH4P)rzUVc2HfwbZ%JFGa8`tu#9nxVZ4%_Y| zVi5*>R$Mt;E=SU-C=8j~GJ8DzOQ%wREUh+S-A*A|MVr0eME^?JU?W-)eEf=1zf`#n zs&VZ`4~mCR+AkHk>MeSNaA8>SP@>+4uQ?n+arQYQnl*(~kdO$Tq}GvH6ya>cAexRD{ru^-5jpXg6nHfq7V@=9v+*CWdP z14+h}P4SDHy;?Zw&74k44(x#Xmo-@>jlJm=|IlJS3bOD(PcreQ2Kn$m4eC#s>bJzZ zwZt!KXgn67P zZ?bt8&Vr=uMOH95Ywm4M7SBx<_f8N$6McsON3cFs$8p$wFLtWYcFNJn>%4Mf#B&o8 zwLzEEyZxE&o0;w!Ov<}8aFS7nxz>tF2@CI$A-PGCcq=L%GxG-rKK=EOv2y72wFewS zH6|t0MGHNheo(xz?!M^6rd+|MEBzkgOm}T2Q)hV>c}HYRJawoZZ(9(02j8#8H3td@ zdbWrNQwQoJu9}E3v*l=cnWqDjN|Prx9i7;8Sg@&j#b3g(N*!E|VPD@?HQH7=8u7U2 z$r$mI(`5Qqv~wuj2}9ihpU!0_aCuAfr#aFSh7o&bD@+)I_Vg#26Z(C~4UI>U;;!>y zCO_a%EIE{FXK5j{`Ya}~?*&hlW~@3fWx;##o56O+7=(?Jx5M<^@{rhj{UZ!0X9shzP>Fs%zKuc>??f_ggMz{$3%9x1gZWKA#VKk|trF={6Ck7dc^RK`e-X8U7Rm?|2Z8;-n{EB9AOwR=rt>Q?i zWb7FnFn=h*%@rRNJN8UtOCDR6q=Rtv9E`M)Al1T6K!$TC7Z3tW>Z`Baxa7TOdF*lOa8pASr+p|~^N~6oO6(CLmCH{f!&S4PeCklX zbh5DwDHM@AgvceCsiw=)a)hzZe=wv|Ybf6UW{63wMYHYz0=n$H4<;@G{nw)PiZZJm zW(+7NIdKDpR3}Hz+nZI6wRPb zHP}l{bSfdlnU7@4ml9stU^O=;aT$&jEi;3TTHqLmSYX;KZpW{^4gN+4v&*%m2gP+T zVqI_~mp_Zfols4gP|X#n#&Kk3AP?5JSC;fp0!g7rh*l&!BU|MmR_27NE%-5kDhOd9 z5Ba9Kk7Y1_DNicc9XB{IwN+ul0uaBRlkR{Glt=2NJy<_Gy6q4royB>|m{1iFsQwE@ zJSZd&wIgm#@otFL5{y=MIn^GHnk)8WpBWLHGo)1;(W>QXwW7>Gab}UgUIo;aR;od( z2AA)|3>0AoYRs&G3FaKL1biNcErO67)(i9F3TO$$6>i59{s@*rGJ_ASxiD>~Fw$^q zOv7bKW|#za{w*m&n%l8Ul@|D8&1DM74Zanood@OeveSd*x?$zPVvfM+`V*W^F;1t= zw8~f-w8R4qk;&b%B+ySCri&fSYBx%4Qy!?-?Z-ZU*fOFyQAov@x)dWNfOQI=T&*y% z2|@?)D97}Str9lc-CKzV{R>*gP#0*2wTZyTydV{eo%Oi6q47nI*5YTYV2}l#| zSNNEZyk~r=_7sx^3b|bh; z6jb?EG;rK=C&0O(m5@X;WAv_NG0$W4R_;MN1i!YLW1QXLxMRjxNkld#jUc>8jdl!t zp%YVI3^~wgtvU)r5PBH2|l3sq?@$fkkHIj##c zWoVYI7DqS&tBoZ*j_G#)lFw2B)f*Xsw}M{aNOK0)>JG4yz{!y%HXjm~c^?F>Y;v`< zK<3zjOfA~<-eN#mj5zIgw{$~%<{_BD^3(}%r>XJ+nUrOJi!u{l9bPC$!uA%YU2g@8 zlkOowa?!Isry#)G`k1?3znbzmzh(>HLx$wyHaKwbM6BYV+8KzNoFHndF`@-)Bi$)c z0kLUBg6`}oO68P*`UB!Fx{W;O^kRTN11IUo0ai->gLkg7Bv%X=&f#e1W+3-!!US7< zD1Q|OQc!!Lhe1ap!NRws9A{1=P_+HwMU3rdA(Isbu?PQ{^orG~~O>L>St zf4GuYr;7P$sX_3aR-RvTDw3-WJNIl;jGhAn*R@!M1CR~O-vgj4Fhx2^JF7<9Gmp8` zD`Kx0bMjAAd=4CBa$*I=VPe@e1iFPNY!i2t&`d~7y&F0nllTG*h!)~;M(1{^af{Vl zm~>*%f1yZcilB4b)pvN#$e%Em(PDKvIq@cFgTS^3;j^<2hNMb^`OXbitqPZ&Z(E(7 zUR;#E*l%XqBM@1v{x1+Yqed%|qlq5(Dn*+GW0%fs&cQH56{T)me4*2eEM9NpotHJJ2Jm*APG zqq%OS^aS6L!}f}RsP)_oL-RK?NBo&14ZzTw8RTI1^2-_ni%gO z?!=}nl##6$Lu9(il6WUix;DitG{g^V4-pe=`WKl!6jF~Q$@Y8ZAB>CGdnuJuY0EM6 z+6J{==l^J*@=$%|#3uN<_v}3sNP%DCJv&Jqtj~nh@$a11l1YhwmM9)lLU_8vU!q9x zhaew(wpE?BRfa}X@|qnZKKsuS)lcVx*2>i@Q&5hjVrPT$G28nVg)%h!{L2su)Dhe zMCLxGr$=!R#2n7`rk7-BTA?nNTHr0#*hp{Ui7S`y$8jR=Dv2xgRpCf}f8c^LnEY_? z(*#>Zqia!3mVc2p(>Xz(VvNb?~)B1+-|Pd@6+@0z_qICV%HquQ;vt$wTkEr z_&l6n^Z4G5(-F=ochT_ufE@-K>0V)HakSz;7-!g2ItP+N3DVKerD)3qrCN}2?ogd! z5HdLB83scPX|H{m=PfzzAdD;&FMEHtkh*-?74|W{Sq>aAUuWw<39K`%c6x-x9_|3X zfk!mOUl0@pZENk+_}eH?R02nTv<$*|*rGDrwN zKK+X`KjwKBJbwjx{y?6{L}kPdPmziKV++XhFW<$H?*0jYTR85ACn^;OSyJ!U*ezwo zd}Ug_j4eQk0NV43X!e#DRQ?G)Y9vS=;KEi|G2y8Hxp_1TCSIq`k zhh=Hi#AM@G9EtdOB+6<$Hmyg_Dg2Gn(D7tX+7^>UMv5ZDu2F4D$f~?h<91et$82* zr3@c@EBL@Kp=&RCFMRyIDA{lMM8LKEQx}}|$QwU@U!vBhS=49AePeiMe%+Uhu07mM zb$O2pBg^vYUA#NGKlSvS`ebhG%N;r#IoG-A+T<5^HKv>WRj$;QMoR17zn-70y7uy* z_!vjqQ(EG4rug1#FFZIB``;dZT_GDfHmmuI`RxwL0WGyxio3FB6`pcAw79LX^ktIW znrljj_kNqT|BmV!G_EgbQI;k$TkJOl|c`zdi`df*KJ6T~vu%+ai#`Zf=Bk zbbk^0;T@U6fNbuva;&6BeqX@lnX$g&H8 z>l+n1(^3Kll)I8^x0LO6w29AoQ4N>V!#h8k7FN?GIsm7m#GsVx$2Vy85&-33_j<4@ zLU9hId<2T#lp7oI_`+zo5K<#+@2rHxuF)?lQ@(H$VxZ@unOa>#RM4f93uVwSW#~Oc zBzZ{TyQYR)Zw4NPxecC)qB1dgC=)|hVPa)sajpE6t;Pv<=f-lTl8pn!CjB%#uGm(y z%sVwOP${Yc0M|ZDxL^XSe*ySV`&wy)g9G4Rms2_?NEbn_rJ_vfUaQe#japQb$3Qk zOh>uCQ<2wtUNp@Cnp0u2kF2W^$ozPEP!WvlVJ#D`N0(fz1GQOL%@f?^Z4M=0wu{6- zrru%$MnS;T#%j26wR&L(wxY^NpRd6ecLdqC@O8#YD-JrThzh=bK2=&tr5&w(UAjA| zAaQ@KhY@#J7_ zpyvjxIu+{93O=2Jl1m?s{yYxaPP~uA1!cNjZywFmv7I=MLsjrXPzd&Lx{l36lIBUU zJ_!WC*&Q@;)l6~Pg^aUAW6GPAQf8>IzrSk*i!SPI~T zBF#=&bWMynoKTEu9h(eNK!seWIyZQCsw&gvj=@`0L0w0wFFX!yFg6RLl4__Iw0Pp_ zsoHCSwH=9iUD!Y8`#kyYT*(NBVJIn-W$rQfQ>$&^{RBW+i#hmIDO8r3S|Jm;AKl?Zjj zXtm&gK-$RUdIdkp#=^nceQO(_RD1-NmNd2mxM~rU#P>rRgu)r5fRRDsSRvt`nq#kxQ8 ztz3;+@Nv~xt8H~eO5pdoxHPwqnMrt)Iz4D50_U31EznQp`nzV(0^s+o4AR0;D4Cu? z3slZcr9sVpD7w=U9A4QGzSU|KD1G zXgvPp1d+$>#+h&;CUHLUeOr;33JrLPCcMN*A~Z#SPbt&g4lGZ6Oh#{x#xd7~M6Bw1 zHB$XcFif(s5jw~~UlB5T`oE(ID49l!^oLGEKMpkfcxsJ4sPq9X(k`UQs1C`rhrC85W=qQYETJ5KT}6d;*l)&!&cILWAjzuySC>FWR# z|Aal$t#1BlRgz{zrTNKXy+8gBj4;wdYySr${M>l0(Ch=+C|pv55UrXgfODJWb7yxo zm=uIUTO1ST(m?j3>*~my-V)QG+XoDV8_m%nBBXqn-Ib&YvQf8zlhADddT)T=20tkd zS4*cYK_hplf$7XWV+4coaYJLLH9PN zmy)N=d6l^+E-^Dzkgg8iPZzp(iNjziYoKy?ssKv)S4XV+KJVyfDS6`8);n6VM z?>;A3>s)K zn};w>OCn7dp`SGd=->`#@lcNsP^?IGCMtaZyLL90gheQj-VS{m@|yeD7$!HUrW(j8w81Z9CL@sT&O{wG+tHHNPDz`|OE{;r6n z!*C>=LR$$zO+&6RU?mhvq34%jod+U3m+20GVoN~FuRRY|Ls1X2SCv!k@Pz>g1ZRjq z3C^luBa>fvLBqKLEj+XUo2vlMuURmHNbOs(06_JL2?{G!e?UM1GZaKcO$QVnlSb=_ zsIf<#EVDLY_8SDMRwgJ?E3pNrlz1HE_a1r6B3hPN)L&=M z0!L8+j-pu{ng@aKyB_rYi6?UZq#i-AP6Hc?{ufqAhTeCX4kvt~_~D-@@n zg%rtO7J7Ratw$VPgOOvW0ex5U5<6@4XM%~u&OOiS2 zN52nPc@~F`>N8Y2dJ(_(|C5SK6mM>3TKF9Nez%5_dyqr+}#ogOJrkO z`KW=!!)-~by_zs_8yq1iWZ(xf+2#b@F-H+D3S`QxR#&wYcSoWL6iDDj; zV`_!^d9E~tV$kUS?87Wj4!yeui49tyX<=P-AgzObaSqVV1cwYz7E(Bi<)3Y46Vn|2 zzZ!l#j$8==5K{t%LTexg&yQZyaYZ0lRlpJOLMi=Kfl8hzrL&4mXf1fvk(R>#|7rMv zZBiU|yEWda1dYWG*S>oEZ>~&ut;(WlHqV|;6DmVH+_M~>4!*e{boWdEG@T|sY=)H{ z$QN6D5ngxlm#u?54eJbqb!gduOwjHmF3kotG5NSQF7X&oVCtgkA;O9I5D4j}+4$Y@ z);-n3qg|(O{WA@j}o(VsGh%J+&43bkheqqJmo~b@+x|_X?YI{feblCC> z9j!N}|3G@r%2sp#{t1m-@%?i){a90bkm!<5^n7KqRmk5y@}0}&(@&zrCl_waY4(4r zys<0GpY!{(wN#so_u@zD40{cReWJBWg|C#Vm9BGlEU|0&klEjomw%@TYX7P+c#<_a z^^JTfD6008Rffxx14|oI%)--e8{BRi?f$-4UwXBFQ)viATzrY|0+;&EIUhZ}7No7+ zxAZcB(R69{TGhs}Yw;%P@e&y<{1KnB8wU+yh^2iIIO>MeX-f_gJB)zYJaq>iXP1-^ z<3@#fjttfM5YgA3SKiOiI--^}dlk&^(I}-&Fh}F)!xiVSkz&|LIjX-b)t1HBnsvNN zEM4ufiPQ7_T~V!*3pF13GPb5%c#~u;_3^yZCBaxF+*&0^wY6d-z;-auWRB{pKhB> zM_6Yp@xs_FEo)xBHP6SM7kl@Sp{AAYVq?`tzU%#tWT!hw&dw`A1fKNtfyn1wnQewz z89w@&jkm=drH&wCqj~v}Xz0;)!@w$)h3zsOc|pQdd_@;!@Se*dVsAPzNh)&@%-Yc% zLv$R=IsJ{XwDq_{P|aiI#I?+u#S1GsO@HZ(S>qKn;|E8J;{5Gj?GpB9dvyP}XaDE~ z(J`d_v!)gEx{Wx4J|E^J>t?W|wI!@y)Lsl#u-AtHwV(_d39~xE=l^C{l|$_?LlJI%`{Oi_G;-LPovrk@|?(i!f=V z^qO&>^q?L|b5qdcy2z zePB%uEpaFF=GkeEW?SReXvPnW`X?bm3I2u{M+>uwwjt#yh@)m4gMx9iN+?+2&V|`8ql}qtf6sW-m0=N*#jCZi=KWBO+fnqsTvu{B@?T2ff%CKF|= zQw?zEOp<^06^oTNjH2yUVhksbCqM4B8D|rZx;%1jwzEY+xpnzwTmNkN_R7bZ zfv4A!vgQp_f&$BP5v`XEVa|EV&IOwYxOi6BBTtRzR2|-fh+z}35+=z{$TRhQ0&T3=N2FTL13WYVxD;5ICItv^`>Q=7mRwf`=2VO8z z1X!9UKq@9!ub?ypnSdAh4Z?_2O-47@KTxc#lYrp`#?^fF#vRE{^6l&ZP}!xAL;(m- z2FX!9<}s>y{!ew=JAv)2fMA#w0pZ5t;jfHPY5zczS*4tPD~AdGwAnA_Hw+15#vqo(ImVb*8V^np*`(s{cx+dJ_` z@-b7a#~~!nmZSD+GOD>40gBV0fk0ac@l|}tKM;Xim=S`^)J2Dgbu!dFHjGKU|A=&Z z`lxa-sLXZ0sS^tx?bvss7SEVGg3N)A1ZJma&3kc(=$%gVjzK>$+yJjf#-yOq-nnKowd3BS{zu3hn84-jUk`Q~NCDfp*(3OeaQbBHY4bP>j#WcUHIBm>wuZ zow7vhC5Ek61M_Hmr*wO#0U~x2wq7T#@jBM{QgB8`h%WydIYLz9Gckz8JeP+W=1J`s z2XR#E|IqptS@{X&BPfJ+5O4}fIEpdRsYpX1B9 zapsZ`H3ExKJRnAyN3B++x_Dpj1~7=SSibO~zE)$81+VG|DB>YSv|vt$+xRJMd=d)VJ--q;+8|{xn%z0J@cae$do1u}h=9Wwt<{eHhH8wb5NEV)5nB1m1F4iby4@e7eu;pcRi@lQ;oPIba;7Ms&}9^R89!o64ES!K@I3dF)QoT`5vr$eJe*WXcxhRNSW5+l@9d7IpXY_wP zyH$pI46`qN)QL|AQTQ0zJ@2F~)zx&a)N<~c%3tkN}?=a*LNLLLz z{2BUI41HuUvnlBD^;$<*jx2_KsRgevj%cSzwTR~N!Oz?@dU+yGXU@_`T@JiLk2xSs zo#nre_(P-PN;PWHPStp_17pr=wWBgri_04)zh$em%cN$M3Q<$<`1Q`c7z5Q!TFMYaz$DR@2JVwpa+vc^Ww~{P}OC4ba-?y&Ons$r-13KCwx|0Dw(m&k2bc9ImH#Jtb zdWT_RjRw_acfl-xq`VS&YTnS@YLoQ*S_~3JkYn)BV60VRbjSLa5d2I2XUw5#&--t4 zJSR&XwMLdxA`j*ut&Pkf`zRLpcZCFRJ2)_L>;Z41xCW!!2HYaD#(V`$t8*d8ul+0- zpEZKT93_W0Kz1`7b3_g(`N~p(hU!^|%)D&IkQXljgF3A}nm&BBjw!v)Bgh1)BcQLe zVjvX0bKAhPp(JGyTHt>tDFcps*P;}`G6ow8@4Ue?ws&f6XhEplh@o<^EI3hrmpu;H zqyU!1U$fZiR-V?p4Ja4eJPH05f@D}*WMpmC*~HMUkZbB)4NSd9`ajI{)vYdoyTj79 z$^VHRE6>){KEVVl#@OjqV1$JnbJGKFyG|mQ+l9egTkP(H_RgR)@0KF2AVpD83M4`~ ze;c^9N(HY3H89+Kq_t^5m{STo^DYPZTDGcHs~8Gr9sEbYPl(O8`UmBP{iY+1>ZhOo zK!?f`6v~xa;~^F*|G+4MS$7xXK?kCoWB)m0-uis^z@^wz%yAHK_@cCJ8TM%;k3R6Xa`zk3rHAZrhKjn%=3dr<7;>R#9 zUh&y#fZ#2n```GXMgLs7^dq$UDjmYEZ6N`yE_kdWh)9QujLDG81c1s*9twZS&gw zDb){W&7g))KT1>b71iV2AesA61QE>t2#g?0Gr*>W6c4hrCY`O;_;-+{i8%ty-;ow; z<5yRFJCRXpM9oz648=x5j^|YfBj;G-U13(?Gcy**{*$CQmX)WPV!H+yPY`MBRHu^H zX=CmXaumnIkf8-7xAUue&do#d6S0ktSn>|!wrw(zr&c(-p`gecOQ-&h8oY}fa$%O+ zIux6B2t$_ENkM249|`%~2Y(eHR33bI7nV-8Kw=kEj!mna4k;u^KjMduJVP4B3fI`g z?o=34@2OJODsyWs0GtL)cOGqed*=^)I(pDH|VsIf}Ub|%)F0NP( z0m9$POW&fX+1T_rs3%I=akTf$i+Cq2sT@kp;PrTTg0#?X$R@w}S<6_WJhClfQ&_!3KU^;GEx1s}B*&)F5SB1v#M0?wnbWbCrBM zvEcrWeL;%lHDy?`uAA;NfEkwzwI{~<%Cb<{5<-Ac8MyNMs$@t~+#ylS^FKIzg%JTT zAacrb9_G-z@@jE_ZB`--eUHbG)fPGNZ1mne#1=uAs)(h^01n^|S8s|nQ*XzOE)@M& zj#B-!;tyg-O(TXqZ#6_1^mZrdHr$hjo>Dh&4uWr!HBVP&(eT& zwbRdi!I?se0%P4Y8#7dH+IqH}rrF(oLVp~I?JW)OOT4+ZY~~T?=Q!WAbxxQ%G@;bIxp<9;_`!0=k27{rEQsf?~&&l zxam#kq)9!PuMx8dUT!7KMrQ>__Y}j(WpSoGR_IOqS9lF*CxKf3|FtN5Uj6?%f!Ow7 z0o)q$hU4eZ9JQ+~!A|0G2`+8x_bqS;Lzb5a_a7aL&_<^fuR`x@|A4w0iL{-c0^`Qd z!;K;6HK4|`*X5d=gA8U9yxtl_jsJC{awXE<{wOwyv%9v(_{`#ULNv8LYoVFP!=Xqr znsWh~6OI+Z%>&}Hunpb}$8}ylpYG-z-1WpCUYu;^B-_IK{V;#M7&~Z_B18LYeYin` zhIe>L`9R%s-2vH#m2g-Rdp;Z*NQT=rUfjXL+My3nmNCrkV~r<7Xv7{kpLDdXpbnU?6t+{Mrrj&U=WhQu#oz&WTtXAt4Gij%}N zIIx$EOOruo5U~S$_uvjUI4$Wo`@|yPKdncG=BT%6&fdZ^V=2YeCLz;Th7^nCV#kWu zYS3lhR~Nmw(t#6@uNI_!V&_8pd!rC>PC^9Sy2ZwBGH`_#Y;XqA3B3YcDh>ZX^(~(U z*AqEDyEqFL6mHdk)01$K!9(b$Zv)- z-9ZE=715hwH~<4D6@jDPP4L$_!)x)KiE; zw%>K%^Hx32=lehBf6knqj_2L|zPD=|*LA&M?EObx!7r;poElL2A>2_ux;)MlRpNNq zfj9|NYoK#l0Z-PgJK1C763tlGcaQ$G>TwP4*P9BE`UhR)X)U{*N(5^=#2$WV2(hMo zK{?7e`%pbZ1W*N5u&jlB20_;IAW;C)AEpKQLH@;lZ{;YMU@@} z00chD<@bJk^vhX|uEmf!x8R|r!BS`=FT0dw_AdWS@Dqp7ShYw=K&}OB07^SVr`61#Zshbda%gt>UX&?`<_ftYB|WKv!IB}?(~#)>r6aU_ zozzNL0ZY8 zqP3D9nw%6^r82szdZ6`xz5r?qBu+!-CgiDPhr*cYkkyy?qfU)p^E$;2|B_PL2Mz`s zh!lk|>${Us+F=3w#vW^E0Z9B*)^YPi*>45t`Yq*WG2u|*DZr)SY^8^U{N01&4}puC z{h!Q3Kpv&zj1~a1;9tKIy4nTN!0Ju)R*aaz$s zD*Yi6lTd#8y{{L5MtN12esv03lg)&p5Mn*aG;)2|=mq&tkWBq&qEg#PQeBgz5Nid= zi8$J+ZHtQ4-Ar69SvG=5?+O#=L{p?(!85&r4*%Al!-q6I=HH~Q(w-Qc&qqc1d?t|3 zx9Ce6OyqVrEhJnK>0S5(8z(kS7>I)hND-ngc#6Y(PC;Zc;M4AyB53DL1q za6S=xBAX%4J`}8nChYvakAHH4)V6TcjW&;rptqCqVtO;^t-Aocx>0yMH;8`-= zu(ES_O&&x((QiWzDdX`s6qVNaBYqxqUhb*FSz^gTzh?@@ITab_W<4}hq$Tj%5ho3l zL`e94I3_93X>W&wAoXyXuXdWKR2sT_ka`Gr4;U<3Bq>MT=H+68o0KsAS*wRIK zouW7NFawemN)gDw3c?+U9m*x*X~=rz?a)SGHQLad#GpXl%s_}PjxdrY$S2%?1b&%5 z6DVt17h-}~=e$_AwC5b;@++f3%XYxUL&?d+HsRa+|Aid`5rO1OLg_;* zIZBowy7nT3eBLBQZ&Q3OO?icO9{vEgXggvYLI&a|KDhNK`Qg_4&fmg%Ufy#KrzU&M zMJ5MQlP9d+PBp|F0|A*(WdBV&vp+m}%rl2f5lu*R%F#3W3LYXA^z>eyW zK$A4>INeOW1^_Rokg`~@Xd*x3E99_$e=|=h??`pj3YN<2?-xPCZp+neDTb6Hb(T$+ zsFbEa5A^Q^{d?3WH^&Ur7@tdY9UsNIc;Q#6^Vz1l=7I=LW(*09Q(K^Olz|ZI=pGj# zmKk`)k|~ndK1E{QPB(uKP!+1+K@F74k*om;iUBK*itMXfcU!SSlwvIP zv_rUppeyjbN(HkQ+`Lk*1wPpEiA`*R>L4Uk(v;Q6^MbTPp5})f#TD4@L3R#K=KC$E zKu!J*P}F6jC)kZFyWvj8}EExfJXhBGB#atM{^oLMZrL$i8kF4M0E_Wa^5cj z`Cqqhf-<&+wGWK`@dJ>g`Y%r+GN(PAP-rV~Aq}MbD->IbH=ZPNsXw@msLCT{uJrZ! zVZxF4U&h$K9SJ)x@A!lpP6nw&$w^`h6&;h$5~74*Vm~dbOSOSZSYl`V*wPE-=s}X) zW|VWNhfJ;kG?+oYx%L)>7=v0HDoXZ8;4o-93MFL4mR`!I2`_@0J@zz{0=Afk7{gu! zz{tQiPJ!5KCQ49aK!PCQ#j63G9K3ktZ2jm7FMcA}2$M$iDodoMpnd^bdn~9+@dGMW z+QI(6k`BR(005Txqc;v{qE3GlpOtbSPzK@kK+htg4G(P+WBAP)wC@klh^D5s9(I_s zg^cq$#m6Y^4)tQ>Atb zWEWBD}KE=hoALdUd3^4%jaY-j~pTJ_lo5q(uBL`dFf~4^yoT0#jhUg z#3o6!7h50^Jv!ar<62uJ4>2aA_HFVAPU3ZHeAJc+J`WEuhQ36BeXxvx#pOY0ga~6e zG~y*3LTCig(He{mL!nXGRXJU75pZdmy%1P5D0X4KGfLE>f7;BtV*y;S*qx|=({0rs zCE-z1N9QSt*$4}F{wj^`tj^MIcrtT{s2xC}DXcH*HG9`jAhEx85 zFm@!hYzj^z1TQ`!Z-SeKGw!t;N>QaHDvSfdSnC>uTC{ri-4U6-l|)$@FW*p4923UO z4yQv1^;BxJ4D#ZuU*>DTwf;Nbuxz#@vQXK=kVFWCF+pQg@pANw!q-mWr@Sf#Wrcl! z#Dx02sZf}-K9W^XunROUJg7V|nmMx`+;yx~f)XEy4}!*v`y4Ls8#%VBG${~Ra4x@2%`WzcTiTR#&WJAG*Piae7Y*#Ug^?{KSI}-yxsR(V%bgG{Z;ogvo!o>j2wQfn2Y-rmDcrmck z(uI(0*j+T%cDL>y$4_k)f$x50lo8+e&54=xnM88*>8f8)d2(pQ=#!>xzq$e!n_M0o zIT5k4u#Hc;YxGd572?*?wq@p-N9e5;an?R`zc=_8(n4wbqVX{Hhu zi5n-&d_J!H`R2hd^2@`hQPzv@l0rT=9bR%L%IMx1^E(bkP>p=8hkx*<%yYYq8EK2A zCT*15{ZjUGq|Ru;Gf$4{4X1C3-MPUlsIBFo2z+$_}7w*p&RZQOLJ*Wc7Y<{53f_(<6FaZ#|=nZyAywG zV)&-DYYKO)GgdxqqFbW5o=wsWVe7Ok&Jkc<{iVICLMQagz$*2c&CDHa`9~$+NEuiR{49%#mHC`$c&sRRIA{|Jmo5e#z5|Wh4WFiQ_?nD*gPt1-VQxl zPHFD`Eq8>Hof)qEKi06>E6L;(85%HJ-k_;6wxid_DpA|c7uu}jC_h@QrXJ$&q1NU% zwBt;yUP!E-aH$fMf%C)rpB+Q*?|SS|!d0BsR0qj~)O1S`*np(vieyVNnIlRL{+aF` z!FH;zl_m$*<-3QlJ(Y^%8l8nEwkpYvO!lDBO4XR8hJtKWn&aW?nnlOFUMruB(bG`( zsM<=`P=)uauh-UTo%)fzicD@0CEGqaJAVn|mhv4cEza3wX9y{cO}h4BjrS$TmXU;) z*&(Y!pz@fk6+*g}woE8C_Oq+Fn&r0gRaB;zCv*1Krw#mMYOS&?+17i35a21f4xBaF z2X9hgLCY+ev(s4H6>Z66+eMj^%@`41bot1(a~6aG<{&otWK44Qfi4T?)rZ$5Vw1B! zb*V8snK0rLW>VxVjDOG(MU|s!@oVAC|x-r6j%~#mKZ8 zE2_fxiZ`U8zCL}$ z$2*|Yuq0G+%)BF>>1?v%uHS03p-6YcXF7*E+}4GMpX}wQS-f|Uh(U9eE=$p)InQG5 zxG8;Go#yyq&9vBFB@_6;ol@?aY}vbbuIJ#dK`>b@Hrr5?yli}`=yhVYcdI?8g|KI` z*;W^Nr%Z%e(8$Y{QYHfzU?wpRvWXEQD8Et@Q{-ST! z@?{IGpyW6PN{*Q-=eY~CV);L>35r{nz-8TFTzv}fRJbdIwhFyIY*mc@3Uy{bXb2Ie z%4@2#HS=iPx&~F}N(cAOWdGvC!PN6NoXi-v4t|+JR%wkBr$QMqcY!0dj*oft;dQ|n znaIUo%$OnDCUM}=_zqFf8~J|Ig2bpP3NxAgn1Y=i< zpgX97)XC||B-qsxi+WdrGOJG(q-mu$!?IvdC920VGX@eAZ>)H-l&C52;t&B}mh9CNT|=0LBL)b#_gf z=IV)`oW>IZP|`Usxn31qudqx~Y&8if6eV;8u7)MY#a0WerAQKr;_kCqs~C(6Y<7+) zd7S{QfzTAaN{8!U@~2}n4OLU@(7h^MQu^ilTMhLT1qS182)j|5TmW;$2OvQ6hZ8K} zzEO&)mcXiSPysFLT4HQ9Qs}BF60ie?a!X3R``3oXR4-EZ@HS&~AG8hc3ot&gL>Mk% zaBTG%{Nx;T08Z#h%`-98BKXPaM}M0WNd_Z{%`Vifvtagz!o}86z^jt5v|})gmN1Oa zsx}=3!*kk>5jK?(=7cT)35hn=7x32*k|sU?M?zrlKJ%QzCT(Mrx{RJBAF&erpchs zU+UtCB6*!4?a80F>oZDcgGHm(rADwW3cX$w8j7KW-GYc6fxRd_9nN}G+{2_1Y>T|uabsk(}i zJ-|i_Guec;V7XDiXoC*U_P7(pt%`z!^6*1vx@MZiHV>v3$`AV6n{aSvb|PVf^c-6M1znmGnY=xOlo$aYP}lp^VEL8sgVl`Ex}&7kyn}MVm+xe( zJHC9JKjOy`r&=oDBTZ-DSFNqEk%%>yd8v9P);$t!DMtwoKN8IT-D-71i!k1pxBpze z)nNM6Ip9k~kd)Ee_o%|#?TvNgbZK|gX?Fx^7VE<&qj{bO+eapE#rw1sJ&DKkgfTVo z+clAp@cfesZbmsb!-{)OC{_m1y_m*FFzftr+~Cy>3Y2TRJ8jKMag?< z==7h9@oWWbz&j@MP6>Anh_sTL2hx<42G`y@nw8!PVXwv))tMh%)Jfy`_GRnS;;~B8 zCVUFyAxhJnu}WJ5tqFD=vG0gNG6haWJie#e=tjrm8+~mGt!@*#(QClQAP)d3zhdqh z&|Lt1j({)3koZ|3YrW4swVb;qjJpPm>h5VI?8`3YLg6vl5d@jLq!jWs9DXG_@sq0u zU;J9}W0U*m;;0p1?Y>_W;My4ax~T;RU#wwpV`^l`j+0_`JB4lv;__+cW}9V1aYbK= z*71??SAhQ6rp--!WR;I`lP$ugS}~!o({g_D<@B2Zv@6w~tCuj&F*5kMZ?t&Yf}h(A z+5+aF0do+G)le0zy?^m2+pAx5mYVu<^;7(`s8jSZKJv{^Y0A>i;W-Ja<@V4u_XTKC zw#=j0rMF|g*WZ{5a_A@zHuQY5JT|V!Nt1WemDE%A^Q<7~79S8tu!6T`Y1=)}mZeJm z*|Jh@Y6&+rIA*0>EPQ_JHuym(wgTcj%`Tx9jJ=E6rQp-Ytb{4PHUwD&_kV>2!;rx+ z^!L`W*IUq3^S1MgJDdGbk(`FlHam!G^JDGxxOL)Ouh5v43hF6y{XGvb}=TE5`^91k7Q`?Q)Xcgr?2`zyGeND$v!`@*9}j+St{qH9IT1vHxR z*QW*8wrkLg(^|k`7FSP^VjK3X_=RQ~k7rtQEIA~$dfTjTV6Iz@_n9$n$X&F!=(vTs zPo25rxDoh5e^ds;g~7Oym^X*msaN7Fuxrt8#f=HdRYReIzMW?7RMQYEZs%zjRR5bS zI#Zds@$$RH_mtiQ+lDsE`RZSuMGagOGTGu$W-a|%$&ew>VF$-z3HSgdha-^73@#xE z3`j-|JQ$D(b3`scSd|jI{w9s~L|1<220YIiX|lzfONwERjIgQ|+8~0h#w4?_D)ALm z3{Whaf~W?chC8G7Uytl(~FjL|D-NE@T14$ie&XRwJ|W3M^oYrQ(?w zJ#idQ5m)b(8oYd)CzC`)kyjYu*^^v&jKe7n@$4kKc7-mpC{Nv#B0c zb%w}NyK1R9DM_Fw=PoI|Ea$6DG9<%8x+a57c%Gb3>Eg&Yl^uJGvS|axP^`#0ubBVm$ays;Ad$HGI zS5mi}MYY`ar3Tq(omnV8e6^J8;DO@9?K~?*x@LGW=pRRLXl_eC^Xj{IVnXK5`pQ{= z_n`Ro$wR`O&~+`=eA4U1CVdGZr4&3fMyBsI)a!X)^0NptWQ0|(*g+@fs~B`f8RlHpbs6@24_V}SQIC>RaPTqwOaILbc-w|`nv*2_>?|vE zo=Uk-K{0>Ihd1yoAgBl484V1dh}}wsK<(ky!v_wH>Am(m|6Osp>v#AW{Z+)0fJ`0Y znpDHZd%56ip|Q*z5ABBhoGB4-Z~*lou>5 zRrM!79L!3uqLtM^R0KN0YoGyr@qi_7amZ@kpgwe$QdjBiTV}YHXqJ$#(rC`=Oznn; z5GY-J7;CKNQGF_x_Z7v-pRKEj==&s&fI-0uLj>$S2f`=sh0^4c&iRJOtC0WtLOkRzFYueW^0LT)E$1B83Z2?sWsi{c9N36PWgxTEg%FRp6g2% zmT3MH!d^KkcK64SPyAdyKOGTOb*00$<`C2^DJd9)JPKoMcf_;&EJ|nFauuKI##bjQ zB6|idv;hu2Vs`I4R?{o8f*d&5`q-`OSu%{MLG_3Wmc!FW7op?IO|&D!XoyLI0AoI)sEE}68ba-CWBG%N9O9My7pB0ThOMg?u)p8ju%GVq(JJBa0w`aq7 z%gbZFfh|VEBmJMx^^A9y4V>P0SbVHLaP&vfNYZHTnD*%C7t^s@!vl?%HrHn9jeiLo zKhsn+Ha2QM{%(9^xPH8^e$4RR@VkL|;{&5-yNAZNCk>j8$tBsW?;PdUL@wrx|I;x( zWGyb|R@AOzI;DQdwWsJ~xUk&#^y%KtgVx{0Up8&NzMypY>|oVc*@^LgRy|D}|M~rg z+xg-`y>-K{#>%d)br@qe4UY$o-!0nE{&?HI;l{WP!%2R>ewckuvi~xYJrd>B`~KyP z?$E$-zw8gwzqMJsO-f(u-K1bl|5W_6H%9+`b>9VRp(jS~T@D(T4J&1D6kX(Ev9NIW z&#a5o6{-FkBaWmGEBZtn+H=vPu!w4QX2^D6VfcrR8}F!;%1A~_NACfD_TG)-hkjM~ ztwKIE#!y>toiAAa<0Z;#w?b6<<&#lE2<B1I8r4BzgrRoP}1;+>MdSFmXp5IsCx;S`z7t>|N;Jfg6ZVe?Vy+>mF z%2f|s<+vv1Q1O($C%4m9dFsgQ3H>!`E}d_UbQ zetl43O1tzwN%j=~THm87&db_Ym0$Z%{k^=eV@1TzwqASp;pRQ#x(j!I`<9;@>Tfq^ ztRPP&pgHN*m)j?*4o^lGZ(} z&?@z0=u2elKQ*K4nn(7H|Lh)+9iN}Ive2YE$v$vdX5!jw4WoMvoZ>E}6o#k#P-qRV zyFK#q*CM;R^X&=m=cshl{y5BclM!(3z=lWGX~U~rI=Y=I_B3|%<)jbqKXNg4UPWHh z^sWPd3i=Q@UiWBf6N%${GjMpzkn_W-`VylGPYpA=`+xehQyz;^BPtFR~q_@7%sQCfbk6UsCw!bGH3mhgssH!}mY< zj-2NPw2Vs4c`&c{q3nkCzT-vRqdu*}sRsi)KOf3aY|ne|c3*{iiRtfSUzgi8+Sk=r zn%2H?x)$|!f4r0b zMZzR-_e)PXjkZkAte&LO{=Tx6W02#wXG`{|n;-MRrS7c3#(Tag2XkDuWhoHKseAadV|W>1gqy#v&d8+t`WuCc-;hDYXgFO%#NFFf1iJuN-7 zaoPBJ`*l49`QaAXrQKV$^=ycb>TX{xoz@o66{*;MsH>uTq`PRme&eG+vB=lm4{PSX zw;1ay?@KIt_@RgvJ!R^TLqW-=HjK93!0<~Qt2*PY;s3^-*)Q7vGEa?kDQ=^|(0awq zE=q+%*7psnQidbO^xDhH)d$prN=)EZ!mpXWH34kvV?l~h+~Z{rg)UM9xy?(5tWH;k zQde9naN$})YPqG|!`LC8B@THVho(P%cx~5spM9{y&&C?%iXOxJ^x~df66;d#{sw26 zi#I++*r@v6u0_wiN5c9oH(s=2bxLfk7Gmv^iG{ZLh6huhvSI*U6$SIhh$)2H*w7YP zt6!DXXXe=QBTgJ1EvtXR@&0}j;2>fE)Rxi-7-fsa@jQ;3=SESSfnJ`-j$7Aaa%>!Z*C<;>q@P zEvoV!Vf+BT0KzY9c!ePrGM?4-?s^o)7TlOyvU{@uOaMVF?)H{$g9&tDbe7ZO;FG$k zcm}IWInt#ZMa6B+hj*a%&{2ZDJ%9`lM4hDfu}pGp=y?&q&Vng8m9P|njRF0>vzJLi z5+VYLKo9URVzU1KnyljKUR`-an!1J=7TYmBs?>tchDP6ctx;ku#nU~~jz_9LCIg~n zPMf)Mi}|*s8iW=|R%$ih9q=lQRfSrx@45d;Oit>qJC9mq+-44$Z`n(4BAyMP7p4x( zmwOVtza+Rd==QXp%Za=p5j;z)&0G?iV_!ofMgjQbeM7Bk41l}NuA6pL1Q=atfXSJB`e(+k1Rkf5QimvbjjkaR(wqmW8Vy~oo zFy?NPG%AIZYjit8WKM_(N3LGHxmdh~dUfPVd50ETCxSGHUVfx z01r6l_twJs3fz2bEoBLKqDociD4gKh@N`C03zUVV#C$&om zJ^L!7Qz^}RwsIy=u;O~EP6A8f4>oHtV6*ZqH_o=At863Njz1><3Mcj3C$w3-psr|# zsQ~}OT7wcLPCuG=2~zH^^eW$%NA1@gGCummBKnPt$k}a_anee%)O{cbO`_K#$pP+7 zi(%sege9TeCL33v&oIvt@Y+_EZTsCrk1sU=OpM zPnO!rn*hPCfV&Rxn<@p!J`6-;1^6IG*-Sfc^*(N1oxJi3Wp*-ZrAKv9XbgP^dQ^JbDqpD&( zVvFR*_rKgM-iEo;Z9M2Uv*;13lqG~7xeNHRe{-{ViVRF>F-v+TT9@=6vAm^5VM-%Z z4;ND{OL%}OPqxT;V4VN}1W{ymBh4^vj~e9(CeA$JliExy3y4W6x)esJ4S-V^Sc|}4 zkQPZLdrd6~)_#mX#F+v0CI!qRrrszL)Eka>eynk;y8#9~RYOh~u?y*?EJd(+py8(W z>Q3cpJ#@Z+ZGxKwxFXE4;3@qnfItMgA)c?tA4~pu37v#_REqJl6e&LL-Vq{72Yu&c>Z8f+0|q&#*YQx0f4(9WGl%`8|Mlko>2gV=Qp z>D^R;kzQg5>4kj`o`bX;lj)Z8BU%`~-9Uu{X#`+NmMUc{#`C~mU|9gp0u&}(t~ZnD zZ)RY7b}+RZjAXB_GUCEd8{8FzO7`CBg52 zVI8HB>D($pe?FOY?vLZaaVq5)u$d9z0CYkOJ0xI+qE|!07xGE%<)eI3zFB#~h?V>-QSsQ*e_53ySuZ zqXqf?6SmKte&;1?4TALaWFSZnuy4?<4FaB4&#uW_2NU~F7E9_~6brgJfCB<-ERe=E z0Dx(UI)Sc?jTOR$z}fO}SiFmX$dWWl9b)cf$RhKxgN3aBse#%=)#D-xME#B2PDE)Nk4$Y9gC9GOl)XkqVmuOZJ&hJ@dW2)~8S`Q}6{ zpoL{^H6KD~;f*`1O9AHYXMnr`kc(hZfstA{t2J2(yyC*^gbL~R(=D}h1LKFUq$|4W zQEBK-8vPfX#dAb9)3ZxiUenb5@}apq55JdRs(ka`;4JFL%+l2ff){Pw>Dh===aV9d z9OB=R3m_+j!3#N#*pobQQo+EBh=_w084_6v|_~s8L8pW#dg92>0ip~%xnD#7WuEx1>y_@?#z=x@=j=xTLw>p zol1h6Pt7s3Pi3(rd2TT%5j8mSmbutQ0=M&S?__{-b%lr&3%M9Kn;R2u`DeDsO5iC2 z`PY_!*{SB;KW?r%6XUS>q-bzmh&8P!4c0CRu9`bj0*N_GeKa1SrfY zMEFq78Vr0e_w0;!dp?By^q#sfh%{=FV;wQDfe?nU(j%b31vcZrqCG!?E z)5X8As<)StgQ!Lw7j-F1#H1`P#LY&Bqy^LTm9?TrNF!vJ%;R8DVo13EOd5shKBM6} zlb{2O`s}=?T7^Min~#d~0$fy_t0%_?J@<#5oPA!&P%2WZe7e1R_fVj0^nR zY%q0ENqHal$^k;U6h(+Ni?0cyT@u03WfZH50gvtmI4l4&d5;>FB8W7`i`_&P{DvB3 zYOc}hCxFK^-(9}CTw&7mv%D~%gWY@iG>SvJ2*Q@b`*-`)kVQQqk*?Hi4#K}@iui(5Y`qm(HPP*jd zU~p0K!*fZyS9~*d6bAqjW4P8iA=x7DhWB*QT%!y4%ZQd!-tqGk7yIzS>z7|mSF-gb zAjs1&{4%T~eB#Ss|Fo^Gjq|}lSzEXuuem`00W(8XOm~-Cx<}~S1_Umq-130)%26U~ zhFE{@4&ON7HTEsb^Rj2hF^##oSpt-;qoaK%uVE3qsM2j;CJ0|EV8Ye`%e));(*r-G z47~%iG#voGXWtb!4s zCdPgLfTv!n5P+Mi)rRQGSM0WrM?XPdjmSuKw??92YudEe?{X2M-?3$hE$~rXWd*)ND_AjC0B#u;%RVBt3~ZydApObHMXBbh5I?T{esQEk;`?xi!ZPn`wAnBu zpfJ})gsb<~uR*BF2Ygby!BYX2@{onyNZ-Zd;j>CM2~%Lh;FdXJ%+_l7RhP%d)H{wR zynpp4cDjvhJ$%<6VYVCrvt`j23z#j~VMkKf(ZMFuv=8HTAKsly+>~~B*Msjx_TQxH z;Y;Vh4}se+|4eEq8b6vo@Xvw07Xvv1|I8Y*tG6E?*mjIFeYj~6>M7AinF<`Ne^N%r zTzr>&kqi3azah|t=yc)M{Wc-%NOa+vO1;f#-ANr!e|3&;HfhPcq%zPP^j5c2@4-02 zZH=o@?zH(r?t6vlfAD!F8fzpzGqf^bMA*RaJ?+vd=jy(I?rqGBwWT*_NIstMk<>y!!ykiQ*nbJn(5WFseoBzHUEf62NS#g~wh1p$k_k_off+3vSViL-eK0nPYPaqDR|@ zekfP%g?{BV8z;)p8V!?H0DXdJ(9yI7dRYMd>0x75k5o$~1Gl{x-#<}@X1Eq$DkLe~ zQ(gh}@<0WvWska&m5SRQ6gsTqG$Hbqw2vb{r8~jig-n5BWi`d+JudiJ6QPz~pI>)^ zGKB%NLQEFWTQJ!j!7bE^9%*$b=<*;c(WH`pRKf{XKxOavR$y?9oB^|E5 z1eg}o_QFL=sg_q06bub6f^ngf8PvE`9)lhiV$%T-6;d=R1ADvbbXg(4j=3ybhP1>m7w6s>Cb*q!67iE{Qa~h5>m3 zm_zz$@@un6Sp<|VttSf7P$gE|IfJmW&rkp^7vx9Oig#ZZ`2sTa>xxc8ybDo%zXp}q z?cJwKkC&#$kI6>>B?_0{A9!^`E~sp8q*u27U7}GyCaN0jNY$g)&0y_VsM>0|J_X@X;!Cyr3Sq*OJ=(sU4G|tD4TsS(TZb>uFZ(=u~C(s{vC) zE=UZ)N5mhi`}_h3m1uoL*t_p5b(+Yc(Q$2*f24Ox)BRUMPmQpDp|=8Z%nU3MD1?(Z zG@E4|SiU6C9e2N2_z+NC^1sWL5&MZZ-~T`8El_Q@s6dMey_Kgo-SB%NR8uefR*c$T zSc`B{#D!%;tmRPcGu`Zm-8<5m&Sap5X7zz1E` zxNY>U!q*3ds9l3APg|smN{R2Dyg$rZ8FvhTo(pgj&G1cBMK|%a3?+@Ia0i9$2Awjt zu%IR_tj7yv8xio}5;$|#RP=ZS^?0G;O?iPHR{bHUaED3#2fhV23mT1pX4k+~_@YLj z+z!0B0sVb8C{hZh(EXuZ2~T5yA%b-kdR6|gg8J%e30T;LsYlBmeR{>CZ!N|P`-OB{ z{V_C!26J=|)70tyl`h6g{r%tYvcx$lbMVHx@XA-=2=;2Bjh$`I+D9l2SXH9#|2Dxm zK(KZGYJvfl1#~h4Iv?4h1}J)3C8!gETldZQ1%!?pqSbhaay+1p584r+T3hHr1Cs>=9=ZkQEK<44B*_^C zLQuK?(j1H??t`I+TF?YQoh7Ua%E4C1ND%|)qW+Mv2g}BtWfQsyBnUn{ogqLk zwBC59LlGKbcxZzeK$Y&@36;)@6lj-I0|*+R2&?l*nJgm&)}iBNg=1|5jWFQ2pidPU zT2%#w&n~ef*j8W#p*|fB0JZEuHKD-nfPMY2i4~zoXX2J2-2dtf0R3&oIwO|~Xs3n1 z^mN6Cij5#3NiPYp2C>S>5oU1(SRp-|04|t*5Da)#X-`!Ykbf)}!TM2!`vHK3Mf_0N z_;)7^SQJ#k8$&Y;e6O{Ta)Up9D>uQGWI<$vh4J=-0YB4{%;>2)jy_NeFN-XDHUq8c zuT~hadgw$kH!K_!WIUe~w4uRV9Z!DB*p4Mo`3~(`9@5^FteIn}6Cx72;ItC}!|;>d z@Fda`jdoJ6MJbg(LK^_ai=6;1{C+LqUvfB;g2hTWMCtg1W5F>l;Q)XORk*|T&w`3( zO%4Y+L612EVCCz578!{~^_Xa=)%_{4j^|pSMksZMu3a&=>plS;J~}pevYwmwESN97{r^xF6YVf~ z%3}Ez)^emQ&izMOyfNaV0Ih`j-U44gD7{?>Ju+~=oaaQPJ6hIBHtd!6dfQ~5OUoL_(FudXi9WjP} zcf@#U?*5;S7@$^G%tShHrfBp`w6Q?Qf{lfiW(lO|`?_x|Sa;A|FG*l8Py!XZ(?ZH< zAXT}#_^|AP%4UZ)>)BfUrcmw`0{1_pETAqW^tSaPV`vWb?0|TQdV8J-B5|qgoD{v% zxWprlAGO5DHt*qsrv<8^k;8g*pqQu#b!Ts+Z7brn#MtX3N=cawR+b-_hy2iBt69eA zu&Wg^aI#0soQFi(2Zzs$GFwLH#X=pok7vgUr@q zO$68#IJ3x>mB<(tr)ZVH2lviFiZB!(uNkYBa5K=O!7VG{=~-B7C*l*6!6zn$PK-SI z)wK|J;d7FOm;VooD~nGGd_o<>;yUmMt{44{0ISyOudWzHwxBLBU=Sp+j#{_(P^Oa4 zvy|27%%BTj7(!tpyDbLqAGCwEKDftOqg|3SzA+PRF#vJM`EfA?`OaR99+xt zjmQTs$a#kjIxA4?Ff>;QVcC(@xE&M}k;7D1>(*@|a9q&qV{#9IY_a!3$B7T1Ut4`A z8e@Pa1l@$(Je>nXasEEk3=R1hfa78mH*Fz0V>D(BN&$}x_8;@Ocs|C8W$^kwr?4`( zT{+S%9&6*sKsvP?qMf!j-?i~(ojGB+r=28j_}+ei$z&e(Y9*xNhPD!3n|dZBN*^tvE_t^ zAr0uJ=S;X4gVsH~9o5|V%oVqj z;G@T(b`nwZ5jGQH#t82M`eXEas{bGTF`{7c|LKo`qAW3p-MpQ7z6I(o*l`&^&{ODf z`}MHs0o~K~8N_(WY}76BHtGd-92#yo0|_`9vBN?)F^12r<~8C&@pTUlM--lUt*b*F zCo<)s;{<@y5R~hVEbzbdCWMb&2eI1~Lx}Nmb`VYwdJ;m65nytKK$sT`nej#v?di#1 z2sznXAHi6ZC5cW68WVvU=D^d5mWZm$o_{ZG>Xpzv^;JJEJq?T?B9g6HhkkeCo1EUa zA}j$^@iv7EKStF$T7E;hDjBm6L;@1-9pPcP^MaCqKvU?V1Lu{aB&{7MA^}d`pvWNQ zaOS19lM_8Mju7o6Qrbqpvb3qFf#T2cb3MCeACN?k!=b4sJYqnX4CwFx28kcHC5+n( zTs*)#o`yN2?>hkC2OSha4*>1zYT`jH``+Hg`7aU3I*7f`a9HQ6mXLR+9Q_W z69K?aW9N&#Jb>R7)Z`N3K0%nTxb46JANcGiAv*pU>YqNZ78uS*y&;uhMW_r9G3X1q z12viq5HIln*0zZfe1+jros$oq#*&f!&Gyh2a)I@kmP~Loyp+-F5y>qR%NCH_pnu{r zqQ~e-d=KY_mAOJEr@Yu{XL|U4JP3lBj#+9?1d+&iS8F_mqK)3BaR^AvOu| z4k?KoN{#K_7h+wpTS{wry%@zYu9^B&_gwW|qE$w8URRb53 zb$kl_>Tj#BzLxsEKazCXQOxKD)QD?|KhjW4BL-57n+kuV8_sb`Zzx!@k zd1Gw2_Q8*G^}5j_&6~TIo99l?bNRONaO=i>+VxM42u%(gA1_Wi*yPiDwQ=vM6!VC~ z8E2cs&-=evQgEc`O+k)T>bbV7{{C z!-2D7Zwz{|xKWR1W9~Zo&4Klm)v8Yx zE@*6e_F~4^y7h~Sr&~5fCtrQ9+fRmi_h_SM)2%BFdZM$Vn+|^hb-{_~ zp7&{MLXjlRZba|XuwESM0FB=vsUMo+N&BULpY^2Y;4)8eRtG!YsL|L#(4jvt40V7; zU9({YCeV}H+CHn(ejjwgekZG=YT5Wupj;R5JNs;ETW-7&;NqUymW{(x{J5umj4D$H zJ01Y6s_?^Q0rK}cnMkg#aICv1G_4<@^4c}?(>@mLiB==mRO?HQ^dVYRlaJm}(!np{ zGdK=v99^JD^mr^sP=t$SVfh1*&FtI!JG7qx=N6OfNJ7e|BYp+OOBLF)aS zf!U|1(@b7nF@42rLA}*|8a$>IYp#TzB%>$48%T0vc&jSAu+@7|_5*(Z%47Kb!6e{- z&6qN%iD$Y9&D7VXm>yiNI&GYecwxE9`{4b?n+XP(aB=%m66$U)$yLq1_4Gn}bc(0r zufEzDmWLjNqUFok2%ldfyw(Pq!v6);(rMHVR56j&$)N!lq95oqc3zo7kYqSHTkHTL5Fr|sU3Wpds(9Vavr@4 zHG$^{0ZQvNdUv&cwe4-7Le1KgKE*c*{FY4RO4GD%^!H#EVo*Zn~$^>RaRFS}6g zm3bdnE55+S5#}KLR4^d51j{$&nY}ebqw+&Xb-W@Nb-T9-rEBnzJ?_B27Xd_UggLLv zr=odIVHLD^-=5dj!o*c=TV4P z_2L+!d&_cb)PpLQ@wihS1W-$5_iogH|HGu;xBgX?>moq-H2Jujg&kjpcGQJRyOVI-d30y2 z*XkKOUc}>q@6$r*U7rqmT}uQkP7}i7Aov&RR?qniumS^FKM)Z_nmGt6!zOHB{(1Sd z?Au64b(El?xmI@4;0K+o2 z!k-v5Lk!!$JiB4;No!J4E)Nt|CVwjVtN?R?7I)W@Q~b4_A`eF&6XFaDd*lp*np6wW zv=IX0{52}szbhtn7CEXj2KXCha0UZ2Kc5$QUvdBOnV+fTXr~#LwgnwQ!0b=~bk>GR z++A^7)%c5Cf zl^|4c1Daxj4ho-6Zp9c5P=11BE$u2;meYT6TKQl~;1>F8@NinW6F9Ax#Y>r~FkA5$ z9yA2H>OU^fPF)#I4#+ckCTX zcqxaVQyu`xLdcLAmdfa0jd-hq`_gX!cq(A{6n?lOh&5Fh;BL0YaF3E+Ahg6wc(7Z! zMi=;d3994-AO*aWoWzaEjdD=4ys#uJ2a|Rh2xY+yXr}<2CdCsj&wQlQ1ye9pvNM0Hd#L0gtsMK{+iA61nO_su7ro{x@MHLF*Sf zE+3OT4~`TFd|*!`F%V~;C2b#|Eph>fL-n1ecO0XstPtBpkw9BuGl}I2kY8*q>yj zPA`SW7rkq*}X&OOqjDsDme@fN#bp(4N$yZEO9)><9+drG~s60 zU?|nsgYvMZ!~tmSpcHEk?4#Q=g7^JbSHPNV(E5QCi0!FrFjqB*DJ?{c_^+mroDs}M z_6(qgAJgWttwP0lSXTYC;eiZ+6 z^4nC&`_LuT5$28QFq4)_CGQhJ#1J?mq<(#utD`&WI3svFy7oY`Kt4zCT(w|;Spd0e z4z_20!^b9Wv#X7;8;`dqkFMP+Fs4}QgpuV#ygg#+tXDfEnHktU0rD8SBE`b7#gnFp z#S~9MW(wqHppYumN76u`ksoL`%|&20)#0f)?xry2f&u+lf_?LQH>Z|w`rF~zgbZJ~ zu0)Kx{BKG6qaCo&fg^#p^V}J(F6IJqcA$9zplM>B`heOyXGpm@NTBvAGgmOr0daBl zDfh`pK$KzjgnCKOZByuyb9^)vX2`u=d$Cghk&+w<$^bQf2JrAHrVwuYzoC(6;v$j{a37d)D4w|4!O3&Ve8L%(#Y{tay*hbNhEVo=YR_Wmo5&NRufiFlxOt>$d5DE zp9X7q>#06aZXCNGs0xNI5P{8)o6J<)GJ_nI8nX@2vcT>|zKul&3IHRihpvyFvKkf| zk4L8DvMQOe5+GRW`kFEPamw3I@qarLknOHPrKz}4PxCyAWv7mnnwF8ubt@*(R1EcB zOacq}=sfc%T-4ReJ(h%}2-U2Kp%#58IYrv_MO>AWDpaofZ(0_3$4x82KSzE^_3)1)52B{Mg zPn|eKavf%2t205a`|VCJ0J zxHmOlKW)paiE>7EM_7(qwdvS(K4hRVL(+7KEL?10q)x2LL^eGe;F?%bBo_n5@}>Dq znt>%P`?%=@DGQAGIR?_^SNp92=L`2mh-UEAc6MyCj+*5>xZ2;#Itg^$gpuf9esXNN z%M90Zo?8+^{nh|b3n-GnjjKpPhVQpCfy~J^XMDqvI}ORYiJBl0)Gij6%5x7A=3#`)^BaWBW2<62$QnFPp(n`^30$f z@P~=`Xt;De4yAagEMG*~n?PlSRU@ibv>wPIhI0~HpmBMy^ShO8u_r3)3GdH1)|~{( za!8+zJY|6N{1z@t@2{O$xZ&m_PSIXq)Nv3ii$k~*!F2|KnIpUV=s=Z1c3)XE22-Vw z?h>5Bcb~ahy&V~$$B?| z$vTYi$lEn&>ysd$!-PwbZ)dt^e_Wb-q0H;rYv2$0R%Y?ysRffGxtpdzC`SkM(bexu zra_2C1iV+y)VX3ek{^OXphHcrIH;vBhM>z0SBkqa_zI~%P2%n~5PBlGMG4*g!-D#D z7^oF$aoxd3@lP~?LPVaT;KjUOP3>*;B~H1wem3|rOpdF)zpOyy{9j?>MC5_V%g<{X zH%>sZNE2VJbT6x=+CTh2N8umbs%fU=JSZ^x;VJgzE|M z7Z4%wHx#mXb@0sxe4WrLa35j15&ZkA-|u*S6~3(EM5wq+hU{z(kUj6$HHoX3ORiG;n_3>Yjtci&JkpWr~001#|Sp;xiIskWEa~UCrf05Al zT?3I1B9Q0pmFb3}e+2%<+~wy$nRP@qEEX&of1Ky`rNZdDF;&3@@!mnVzdHBYJnir{ z=7>Xfq{p;!RRl;*I0zDX`TYv8P2I}7RLPI4Up2c1)JAw3pQ2uC+?$XBKG9SwnvI?f zb8wX+4Ek;@*ucY`YuLa1k!f7Xa}nSFmgt4oDg$?_>l-9CSQBloN_++ zI>%TS;y{(iz)Skv7&xob*bD~Oo?tGxXBnBp9`9cuus!axF%kVu3y8a9wEF4mpR*$m zh~Gn#SS!x%?KT}BzF{~n_3qxP`}@y3=DZ!s`FXtW!O!s{f-(WJ^H(N1q`scCf_cz9G*m!C~;O*&6NhYVSKGe8b zIqArY@I{qYlZ`4=z8o-*itd?LCVQ!E=_8SPWo?FOOzI2JjC&U{ zwpDuMW_R%UE%~Nam9(Dd%;=3Q;@=G1NNP*6{5g6U{!P?{En5eNj=b!?=HMgy>wo z(gCZ$6B0_(OHONhCmr|mW3RW(?xzBIaXSXL>i;`F{9`5Ob48h_lC!qw9nBm10* zlxmTeQ|hiSELJi&Lh>4k*)cM9qfU;OOLJ0-^V>maQGU7i z$XDf^W^LgXZQ)zm1`dQIy%}`!(a(e*M|#$>jGk%R2C~IrSPk*W0q-U+@yO{CO7rl1 z2l0G&Iwp}v5~2@Gf3AH}xyW#AVfylWy8n;2cY%g_i~s(+N=z=f4kedTLKwG_a;GFJ zMG=z8HR(c43KfPj6G|mQQc+0dRuKmoQgW$?WYQc_Dkh0spU>Vix}0;K_5A+-^{i(t zt85TP-wRB`NLpTKUSRzVv%r zbXJEYZbox6&#b2q>nX8o3KI@;(RZvyQyuk&fG4s+zyaz1dUwxKR{Hof^A^ti|0 z6?&{KhlZOTWU%#cOKa5QMd-0or-~VoWys2QXQ@ie^MQ40Rvfrk=9zTYl3rL&*L7H) zZOAgYxAr*0@mArA@f9yp**bUG=lM_1*?n|rA@LO@Eue-+yIoJW-Lo?odAD}hgr9M_kqy^8AdFRI%vE1{a^5u5|7hU@~msE=_zz+1Fw!tb71$chrRqh zFcHqyG~veE9iE=bS1;aA^rL$RyykSlI>S9qv>mVxBDLGJMic-`+z%ZaByC* zE1J{eM~snt-B(u`HZ1rjy6Hyn`6uCH(WF-A@o5FWyg+`*e81KXjh1Ui9L4r$mBU!P zpUs3_vO*k&08>lplGX|yfJ4=E;i?QuVR8M@1`qq8`7yfBL74Xoz33^j-4&Bx%;o`oj&+usj$#Tc=gpE7!T*>|nbYF&Iq zDgDk!d@;1EZOBZy>n{GfZl(R}d86~TwG261YK|<%eM4qT+G?L z`>18ntcPs%;T2lYdJXj5vrfLAvSE)x{m`M$^u@1+JkD?1`%?dA=gR5QDabh9rR_ed zmO$^VyuyC?w0Wq1o7|JDjL>oS_qU7xN6FEr8&cW%V2lQRj{3qb zPrl*`$9`SIZFZf?rp?=RqosaW!}H8LQZFqCdt$nj%c3)Dv`YBE6eMCB*D>P&Vb9S@ znA~pIl->11Qc&9V(F1m| z98WR|Pk>3rCazW5ZzHScZ-(C-dX5Ne%3QE4V3Msok7m{nHQi;8jA)l=!_w6?-ew2a zR{7ASm`inoec-QuSek_a5mjf8F>)a>vD92 z!eLMP6`Rrh&VoVKDp}7pAf?~wI;rf3hO8sCj6rdF?;LCqIm=-0eN?S&C>#GZKAX^U z-ZXkIjMoB>cMy$N2alHm<6Viz+smmCT7{W)9ow4p;|M3bI4lD;4u%`I3I%}uEu4h!AxGCR$PWl_h77N#$NeOlwogB_lpcCgl-(I)Vl zsOPIj$b~qBG6at^1Z&~IH4mjCkC%oIoUQk$5N<2SDG@Sdag2!@GA2Hw@u(#U&rIhA zyW$?((S#MjH73w&f)VSQA?sSn!cA%HP4EzIt^KHZ+@-(csp zpQlTy@z5_^#0vok0%j#)*7vqyt zycB$7;u5X)oS-x%EoTX>c1ye!9m}{r1&&#+F}=BxZm=L`*RC_+E9|asZ5Wz|?FZ*X zwoAbVOZP-;yGhHtcnexv3Y=G{Lgkz?V;00d>*Y_HveqM?W(sHb^PI);ZhKkTZY(&1 zFs%qYt*La~a7H3FO2tG@(1D^Unv`D+ohc>d_eY2X2eR-SJ!O%U0l&`bm-%ah-23o> zEPRaH{$V~wv+9Rhu=xnq`DH#5=~4#D=y{E1{b@c7ILEQ>5A$JwkK^d4Kg>tEF)JOJ z51W6O51TTE%^&8YZZJaG5Vv$}x92f0&O_Z0<(61%{L6!-aFu*ZpBW7U6E!{b4?Qj9Jade3Xj+!+bos z&3^Km`EX}hD76f2^#09RP7kc>yY+@WT6UrH;zJb+4_37I4{O*@lg>m{+Q2fJ5lwOi ze;KY43jQ+D)sQt-_OvmBZ6QteD($l#S#tv!a}pa-mDR(0|KebUlwc)eqTmTp%3zn?7fTheos)WQlnnb!r=W&~391 z*}XF}={d?1eG*zF+MY*yVy{|!Yl0!@udRafb>XVX>85+^Irg;;=+HYt3?Ee~Zf+34 zqgApN4dE?5p62Ly(qXtdxNREB3CM;lN9?n&)(^S24C!c&K0-e5B=Uj!X$)QPm)8u; z!%-L;&d{wj2m*gOlith8V4UI5-&+4sGt{M@9hk}}tfc!zjnA`J+!pV)>(sfB@BC6s zWv!AzltkS*0_W(f=p1DDPMOnt&q*`A-h2{6;ptMXlCJ$IJe@AZgiyq^7lMXP#S>@* z%gg44Lc^Dz=0ihOJ!pu6NE1GK?|E1;;WlJ+7#QO#j zidrQ(uyurkMbU#jJN)!5tU;6%bFps8^YRwR>%u%P)(<83_?`|?ya7HAtwQ($IGZ)O zDB0>~o2N0NYv>E|_McA3K`<^($nWntD%mnLHnubRPeTF+v;M&fJ+x(@Ni{c#F;F@4 zcc3N#I$ckYHt?iNQCGyle_zg<2H~#wH2Q-3uikfe)rT>n&%yt<(ZbPo;fEIIq@tt@ z6rs9lu6r+fu zH1ozL)|Pv0OXYOS5_wNq?h;9AIeX|hAINV&hfh%i+O7F;m65rRb*^bWoFHCZ4bS5D zuZTk9!LDs?Oj>U2Ge2X+^C z?suCHAC6uhG%VefB;`k!_RI5zpa>7M5T|8pf}hY-&d>|D@BQu(>aqyG`M4ZVgI^zn zi(5-0UjuIs&ZC_t@Y$gJDJd6n&1d7AtLN7E8i#1s>+L#=UNwZc;c{^JvzjPv5vpb+WgYZhm=`+e^^ zwlGzHZMWL&?2Wl50=lQ>owcjomdciUS2b+{M*Qu7=g|;}WRtsW%?pWRD>^vuU5?w` zP{MC9Adj1haI>*PWiHcwF2`5n&Cxz|>^N3RBWqZbn-e$`91&~2M(DqFJ| zEv-ZS5SUD5iAz7olyHlAca*gM2>c zZ3iS~ThOAx89ny|J+e8Tqi5Ef>8TwL19Js*CN+n&^E*zL;Ve>sE+}juJ~U}7Tu`5F zpKLr~|7+|Xy|TrdhzFXTu#P)WWxNMrp!rwWn|7A1NNJ&LNSV{!Z@`yr>$4G$wER9g zfyh{#;~0w;ijpcgq^c*4m)V!~*M%}5i_{P4+jZDo?McHNfo6`VVXLoe-+`+htZOvS z(I3gZCk$Ce_fHyP=k*tIUdMahZ&YA@z~1PibT%~f)Nwv#Hup(44}DgrA`d;JxqUB~ z3#kzQ<7r>{bE`gv8AjE#(&``e$pe4G7wlA~%{)*QI8j;BcdV)4oo9%l_08Nj6@C zZ%0^lQzpjyOG6y`C)9nrLPkOyT{a&DnX#CA1;1nZ zk9`YC5nq0k_yxaYe0F5R4n5l4=z|k}FD0_=d7FCtYBL=N2Jyec3UY&Ce+k> zVyi@#Owa7-fxnAqNj#-$oIW|>;q&Ohl9M3tJ)2E95&m^YY84de@qjW&NVi9k6#(Q&QO#D%^@Lr zBhG6tQ^$#4+T-yMz-I< z%rK4`;83lH4WndR*>RP<(P2h^EQ%7{!r$pkW@@ujHk z{oe!uYpS?|y)qpQ)>mEM6d05<1XF#jxH=+0OP=J8HSv$Jnt;R?K`0fTbC8}P3JrWq zqTb4#?U2jUd;&%GeV!sv=6)5aId@S!6(~!5$*rKw(Fft-lI&xxyfO|TJ9f> z-L)Veu)~&!tC$7F_@KA;SePbOzqG6Z6vmz&1zZ57E1J)NJWc)GLQr5%lIDts%#|f+^7tcx3`e`bZDPl>va-)f3pe z@b9rSGP;RtDT)&hwSrzb8#m751oFVH2RZpC4yJgTe(N8?Ax}{DY5$cLfW!?Suq331 zfFPdkh`$Z$Qq14(yQ2QEU=Qdk~mo#pgq0hSp9+f>$S3%C~2I-JwWGnI;GZ>(G2G zIRzSfI~HC357fzN{CETsXj;P9TgGCvb zj@f|c+5&@zgPegCuW8_dz__tMM+FPts0*~@kCO)C_bdm zE&v&GS>FaZ^sF{OulwzdknBENNFVNGfCJObl?_4D2heU8B|})>UmpGZ7FvLJg!ymg zs&U|sl5~Qg6G0FzP*I&KV+V%-dyZ-PSn_Uf zIeoYJkQ)}m0?uSC(35PA4u|g0?Yo(w!$yx!w-_==^-I=3#gdmI$X_>D%2He zes7^@CCEX65|7VyJaWV*r=bW0E@^=?SimcjE35C$(L|z$dfTk;HonckRRnrXTaVTs z9ZEZD)owhgTr^Zr&r@`J^Kymt-SJrCwOwv_Zg^fKuMbjkh%FA_wR*~>g~PVK6#7nPof}@jSl2_3B>ngDXsP14 z@dXM4CCc2a(XNVKffj&_=d}lj#jcbr%f79kn3BR8K8Qt+Ro$Esy#;Tp|Cv5HQxmOL z7AN_CgY6(3Z4fk_pAvly?Q8Jghn{zV>juCvjNt#8KzY@d{}Lz{|8E4!(E9(4Kp7I2 z{}d?W;etTFfeGWs%-urWRe##pckNr}-#D8LnmQ{&AI zjL-pthM+tSf4fnv1AZWY6vGz)7^7b!FC&2L7Dsp}O^Eg;MC)N=`0ngqkV z@$1$kxW!;jVP^wU20CuW)=(QR>mWoc!fEKx^+Lz-7Cw&fL3w3;p7&H2a4$`2*t@C= zM3N+Z5O%j-{YOp$GQQs~O-k6bC9VT9qcjPelYHX@`Zy;Egl<7-9X0;@rAg7w;1$7r zhPhnTMNbxl_`TO7yy4y#qD+#`duOcXou;ps-3_7Hd_wdxbZ63sf0&L2FUK!j(~lCPg3Ww_XW1u58A<`xp2vz|XYA4NOOv z3z>k@jd}Qt&6^S3K$HpnKZ}(8BpI79@SYbf7B2^QkHR9!sJ9xP($ZYZ;Z{GaD_-Vi zg_~NTjRp6h7{Rn!EUu~RU!AKTrbF_ABi^h%a1U-IG6vN$I7&~C^W4+~r&=gAAq%0V zaO(}e*T7i$GT}soKQ*GhN#)OrlT1JynHO~uIS#luDeSz##uE{|(4(6;_YM?ZyiQ33 z!EGqh+BRWBDf;xWpl40s*qCVUwmpC6?YWw9%WqW$T|YzOm7$dS!GMor(&wp5NIQ2C z&Efz;$J362wb$8zrsYh%*0FjIdb?; zw$YCK1}E%JM2J%(8Vj_@!}@t5`f)lWh@kNFER`>V))^GfjnA^Unqd=k z-BLPaG&k{`Sln})nm6s{Tz&X0q@0$?=w%~LXu{8;3qCfqR=(hdh9GU0Dl=J(GtQsa zS3$<>~w=lZ9Bv>9%YdVRBOC6YE*Wrr`=$%k)vX}$PMBn)|{ ztzO+!p<0egn4@fFHN6vb#dqg;`Xp=GT=Z0bo+$3mw~ueoJ}MQQJMExGX0LWisI61o zi!MF$Cn;k1LYO>_I;6xd69-B0DNx@AwT=bLgO$mP_SKf%x%Pku#=Ky44Gc6FZZqrfhm%y zodP0jxRdP*q|=+E@I4$-a4VIC&y?uy;*q^@fcFk~TIephbr{!1+`D`r()JZJE!kT$J41=y-98;D#>{ zUo?C;lq9)swv2_ul5;N0&4MRjRykS=E&F2NEshd@$G(LnKLyQ6!LPBP+5KCsL%BkD z;?{D7*3pCJeK8L3?+?wplii=P!lEql+Jk}{RoS&60hRaFwr7V4-ngf|;M(C0Mn|va zIr3H*yN-_oc+1;2c)~Jn-}wAtX2JNY1DysbZ4nPvd%rPw!!*w5KlGFtay-s)So?eb z9_Eddp_S_mfEhLORB5Sv`wE+Q-P7L&mz7HMv|M|5DT2_X{OIFAp?iH0rQ6JQp9KOILn9Pg*9z-JE92x1`I-gl>C$e*3@R?s0 zLgmfsvsH*sEZO0BXvz4vH>FG8wf$8ivuJdWnIBxr;?KQBg$~cz{m|@ zFF^M))D8lYJRTEaXF1XkO*jqEy}e{*Lx!yy5bOYN`BLtM=FwF1%A9~qQ(*mVm`cof z;@1S=V09Ak7Yo94?~2K?Zg?z3EYL$@m`XRjv?+ToQSK>#>vNv;>PQkzh?q0{s1VSR z>B#`&c1~jkU@oBTu)A1{aCx!t=s9y!Xr)2AiaISsohs?B2Yy{JdKRj{`5k)6rT0cL zP%{$gsxY)1yn{Yo0{3a*9Y*sQmiL|G^2rY9-S6oqfe3vllSUhvQZ~bFb_rbDNVHjn z5tpSmc4Pp%S+FlZxT;cVer!k0o0S4^4brum>X^0FLajw)c@lXpX%i3(7wq7U)B%qa zfo4bd)Km+(aVVG0Ktu)tt5PX{!_CD}F>(y}*R)EYEk2d*sB8pu<+FgL3MSG(a2#x; zR@a+Xufdb@4I^aJ2-n_h^-12;F`Do!FU&T~_7#z+$4j(yydYpo6)-lpynn54I6#O5 zpxn6cJ3j;F5T=A#j|dvt{b+06VSpBPFC<@$b3COMvUABUU_w4x3hkPWrVs%~3G-o8 z*PB&uXf5)WBLPF5Gaq3RkPj_a-jR}32s`WsK*^cuvPJ&l=8UW`+S;P#-I25wm{47~ zVs>EeT#~yha2jbIK<-udn-Svh2rz@6X*3`z0yq(%g$IOCui*<^1u$iJZ4vtuhw%M; zuC=grsygZVT#}-%ldUk(b~X{t(YqddV}__X3uoOs5ZPh zJ}M>_wsgs8cq%Pc!)tLepiSTDSpka?c&QxBC5Bfnm9JPTr*N%p6S#%Bq%3t3?ch#j z?o&z=L)b<}^=<2F_11^GWl70_fQxm_`?zf}d8->)(w70$URa~D6vRul43BlSL=CNk ziPytua7Ne`8;lY5*;7~NvF(g!7yPd;x+H(8{BgB9uv+0}#W}IAcqw0)w*yvHhe$L( zatA@1`+=51eO5iTR8y{D3Bc7Uza z2jV!u6VLPA0bpbRUU~RmIX7){#+4+BHm6_46+9b0toD^8Jea5kVM;Q`U#?(+j{8q4W*W2BfMs(17BH~6bQU%1regf zB9gJwIxt)!#NHQ?d6GncR_5aeB|^l|%3DCp1SHGLsYHu_NC1b*cAnRXe5tuvGBCq= z@8Z=pU*q_yDK&5Q&Fm6N_ZK1s3Y#)w`R>e!5&n1)mRoA<)(J#RrmkdfRIMW(qoubk zu-_}N-x8qjPfW?*b{?apErsFoths+}=85%)n5^DLi#~DSo5ZSb5~KTsyJXX08waZX z9PE8BnASm8ID9Bql|O0duMm?cFCWM-6##F&(PdAlGX}ay7T-rlD7#t z>_gP%eQK+{|6U;$!;TwaNj(F$?hf+ivaKhoGvLPMc&+YGTIV7e=G84z!g_3X`7onh0*MUh}p6v2RXD< zeAO9IF&pWatc;JJLh)7;@`jiLNj24;2rVp|2Hb2PyalHcvxP|xyAZJ1Q`_bS&^WtJ zAsRLids{I!-E(?u0}nm`h6sxcNN+&QJIvyYpmWd78 zmJwMCj`Tf&X+SOy)`VZUk@L(D+qpqpK1~(xmSeO6UScfQ%BJwwC zb@!au25IgHa>8iNxNRWERQ!Z|597gh!(eA|n=S~Wwd27Ca=^X!6%b=y)Z83Q!}(*h zTamO|+_5^%COY9xA558aRLu2~#5a<}AlIz$>Tdqn1_^GbE|N9yTY1v(3^XkfpnQ+I zGpZ%SV>Ond6dsYG+EdL-^pIA%&hKaVh@z zrI7?N?#GK6Qw4CR^M7`FNSa32VotR-rb@}1%!y6qKGf8+F{w3Z_NhSkc5UY!hrT zY1@FF%Z+I4h{OZn*LDE6-U@!W2Rr^mDvyHOK~u&j7-@6rbP_DoZfHg#yoJ%c4HL#D?{=tS#C?C>f6uIvvLI#`Q%PXigm52zKyI! z1^VHx>UzR88bQRAIvaS%0YZk1p09~Y3Kg_i*C@3972@(0;@p<1##AEO)KntJucJdn zi@gKqYKt)gsKqaZh?zJ9nMuyF1fDW7f^K5Sx0&~;MH0XZuT*fGgDrrz5YY$670}eE zdTSDHI-q~8;s;!YARj7!$=DV7K3LYR;9D&@_WDj-%$+dY62^)G3p4=u1&jewwMaxp z_L=;A6j{JT-N0o>_Ne2S7HIJsAyAGxRlS@PyKl3+!VB%0N`+dnZ0ms?t{=-zTa)L# z{CWw@Wz87BY-s4EM)PXPYYHTD@M7Szq$3I7HTh@sMAFph08`#4dg*y^L)zGhkm=;T zF}AR;H;Mv5`PdHFoC8)6Nz#Mu&48dhL&T6_clgv_Kj2D)>bKyE=aS4dz-RKr62NC} z10NwZcAg48Gg1p&E?wVa8U*nZv#a0A7UhW>Gcv?>zT~T3zBE?2M%A`Pbv?OF(Vrh;t)j(g8!cPj-q954#!V*DluPyPV7cH4%=kYq~y}9&CQd3%R{Qj z7 zFXCDasp!h;?sLckqV(#a94U}<5OYd(jm>jtH0vY#a=6dc+6S@WLt`6eqC8|-ByAaf z0v(-)$~M4ny%v9ggZNI*-_SfAVq6s7e_IQWm01lz(OSH{LyoPj?yjls&J#HV$Zr&5 z_+#WZ#27BHx%#qcC&1a^6&UA@ifN!jubN-DHsd@Ba&OrZiD@WjDkMqCyJ=wq+owQ- zZG0|P!&6s=V>CPv#$4$Wjiv#%uzW;vdaMQ~#-NP336ab_$b{A?3vP@-aHxQ6V2e2; zUNTB2M?)B8c__uWV4x94BJq5FWCEe%teX|ZsycD)CL&8_*lCG+g!gSn}I7?*{g`Q~=__r9j zyd#ed$<-X|W^jUFO!YUX*6&eSW%FTgunlKQqUO{kaweWVbHNdEhS{7GMi7Q}&MMQ8 zX^pQI!t>EKr>fA*5-+HjQdRIn8u}_hB|$rD6>cIzt>?3rJ9tAJe3&t{vHhA@Y$}fL zl}4vH%qBYES*E&6%S3y*fAxw%ZSf*`CS(Ks2 zYOIuVYi!qEDercWvp=RkwXTkb-$NG04JtHHx|qH>ILcLB4l=`s1>Sv(?W>-nmmL=I z#?>6w{0g-2Ra3}TIA46@qB2v{m~r)uk8=2qTghG!-47{9%CRn*tGCj-p4uv2GI)OR z{N7W0`3471#bybSXa&nMdxI{8roj7(E|U4WWHIz&VNISy-Av9(#ph9NA!zsF{Gjwz*MZNkf`xoTmZ(F?L6<^b676x4-{rx#9U))Lwo+Yva+x3 zKeXqdOniz6erJ&<@*1a3R%A)otAEE?n^V_A+b{X}?S^+GA#F>YB4@<3^~eB4Oe4{7 z>L{V$2BW(IbAy*AdlDdV9p%jwA|-pxNPF|jj^QBWy=x&lQ}@^GB4?RbZ_NwHK3z@u zX!bFZX11=K^U6ZCHOiiQs#WkF1go|PovFz;7Ag+x^XQGm);y6Mf!`)|gG6dt2#H@& z!8Ee|fYoAow}ym5?l@0g;BEwQJfmgi-~Ti!Lc#DuPXJ>;8R9TEX+jS8WB{^32 zv}<4a)2r1=m+!Tg5?DlD12Jb@c=d8Hf8Z7Zufry#2RDbK#L@j*zJt6%_+htoA!!}z z#?%*<)yp5SJOKkAvx3jON9ho}GSZsZB34!6nLk(S>bpHh ziPfd0Guu;&+CuDu{05mn3_ck!!@E`)9iO;xsB&WazVDg+?Jkwi_stp>WHLM0#-#=& z!%pmpu4ty(;Q^%~m+=AnHzCcB$D{j4mro2cgU6pt3>%D%_DuZnnaIBL^}|Od60AHOMmag_?C&@iBr)E z!J{LGI}=lTKZktlnplwHV(0%b%KfOpt$vaB6LVc8MMnio{~GNd40r^f>zB*L)Y#+e zj_#Pa|89aM5|$A{uw)*azq!G2#CKwamw24ZkHZs#%!%F<=c-wa17qPO(%uFJxhggX zzum7GUY(WZaO!)}w{zoT%?-Ih7UxFNZ+*8A%1^0fdi9UZr|e#Kv}v&L?MA%~LH48G zHaSO2d-XytQa!u$t^1w4JY2e>t@8q1l9Mv$)$JdD*SbIX*+^aT=1S)etGh-6G)vOH zFS!0{2feYbvv+UH#NLGF&JmCD;I#vn97~y_H@<)T^4h1+roY+c=g}xqs_{yby(v^Fb4IwNoD}p4lIH_Fy8T?Lpy!4d<`n@#zcP_&(y*wRxm{ z*f!Xp|4A^DIcU$D;chVR@6q)KR=4+tP6Ss@eDC;lkpnm4@ zd-h+|9tOFzHT0J(vG2N2+rvC^>1yK60mcvK=%>A!Ke`ed`>nf*Lk~}MCVaeFlpiuN zz&O}C_T41td2fD79kau&(qJ%fLbY(J>cj)6HxS9koVRm*TSnAbHTnfaGF z`(&^3kHwGf?Jhqqv!X}W?s&_@*{ip9FBf{bUvBE>oU1}YCfgHde~SBbYDNfiUC8KH zts|eFI!h(iy&p+!u2lS%MVFu zwW0M!msmWx65#c*tM2Rk@z0qaV|&s)j@~}i%0n7EglwSeM#L zTvl$$wLj9UGDt28`RF|%*wvCh;uqFyQ@8YB@V+9Q&*MkFb@xhnES^6wa&ePs#|n@3 zk&qA<>TvylWppLeOT+qc{%xD&ngHgw2=ir0`H`20CvF#{Y+zci)p(YEboGk>gQrEc zAxbr4iN4>89(nz64x0F0B)Y}rgU)B>sT)k|3-5}X?YE6(R~;GdI?&5LcsgOu%S~#l ze8+0rh{rc~MO+gaB#j7GKI`amEbh5*Ddh1b8*)%x7rn6P%{9H!ANGeU%CF%7>>&?)iEsAtR*QF@?G2o7+>TG?Q=Nm-hO09xO-^ z+CP=Ys3d3ak>KawgOVmx^WW6{y|yZ)IJHU8*?ZZt&Uv$j`%{R+N=3})XHz;2GD_|D z*R3@U4ylZrb^1u?!s{;WF7D<(R`!mU5w6f@sWguTgtQEY$3*n>s@QaeeANAJZO?ks zFwiw3`0=GYli?VCA!E?*-o5Y%uZ2T;8iIdkmWt-Il?J7pA4vSrzIl6dCh5({^Ko~c z@^gpE#(9$OzU()M{an!-^U?e zc6RU?=EaL29bmJrUaK*>FsEimuMO*K-`e&Ab;V<96T>|r;|9ZZx-}ES(WNzYKSCzP zCdOkQ1p9Zd_~9}E{$hS(VNe^#YvhmG7)%U@9Q;rkG_NgW)MeZ!XyU_2;j=;T4!1r| z{4k*R8jQUzoNL%W=u%f%GC+3uG{OuS8CU#1vh~f@Rf9hULndxc42F!oY#mfHnSbz5 zc9XzLr}6&BV{eBu0w(+y%=oCF(jDki`m9&A#4U&|Xg^U^*)XlLt2bsjx@Tj5oAL42 zu5nJSrGl%|2KdT`2XnHUA8e`Hff*h?KJ5c5IXChrr7Yf=42t z;u2#a5~3`#zWZVK5jAERVuu}CRJNcz8h~Sf;R?PVG+Mp_bIrudGd94t(@fpJ8$K1f zYNZYC?0tB2Rs_0w8@{04$N2|}K}DQzsE;(p?})SovPYT_+$RrTYT?R!CqLEE8Ns{5 z90<`R-9O1;&WRQP&_ET5ZnsbSA!-+{*U90cJ)kf@5m&maLZy5Dk2mnWJJjgSBQ7b0v9+__Eh4K6C{dlfP;SO3`uV4WS&fD#3S*y;K98Z%Qo zEq{{3oD-S9k;4Y@aDndv!xakV;62!{ort?#v(BZ8(;x4otl33bqd-|xp(~FrzenJ( zo^Klvj?5(^yW>|~e*TZoODo(ap2xhEg918cjolO|Xi(yfZvJpcj0UC5jgvEj3Obk> zPla1f>kKGMM5XzN@KR(Q7EwRk1?vZ;ZggfA_j_v2XrX{{8?>Zb@#9LfF{hJuh6XxU zptjP}LmcXx`aF5y8OYQEU4qd#Hb-!xl(4pljt- ze_ksO^%N+xqCB;P3iH@n&Mo~Z%Io3k{a2XnarWT*pJnf;<~!~nwF+u;)=IZPoer)M zIg2MBfNR;m>;Jr_UkNJbpiu6r({2QxIImOEO<`fB)U2vtnHY$(s0=_o_4{UO!#G?!C zNw#R4ZV8KM;OmBkcZDj9TE)W%>46DbqEK%^EOT2Y-Le~v6KV~bKMZPdD?VOxu?Om? zHh-BD6#g!q;W$vZ>-Ho#%*T;uL;$X`cYAcjw?+Ifg|I3I`1pZKJ5jydR;ZV|W_ z?2hn%aKjFynD=#GbGt17q!bev;uI%8-``8~Rcvk`7?`-C{&I#AlwUi~=-7AFSRIvJ z*yFMbsEzHAYS`V+%F-tzKHh+PNVpE}9Bp?ukJiN^kH{apP57?NU_rhljp5AXc>mS&#o^M6}i4C(n z_fJkr&U3$&n3FQeDdAS;qx1Jso&u;eT!siFfkMD*!M<{%Y&M~6{=-Z_{XJCcLY*rB z!(waFkWnix9B{)#58pX`=u5N7LR+PO7v?7g*I}Z^O$B|2S;ztlU<>@L&l3(`77Yq*!BqXDFkf%10E2)MtEC`pZ?ckREu6h^gpO!P$lz=8fN>G8papi(QpnIjRJuKSqoOc@TzkNRTC$x|A1>P zkoA?6c_S$k}%_4<2dNrzn+&N7jTEdjl)b-65;i`C4Q#QE* zlS9?ugHwnAXLzVpp5O>)%ecv+(tHl!42Fu1p>VdGzWHR;DtI=C;sL%ggX@C)jG%zsb~#a|f>&l_>RSyY_gV!sIK8oCR8A%AEw9hunhfME0Y+Kg z7sFR}RbC%{HbFhvKod~IO#Vp?%cDTQox%*aV_vtprR09RGYuRAI%>Mlkh-AJ5q7| zJe2zT2CoE1xs&n~aZcZAa6C1H6@OJgycC7Xi%qyZ(r_f8P(0V6Aq~d;SQRqvh#Kac zj!J90hK=C>#-pLG$3YMZD+H)jq69_WXox5N2r6*@00YAU?DzX+T(pEOty{3+`D zc9%SWq1pAvp)19d%R`MXl|SpdZG?3JU~X$x&BDiO7An4j+CH%3pfvz=Dhwd0!?mXG z_Q^8E@(L9GAiy1%Kx-NxNkzgUX2X_((pnTLfzW_~^a4n0Fj)1ywXJv%V%BU0bf0#cz`cReyzkT#UfB5D3 zE>T22;YZA{I2~v_zeA&D3T56FxmH;qXciV2=AA}7lcp&$;ITemuxeFnyF68Yd5scO zg)TYOw|u{$)=cCHXQBc`J7MGrXS}_$1sBVzP7xH?#|MHPQ4`8MFQJ+#GMC$)Pl577 z5MNr{GtZdaw;YN*K-+_|6wkA7HN530GzYn@*;As^=E__~XkZ@^nZbIAo)zXcls-|rR!O84QZ zVACdbs|%J3bZ_fVSZD?UoRp)E0n?5vW!Hb0R0vzxN{InJv$C%nS)t+!&Kwy}pif6c zpPNGKPD-BHPl2$f6%_5;Q!V_E8i4-ox99@d_J0Gzpj5VDX6WpabIbl=FrdP|9qmGp z2kYVTUl^zjq*p`M+#KXr#`F(hm=fp_TmT!__mEqQ-|QG=@JJrVcPkI*!ZVF_hq8SX z2@c)>&xT6zkNo6?{faIyFUb(N=@3vwHFcfsHoY?gHkOEsAn<>jWj@3Ka87);8J{> zpA2KL7IKyVdaeX*+Ko1#0~e{Ak$#dT6Z)8ZJ3=O^Gx ztq#2|@)G44f@w}qKORx&dW&FT_fI$Alo7+i{#jE$5{#5PKWplte%_ucG=v z%nJKeRNssw5ReN4q#a;rTqG%mp``;eZa0T+hDc!$1%db+S}G!$x(*lFCy5hbs8)v> zdNb)3^lpKZi=w9hQ4g)a-I4Rk!V~e`Ahx&z!k30+1<0sHeB_NbgDp5>4Ded-21fBx z6t8VQR&@my?NVb|-_{dlo(nMk3sC2GIGYgiK2Jwy(Cx^#&mTgv9qSt;#D!LAWi)Zs zP+RlHf7}sD|mA)=0VTw*H`wrF&{Eyks^DEl{db4RcLZhp!D5 zgyie=md-uYV%^+oR=08DsJG4qi>wh3OYhR6(urwrf-mQ^Z3=ql2beJOIG-r9bio&m z-WJv57mkY$YxCaWKk_3x=0>yCi=M-7?Y}5tL7mE&^aGc6=ntxeCw8qmlDv4JDZ5y5 z#&Utr!AsO^1_uEWMiF+j;@)IkZ@=fmUsfFyP2V@EL*u@CqYu|17TJSj`%t0uy~Vwq z?sG#VnyveGbsr&G*32pGJ_dc&C3}WP7=C9*S z8Ubw*%u>27Nsn?DI~YBuRlg;8FV@@ z7cq^Lf)FCi10lk2%cyGEnZULvp<$dEx`chQQ`O<0m-Vx5M-n!jBs8K=_rah39FQpA z&p84$3KPOi5%((>?%&3p#u$->0Q{4)lV28i86wa!=z{1|&3Hm18>7tX_S7FIv;;ho zB}L99lI4jrE-VIG3I-|}XF6QkHog~7W+~}#|9^|BXmyMeFlrt}67I$jPVmQZz#hZf zsZIHu#qzu(28@ytfUSkH2i_JAe;Zr_Lq%8_RD`!I%`F zR4vK&3f}pnqPD-@G868p_s|uBhQJ=M22O;(98vVbVkgw)J?L_BK6#DkR{0iutGuCG zq|)$ z_!F~qtN;=A^lL<#$CZ}{zc0VaVy{BC+V`Z8rru4yVgb}$_0?16O0*Q=E~XU7Mkc6nN1~00(a}3sE#~>w{%R~g_vt|W&wGO^X!vPiaYw? zDe;iix2I`5QUXSS61x7L1W2U)CNoKr**Lh~nlv5w~7Glz7HfS-Qa! zJyAfad{Qn1WXb7dYLi1E?r}EsctzvUvhCs3x4jh|1`5rtY(YQ)ME#jG8=lwl;i7(z z-!JOFtq0xKnojaOCO5NJgJlui)Svw3%2c8_o|Axl#oUDzjm$F_5h?}YiGrBg80c|Q z{IxbvT|S9VufC1obWn|ls3-+e7at>>13Gs0dz27v5AL$}VaSO@r*LPby3j;zX7%39 zF&&W$r)Sg&_>UYf*}o29oV+}-6L}co=)G>>G0O_NL-~H za7H%yImH~GcB%Y0x!dd*!NN;%uI%(Bx^WAqe>>+0*S^b==Dm@7&P)0`*O9hxy1$cL z{MuN;Ln~Pmwe#fVSBw=E5FV@^OoTjKJg?cY^T=kIv{G_v>03b`t?=dH|HgxXarLUO zimKl0A8w^QSM_NwX--zX|JZ@3f8oK<6Ns5Q&oAMW&*zN_rvV5T7uW+Vvv;Bb@+M+y z78+8QqJ;&Z$ET5H80fK-!yaitK#%fi>)}w%C4Mx;@D&lX0E@v!)~I5VRypv|WMTgS zMi7C--ib=ZrY>7(qHIXDLpUXD&6wH}v9JPX<%swIY7)Vk0(2|SlI+O=nP~%ir0&Jf zwZcS~Y=)dzbk2wE#|e}%+oqEQUs+;-B`NPX7w5q>k?)G2X8g@=C-cvlB zE*}aJ1I1&k$s%-5O7W{2>*lT^v#;wjX_0#2!med{p$6G0x>Y! zL{EnK{Qh)e-*7sh1f60Dj*Ey7xOU<$=ST-i8Ik;fJCf_j%jwcz;U8=;Sf%^w(Hg{I zQ_{DK$n?d-r|6Y~%M&7+|H%e}O@cPR-}SL6WJzp%fN{|BpKLHP^HA;YY_Jx<^WiBG zel7P5ZsWB?pFafmel`WXfW=1#(Sg@ zFB6b5k$)ls9wmlw#gJzsdh*~0zXvso%7eqdew-W=iyW>bJSTAYvS+Jhsfb|)f5L=d zl_w{pc*e+m9*I44@tH{Vv1Wr^QPr1`XFg-Z4`%m|7$Te;gRGfN9_MiyNyi$kT=>zD z=QEt7=e`1{elMFU_lBCw~%*Q)H04QJ!=o)5Dh2LA z8Wv-u#K#)VN70Ow@w;R87bdzJk)DF5n#qODaF>16b7NBXDO3LbEGxjHP_S)?$0yDe zJRYX~Og_y7*vPrKQxxjJMt*8UjtAIJwL0{P$zVauMTiVm@o5dU1y5)`nh-%A0knKAdA21ENmLYI@|IG z!3@Y?+^vLZd|Cgn3_5tz?M8n2&6J;?4%omQ00yf=U@)}l%79=dGIzaf=z5rXVIpjW zk!34102^o(L-@lms4I|O86#VFI~;8f{$DX3@l2Tt>JfmLXdm6?i*?qDtT=;QeK@yEl6-|j4EU%fWANWaGhrL|p5gD8JnIVlvIMk~LV;g)O960PmPRBR zkobTn^^=^^4L-bj%IVGoQDAzho>uzh6m%9=ESX=eUb6;cD`mNUDOUmcC2eSY*lJ`^ z)OY@I)yIYqmi>zgHe93X8^0E4nD*5R_eH*CpLuqMe7Ps(F4%xd@Qd57Yk*%Y%*B53 zX1x1c;%V_UnxTXrpTspEPjRxJLNo^&-$ozBo7#^txs!A3j$Q-Ko_^gl(!5<9C|EW> zX~QvAeIy}Y0r`a&r(vyd?2i2saEY~JQVVckG#%`{*cz%Hl;_3}wj3v*$OKV|ARf2H z>=>Risi#p(AO~_`2=g;2*;Wnsl%on9))<3I#q^efd6KqGaO#ON@&gQ*Z} z|H%XU8A2$gR@(h;DMJ0_LkSoi#tq<&eg^P%jvw}|sbU>L`~g!P<7!vwe}PwKBcV;8dda}e42IAcoD z25`8_khmRgM2;D;h>@9qP#+RE%go960pXRGhyNE2jFS&l+BtHgchP3xZ1MdE4vdpv z=C$5@BzK|;lZatHfObY$=_G)YVy^3Nx!^~X4mnzJa>0WgQ0Ko*v*FQzmmNynE<6}B zbopV)Wo9|xgQpK>8wCaKTw=0*E!RM}Hr~W39^?agxi}q^iUCR%$}lgbk2t%s znx=wL2|B6AWu4`NKkcIIdV3ns(aXbGK}x#OvP8m#bXCGLLMIiqO9(BFATRG80D9Q`luFNIc zA#zue9YU>X&9A-;;Q_n|+9EaS4i?K%>glp)VvmF5}tue0C9 zoT_T}r-~)q3aQaMV^lK*+)N9=!8hz#yq2eXWZ81WZ1&oly~_KM24t(7{F2_kgm$M8 z=4*`>dy5rvX^FTggcRV?mTg#CdN^`)9H!;8Pg3-;Y-J2#lXy(W5{fW?^^}@9_GhMo zpPV2682luw%gcy*^dLWssaedB=Z4thz2pduR?_%~eKTkqZ}tF;7!7uQ*OFC!H&11L z-YC^2N(LNtzzR`GR&VJzE2rs;!R{l>8xAA!cT*;QBzufJI=sEBw9pnylE>JLkVf8iQpAJvm`Wnby(#7>1sk61!ZZ$R+k@coJP%=t51@)t;M zV~mKddh!>7fpLly+sg+(bPd=v6f>)8zs6SNZlx9u>Xn`~zQ4Y^SHL`{HCWpHVz1jb zm9wj>E~Z;)#eZ1UpBo*Oa%QH8fu;3maPXZ$1uM=)`lSU&Gz`=?sU#0&|1ZYg1f0t4 z?H^Anks>>?384tdOxTfxQ>ID?We6c-W!O;&(bkqU${30yX)qNsY#}mMl-X7hVGEi0 z-|N}C?>XoFU%&VJUYG0Cd0N(UueI)JJ?nF?&$!8PS?qFbQjq&1%hMo_7F()b^tL^z zV|uYblk=dzvQ*i)MHo^0=)P_A%1VGMx)0k?Z8}~Z3nd9Liv|9Lx-Lgu11(3wOxyQ1 zT-=NkkHwn6aI0^Xh>Zxh+&;%~e0h_E!9uL+_`GTbzY)508fzP`2n0MYc3dR0;o#Ey zO=3%VQ$mGH5|*;#N6u2GC)Sk;*-;Ti%fJSh`9==%&a2{qt_%OUO+R@tgmjk;CnGH$ zs0n;v8^sVa$L{f*dJ{zu;`b4^^~qE-RdlC%MP+IJ5_6lT4zrl`Hn>l}Ct4P9b9hm0 z;%yKi80qdcpf5vBQz_8&+;b+J40Nm@ZhiJu3<(Ffs*4#FH%V^4DYkS^hv#AAAv-E? z`~0WZH8>e5XjhtOCZ*N(q(}OQ^D^ZKX~=lhB)E~ z0V4&AMZ7+CRHeHe#Y+-LVu^_{+uHaOcf+V0sFlD9rY0Bh3;v9gg!S#*XG?`U<%{Pe z(0TvAL z1a#W9s3}PqF6<S^H^rhG>vMu4`3#Du5{PxHtJg4O)g8X&6GwC4<4$5)byrHXq6vIJI~=c~@|Q+~~`Bw25^Wi2TizmP;zdtuD>c}ELGc}rj76YBwZKHj4sG*7h59CS0+~{z(w$^gCj!jJ#V`&#he_6 z#wu+gB#yoVJO&H3-;k2GoTUc*hfS{b=k=J90enGnjQohJ*7W z=ukkCyQ$RI=i$nz|A-CKS5|3G+Qx;mSq-`ozt(8Mb)t^-J1=3J+q^#QENG`r1S#kF z=Za6pF%^|<_i&}jJ(XE` zy{#yf=Akgt7VHdH`Rc%Bk|*Z=NS^CK3?~;@#1ZQprMMZh^VEWHk|zT|SXDXj*7!gK z$rG$pvy|k?jAUj=?3!3Cf?WiCjq7F>$cnmKnK#Z}Y#)Cq8;X>&# zru3@+CDIspmgy4G7|4vsjIbr~e@Gg;4E{ZK#+u<<=rJi0#1aAnm^Hj0gd{oDi;S!L zK4~lwYu!C@>)M(@H(Fz<4qEn#MHLF|nb@|uUS5t_u!?7}9`?-ER&*vda432$)0 zCdT1y<@6u_0xKwu{L4jZcY@4G_T^Z>TZxldFJ=ug z2L|F~1VB5)f1ti^g7vy!bEp4rnZcEasE((~je`dV)}J#dk{UZx9cf4o8D`{g*+D&8 zgL*MXbM;&0*nrA7$P4T=SlDfZ#+cADjOqnUMc;*x+Q5NV%F-g+QuzN3h^J;`|%}PEYDE$klVe=25lSOg3sR& zOT3M#hBY$S4$NSOSq+OfU`ygt_CK53;$9PtD;bHlaU)}4D|@cblof;zB)TP!di6C+R=x=u<(p~AQsLghD1 zkIXPVf)tCcM@%?P;QujL*o4Fo!B!i%#Aua`*KnHZ$OZ>tu}6-(l~o#FCM7Fi#+{5q z<1_e^VVPhuC;vOel8>Evb(Wf4S!so)8R!Vr0b$vlN=mN6l`0u5C?d^xyJS<*;n?=# zgkHnwSVm2H7wv^d@uOj@MlZ_zdam7T$WN}RFAp!baBkO4kfiKLXjeFULqyx2W|bg2 zu9CeR^4m|GTddp4XkqSxcsEKSXf0k;&vutae#2t(q?w0y)RONK-0MC*Inh(;)UW=4 zQaN8kvPmARoG&98bL*@zS}?p?wZ^d6kKUG2sku={&0xWBxb*&_zysNFk491n$&Z5C zvWqXJ>zoVMxFz1b8h*rGA#?RZl{7Jnf^(`20D8g{4>=$B-1W{}ip|&F|4mec!m($yg0k zdcwUQkes#{*%}aXOG7P<7IrKeF6`(xT39+(UU#3wvN$DcOC7t^sGmmaX)n{CzjUsh zgWE;3NvjCQF*3IG9Z5*1r*f>Z-~Vtd@z7yiP*BU<4A95V6C$$X`rjl%R&R5yOC&m9 zh&Tp)FNp;P{T{BcqiX4Fj35QMG{?eD;$-9H@=8yoUt3_wT*MDO-CRc!%KKUwZJBe^ za;$PJT6O%sQOw7p(0JdtEba>*_u({a!$>`~AF3-+ds#6-%bsc#h|u)K%z{P1ZIamhl;k;tgn^k7jZV+XmKDK#Pf`>8eR~ex?G%Fal?sI7>B-ooThDS_K)+z3f(lW%mLvzFF0SX6_#255*i*si4#&=<&kjjJ5SJYGGvL(cGQ4f`CsNX9EqLrP#qthQ1C!1 zO-8*sLl`LfRq1D`RD|YCXOkG>GXsT-AdP`HyX6#!JYR;vP#4AU>TfZ_3*Zcl);amX zoK;+KNstgEK^NbA*F)aGnh!jO`bd;6PE*o&;r{J&9|;dnUW&^Ds^u!b zzamINkON(OlVANWFS9U67!Pf&2U(M^hzN)Uem#q%fR2ABAfhr*pG}Y@Yq@@uolJq2 zX7%7-jQ6u%A^}s`sbTdRJ8JcN_M!C*9|yL$moBY zUq@kC74eTLGR79#q_+LGm^ExxnkpnP?**B}_BJ%TKCwhR))tbrqlY2)S(j}h?@WC- z0e?wofz)TUe=FqZ{5`@D!Q*g}K=7ENwU~I}WC)w0VmFTHW_$By-D*+z*wm{qUFFe+;u2 zSeId5FOkgaNo~iyjv|kYe~X$Ph3HCz{ixAxg3hyGhmqs%FUJK=%8tX{CoU@rS{q`jci3o|*;2Eu#qSJbJHU*;hPo~_^Eq-~IEHPhe2{W! zh#4R<>FhDsQeiv5Z&iN`GRjP1SaH0SNCn<$S2R~KYhbtb`P97nUxAUDGB@CVdY?qE z_xP5%V`8$6Iom``alGL3#J+VIp#9>hHNm6;q=^E#))kXf*oUrbn0wUwpT^(mjioXy zl1?5gd*S;u|I5q3v=ktM(Rzt8@<1qTJ z@zi|LP2cXQ{)d|4uezT^Gba$ZjgpoUn)tp6T*YtvP4Z- zZxOnI8++CHBzlAR9&X2^CI9=TwU@<~cmiONC;vFUq^s`vrJ?L%-O?aOxdr;#qJys{ z`B`RkVp0Gr8@K}T)GHO00Z$8HjpJm;Uw(z%xmPRtK7^SikeuAJKb9|5EMep&%?v&UY|XQ<-RM_x8p_MB{c`@rWMK0e?gm9oiNL=moh$Y zbhd;p0yvT6TwOygp-}O_mdC0nLXW+;ANa?IxTqpRwki1BV|oj0@<7%{_}qg8Fg+)U zG;+Tk)d|x`koMdg(%oM?35#g&XTD}~u2CO(kp=1BU}>tzJJG1Jh?LDO$nVHG>cd~kDmQx03HWA{&u6twSyWM!aIAMZ(>b}D6EiQ|xr zgs`m-$%@f})bHcHuU~zF%Y}_D98|yLZ#Aqsene5+I&zQro!0eYf=5APXYTUUtT*KcBwO>2yKy{8KqS zDQ&IUGj8?Ctoa%VHdf|g*;1NTF+3$HQ767G)EHO^9c(#Pt!`0U_SwYl=Z`Zd`-Cf> zH(DMoX}vSbxzN0rbWUf}t6#%gTT<0?UuajK4^7I8-sNIAXgz8D+mB{)c>Sw3Z@`O88)4nSS zNo(zKy=OjDv_nHE{St`sDZc#>u)!6_^oX7BWn0Tzvx)9ekz+rPutq}!Urp@H9RzrH zl`WASXp~s}UTi88H9g85R$Wi_xZHatOT(49=gUJbLGUh2v_h9=C}?7LB(jSVcao;5 z0MtJGX``Tu!fU0F>g>5E5+$#omPsUXM_GTmjvpZIf&L5X6Sj5M9RbjB#_1uLKP{L) z5N>DG*rZl z{fkgXbF<$Y`0``1eO=P;6C-2yUY4X z&%UqVNZFqF0n*Oh$_FfBt3T?}nradBJ&(RQfFkL8pD+EhNIEi(87i3< zerzQ=I{|F|eLEAH(hY!zZ+=(1!8AOAk1y?_0n{Byo<==RnP^*~4)2(*fOf1*h?dO3 zK0=M6EsoudPl8vQiem(32WW>-k99*UU_q}i6K=Jhd+}l)qx4u=9;gh^c)D&hvpL`H zwY%JBm#bjKgoe%rG-3zDrPTjLV0IWv6Ub(J`B!lavvZ_nfAOd91#<7-Bnj+b=K#X8 z=3S?tIFDP28+ux}$3-xJ5@r6EtT078M4(2P8>R>Z`n=yhH~I^Jy(8mr&~Wa{eyaxH zQ@aCKqQiKY(Vg%P_Lu$bh{Wy0-R}0*P?})$>0^Iog*NRVjaEVPYQF=dNwDavd;4;e zZr&CEK8|iyK_mWqz?t;;ks7CL*YeW_r|= zVRmvX6#JG20t=c`sCvA4$NR+#Z6I5Ny6dkiT%kCT+f<R*96FT+-iw=N(CNGjls&8Q z4X=pKR^uIB#R0BVS+?~77EtVzb!PzRFluv{k2ih+eFowypu&2~Bxq&&>^s&k&$CnXwu$GZcIyQ_ zy0WyFrSQSa+XBFnQo<8feTOv~h!XE(N+3oU1t$RvQ76jV=}zMEI<@%EiATfr++k*! z1oNOY=W=E^t?-^5s(t+A3MJCT5WkSLZA?!G-5et}gzIrDLe--rvV0RxSOkCWT)0Ys zyWktV$9O+!1MN{^(#t4;$H3KsbqduJnW@m7$0=_pB!$P39ly+wm%Or)Ao+9v`c$K& z8HJ#-FvOaKNxG6Sw2gSwiMVq;?Gcnwiab#T&vRMHiI@w;%usH&tqjVe^&UTiyA)I= zygT^H(@evwTUJD)s>ju*Tc57wkblmsPDxuIQhj4ZG!(gJrheax6cMVJEU|8#q2h7> zCMaWy=jrkZ*E0#%Q&5DNgrB2_KVZXB%6-Tl?W0zfhS{JnYFQ#dNmE5tWLU3YTdBZ8 z1HA%oRh$Ch&Kja33-SP4Fz4q)oUEV?-tKJ%qA*};d2FHtQDsH6HLQy)YCE|S`SeY} z)rMC`*(hm#;d(;Yd|iY)HFQ2*f<v_Q<{|$Ha+$*(2S${x4AxfoE7Rlt^=;d3}t{D_pDK07cPT z3BYy;UXvLMl@KTGiC3^*uOJ_1iut%!R^T*4lXa4wScKEI;?%w55qTNB9+8)+DVap$ z=;USZ(5edKKnHn&@GhRG6=F3i660f#m)QYDqsJ-UVOxE8DpjE^g?!dwCP5Wxe^+)6 z0d@{(F}N!pGjzICn*G+Hfj$*&O(2x^~^u}~rjY7HI*B4&J4*x*`dp!yn<|wc5(jpcjHQ`!` zQo49~LMQaeiuDQQ{5qdVm`!gZ7Gl1lkQr&z2GlfBfpCg``^tJKK!>8o%sBsqW5LyQ z&QX140+5zqnFY%KF83iiwGEgLLZqXqLzrjt?2 zkoVqe&K7}KNwH`d9>cLSO)d$KVyAQW{om z4VJY7#aUF}VaPNfbU^{2=}{jnDM{=_kE6Oz)S0laJJzbqhqEVsd`ELe={?Yu{l~pU z!}Ur)<~j7>Kbw)m;_GW2h`CiXXKXWqn9KgN88HLN!aIIB1B2lHTaQ*mhYzcEd`^M- zW4Ja(qbyMod{N}%5TuRZ-`Z`7ik)}h)+S8Wv_Ag^GhaG$S=@(`-G7T3)!Ph2Ww*MI zGrUIgEnOYFpu0Q)Xv%i7PPd@T6)?Rea+W}^Alrd|?L`>1(%buV{k6drn;^TP0GaY+ zEp0GYZW`O+91YgXK$$MEGY*DSt@3;botpk*E25X-{>l&eH+ro!r0$YH#0lZ07zceu zK1QiaGtfT2mM5sW*PTF{fsLz)-dIuyQ9c>fN?Yq9S8NKd_$tRq2%8E7wUPH-&@@bm z1)8?Js~yddC+NafjCC5(mYE)N1zR}(S|F-d4z7J@Y9*XbI`VvPeLI%CgWCYwvO8h? zc(_|Z^7IC@sv0ci_TE4-hH9lj)(6alHQ9p>jv%W&FKkELc+sE~!>aFp^5kv*Lt6$~ z1vv(Ak$w4`0`=EW-4C>7pp}x3;TDGbQ=SGP)m|8F8QL}NpkhreEK*P?WEI@Ue@_wV z3Q93(TY<;yfh-}+_ml*d6*CdnO8i9>sRl%<9ULF&2SnGkF`T@LMlAXg8YM^z~(Z0zPt`hk-``EF)FO;PR9Zs_FQM41` zSFUC<_9Hu_gN9adC;^>W)e|fM;4Ra3(Gi!D`Uo`ClDrD-)la}#CdOq}lP+kcMQl(quuIs&=*T3PQZs?TGb(X-At8-Qi@PkO=4$N{Rn$o8V$6P~|b^V>sB} z>E<5|RS%GStO1!7VJ!~Z*f%#o*)gP{cs zWEXG=3*0Uyjo8Pq>_V_|C%tL*7kYLfVcGPqa`b^b;gl%szTToDbT$)IggzS|1>a1p zO>QcGx4<^|Y|s-@K=89BO}r!a*y|0oVEeb2em@(oT*;Mggpf_`68M+_3iuQ99Rt*7 z)t!}eHxZk=WGG6X*E$o1o1pI<6WOC7Pd?%$+9BD;G?c{#qrbp;6Z>S7t6+@s`_?T$ zB?mkFqGVtIYk@7{hG!7R?pIg>3-0@zjz4j5eF$(NAwN-g|0Sds82^~PeuM*DgcTVF zx&OW9fxX1y$Bmmj-dn-N!l0ai0=<#Q`GWcK@+rLLW=1VRL+>?brAWgSMklr&4eZ@C zJDX7yI(JYz6}ZQYXxHJ&EGufFK`_gsN1&oI3a{aQ8Q43X^LN_Q7b6l$tmuEJ;|J}) z+^m3q?BRq{gPH8fOrtLl1i$Az^&3?(m+I4oLTM{{p>!#am!XqF>GE8)P4<^*spsuL zKPICe^i%a$qj+0NNmbC>m~ zHFGiCB`Go7?R87ntNd46l`MTUIPo|mJ1f=UplXVe`eH+Ix<|Rw=_Lc%sl_ve=}&j3 zUt=?g;Z95;ok+WwV-PoVM#wI!KWe9=ZB~!EW`5Ew92>`0ql;CkgG%|{5xrx^lsyA% z(Uo_mM5X+-Mb*7KRiwxVIuG#TUUQcq?y>1^<7V;F@Kr+l66VNJ^Ht%`FO9hgX?itq z3z7~6^T!J7RSfk)B2DLZ=Ar!ef`YK)R$E?yrD62Vb|ev zUJYvOaahZtvvLp!3kn7mlxglzFFYQmcT%MP6nuG$k-HTGY%9;Vbw`TIKYgtu5OW5X zW-jW`nc5iJq7HZdixap~-n3lVA!=`+8;%Jpg$bLAgw?)clKlK#%`v{7_Ivqav2iXg zEktN`R5x7lKf`)`hfkzmEc~HvO`%TC!-suQ|9t;#jC>^|f7O_S#NH~?f(KjWJx+Q{ zI-dptm;R2|M@9NcCcqTpVdf1iX{lsHw$jwSJ-mh!5eeWTIm)m>rBCKdZtZ7{uH0W$ zAK85?M!11aQdW|?RX!JJG6L5F_1Mkp@EB2#G2xAg+bR(Cn69t-?UnXVbF4_*x zSf6YhTEImnC93VBf-X|rU3$vVvf^FEhM#11fiU)m+4?LZ+AMJ4e!`)`KzJ|$9AzcP z)ah>z2cj-rNOj~tHo=waa3{JF+!3#uLYxgxzXcc6)xJdrRGiC;% z*XuuoUi-%9ZeO>n0FJM#hQI=cUQTX>mumy-3C_LwyCW{f?k2D&811lL+HcYT?MU^| zE`e=LjjUz)yGpjHiW=I%8n5=EViEab#2>(VnWgvk^}fq7eYv;*Cb}+rLDQNI?qv3{ zF!qeDIu;QM%;mQ>&TRi~R(v-v-YEXL%HAp*SQOBX!OP*7QJ*EpH{vnIqkZGTJA^5y zOa3D4wtutq?Fl=7?zML)#L3^8jCpsh#tU(G;D$tty!VCki&9)haqwsAcxB(yzRYESDPDl^RmEhR(#2({Z)5JWS|Q)PQ0=8 zTbP*qDCQpw+OG~U`~zI|e>0}bNYr7nrP++d!R=Ey5EBO9!nK!~8JpzT#tyCaqh=F~ zGr<+4sy*#>I~f7gc`_k2pO2K^0fl_6v-Hk^c%!+(ke4w6 zkAbv`J*N38vwZ$zBrk}-BYWx!*?baDsVAZjgwMj4_Fg<*`K4w|VZ>v_sclEzmJqXI29AO^ zI4soWrTjvhMKq1Aool}?(4uf-_7qIU9E2pux*Zvm+SW8GTZ0%fyvG~mTUqw%D(%;$ zn_e&(_yZo3$&u>gAQSj993m-$P7@JHSsHXHb%l&E34s8Jq|C`-Cos5dz*cKl>qfkT zLjkaY0MFQ+RSvn~h=L560FaRF(*@qdhd@CF2MG;17OzC)Ymk#5gVpJ;lyBebkgJ7s zVAFMAAWQSnt!fD-w=#^{!)y|$$PDrL`ldTTw8G$8VFkh#Z4=;D38`@glcpX8E}{C! zhFdYs2{FxL!uBv(@mI(&m!L23f^80pn5@p2ta35n`Bj`8CM$q&<$5!}NSexiT~9 zZO9VYY6fwJs-kHi6BrE1q($#SwV+OP7I-(*2?n9WY!we?` zlVt|1n{x8H;tu3XHGk+<5)SP}RAfNNsn0C0i&^;EDSnYqGMp+nuy1!@*TH@ep9e_5 zd$5ML0>adFxoyT9ciX&pu@$Wru$g&b6VP{s+{c(J3M-z1^%)LiptQdX96Fy20-@Ux z_$j}0bbmPdp(`PKrtLwXZZgyY;golfmA?aJ(kqbLS~~?aLNF+Mhb!PR zRykY|m9J!|0Q`9pQ$hGVSU!8__WBqt9yoV&a27^yZwjetLOgw-fxtv2d=q=S*q^tv z+24e~7n363?z2DK7k(IH8nHbHbYxN@+EQq#QdXkK+sccl6OV0Y!b@Jkh{se@g5gg@ zJO*VxKs<&SmWq@=op_8-SX&?_C0&XVSUtx2RHCrLU0)j41 zG^Ar%4#yCM5UkU)eTbq3)+t57-B4EPL(6`L>zBKL7X%o*U`)@#Yk`+Z>2m*v}t951_|jQnD=ADs3J{Ce@KiW(T8#dFtlGcVppq zrF`*5`C@GJM5w<=uz7h_2gU>+2k{!1r?{|H{sP1JX0|#Iu}*1!+jK!gjhCZCVT!c2 zL!q#IC!^2KCZG>G&WYazQ*X4I2`Q-o9zRNEPsZM^u@SGqP?8H=@|}2OBb|RcpYLR{ z1uqJHh{r7)*lXw(ZEv=(YA2>pFCi?Tbpn1qETwo46k}Z1}{g`7-8I|d}J zW=ZK_hmU2InDA~V+nmYdc5Kd0>p6U6xQoN;!fDEw7i|CA3xXN?$jSrDSSu-*{P+qP zA~QB?kk`X=Sc;E~9D`&38a(WyYaSV)RXZ#Yb2yRVvekss_(aqAO7Z}1nBZyg#hE~c zFesV^^gIv-fKxA(v0}7zk}!()2!A$L=?{!mA9lD_uU?~ z*wgUXOI$D;=5RN+(;h&!7~O5AqR* z2uaa2yl|Ro7tC-R4yn!C2-`3+@cpGvHelwx{}G*b>?TG#cA)UKH7C9Vll5{$(>mca zLtWkY$<~HkrSgvk`ZufW<>mc>APl&KxJLgDgne@XkR#OsL)hkN;9#u~l>-K__2S@( zWaVGqmo8WT5mGZqcN)7&*TwMxKbiWOF=SzvH^48UlpQh&53sOtT}X-OR->^()WO@) z!MmMQggG}XU_fZ^!s9OjQ(d_g9%vQW=-K^R;8sh-SjQOo1+b1`PJ`SGuAm~D9A3jq z@0Ab+Ptp)WSXCN5zn~}W!2ib%Yvm;b{F_yX%nQfXrnyGg-dh(~!-(FDK&<7u8x)`x z2sQJaC-|UKy3ds9L0*GSItF?B${JqAHiRt4iVyw$^bb85>suCCh=O>0s4umT;oC#8 zeaJ)Dm2t_3`O3IlVRymQuTS_dpd+$#SnFPO1XI<07cDCx!-s7V9-}8)Bci1^X`6NBH_`qev14oaDa&w>6-5ny@w=gZSF6ENn&x_v|@O&*}SJi~=subiM zQl;CA|L{(;zO74ku7&>8pM$5s(u#djyYC%K0d_Iq7&{nn*9`6pz}yvWMJ|_ouB`km z-;>ge@c{QTOc4aYM6~()vDaacKARj`>cWVS(?y|m%|A1CS^1;TXVG0r5p8<@qp@nc z!z0wCJs=8uFTA5C?9OC%A0RwyefTH%MYh342l&!jte;^0$-y=dvUTp$u>In8!@FCX zZN!^luDarz)oK&Sbb$HT2-dOs<0?e7<$xRn`*0Y0T1g!WVf3k7(aMSkcK}V5=u6HH zY|fA*%t~CV3cGHX8zB9uw`yNksxj=rB5e1;#yeTAo$QWwpGs@CQk*v;r7wBz@SYW~ zThw-hjnVdPL~j_`Y7!5$Tsw{BcK5uHm+o`0V|hBwEqz|tVYmAU>#>VJLv!Feg`a|v ze$wWBRnl`io2}g#K7!&5##EH(+mj{R+6?<&{q@g2ja?M7LQ>RCZ-B2euC=hi0@I^f zZ#jzXubR&EWXf)btze0(L`3Mf?pcYs2MLFWD}ekD$&vV(g`omKPzD=oITQemKw|8x zhMvRjY=1CE)Uy6F`0mO~NJ}zw&}QsiO)Pj}muXA3>t{?i8A28$Sh4fZ;GEjyY)_|e zN85T2ph-U^3M_4}Pw6=v_mTG5U-e+Sr!tJpU)r$!s?%!v))Cuc!M8!Y3EJ4B?;o$X zgI&A4jYr7^+6$_K4hEb#ln8e6TpQ>DAzrwQp|^jMK7ECJz_lAbh)B`mZ2713rJwf;|Rdm91P2VZJ*qaz5t0_$rF!(Kvq0d3caU>^q^2tN93Tl=@`-ery%kJQjF+zcrBgbgTS z0(6~I9h$vl@-;$c!(*xS5zuP4uy*Z!2RD+6 zJmeBxsj%!^X>Ft$B4}4e!6zJEg1}|f>c_W1+~Ke6Fmkr~wbqtd?Nd^`@Qv;?WDT=f zLjnQWpAI%%k1QHT(81s;)8-XVsczqZb!;X}X+Ch(Q`eD>Rcml5RrbZEhUwEcSJsWiml4OOS}_7 z2aASU4cz|+bnuNPTU#r)-Ehq_ZkJ~M_Rl)))2$D(1y#7J(3iC}RdXf3Wq;=;!sqET zKVR~g=Hxw8UlkO@GpcI7KSney^slRb?uGEL5h6QhbCKFgQ$ z-@}#C{MvqPqO81=7ge>&@MqaO8ZQRPs{u$}mPh?Z-;;eC0h0F-gXE2@g3rcPVhF>M z2MrN?f^V1zU*-r;{j1QKeE9PX`5tdwU61}j+QA_@Anl+baFVP=0KS_5;4?4z&!L^i zCnxt_^`fH{aLNKuCOC}bXOB){kG6v&bf5_AJM$@P2%X?|@iAa|e@^Y_uskwcHIML) zqmB~6)usifPpiYR5#Sx+G_UG`pI`cOQvRv9I7Kl48s6>`Mt~dmI0*wwVt|7-57E=)tJIn0BL`8Y})Ags|xy?Jsw69-)eDj01-M zCxnm#&S^guZBOHFk}Rjd;ghpdJ_8gK(TomF0Mqz+D>@DW1d}pd&QQ3?{TYJ%#i8$m z>n5MjF_9HC+3iH$k(23bIRMH8BcEA&tJe;mw#UM`Ih=wr0W=VuK>~U~49eQl(i5WKM1w^MTf>clpjn_KJkP{dMXT}(0vnDhnpA-9#$qRtr`e+cskEKt*txK3 z(;Q&n02ILRs%QWb9I%>zPlV5c9o^B{)`kSY1+rDx*M(IB0xly}%vl`)Cw?lx#m#WG zrHZuqIV=5atIHUU##@??u@K=CAt085^Kl(z$BeCVPJSL*4PZO?M7TCuDoq0rboLP& z02mlTgHMF90O{~IUEMn_pJt_nPM7#R>d+^`t%RrK4PJYkq|}cB{*1RGEk|@6Ldlrq zJZ46KLpb6_fW!Y4L}=Xg7$)Hlh;TB*YT}5CTWa>bbT2I@3>5STIf}A0U^#NfmH`74 z{u4#mUpu(M+&dpW5(3c*A3%Zt;J}Tk>xyX1Pe_8a2$Reu(0lmI9w&bFqj*7pD+7K7 z0ECY*Ke3t!n1Q2?#G`*O3!AW}QtBV^f<9aXOD&9SOL^fCv#|#LT zW9P#4vfzSwtXoTM1ff9Y+S~l!QG@_f0#CJ?n5#u|PDE&;@Pdd#r70G; zQs9RntRnIj&LK2sWY`CRJptT0JOEl2poDvd4fuai ztu*svmKdy;1B!%Uc>Mo`3~C0(kp`^tZV5mp~!v`twREsP=VfNcfAk5^;pNI60{2F+vFBeG*A4idm?F!YWb zJ35n=!*E<=0MYjebCVC~5dVT7hOpP!@&P+hwVz04g#~kiV|2r--E4rOr)UG@@j!5d zcUXis{rwz2R&jud1L6A?en&Yx!YnMp4C!m? zN(AHr4lIa$aC!N5CPB%y91J@IU?E_9k)#6uk`xT_^ePUYun2$>Cen>dJE}LO7Ga`* zZsi}<2@w9Cq1*zaF=5ytg$+wX#47%uI6^ymbS2;>3~I+y@h2vHG@$prPeAHyb^ zgh!}CEG(z2tH6Hfh81BL0*}$_0db6q6A(5tp|1}Rf0%Ql@K;b6HW;B25@C+@nnO=5 z%)?mjq3VP_;7-_9Mq#O-urNC12#N5+T8?1`fLZoa1;TN>pocSv>9LkWg)t@-03CB| z4vWC-?+@mf0)1lMf+Ai)5oh!X3=vQoqFO=0fXrtwl}_lBTSu`s15o_h=r5dtFBv`v z(Yj$~ZT|;qgXWZ1@FgJrwjvi03pU7*716qV!6S6wDLN#mNR&`hxdH4Nz`)>FAn0T= zX7#`02$-l#)Bt0Dd4;-PnF3alPa!7^% z@Yk|YFpWL9+Kl_j`Vbaqz4{5j=(6UXD8Vx?qxlq(5f(LP1o;CNA-PBqLjD$8L(qDne6ZF^p>Mn;wV;Cb2#|g1$Y!HSJHeiZKSQRWU+!GCP*RDFk=M20Zb|= z-3ZqM0MiXGabUVKr01pD&iiQ2fH3s3>Xz)oAWaB$Xayg(XwJGcXCqYA^c_>2aV_5R zGyu~7UDcE(5fv$q5QCH0p5)=?Fg+QpX`dE6DKt&a`(KcRll1T{s0BPM)_B20sg>8Ze|h~iLULGM&3 zn=bv@cJVkjn)dI=Sq%9NF~w6b2p^2#QdwWr+pil~DeL%7EP2IYt0= z7-aw`Lb`h+asslq9Z-krFO{+I!bG@r2X+zKm-|uZKb9$%J>vWrF3XJ-`sTN) z?X!K=CWyYGtm7!B*Ffe<&ody>6le`8Jg=Y!>^Y;{&{qkxk4iAx3nmG1uDRhSBgvp3 z=p7tK5>CPHti1*ReaC&W(ov@;dFV}Be=0;7<|=*IgwUUUNAQ9#Hxk4~P6996Q~z`| z#6YUT4;E08F6RFJB?yZuAmtDM6pj`M>G$Q@t5K&@r(vVkGNghkAgdp^pZA639UHx` zffWowh5}`kEz0?u${@x;vBJ&PQmFaD5R&Jy0*KTV(FZVuv*l@1t*d`k1Y5% zdn-e6Q@M8SUZUHN>pNFOXIL9D0rYSX5jA~sVpI7JCtM#k3PRv4OnnKTFu;bxtH>U2 z!8l6(&v-)E8V;>Oz4~J4`}!Y=Q(=0MJE*MDcX_&0%e7AfAhGLA<(-CPRjcwVCw%H) z4dP2*cfV>oo*l-KS*Yas@cbdI3&-)&y>Shv=;g*Q$IMTjkg7e~<}iA7_G5DClK7A5 z5s6hc$w9|@vPR-~IhW<%n11bv9Q0R?;2+OTo?lE}o|KWQc%v!I>9r&rw_t8FHX^js z`kpkcG~t`PP{-zt%V*;kg7iA8&re>PF-G(*%T~)uLFr3#^Fl$NrXsxd{N?^)VrfaH zv^J+SXnD?WdA4VHZa!!^Z|TVsX5ffk?q8^zo-Ms=ywFy<{ORp)rI_x|F?kY)aFNy zGjH3^TiM(jYwX;m;CtiR7)O^`z>>+Up3jL=16&uZm`yu0zs&ku?$fZJ(*82jnsVZ~ zTSHWd>}9D{wEvdH&Fx^dIPqirMB`{ypp4ZO-?WD8yqk^# zN&B*wJ4cOiJ*7c5V=O^|4dmC$69XS?MqcFPZ04WkU^hT z#~1E7y*Jsv>yMkh5i{$3{3uTO!`V-|x4rXNp_B~4!)?AhVnB@Ha{g12_{FdsW@^WH?oOUV0v*HrPe@kqzw zO6groX0-XoLB*pzX`TB7KDnt(cJ?Gud~PkTd@dw2yL-g#!^NK}r{-J6=KM9+c8AXH zDGOXPvGDW2r>dyM#WsPChg0=5wL)TepktsDo}^NmB*dg2l<=i6NJQ-xar~~wK!<$b z9;M$;p2d${ezG#?qQ-bEF)YE_{^@*5VPr=9dpHYGo%a3cU`$ye@oL zkddf$W)}`i@o@hejI87|LQ|mW;CHGt@ z{KAGeb}5c-PnO?w4R_p}(_0L(uKG2f$Kl?RduFLPYSHdpV0ZF!4Y&IO?>+K3^z&xD zP6myAHhwazDJ1N$<$Cvr%{*@?)hySyS6^G)HIg~#8nLw0P8gV(9li32o&R&pNNC+0 zZemY=bHmBPD{61zoJ2K)1%@?S5BWqjT|6?o^f^(}(fDEzla2G9#y(S*fs!u&F(&;w zjlzo@YMtbqWQ5%=GThiJ!;TkFgdG%~L9N8L7+O4=p@&UJrL)KT&n9MensHRbbZb`NJtM(vonWA?9sJ)Zta%>}As zNx%Qf7#gWcy7uksH5=m#L871EPm3t4PALDLUB2L~Yrv;B zQQuqlNRD)Q8ds|*d@SA*o_towW-#vi(D^A!XWHr5tDb3#b5|1|yj1cZw+w&7eqPNz zN_*;=(x{f5)SLC9D}_8=7dI49g=$w&_I$S=%eK>GHhAmTeC$CA92U;_EOa}1nbLd+ zo)c1*Gd{&Vx&^Cks>hB!Yn}0VB4AV9KJG(cd+&2Wz*Fq?m;T?$OH0F=O9gonZi~~J zOOmC(m%+Q|<>ztiZCB<(9(qZ8R^rI!rKP3C;hx2TpylDQ<;BfQb;~nLjx6`2JAVev zjeVOhSe~0^y9Hi(cyMfNae2D#TZfW&f=&3Rx`^7eY3of))8;kn3pKf{_bxA4I+ZnZ za$ap)T#~jP_sQ%mZ^my`%iQa{)=M?MWBqQvl@IJ$^eWmGJ1+j3PZPc$Xe`Qntsz*h zQJJeph}9;b<9NeZbodITp{u2IdtWH@h9NmxDoqOfaidQZ?$|MIUSvpvJ5^%Jyf zw}cBOUI%&Sv+w3&p6lM&J)K;UqY^|Y)#PG6_;ACu%HTnlMY2>=|Bil*Hyt0Z?&cFU zvXbe&Idd_0YUQ=6D}%#2Th?zJV`^*peU^*IckqMF;D;WLn2XovGg>MBzpMLxw6@SX zzQ=x9ND4T~-#PN3=jo);oNt1;cwleIE^5c1tbB9h*w5wp+iRBEHGXlNW(w*tCYu)| z>P-7gy>Y*GFNM5t(P#O-GHrU1`@GNG?7jKdS3HxMT}wZ6%oM#Ze!XQ!=+hrLL6jxy zo9D-dyl?je3Y5M-l(?`uUds=DhZ?XnWD%QOI`dm~aAfoRfuLbivq?Yek;%4FA>YQH zrB}ayeu+*knm=*Qz|}LgrBh;#Wy;{(;`~FFyT_V47k}recgQHeTyAfh2vw|>nZlQ5 zHF8Qjs@iTg_FMSP@#0cXMX$BbpMU7O*$~{N=C|OndCW>E_FiD1)3V>f(>MKhEz5fF z&#b1e^^6Q%To)Q&Thk*@`f<(De_D5V*@AFPK}r@2Khy&=UFWVc6>UjAZ(fwOz_Uih zR6NLU;fNp2Z_UrSqJF={5>JYjXX$6-xq$w=bDtI`@1+fo@jrK!sTzA-)KmV^`kRGf z^;eFed*4r%{o;~}tMg3j`V#p3p65bqkJ-hb%S)>n!%#X~b~2#wLqUFL=By*-RmqT% z&5*)H^JM&M@x<9kdA}JCjxqiE(zvhR{d|KW#)i}{WUlT^9FKhA_uIpG+>w%L==gQC z!TL0_pVRBq_W~syl=&kQo?@?<{FJ7*T?|TDy8raYu5c-{C+g>$KP+HktJ^C+xMRmn$&ghN3Q}7mU93+obs+;+xm1-B{_TNpt$2-(;d`RJ(d2%x; zwBcx%q~CWBj`0x}nO|k^#@glt3a|ThCvB4T6&t!!Ji)VAETf|^E+J~~*LlQ>Po3E( ztMg3Jp2~uP^RA^e2l$F!^8C8iep4yxt!!7ay5C15&Dn2lr(ZR0Jt}VR*K%Z9(f8C3 zKDY!a>TN;6YAPyI5X1E zy!q4O?|iimnHS?xv24pv^)H7198%aR=^07g{FDFr!#=&PBeb;9$LjW(jpP#-Pkl@B zt{QB8rTsgP(}s0d+SjjszJU=xhtwT2zp1I)drNiWix!JL`v#6niaPn#9O2)se$IQi zQ)1roO3tdbLuXeujNUqNv3jS^dM7!(!{h5MCU5psaJ)L2n9wDm-&Otv|6us%wJ!rZ z-*6q@`|f~Rfc+h{NVd_?eeo<5I#F+3c|qS^QKwf!B-W;3$B^&!*ZH*;=XIjhW# zUp%jN8=6*m{w^?NVxiP0ds(x`{if)N=$$|6UAdihtXF$0lyNhG?S^vG=8{Y6@>ZlM zx{L^Mp1-yn>*&ZTbcp+omftz`a$-f#@_3L&X?M}`*-z8rzdkIpcYSMEHr8CypZl>q z?Up~1TAqDP-?BNN`f=XoO?Hm$0UhHuTu<8lg&LEe&(s&)+dCqanv=MYT|Vgb;9aRg zs!DV-u~g}Yqgs2%Y!Bbhs-Dd$T!A-+X<7LZ<`OPB{9|jj{7dniqyA{)nW1A1nDK6>E_bCp2TORl@ zOMk|sv}R`e;^6c1qe094%l_moLEUQpGWRx3H{{wVsW0h&e>=ZKn=VP4u8{OhvI;7w zw@M4iatfLm{@!Qh((l*!z(z%VLBHyo!s0+z+H}hfk7R!HuhX9Q+8UQ=10(Q6ax~ec z^sMi~-S_L9gJvd{ngd+8RhqKb1@3zCi>+N~sK)q5+lPRjgYo9+`ZtRX?(es0*-$N$ zVndt0EBnTH#(IgXYPE{M&c$oXH}3=ytrh$c_AlbU~^~t+-j8{D(xGVZ=5f8KQ|k2=Dx}~ z=}0LLwb9(fp6M3H{Zw*t4VSKluk#F=4M@4K@?qE0;va?m{~v2_9!+KU#t$1Z564&}cZO0Z z8A5T(a7-zYArjTeEQ%C&l*n+%*i)Jm$=E>3kVeB18VxCh%J7t-muBvo`t*`o!TvOa^~lwnwwJ-J}=+B3y-`#`sUoCtx-Q8<(ypj zcAa|7l*+*M?%}rvD{6lAjXknMLPY6bo!Fgqs4e)%=!!$zq9Sxg`#)!;J=!&RXlL~l zJQB?x7V_iuz1F6Qb@lIV)J*OF!Ma=V`O$7|joas@OU6HUyUi3y-}9Yu2+m2XQ32%> zJ@&b0=4p-8y|?m4)QXq9-@~81NnW_}87sN)R+P_l+^-W+28ZK2x6Sa|l#5ngO}rQO z`37%Z`jVN(#5cm53Qi9D?H)Yzq2gzlV`fy&mz>*`cjv9UI{Y%?3vt4|=+HW2`AtD@ z#(LjwdK>BgWw>HwylBu^JZhcsXk=RUOv#K-+qG|>cN{QSVR<>>ud(kdhKt5`RCjK3 zS@Xo8`r|1I9YsaVcuOhySk@QLQl(o4PfnAKXkqh_a>a6efwlM zv!&@r#g=#J$<4b9Ul)!02A&)nvG}>It7S0Z(&r}czx6|@zxI}c^Kg9#tG# z1J)2{_o%cb=Xk&Vz@9~g>kUs%P3=B+{!+`Yv8j8j+NRC4?w)KAl*kJE+y&01Y|Qaj z(!mHF<%HA2wRb@HnJ+Hy$ClXaR$1SlG9^pAt zIaN?M#3=tcRVf~q8nfo?0V?x-6<68R$((ZIko9Bl-to`>*^<@Pd8o#fD|uAkZ@PQ; zzUe)aEuHzh_f0vW2S=^`6+U!zn}5`^H5Y?NUUqJnezE=U8k0lw+p_j^GiKeF?#ov$ zy}Jz~bZWNId`TZ?FnZSS&@ZArQlRPkXvL$xn#?dc*ZviQd_FfOg?`Yy6DjJq7qH5w zUh|&t^RCf9G&X0V@K;K_W7EAb*(m>&*SEFSqz*lq+Aq4|_JX!I8jKacu0Cq2*<3R8 z3Kj z9ImF{qfG6icrGl9l=&I`_R--hzn0S$exL5WIPE&7lUbSORA`g{$E29~`bo_aKoYA#(cTibGLOQ-!h?`DvUV|K2T<^l@_L9O%*5rnt{zZn-&=huUUt`Oln7 zezX2|qK`rQOK|b+uP)7eE41DHzDBvf+uI;)E2tIYUeKW*hVo!oN1yJ^zcya8ukh!# znSq7xZqA&0x3}Y0^QG|XGvD84&+IXd4$HoOXliiW_}7ik#>Nnga`I-pU#Gvj^9w$i zym}~Ly68uF_qU?yt3`kRdUoidv3Fn2+nVVWGggQG_W7PZGoAizX6Dh)(d+v+8EJjO zXTH?jE1LN>^WDnO_$Xif^~r-aGc9c&mi%qJZU36x&s;{wULP~=_>oXLXgtH|_AcpR z*wx!N4&Fah_~G)*j}cD#V7k}Bc|M=lX4O6nG)UQ#_H|FWZ^c$c*Q+;TPh!t?PN{!e ze~|CE?z#v}GJsok| zUoX7PBlL^+!*@m3?nIwbY;;JJ-fj12jmG1@kLkr^zS=AE4wJ6gHaF;{<2Aq7?BvPN z{c@L$BSJ-frLAPfmQ{({PQiQLby<;86CpH}&)kyyQO-*r8X5db*j(}DnP%68!Ru2u z@1&lb{gaBJSXX0_JlmR^bA#GnpL^wfp>F-y+HI1;A(UMSbg9ElN15hnTtxMrmX7cR zSEt@rREY=Z;AxGKx8d98m%ZdAh|?C`!cfwt49i>@a6FpE43@4lfbO5=u5{N=L)Y^A z&l#!piPtYvt7SY2tZHPgs%e=+k)4yS+;_U!gs2wmg#I6!EVzgSzENA?&8yowUJDh8 zQxyVpY8FYo!<-Z7>kre{M`#H3^{KhfgL#9x)-r=T6ASl-O81J_ub1lW^VU13jeF_f zmWspzffjG6IJ$bc?LjNpcDJ2!ZF-D>Es;uHPq)i?jtW-}@4ODz7LhkKED*5!ducTN zGCwe}Tm5sPgzRC|(H6Wr-G+$MJSNCwYG;*d;|WmLuieg_^Kc^v#ib9fPTA^TE}F7f zIK8}x!CsjGTA3aV-Nl!(mMK$_nwo@e+dDcbpY)h-uyh-= zl>PULJD-zBcy;gk!*_*$gZ{K)G5BtHE$KMAAVc|!q3H5MYIC5P55h(945>hg z#PgOob_*&oZ;$%@dS=UPy$@B76Z<$}MGv^pZFo}e7Mz0{X4KKQwd={%dqN-Gh+%ua zbTCwvmq9<1;W!Y^Vm?p_g6q?c>`4zxXadd3PYndNoD@}}B-)W5ZIs{6Y@^%gyFQ>p zExwu$E+>L(pV~F_r2Dj>ATlXWKT&gWww($(pExkZ$8cwnO34stiidFeO)V&Hg(~Ck zeP$Q6dsj*&G+tG`gB~fx$2+)Snz3RciH~P`?9FFMQeUe7H$XINhTA zvl?5}Dg*5^+ruFgARV8>h<>;tF(zROnpgW%AQhM0&6Jtu0#m4Vu4} zL30e07nJZ5+PFiFa+_fF@!o6DjoJ3%j%ApvpJqGUl_3~H*^toW1{QGAi)BV!xev{6 zX`Y#SgG$5|=*+0lx+;KXm4sO>ik1!zX$Yr#D84K;ULHFNcif;|5dAyz?UmbRS3G3U zH?~(ILwvzYEOTp7ZPbO{lGg&5mD-#S{OPaJk!|1PUuS@HyQ?s0zkak8zm7jgy6uP6 z7B{V6ANcA#KiI|i!Nkzb^DE6OQxC2W3#1W&U8iqwAdTg~_0U(=V^9^o%a2(Jns4Dx zzYE2~w@0tE7kbP|HtC@LZ8N9s!Pn8!sIZgPuB*nmVX%>bITZ z=!$unaPc|%W{6Tcn@mRr9MzBj(TP@X?MIMuIu`L^fR(-m;{t~u4&p~oXp zzuI~z2n+RwILG@X_i|3k`*Y%Vg3KKcH$9iUFie?fTd3W(@D#_%y=i;WcOAC6%F`F( z4c(1#R!iBwqst9_BYj)&&NXVWK`E6wQW`@G`Zqvfs8SqHn?rOYpi=$ONfGcKa06cb zbE_kekNgAh ziMGt*w)(WqWxxe@R&afuGACA|h`U=2Ob<3UW!Sp#KcY^XJHE_aVg@=Af)7ABKzfpb&2>46R*3 zN~i!24&)4fx}Fy3e3uDP!HHJJjodO^X4SQWsIXPOB=J^`omT|vlkk~;2@}i$m2>y(@(zI3ms#reTwIL6%WH*sYD`l*wrWt)I)0+ zG>E}2&{xh{CE8r*7=sJtj`xNvs-;SyD^tNJ^PwY*>;!9rPOw+r=j*J;VwmT}zQO&o z%}PjXGUAyTq!%d{!>;pV+On7xH|~W3&VxDRx@K?ci{Fm=>nw;Am~}QQ*{Jve?h$>( zPk9CBFn1?6L?<70;q*2cEKd?Cc}f~n2Ku%pMWA5{KIwU$CojU35kYZxJ_>rb;8t6> z*)O(NOR5k394C)K99?b%aTAVAm=j{DBqtJL2{bCewMV4!q{O382S?_wh&L(`&{)1| zey^5K*l9n_XOT+y(gveySq$1^thjJ?1NYmIJD;;0h3Y&56PnsM(yy_d$ZYa~O7=2P z2(M5EB`-^Zd_w4j;y=A>R{Z6~NO51m-a>6YmfYLf;y&1!32N#tCWS3#J3I){k_m9{ z61v`XUOo9knxq*qw1@yxg>@DGH=5ITB{adMG@uFP3g}9<{Cmp#-|3Gon?rd`YS=BE zmyNE5)Sx)N`08U$2~B~sV--;caefq4Hx7NWnLIQfp8w_^g_`(#$UUIVs@@a2XFwTa zpzF$0fZ-Vy*YpvY4s^zE^(luBptigLay1Tg4NWK+du-#Hpm7-5u0Y4(vKqz5(7^^~ zrpoZtNNDPrlkO~rT~@$1&5B!Kds_et)j~^q%mY@|HW!X}85r*s=+%R3bQaZm>c-J8 zC&Ja4pyaoqjO|RGgLC;hi`X-7r29-2Kh?pdlcYgjo6~nK4xO%*7`BcdyA0_fFL6Z_ z8LvEPyl~^3H*qDY4!TP~$}`B>m`L_rQ-KtuX+D3tE{f|rIFK?zs^lh|UI_t>3x8or zTzP`*p+X$nDT%+>K`anqx}scZJ(4!?)_vwnh-i?6=*Knfg6IcPinPhw@XiY7EcCIh=$!k>=XN5)n` zz_ek$j7662NkQfdw=seFLa1Mn(DX(oP#OPfNqj`&ih{V2o)G0tVRt?wxJ*&o6kKV^&}C&N<8s_Lr23=CitK1@|1= zSP|CZ(B&X~L7_{&SocN(`A{mNMTGe%&3ZERjo9(3ARKs8^`+%ej! zIM_Mb%Bid32$R1v5n`v6_sJMu<-2^fvDBVecq_tN5ifNY3xIqsevpeWFL-hjg}z*P z^+fH#8p*!Cc9l~cl(ao*(8-qJrigCDL-O|Me35Tfui3ma-n9}I5(sjsdj>(YPKJzR z!N8f7309+|rdKNC0nk$$6}vAEKB0tv@dNi1z>PGWm&~%Y@JpKbhyvkcLH-~YZRHSW zB|j$}LMW&&+`A0wOGXLkE8dV`1$hTp+df5nWCt4S#-DzRebe1-!`V#a*gbd+WurbL zPntZpO=1{a!t6kLk#gz7R$!R7hTrUhgA=NPiJr~#*1_F8iHfv3gEg7p>`Im3if*ES z=Y!Z~-2^K}&}KJen8|`nKZwC8kxnvLkQkm8=iAO(pC>{_wU~uwa&u7Nge&x%1;8|+ z4;p&e;M_9%+EnWDmLmuQQ4huT3+M{DGurr>_9t*K{5l(>tsEyWEoGnpxdN8fcXQ$} za|p$>G|2QS(8YK@5Jtnpealj>g(Y-N=)QtT2~FPMOyiccLq9cif{y4rG1AZLLn;GZ zYI31h$t;!=JGTiX+=9^IEv(19I1$4fnoUJ|`C9oJA)zJj#se2Q#E}k{bKS2-;x4Hh zus)z73I8C)&8N`d#=GB_d0xB?BPvy%y4M05Wjl-z<>1 zhJcKxGT!(b$OIxF6I=C8i=Bb+l0fEpJ+l=xpfy$~q4$*>-bxgFu~V<33NfhuTVAlU zrQC{a$K#O|T6l#dG@NNmv%w(<`k$^g5*1Ji5Z=s-{j;kLLDNeTICMF|`%v;^`mx)s zRkgurl`IBU2W@Q{adgmxk?;j>(|g5nXtIL7wsUBkv^h~%bP1ordff@M|8QF~Pzh~MaUK*J=AdiW5IDPeLn;Vbx?rrT zxsG&cE?`-nv&p@ErQ)eo?^pj9zq~ynTqy|nP^0RS@zL&=nURH2V+zX;T}JbowKd}U zpn^QzAOx)K=J?7QkGUnDnq5s#X9VVam~LL~>K7VI*}gCFT^hEtt?G_it+F|8$b=i^ zcJ$=+>p$8da=7V2(8pq)RJw5(kTxe;CvWhgpff+{(K@!Hn}wbm5}MAC(37MdZi!*Z zn`(7QI>FC(s~`%ef&dru;dJ-v;9-h@*_uhFrn4hF-&Va>16*yYUxn_~b9H)+U9v|I z@>~M>z-xXiE9}~9 z$ynP6HOXFaHMo9VSuN;A(lto8_r>H5@ZCzu+14UDm3eQG4O7Nj9JhQ0FqU;X5Jk|} z9O=as{`zRvt_y~@B{0>;UW@Syj|;azJGy$^z)0G*YkA{2t6l0`9%ptJ-qV2;u=LxP z;7W-#miJ43s6DadVfD3J*6N;PObr@O|1@^X8mp0LsquTN^Zig-)T{9ahwkms>qLxW z!Ugy4*0Jzqf@9&2v)**hoIc?)J)Ws-ch8veWKToJ13iZb=J0Y2Iql(YtE0#oc*j z*77-x8+DZ9%dB57oSsa3Uw)$yd$??Q$5A7A5Z`|v6zMRA&=G=nuZKdwI;M zPP#}_2>t(dZuPvpBXVb9e$p4bqZq?yqWJMWcyJt5|e zH!s2$B-wcZq&qce2D9pqnB&z2bcZ|nf-ouX8G@;lwN93DU6zt3hC%4vHh6y7DiKz1{I;_RMDV)g zP_M0R$`TZn`tvH-o#ywKQs{~)bS=&H+QAT*Hh#4z$Q2bU%D*U%-u8Q0k!V?JG+fvl zPgzUIRIE!CAgpQGk}S>OP&r9f?+MI?6`=-uCF6%iYQV7jgiIDw(=Vr;i?C+x4=}}r zd)_o!;wct{Om%-64Ghii z1E!g*^ODMKdIgfqjQRyjV8)%#1Y(Xe&sX=1aNt7Q z7PmtGsmNWLSfK=_66D|rO7(c7I7RYX)x|{mYIt>MUe9U{N+^6`N!5}gfu(iCsbrR> zzU76WfL$Q$dTS?dS3JmY(?gC~rZ+1?p;`+4wG8F9B$nos*zq4TRK~)T@j&-o;oSH~OST%! zAD{^h&?xnc7dc$HQlJR9G?>BLVs2I$%o}q5H5c*Hj6F7vRkmb+wgOCsD|aq%Df=~$ z8RTg+FtX$5sp~#v&pM6SwW;9n?HUvp6|7Vck0#`)H4O7U`Sz@cv$n*`>fTQx#LFzU za_jZwp0p%}3Ow^giRw$f`JkaeM%`O3MqRM&{5 zu6xp(t>w5!6JsB(Og}^~PN8>!Q{=(|K+}Ia4_<4 z{6x9|GRY7H><&|IuZe;p?&P}bsx{uhHpz=|EPEKdq_5AqmU<59&T4eVsRrT{X90@z ziEecX^bb-cPikW$JMa!$!jFg5D%cC2?v-GFolwn2{r+4HIG`l@Ee^^)aZ-Jjb?m?^ z9uj`3%-}`6xw@cgLeIru;${kdQPFnCir_5OR^K=uBZ#+pHcfn0&UT!H>v0XXB; zM zXSL29$PI#LMboR04TmbDP1vW5bt&NsP7{9cB?@!d7UY&f-zr17D}hB&@dgT z2xfhF$5wI5U2yH|@d++s=wCNN9ZR7@nybu7@j%<_h?bVBmPmgiK(SK6B3eY{v%Zy$ zCi2an$(Bo@m(Gr4`;o2RMZiASz&Wa5lN|U2Ti%%|bqhzvm_t&IglC&Nol4Y5*aZ~0WC`M}F%j3y~% zUDm|%wXl3i%v_A3gbrAhjCmlOKZqqsffpLvfBPIyym>pGD1oKT!4tW_?G`Da9dj0^ zXp_=PrKPFEyXM&+PT*(*D#i8Xqm7`IA-srjcHIYo~3^r0s` z@qM|0Tv||L>|Anb%VE}>yt&|U?olC9rq~jzv{{;Zy|lpD=o8)+MNsbG1`qw1)>R>)Fg8*@ghndkoewGJZ=~!{O0=5@?@p^pd zDd|7T#VISNFqCw>lAw#1 zNZb%o+`VQBi}5R*gey0*jF%nK92yzm7b@XdT-Xa_ku9V}cBB%n$;@D{e%kU{h8x?; zc4}c^H2k9t@lu3UCWo~ArX14pjo}vfM>C{0WkS6)V2{b9#Tg={4pv1fLm(&2Y-ftH z%S#Ga*b<}+FO3ZM2EJibDPw+QB2q<~WFQZW1Q!n@^Odl#kjBDDke83`#O9~a@5)dj zJ@ZqEmvSh*(nsFeRDTW#X~ap1%zNtnfJpl zLP(o3^N=N~FLt*udNXriu-CEr=Ky{ zC|$VA?u>pdH@$iZU!Um_C9G76zaWWE>^;m0!O|H;wBn=0DkVeVz%}(@92fzMlfd$q zffPdhS0Jp0x}%W^@Vjhcl@2R7-YY$cErLp)X@DYbT_SdIQ!T?ehEFWVvjheo;49JP zetww9xDN62ig1NEMHOXF&NRHEktjY#MxQW<6Uc*X{cbUmNCr?96hJ%vO-FJC2XaO0 zsm={l5K?d)+$f|Nq777O(k?(#Aw46V={gz8K8S)4KLm(kA(XkyBO~Cv{@e}lN;_fe z8+PjDfrQcgs|+u^;|bvxPf8$-lD%uvq#vm|OcZA^?e({|iJ*VX=GuA#xw?(i4>A`A z#{J}BTBUtRgrgC>0g!rZznQs-lLB8lVzzasd%@~jBh?hT9+*r0Ge2%50v{;?AH>eS z+`2uH7U&Qln?#kNpfB4sZBgLTxzLT ze}^A!?3N}rS!>OmW3}*qJc)tEjl0}f1X$*s7Zo;+(UA_al!Kt^4MkdiIFefu7z~9J z)Z<^bH@O3!uq84M+nJM@h$?b>Z;Rzvt@HYF2aa^l>&;!k_R(%Bd>2#5VAq#qjq)aY z1iHqG+r=mj0+hq+N;^KSW8U)PYIKDa_7lU3?1NP32 zr0{KQj9s5%-hF&MI}NETu~nJlP#@d7N1dCg5X%(%?DkB}mh;nt`$UEEcm+G(IEbQ+TMCb?c(r>Kaos=1jZZWKxJnj+~2AoWNPtKxaaj~aZo(} zMKUtrp7jut2}FwmBonix`z1m$yc#z=xv)m?AG1dl>L3L05U}CNb}|78us{IKO`PIJ z0<^ic5JCU(bzL%)E&+M~}^s)l)GmtN;44BS?rypzQ0ceya#5!MnW7rHIT7)mv zs5aoF7_b$k(+htLaZFmtwyV$w+~@ZNz7~KEyL_IQKy4J@*)2}WEf{-Mx1?RF*I*^! zLjZ@jJ3hkWQR<9D_^m7=pCy!XSm7H}6dl zJy0*LKOIiHCTrbKYd5o1{PZAnt!jr70;p;2!qWA)ZI=A5-JyzDPdl~mFT+`nv3&Wn zmD5JyrAr$(0|fFr=Jq_tg34NbYdT?b^^KClzz?Ly3VrH{rr(@h*<3+t&&D&`779Jr zk_d9NT5BmtJ9^9b`xp5qgZCnM_ZSLQ06ddsmW{Mrg|CU7HLj@<*Yl=?YsEVG%ImuU z!b~$e!&m0~noqJH)jb+9V-FA@peg1Pt7zZ<*oTOc{Tmt>jZcE?8e+FZEOp;?gA0T3Nh%o7A0I(fIhLm2 zCr5;~?quh_jk!Q#0(2NhZqMrls7dN=Ja9J~)%s}16NqXD1*dg*H24jsSbVtC?#Xz; zM=Lrqm)pIVbsX-6`==sHB{55J6v&QP^>fCp7KL(s6czM+nUUzSY8UITLy7d`WI7Jx zHr6wiN-En%U^*-gAVGQ+1#3)~%mKKbxws{D;gDujl+m?{O_SP7;qgGrcq?P24Mo=^ zDGHB6xd9x??c>Jk+o{X%WUI4!m4r9Jx6K8X!njHatn|}&X9I&7tv$|BnGOdEOKXzu zFwZ@|?RLdYTr98tugG|xV~3+rOg~a5CN}eHVadUn7e+IG%a6|4IU4_H$;^+3uiUp) z)`as|+94NDo%}$(@6bIih&3T7ggqVKOK}~ww zw&Hm0;?d9CMt{?V-5)~ypO;X*q-dL>P-WEo1h)zE6UO4EwCqR5(ZA&52Wz6{$DN5< zS7R@Eb@;KUWL8Vrxru$Xtg)LsOhRe@hutzO4$vzKF<(feC!>*BtFTudx*s=3`pSj{vU|4fz;#s>n=s}Nz%?E#`ETJmI5$|f&h{TC9B1l z!-4G5nwUFG`R8XMiUFcXln%3#{^1X0Kuv06mMH0O=|_f?{0wFC_x-`>D^^*(mo`#j zZC4TwKup;tR-k!5{(S|Mg~A9)7u@VkgNWO zHP|(rD|NMq3tJx-L(-U#M7yTYuL)3Mzp9dOs%S15sRA1qu5t7j^-r$R^lO09-X$ps z0hi|{O9AKvp+XP*1ELI$bZ6-`#BQpO?f>htuAx)lR>p}F{s5~#r0_}C$F{2!K(0bd zJ51R3bUTTmFmptxG^{o@te$GUAE+^i)6R{t8&I6yfI=G31@}vA!PsEJJ(sze5L(1Y zwLH!`pUgU+#XMiJZkV0x+xPVBRmS#;V^Sx#ctrDgKt}WV{{!PlT8Q8PEv%6NpgjLz z06Y58`oHS&urv+(X#IZ~MurW&yhES${`xW$M*+$)y^D}9OT8}!8ZojPGV}#;^dzBA zfWm-!MB9|LQxki?1Am-))GzA{JI|-}ztAJ|{G>;tXJI7xW;8ND3)=)dq78ETC1ji~ zJpwG^%@X)M%i!@a%LMxr^Kqyk067Xo;&YNkBteua$s$6^{G6X6@q}ZWL_?w z_?0@s70qk69HA0B3w&A6n-fad3k7_c`<~7}Lp5zVDD}GQ1j4cdB#-{L8GdCgNYYy> zfT9d}1UFR%X!9BwrDFb_IVX;WkJNy~jpyTBA3wl#XH0-R^?H(vasEx`}dZU(y?DiFt@w-ID59g)2V zgvXZrY~s6HR80LRp$?&CH(aiKv=ib|khc;R0Zh#0fCNB$t$M9CIN?Ys0NH#K+7}Mx8hf2L_D>Vi z1#G6@m)m)msMcl$JNI%n3~m;J`15w-PPiJSfPQ%Kx5U|MMfLje@8O9LiQ-uX51Hd{ z*8%9PVwLpV9`!|$PHZ7ArO<%@HqdN0!8fYB#drnxrRr ztkzj538#fpXhgdLKWa$wqhqgfJ;$*sd6o&3Vq#gXnIz~`M@%ZB+G-S~S*?8JNI+mf zJpT3~2t%bF)$7mQjW(eNaVEU4QMNxh2cHlihS-LAaqfVr;DD(bT3M_Ug0hYzgSW9j z3CkzRQEN`z`d?u)8i|YzgqSIw$N}eDL{iU{%2+8H^YxqFlaV{*g1O&jbwC$ zoL`Ei`A5-0Gmd0~_|PB#Be=YLh>|Z!5j?rEMisV>!$?ouugO}Tb^Z^Qpxu&a6(oObNOuDj3)cC1qSX{F z?ED`jfhnkwSHCF_^E^~o*bdL$8zHA5z)xH#Ly0Ge$s~lt&d%8VrW=u8f?DS-SqdO0 zATb}4B*D{Jl3=qKf>FT9?e)!1jR|Xbh0aWLBaDSZhq0)B2t*_3B2+vz)sZeTu0+!} zv(MqOHdY8h4M+l(CLdB;QDl&_wa!<-N^)a9KoTHZfLiBzZ~QUrA`rQdIiqYJxdh1h zok-h-*m;p1s0&i)H)SZHlGr9w{0b>;DUx>$2_9MwS93;tLf-ExDqwj_Z?Ft`9sTxw1+Q%1(Ct z?c9NXggBMSER*Y}>64X2eb|P3d7q9TB!;-jd9@5EP>>1B|8=&JOcz8N8C!vH)M~fH zKZ>B0$&o9Q;{s{3qe;RMU>7pU=SsM>Y8;=cG%MJdT`vzZW6(}d8- z4ETKl^M#sW#z!r7{Dm55{@nW54#A@VJh;0w!NFSnLXb$T4{vqTv0n}>s39x({zDTv zRtA|n0(+>}NF623z4DJ#1UN=e2z$BSTs^izK#GMTB|inKD$a1n8~p)EHq(TS`X#hPF5&tQBCJ;lJ%+`>)Q6MqZ z*?t!?3ka(VW&C@`hmhA>KvJWod~+a4YI;`%oWmH{MCX}J{(`}FY6H2D(H;H1QsuvH zgo+rLC*VwtDy|k`&Cb^p9Wy1Rytg&ma+6-|BuKH*P2<(%S?m+$Ve5%BfB7jRO5o7XpZ`BV=r#5oN6i3h4h7D;gDWVfWxB4m<^_ zon+LBWogbGpalXj>v)NhrD|~s_l>G+imgg8a#zzF6e;=lm-uHEat!9rZA1vLU84X6 z^zn`(fcAPSl&}gh1kIL5^DX~Z(*Falk#sVi_|SG7>S@QnsyajVgE;^GuZ2fY%!KBa zeY0L;wz=gPKtsTVYll&EY>G!v6IJFUFsXSxgoELjtIXqu*meV3#itK8LpO?9{@U&i z2yRk216RmakSnr&bD_QD-6s8BQ?S9mD+`^^aJkbPQ4LMg|Ku5VC}%W02?}dq1OnW9 zG#VI9f5i?Am$k6>-&KXEA_zo|6rZ4|$L&8;6%!np1xYrb0hbmIFy67D(mBO#j<@nv24o4)ueE?r~Q z`BqctTa`2Lal;|Ob?4-mP^%-sVXkI_e8G<|*X-q?cW2*muY9q&7k|dSecN{6(`B;J zO6X)ac(cpX|8jEoucqsWh67>Io>Xf&8ON6Z={V z7DhfF;7eJ^&Ta%>Xajq08}`z^~VayE}#P|THZr#a? zc(%gHH7d6>aR2pL&(W{_1oc}nHIFD@rSA5)1h9f3sAWXXfrCOD4%trjfmq@BBy!k5 z$XLL%>r++^?|+O6Y(NeyCEF#$DJ~~){iySd??1jYw7U&IIuCs3Tp%1%iE4?Q#tnG1 zW{U`fyxkolD3Vq(BT5@$lQmsq=)n8IxDFel`qqHU@X%CKv-1yU8`kMt(<-0q!#9lu zTnn%;NfBK4N&1YfAeT}BzELVxQ2}*0{+uo`{MvG-&MmU<^Mgb8KHt$i_gFR~ zihPDQ8Yve{;#A{}Uu}PcO_=PO7xOhah%#X|BjR-x&sg_kL(nNfZg=|F+gs4!SU%g} zD5(^G-MTbqX}R^b7Jly&*a*B#y=|z*_G9LaaMiaLMWK%}(rIeiwV2kzZg2cMXu(5w z595tKp;1Q4$2VIpt&8k>*Oc{AefOBdJx69Hym&P>w5-p-2?sejOng3OGEN?&SYPj1c^jp=#Hz4pFb#PPnmZTrUs3FiE)OInz@4B4eo z|7;Z+>u%Ls_bBkGDG~gLe=$K#*T%oA?_LqjclFxMIF`agA_Ha6In8ExzILZH0k1ZP zN?<+OW~#3p_o0zj$&r8Xi~wT}mgeRx{rSEk8(#Uw(i*dFEk_l>0kR^de#yZE<#uKwC4#^rXO~@)W&wcgytm8IF=@_<^1n>8n{&L7I7rNp6v=WJS9zk zVkslG=JR`bX0NVZrY4r4jjB$hEmfOa_#vszVjqlLA(RuJ$W}(>N(6SVSk&b=6`0DqP4u-nfQQn=v0@_a)CX)g{ ze`AF`yk}dXgB3zeYt#|CkuE-V=As7w*OQC71^CHxQCH!6OLR{1@TBW^<&|4^Krw6g;9jID zYdGOKu&Oj*DO>@N_?>iBMU9XmdpE+xAfd;jffw85hzj2yw?Z5T3Ae(D23DCAoh>{faO zCnf8JKlJlMPuErh%Ml;~4a}kU1zhUp{Op+er(9nS{~UFG52$E;@&mOy}3-!dx> zI;zLpCChixADek*c!5j2|Ldz7+h5p+WWMKsSEsQN=-P(%3`w-DzT~#9McWz= z8<2pkOPYy>g4_PLnXw-n@%+goaD$S_4K4?Q5g8(MoYw99A8HW<5PHr<+4}W~zmd`L zEfK|ez!thCA1T>+YZK}oql7h5B|#6P=_<&)RFSL#@yHDoDPwNoq;7Lkwt9x{n{CdJ z@mIpSkZ)^|CEef-1?X>#A^b=~{J{af%@%xHl?>{_0B)ip751vsklE|4H>iSFd&jvY z(yNej>s~=RSD+w;22dNuZs}#Tq808?T*$c^_@!vK^w%0)3-Shl?VhD7o z2>GmW0Ti^r8Hq*-H~MC)>8n|FuFjuvp~|6TErWXOOHScJ?1u5&6te9_-Fz756y4 z8!4+KSqi^>21FM~J5g^&ymg)u2K^ZMf2JLJ{iapu`R7H*So{a62)k-Af^@+89OwWB zC&2I{Pe6`5fj>w^q_Dc=$ts`|kZ53tk=}(1O$)6@o)8Pk)*~6A|8W_*;uKG}q&~Dj7{VfD(_U{biJT&mHC0gUr z52&j;xu`{GQ4S5Ue?)D**AU_$Z{Wgw;8_1r0p$)Ke1QnjI_ocdQ6roo6^K9JQ-JuB zgI&Gvk9KucD%#aS*wriKuBwx}`rqzFWQ421UVR)zg%_pJUx`!7(fakr(E6eMSrgKc zB}lOu;Lx1j8;~x01L?A%RpgIsNORr*ZC5=*4H^)CTNXRR2vEe{?|}`V072r3w;LiB82N~j!~(E8vM$1uoEPux(q3{9a3!DrKA$d zv&wFfiY-biHjLB8UNl%sLjNM{!2YMm2#-s0s}9hhPjf!nfG2!t1Ju0nEB6W4EK~=e z1;M$7zDAq1TA#E5sJ*>I2}u+ucBk<@5T71UN|V6CsQ5>4#Q(VLh^2rSt+=r;@?^K6 zg`pbZrxZHCZK&RCK}rB=21GbPG_Mm>-gYfFsQNVAg_=!`AkEl98etXq@zX`T$hMr& zzMhRCUj9xqkZnQFq89eG(OQmGrjM5OiCmT(_wq(Yb|T$K9-Ld29dZIrKx6!;ZBc@9 zerqR0xVxbdc0$r8=8lXsFr1&9k@_9t8jPTn>|oGudV~Wjy(DJCPq~P)a>IVbWy((6 zxcfWXE=uKYoC`Dgbtv$pZCaRN+S$Qy>D7T0gA!whj*j4N zp`pY2!7+NNZm4fqjglUrIzjPME8+nGnjpjS{n5X-D_5csQ7v+>lSPhxA%r&==tVN`(4w|jo@x5KUBM*_w z;)9U|smC4NBx)d(yz$o4+bSSn!i+oj_oU91!EVUA*y*)T_dPPV1fn46v zn|YIoNAh;w0!FLJIQ|^KuRhwk>SQgj;hs=Z*kCa%+q)rGK74{ynQ(wg`_7o1b%0mK zlAzOIK1!#Ie0yoF55L-`m_VyY#aq$+XkFDD7%$3`L0HraQA> zUm?W7&`31&4ktNu$4MLV^#%S>M`*&lSh{m4$L}9m=tt8bVBgcv$2 zjptBBgV)`<3V?2$J%F&5VV!NozCX-VM{l@cNVC8-+5oCqRREFn91w+e!zLg=r#Jw^ z*9Uy!0st&7cj+dLOAdftZXu|v4CPWBU%^2ez)BB2bm5O~{dmj|9Y6&}F88hY(@r2v zlXggO$$)7p{O8(>5JYa0`j^v+44}U??ecgZG5|^V?+uQL9tHquHYKj~&pLzP*Q^mf z+}$rU@p0?=yOalwDMM|eK6!u-l7zLv#6NmjgliuCHucV76njboyt zTFre;zyN?Nx9C=v*ngUwu&em7I-bc~rYse7GZe?~6zNBCU@FTyKwqDJi)^II_R-qc zRA!nL{~nx54j#Q3I+>W1=gZc?M~CDh7O2PZ<=H+Qxt*+|{QQgXFUg>9ad2eSq#lwY zDnL}4;W9xt z3rRf$(xMI8I5!OMz^|AgiZTd#PXF*5+bagUK3fZMm!wc1t(2cRo2!j&QYL9t;Nd>6 zG6GcWKYn_})A9o$b4RN$uR&XOj|u>=9C0A@68<=RodUXzX7M<+en+GGAOkG@m^-0{i;&=?|ZXVtBL7 zN`GnTnhX6yXG)sFVK&A_(oa8-&ZsWo1t8zXV{_2=Y0;e(!DNG@=@D`A1%RW3oDj@88$aP)+c@ty z?FcFwssBcT(l#)t4dpnf!vf{#|6$7W@1@_>3T{>99=b4!O^6)4mOY&89MWQBbI``r zB!9sT!Fy6t11-kW1L-fOcE2u{pFa3V=w#mXWJle@CF!o;d~E_2IJS;1nSA);hW@zZ zp~8WpnaK(h7SD|Qc=qf52Q6=Je`-@`(D*n#Sn4~XEBR$|OI}cNc9Mg~?GE^>Pl`23 zD_TO6@ekpq*^j2O28|-Km(<{I_EC5_(_&`|etzCtL(eK^SOjV*Zd__7b?cXT&XQX? z%Et`vt=|&9^j>p~=bQ2^_>UivoksKHR>cq8U7>&MyGPIZ!zXjcw2vQ`OISk}zY~!o zd%8zUTjapnpWmNojlEi)JvGI5V6kR{>e;c>o2NhCFjZkZDhrpjc_>1?kux;z`R4S| z2lC3#@;mo7W+V;x8rV%4)UxbeDQTx1_Ijyyl_s@_dTHlL5azAX`IeNG$q&kO?_E%T zAl8!Y$0@tD^GQXbaGtoVCEZ-Ubcc`vRn4v=M)+!rnR0>|eP4cP#Wyn-C_k(1485#C#c$u|(7sa|Sz+oWW8)p2%x1CA<5!vSwPHoVVzTB6M{At}IlZjkg>iWi2cxX(@Yn|m^4nTU4hyqB^2#?e zZ+)ucJ!*QqSwZrFLN)%tTswacL%>VS?fC&8F9#$*M8IE)*{{vWO|VT8-eJzW*_yYG z$42Nc#pk-~4~kMhoM}l}-w1yac>gb$^t5oZLbau5V(1AQuhs-Fd-wAdum$@IdA;o2 zIuE>mG_hctO2P9@JB2Tu-E+Dc40zDN=_$Phkq`IzV;s@e@X6W#&~@XcqQ!e8vH zTq4{5YPms%X-HC|Sdl%9R1`0@GdL=Iv1b>T?C}>ByLbG(Q6;wB=JH=&iN5+`3bFlM zshk^f#bx&@%1WJF;$fZOw5vK=*yi%AnD%b|&ykpOK_2(>S`8X!B^BS zx=YdV_WmE~3Ci_r50Gid$uQx!MRmS{hY@j6v)@+1cKG=aNW6OBE3QAbv# zq^i6rBW)nkU_dan$=KV>>(mh#XkcprJ8HZ47&aDvdvgjMmW&D-T4Y_B$XWrB{B(@PU}-^VLpJ#XIq7j9_U z3!JjTok)QA=IuwkG~LgyN1F=TZb_bKJ^V$G?T*A=Vy&-xF6(4B;)W5-q7{ zN!;3Z))=1`2bIpxt$g8wX57IgD-27zCgh^1edSEj3v5BsCRqNhu#4Sx6R)XJlH0Ne z=kE6_sLX_;YAGir5Q}AftYD*Qjz)oxJhVm`K3XFQ)`P8%ZYrR~- zR06zIkhoM*+(IO-*M;X{Ph_-1rq;99r6?PrYLbw5SlDWjtjWQ!^C0ZOnXf*UXYPBs zR=g-h!rE0t3k#vsdfJ{Le0Q&P$1!0m_?;w-2JgR+y}$m+_{3rL(T#JdYJVxd8x$2< z$+Ie+`$20mhiqE=`b8$Z_Pds@O#q)I_?O}WWy#VCt4bN23}IE{L$2o`COa(xy0N)6 zd9iF-amIs0a@rqSOUSVrRaWn0ek~UI#dF9t55~G%Cu|kU=qpxWh_B_f@6_D)GwELB zdhnfdkM<1-p5C;`?Ub+geAnFJ?}JjA&GvGC(Jn8d27wu57a})QCa|UR^2IN>Ms;7K4Wz|4d9pU3fs6@@m@Z*y0Layz$0P=#( zN&AmKXr1$*brG@{1>}e+;D~+96RJoFy3CNt@SvfOExd@dqYpBKpRk<<;qlGS(rYNb zxmEqITzv)VZrIp=&ftf(QPWBPoeIak1W8;dslQBc5WjAE=hFt{E9lQO9v=Tdyhgc3;#7ZIxiLTWo;Y^WJ+rWt*3 z6$BaWfV9+gQlPeLT1YSV9S$qjBou2SBhyO;wT(8_jF#7omPM8efB#pkv6AxXFVGD< za8S3Ebk~e_T@bC7Y&{FvBQl)Hv{OiDPH7T0V5|kXfFgoFwqvA8AocQ5MXXK3LZd6- zzENnDY`qbKj&upez$v&+MAlk8$z@i;TMp`u2 zNMg23vJWEmoea`ilEjFdcJK3$XEL>|_ zmOh&Rck8pEc#2Xyt-*<~kP{gr&%uC(Msaom&iYY6IUk&3bGM)7Zl8q?R;dOQ_yq#P z|EGrEQlsgey!mVTOq|`2PnyS}}fMaZ!_HN@Xf{yV7hIjc6C-o6jSKiOWcH zI47sQO7aw<^*ESv+p;w9jPY^;>CN&@dU$j z7WWp=3L(_{W0!}Bulyc4zZ~A#uExFPh&g9$V{rHdt_)9cOk}f=$D4~OEnqc3C^*fZ z_~t!F*7VCGiBU)t1I!jZku4s?Y;h&B#Z_9XSPKy#%@jrQGJ$nklmdwa)np$-Vax&N z+MHIGCKsehXmtk0syidbN*OPxUL^6<$WekaSA}7&`BdbK@eD-nqmJdTjAOI?EG?gg zZb&2pxPKp6vRYDhk1TkbRCxw|=K|!&0u%@#{UfK` zqYMhJ3|pI0PKgm#-p|q|Tz<*92HuJ7ECw+yOZw#5{bv@4&znwl0XpDc*He;2VPWP% zScvmS3r&=`p)K)ba75onE&>5XGlQ%tY%k+=g{xUw|Hxgsu?35!le=op_TRU=AOU-5 zz65li{9dp?Tmpq2YppQU8=2eqpSgM>oxWEzwW)|3qrlnp4P zqk--(UvUKkakW(}OUylpV-O~plI5yNGsUz#5Fw2}CNvbLvz-EosTnIJD>l7ynVbl0Geo0xx;Wqi74D~Rg0phRUq*!f;7P}1cqa<*TnWPB=a z{&fLG^`BO>&;e8RG-8eyJ-JoIYhp%XmXZ(u4eLD`SOdR-Gu+O}1!b=?&E z{||V01(z)ZtTiSiXZ1o*->?ZV$prC=kS)wqm?#0m;_6qIULS11uitaTMG!0&C=qH` z0^GM@&hgzWbS!asBw3T<){}_XQXuxY6Nur=o>ygPLVMzS&u291j@g%n{>b0N)oV4P3Xp>8y~=P9ydRVbYV%eAm-Q; zTZ`dFye47BMxAvC4BRxL8!yAUBqKsht5*t!Tq1-S!c0YDCLCzNOpxfHYO=Mz%Y?Q) zL>$tE#S{$XmEhJZ>5*Hm#&R#IJiT;}8i2xo47uOa$Dg15Z1Kq1QNQpE+SN{!vIw%8 z9%VCdiVGlX%N0lNODfq~kW!HesjA)~n4X|iEgNL2tQoEMkM*A8>xBlms4xi9WSLXe z0e9UKNKw5DGqD5RUd>obqb$1NiW#5Z-*oaRN+%B>FKehRNGHoeRW+*%&iVI@n?Shr z`mPfO#oy2W{!T4D>jx<%_N>596idJ0y@Mw5X9>?tL*N)`X$#P0_t{SR!xGh>L2Rpyy2<*?lDD!Wup@ijMqccxHIBc}%c)Jbh-?f5Z z!~brkG0S=GidTe<{?sYmcQlw03>l;-Aaon=olv*{gX4_5Y1F5|IA+ z1q_AUKWB+j%S6!xo3P91`44qn9QEbkN3vHyA#lRthFr&<{DD2&HG*) zTlswLEdhXCFp;A%5P2&#nvT{T?eG`U2N_Sc|?b2mzULu%*-o0_IT z-j;_Rte{v!6%&~5DW4|CG9jffPZZfIJX-;UmNi(5<$x@d*8Pn&yn@dP;lhU}fQx^u z9mSE`aucUSes=~hakPuv<@{0^-Vc3}d}7MlFn8N4f`>mmpdVNzsVmM6P2HI-f*jWZ zla^8jW7SM zo;p7gbDnCkJL~ahqx!{zqq{reTlHrQ{4Dhy@K_d|`{2U0cZG#v9`nQLx!HAI6*G4n z{SZ-ff$zDd!TVl!FupgfW5qsWk5TpE?em6mMi1$1yS0{Ru)pjspH$;G+ ziftmh8&vpDWgTy}4}$ zJUe*8$H#UTE$rYflICn^Gd=*+DAsu5pardW@8L4&QA&Ly#$=p-?-+%K(>IYl>%8Ai zi!yV*Z=c6Y$=r5JzNmS)R!ybr?KPEndVA2yo6clc^6@tOH?zMn^dlYg4ynXA z9BlPY$XTC>6Vq7F2YrXsGF`LSwP-_=3gA+z~00rLyr8!?GU9Dt@pT9Jvc5a4N z%%MsWLIPltO1UWX?~XbAR<=9k%Y!SsN>!J~;|HMCGCk_@JFv?Y5nQ2HaNC z&~d+#?sPAuP=t7mx`D=I_?6$4=Ik%eDq)TO3SMiGH=AmMc%}?Ild7i8h?eQB_YeUR zV4%g<$p$@qkP$Vf*Q(6DN-M^Df22dnQ9pG#+&XMXO`{pKOiroa0)xB#|0EU>U7)>q zuT?%Y!rcXPy@T&~ujVVzQOADi5C+VafY)GOP;cE@PmV8iHqP862kmOJ36E)LmPkMK zG%qWuJF7S)fChZ^p9M4J#cJQE8*bTcl3Tbb$n~24+E>^t5m~Ehnp7n%V9u-K{8b;J zO>0*1gUWPY&|4x0$+50j9#@+upaes&*QQ2FpJ9etFr0}W^h)kzYRu; zV*1kpX1*BJcKUw~&vt1)=LgOILr;nE(@>9Ew{`*%I`cyRT^KkHuk}_koxMcq1r7ty z0@!=xt3__M^%|_Nu&y$z4!SMpYzfw@(mH(@Mzl14pF>}kY6SoGxf>{72Xq2Ig}Pch zCh^E~B(skOFOG508{I6;S)G3o_tUc$ZfN)tW~lH~m7w`Aw0|yZk*%)QgQ@oFcTVu( zRgPP!_ssb=?DD4OpZ3P>X9}V3|Gm|sOg!rUoNC63I}jDuWbZ4EPA^qe?JvPDA{LUV z?1R2ATwP&Tvk1}N3A!5RR`HD%GVvjdD@aGLOXITP?BOOpaOs7%g|7_0{=Ag^4Df}JTc$qmV1P^q_+w$-630d!mr zd8-P%uk(V%&`NmjDHuSL3ESGhyn|IAVd9b&Ad?+4XCSG>-+L<>(cq%?nBElb3UINV zX+UBc{4rE;Q6ygLa91S09n18PM%Dz4gi)VtuseDablr9R%wQU5F@r5M(n%nsd=mBc zoldV9hX>&%?dfgLb(LoMhQEq(CAso6nb3KW=%7tK>Bx*6r&^bKpXYOnh=F9PYRGf= zw%CSwO9Gk`Uv^8~cfp*5OIP)-irp^87x{QYj33z$9wcQCoz=6gY}I8r%DX7DiH*Lc>ldZZriP!B z=4_Sg-m@Xr-yYlcxW5ul^agR(p}y?xC#U{Nn5vQqUERMZ54uu^8%lGul%UN4b>)_} zmO=RJZf}7DwC>H;xAi6j`xKnc3bpQ6=wEP}w@w`pBGMrQgi5?FU_hkQz0S2NOZ&3z z8?H%nQb$y^spj>%^W->scxMG73{tq(;iiC?>TcA!ow)%H1tX+F6XmgqEo`^zFb774 z=q*P5V#|d0#0h*Z97++fKh%3U27Aig>-H=ILR;{}1Bz5HYi4oOUp?i=W2WaVPQ8w} zY9cPcJ%@Zb4$N_ZO}&Hwk&^kdRg(%D{Z6gBDG&pQGBip<7n*3BVJl%c3mE?Ke{Y`! zb5afn5JCV?{`Gu+=#CuYa2{ziOp<^j9oPZ08ceL2Cdim)iZU7R4w=CP=#_5=P!4lk zz(~u$0RKX{uQbOOS;-C|F^m9bBErER&dvozHGP~t2i;%y@7hdW z6AUB*?$1XASOQNs?KZ5^+OiA=GW@vUX8Cs@gDh-%8a&kFY<&Sn2$erSZgz|VwxuFq z^V`A&%>8uckJw7ps_Z2~i~tmWU~~qiyx1~-2r(ihr0Xr4N0zOo1MZv=;j9BI0dPJT zBuXUzvUh4z4+)B_Lw(43Ye?WtJd&DY4hnbV_5G2iM zLLikRO1=GJ*TPC@3r}B!&Q!M+C^+Q)A5OuX9TEl;-tbvdkFqPP&Ir4`4?24Q_?v=K zRbI*sVxT6qb_POH89vvn>@+mohru%n&U#fe0La%ro!{?*cjj@Oo}WJzsaDYqfT0}d zDf;$TCQLlYAyadds3kL)s~rBi=l^I4Nr(~xm&-6hZTBz3teL-b9?OGC2@k@HkMomZ zrVmeBygMc2puP;6FSBC?$552`uX7es3gdtneW?kO#^pLy(KaZKXhiuDgn|ke~;SJ(*emE{{^#(?eliz_9o@3@UqaHH$!Nn{_fEoa82^8 zIB3r96d5OrG!Om=a4+9MT^ctJ35g;UQdT{`aW#J}jd}GimW@~qEI?1DW%y}`P%MN%gu?g}AhhvxrE0Ag0h-Y*s=>(BZ%02VV!~a~OuggXvF5^I&9%9yYuMG!IM;v`O-i!C0vHo;#VIfaEg#TP*MoO=^Gn zgqdCSf8zrV@D;>qhIARc^Iy!rdXf*Q4T496BEesg!=1+mYf|C2{(iqLlhJ<&bx-H{ zMDdOO3m($Q)L=!VcF@)r5HI#$$Z3+}`M}IHp0=bwmpRDg&8B+Lm<2$lz%v8lE%kcP z=?{?SA&ej}z2`to6QqE4EkL2p4e-wb$a|fAAg1mM7C{W1guzI8Yw<)U3~4a)*E)7y97`OnI^g^1LtsGUiNQJkD zQPq1jM(XNI6^PMgICCfL#M_p%H_33ay&6E!e|%6A5PI-i;E>l@OKA%9g3@{UqD8AWvFtRrpL8 z)dKJI`1mK_bk$-gky49BO(LcCw|eK}17}lDs!E$G5p_El+SE&+dsXxn8$MJN-trO^ zc)XXG$LnlV*PpknRg5`zCm{%IqQN_j-;J*Wj0n_0nsODQ_j)v>MS$fP?m2`YETKD{Y`rS@f$_l~tBO~$l>pG-TU!1LBo0uh*D)1l^&j6{J(I*GUQpg zI1p+l1tU~YTn>a@1VbHm)ke&B{VS)99Q${BHzGd@gu<8!i1fkf+41obLof@|;rc-O zgZEyO8mdT4YJ<<;qx>yhlxm5_`enda7JCE}qZB}h)5v@M1+?}{g<&lj>zgiJS!(zR z{1eP<#KYZr_O<^zjPR!u=b<3d@-ZDvWpTX*$s3x=0%I^CBT<5pmOn?bh)x7Fz=VX< z3I15atQqrM7$26{P4-t;7iJpt#&HPs)5%oHkZ0A%i`Iw`qg(f#xb2h+zHBx%#*6}v zQ2=~=>l#5m1gVoOLUZNFxJDGi2KX_#8Ys!42z_&m$79F`Evsq%L1zR(#1)B5n+zKt zW;|?pkc=GO=muyyAj~sj%zPnykY1_?8)D}nQyD%m;DdquNI$gEC_J{j1wex4?|nuX zLXcp{3VX|S7%z4jlD4D(2*ic@K@y}xx*^ZJu&_XcnhFQn>!@B$vx2lu3rpJo%TRvj z$!Uco%jyoAa0d3837RG~oVfZ~U^W?4M&2ph+8Iz!W60~?iT9(#(H zWe>App0uD-zfvGNKHJ9rxfZS#lLv(1!&)?QaWOWjbH@2%&I^cJo2-%Q!$g?|OocC6XLn8N5w_f*j*ABdkH1U+# z?LxJVO^XiAg1F>}0=YFiHs2vTGfWHYx6XeI5>ma*%alW&?-L?KnjqKs z)j6Njil*my&yrTHkB&u814K{;)tj`l*w7oCX?&S@1ZGb2hTaduz%rxu+LEY>PwVdo zeL5J#qXG07Ze9knSYS>TsRM@curYB#5~mFbq}Drl$WXs!7S9J0ml=U*Z*@mk5KB~} z#61sU2@goN+PNiS|LTAMBaGV9Lw4SZE%IPpM(T$q!3Dy2m$ElsK&6S-wWz9w5#(Pi z$2RrArdl*MCg%Kx$hylYb#JcZdq!3I&&Te*OoCU0Srj~rPz`yO4;WGN{`d+s5hxWR z2^Tb8CK!9dk}1ux8vreUxZbnaaEUOIKyyOtb+^D+H3j1OP%SGxp6Hi-5+JQ(3W*5z zk`DIm1S=)J5FsX_Ax8~vGQ{<2RlQu%Cbh@nsSZIRxK9xDbc^2DR-CP_+$O*XG#yj< zU{qY{alU!;rA;ElOC6A`H;!dOK=JrBjaPf5g=+y0W^boW>?=sHl@~Hsox=w=7dj(f zmH~TCHdvC+fG=CTRKf>QcYa(|RPe?^4w7 zRTjHRM>Z{belcRQZvMs3(-Q$9$8MzzogMF*_V4FCt(f{fx?<{G*VONu)7jGnUAZeF z-i6Fr=jA%lH8t_Ff~fFoWU~Kd#pFc9*x0-2G5Ykgmdsz1&nn6z-b&pwoxXEq`p52w ziR{ml@2AZhB1{TzQd{?*nf}^8aBK(p@wXEqD%1YFab-34j)rY|scdM5#*sT?1ugjuJCj5f0k39R(Iu#bUV$YX~y0W11>o2=s8vQ;~Ua{O_*JavB zp@-8)zlp##`OM$LBNu+==PBgzrz-RP@|T^Pj1K4zjyO8r=u)vl*2|)9P18^J3)UaS zvMw6uDJ)t>jJQ%~K6-T7hq8MY_oY*MhOORgJb=?H9hlU4y*iq7Fks7Q+)p{Hp<7#` z_uiiT`T1DIYN@3Mj!myt$@Ob_=rDa%uKx0O<1u5GbgK?;tBd{1UEMcy@zO8u?c&Z!;eaPW*|=a0@n7*K>GzwDNGo#v@y&H}n@?!jGEw$8F2`b##Kol{wm(boYt5 zPVi_9sX^ua?UB82MmvMwe_OL9Q$6hNsNwgq@y^{kW0Rfj=Rck}q!&?MI=6JV^IW2_ zK|@5}%kw|zBTutO8`7tr-2N#wJpPE?<)<3>uz5n|MydPv!}^0q-`DLMGv30g==Oej zcw@iOgmb@D#`V&Thu0kGOj$PYaOzsaO2?7%sKL(A(6IoE_Yqmd(X`Ql$=J@C^@qRo z6qZhYUeWXF^n2W!pGQXVUf&qg2fiQe?)~#EZAkMO_oBU6MeFkszYEiA<$7MnU2oKS z^?vC2zQW!ATznewrN2ChG#Pn=^Lg7MJ7e$ZyCz`^ekyfbe9~kWv1nD_gRaMNCEE@} zZ}vVZ*SGCN&{zWdH3R)) z2jbqXzuB8z)v>t0|84~J+@Hnr4)aID%r0qpQMb+Rs#-B^9^N_s+n%i2tj#S%&WcyG zhIhZ(4#kXIcsU~VO|gH?bA>M6qxx526+_;o7ZrLp>wWxv^3KHpiyE1qe~5GMbllu= zvumi(@FV;FN>}%O=4SrbPv#r5?=UecM2F>knM%+;(ft-HnHa3g1`l z*?oNr=ctcD&xH@~_+K-6CJpySEZtJNgX1>wJ^cOx*PrXU@959@bl92~fqQhc(M7&mVA;p)#p(<4XhmiI6Eb*3kKug^*2@e>`Rqjw+9v#2RuurFg| z>_%Bo*TVa46B}E+|KXf0{~bTHck>5U$G{(nA0$G|!v`~erY-MGeDv)iVMYJvDX~8Z zN@E9)EWYyP-AU_Aoa&kg4zHr*(crzI3jQOjk-^_b7VewBJP?0cV-NfMUUXGlO8*mja>%gYyH9QhZgq0x#^S{6uyY$1@2OQ< zx`r@T-QCxQulM=v)ma`(JQR9gdc#chu%S~ID7UT&?VcWX z7_*q3sFGagd%?a=2?NhE(gD)>ejBWc^F*!Ln{k1vb&oQUL`P0v) zuMb^(w8EewB5->1>*=wJwWDjMyL)@=FqubuyU$}zv+9iA6g^20V zo8xd(hoF%^nWKdcg_&J3i>JpwWR1LbFgzNe$$Fr#zv_Oj@znNkw-v*F>Bq)zu9>O) zvMXiZ1*6?_KM!p=W+r#rY2pcU#(>I>pA$|k-iOO0ZoMyBbAPAf{an*E<1db6UGRx{ zP+_t4>d!ytcv%I0RMkM10utjf@Nu3j0@y)h;#qj{{;5a(MlE1K~BM7j5vMJp_pjcDV~5Jl(V{Ec)Sd~Q?=4EXu=iQ&UMJr8(Lh@-HoI^* zX-gzqp)V>efnMubyNDS&LX)}Jvd%YCJs)yv1;Rln!(JAEA6tXsn z?)*T7#-RRmo|Q7J_NU-f^02d3TC-I?MnU(@A1dqYYdzU=x-oLPOnr>jSBO>`|C(Yj znNm_)dT?ifM3#rW*N>mD>>67xAck$oTb@IL+oz~$_L_$0*mIdzmNd8WYZ0wJooQU= zmSZLL+~i8GLZxTaAx$9i%O+V^I=)(hHp`y1|A=?4IK^gjoIF~284a2GJ72e(iZ z2^1NE?#DAdQbsa_mnKoV<%P2U?rFA@5l^Nt6e%$Wml(#&8OE?vyN>(6K4r&MpfjXH zYIk5~3N0#*cT3)vQO|9(=dNupFD6ln6)EfM4P$ZE>Li-J7A;_DYr31>^P8WYoy_gb zV>45-qLZ_V4}4z9_@u$eN%fX(y9pQIa`BuXDMpOZZfC}#LZ0%6R6Q-4PVMCvPCA;s z*ZeFL36A;~T;+1va=DqF63L1^meLxu011d5TJVGi(gerDXBXSD7Tef^7XKDCuwkje zt#v%a|L)kW%sAUn#Z5b%Ob!@LpOr#ZCux1OXv}fn?O{`Ez-F&LKWre7(wanb35(p! z6tWbF-u%r}N|P>+?aC)Tx8|n7^?;=pmgVhF>w0zWOz4SF+1L~^+H@fO1UJo*yGplI z`Q|nmf*YQ2>Q|4hQ1w;^p*?H4X*20feWr6X=>kHTV>4+4Ejl!k*3rbPVo_txnjmbMw$_YeUN@C zL(^zmv*0*7TGi}i?2VKyi$K!K?O3lK=BUMZ!+?7~F%uQu8_x4KH{Xcj5$l;hRl>fj zr%4wOYDh1X&GNtqEdfGzU6tS(c~$Dx4EwX$uVo0{dNp8j@IRn-@V_za*LXtW!JgN` zGbMV2%EHT4ExHVe{`s8ZixXT=jMn0nMkGc-CD*fNnv*(({W#wM$HHaS%xO!kms%zaJra9~8HdbewMyj<(^DJ#4(^Y@}>x+=-Tsb#&g z4e%A12IGfjJX=d;hm3|+i?PZ|dz0}^5-EyT6y*-}z(YSmBs;HT{>D)Gy^g1oo1WP+ zet^|v>&-B>l?05Ih;p&DPqR1bU~{4@#uJI-GpFvw?@M+AZ7x@}txZW_XU`^Nb(rLK zG~3pmSF2Pd!85-~k6JHNio)4d~+ zqh^sO25=a$x!QsYpQN(&@Jt3gqJ;tU^g?RDK(`@yEZ+ZZKg1VC|Li?XOnSJ~cvBY2ZY z_KkS<4dKcyGK4L7g10#3fe6JWZK*b8>)DGgc*1);;iykREcesQl%_dC5p2WP!&Obn z_zXtOJ$a>M@H>oJE*{`V4;`!aYCL-#W>;bq`-Kd4759x=GFetbD<+Jt2`qRkEAV|W zY_bA@u0g34pf4AnnM?*(7qqh0Ux0sI3Isr3SiK0_cCBsgTA^lT#jr`>QAG)~y(b6oe&k1fa`~(=3Oj5B~ z?ZaTla`7`kS}da;P}{bpTYNu5}FkW&B9~lC{c1S%~~ad^@odBc+(l&?TmN@ zimf8ya=hF+0jY9|HY{-7t&wtIg5dnSS73*7wP)ecp;&lL8ap`!LJAG|FZk#;whS)3 z!R~vx|3bVRVEP;_+DvSrsx3=ZxTRr?+$QYww}oJJ^I5c^Jko4 zvcTtG^9w{liXU9SY(>s}rM>isJ%1xItK7sCGDc~wK|FhtP^*1Oj88j|rZ%1~QjPH< z1du@e5Li6Nz=Eu0%$D9Bi!|Htz~G?4}YjRajrlP(KCf&SGh%bDT{ceE(J z;HB@$&%^W&mYq%^>tKqK5zn>|GN5k(!+9qnAniZ9LOkJ2XFRv#-ipG0HUbDB&mqwT z>Sux->z*f#u@(y`Zehgw%u4Y|5{Rl=ja03NG?n!l9(*2i=|91}B%OE5m=df&DVf1| zBk+I_QE+crb8j^u{qy-Ru<)tp-byIa)~wbRh7>oO)>x8LDHLY~f;JMBwFu$nvo%-P za>n*7Lu9IQ-tltYLQw%`_+p~+#Z(H#lYYkbwR&u}y9^wS)KWAL#Hf6R>F>bd;9)xlBp8--NHF~eg zR!VA$=X5lSRa$ClIcs@}Gv-R{YhMyxyp6v*QVzUZY%=+TCgtQ@1!qx0)`e?gl*>&o zug9~ElD3O+-{|E@KR>%)b)}>OJcx#cB+5yXct#|9?aO8nLer-_6XXSRWVq&mA2MXP z%=$k%8qv{To4uq7*&gxk`fz_+`$eVBh}b=TkJjE*pxAE$H)=pLTv_Wz3>2l9W6=dz zA9$BQ2S$slfVJzaNZDo>Yuo>0T&;M*0VB$F1^B<)s}hV2Bt}am(wKEph`Cz7 zahP?TN?DhLc_KB_tECX>- zAh=-WT_x2RliVb!mCbWK0#ZbZkj;~Autt8neF5Vk;okl~y(^Zx(HIZY#}>tL{p-2@ zjza}v$h}kr=iZEmWjh!@Y#?mdl{<&Je+j1EJldz&bl$8Uj%R;w%69oUW38wZ&7C(`;GSYd71bA-BT9!yhf&sYckkT zr4&FSWx-OCfsOrASo-3gIwq^l28KP#ALiy!DE3+)f>Mf_Cfx*E$|teyxp+V*|D{CJ zybWpFgdw{Xq;`m_^Z(-q+g5-f?zgYKRLy_5-DVeFB&^dRW<2Ap)e1rHG(}enRo%C=#6lwgV&Vp zSsQFvjo%i|N+Air?TGYx8Nzxz!RCCCvH;%m?BMPYT_js`723-g8We_tFt3s%(JZxS z{!7vOs^>$(0Jn;(un^L+E&uvJ<{w9{f)C_*Pc#L1C6|Sr2mED~XK~n58wOVsWkSv^hKX-q?oNjq}a`Y;v^ z*6LZDVoqIB{)P@CDo3|Dy3BtduVwPJnG`i5Dd&HXt zjHIiiko7SGy*Igu)PfQ^tD?n#e(Hb^+J)W9Jxoaazs9gvA8z{^&weW~J1HY85*;8S zCzEL=6nc&d68e}St1<>ek6=xKSLIr$C?LIjDW{xN~4a-3uba~1G zxUMd1^N_9FyzEu-UZ-K2kuV8)`9G78iK9} zubA+w=e`goPPIZ7bn;c_VJO{$3^*uX6rQvUVNY7_9T`ygLU^>+-l_<~fcQgux?Le- zG|%lVcOyE&)`#0XB%?pRA2Xc=dFXNxzz&oPDZLXBWH@->#D`R1q>Bx!>Dyfu4J^8l z%XWXMP3k>oZ`96qL53P9Mq#V&flLgZtEkx2UVa~>4^X=+9Rj8!()kLM3js5--@g%N zB3@OxSa1Q(&$IYI;YoP@U83nadU&yX2bS1xAP|CPQ&b1H2%f$ur4;$U@WvjgKo;yI%tQqlBhYDR z%Tn$rKk3Mbd2mGoo@vD0l19%ontUJ1L0~Cqyt4K(vZ!7#yi^t!3My_v$p16S6mqs> zen;Jwd8UQm61=g3(83R;SGr%}F^zc2o*e5tAn;{Qq72*Yi+eRFRVJFet=JnYNCYQ( z)e!d1rsO!YO+ULrybCB1$@c$o3LaL(u(M~T)-Q2R+4jghbmREmMI_n@MM{nVBzH_L zhjwibpsZbfCt;k;^iA^LFi zS$0C#2?wPIbB>OFpVfNk=Qp)+tADzs_SE?n4|jV1k9zgqIj%g1kJ+eE^;Z7H; ziT&+KXZexn!7b%wEGQPA9|J|~W?kn8nzYNyjPsmL(mpTy925lwsXLf#RBN^iYRlvD z`Oby#-Z#q1j0Pne+kSK|hL_K{5)oqOugiw4|J1C@cJuV0-X-qPBPcezPp?5G>o9f` zD_MUym z221cmPhW`Q^BWF%RC-49Nb~-l3R*`EW`@8r0+Av^w0W22924iva)A5)COJpNmHC?F z9>S_|$mdK+12Ex8QX1jUmDN>sKP1u7ub;rLxC{u0Hm{9Cn9 z+i(ub&9EX3Ja#0ggHMG`Q_jJ7N+CzHX5f@y&(`37+-A&LP#$0n&s#$&)aOt#+|Ko- zF`wK?1 zf%*vYO<_eUy$Onb8p70I?a4~Z$GunOD=jOKnyADgWtFq^a zGv~UK(iN#I2MP@pplOkwc*dV#S_UXF>fs;(!-c!QQWyrMK(3LsEvDB5`q- zOsE~ynC~~DUf*tH&D;P#A55?KSEGs~tFwDbj%*Nq*7D?M1|5Ab(y|)Wx~|iy%67$I zLIf4EyAy~ia4dwAfDN(}j5wd=6*JcSD7W$l{G z;#j`_OdfnCBw!YN3gC&ea8qkCtv^-k~GBK5SSz`XhDgvANdD7vULDM{zWl*gm7L-T`^KWr_Oy*VRhN8R`h zd0vrLH+jY|Okmv5TBZtLM#1+{%&&4x_PRVd1EcG|R#LAf+zF)+X)>I7qMWSwy0x|0 zw>$4b9eEYqxt8wtnC|>ot6HBT$|*J6wUof|Vb_HQKUd`N;_E_%D?@{y;WYG=v4^JL<8GO<}2_QXLKFcZPbIFl;ca!U$2U4P$CwDSMigsk zGlms>sColiWqWv2JKP}&NljnB#L6{DrsUCWE<9 zt$VoS$$QJ*EEoc}fO>ESb-yM!KLMREn2O}V6r$du<=BCa#@CGtIXa+4Z6UzfaX$l}7a88XGI#irc7uyr zZ;>TZ&?3v8BFo-&n})m|(+^b9O)Mc9#2hdpbV20GNQ(4ZE6 zbit-@;S3p_=^4^yQ*lr{{}<5rjvWVtIXtem?D5GI2+1cCd>=9;&wMvERe$#~60xOb z6SSvQ!WPaLHWKP`ngY=b$sMPT*u)S)TEEMPcm+D%1_zUfB?>riw!_ZyAn1Mj20HPY zDdNtfg*(L1LKgzgxVAcjZ{$FIu87+w6s85w2fKBeD3P#L5fOPWlT4f<6JdJ#P<-5> znrbV-$*a|Qy!q(ly!lA$$1rw|l8MXlxH%Y^62qZFGVdwCRYd0e1ND!^vIB87(dp(h zb$WS@%&*eSdz!=>LMk^_RqJ3|Dj{Y%Kkf}leCJYIoirR;S37q&)PdR|RHScjfR&FF zaNDrsVAlRZ8aKAQiuQ=b%eQR;(Q(#V8M2;UA(wTzA)NAhK?CsOz+Y-S~=o)>71ar|-b< zobS3ldoHgGl4aUnzb?zHX}DLYiPoNvtaCk3Un6!W5L*78H=j9&N4iKN>ZqCQjC9Dk z9uVp9m3>&PN6|h1nglar!GQ)F>Q=V8!Q*J}w@&XIn5%*sJ>lb=)v*pa1)DYvwO92H zH3sJ&1=8f18I0Fww1`XWz2%vq>UpdDsO=iap4wMZ+qVl;su(`BYCwuoEDxqUE({|Tmv9W|XIFz5CK)N~`HeJ)7*V9+6GfGeI;g6H@m*mE$h$tDN{n@s?( z(%YnZv`h#Qb}LRjVVd|+#~qkor5bJT7gP>S>a~HvKLBLp0=P19N}IncStw`kF*ICwnbQ_neXKZPEdodU$HNB4;@! z-KzO8<|=z;Z_^&HNIePB#{|M{H|DKd^}H!#_?OckVPa}cE2sX>XiZJtNNdLO6@uho8Znyknn3u)c%9PEVW zSiky?$5au@y=(Uc_8Pd?(hY!sBRVsOVMING-YG>@9yt{@HN;6EJeOt4slB{*`c4a; z!vk^u-ui(?{UAJ*v<{U;CPw@A`5?ZgixQ(*`vX6A@n9k|v`lS#@mp`UAC%YDAtQ-IskDek z)`5jPE(qa*-W-qitYtda)%p|9pJY1w3A99{u7o|DW@7jfKDuTuRE64bYM$Az^?zVS z0hFVgTmj!Da6D7e(19B+=LT$Afz%WN3CCWGyL-=CEfp-_syz=q2kWf_+X#FP3>7%Sd zmnwRbZHZglp@VMjFF;EtNv#X0TVDqz`SvZuEJ}o9N3#S5d>cCBWsEI8WfQd2{q=Jo zcz%^MXX9P3>}z3#mOFipyWb61nWx=QRWa{%Dj-;sfv;gF*W!0>!KSgzb^gEaaQIC3 zeBJc4v*Msvba|$MTGO@D`z{i;J z4`tEgCKue){jSxV{n?%lo12uJs;o5LI+33azWuk>8v)fomIeR+pwoSC@?QCbiX8Jf2D7*h=cw>sMeNiOFP`4zMh^K48Z$AzbiG zF1zXbT{9vsFCwSc9TbC==}N<)YJZOG@UCK-yF6PCAUyW%(sInQpieIJ%nnd{C&Oo6 zyS})lI$(0dPH^jIHw3VT%Nx${vT({oKCmxN=`HdXS7m|9Nt!ut__{}siE$Y+!XQbe z`K-?^_4n6+y|pQFlqA5HIphQuiW7@QI)BYmFSL8@KlnjD(L1yG*2?^L^Go}b{CFDF zKArfw1j+L{-HO)&T_0;5tX(EC9Dn__vg>o5)tSZ$oH@Oc=9l-uk!BM|yx+XJTzXrC zv&wy+D6z=++k;z%3#qPC+dofA?tgE6Gz)A76aa@bhKHscxNL>(3Mx918i9uix;Z&r55$ zZ}qjY1%=VeKlDDiCwB642i#?3dg|50gK5XfiTl$LR@+WY^-NFod`VgJeWK!*?BL|Z z=_#q(?{g#657kwe|DaBnI$ro)QDGkR@z2X@E3K%y`gjX-Apz$p5EeLH4zbK?>4*ZQ;1`?*1YeyhWPHHn9-^1ou`CrsqW6%$W1>txHxun_q3(S-5&jN7sE;CjhVOj{L?$<{F%!i_scZK zeOJU;Rh7ND#*D{~;O|b}DyaUP)aU2Xa=`)J6KG|!;j9lN zv(7X2rph0;(we(RIj}>Xu;v7U!F%xk7%4?zESL~b$vpMDX>V1d&9hGnG&~% z;39M6f|W9kK>f84SM>S4Q<~JeK5NzCTUw74#fh2>7aDO#Z}}G+;$?dK;`Bm-`uquXLrIx(=N}=ZVFF6OE1d5v zPYp|}r8?^nwO_c6i{i$~xYN^%V_v=6|G`IX*l15prX-cYf3tCSs$^hb&*oe*u0262 zH_XwBe2aVY3KsA`Vr%ehw&y#>lSF{`ID`9LfQT2rze6GCkIc;Z|)4-o4 z*2Go~qN@D;pcWZ%-26>vSOE{FHZ46Dms?YPd3B&J|5hqGxbDL+J7VhsSa>f8=tK&V zSQ`-7Q()5PV^L!Js%3AtkHyH^x8K$?hva7wV1D8~l%4ZWZhKOFd0o2N8~s5#v~9-O z-$^y?u2e%So5M$2lcgr&rK)+Y%ezU@b&oz&`lli?8>nwIi0nRHrS8g@u&a}YNEw~M z8o1U3scOYGOM%km9Y@U68QJbg#lLX7@;F*oaP#RC)He%=a&eo_AE>IS_B)g7lYS$j z)v&LU&3x08W2VmEUW;_2Wx&5XnxtpXVM7DiEVE2Yk&!cfYJ`S;GB>COZh)dTzoW#TU zu2ggR(oR^3q@D*tGS`m{$H$YY~z1u-9R@ zF*LPLvcYjDv0amRa0anmjM%;dGf--AEY)NoQBAH?M~HZ{90Gt+lfEpA;l>povLYVS zrqht+sp4Lw#QD}#pL{|y#`H8in?+r#)UTHm_9>J3Xl!N8pMMJ#Bq_oI9}Gao%n!)JL=;! zGwjn$=BGpqa)uk{nB>&ZEV`P6x{o?xNktzW7?taEGx{Qda~f|Nz6Az z%ug7Mou$@XIkbdMtgVAk35FX|+45Ajkfr!pVfguoP$Plu=}nPv5XV}i#gWja)e109 zUa+Z;^mijkY^8ms5ucy3Qwlqh_J~m`Iuc%%Dz{U>Q8_ypmWYm2JL5k`Vh~wmTy@bx z2O>)xS1puMbrwmBSSyBpAf=7NfXs#Luw+hHGK}+0V0?k!Bo6>7*GciM;+vF5nco^Dcg5H~UI&5vC_$+$blxP1yZD>2+Y5!^l_!HN1- z!Z>-vCEtG?c-cljm_U!)Hyh`c5_j!!^#;uHpHydXtCf3v>Uw-&rY3T=b3PmgFqt!A z1VUD1rb$wrg_Jteh<%{n2E@MWN~*+1x4+sDKVjj+ID`*kIH9LQ{QN;9UctEZN`MhS zX!_nhYpan<;@)c9X!&kyDS_Cn9ZaD}p;40R506YA_IhJ7vK+ZoMg0Uao9H0?fu$98lVQ$!^fs0PyYz|;655S0fA&7{>`lO_?4tJZN+?68k_tJ-C=rgCW9rCMq=cdj zh0G-6AVcJk%tHtznJdbaN{Dn6nHwmX5|xY@%J5tJq29Om`~Ls$y1ZVOmi?@~_uBVb z_r2FS2NDw(RtE>&Qi7NqeFp8c7%_xw0IV(be-ld1sKd3*^l&9 z3yhhwpb1^aCUgW+9a#+GYc0MZfd1U_A_dA#n8U$3Moj$Y5WP&KUrMBRt_?gtPz|5w zw}M|i%;YhsS8TMn}l1HqeJ_ zoZF0q*A>R=YC|9&!S^+H9Zh(wlbT3OY5sZzM-K4}^Q)qPjNs9y`Xqoz6|Ab! zx|6)QZ#5)PGbt&5iZGkbkG|fuvKdPmYZlB{M=@jFK2@dtc-<{F+F=x?fI+d2oX4#iyTX$2* zh-#0YZ5ykC5Aq%1!%uH&ZdEE+PIat%DotZ3-UIeI7pQ?groMXZ-e@g} z(rf6of)LGTv0Q6`{|N{YRMsLm)+~q}D6g3dyttEa$51n}ex<&DemMCWs)mQcJcrbs zYyBxsSh(~qhz_Lh8E1E|;6xH!q%!#%gl_C)-X7Ypi$OcwaM+5~|o zk>UXq@nutQE*AF9@KN+G3Unw6l`m6NGbE*44234eFdh_6OT6+Ay9nNlqoH)AF9T1_ z4Xo4)CvVp!QYp@AN@ko;Ab}3?%Nzh+ZU8x$$f1PrzIBA;J{c zEQ`v=7ewmQ1e@d-S|SxIa~MW1sFJja>o$}TU_VD^j}zC0x3*UuhiA)6E32t>{ciZgs`TSGBoF1T!U?TJ(pMFHF$?Z8 z-(8P?&qsGqH6Dh{J{mx8u(FY?U2@r155XcS#YUQrR*{#fmW8y{_ zP&F6#-%swBl)7hhH`(NkvgQLcw(8%kmZ>lKiEiCV5GzCQAT}(>inCy!7I+w}zUzdnp+> z^_47_+LLR5C)3{YJSM3JEBa|sGj}&1B7q9FQa|>+Zb015kAx`wCDM0e_oq*5j8<6F z$e}G=_ki{!-@5(m(Gcs+Q=f`zT%3vkZDU$CYwC) zG5h#UvjZS)+?jF8V885IzylPIeJqOS*Vx60M`&H`reY3^} zkE^dAm4y=3>TMV(CM?UDZ-oy&BfjMYKA4+&nX>M9TNHiGaO2jAjX*PYoYes*3jDX? z)UgPZpX}ip?~yz-a+IhRl8nXbDA%&3YvVFVq$5HIB!hmmHn5HV6Ah>(BTNI;XPqV^ z;Ms2?`u)E$|C6V}$u1UnpHl}Ublu zqsNl(!#Iep8-OBF89_|h)qGSGPq5Sn5)}S9@9dDH(1GqO-ESrLJJvJfoR4p%*ggTq z9zvO0KjCt-dhj^W5*!8FnHbpldK-GW_bj(wZKslIO?Es-4CiQ{g)gIt@syGwP`o{R z5ycDJYoi%WVW~8hS*l(?`EiMS+Q4}D`Hk9|`754@*MX$*oO zQ~e+JFGct;?=QEZHci+JMb6s{1v~7zIq%x`h*jD}=)K=pLsEamepA+vl@Yl1B?;c-Wku~VeS&*yctkxgCF4#!FA2)VBef{VX zD1}-+8D+ZzBHgIUvZTese>^;1-pAsl^R6;N2m0B=WO%om>Vzr#f6N?P95JJ08Tw?F z3xX9)MkM`J)wdye!p7WbasOAhsjWT4tz zev%t%_gN(mA-oVsm>`llA6PziwwOxxIO=&Brjof2ss@pxw4gq7KAqi{{xtu8N`Io3 zt9K)Qc~uN|vmsCzeDL9;BOdjXVkU=#&*U+pvme)|kylm2cw7ZJ@I4bU$s|e#8lHTZ zw~f@9ec)~0|Ed0^Kef;1!`&N48sM(E&Ug2eS~xFLw%u3RgFG-gjZvag2UtEn2Tk#k z(OF)wHFZOWuVMA|&pW^c^87B042$9PlPKjO6s@9mNDG&Y&hg+_1k1{!kfgcWH;sY# zIEuE|6VZ|vh%x~IiXGg&0PopXmgP&WsU3Edp8!ggDBGt?gU{N8WBYXVnyG#r5#C7( z(t`G6oJSA%n#YH`+;+`lK$TlNe5@Hn5dHa}6vND=k?s zrR!)EJ!frTpMO4$C&+-7ab`|lGwcn4LX$TbO>Ww1ZyVF=H8?>H>}$_LMZD_S2OaAX zu_C+>m8mesIKKub#^J{bXs9#*zVD3(|O8QZhOKn}7 z2P~NTEPA6Jt+4y$iX)bMOdCYSTM27$tfu?-6Q|G!KVtl6iYI#I%k*HL!QU znweaP32P%X_;0@_b)g~9eXyEt++6YyycaF2sg5e1DO-kv8t4vsU2Vw*;xWOM>4TZT z9|;i6C>rI4E#yKi;`R;Y(*H@)ph{+FIZDG`=G#z74p6}ZnHo2j?Ez89VR_fPE4D%k z`IiI;A#zg&#Pr3J?IuzKTwwh^nFcqwGX4BW^4KflEL8AEZETUNApeDgmt_VJJq7VQ zC?=y-f#>^pG7#hd!#|Nof4w&FYb7rE2=XBp`Cybv4P+eek?ni93b%J*sh2 zZ=a{j-qFg9CsZb=kGn)ZX0|HtYMwQJpjiDSD42K@#cJ6X5DkMow|`W_>%!c&;x?K# zt|t!Ec|({&PGJb{V)07lp_2BaC=lhr*JXqK)(J5s8oaJuN8Bb{(#K1;Jwr$tyMbVx z=!jbk{Qr~#{ax%!foz8R898z-i-)e~a}suNOgPY^%vP>;8gGp8Sz{Do9`Xp^6#fcY?1$=?L zvIbW{1HCppK{7TYin?%0C4suhT2D>DdI@Zp&+L9du3zE+kt~UPuM}; zz5(GTa$x2rx;+rvI);6%AlHjT`ng1UTTI52grjq3H_AqSM)AQ!DtzkqF(Q>7{jdzO zKNGRLus+qbWLwkuQxG3#BRd!sDTGDHOqQM0l?(W zt&_lxV6#F{xa`L+!a$n*Ee}GbTt4P+PT>ci6C3D68iV0GgbV8#WczXdnf%~E4Ppq; zttwz%%ZU61xYZf_ASM6|u7YJq~i zkzig!D7IODV9xG@|NRjOhkpmR1gM$FmaJ>nCr#AP({3+oR~=)54$T+hUk_k{T$4CUt{> zn6nu}FLZCGcLv>QfvdN1o;fb|cY%jM44mYexPhr9IYtK}n8~I6NL4#=QyiC%9m9Nd z3>8phm8C|Yi!z9o+rYlmSJvuprI6M1StvS&E$f*5?;;JAMj!+*hr4~XGgaY|o;0w|Hf3QO|_yCftP7%H;5K4nwz=e+XRrvdsk{w|bmYyq%kqlFQ29IfQ@8NRY;;!I7hyz=_-Jt^>Y0poX*wS}cEW`+dN~a3_-} zu;Wfs>P-J2+_s74c+a8BT)As-Cx2u73!>W@d>z6KXG_wZG8+ZgXQcD< z2P=$S9jHZ|+1CRla2evb48V=2kG6Bdu$|DB;P7|^dIKau67*MKhxVf1D=Us8B~rxi zWrmKPK8TP@7Ud36xJu>0(`_g_y$W}FBaZEmEq0fGB#Cklbk=c4VPpxk1o|x=Mp4ph zYpQxZc8$S3+A_uizK9K4fG)@NWYZkRPLQvUjob$;fM2`Ra?%l*{=to?IxM9H$?zgy zlfPio$^)vvKF%#Wp#2|y!V9=DwpR_+4>!3HkvbAZ&sH1Q%?%JALWIG0w;EQc<{~IQ98C#5*9DnT7gR}q1;H`U5|>W^ z-2JpAQik7d*3pLxFPJ+YjwQQvxH)PQj)l@y?vGgGm26DH`Ab6tg5n75Y#@u7+#HFT zE`HG9r~U^38InCew=o2g*%<>)@3 zlFO2u#`~RBHJewC-!|#9hTkLO;0-Ym?~FCnXESZQ`tg#Bmr3xle)qoQ3wF)0tdDkG z-&J}VsFS4D@v!qe+c7yK_}sM%NT2j@sXDoVD7>;>S%snJ;_=s;?Cs2v@P%(kKIwLiW6(qa=w{z@R$W>2yw*9Nu-m&A6IgC}gFA4j3LL>g;o z>UFV)i#0fk7}giUeXuyLG=x5bY=;RT&;-&uWsRvCTOuByHj>|QBaRzv2=oJukXWKW z4(aZSkLZAG#T;dMf+r@IJDO~eJP+5B)e0axYR#b<&VvrLzcR80{^o%F^Rrby=!l-b z<3>ab%Y>`1jG2xn83Ck%?8k1=fR=jGr)mf+StOyBuRZE5(kE9O#Ma z#D)UU^B5qt(XT7W-2jQ^T!-wGbo@?deZgttcfbHCWx`=Kl4fu>3Hsk!$YAD^!YG6N zZ<->;{|Z!z4TnN0b0QA5Ex(REqX{xPyBb#xT8%2{GBIVg+>S_96)m1!*fVw}fazbh$6l`|R2 zYzDkD-p-zXBm1MIgME!S2UEGA4d(>UMwHDbPOYn5`gLg3Z|ODXwHkN!uM0gBNHp~I zoa3)t`nuT8J#uKIaw&KIrK4ZJS<2kDMU$ON6HAK|LC@$Hy{X=p`}tm+lw_0 zW}Hvj*gQTzZp=O_?P1(}BQ7cgzG=TDb8}pMal@;rJoUv-rRSTxt)+ixTkBu^I2`47cEvkd<@I)b!Y9XR zE3&?sJRUpMCCXSGVG5(!2IONjf4wkGxSh)!UMvxA&)9&yOa!XB8 zZb%kaNED@3Ln3(~e-TZJe(VNzLzW9&7KLy_=Ej4IH*Jh3?h1GC6SOA10LwD@K(MS4 z1WS~$bHyPu1hxuiMxgaqBf_ZobVGLUD!Qi%hx?*KcfjB=0YzCNhKCY4had9#~UZDEPx5!iR%63CYeQjA*Nt{OL2$-RuE{N#IIi$=L^2TvgBLQjoX?9kDHO_KIlOCp619NeQ~p zZ-H4xqe%(imMxRPGR1z9Q+#~rxB(`VUJ4_N!NHQu1-=iiVo@Ee4oSj+Vtv8{TPQ|P zG4|}-(292D!D^1;fsq~CO)6lsoEjS{-+MUEoj)bH<g-oPxhuzA?RDhiHo z+*!0c+4UqAEwr94>^bnd3%5VI;)LF?LCFA5X@xiYvgNr86?|Lq6?sCKqTL)y8NWcS z34K(c&)z_u%2@x)sF;(@BbHndyU-1;nh;06MEE@+I|Ye}CF z;Dy`mdRY-%N0}VanvMXub$@yOWqPL`VOFqZ0sfSpxt^%vWxxlq_2{!2up;S8V7kD` zi<#0%?gTwC4)n);__{MNFf$Yz=@X)572bOXcn(uirS_Iuf zEi3(yeXCpVBm)|LSNsU^&4Lq@v3X&J#DS7Pl-Ay-i{tKK;=mm~I5P^0fJ6l#4uGtg z-FwA5<}rzVLhtbRyuN^IvIj1Kln8I!NV*2QV02CZ;N^qsDbiD-WQy}4FIa>fa0wWU zxJ!c2Brqi;ylq8GdlJFs%!yi3Ttk-26GaR)AFsh-Er!BUe_9M-R1>L5G%a~-7fASI zTG(2UeK&fe8;B|RLe0`dVB1H%bbi2weeixFk&z1*8zW4_DOg%hxBNUzPrMXJUeOa( z5xm6^YfI0Qcz`^WN<>kVRzL$GAv7aG-v!(NQb}gmw4m7=rey)3F%?RjV#B5tKzTd) zx1NY%%L^S^#Z(Nnd2k=FL76>66i1=ZzXBp5G+98e1Hjuqs4dC5Gb1-OfHICI_8gij zzmaF4%S-%Eqv1e$YrYV+TwD5hAl=cBxA$|3j}09*z#0u11%EdhUPdQO3gwMpZFIuU z@ZwIdzy^Y1ok5H3lVUqeTn8*C!o+e#0Q}z zT@pnp@7}~1X=(sM7${WDN%RZYycQ4~TO&A@@i}9y@QV12iFgn_-`Eu;&a4!2Gf0nB zpm^S(fye(4`8%nB+F0&dg@YY{ z7c3YIBF+>{%t86k0A3vdmb}O^lNxA;S+2n>ljz+CEiPbc-p_w$4r_hP)tpLn17Y_Z zwT70HPU8(Rh0ag|rtg6cfvEyI1TC*#Rn^#GD8GCP!pX;;5^sl!=nPmuZ&qu*Tr6yW zliWQX3;b&pJbXglziW(t8V~=A7+c84*ij4=U|V%$&;l}Fif18?3|}ip>--@>G%G7= z&3#n8?M4-uJqYFYaCwHwY4R|rR0ll&-;r%T!r3x5WN3hOB{R}bnq!iFA~&p+juu+0 zbd+Mc*r;%VUVl_~>v>MuDFJT+`YwRPHPgN=~3y1oJpZt*X;pyz6UYch!I zo{Ini20O9haUTK!J8qm(_a>T1yn#D63P2YE?rv?3?<)KW6e?L5V(X!DY~9}AaINgc?d;lmoy6U9{#5b@gIDf zebwNR0*#1fxv0_+ksE7H@!j}uEfGcR0X~$uGgqS)kDPv=JryWqD4;0z?`mXD$$Uzj z!de|N4xy7Mk51xuIjk`6_3>_9p(gSXtsv^=HIU3|Nitr1|3B2kE%SO1G~Fjr#xZKb z#`W*0b$F3P`9Ad*HMs&A5vA_YQ*QA5zo-dQ@>vb6FmodxOYzC+`EB!yp44WsME1*B);ee=(WlQDT4S?Xiw{CN6;wp3DV`gXGi$MOTM)PJG%CyqgQ_-9 zSh1Co=}|?m4VrF6*>DrIZ{)rM)4%KeWXVsjL=hM*(%=P{+u_v=@_*z+*erv1U~xdW z8nWmn#cW@tt`r%|Wqd3-Q5#6ytt?&yg*x!}jzEvk5OA^9@8wX6?FUiOHU(AN&_9Cu z9dr=DC8rS&vcFr@Z3wNjp^0go!Y`sF#%h7TWSz1#73o%g4B+ud09a|Pi7 zTcOc1B{LO!xmcsV5Gu(G;N@a1BdJL`?t`kfFFH`Uz2jp5J=QmR;E$q+S;nqd>VV~| zj=6|L&#BvaSexb3XT+RMIPKUtsAq>eiU(9}ZM-2BhmrM?&$?p|7wu6+b3!jl#^tLX z2+PXt_>;EF<`u-Dcdw=S=A0oaa%`vpl>IO*7a_Mn)G-2j+i$?wkL?yz6gTHQke1Ll z3z8nN7~mU=znHEig8~V9+Xm-7zg3Fg=V#z^JNi++dOQffgpa>R^Gx3XBeMul6MRr4agTvK^8t z{zXtEaO&so&Mk`?n4YNcM^99N5c5!n#zA2J8L1#@$TmLc#)@uG^_J7IDN*kY2R|+&8y0_YVzOLX#AXq{q zz9K&0!%fzZzuW>QW!CYc^8NI8xCI6#WAnltoyKGWFi*t&b=d!ODAMD(E3$Zj&3#nt zZ+LSw!AB?cy~WuT;MkEh2PH2zeozo7CA=_sY}Ihb6||_q7lC#;(4To9JHSbZqFi=0 z+Wp>sBW_avcZ=fIKc=YO=<6N8B|J$gPy2E~)hd%Ka5HWaZmfKvM>52@=AZoOhUHIn zv!QEAUw228_3_L+_GbILL2>hZoY05+NUSlH+z?)R)26t?<&~n=$K70O4j=w5G<#T& zV`-rYX^Ar*A9_dJ`(QNc_h3GAX(6-XdmYRCqVum8Q3G2pz4t43PF-475?NQ2M8C1h z(|vRCA+nDQGf z&6LOIe}>AA$`^#G(qunfxau@5(e3?!li72Vg7DC;wy(R+Eq!|OrbSW0+lw_LJC$B| zk#C8UrKv!zmi#9CA-m++t=c}KW!ZDx&qi$fUN(EO`JJ)$qLsM*@}SR;(S~os1`TOz zm0Nk1z9f43?&N*-=&tYPxA4vdePQK_?JGIZ2=?*i zl%sBZirK@-S+~wbWjg54)|XpN(z?Fx~rV zdMBDmUo%)PJ!5^rDf+1N);%(+!Ea~w%H89#U>mGzI+q>CbKNXpHF8#*hb=3H>0CM! z3njZ;FIc;vXAPS`xE<_=*74xf@yYfWFkMf}cIpjKcBVGX;Ne|0wX3z2K#+z_Afn}MZTSH{w&Dz?%QSHwVEQ#TkV(70Q$fP(^5vG; zR-1egoUNx%{tMZC{Xd%Ss}gcx)7*xC=%J?qut zL>nMay&;(g0;S+-wm5a;5^PE|Y+LAU98QUz;HocVe^Kb-Zw#hxjE3D;k4xSKILpr2 zt|-Fp@WzNRFu7+ufQj+B4T#}8_-i)DS@fYza4+7&WppcR{|?RSn)B2-AO*BwTazo<}3{Aap%Jc*o6qp+(J_!JnEp zMI3s07?wXJkSVOLxRRxa>onWe@M8OTG%MjK9*+-UZ1v_`_2$f0Vms1qr!&PnRliuc z`s=&Y$D|hhqgT?ds2l&%MvxEnVlIA&JFs_qxK7HYSWB_}$Wk9!=KQu40L#wK29f|?IiC>G?72|n5Y1%0t7fh; zhJzWV|AGz0HEpx@nvBmuB5wu-A+=2br#KJ6HNNn??58m1!(`FT9 zYRgYL+K^^b{9_q4mbWV@gQ@?QN^tCvU>0pUO}|gvGiKj^Ju**8`XKwVNAmT!$=tw;ToRbdM^B!+$#h)Y`)Ty_t+eOsUg(|ecF*vFxbnG7xZ)hZ)L#T6(sA_Khf|mHkL_H zW~jc1@44^ehXI>@E;&DPaOHm#&HuPb|8b^Q`WKDQOQkKXJ8n$Ug+_~oCx`o&FEtPQ z4H_`3ZWamDJ?C9L`;@Pw>13Q*@IKApjEhW{qL|VpnOC#j)@|DuQ_U%&Q++SNVDdCW z43Fj23%bEuF3Oau1-J4XrYFQjSgy^ zK9p&2C~0d<`fcvO0;#q&gk$lR#-U6{H?xIAF=^1E@9B~($konv36WSi_D zvl=1j$fNa^h4PNBr_!S9RXFQa6sa()Ar-p(m^yV{nmcNAo!Fqo(J-hB4Gj9n)c`{@ z=skZl=pD7-p8|yTicz-6UQ#i9oS)eO(eQ{hob&|Li-oWQR0)}bF>8WUytty650bWOM0;EWJZ>O ze8^HqgV2t*94j$);|?^?vR8~wzpEDfkf#M67LIm{1MSv89dS==x-LH{Rh7;xzZ$kB zUb1vETjw=uGeb;o4mW9!X0YC=?aBCpSm_@JUs^^a4BK#%yaSn@7=~@5nj5qt%U#jw z2K*%WG>X?~X$#TPcHPD{Y+ZfxE;KQ2%!N2=vllOk16wdhZWIQqurSz+!oZ!M^wn4M zdB3;pd2X80rY>t+_NduyHFOx@>PU!0zfCMS)1* zWVRgIf);EevX#=d91@96KhIC%QVCW<6LVRGCiV@R7<){58AM{oO_;2-@P>}Z8*t8pr%D7_?}`*9Z{jx0c{xq4lQhHW6^0` z1`a*mKsn=NIE+PWVH>v6qL?w(vP=YTJv7_l*L<+MC+X4dz7s$6(lU{?Ud$dH96fXv z!b{YG@oMCi~Oltfd-jCgC_YX<*V z!}dDt_aSpUCrC)lIAg3CTz--1$?JoR;6aS-PQvXNYT-I;8#cijSo6Y$X-EzUh-EO4&)pau=wGzZ$U$0%rCcdG@T z1Y;6fvA4`<#W=7POF%1@%gg5RgCvAEt0`Z@R(PTNH4EFc3T8#0Yc#V>)Qbq0i-KL z;Xhhx)r=)rgI$iU~vUb&Itd*x$U7k;bV7mWONsz=)&9T0|xtqb##+i{& zxGO4mC&3@AiyJRnx@a`1sn8joWx8-+>lE|+ZfexNvAPILo6%K-Lc3_&RctD`z3T{` zkVm4Jz7HkINA>PfzSTdjnBpD9Q_|EW06s8MtL*kC(^{^|^nmqr@9fz}c#x5u1fw=a zLOO~%IsJnw8O?MDPNJbUztB!9@>l!YJ{05wiY*g~*FL`kM&Hse`hu z_g&FBV~+|j_t)j|?ANrs5o&qk67Dp@=3a!&E6F_EO-lovUU7dW$uU0h&1^Q1pOCY} zD?k5ab4byOZLchEq~0B#R^yobrdc%JU&z8{r-gE(tPq~G6XvBuLT5)Z4#GAeE9+iq z0(Q)R+HGjrjujrAJclV7hZW%PcDf_9jpdvyJ{X*=-f4^lBZFlQl#Q#pWjC{Z0r;TB zor358Rd{4ZmqwsLPoY7ZVNjGGqnSo7QCiT#iZj8&+Fnz@vM#&BR|Z%C2@wQ~S@G0n zZC(-|K*G2fJ1l{%09u0I2T~-w^v)~~ZKP=L6+TJGWOlqHdkC05@#0{VcbQS%H9ue} zrg12?uG0`pr4as5J+DK~b_S`*DwTvHOBQN#Yof=YDI#BNIyn0SIeUYKjUAlzN6wZ; zGci-L;X77(7_)aw9c(Hluo<)4b|Gg)wnnA*t_tUQRksMq>7wP3tcv^pKo3Rc(gkK@ zY|2e~F3wIGt4pRfbHt?guj*JSJxGW8lL7DT1W1Q691DCkpY_|z_OA;cnJi7CHq(RU zQ2R>hK{^x&mbPACdD?LlrRe?i1h*YG#n(EYfDB3E-EsY=Ix49k(b^t-Bwg*j935@C%p}mi*$rcw8a*FXhF5z@_QG41G8kSrb0Jbg+5vW(~cW3?k%<8!_iDM z^f@i)fI;b@&i)2gK`n`ikGV7u0N;>t4VY$tDdfV_+-!6k^x!*IdR&pbJDil3dxmgD zvNPg4#f|ucA`#L2R>}DqSn{yZMd)JT{qNG_);*5~EM(TeKrP$*98#QlYlNe1`er5n zTY9{dXwy{ifSDzq0cwo4jB{7Cg&}`UZLh5%itnQVOcLRCURh^u9t=)W@6Q$wkz%Y(K$3GpN696Z7WsR<*lOwCWt?i7Y>!7O&oE z0LweALH8XyW^cb`X{JS39+M?<-s?GNWH$t5O`EhgN+ns6t#`Mg1SQE`^K>-|u_S7t z064MgnNpOk6(I=2dn}{xW-hhI>I52Uq05!JjgQX?625J#FN9@SL;X+np`9_(nPzi3 zjnmd8sBXGE4D$JUuypHWh5XcZl)FY3qS4m2p{4bkMU>WsH=*VMth5Y!ba@IM_N zUdIhd`m0KCYF)AS&Cj+;ObX$}kLcKZMk1NsgPySJ@mYStw@}NsFss#YB7<3|g>5-m zXS}ka>kHd7AN__p4hY<8j`O@V-73M0Pggzrlk|!kQ&HN5X?fE^`IEF2azB5YI$^4e zo9*WT$Sfj+O;M}ktZ#A$zWE?Ma^$;!1Pwg>J)WrUgeZ-NT>& zrk#KSZ)^jZ>w6;7=$;!x9)n~Ac`V%K4lmnJg(#+l)F_+2j6@YWJv*5|Cev&k-3E!x zY{x1KOZ>t}Kg+oAY!7hrVodxbzilz;l^oP627E0Y!T(4N6rS>q=lIy(w@BP^Q@k3> z^V;s-MJCgocSQI}qO|o@_n$r=(p(y@UZbR*UMnuCXexN2GdeT9cn&N@c@Y-U&0`qRJho-%Xl*S{yEGiu7`7EH4}>E-v_y zB>I50<(tmw`#Rr_&2a2EZ~mxTvGLd4l&fU7#d5qUMOlr0+{ZYiNf^4ow0s4RCDFr2g?Pa|i7Q(KNPj0YnkDSFh z&PT1CF$*KK?thtZ{(1Qunwt#Dy^Vv{jfdBDEJ~KI`M6h+rvg7(>ifROY9a9I(t~RG zb{gM_C2`eGKbfQ2qXxRQ3f;~N_xzTA!Yg%sFCBXPEBC{%c>+UIp~?^4^&01d%jx&# zyn7|uO@7wr^hGh!eB1TKPua1L&j_15O3v>r)7e|Rr}cQyPnma`k$1v;3U6HKKJRBz z8u(gECW6>ALm2wiG5YY~q?V{NjR9rkQ<3Rh&TYYjnbmLW>?c?Su6<+_?~NX|+2CgU z@J(}vc0P}<5m5k2fP{Yy53EN2yuO@K6Iu#n?-wioJT)- zbfWG7`@Qf3m3^~3H0R4RK9c2A28)l-<#oM{Vk;X;c$OU0!=q6;{^6MGx$nn$9y+rIib|OG z4NGmysytDaDdBZH_vXwms=)q}rbi9x0v9I7CnK1Dl~$4Il#9K5i50HB&z~*L9a@rPqts1 z=X8I+zv87G)6&>;khmH;-g&*eelDb&68uwJEF^ZI2F8U#KcEIau z^w7_WmtxP>`erw*xtka6<}tpp zIVxjbnN6h_3Y^xXnXb1Sq$98wIW%Tj814xw703`ju<+_rlj<%n*AVaZ2P)Sc|7!gu zQg`6v$!13u<$4jNftaC7xCPwo(H4rUi*OO+>$J~zasB%@b{Z3{R~2c5$}D9rnA#nW znVeVDl!&}`i@}axtAs`}^hr*mU3z}pKxcJX@Tns>cGt{jpDt5RiB9KCEQ%bwm;ZW{ z@+dZ&{K;ND;{MAE7u3|)z3+8$lNnFi9_mo4+H+xj>q2mu>{Ekq(sY{LjtloR;su*! zKi{ttiG4ZuVgGwV`L%?s&nDKQ+J45Lc|Q>Dg^7(mHSvf$Q~ovNrs$7d~_wZl?XpDV>a6J)NgTN zan7gmyYJqb&u=DQF1duFdC=;bPm;yR7}69S1Atf9SvS^FCfubp5(C zv*S#5o!g!zD~pRCm6o^$x`w}6Ia!`_bG5Q?WPqQXPuVdL#3Z@JxUrw)qzRJJ|NLHR z<@ZD)gXmG%O>R*=i!)XX@ZHtQ$&FhA{`m-lsE(DTt;IfPPi_-2_=S|56t}FT_(=xQ zBUa~}-Ca*xont_MujlG~THnfz+eB1PObXr&X(Z+K`W;-ZZ`0@f5u#15oQL>&=I2p=Q!kIp8PU$;q%}lDx50!-&gU|+GHf; z!`mn+k#}vBa=k4gUatk-Wxe|_Il3+9X}%B_?UAEhMdI6N4O9ds7B0+vnSK{0<0|mw zc3e>xu4&@95=)UNuIPTl3p>Mn`F!iLt3~9rPk9dQ-#p~JeJ8WujeNX5Eb6%X+IQ%h zdUhgaAN9Nb$%oGS%AHvs8!onA@E*@f3nl1>i{IRPU%e~+`URz;>}R(d?VK%~gj3GH z88qmyR)3Qj-OTXu*O^SApE8E8O?epKd5=BgIHGmf{rb4W2K(-ak2W z-08^FHBnBh#fACXNB4cMU;H)vuCd4{Yq0iq{g)T~#kVCE%tgBP{<@IcaX;tgQ^GSN zqY8ts@rQJKUpNmsvATErm3Kc(*<&zMx-@7|qP^c;JhH^^>{WI_I`@yB;?9Gs6U)0) zC6vn#3+8_Vvgmy}BRLl|o zxLK1&B2(YW;?L`py1q>gx!di(z{7CYEziegQuhAOrJFmagsZnRTKuSAB*e&7T~)p~ z<-^IAvN?^GGxV{?r~5Zk_U+rW=lMR|h1Bd%SB5hVzkMLQx9nPlGsm#cB9jjH&9H24 z&&P7*07=bwfpm^CD&~M>r;OSf5dAc36J`=rf*@hNq z<(m@lsWlynrvrXX1SFZ+(3i%Ks936dhP`ontfmzivScy#_RL)C$r!0S!)fa`Zhkf_ ztj}FSzo~K8ubAiySMpLa!ft7sUmSgADt>a?WtyJ{nCW(8Y&63+Zq(&?Q60!AL_A>| zBppEG9~yp5v)2B^X097$*UeuY5jbxb=C|kjR@d{@29IaRH9wZxvbV5LRs9H(2}!Q- z3_g^e658;E<3~@AIvsDSwA7|oBoVLdrpB|Z%oi&yVx*G?QqnrC&t8rB)}i=1FQW&y z{rbWY&5awZw;j`q#ecr)-^yKZDQYBwJKd90d@K%u(9kkiK_2J}7P@ZgJTdM; zva2rTAUH-||47c-VpV^NbRwx|oW9dkZ%`x8w4lmsZob1K>|hRGi0=3Y%hMrQL1%3_ zOrq;|yx^qClH`2qE?AfzYC#s_;JtFHXf|%3xTo6TT+{xu4`;1*Gsopf?@>@m(iPrt zzCnL)8~JtBjfmHWeb%oZKPAIb|D-?taTmAic?$S2vyXpRN0P zcaPNU#a(^dsS6C&4ivE)zgRDR*f@FC_nFbweWtJ1=iFCRk)wWpvx+nuU8H*|fpUR; z^`)yyt7f9lsyy5EPS4ErO?Qx(U&I%U2HmA$sVUEzTUS?y-=Y=0Ulsq1x%Xw2|2Y5n zImRf5^zEI@FT*>X`ri~qZMmBD#zZ}yb6>vMhRERuJms3l4n!HL!6!Zk7V)Pg=V+=u zpYcvSBOCX9yP(D|=AS+M87i3%!oT_@z2Uum=)r)A&Q#h$+Qnuqg?HAfK{}S~d_7M4 z5B+-Xs54ehw6bS663e3-6PhyIe1EOR=7*;@e$H-6WP2mUyl2RmW3YQ8;q1xhpKIbA zQ&Fefew5#kd zS5vPXNXU*XYtB+oS0GfFpPJ8Pmu#P0NWQk4)U7Dc92>To=e!W}Q&-BnDUJKw>7t4W zw5dZ3jaOu=-oI}MKJyuSYZY)&Xb%VOCN@L+GK`)k$fw%o^u`l-uPN}8d2nuMK zQ!Sx=cw^hP58L9k68hh>l)aKQJDSz=aA;JrILRaGcDYj|h1ti3mqL9-Tcj4b>ENc4 z)xt@~4t^K69SPhReLBGFV}E0q%&FJbgWZ%f=Z zG3C^v`;?HboPC$KYz#6P-5x+^7@GBh;k1F3*|&8OTRL_XKNV0u)-U>Q)v;Hrd^TTd zxYa5m9N#v2VE56`!TbxNHFpEP4s4EVH5yvdxX~4n^LA;Um4U>|P`7pOXtRsg*Hl|s z(!8>H{M;=4xwm<|Z|Q2o1-Z9UqAzZLd8xMhsfSgB7wekC>5^;T_4}Q+q?K>p7jE%d zs!m$yR`hXl-toP{LVE^O#v{v`f}*lq@^4BdwT|2TR5mk|NL4;Or1Gfd$acw4-91)M z4~7_K&e`Ftc|$$zf1~cYko5sOZkgb;6)jxDmaIPHSTxdhStUtH zv?QxUQrA3XFsmk4eE4M@9H?|#XRUv)ei^&2P|zh6l@bEI?klBNy|JBQx7}uXKMjpeIZ(;CtJl?4YIM>BX+=Jt__l zE!Wmds4ZPdk|t79tL{cTStV^#1+qEHBTisX; zlf~(U2$5W#U-|l;oNza@O!$0aS8C$SjHAo*5l!drRirR^Jx9x9Q_pD#)1n6=%Os6e z)jl{n&N8%e9oe&PubolUVwAC}-r=%~Q7R_S=lP4-zk zTx!8$@l5=YZ@Pz{UEu$g9u}lf63xBkm;S+f;jxNtXI-@58& z=50}2>6czgNk|ZHRGiwO#d`}MUfR=2+0t|A-pO+Z8#sK!kB#l3`;odOtIdrx8}q_p z{_2~qrt90T{aRERa2JsGy7Sps#h<7Wt0CH}pgg+uM7khJV_n+E;KnAJMozH}uBLkn z7LS}hZ4sR7>$^9s;r)ns+a5pqfoUy+bAemdHt=pXYHA|B>>_?!U7Bzy)JeDYo{+rc zu&(G%?)Cdzp5FXM=P4>2vE{X@s8?JeX-J}$(y6a|sl*FfddZR|a?nvE!|CU?C z(VjbUVm}ILtXUVN_a#b+9*kq+Jj^i2G^DZJy~k|hSVb1?|D)}lgJt=;Ezy0pZQHhO zTW9-h+qP}n_Sv>=+qP}={(bj;@Aiwn(f#5@^dD6fE2}bBX0DoZ%{9gxGiMCvIS1J9 ziSUl3kH-u(GFDagCzW$B04}G6jVwcDAK_=lQD`Y1i!12X`&p;UPikfws?5Ph1q<45 z%#$7>bbU0AKo`Lkywt#E*KER^?=1;!hVrejMo)bMiHcIe)B1CjzY9R~|6Uk#)0XcF zQb;yXYY6#|beKjcYo_(CdeM$~+qPBin6Taq=;3MX)xhC;n-U3QKQZ*c{Y`n%WBUR! zp|()!ET@nbS&_Z7*wLJs*83H2i~A&V4`*EOr#bJs-+%aFfQJ!*en>TQX)Cc#|G5o2 zJT&lD2X#O69^aJg{^#@kz2AB`6@D0ttqkNw7p0`dZl(IFw*hIa5~9BqyIkU^IQxCx zn{O6iZcI;bpY2U*jyblQxToN^QIp)A!l7z;i}IGXzf}g;p2`dpn(N0*Fe+a|uMpgyz#AbfpobZ^Fx+(1 z8e8=wEYJPJm9y}LTsl=>eunRBnwu%s- zdqcGnMSk8&bD`K_y(%_O3H(F&WHH9jQM@#A2Ke@s4W?z$w&2r4Qingz0j(FlBdD9M zIfvvhozt>2ZE#}0m7$Uu$Vu-hKp&@*Y>yZQ=+fCm4k}ZVjG-}j2_h;>P4gEVE8^0k zjs(3a1qx_Kr4M%$clh)yNAlq=u&T?dlpjP?)?n0(ZLQzLpEt_o*XEI-I_NqxNx>*v zz*>_Nc`!Aaeuoz~KV7zK!W-r>qNo+J-SnY3URD6{65D@IaeJT4p%s$33uP~dN1G}4 z5vv-;gOT`j2K1R_mxKv8x&ih%S4^f(oVqPY`cFKXAg2kD;G~_yK`@3$axyOCDH_6} zH5yKZBmR7{7*37n8Nwzx{AauIXWJul$jHy#ujKwU=dzdiGjb;@aWIt5h5^CYtu4A@ zOEw21uR++S=}pSgCY{_=C>cG%@fo}JxZ_&^Qgo>hkR=Y0F2Ig3diRm8Y=Fx(AjP@| zzt&NLv{{|ZDNHL8@iNU?l6{t2P!HUD38x`XCYWnZ!HNWZClNr^O^Z!2d;9od0X5M{ zPp9_5Xk(T0eV1G}pm$gVtBZxGiXMnn>-&D{^>=M}EsrAI6mhalUOVAj{M_oR!_ijFFx2*!-aaad> zgrgDLSU*3DG?e#=pj_tK31SJW?@JjJlASq`Uk<0q?Yysrv9worjmohR3Ju#^!pY-D zcFko~-~Gc0n^?=HMKOVYlwbI`u5)^09kfl%%o%J1q$ z)L^~_mLLn55c!I~Y|0f>Y#oJt1T*EwvC1-N_Z|1!(&afS@GN|pLo6y8B>59S;oo=e z@2}Cn?ipPCs7(c}+gCMTkT{3l);h4Z^k|Qdq|TRd;q<>p6<9*Nnk`ORhRgV%_>PKX z^9GZB!01V3;@|pt_76hwU~PLNMw`$wgld6^L}!`8L*uX`^ovO)rkbWp;*L>l@1XKH zTNAk#yw`{%EZX&!$d33@D|b;~{|;cDG|&nG9`kiR(HsqEYeTtSLY}mVn=j{%zCI&z zqjw)$|H*mTk`hgdN$T)#qm_kLne$jay&klWsL^<9>fmE36OmM8V*7abQWzl;%LOK25vg z@xyNlYJ9}<UeH#uM32CQ-ZppWZo5WtX6}zUKX$1&+_M#4 zn-e<@UA6lJJsu#eZ6*Ws(swBm_O3PDW5B%5TME$W!sR1Tb6lyeyW0O}d5~@;gd~#J z_Q(?B9NjAacNO_q5Ir0NHc|^eb*-iK1eG^pMeq7Xjtg3+emyy28U`9eupzEzsy86y z&se~994=&><6mED4yc4zup@B6gOcV$e9tP2;3 z(i%5*r!FS@VM}WhZK_s=+Mr*4FvH1V^TNpzC)T7du@aF+x%=P}feKOkQ*d#Mbf-2j zeO;QHN?~HD*t>j4=T80z*kp!MOIwVw%Z~T7>A&?Z7WwNc$8t6X^GU|MB+__qY(fqt zw_{o4xw7mxupwQnSc>6P@yTOuNX)5~n~`k&$TQXGryL8(&> ze`ZFVv8*({fMZ%;HM{gwPMONWfd?{$xhWBTZbK;~fwDe;yvaMqa=BT zm_A%W#HmX-Xv3n}6Z)$ykB@3#sou9L%{(4H5(n5jCl41e$!OZ z^T0&9Rviy1nYYV(l!FU{M_u2g>C#MiIuT_tJ(|nFHPRDbOassgc=}KAwamG9zr)Ko zjiDiN(@5QZr1XA)A!Y{!K%fvev#ZW;)rkDIe#{>{1Z?tOn5j2+ZMt{hRJ3_gc7i|(P_ zr8xS4Vfl#a6hQA(BJ)%o4>OyglwWgl`iY|Efn&qCZomEgy@H|wHz-uEi7A~x%~PVB^{Kij;maxq{DX8AUyT#cKM%1i(@0_bb0VykYMN#t|U zYO9i+<#C#y^p)tM3*B%4P0e3#TW2zk#ZmN5#|a>KbwBLAMlI(RUOaB$a}!Q$gx!G` zV($dh%?N69$EHZ#$b%VVi@G)w+fos!XX28HsIa)!jKiy`9`G^!%ojLYZO|YgABM1l zu#u@AmtaGEH5Ha|kD$@)s9~i5)M)L4j5w|kq{q7m4BGUpkz62+e~x@+?kK9_@mCov z7tuj+Pt^B_Zcyv5N8Th1f=l5TJVw>dl13|#l?u!V$A*g~w0{a+;O|QO3SRK_WAO28 z%KD-L%nH8sAKFH;2Rf|wgP^O9D4_fqaJk-krlvA(18IgA-Z|_f+$}R-9+MHdLii|L zQyBnrxobKeTi4j;-t!}XK59uOJO*RG3(}u~G-nI@>-rAcRWK3LLv1(q+58749eX*htTwiU@BwAVG?RSLd>;zyiT7^tqV z$9tSUXh>88R%4vqj+hXR*A-e>FQU=2k+I#>OF#%g!bl(?zL)$P#j1154@8=DkNu#> zK|w{mgFD+Rsqqcc4f)-kn{ohiHM=9A|IkPu;x>Y=+N&3Rs=gcI=@SJLqW*bDS~)y# zEl2lLX00WEvBrjA9F&Mvrc%!ktabAW11VN5nzk0f*0Hi;PyVe-kDeCLR8&4h0Y`8# zS4~_wz=(ZM!R*~CX_&~kVS8%7?0yM}=^13zHSUuYFY*`Z@q|Csf~`viWg7@MP4k59 zpJq}IT%>?DJ!^wEo?pRd>;(eCLwZ=k8TI%ig!!1yk_jdHuG0jR4onsreR?16(yO>fa zu{&92B|#K{*tL2xM%^dQwoimFHMln+T6Tz&VWDpy@Dya8fJ!dS7a5PMp{KCuevPAByDOxxYiv>o4s2YYpP*_4y>A0b7}I2#PJQT9X>AhR9l0ATvb<87RWE*Rs5hGGx%lK z=KlF_<0i&{vEmeQNr+ANlQ4p-ca=#dd6o=(^}-C^r4c54h{VcSt9M8uR>wKV#eV!k z{`dFH0aEB8RBA%8EE9e!dSE)=zjlq*CyiN{A%Vi;Hpd68Yq=qRF=z-YsaPjLYT^?e z2|0-kAPsTb?Q@Mcktm{dd=<=TMnl$QynzcA(<$mV`Kmd~jSQw-wdlDhRyue;TO97E z@R{C&rUtObOkiTfl!wOWj_amMYLhji5~hM0M6!#-OS8==bF9Q7Qb~~}T|0*~PJnby z=N+QJjrna`qyyrQBQuT(?s~%!3FvQ{C&8dU$GJlZ(=nNY@^2c7Jc`|3S%s$|6whi- zJ$=o8Mo^7J~9jA zR~1duE0Cs+3)S&}zsX#A#F|vH)~tzCEzg5exHxtaiLWA6xdix1z~eTbj&e^E4sA+| z=BKoYtYw$w68)f6TXU%GB^7mG6D)?j0i^E($`=RV!!#ktn?uf7xyH>V_JOP7NYKR< zpr9~*;CEutJoW`?4uw^3QZ|aE1O0x!TVW)yLw~0%r^D1O+8t=6%+|!F&!r#MMd+UQ zFYCJ}zThx*r{@0~4)-4_^B>Zajfsinzo^iEsMLQ`q5roTTq=eiMwR0~3ETgc&;9wu zzrX+g#_j&Yns^m*{zVGspazc-htJAp54Z`4G7U6$vh%3(AUYgG% zO8CxXgZ|EMK2D=~ExVMrcVA|%b8drRHFdRjU9f&OHCxwgK2~|$&HFemf4BK2&7Kle zX$_+&&qm4!R1xQd&8#^>z~PHQw1#h)D7(f4XUU%5o{~x3Cb*q$M5|%L?4b zaj?2-pa*gQq4cpb8=Q1Cokm=HlkQadsT<@%2JjZ$_df=meL;mE>HLXNeGrix&UbCG zRq5r4Yw3PD-Lp}1kfcpqh}v8`8;cTyId6)c6OGd2g1#+o_S(^35RvS@#g#^Pax&~5 z`>P?<>^=+{aHT|YEh3T3TN^*nQO2{WB57kBpO)HLHF0HJAKA^PqJ93bh5YvX=*?*s zLVhJIq}4@*b3yr?gN2&Yg3jv*REV3g;2yemiu<(TEy@uaafWmI4X2%$1{4$P51P5 zQQigp=syJ{Ix2epS9>>?o7;)RDnaqyT73QYm^Dv?2SQ#2X~y%AfljD5q6prb^r)y< zV-Qpyi;Y|4covUpyu|e`J~=Xbu+^%>K|`z-o|+dTM2w^FQcQ~HRv0^{k--hEM_n?r z4(gIBUq@^vOz_D^k3@at4ZD0F7AQnMc|nuAu9=J99sNNyrp!Qm;R%|Pl$%8Q3FWq3 zBE3Fkrck^yS|)){(q?HG^5@q_in5JPn~mAByvrCq-7Wn~R5S`5BQh8=_XoCZkU1X& zJcx1B)HZfBAOqrPjd^lPq2Mx+qaAz84)&kdhpD&p?-C4HBFfTft`EnU5^M+}vtYTuK?cXv$Lf??i*y+b|VVltV#W?e)H_=N7v z`uTHlZ+4}Xw5p#!_5tN`&>xLF4TwxO9n12kHXN+ruOV&cSVXwxTUi1xisb(liz*ka zjcT6Z0O2A9*`1ci!(SPOREkkHad(W9N9@j?%BVYgp~(M;eQHj&tIz0rP+zTsVVl!P ztb@0K;bTPDHcKAWy{`E}B{(D3>w4^Yw?k0^PXVyCMTKJ1|s02zg+)#~eTuLzy zt2InGOq_-Tz9h0}{;gFaMexjVAo+h`0{cji$unE-q%9ta$Vy}pMF;hI53`Qgky}e=7gb{8Z z|6;S}mRF`ClN*WCMyAlIC^Li{to!_Z2kLq<CVw;stnQ zMW1lhvJl{$K0gXoS^9G#;n=qDBA4$29eg#d1L!=y$X4ba)pb3!m;1K8mFp-=(p9&s z>o83=emOmz3#Qkl40p;k_Ja+PW^IyqSUAaic%{10HI+=JZMr>cgG1`%^`(E#Ve|l{ zzP@x%$_)_j&B#EpvRh)k;4VrGT!-DDLvaE%2&jZ9J^;js*(U#~;ns%`f#JdDT&KtX zGmd%C$8WOg$9EmG@H{dJ!z(_{oN(c*iP(XDo{wHD!aL6zbxxEevHe_R?+7=-l%5(7 zFpV@hQwh~!Hn}wnG}rCOJb#~gapBAqI^$iX9eM*5K2ORnZ7W*A^Mt%h;peb!x!$P0WMCE510cAL3#%P z5(=ZDD+>8@3KFQ`!(;_3Jx`W*lUI^yLN`3vO>v@hPQU^oS5m+=b4 z`AOR@4uBy=gKIh;ed|!r0`LdCh0UFFmNdpxfzfwwGQzZP|L)>rqvo{}@HqhugsC(~ z-*{maDF%Sk3{h?4a+KS~*-8GF^MC)x`BQIT!=r~#16wRU3K1CO#fkzD9)wg1*h?9l zA+h8tR$|otthx-NOV020=clX6WvFlq=xf<9&#E!0O0czV5fsd~V9eT^mRDwpuj{cR zI#)8;ZZ{KY;xlO~MN*3<)ANRDdqwh1r%_6DMbWy8;CJK)@r=YZBxvFE86fnmpm%q; z#eA;(S{fP{;;csYWoZNPf+b%G{%WDX`iMX4Q7@(5d9}?9mK;5>48I!cTJO|}eu!@z z4D46T8amP5lEiK_w#3`9qZhTIrcD(~e;PGn=ySHN0S-8GF2jz#Q&VML88!a$P3`kP zu>94pZblnqPo(Tt8q2<3I2|1kODKhqoHipFPAtM6H6en|y!gr?VoD~`A~Br;hLZnF z`5=SKpz1`wircXA#JsX+uoyyoIr)H_7_pg}cCB}C?VrQWwgWdO)Xs6`mqyjb3m()e z1{NkAFvcWd_dO}JrbZLTu)ETe>=yv1&?GQQa?P-q66??i#;L_4f96|L&`AVYhb}}E z085M5ht6FIG8R@R@~9_!tP}X0))sU-ZqHSXS3Tw(9g|URi4T(Hk2~?vCo7l(>0yi$ zd{KaHPyjsHZQw5QP{TUdrVAdl(Fx8;+NYg=kpsg5oTC6eVd8p(bJF%N4X3YtVa@Fm zh4SdPUK$FY8vD_WkF~EFP;z(L)Y&q)YI=N<(7uAx(gS;VATE!0Hn0OOASBYhD0-ua zvB%t4`ql%f0i*`aBOi=P>0=;`0n@R+eQZ8IVyF+zCHC1g`rzQkb%(>nysujWNu#El z7MSyQW|o~gTa3;{NS+=#{ZZe{yiap3-!_wRan$OjRW4h(SnhzcF_&wmK)bXBx1I~r zMul-&prSbLzc{bO1k))Z+aA9-&QMXS@df1dPZA2ZieNL0x9gb;hq1O$2%r`hh3QKm zNGANc4bni~CkF%aTn@)eCi)w!>zy4)by*?*jmyQjm`2cXDuze^`4fwbi$TkwmF0W}QD z#{?7NT1;jwyp3ykzbGCO$ls=lnw2&v8qhbw?Qp%63xAK~p7{~jliNbC*CmXY5w!Bw zyb&X2Q)t!1uz75SwTuQd+xe2X(ujGdWia55BkMonzb9 zAXJ;q621gH&R4Jb+d`7kw|ZBGO@yEO30Hwtz<^S70r4AA|I_;z6KT)iWQY=tI?DJg zrAA@B*5onjIYG$&M&>BVMrJkrNRx&5r05{xRxY`x23mKGs)NYVwllL9;4DpM$+R*aF@!tz=r(hlBSgN@ zcjT_L92pfy!_@7AqM>0Z3gUA8gin;Y21|{kq5LHDXw7!w!@B5}9U)0r{s_xL`SmiC z9gCm4!>kmgJrsk2avOUgs_VoglQ&rk$3&M**<$;2Jdx!3u@ zz>Zb_Ii204*j+iY&Lspd*%6H~_@HHN;7M5fNyVrc+s#HFSadHhd&HlyFT=2xqd-Qi z6EK2h(!zvy+I9D===1mVbF|@i%`6md=p^mY_5Jp{pGmw0w{;(M%Az!M{ZP;ePgPg% zDSvL13@Mm_qb=KI!E!*fq*L8nNqOjU?sJ}%t5@BIV%p76O{D`6vsJr{Rdcuhd00~vA(8)FI3{3iyrBT2Is7_CKWe#p6p#S? zDG7%@!w#Q*ge#$2p%|L^K=bKJfl(emEpiQGsnc6mPWd$gtdmWwmIQcwBWQVo^Zava z5h$;Y_wnV~mIy8)-*w3v0|0&;tI$CnphyDNol!y+`5l;`B9?5I_ah&Cfd)Ib)k3g9 z)`Y@|4N`%*npwU|&%{z5D$D^Q32K}<4z~>D_j#|2<&1eoFDzg3u|vn?85w#r4Sr*3~QXf5K}ytve`Co;eA-_%)3d)`NRqV6GeqzXnRgFKMvWoln0~p@JkQg`%5x2jf z?o%y!>6+dn-Ae9+*4BP^`V8K~4?Tz)C|9-~C!N;!*^N)4;9(Y73d&(mz^v#IUyD3p zmZ{d7SSIB)h}$>W#u?sIpvSlvWQwtgt=woyu+sKXivVqJ8So{~nvuBig$^>Wq4%q$ zf>Nwe0LK2ZM)9VK0{LAVawseJ4f%904xF%}OO)}KbkjSSj1#_(2}-HQ!F*EDbGmkCUXDOB6!-+29l zSzT=5N$V}4GE}oJSNae|e|n&(hvG+Y!7K-Sko1GbM#AT=0Eep^Uo9@kYmp&7NH?kJ zB-EEpBd-6^!!#)sXRq^d?wtObmA>9@BQoO6<4>lMeU zG&C!qBsi3KUo-Q;w#K`qfHc}_&PC07D?HE-E`W!$|jy1$h_N* z%PTdgUQRh$9c zG7zh}30HVtFf)Vlg7Qw;5CavYi^Dn_Ie}m-#oDco480)_v z#ecv@76w+f|AHhL|F2@KbP`rSnj4}2zhW6WH938ABZL1?*_c_eFyb>Z{HJc`r^WQs z`bXsRFO2p7t*iM@>COL)z5cV`zp>Z<0F(cHa5^O?eaC+Zg#LB5kaV(oRzGT?fBJ)@ zQ#CXE5!?LSF*FR zESLq=3bIpVhiSjxswA&<+M`(EN+F#T$RX8{Q_F2DS{(eiNT8F0jRkR2ORt zU)z8p5kOTJXA2+rvYZ3y+uHX)W@OG4CQSd<1HFKZ=$ixQ!nfbFA#xwJRzWzg;Qph!xqTm)?H@;4?;h7@4C^O}wEUZ&VHE;XB*^-w)SF$F0 z>!6?J$+7&V!F#N}l{H_BZ*X8vWkzPJF6LZ`Yk`*g?Wn#35v;g=8=dp;m3m{HP|ton zmhgCJ5Dg=1AH)2$C+<9TTJ9!{6%^)PozmhJXMY34rP^REGW<-dauL$*gXRhh{j?dG zsYfHMW*n*GiofCCFrU3+FGn>6qqko{n&>#r`Ue}Ewgt{s4hAzw{9c4iiMfgzWqQ}o z?2K=*SvlPmg>aGIC3o8#Nz1`Fy(K;ztHrZ#HXw(9cOb-I7&F-A{YUcH@d42MkP5)2 z@`83FcYqSh&5mvo38q^*QX`Ii8G7DsT-{RvY1ke*; zzc4bS#nA&~W&(M@8n;{WH4ZPQr}K;bCf4>ZE(&k!|Hjw+Ta(8|&-`Dm=6@CB{huw6 z>HmfW{y)Ube`kU5Y~s8BSfDL2tZ%5vU_6m6vL~{X7XC#?sO8zWeUPXOkpB`mL8Zd9 zREa@~kC$rEv)ra=2AFaXCUUqSYEU75-P!O4T--{AbZ0oVJImvrf2=U#k5wS_Q1KW;~rV%d-lSX-6I$G7kZk|DbIgB1@iyI_QOHrFMg61$qo*1(D1& zHuN|UpfoUWli(bKR7*Cg!8X!p}-x^aN2*2fQI~7oXT{9#; zxjtX6A#}hjlGXNGJD}q05v z{{cRh%5n8Cb`hxk*?u(ew?#t)$$rN4}JL zu{dK%`ips+_x#;>-{*I3)EyTpKgDA*e&@~&IHAb@hV>U!$jexKl`pkz^{1ZoV~KjK z!JL3q*)W`6&FHXqg$l(4xN`#9d)9k}ywu#$u*0iB+JToYg&~JSCmprU*z2KrkSe{Z zH4v|5X~xsy7wVH+QlZtNhdEX1P@?UGG^%Yy03&AD4A`voP-(h=zj?t1on_S5i-?S} zop|8N8D=IxYM9LP5fIXHE?@g&lFFJ#SHYeP2&j=--hjB2)2HX_wiJ--wWMJsl0IV& z72inm6_)cnYc7&>N``E$*RU2A=it&GwbnHm6_E{ahLn~EoHQp2cU8e5IeG2(k9kK-J&7mIBOpcq;&7l0TpluRbx)>SmF zlrDV#rIjpA)`5PMlG1-q&k&9R^-J%H8G4H?z87%Dyl3>4#pA`lvxgqxvDclw6Y!XL zESFU-`w%R|1r`sRck5{g?Njr=wZ4B#NZIJ=|I7ORO|kwTb)^jdpDtWXdH1VcnW5-f>)<$7{S5!Rb*(DtBv}p0;ppD@>fLzNu1!g# znlZlkI!;zGIc@SZUfOc2!}=A`K7g_RHJ>}jMmonJzws|LEtz1s1YTPYcMYK!!J0-;5@8wMpwD*hZ8MTU=_Td3?Xja69K#h zw=NEYif*-;kB+lHp}c^Og+$v~6r+}zgl-N`cNdx=98HXyxqtWp4 z0h58Ya|2YCxxk~vZ#0WE^-ua!B8WPj609+JK^=Y+cM70any#Rf7o$Zu^zlGqkd?P3 zXb-DjU3tm^AT>uow}Py2yq!Avi!KLq>!4&ar**ln>u?+#O4Q38^(7X??OVf$4l;R$ zoOV%phD}e>znfD0Bf0Xg!1|0_r1siKQ?6_(^55W(bVf6XC>$u%I&yxV4uW@7Z<88E zi{m^H{d}h#D+)cJjk0H>WceAtc zJ9vPWtIe18Y-Rnu{E{KiW!8Fi9@>;16n$!YSL%CpgkM%<4tSXYjL{9z|RCfi84~Hgya?tA!ZC|x1-`N?D0(Xj#xx6 zHe4=3+&hU#K;+i@3Z`%;Tg^^KwPzwiK&r?<#B;VH&kTOlrh*iF!&nQ2pp_nKfw-hS z)g{3Baq#GmFRpdowxAPC;~t{ZoAzl~g(8QaL;&2pgn_z1ZS#N{o`UwAf`O14jHD6| z3I#WvuCkJFu4r#+jl|2;=Os;?kB91g@4(K*gc(!Tv^3d^B^(Ra#}qw|`c1!35sUQ2 z-)GeUQ>nqthD7&Rw&!IGUImm>3<;%l~^PD+_?>w7_BwQJBza^iN_H6 z%vSla(#)i?xzZ-cB}zZ$XxvZh{31p3ap7RTr=+q=1)F*IO%!HOb)ntFCc!gX_h|1g zXt|W{Z2Dei7`;`*NbxxkwL?}SJtRzYT$_rf=eRlNI!hHsU|~AtOmy>h=D-tW%xu>- z7g2`adm;YDz^Ko&1e^|~3l#h@lBS`#Vt3RLm_A9IT9~n8D+<9WWG{kZD;~b@YceKl zr!W4FZv5KS+d4j4DWwYfbXE}V3PzD-f6haRF4!sYdsHes3W+Krl_BVxs2B~DFl&uK zqiqg(Ly5g_s~Mks5ssgFNgF3f6IKOkOEMBIGaPE&t2;w$Xwwq$I^~SBOI-6bD=Mw; zl66LD%1;v=45DIMlfCqJim9Y}oz&AY@wDHhq*WbwvQ$YAuz*7U^LYgkvw$G(kTH?O znNr}YlTcT|4&8MM>sI6_IGesv8$iFC_^@cmI^v?3*pS^KAdy(#asJ+FvJZj|Ner#Z zhF1ztt~(S9oZximJc`CK8ZTp>Ivln}e2C5TI#o;9RjA+a7@zv~^mzfgcx5G$lAKwX zadvD(ckUy_?Lh1T+b*<;RJU}*<-c>UdfY$|Y<)~xtOvf*3ZNaH*7GGY2G{by?d8YC zR|R>w5FLz7oOyM9$h(p%V3Dv8_D8?F@Z7o@+<_CtxKnRWx|ecAGiwy`Ty|qjmK@^K zvujE*ORmjs)-vLf5}MB9u9A*FL0b`_GWun{9=NasI(GmWh&szhB$kxZ48Rosq+L~R zPo@czXm43jd>vZF;%I1f6nkU_`^4r%c8nR?Ka3$_X$5IpUarHvPLTBzE!EN_0sOU3 zG|prfxe^XTSL;Lq&n)-|&{A@~7FP7J4D(^&j2$QBzD`?r3uN(JyrCt}x-4c8#qV@H z0w<}3OuMuCL|%Yvk&e-`(Of6*0J4=ZVupt`vpW=g8eh0}ON z812#r`Ni9s`K9)=;t7)Ses?G(=2>evGx7PNvIF9?({_DLs~ugJRruw;&01W2&UTq* z&UqzGKC&GC6ku1DNw zJDc~40H}>Z-$_Sgqh~Hm2Jv3Jxd8{h|)~!FEiNUctLp-@K3APw@({!-TE? zsn5(#`E0H+>9sh8bFTeR@8C5aGOL4h`JC*HLxHY`-W(sY4P6!CC`n@rzB_t4wU&Fa zIBw75UaYD5%?e7?kcQAjcEc(J|Yz2eWU;=g5sGY{)&14K&A~VscW(F4e9J1V& z5@@xSBZ>?En1StcV}I%7g`rZ4ZlBUsU`#xXw>;ywvLnFLCqayTiXAE@4T8)@+*7xl zqeNtWzqtU^M@FwBCoZuPBK>W573IVo%}R35&BS(NY*f~$#ORA<<-=!l3)~g+6n?=LAWXAh8z_TQ$wLMA-S(qA%!TToh^YJhirq zA@X)~ak$!?!88Phrp%H$aA+3VvvcKMV1TI6WEmU!hqw~R8e4DH&|ZNQp3ZZB*>Rw+dF4aF2O{7)C%*aljF~!pvVQ8e+*V z;HoVQ&f$*2kJt^3Nal@}YL)D-KS+OS!(3Cp!5UViMDMLbny|x#Oe}U5O!}a%?-7Hd zt{QlOa5oS4*2lX+$G7+`>|sx8E>&Ux6uFepaeL3qE%y@!%GvTglt$-LC7#ytiG}Bz z`?%lb&x7|k*-}z5D!bL4BN)$gR=rBxsH5Z>_sX5Fe4xW(#0~@- zmu;gPD%#hbmUl?Hq@UigX+~>Ap;EYgm;guO(TcsZkiGM>LxwLuG4lzyjo3M~7p)Qb zVJI#~S5{Tpb&=SLNsSnmRWY_z&3v|R88c}G+7zB`h+W{{{b=cQ<8~DzvhsHNl#Kw zK;X6oDNBGzhXTonUuPs6REcRuoW6$C>$SeG9=|N44XPuTXCL%f52=u(c1jlMB zGgX`9#zZvV)KV+UtUG%fc2c9OPU|ZU0{L=AO(eV*=z>Yh;NlG2B|X$yvTxBZ_{3|V zI(wHCmwA#G=0ci4kG#^xPrv!g>Vl-J3hgtuvqozWfagv}5^b#J)~u5n-m_4rG)9MX zQ4$K6G&CwYl;+&3_aqUf22)Y1d*oL3&PyPPd!x5ydxY`Z;B$Il^rX$}P9xAaeR2!o zId^C&^h8D~3v6!(hkM1;#n4*A&;l>-*YHvN`8|m`M%gOyI&v;x&H+7$)9m`T-Pqyy z+p#*(ri@38GSOPkmE#`JGM6`znt|8uyIcUEv+s|W@@GMWfaO}>QD3O5Yxo7 zP;3huw`aXLQLj8hM<+$aUUYcV<4@^-Gw@lnH#USdBxb{23kq8y%*Gk|9Z+1>&EDn`~KZo0aZ^;tFO-)cg z?9}9_17n)S1CYNSBsw0VoTn7oRZu9UU|1Y)$q=E=mU%Vy(u$1i=Z(C-iyX z#;Zxh4ht3iLah?E&D{)o5kZGLzk|_LY zG-U*ym6+{i5HN@TpknP1uM#XF$pTlldET)Y1eK)EGMo8U3YAnW>TfKLn5c%LE}7ce zn6&#;4!B9|<{ekQd=&CIQfO>xj%F@JQAuFMY13*PC{ZXLP^Z*8kNAR4&gkT9X2y7T z(f8hb7nPg}!g$#rW&R`N$>07f{n#Y(}JVKtp7LGL*8=Tn~ zQwFYU81L$YDt@Ve!Qmc%cg{!_0T&cSBxt40e~L9=yoiwg30MaNOi*f+PKV>|<39uN z1ve3sVk%la@D9Z&ZWNvq91utX2bX676qUIJ2^PidfS&=E4c7%B)2DwQx(U4&^ZXv) z!y0o*_wZ#_=bonF3J*w*HkB7EXeHH101eiqDrQc%$S=@E42#a3L4;vtfDgrO8+|00 z01Az6`}N{c83hqV_mf=<%w~Cn&J4sBsiy+K7L_L!fJVeK36kmvKgm#*pm*CUn+}vU z#~PYb4BGIK+b(HlIp+!Q6y*#AP@rkgIIt>$b@7}3-PZ&yG)y}Al==6lg!Ha=Bu9SZ zz@BnQl7d+cCelYkZ&S}mNClm}F#kO>S1g_a?atnJDo)JmZ7RF4ZU80mx4=J(3vh1L0hcMChqgV@XP$BC?I**1eN3+eAw3)=bLi z9@er#;|BGjQ@S_cq2wJ#W?haDSd6kEY4K_7Nq!`41aL~ZjWyjrgt4ThfJlJgCHPaY zJ@7*$-w2B_rC+K7kK^?=Y$ns*@>D~aq8V%^ME6$Zs?nQA?~7|!F@l<8R^YQZBt(EwU67W^i-so$Cd8K zXkQsjy&yf9J9dlj^~bO97Y4k)J~)m(&#+JJIey=}iZ|?d)m*}AcJW*$g(=wHFB7%7 zGac89g|tKFvcBpfZAtNj~6}1p*>_pWt-53PaW2`&yeQ2;>OM>9}25^@qnB-%7?)mUI8o zb*ZS2{=reJ*!qoWBJWAc;<6n@#r}Fu)6Xlm0k)(riQAW2? zCT-g9KSr1RE&f7(8cQE%ony;!Q%3c`tRT}?lTYf6@7+Sn;a~Gc5rFUY7R~OqIfHP3 z18!4}q-YlEUIfdIkX-GjS3 z1a}&5oZ#;6?yij!+}#}-x^b7r8BR@|bMH*un#XxtwQJShPy4sNTA!gr{l`QDMXL@U zonwfcM#a~r?8aM6tX$#q@C!Y}NhZ^QwE>KAyS?P1q_y9t_CXVHyl8}pGwrroWlDxW zy>+Iuoa3Hzq^sn(O?uF3*ipPa`bseC{snYOH|iv=sPMv@!dE*m9ei``^e z*^`t71Ib#As-d;`C!bGcVptJ=wvQ_fOASF<>#u?BNlEqt<%nT#(^K)Al^gL zr!w2$Z3tzT23~$>KQ~NfN*oN+dW_Q9P&v3NmzW**MQH$2Wvxbd&a7(xw}hB=TV zC^G?z$811f+~!d!Ei$Zin~7MPzA=8kdtGIXHF5UDZ+{&)&-%quRIq|6D4Gc==;fD~ z{~INZr-WDei}tcYZhTdf4v9hu0nJStkygufmn9(2xhb8#@F3>mYh*!{myQJ%8wePJ z+D{7y6tvPuAFl$vn4lR437O|OL`(l^HiE#F>lH;ecCUvFh$JJ|1<>SEv9K=;^FXZADSY! z@kf2_5T<6L!bNOV8S^;r{5`tDdFIAv;>~#eCa~!tl+?(TQHkdKux;g zXC@J@3JSmDENvo8M?GDj&%z%!*i@MSe7)}d`xsVOEVjzU2Yewss<+`&Mhi&hN#z>MOt^x`o2+#oZ?9EO+r?HmcWS|z zh+EFudj#G%->fHTS>;2>yGEMg05c%d>YdVl)(3vVMM8s}ba|Ob@6#!=X5}n;nsIJ- zo%j>Bpr( zlwLE2h$BAclZlV4jk@-ScWwyB7RKgY(?=SyoY|{60&}({=&DSHZAyG-LQ5#LmLSH+ z@6$s-6#|Bl@>mW2RD=V4d%pQTPE$UO7Rc3ZyxUd@Bi{sD&=32tlr6=@kTG#4d?9#X zW3N+FyKNvDYK8{VCnBnnm-x);!-tr^TMNy8-xm=+x|traWRRX!xnC3Oi#GS#!6d&wgr6-{tGAiFk*_OFk?q;k~-ry8M(Ie{f{Hxn~^l)|N6g&W7Jg zr|IzM5g}$Y=hqS;2>uX*nl>-_06Mitibh0j*RtlA{D$^?+B4S%q%q8Q`Zry`EBSt3 z=k}G+)Oez-(yEA4rWpG}qy|oGT{-I@pg`2Ta7-P;bs~GO(VqX?WH)j+iVNt;zpIgo zo$0q(Pw)J?UN(PWs__tfJ|qe<^gB- zKSsnO4K>GCtpE7~qgoGda`!BC!)Ep9tT0H&tcxcgPKAujFIpL4AFLk@GDeVR*h10bT;*&4X+7@JoKWZKRLR?B4Q~jqaUPxF17(UF4gL=GR&+WUv|dZSCKNCdwse zC}qaAK4*Pa)~e2`M7dx4c{M}-ogS%>}eV5&c$5n*17rOa@qbIZWfbTB$-?sAA-K#; z@B3L;{>Rw({}Sx{Pnhk0n>t-oIh^0hA|MEWMD%7tbJl%p-zF7I#P`*@u5igK&_$YM~SB7E(o+0}@nhS163 zcv#&~`lKGD)BRBOYPt9U)TP}yIOkFNfc|(@OfB`LJ3Wl&T%+tv%&xuLVi9;`CSBtN zgnT7s*LsY2q&yoB@)?F+O|4|Uac9g4nqL=WT@ZIC&XlcMD$%T2=Vae>xWb-arVL)s z#@-$vFjeokk+r{bU7$B&gV$Xg=b>(aak0xBTi`T8{x$Q_8e*Y}4Uz+u zS_z)*MYgt`dLFLSFN;VCyU(ukcKPD|OoVyA1z=pifnqn^y0sHC-l~nI-n{$cU+3Fo zyO?1vOxEkQF+#p43dQ~NuZ)1N4~+SH{HcQR+Xk4IzTA1fRt?V}+%dsAt=Fe0v7`9K zhVvbMH+9|G&U>6nI-is~DiP3q?oKcnOd+?sR&JBw1coppbq=zQzZ9NA)0rZ!go(v~ z89C18U%u};DO%X@d9w(BE(Hf3XJDeo6(==u0vC1u!gU9f!%uR#zK?48(*pWv4}IL8 z01eK=Y@7}+VEI2Vumo}A$>DtgD-J(THO+a<&MA5Jrjvf_)b8G8TI|}dIV{o_@Yt|= zk!R9;lBe5pSgPu39ov|$B)CYMfrSm22Rx~(#_9F*d*@fZRaMm+X5+)&+Cv&g0c%K> zi?`D@29pS+*S;R@)UUa|T5bDYg{kRp`!BmtpkQ}ImN{e1NteOPV2&^B73C&o>yiZb znOy&6=S!5iIBNA;eBg=lTlXg0%d72LdsB|@pHr&GiT2hS!HYwLa-Y`_Vz+47*FHx3 zC(iD-423375G>=I>WQrEsZWr(ZR;hW0BPdp8kl~ez=wnVjOuYk>}&G!6mt#mc>@vi zJpz62vPxc^C?qn_9+w2s>IGjGGS0TTY@eQ6ZVD=)yeBZIEA*J1kiHoMnvncz*6=^nVF!wl(A`^7Y}G%%b0^ani0y-scz?}OLZ_TwA# z&JA+NTBmxwOJf$Ki3DIF|E_&5J2oG$Ew^}6pPzgmuTi^9UjO*BzV(HCZIE{wq&DU@I8R=%+7sdP+tEcNqSEMk~&Ay}fWM-Q$@^jF|z3OWm{RANH?{Ur_NQ$i` zF`>0@TasWsBq8awMCXA_XLXIBB`4~<+fVa(li=Q=qRX%TmKU%MtgM%5V#E)-KX>Xn z8;~lPHTN~WPx#(mNdhvCb7bZC+b<-gcgn1qpj$pP%Q81ZQu2u1&nwUZ{ZzTHVjTvI#C!BX{d!Iq~kPmb;cN~C>GK->HO+5Ia!$2WGG?1od&q6<~- z_4Rw-NH_YB45NWhlRUz6oTIOgC*6(jGkKUd>h@UoGWktG`&|0XSbMSWTS?~rK0?gq zRQE$h_tQ@pzk+XO+uIWYa0#-=*eO57{UD~$2Xw^w++pC{_jVd1;;!-#Oh9}3FexN%X(66&0UY4_1!u6jsSeA0lsCADaQe4c}Q=ex1gv#hWxWv9@A?d zUth{vyuqSx>G*{%qB)xA{iCc$h$`eF@W3U%BHZw?)NPY2)K* z$-vwG%t6`HA6e-+BNp*P?jywrdGa%! z%twShV)}*5Y+gv;h*Kr-#KgyfEkQYMKccYYod$K>i*|8KaQAD04C{JP<~R#p2wPDo zo0k!$yBkkNnQhim_1$X2-C18xTz~U2F1v7+QkdW9Hn@8IPY|^zd0RH&nRP0f9TGsV zFT#@{t(ABqquhg(`*$>_42qq&90ys66OXPlU*-+({v$RFn#{&_7LqM#n=KW2OZazc z+sv&w?c0u-bDtSc5Nl_(DcjJ_o=X9HH1Y5uG@h*8-{zfxcKl(-FA0-b=`f}cr06w* zxqd5cr;hxnlX!^w3B*1-o*aRO;TR1~*w)v$R6AqoWE>7=sd=relg%n+s)4yOJykf&iuCHluHoiN}OsM!E>fVl>~qo{VrE7JsN48G-(=8!<=cAkDx&-~?< z7K$}RU8AR3xPt!sWc|<%YY`mkvE(Jd^LMH~y33E3$GPb;Ml@6m7>~v?) zI9!Rh4>kBCsx282F zKzJkmV~EAbkM(m}C0ExQW7}aPBvc450<2TIIO1S+I0sS8<`nRmN1eC@akgxAxTf8htq0w= ze4kG4=OXKw)~(!JxHT=)zTB9jrikZL)Fzi>RX1e&SOL=G-9_V(_sb(}gp)&*t8Uzm ztGZ1-<)oad#7@Jj9$LskF>w);`Nv2jCcw$#u(m0`ks0|4j`IxM;~XM}bF()kl)9=* z)_k2LxqM8yC(Y|quCl1O95Cmp>>vDjmNsTYXZ+yy%5H0Vv7QaasX`N5F>CD zb|mFwb`+P^+!S`~pqX0@9pZzZ%mn_x^=0hJbcnwzdk(*Ej;Am^KpQHniEgm+mp;Is zw2f!oP99(<5z8;RjSWL7G~N>BSAgt#+16n@6VC2L49HmB4lT)SgF7YZCyC8ysrk+~ z0Y?GQR>)FNJXc~-k!FA20w8A=jzolIQp4Vz2oZQeRxj*~>htR(+)g+NjaOlWG=QL= z>RsdJpDeRE|Jz`hQCyhU_dITiBoL*cPC*J$aww5>RJnGdNTNES710Qb2$6z19kC>( zy8i4JXn&8@cUH9EERya)h$AUM)sDmDEz7;$>8fcn&*!B~0B-k2H#Y0zy61%W{m>H;Q~h9+dg6^tBSMN-ZI?HI2=*r}V0(1@p)YCFa{0(L_c2 zMK+VEi8X>Ri(WF%kfu4+d!*c7518daf;a-qR+{(M{#?0U!)f=^(P`gkq8tPJN>1Tm zM~<0$a1z$B@9`2$Q&iauF81`l<*U_ZW#UYL%F2Cna_{4ST1L);{Tfpta_rYN?%U)& zDf?wWrJR7`g65KVHDk_hIeAMh?bIQyna${LqULY=yeNB8OI(P=1>>ByQfjM~*FmTy zL4E*-)d*3?7IDK&VYRB|CJcwi1&kr)T|HXI>*2WLrtTY%}z-sP|3QrBM(wo3P zgDWxE-ggTU+WC@0d{~qd%sGvuKU{HtxbLNmMs#6~b6=AN5P8wqgjP-Dv2b?r!ZzPK&PL%-|45<5}~1?Wt&9st)CJJc_tl8Hd%7)&s~A6 z7E8`0z+mYnf&l#eM$@@8xNs|Jo+A4Y+dbKb2ZED4LlC^X%Dbr(;Zck@an zq>?0tY`Q%DO%zV-{^v@CqP8AMV+Vck&Oab{*tL;9r(*O2&*iqI5Qa{~f8IU2wqL#j z6?k=)Ke|83Wpdab@P^W;9LGMY;n8j3L26h+dCtli=p?dd^~&X))J^T02nFstvK*=F zY7p28TBbQxxA7vpwKxg-< ztk?YcdtTy=8}YlGTO;b;J?z;h(&CK6z>kJUahE}uLg_RLFD-4Yx>ssRBl{}AY~4idE&{=}TdS?p_qneW)p_gS%S&jmxtC3I{ERg3>LfpXS?AEIc9b{u*^=-yXqQl@Q zxIANyRW~6Qpk?Jt=LHnAV&<-O!{KUHGA%2KBT@sZhjG=!SvmVZ`6OxuEW%X<($ zMM)Up?CoJ4%TiIVdiEbG&$PYRTzqmy9Ea{6WmU_80^YZMs@G30V*1r+)RzIY`G45P zWjq)P%tIgioyN@>3x+};E;e+h6DSO(`}(hQSp}1fDTw9R&gS|q1oT{(8TiBZW%Nb? zGYLSgf}Ib{3Wo{(wxXJFm*mOQ#)Eh%2o6WY+*4G(4dI-s`DFm|Ct35V@d;&W;{j+@ zh~kLu03?=43rZ4om8-9e z!j>(`t1Bsd6xYDR8V4ZU=8uy39MvFGn|H!tIb%n+=v~_X{6oC!y3?Q)=L&z8AgRRv zpQbqNS9wd(q{WUhj<(vr1|3uAgsevfg(Fr|09AvwfR9|iQp`cttb1Q=f8sl{m zQ4z@@?l&V85_KL$7pE+%rie-|L?@TA+~%-wEbdnciDx6MV`CfQOd;eRI-R<)nQZN_ z@uEP~Hidc>zKT8WP`B_CUYAkLx{s>y$U%Ng9j=_Dz5JCK`zuS_d>U`nLEYmG-iHUc zo5`{<`{rGSD+~9-`hwtJerKZL6H5&}#A{sgpe)qCiYtHm70?37MlfGsu~>IZL~ZMj zKqGOF>mI&u>B}m$6)F4LIuprzRv5YXGkaJ9oA3d{af|!V)zZ30doTcbiY`UV3D~eF zuuDBXi(Af6rF+b5#iF}y0j6d`aaI+PK)Z{0_$GZoHRKhCaqS@8f=dXa5@2vqS&$29 zkc3M<(Q_fBQDiInP~|g7c7na+MKqic958h%<{l^RG2KP*Ai_5coDX351r300uk#Y| zk4_yfko#G6XWSGPHs~GNYCL8n%ZwHf26j?h{~Ky=1?pm1BITOrz zLNaEYdXM9hu^#$X0`M?)$%-pb<#1-*aSzYPRCj;MKcFk5@DS#p9Ygv5%>H1(K}{^n zV}<@UXR{mSE{fuq72_zmIf44$F7VfAp!xRY^Tk5TtJy9v6X|HB(PM9#b;Tz0%hG!i z4@ZI1fzNm%h8K-#G>^sxDhDZ}QHPg1bW9i4?g5Q^hK-e{iOJXl@iy!gt-g&&4hSHL z1cH_LHw%YI(Nmj;`0AAA*)2zr$xD7QsqHd$teY9aS&5JtV8$Vv&vy0IznR@T^l>eU zxuliKw0=E3R+dAb3i1t}z5fJB4mx*3(VQ6ahI5BoXXbwNIHIXZy+MH^%9! zJ8U)XmEp;y!byT4(#*+O3}MDyL1M)O2A>*~IH+W)?GrwlTY~zK5273Gv+>jSx3B7H zsg7n#)-D1Fw=1D{kD>AMxzyIf)w>w*?z0ZH1i`b3h0AK;Ip5E4hcv}AzH%IrCfUuJ zN@8#6cxK(e`WBn?@P*$?&eGB?3-4;Fk0vXXvjLai1-UlicaP%B%~i9y6di?z%}^&~ zXu^pGiY7?Y&?}j>BlKD6Fsoeldp5f4KsQ=RcOffrF;gZM5vp44HXL*lQWQVz_cgJHEMF7 zqH4PDb>?{$xCllzn-Vnfq@apgV(tSTxw8S4X5Jp%ymr}mHdd0fz9I+An7|y5?49kG z!7>E_gHepF+Q_{pwuhISwl3EvI-so#9bkj^c`8H4*|C@jhY>fnSu z0rr!A%~!#mxV(*0wN@tUJM{BBzGT;p!A6OnqHccH*Oz4}sS@Kzxlmv>z`oZ8gG!8Zt=5YU+{ z3V-lmN5`@_gR?NM$wA|gzyvgHXJ&))c%D564r5NN;y?9c^u#f=_=ooww!T z6#__95FRk=jr7i@!|f>_(bvo}l97f*%{G-t|8~%5vw(~}$<29d`4k{j++5dz>L&m8 zd0QfCYcGy@3K|EYknno5Qk65$>t^y?;x;x1}?nGe9QP(GQ-?T3E(B)wcgu*8A-qt&cGNi8!KA+liNzrb-m zhv?;rlDT>)o3+le2UVO=d*PF(g}r<^6LT8JX0}_EFvq`wYm%4~hOf`w7hwbF2{lSt z`7PAkRsw|{F47BK-*?KrHORC>$=r@aDTqoH;sMd-LINZ9Z^*+03Rr`MGN=iAlK<%B z&c%lZ6^SDgrY1vw%r_bTZtM1kR{tQ5g6_$jG7zfc(Dn{G#^Rq z6|_Sl4up}<>4?QfeHtF!G>tUz>%D#YT{ARz5pzg|^|LPXc4U}DGs)z%)az0&2UBaS zM~AX=541M?boaljICjP%t5UydzRI<)R3 zb*exhYhW&;Ob^VMs6Xok_bts+kJywBeG6HKAM;w6jpyk4$6ib;{$YPN=Fb=VuFGmV$R5aY@#^%Xw4DGle;|@eUFRRWix0|}O32m9 zz+Xi^qEmw7><(mUG+OVfBY9|?E*fs3Jt8J)SY<3g8pNPG)HDfXuta6N6@z% zm+~8z)`Mj(KDF@FNVmWZr7QKm1CE4_>T8Y{A~M+v&6SDy_)T_6IB_Fa1x-yGUDp>J z-8*bxD}KI(U|Pq;?Cn{V=YALgk$G1NRVo8m^OQ;7%G7WP+Q(sco(cMGWF zPv=k(+IL0eh8d!v@|6WOD`~1MF{|xC{B`v&RXNGM2URXE^`n=N=S7Q#7QPHV#Mj8L z*S?W zpQfnxd=kX)xHzt@l}&#taWu(gVKz*N4n*0iRckC9FJM8A@Qn{?c8)kR%mu!Kqa{GI zLBHcrxKvDYxgy>BG==!GXy~%TDf8F)PHPBLavuEHi>gI2qnBd6c4xQpNweLIX^W5R zRSEkL}7!f0Hkk;%^DC;h=^(0YulJa;{jQL(0n!+g?8Mn$on^lBrXZMYgjnd=)tSj75|x!wOwvOl(b2iBK2=R5{S5HIvc? zS^hc-Z4TAx70YhqYKbClwx|KpQ7g|3Mm$wX-)UL@8(_eP{Wnfag z^&qZ!@f|g-*i+5HqJs2C*{8&qnHQR4(bQD$K+?j}_hix8&ppOx;k;z`IMCe^| z6t&;6!jb8G)i_N2>SLlexLxo5D1?=#Q$)|QTh^`<%62%wKmR!F&^d`}a~BzLcD|`a zjO@^}D=Yk}+t&OrRW*D$A_@k$K01#-&J&JSi`hsFxB!I+qePACFR zcpYbyd8|)NXs5_6Hoq|DPCt|!ZsgyPzvyO{i4Pu9GY!(#&UuO#hSk9>&^MDu^ zS z$7AA1s)KkvrUz1mvN}#0D+x5RZHr#9a0>Q`RL%(C(ZO~a)B;8D>{1qvj?0uE9rv0K zj#t0NS^q{l`#SmbCyclA2(t62a`EgS6bbU31xfykS6goos?rD5EfZO_FAd8j@slL{ z0E7oGHVt8_M9&_E+eB1Y3&?_{+J7-1dWO}Gb7dSq566cTRfp9D#f^&S9aRMKG*L&Y z#F~G5h5VU%YIo;%_D0_&Fd|PfcOeiXBwK~*3pyUgiFKZa@J4j~`IW@vBC=H-SY9*K z)bF96vPOOn>HE1U`fYcwyfS|*Rf_}oI}FeK`lj9X0b03c9mBaW#f5J7}%LQPwD@Ve>|-@aETPrm*S zRfAiMJ0Waoq^`&5P~H@J!&d{iSt)V!^go_^W#DfU%hN)3L^O<(&gN@i#rc87t(k|7 zPD)DTe5sl5Mym!goW_%yGMof8l~;ZP%ipKem+cJYt7;rz;Om7>$2ixHbq}BKa4}Z| zs%}PdrcVP}_HAJ3{>;@zCVUaj=m0n@%qg9)!UZ)bd-m!AP9d9M+Nj7$wwG1{Tc*cm zhJsaoqdl#Ohcm*v%SoghfrS8;SesS$|RcVib$8r56$*S=GTFKqvY>0-H77>I9<@j2x+ zjxmtT+_P<-pFQ1kzGsfAEhT_;DSf%#?$haan#8)c|Yi z@H&i0-c&c<|AND4lia!6kMdy7j96IVC6@cL;DW~`k~=ED=s0gUT6a%RZRaL9e(u^N zl3(pioeS_a4#&OR1(K zW(~XJF`y1zp<{w~gYZbz0r{7E**)gC07kgcSl|H8gRY{69LVUK-vn?ters8D_lViJ zVhH&*+#bB4NyN7+Cjc+q>zFWO;&k`0tlPF`H}+!Gl7BS65YNX~bF!;!u>PoG>`^2~ zF29>p@I3ndrF|TcG2J6&XFReoGuM(4%;wg|4Ivf%dG`&vE+B;R7sYN}ZU5d<&{U+m z?hBa?o;s|nZTbssdH*>>(CVMgk@@;o(q??6Ms^q1GPi&Dp1D?0wp!Yyh|nWj&#h2i zyKQkf*{*LJ(VVTw8vxysQH04heGx00?%I`vwmVIzkBeVj>AA)dt_~NeuTu?R=evUJ z*HCXmbXp|NE_ge-^rf*Sdp#d{`iF^n&n|&{cQq08@iCO!9h{c2dp!)3_DEE8_-<;Nus;!Tm-es(gU$1)2WcPlAKq3jRZ)EGRIvDhdL$vLKfN31x#(d(I zIu`QJzMAVUVCyfYa|?qK~3j^O>0gB(~Y&DX6C!_(Ox_O+x9L*5G|Dy8ft}gJxpIeUKP1!qTD1 zx-&|mRLB>83Tt8k-RrU%qXtEE5K3cw`wy))&U6dyTEz3>FQHnw$KG%o;gg3|72u3$ zVbvi~mXgm!R2-bFiaX_+fWFFA!31ab1jL5)rn4LZKP?bd6t0;K#^VC>QTpB6L6fwCh*4C!w_x1VOc+vmwLe@dr-CW)radHj)81}C^bKU zf?`Xic!0aXkJ;#Atbl| z(-!A$cW|+*uE;|PzIB^m5N4DH)ztJ^E#!Ts2xrv|^j@h9#-kBj_GWRLrk=7q$;-mM zC^?8>e7@pVHXXIn?I)iQ*JI9(z#_107pnA+>DVeOZUFny zoi-&MKcpjh87&y9ee149_%h)D4%TpRz)a0a;X5OE8X)^^x$dm@%yEZjTZ862=W%33 z=i9#8xBOhKL0D-wko)EH=8)KJ(N9WO2KW8;@xJIfEvWy*9Hk+cUXy4%f|@xxcho-> zzv1Ga=pW&TifG15{CT7dYc$Rw@9lmhh&Bsaj0C8mIf~SWWH5B1L0o@x)sV~hNL>$)BNDfXcpO%WKY82V(tErFat3J2i2nOgzYcWiDrl<2E<&J zO{i~@t?{}0;qJm@X{NN+sKDXw#ImAB!!f(M#4dTe4^ zhkYDwr39q|(SghVD7=2ZplY}N9m$sAc{>`F^AnK9x_G)msjS=Z+x3)UH$K3vm9%1k zcb}9zlTlG(TLx&{m?{G#fR$bOB~*iAfpf6ZR&l+uU_g# ze+Zq*pqY>Ae-;p^X^V$7k#Ssfo=015*bge8{&@->G`-B zbjFLz!_lT`a_U3JMr$q`0S_PazIkqk!+PSCn=m3JqOV>sq(}W2s?iBy4&PBf_w$+1 z>L0MH&bzt9eG6?hk>j&2UeTn>@CrZrG&r(#ap`Qy;YT!UN5`Rd&%~qRO*r`$q{BP* zsXO@$;#bJ0@ShI5c%&g4$sjn%Wf?uRloHo?hv9f|OJPvwoC!^5?KlPxNd%58DP)AE z%fm$M5X>XC7OrGDVu$@e=^Uzq<21?J>#vbY`TeT7s~)T|`0<;?=t0K%A zHvAG^BH2;&@q~4s47_vuWybnNxuN^=QsBm1iTF+8pZi%!JGj>X)jgT;pvIBN zh7`dL2KQZzABPGpX0*XBtyQ6{&q*;~hV%yf$UI#%efo$JwQ3L51oI>*ZIPUsJmxl? z!2X~VO2_cRBagnjaEaWma)~(xa5P-mBG6=PK3+(I)65+G&R)35U>HD?eCTHdvq@{+|(WYTS z=PI|UiM$j#TTD`zm(;Bl7~(38WwVmOa>gDWBeRhS;Qp!a=0RX~5^tIdNJR>D?>64t zViHfxv{Rh;XfFyX%P3#$)vUp*3t`Y%75|=8CpOcmK|;fl;&99%yV6e@<405elSaNo|=3z1xbkN|uw-kB*Nq$vfa8@W?+ftoAd^p2XKo=ao)NZ&(*LukFIj&ES z=~E5Wpsc1*(ny!P475x;xiS~{(PFg1>EDygy2Hv9?E@-1&E>3Jc_3Jk*eZSc4_U>^K$4JclaKaiALOq^&M~q)X&40^Y)>f&B4) za%E#NZg}q1@9!;80SvyRb%hpoV~f@0g)z_{ScXIFd_( z5`?(H-y+_#>$PKaE0zFs?^R(QCn?oGjKJijoch9>>$mT?sADIfTzH2uY3R!1R;V7& zc*1aGqLZ2JDW@-MCgR%lW}0%U^qr^^v`V&XtNmR6PyAvXLHgZmg)C3P>GmOpcQciwVa!lz zZr*f23$7T=_gc|-rs6KpsD^ot|K1wRYLUT!`^c^sD~0pIX|~A$`B201_`UOFM zRLr-CiaCVQ9d}LjFoT)Z1}d36j+yb~yjt?Pt=DyIc3w#0V$k6vC+~&yG5Ahq4?{P) zE_u5$Cd+LnTaN_*lK>ghEYn>LZa~a-hvheR2n(I&Z%eD)9Mw<69-JF!@HrXJYCX@S z#(Hs#r%0pH^36|8jaT^LCEfz_*9ym)bY@f#H-NReeDFG`7D{N?KK86z1DI+>$3*uF zB%i-*U$&Jq>|*EpU#W~cVu3msE|>8KStVus@nWn6(7=O?2WA5CoyRPNyawA3aatnx zROd&n3=zBG8&4~ZshqUI@)jBee>-aYX3V-Pq* z=+s(+m1d)}uwBwwsEYE4s$VX+xDqUpq2!$7Zl|ash~Bt4bLziNkovMx1jQ|LPL=V# zgji$NDCwx5?nqWv%v_+m92wj6$ezo7iJmvdBylKAEq971G5V7)sC%Ea+WXh*g`n+GqD{J6*63RX z4Z*|;gFyO<`mN0C9yFkjA*V3~ktn2&PxZMsUzcpO9#;c9RrXFG|AHK`-j>v$cf z*|#d=7XQX1p~NzC2I=0%kA~PeC;*A%G~;XM-e#Kepb0hsmS}UiU9{?!6Z%;E_B^Xj zvJCFs{55O)#Q~9JW<(Rmcq4+gAFG43v%@rmxO0n8txiYd%Yi*C>*2(EqqHLpU*}Vb z1VGOZVx-H&HoBW5f48^~Mn(|f92X0erulWJTu6>u8e5DxdSP)^jJI2ulgpmUc_F9Qx6Y%)rz`e{`Z7t{WT zjie>{%+qkZ#nF5DajSlGldjI>Hu(BC-5GL{0!d3DdzaQ-$($lWbOALkFd759W9UX+ zneevgCaH|1mWM3D4)40+KgeOL*t&GuUBfgdzC+ou?6*R&uz*R=-eAgR4z z;rg|wy7!--qI%3b)78eZ;QY>XrC1N5-)Vu&8T@~>2nHfb&wyL4+k z{&Nf7h*S4L3U>qhpE@5<4Z|<-i2ui^Ymis`lkq|qTRipZuh?or!mRgO5nOF^EuuR5 zy@12s1RqIW{T>zK73lLe-YK6v#;EJ~mxr0tUMY}9&$Hj}Ca}t``i{h|E_Xdoa>Y>W zCSEyZjvjV{!?&xWVx%-CYkFSgST+0raRwS6*3T&ENY;C^SsprFOnR$Cx6m?X>)ABY z|6&$iiBKE{ocOpJgC$HZ!i7>S1MsH-jiv)bAtY8+i?=R@78s&ZdD2sO-oFl7L_?6H zo)8RRqM!Zy-d#--=Xu$9=3D>r_4$vr>2xO99qF1cE_%`D8Ho`t`!TqOe-1RM5}m)8 z#SL-QwmzNu3#$r|rj|>P#_B4pL$Q0j^is_+WAsRJAd3dA`PFbq*yrVlPhXiPx_vr1-hun~)CkC&D&>3j*)Di73Z!`z7U%f(FU z!h`FF3Xmz3=t}W1?>JH?2sxg#kQyjb0O%grAGFRjztGyvP!~8^y5SegqKv*jJ|fVk zKq(HpD{r^{B43%-Fxb55Xkvgx?$jB?qS_eVdL~MC)}mS>J0w=TPp#mH*S4j3XUwuP zt}y5Y&vq?poF<%QDm`w^#Lp(YRGwjPLt@xO4~C|rM!A8LvKk{q^k`yMOd5ubAGw|n zJigeL0ekZ|J2Es9WNi@>h=utD%Ghwpue}57aY3)xYtVGu7Efzst#M>_OHP6@{ksCQ z4$buBKFD_s%+v4@2{q!=n2d%$7A1Wz-*M!j2LRvn=bls?gY7M!^oXOXn%AM5h0p*ZX*zKg{P1T7}v8HGs@H;&RS&k9Wcz7f1p*iyv~YohGssQb+{2C|B`A@I8|+z=PY{@ zs%KHH(!@ttNZ)s0GPLfqFKL<9)wh?9RQ=E5)(S=E%bYo~}YdQjnfhZK7F0jqOZBU^{Syp5-?q^xDjg`H5|-GM`Z zy*)CZ;%Xhe-E6yE?zH}sD{h4=W>d^92g+*hX?xz0 zs&RQa@znwi%2d4tHpx=E`ds+^Ac6Mn-Pd(Vk4-PD+8=B9epdupGBW@Z5_G;RM=j9| zrEe&RLs~NiVIf-Z1XrtUgPaa|cRO>7)(mRh+aI1-W{M#}u(+ah#m+g5mG{N;wTnX6 z0iQ9h69)IV&)(LBB3#XxSN6uNEss5{6Ar5Gw2@@Mx^p%sTztmlb6e>&SNM26Wv@C6 z$9P;35uHHUBU*^#V){;$ni+Y04X+MzR|cnCj0oxoj;Q17&#>d^^%RIWwtZ3-Tl{$_+jAMM$Pv(m#)x+@t9Lj4GE8tc*ZHUEOiMk z*G(GWM-s!CrS%j5o4k%My1J<>8|D!3QYtJo?Ur|uZJipouF^2U!@SZ|$U*jX( zdRX)aQx55fw81IMVJWJosmHYSv0E;~#F3pvUfywNoA4*vq%(&svdmVZu}4SVBE$^~ z>nPGSgTK#&6~-BkC?wP?lH}j%b@~e1viI*NO$nl_pEuNuM*_rWNG>7Rzz=En#Juz; z$8jU5WvJRBVI5_t5hv*~IOO8mekCqF&Y7FChazUza+HQOa94=9(4UOg(xP%q^I?{kbCt1(wmB{H)I#9m-U-D5ktyzpz*LE>Dg)gbcBHQwJlTt}**5K4jh+8lZBnRf$< zOS{#^Bdxb(M|M-!r3)ExOtY#KKx);Hl zvxt2SZbm96v!JhOv%@f9;~_g`*7J|fQN?Eo@0nXOO0aj}=eB>5&xzl*+RK7$;ZMx_ znJ`S658ElJY2TnLdmmV0?x@ zj3~*5_VSw&Dl7Qp;W_uL;YysCJRuXl&j7p`Nz^ydBbVu%D7Ie{x6cs5h|P`<%+lT{ zd%I+D;eo?X{hpudwb7a|z^~;}8=KoGH@G)d&QcfsQKjOgw**!O_dlT|W^9MCx&;yl zOOP9UUet@j)5RF0%@_&vXoYy}i|cCId2(+3F5$vcnC2!LtZ%|Zwz@D@s&K4y;D{$i zFt`jI;WHoCPGZ&766#`k_+@H+C6%Yq9z?4pTi}?K$GT}P^BM?X#li?mIG57Bk(rS= zL{O9%8zD7G^;Y2M`gPVbqv8I8q|{E3x|;SVue-z6kC>M&a+=^5g#;Q0sC+$TSN-MS zzPEh2#TL(0VjSgFE*Tz%(PevmXB)Cu3Qy-7)ZIjOXEZk_Y6#HtBfJuIiXIYXjBmDl ze2D*af)F|3Y_A0S)ePW4g~lO(;#<6o8nBEh%HDIF{sH6%#4O7LwKXJ;cU%ir>mFQD z7n@OQ8w0h*PcYfXP=R(-S*Hry^Opdc;mSm={5cq5>@L`=1^>M#lC?o;-F# zQpeA)mea2Q|Mbg!h3AOKI4-44hNIzDt`kZIBYz%rx%=fi25Of6`?Lw+m>EbCH98Q# zn>3TX99gSBZ^r@{USe;G5jWb@-TQ7X>2Y4Le;ImK(IEUoPOOVD#6Ui!cO{D#%Yr6^ zX+c;7#SHCtiNwzE83qoEq|(~JKTmEMJ7o%6U5|Az`r80{W!t z|7>^-ql$9;g;9!zCRZvuthLGL8J`(PEcc?F=J$3g>J+dgT)XJGgSOXR04FfnzIWyi>IU4@X86AmUWM%K)EsTzE zc(TCfFbDU;)(`sib}XB`BdeZazKkZMVV#T37cwWG4?nfZt0%dFy0lbX>+IN)Z=%DJ zZ@x{)r=N#scsLiz#bFC_B2SS9buN<2>FuS*UlICRa46-xJHp=*=iK*VVEUdkT-LYT zGUMwgfo(@J!d=OTLJwG@$}#}NEDD5E7z$v?;9v4VAws|3Ci0v?>UI7;%SmEb9RRV~ z=m6eUp>UK9FL44Hr;8X3x!8~tTwIqiS(Yi~x*n}qKdzfzZS)Z%5@wCcc3*8rp6kEq zMq@;*XBc|aH$+X*elkkqWBBi~^>U*I2u5+k#hPsGpQ+~w0f&B*q4R#|ircWb1t;3I zW*H~0(++*)8cm;wr03@uLT!9s#aZRoP#Bj3Jgb;ZYOfdYUF~3RgH_8$O!obgrrVN^ zd!r9YKdR9s-%L~X!E3YyjZ{pldxfJPH}-6@+V#UpHIw08H~$GSkG-c(T5>w@hItJW zWH7Ky@M)3htkI~u@{y_ZkCzPUXTzZbL4l#>oE(kzoK@sQ_2U3tyu-Nr#5_J_+@uwv zP<5uX#*0*M-$+-G+z?vR5=7W+yE9Lj#9cgST-Y5m70^2bX29Qbsu+*9-JB(!Tcz%5 zQCz`4ks1j)y)|z?YK2+ zfi12#l^(+r%kghA4Hq528EFh?wVplNSHQ~B6SzoT`+2#D2Ews@3nPc?&WLRbrvo!w z%1_RlocFeE@T~(q^m-&!Yf9pZYF3%~`)}kyb^M}?={`kX!QT!tr!HO2OFX++K~AU_ zN~__&Ybmb4e~0&IZqi-(jLpHT;AL8t12nCKE-U$ zdk#wS$Nma7>X=hd>wdYAOjq}z_x(|?G2pJ@yDe!yzTi(e9u(HMqykLJD2bS0-`dhw zaWILCqHSj2>4_B{JrrJe&A8nZ2|K9(MF~%Lx7jGZDqTT+iT%Y+#sal9-KRbJsj@K| zsPi7AONXi7A4xMV3VOvkd|360DfL|bOpn*5LngAkj zj;=;Uy)%*)i%OZwBd+FC=0G!@9Z|}cyZ1NT+63Q_qofjoGqe}Fe-wK^@?|tFj4|mX zgT15n;U?W%$*-(tYef2!P_#m()xW>|ruh_bul7sukVRC=R?@~qiAj>vL62!kW0Vh` zro!Y@^ZOB`(q6=xl+!oE)L6DpicS91_{RvlXqPzVOb{kF5H+!`eW90GM%n1BByi*i zS^1On*x?_c2u352R6p$UQnxU>XyY;_1)GA)JnnusmNMQ$oJaJFy>OY&P_KD*ePD;Q zlNy^XJleaTKsyU^nLYK@lruN~>4O-GAgj#a3Z86Xi42^w$uAuLj;;~R!J4U6S_tJY ztTZUWP0E?uR_uySe2QfPBmQ<6b7eH?;L3G1^YLPr6G+$=TXLozVdhK8QnHVLkIb2{ z%74c@DkNDIP{B0$<>}FT4{dMdtT6eNgNGN?NLF+G#dZWZBO$e0mxmz~C9TdBUY!Z?i#Py&3+Mh=eth5*njL>9u9HTcin*1f3b>it@{4_0e6>rCnwG9o{1ig(Z?Ps3) zqimMW5L!=-+Kr>S429!bU_$MLqb?Pj=uG7s{oxJqX>J9j`X#m;(-HjRRK7N%bLRmq zna4g~h(*MD>LD02Fn(Y~=LLOv0L|ydTXR|Pg4cFNLvDD)(Y8dEld%8aFRQF(PNadW za^YHq!pd9Q-$$p)AE*H3nuS z5BB@xmhr1^Io$?FS4Lmc^wtFV_S6p>_{ZsnKTfZdum|k`tL`$OHUkts&pz?I%8G%RjZ0 z?}X8w{jj((9j#OfnwkU=t650OD`oLma_QW#lf6yk+sPd#)Ma1GJeJ^4l-TOj1|z3~ zY7Kow1MGB=v)ll$gEGyJ_PvTLz7ojhnDYg}A@ zeWs%i_#D|LgLDagm29e%t|f)ns+o2PlO#Sm^?9xKmIykXMmlzEE+lw{P;Ix-Sah0> zyl@?kxU75T(yb3oXpO&5ioc4g!S~x{k6@JG`cws)0u##rsvBt{d6hboo6oP{BY`w$ zh)aC%(%12aj+cqVZ_E2WmDcf}Qou_vNnL#g2*6q;Nx^VjmFw=C*<6v#@5C2%IA))oY`Yxw5EnRh0g?4N9qmmP}>fYxW)3> zap|&E5uLa6Xa8m$T@-;Mte(DhMEL8vJ&$!s+@OnF2PLb-;J4&|azfiGZx19m=XR3b zhx}ZAHLLB65)6v(*f^&8DF*@X%UI@VyR57zrx<3b4Rb2u-`-82Zj{HK_nKQC;88R+{(N= zp3W)3vl<*^+l*)y5V;7G2SU9v$1IPO2B^ye6jG5yTbW0|Tt51X#G*&RJ8&Nhp>Lt- z>$djU)nSN&Bklu`I1yJaF8g~}o{ahG`%JIut1l4v;@Dg$$p~ljgA}hp?)=@MlU=f zOt4W5X$LF)&XR_=|3WOo@&$&y_pox1;bkNSKG09Pa~tv z%{ldMzyb2c=-cMQvx11uCZD<=dFa7xR_A?-s9UCFioM3#!s{d#&46vs%N_nFpI=uM z`ku7o@6pVChMCDaf@;=~Cmc|E1o-Puy@tYh z6Zl;p`q>9C-cl+J8|hKX2pJxzqR+8$@280A)f==`Yw_Ka)kpcr$bFNkN$BU3_eA*z zNA-Gtn_@Apy~#%Xl{ftl9i*i!!=q)!%wi!U3y2~!R-GfsQTgt*@y_06Cm#pSY-`K( za{Ak0xluB!wk3n(rN@#uA%PV(nxER7qrb>h~@*5)J1 z!uSpQ|BTZIRsX#I0H_ofI5byrtGjFE=HQF0I+WW+vC=%?L5Y@M%y5W};|gxA#5oa< z@S$=-corKAcU~d1z%>OS9Pc!bQ`mo5im+zVnwa%A31_K#LN55!?On$>IB&&(OP+cW z^+n;3(6!ikJb{PTM>1={{y4es;Ywotd|G>?8VNSbntJo+%X1`*W10Df1ciI$w~2%V&0 zTw(tr$MXB3ti;|qrg3Ax15cDhe*9hQDx7!!#4(r+55bxLm^cvDAi!iTOHbbSRorKblihQV9u3jnwQJdr|Jw$50 z2)G-#xWf4>d!}tYMfddql40NLs)PJU z(JMJ5WKIfQuHYX`nny6UD9G>e5)?^z8+_RdOc1u42-N~Wys0w-Ls@Iz2#m(cNT41pidstW4NJY2%bJ+? zFHtSZX65glJOr9jGc3EF`1j+JC=vMeoVQ8bcP-2GeDqd@9L;8fFornqLeGE`{K)BC z83VG5dZIa&eEWFy9bDrrUR(UO{@hi3y6nRIp~vvH=)~qJLW&a~Gf1$WnN=VxgK4l_ zM1I-U{K`i5LHU*rpqXCM!nd_hVh3t4@WgfNgtijQe<7PKyHDLBh$AHYPOLfF8P&%onvHF2;t42yK(Y_y=T~ubYHoxYQ&FeGn_c>ooxKHl5XA z1KzP#-F#Y(ExDO6Pj!;y`bB|GpVws@$enyX@3BC}L|Gq=Aq6?t;ec2)nZD*tQclkz z!{);m18T!PHHi!_^@)}C>dOLYpt5CuRDeU+!(aQuFGh+OQy1fUPR5PPh7p9zE&5>M zrQv?!@x&4ve)nZuXYIb?IMuhqP5q|jf~0?dY~JF7QN>Jt*RRD{ZaP4iNHzR(64P5K zm)ykY=Unt`ME{x3&OTegdY@i0DFtG}0?g^g6Yop>I<{s6vkJ?$Qc|@Cg}r7k=y71Z z@bDvEW&Q8OmwAwj)XK-UBy3Knb1aYye6ESF*NJd*U9|qf&Gaw8-l}x3S3TSG zAwlMt^!{@!J?n6}ho;SM8eJ=;??UGHH>=+aL@!qBV^N-mET((NOhau8-hA$!CzzOj zowxiof!3vy`2oUOSf(WA9lPHp9Ya;pPLaMo$OStS$Ukonf{8^bGy5;G$M?URn=C(# z-wUf6`+T7xOLE%=fba|H#vKY-6)&u@rvvV~tBaVie;p?y_g*oTy)vp>Rojf=_|6Q0 zIa_g=cf@lKc+7ynp}TjA2;m94jIXPSOe)jb*ZT=C*j?vdd#kTYE$AaHpeDLh$PBKm zbz9t8{TF-)$v|u!Of}v$SQhekn&lj|zON8J!9!MhKVUePo-m#`E+sl-<+pvuw|c$s{A>o{nw)+rClhSBL((&VY-{-0+KN2tknA|2c}HAJ74Wa7>+JI@Ch(& zfh5MSgfnJ4n$=d)%$FT%gRXUh89VuFvX&`Gvxic_cMX~b?35e z_9gXaj7}CLYNvJyce#W0maCzsn~Z0JhUV^FgE%8rfLjZ7zU(2XE=0&{MY!rGGTyqD zw}@Y{CBQ_7CHgLdW3{vH&9Q!N%G%V}r@qjZ$!L$uQeNOWjdC{3)y&=c=Jnr42A$sq z$6%Eyy&2DVVH>qe5r^*9o^@!^kM=%^vM|H5Fk+H=H06%Zg+pjF8Aaqw=Nc(uF}?>6 zd&#F3mxes%+JlNho`7%veZafi=w?N!mC}?LQOD>MmzJ}eV(m>94*VUtM5nI)$Mihn zTivhpF$PK(ZL%}C6GR->2^Q#WBle%5uNG$?Qh{^l5^Ol7O`BFA7sNMhPpzbtnGrY) zj+h5_N>KOPHjA1Qg+BbdHsDouTWNmVHSwwl$dzvQU#>jaPH6y+mNet!OKY<WjPxzszDpRfatgA!NQJO$EE3Z(iXRAxG2lO)Uz_5JK207XQSAR@mv7f#T2Rf`T1)0Wp=| zD6X_E`+{vyGI-#0=#A>E9_U!VC+i7LVgT#6Yni#;U@M?%CBAdRgPlgONrXZ4t-vN# z#w6bO28Jd0Yeu!^>}HQz$ zqdY^?G4a6G+o_nCy`_L@cLPQGazaK0?(Quse9a67_@XU*h=mND741g5(mr zuGC%QmA&TS;J(<}7BYTSq5Jb`vlJ1NfGv95lo>SWl2Cu3{&Aj$(oxCeVSqeVGfm@C zGrc!+kZdH~VP3lLN3M5x(3Fi`yuh${lQ1FwcM`sn3fWeGExBj$WP`=>Fi_z}@OIsi zK^?l$LJ;>t7`AyS`rHllY$?YML0^^xk?dVS4!R9A45&RmCSjfo1V0F<=`N>yU2ZxZ zioG<>9A%6PoR9KJZGX#bssHFIeySNdoI#>nw^^}ZqSK{HUO^bw!C@!Luj?cS&tW}t z|G?I$5y4IRX<2|um*ygq1Nl+bg;lftVc2y+Ft#KM)cAcHD>|Utkv%Pkl_rZwa5`pj zz>@}{u!?~gTT*43)?{w32vPS%5rXZw3re9< zonHZpu~D(Jy(UdkZo5OS5P_Iqp46EZ%M*M~Jr+XwpGMbt;NM@phv3c$@a#JRiA*VS zbOJ7ErX#))0h7O6_wS%mD7H8z&t!D5P_dipc^HQaG~xIn^&P_j4rJ&Jz6(NI4&E1x zJehBailH(bIw2#mj8%B7rV7cYM`vDc2F2QB0nEIWQdBJwPB$qjKt>mRzXI#u{0id% zJTopt$&I=(|hgHhjtd)WBzG#Q|9fBP>Vjg!^) zT~;E_%U#?Q6h*%|TTr&41#SLqp0q>?9&y^DPf^xMYKsYRBqrgbghpLP>5`-`mZhmmi_jfZ_S} zNLr$e*2#hLzITNcmT7sE{rA7JeJMnVe-)rmp8Ec15*`cuxlKpiaiZ@*QMx%u#tV%B z*dhGGKwMOC&H}`Qi|CAN3OPJm5l|fySxq^9j-R{)y$O^40tM~Ne;_bOh7K67gdtYV zB8PrC&n-t$$sHv*bM*O3g9AA#9bzI|<5ogF?)qhuT04U81qjHK+9UKaTIPbZbekUj za2Q}v)>TP`|(ciFxaAu=yYQoI}d(An#H_E~Q?R<2T2f8@nS0JyOhRpC9~#Q55i* z5|Z_!*k?3R=1SdR2_9=^fC!fPa?c%1{_ zUy}CWsPvFN$8zx;JOSe=&4ohedM2LoG+|$QE~e}9sNu1mwFn1CBfDybUELhYSC$ak zP!I0*!u0AFewzNoDy2alU8@U&1l+^pd%F7C59o!#Su20Pbi@_$zYo+%Lk@NzKcD=YN6XoI&-*&9t8J&omn8XFtf1 znhC!I?1x3fQC`uFYiQj-wf0G##%Tjj)&*KjG~#6q7vCB5E@Xx(C}D4ZhY@Q^u8F)7 z@hUGZ2PVsOD$;4BI$lMt)TYpw2>O>&)c+G8VtwP zYW4;eas@Y`?IQ~i>mWZmHWbx@^#0m#VnMMhNAr>L`fqRcuLf`iaQx-SN|}D_t1`>5 zR66p1Y_o9r;FSf3N4WBtP88J`>+`-kjOgYy4~&T8*#e)X*Bq_@9fIyNKQU2YB-ggF zto~3x^b{(tW+G4f(}t=jIf~`#YuirXNPET|iM5zj@Sj{>2;Oj=9I1`Tg{_YvSg64{ zH>2bO3bFfgH$mZe79_Y0^aHDi-E~aUWA%%!MOROPTuWq)zdQEo*Nb4Gx6)hY(i1<$ zeG1awBME;unB)m=A=o!faC$_9Ixc+a>E|E7F&i1w*%@O7nN;!b?Fi9p&G)2%p+JvDD(&l$5%BNADKr0)5?aa$DP&xsjaQUTxQ*jWl1T8HaKyfc3770+_C% zC9cQv1Jib?U2GU=x}4ef)C$^jiMLuZrmTCpJ6%?L6tq;IlEF#iz+Nf-@G@HxDke{7>)q-XwK zke+rUDSjL5G55`#XO^&90Ofn-tr=0X-kUz3Ilv}$DZ#p)!Aig_j!~mB;rf@NjiCtP zZ~wU2<%HZOWdgUA49msG^lL5&GxA)UoK8+${`yLJ9KO+u?^G+`U~S|x51*5JBI7mJ zENwdm14PiXQzTcD@+5v}?R*!Ik@lEDxW_Rc3%N#iJ@b{f{Vf>M8zYn*lDIle9$k6X zcms~SBOCu~o6}hoKOP(uKfF**y-YQwg7;{=n0mPZ!$kxc zoYzOTYbV(LT?wbF%T*NA>^O9<3ZnjWC{1F4Sb*NvRHH4!TM;RvZBKeQ2AMuV$qUzA zGyR_QY4cbBpUEJqRoHN%gOadwKFULw(2l$73MNp?LPcs;<62-}`Ng4cFXb0N3#`OtT+wk?Db5 zct+evx1h!zsg2~vYV*wp*yD}8;0aspS~e?_E%zx*THRdxU^jlV(b@9s=XY8fvHqd9 z!7!tPA9$YL3li8KCa0}6{)x60|1@=%b&pB`+qNLdn5Gflb&vB(|L)m(wUwZoiIqGz z*T6h`oluV1wSMb|BD_57i@F6rE61A6S?2XRQd_4+U!4xSY@$Q=a-;8jP85q%1$oT8 zXll!2+zPcV#?$N-N{0rv9b3@@tmV>^mB|)X)uGdqjo+hSsB>D$KLc#F>reBF)ocZr z*YtA~qkjmN_Y6b;>wG4fxRl-(_F0=mD9*L3B*Wr)W1@zMP3mAfjru&Dbjv0@ycOTJ z&{)me#{c{41_}!X-)NRjT5V&NDZ2^c%*U(s&mZeMB!y3Oq(Vk53n_8k`1j0()SHn^ ze7C6j(1`w|hUBnLtX$W%o12g|>hwK;qi3sGb?pMZskN*+fZ3tv`IW?@QCa4Pws;n3 zOxJ#OZBeu(^BIX%6@7od$JCvDjf$2XuMkayuY4R`wo=@=?&Ep-ks_f|w`pXP`0d8t znm}GdfM{!UI1IlGV)Me@v=DFP30qnUG3xWHTe(l&`Tg-`)s8(!d&G!nOuHs$ZMNBAanz|Nh5f4O$~p`Oyny4j?T<1Sadh4xsnTc zz1h8k6*IbZ(gT*%;bU<>4!LR(I`}2c{yP$+y|`iNw8~6>SbzR(jBZ%0us0#S{FnK* zb?RaJ_||eVQb6tc*3M#)K3-mE2hC{5 z^wFtr~A5BRqAOn&p$wmsQg7?b7UydR&n3jLoi zdzu>Jfb2zmndzB`_nHCtkcWFiyrYDdu9oYkv}N4DlHSqYKyxRbwO6|mVFW2?7Wkw7zgWHfnv!n_d z+DWJpJXDoh%UKD!{Qe{OSxS!rSqAP4W-!|j=2HVnlwZbME-U@)a$_m+wV&vTYtq_6 zUN{`a#)<1nWC_f<+Bj|r_-b7Pi^@syOwUCUYIs;}&h252QWlK$soG}N%A~T2JoleG zGfT_rDHL(uwSC+#ME&T7wqgr>@@F7zudij^%YhJ#gNu`6>&mHVjSQK`+9IyRsuY6i zPHrykPRLv8hg3@iSKmvYiw6yWdsG3r7Xct8qd5_z%W*1}l#tjh3QFIr{>20hVI#Nz zGwFp#OjnmfS#`%Hj~GJpzlX-vl9i>n$5RJG=IqtAfA@u}EmWdsY)!=%3`T>!`?cccfbZr9SvQwWqPsGs@`u>STmQ7u=-hcN9Ub@e*sl(C zDIAim`3Y6$M0R8~_@@MsX+Ixl_L(P2%*?)US9thG>Fg}FCZPJYpHi0O?zdydX1;mi zeEb(LTxeM7>^C@KgZ_i9R#doDYznt|SqnrdrZ2VL`tPbCdqCt=^xF2(OZAksf@>++ z-Z|K-p@VE)k3Y*-bZGh%W77|Fa`09;YYzh7)q{1;%G?h`0#3=?PP@h7BJIz1SZAd+ z7iWEyo`^-+tidR#s*E((qKI!-;Yc_$Ub$WeOm1&0B^wK8*SiJRZz=AMHO>c4HdKFq zd%l#4tsHPT^l3G#yATC%l$YpsXW;vH@en@L2D3!94+51>A0D3FmdW8#)1{}4kz`F+ zstmSiVNi_aYm8@~z)q3S!dv08JRXNa9tZmZs%8@2Th*B%`MjjeCOC}#$*302rVA3u zhPCW{UBCB{%_l#oihuveN;U|AbX>Pq$hID4YMkOd*c;xa<0~*S>2KifQkgQW%qM|) z;x~Uyzqd>>ol}$_IXl(gP&ytMEg|^FeM{iL25igo+x(5`lH)Z~_Ko4eS!Pd}jkR5A zsaVw4&9pDgYIU>&(VNpap|$d5`EfXeXGewZaY>ZKQm}YS)Zh~(S@iBzO2ysz9s&Wz zOfdR($#@A9mc`3X+AX}H)H!*%JM>nqScD|g$Yt5u|Ig)`;_@*!yh!^?$;bnS;ZTLO za?lDB`HE~-cvrf;evAVheP`Su;KZ>E<@lUQi}cR#WW|VFSb;Q;(ueA;mym(0K5~d! zeVee6VwiL<{wQR>V)N>h`nH)XjkwYV%TnoTK9p+|^U+KWLYhOW=s;HzecC1Ztv>LB z?+T&1IW-ymjspbi>PP3}`B!}&@f77bfEPOY1fCA@i(d}(sVn1hN5y6BZ+LvCJ%L~` zv2#lYs*Ligak>wj?S zTJ^g!3q|x%u*!36U;XmjrnA^x@AT#v(pHyB?%ydQd-9|C>&xk=@4C3d-6c;6Z~EoV z0KK4Q3(r5#**ZS=kf!u_-)6dbx2nFKd_w&D;{q+wN$@ETjs9NROM}gY?1jvAR%MjS zFscQC>2!c^)~yf2E=E3dwH-dQ zOu?U?*vdNj5^6K%)t>NRmua|NWVp+>Jsk0}MGtrJcZZ-rC#fIW=}xwKoNoS}Tn)tM zHUU$wnljZNsedC5-NbFf*!ivU=orbpA*M*;`^DxW7ik7wesEtD1b zwN^C9*y_i~**XSgI&KFxQB`f|N|J#I=S`qyRGQa~0 z&S;P+de(}czaO7rNtV>2;snd6yxsU_PC6&s)&-BkZ0gjlN%x-2{XnI;=dw zeLArZBDLEcrVCW$Ru5l1U?qd09vO4iM?n zxbSR)ez6=-YQMzD(#`#3(pT&63#t2l#X$Ti{!+L6{+$QqL{`}9VPA%qG{U0~{bx4) z>zv)eyogSwgHhwgZsxEj{%4OwFI zX|zwv%0B76vlGOa*f)%RcaZD0WZuGBQD4X-5WdULd}(uJe~3)AWMJ~(v%4~EMqBq+ z8K5LeTjlove}RkI|HHq6NB%#c)&7eN_8*8ECm%1@|7NRka{vFxR{Ib2jQu|_xBoEH zI4J&`bH*mkN%0@-+kdWc{eL5^@lo*oFIL+B%~1O&5@YP~-VOKIs2DLyh;p z-p%X1|C^z94AcdYHpTkwR;3)-k0k88R_cg#4Sr$FfW!*L#;=nX5($WM0=XGsp;%TA zstuxklW$rl34Vk~49n7@8xBneO6R?vnxaAi!VgbOi{UkVwSvCB+%6LIt!06WF&pB-OT zL6uSr1RaglJ1+h1OrqQBOIu6Ghx^_NtspdHHmG4VlJ%iGoDq^THAGk(#dm#WB&2Sp zk}1dG^@*UDBF;59S#$;~D5zCIId*~^fDNc0)yy6Hc5VB#9AeJFPDg`qLg(V!PqwS9 zB+bp@c8e4XA(X9`+gIOQ{vC^7CfonSdKUXLNnt=j$l{Y3cXzY5KS|x4v8N}h^QG8D z;t#Me^gyyaN>w|AS!_K&PuGI&EPmR=<&b68h6K!Fot4-+5*Mm?XD;n%M<3!BxA(?Q zu%z*LVxK=4|L?Ngp#W2qg!)4zuWRBN3(~p>8ksZ6bBvLh_hEc7jU`%#^7xzN9qaXu zo5(!A@W`)wew(9H>$XdKqIgVaf-ECFU!^W;d9l^=JMe|$IGa()!oLst(6QdL*dkXn z0xcFWP5R?fD0)4uI`e%XyztA5YKFt~`bv|V%;QW4E;rB!&#)HAik@q#;~pp`#njoRP_GGt-KnW45LWZTCl%TFKpc<*KP@*(4Q!@bZ~f)3RK75#U{YpYsQ3LuPAbul5milNX@*E3RGpS-j(p&oQ{@i^tPmY zJrm59{u~S8Quy-;wSI(r!-b=_-zR9mb>gFjuS~JgbLYj$vcxQUyV^IIr=nCIW!Gb| zY-`r7&HC)=ElWR|{zVS|o{ zj0cad105KyH^khJM7q$&@lPn#b`AT#S+0n>p9>!g1u42?spATjb!Bn>Ep(g;N!;QO z1kv{%}LkpQbOMdr|1_98+IW18eweIwH)M%edtB zGn8E{5)UrxOjF;OLV^TYqx+p=F;e)Js$0#4pzQpB)Y=o_l}T|8X&B+8vw~DbwT~Coo)u+01nrF32Ev zR-U?S>bZsl^R>^}l}-<`SP`8h6$V8<=csg~1!h-lAEQSX(Q@%@7!Xw93i`?Dn#qCC84solbOth;3wwcA)A$7q981Xr-eRA}8lB9Z~f+_i~Ub z*k&`BH;eO0!Qds&dUla%Eq3B%Y_NgQlt5p#+Xcg65cy6Y@N}6^?TfJGZS-IxUe}TK z;$&y_@LJjIlLPUJ{!PUaNkn4% zs|bnkhbD9cS^QLF`+W3epxoajbdE1*eO>+2m15cOe8st~V&u|zJUQ`^Ye7LQJ}}WR zwCyGVNxbi8&oUsTfGlaL7+FZ!&tcL12wxc2^WXaxHtkoPX9a=Pg{IooLMF`k#K( zUH0RNBL*HJ;Xmiv-b!=!3byHY38KuPCc}u_{;oyiQdiPaoTm-vfoq-wU;Vam2WvX> z^r2j>wc)`hCG?Ml6G{X(c=@OxokickYZtU%s-i&PYt!Oz;444T_0XK-<9%VP2SYkl z-U<0gk(4GAsl`WD-zog#95;q;?KHB#1giv*;S6|w6Ro<#s)0)(K z`BB}`>q{D0cYg0x*je6wjzD^tQW}|62ekU?F_c>yi*6i!6nXVRPeHb8<;Tvz%iI#r z1Js@2*!Y9)J*}Ec5VzHSCGODH)Ua^u9S4&h?uf|DtHK&FBf^`=Cdj;W56 zf`Yb&GjN5jJB-|%rVX-%e*EmbvCYE-rc&ea14cVhMJ8kwA^X1sBeT_(^aOV4hPSz? zY$itro8p8V+z!Y&ZELOjvgGEl6<>>t%^09IN9H_}{gcV#c_b#r|5wyNSS+LWay_z^ zb1!1oBB$5_xUt{2v#2s)2|TQXRXFYVEA2I&u5-E(^9$SHn8tPVMEEQfBPBgFDv%je z&`?Gj5#mXN73}|UZ9iwlDS)yE7dgLe0f-X*%?7|xH_Y4jk7od3v!bQZ@YE< zz4>#9jQXS)7{|ltN{^)jns<)lJ7VSiW(u2vk8XK`3+6X>a->bmlg~1bZ{F0F^h@b3 z*G|E%@hV1J52~hl^$kZF@=ubRR?#6}tD;vhVQ_rznW%onUI!^J!qC#Cl zzA9_Bf2u&UD2$fGcWee3H=SPXJZscUi$eZ&v}`xL$%dc?3uSRRtO?vO)M&}HX2 zXGH^%Pr>ZRrHQF~aO?YLyLSD9jEb{6mzg#e#S7aL2O(J^WOrp{vAbTh1be@_Ad}C$ zMH1>Bo}OB7F!J3BF!CV9_KvPab?D}l(S?2Y6T&3(LZuV_{&nK}vlZHULt1DJ^*Nm$ zu3)r$yOitG;(wpR_7yqY9cXh8)7>>->ns*2h>PWB0FT|7{O~lEl40TMDJzP6@!p+n zr@8!%yN_Qi@0<=f3TWD~?!;F5<$=WyJ21d>8Pw3)F`-RppE&R345bHJ%%8sbvidII zxpFBys>kndar&t)y_-eq!sL)ZRsEmFvisR3&?(GMel8j8tu9Nb@lvH(BF=;MCN;Vz z;fSYGU>;J4d`GA|?j^F?<05$gkn+tbElSCH7#1<$Hs=7pC|D7EdWs!%Y-b$p}B zyrPzFMQGO+xh^`jHgAOH9F^SlH$y8V&mo8I=lU6K!#T|ZI!~?YDY~3{N1tm53Rdc4 zM))DyIgK4$k%Y%_B+aQZC)87o&n>IGJkXQfStMP5)~ZNw%IlTzZ6uob=%nm}zJ@@k58~ylov;fKKJRzDF}jZ5Bs5LbbhFdBmdeKJD*)(& zUVs07$XPjWl|vXY zQy>K`{Px3}&CYn&s&^|vUmo%)UHsv#hZ9Z4FLlZDVFdhH7+a=-MvEoAl{wnJ`?KVp7c!!Gj%bxirKv=&J&kau8T zZgMOsa+SfvQV1RoQQD`QSLOKwD$T4Z_|$0V?`61ZXz$u5mE4D`f<$d26qnJZ} zHa^y}M@qW;M{w|j>~ap_^h?SYM)r|auOyQ~!I{op;`uwdT`&n=cue zC*nk8?u-?2&i*~|?EW-h34L%4M+L%FiDqjjNz4^mI5egaMUGJi|7gyUgY~;hs`rR$ zdYz|1jU3Y!i2R76qn z)s}Hw3DaAea!|tmLAWRUe<_=jmHZrnXQP`VEV91Z=c9~@g58Cf@@V2HgmwQUa3F-Rj zJxeb*JL~+D0xApCoR}EKorEN?xyU$fGEs_CDFwGkF?K+`tPjwZJz&losjx{o#e&hLt^2>N+Pz&lejOkO{=iqbE5bTNi#;()+@*lPo94|TLD z!R7Jju=BTB7Jq8wHMz54QrDctNrc(>$`b}ciVS$V$cSC$X6y%x6*IC)z`X&&He4H7 z*V_->6E}`BKT&O0qd`hquDDIyzI5!8{znM6R`Qtfvs`b19u?5Bc_Ip!R-iCRHdY|6 zF$E$NohbiNifj3(*?MK9xx9BJL4MW3Uq1pVq!fs9QEqux8})kOLZ2jeZccQ6b_g2qa6uY?61PtiLU9f0rLhv`M@P zDiIrJ`lUxwBnV4q$|a~6&V$~7qhJ)oOq98gQZR0xNXz{JRKsv55%M`mGYXVxO)$O= z$te_)f5S9~9x$9Q8YGHzN~N)xX34V|AAvNoE&@YHvHuGx-5V*<+TT+*{4cX(wvrkF z^tjpNm5d`jVoxt_T_K{D*djiMpE$=}Uy-t)J(@|pG71Z&5R!oxF(PB`oQneO1X-*Q z7KjG8LObzl8n@^}t0zp447Ym_C(12(n{8x(benE+e*8Kj`Uk0)jx+XNYW^0=A*ib( zS}_7x5qWrXi$nldxQbDItTroRax0gMAGPF&9P6?9t@MbiM05$9fd7f^ZEM4?qJ1~X z^l*rxOv)g^a_|J9E^)r(gb9s%*gD2BLFsG~IoNjAo%VhI{M6f6dCS^&?4i7c4Ujk7 z}{uHrb^V{uGw7|$;VZFqm+TqEusudj5=0xJ_r_v+&$ zz&0;TBF?VuuN}RLM;SN=j3*aH+}P8wZth#pudnwbBT5Qt;MBdN2SxPA=kSFLNwuF2 zK;09IDs?ENbS}|@c|xZ;_<^urpq`v1+2~%Xi-lK|%<-`@gy{CkDV6b{R$9vN^Fe!?GDQ(9N*!qv&J3G7yOR*uqKlNkb*`MKp$I!~h40>f32g_+8*9e9YoJp16Ax z_&(9~VK%tNZkZCuIHr#F2*&33x}q*_1N_VFi6Y85g16gQ1PRz8NAVD!P8g=~*aG$- z@8Kk&zk!Lv0kPs7qJR9(nNvi_g%{BoWJxo;;`wU|uynIqwPZt>6;X7X(?!w_Qh$;e z{i2Z24a!%9Yru9oN1@_Jm~(;*R=Bm{L`xW}XIF7bQHCQJQ@x8-21N_5qz_6&!tfV= ziSODwNxa!Xw+aSUWjHa6n^o=y$__#hkV6nWwn)aQ#)3lL3F8>87d-%dQb=|buf1A* zV%z-`UEhL`w8uphH%jHch4!209us$pXJdX(Xc2}>J$I}fq&B3D{aq-HNFxzOyb7#A zDw!ZDKUyr0c4N$pMkQ?~0%R;l$Uizujbti@YX*u}L|_nC587LVLMp&TiXb`9s*vjN zODTw1)=Sud5L7zxJ)1CxU!G`-U)~8LH^}Q}hG?>pl7ut3Cmb?Kfh2?{EtZeRAP+jY z=wE~*6zOEdm5>r(hp@77c2w+>#8cp-A?nu9PoDd)q+B@}y>$6GXj&{&L}RsZWT9?m z(1UHd(RLJ?4EuNn{4XqT=rmxBmCP|}&-K7w{b>=U(r`EG1hTQ{aD=$beq+3biB{og z`g|rce88Xc%uZs2mLCTCks_y%wJM`9xA>HC3O8pPq||?VvrDtfyNJAeYuR4^+H$#a^Eef-czKh#>^c#r=OVM4Rm} z4Pp}B*J+CHAOucSq=wNS@1EZ6t%fZDe!P#~-B?b3;rmPvcz0xPHr(;pvKGM8W!z~6 z{p~KjKEYwrFgXj&*KK(nk#f1S{itm@Sj-u1t&es3Iw9bDzraSLt2lPMS4#=Cv)h>Q z*82&638n1|7$Eq)V*#y4+v+)uCrs`>1!_aOK1f3T@`Xq(m!m4bN|9T}Rk1U-VX1F^ zu7=jzsRW1pV%C{N$19-#87_%&;khV_(Q3XeE9M{C0qzgIowGrEuTryHbv8RJ=^cC| zBg%Qmz|I0k+HM~$=`q(%-S({$xKb%fn9rYaRil7Z5JM?_u0@Hw2fC(T3l@`F#8^%# zi7M!+Fmh`d68Ltpw3CwFgXs~U7!oPEtCqZ5qj`CilWf2>*~!hWW#9Vy;Gwj}ORXtr ze7Hwa&g|vY7QccK^xQr0qF5ccrzk=uiyq%0Pn1`rHP)$~A`%daZXW)&18qEhz<@9yD zqOVrrrNEJALOE+SpXWG7H-rT_t#Re$&926=1lJ=i_c$G*Y^Hhv6HmD<)4Y8d0Hc z?j9It~LIdj}FyB#wD3j1LF`3dFU6FcqXn~7_*I=Vn_qq9o z21c^^p&c64tZFv8W6S%Z@$qu-AO$|^LY(Md?25Zd`IyCT;qvf!ID0T_xXnUZ*nbWDDuaz#xE6`! zLB;i7(NFGjvJ0%-`@zdjD%TY&@yOs`Deqe^5S{;hlaeJ zoGgv;5d58hy_)s}NL#uw)TD@-(&h52G&Xa?rOvLHXAI5n$sPmZ-&td%)dl3#$U?ZO zDD}dgMo1FU6vYKfkTXo>>bDujVP|Fi?I=QMy1pJUVV=^7sSr9v$G-(DWaw|2lr0 zSRz)!Z{Xrdf*3uWOS;W{ae&l2hUtgT5^*!6Cmzv9T-Rl8MtI2=Hm4e!8z0=AGfyEG~6xGP>#j@9m)nDpk^lM^1?FMrr#??|HrY;*{q_RahMy!);y($Fck?2VSrr zvKyl>&^}3L*tRK4hcxDDiZ;qm+0+%|AYR83e2cYSiZRs$a1@^ctOHMoK!Rv0HP)epoH9;JztxfkvrYbN9nedN@iNJd8pJ}OI^W*CkFoG`rTQs)cQ zWbN%Izp^7V%@Kr->@U#`A8U)Y>=#5D|A%^nm|nrEo2S^GJPi{k`&O>I=r#6Cnb(k@ ztWz_^QT*p#^3$JIG2xbe>L$Cy76iawIJ3dqyPCmk`PgbZ!B5Z~ta%^<7ej1)6o`TW zck~ShwUzHeC(lb~kX(WTD4L=p&5nw|9{Yt<{vc$N2Hys0Fecv#X#%=QUQkoaD9|-_ z4#)+ITBOsx-1X0SlN5%C*AV%q)#Lyg_w*z^NuateGLTC;A6OLi5;pTkr-GqZ2IUlpi5 z5+YK&50RWH!Nq4TFsZ*7<#@g)THnISAhfWO1u$z(jJfdB{yU&(wngwJB}#MN;qJD; zkW&^{e}ki|Yy(*Y^G`yV{2QTHI2>n8WTp=uRs|jbu6sRNrV`Pj3Q3EcCc~$XZdHrr z7nr8ZkiyPFb1xn6_j@6e)5*`+D2$V3S=+OY;o%~0tL&Ve6v(H}BAz|{-R6v7zq4($ z_=sjbx+7w$4HD+?^MgrAimc3iMTawUc<3?R($V^h4Aiwk=TfL^n<}SG``oxfB4zIg7CNeX(6ds!VHR@d0;f_xW@1;C4xUm_i@F}>aks4Kf;T&0AW-mn$ zx1Rlkpif5qtx_wU6!KRmZz}GZGw69>6`gqiEc#?6c23`OhUQtrgw)VX=wavlV$QEKq>GU}!_P@BwmvTfN7-beRGDx`(xmYihu|$lY?@ zP_5@Jxv9=q_fCwjIlg|hZ_*-c6=%TLv7TH#=grGa!c&8i?J}dQDS;^9%@B0^!1^f9 znU74l{P_S87SDu;&~+l);}Zxuy=Gu43Kv2s9~hfmPj_mn!}fmmi3LonA&P!0m49|d z4tC$R6^+i8P04Pxr-x&0*Jz`V4&KVfFJ!KuGAPG2iKcC6p<$pR=Yn75AmJgTEM~(2 z^xLx^?wO3ASBLDYFOYtw9|$=wel`I;XM5(lp;z=f1B=G@s#b#ZS?^{^w!M&-2JC&L)+&%#J{!&8-^Q^$g)M!nW5P4H^x)>H;U!4Q-`cpw4@(|;5U@wQOT*J z#k19T!Y?l~@v_@K_bY#3c>W766ObZQ&Cqon=aDbgY1*~9p&nhCFv#}0SYSE>s#J8T zKH{4Q(F~z08m+ZolE+rZNYEk(A9f?V%5Gi|ePtiNvJJD9$*1S<}gw z7+V+!+PUFt(SJ9vaIoOBGc)Kw(J7iZ*||6xnK=Eczr3TJk&=lsz80Oluqd6fiJSBH zCZcw>&i`8b|CG$riE{iy{Tcs5_&L7c|MS)Ur2wG+*D8j8ef-Al{~N;p2iX62g#V9p z;6E|=zao65e;?w%BmCdr2)`}vkjr=IhO?7O!@Jyp3dPdsW82n6?p%1nI#L(_PsAsW zMAGCfUM^B*A~q4DN9-qUj3z&1sAo#%@gSI817z&uE?~M_ao0fC!vf9&TfmXo!>a`D zG86h_2e-!_`AOeqaUFU$5?X+nh!x0{*~884_IhpqxzzA%f-57gH#LvGY~09tGS9rr zc5$6y*AgW+*Fx8m1?PdS4TO|{Be;*az{{Sf;+Yy6_KQ;AO?oYQa~fpS1BNWW*Q{`n zJ;OyCt$S5HfyPZvxO0}*dpx}!W`h>qnnSDj$h~%6*{eThEjd6eCCY7E%2nSIKDE*) zJ*2E+p!6})@XQKI4StyioS7?^G0Sdh`hF+JXzu+X7hgDs+y%S0qG9&rR|&A3ncQ?Y zr`!+NfqjBplMWoG;<%&{cOXKB>cIMh@vuj+@W`R{H^0Ha446A5--HaE=1ZG|!L5Z- zGA~cMK4^y6J=3X?YRrR_sAD?K*-*HXNBsj7`K^Y-%vJW}sE<4jCnY*6Tuv54-kD;F zD2+K0qLWFqv;1t?IMgexk1dvbI$h>ZzA87Z*GF%v(^nZgBeSgvp0#Q_)l3oA9&7I> z*9`^Hof5e-3b9o#6t?PKQ#95QUF~(a)G$uAR~K!@!MAe=tF7kr4?XMkGu6MYDyVAbu5un-Z4Ch} zKiaHyoW1FY%JG(BPFB>X5A$=1^jR_*t$xE+x=-m!%co;jGwkh@F6hI`y3XTv3+R@6 z>d9S%2j+X~&v03?9Z%d~IADjyiuNM5ilVv$lYc~D8H9>sER`@|&YKs1zR|&UTvbQ( za)t;i=1FJW%nPQo1eUydn(JWRBalg{6r-}akE~-%+N1G2#QcsrWPcj|!HN^@)C&Ei z#6vZ=hN(U9{G@sTdO2;mw|{YIaRUljOdt5?K1ZB|Gp~#MAziWW!#-kQ|0z^K!!7C= zA;dg}&zz@q;0-XaHjo3q zgl1|b&Q|B|rvLJ%#D$o49qmPhRYCd^JSi*hr;13*qdwtMYrW=RbtNt7>2d3^D&K*a z4&=oSVsCg(wsUA*adH^bSNo>**BP9)hT9Z(`HWFXG@F=B$H~m##Y^B} z<`!owOOkdq%e3v;ZkLYs`4PMtcV)qLRs6hp&SR^@#k=1&qp&C4k*?Z=kY zgC!-U&8yT-uA*7V>(C^Q%;qkb3!A?;^H;^C<;I9vQiYlC4ySIy; zf~svjQBCFQEIL3{s}2B38Kz+A)Ph zS*h3E)0AJkLaq4co9NzyNX7Nv^>7`c*#jG@@+=`+EH>}bD$pEvW{TOA<`tL5rl@^G z0Jx`a!~KC=OG*~vY40mEPxRMoxDmf8LX z8{g8h*URA^SQ%WftWV2fQ{6=^q03iC7bxG8%Px$n82#tL*f9G-8|PU}_EeB3-}Hue zkMN(b=LVfx->#M1?j#dm%d)!)O|m+j`8*B2v9(u)=^GXV&wlX+rC$ur>dRUAp{Szs zpznzZvSRK4j^(z4w^&HaeQh+3O_~GhrcCbQE!Oo)^HtZy3cy;*Mc-ArH=Sg%jZ}x*Ec?k}==azqg2!i#;K-;Y z!P`Fp(i4_`qeeFmy9)*a5X#mud3-?;_u(Hu${b=#PA0Y_Q{wqtqM`~C^1E+*!b(x8 zdMRaOIw=jk<*XYkZlVMbvSl&E)U|8G&Euu{;k$N_UhRoxMzhgi^V)%xM%?lj=BSt- zq3t139W!^&%Al;%HP!W3tA#D#+bIZOaAbZIw^UUcWn_vG;U0Kkg=D;V6nF-3k#KqU zSYUsunJkXU`mr-W>A(u(oXze$6~U}1BY3|qiah@?&cKnNt54^vd}M9I4YNY|^Y%%m zfla|!cm2@WVI#gDH}3`;tu~%rvR<=H}ro)yhYe_EYkF#hiJfGyk8X$^XK{h)b z)LQA~E#{)lb*X|-$CC@Rwfdm$!SP}hXYAPbzTR=IJ%UAPw?v_)M~Un1^bHkV*@utW zkX*8xsi6j^-2%lWn;J)HOWT!tz^uB+%+@|os%Bl04D~|2)A6o-hBFt8tL4!d*V&Q2 z>r9)BV`)D6h@UUjeFPA(sq?Zsg4C|f)v6edK0U$(x7moT`;{+UVYOPJ%ht6n|0~&O zH9EN#aVBM`l^!+@-sKL@Q&0Rx44ZjfluWy$Y7Jyy1ePg6fhy@VTG741!={<*b!dyW zD|!R==M>}wo+MSkzzXHsr7wQw{-6%!J1v3 zSO4}r;H#Q;#jWKIy%zTV?WNfk*F}l^ch&g*W}_pjxQE_>;t>5DAgHmHY{sPy?@~P> z)I{T18q`FS@*p(dJSh)0^9V-^yOm09M}Xte*m&i&k5y#FY;?%;kUIm?sQ#X{VYjB;_MO8jI6-m(q1!BTUDJ@Zn> zX7}{G_j=pB*OI3;LvFShvgv8|Wm#?mueA_yfucCMCAn9t8@i=y+uBjKNaJ85y?Kjw zkt|E`H}Hg49xbW1@`-ZCycWf_j1)R^3inOV$}<&Ifh&h)UAi$~{JK;~_0#uLc|%Z}9kQtr;@z2;Ho;^Vf>Y6f3us}i&P;4PsyZ_|*OJKeURK9vpEw=nA#yM|; z4(r<(TS-7B<}P|yr@xEbV@DV%P2j4fS&1#ythl*p;4isAcvu5$FYk-HX*@K}_Oj2a zyzVrf(`>b>Oj*toi|bU%gnC{r!!@^%KKYEh+bne#-FP0U3@y56Q_Bj+>bgNXW)%v( zN)L-dH!sWmZku=4Hq6t5Y%XpLn8@=eGA=eE-R;xc-c{tKl@r;b@LyTVxJ}_g$c<^5 zd#i%2UdS_(U(1Uv#fr^W6@XQ-D_fBdf1iSdt#gh?54nWzycdGYQ$qa}MOrwH5KQrm z%Gq}wY@`a3H<9z@pye~JnyG;0?+@Jf>I5D3NSALLwe-W%U>WzDmw`O$vjOcX+^pNc zs5CQWOq>;JcD#ESDSo-3aHJ!Nf>7q4?s)SX=xp4+^5a<8X`$Rama#b;`h4z+UU>~f z)*&ZP1w-i^gbEMh1ry&xy>3pvc?C>uByT@1WUj^IdK$G*@E)BxeKEKfyLD6j)|#U~ zx{n*Pn!g^RH3Ns-Lt2LB$Rg`~8bkBH%?Kh{Vd!tpOG-h6L8f^~T^(95wb{KmkLd?u zX5HxzPbbu2JE3{Acw`JqAhkI;wJ;w8Cti=Onk`VQGtG99Qu#k1`O%mJc#W;^JUZnt z$Y|&N*2tD|S2}_cu>G^7)Q|Q~LVF9?bCAMz(oWKykPwnE%23Oh6qWq<22(HO+6MRC z6`2DZhYdj;BS{80YYO57a*Z8>DX$xbj9@x?UC={4abfe%+jywKb-zBHl=C`Elg)1a;z=rS50~^EuaM0O z#9Kud-=}iDg+_}kW81%FsYsOwJca|kU}={J-nV3H(BDgc%J$7MwR3}idrCBc1+dWl z!X7%wR7IWER+$>^Cn~WdNmFXWRJaC(Gk7CQl}@V_hG&rp+@a=n1{;ny$XWsc*uo`@ z!Bq6dpr$k-Z;Kk8I?~>Yrt-*YAn!4qGj$YL6WG#7^Qq5OiW557e+C#_?H`;$&Bs>7;_{>3l4^nWnw|N zQaHd3IorV#vd|I9sO=5=?Mu!`4mDqy1R7pcpSS;2M<~s(Mv>#>Ly5~D=OGpNcO<+> zO2l-}6?xIB*c&`;VKq?FJzEE5S#%P!WuH?AjKz@>d4j-1T7MzNu9(n)&IJhIsTo@RLS;smpJ z$|-Lz>;e`_wi^d&O*55rx4}iS%nY|djHyMZ&)aCg?lV*Pvs7W;y$opV>jp zy=9<XlvF*r_v2oDtGH9KyHq%KP?A3tbvEE)R!x>c-2G{9&&1t=FfoY(!)JoQcNVc zhHqG!DY%M2%G@-}QK45J5vK;aR2nKe4>ti|_gj}0HN$s(x{4Dj59UfP+?KF;Wjcy{ zs{>SzTgNzFK<7tEq4}@&{HzWw(PP!Xp2X@PY=M(N9zhl(B3#u|nJpAD^Dgclrirp) zsNeyO)`{Q&w8M@;+H+2_!Uup0sr*N+Yj z0!#>ijG@V9>!s7da%CJ&7;3ARjS8*i&^hMv1+TQb(g%r<_qc zjre|04_-bvNa_cJ1ZHGbwZ1&M1HUyRR>shovJzo7;=rwTc}hSXVg4981c91*&ab;$ zTnP{+j!u2_`L&}|trVZt+=hXJutuTGHqFdYF2%gOiTc@<*k3Fh2G}9{E*y0TlrWT% z*F{wMpmIs5?h23X%5Kj;NA)cCmwvku7`cm}vx!%yr|uVAnI6t16#>(W9lY`rZr6hc z)n@Yzf_#X8vp}jL7Lwuinj`fls`wd&EbHTAFoF4sS|efKEzk{t*8%`}y%coQtvK8m zBPvNno(RzDZvg}z*fG2Y$WYm^BgodqZza|+1G>i<+Fc6VKdSBSp;AM%n^LebL@R$hwzMaa~yC)Lzfqn(Z~cY zO}jfkcj3=Srs(BZY$c6gMt1KLFZ9{%O_WS!+41lCnep!oB}OO4=I-AOvs2qr80}4p zm`@mW5f6Kl9VoII4-V9T5T&7HNKzrvB$iUKBpSsv z4Xvlb9{IN^m>ZtvqNZvNiBE9f|1dRmzz9q>WAVt`K|x&ABOI-DRKX$jD?3pfRp_o| zE;*+xMxw3G-KVZLbYuPrt|kQzcvoKF+X|Xy+qsh|rRkd>Vh*Q@=0OH`W5xDV&N&8h zMe-0E2FmnmT9{Ds@iS2anwaN*Ozb7CF)EKC6}WWs7uuWxA*(%Fb74)Y9a~q(z<@b2 zjBT%=5KT^mA(oN~i6@c-E68#5NkB)ew-R9}i`B^|F5k>AgcPGR7F?o4Zra8&4HYq5 zk;bl=fpoQB7js6Gg80Si?;8wz2`1rCXw9hN@mGhnPdOqCQd9N{} z{P^_zoqjiXAa0k4dnNAN;_|9Q+gMH=8)vIzgQMQ_Qi|~*d zXKyiNxBR~Av~Z)H#!kk}KE4+@WBxjZ92HxH&n}wYmW7`U|CF}lPLdwyt<(x10ZFYy z6Xo-*-M{t#NQQ^Ra46{vR(UlUD!+aV+`dmLu7!c_roaQ3(CrqLa&L%nJz8+#l<&(J zF1>{gYvYmJ_KgY-b#f$(99?Jl>}OZK-W9g?bYi~6@&K0PMO3cwM()A-0L+z>VY%W}%CTn??$r+FS2PFJv^OG+$wIghQP9Q!Je_kmNKCWRua z_sbzSOJyq{FUFVH812Vu^|m=kKPO zk>C4FE+gvMbaK;`k}LWjFdt->Gbdtw5+MpR5($Nd zi|-BJXpu(X{E1_%sM+4oVd6H3QQ`-jv!T_f6){XzI7CNA;ao1hsIj5Jwf)o|@v@kXA=r?|q% z>U#9!)gBXgMZt4r_S}oiwz0Lyv5D#V@UQYOv?(ldk4h#@!(wr6_&m(H=!zSAn|) z*5(s6#cZVkg-(MBn@HT_XCDo!WKRf7wWY6)JH}7g`YHVhr_hU=(1w6lltM*&3r%Xv zD;8!DmutH__-&;K@Wf9Aa;4U)K}x2TQ%vg&kkD9>lNODO1_fL)B=L?crA|Vpl-7!( z)J|qa{mBRhI3-o>LX%RO@G&$E07n~o_7P8wd-kpXEm9}=XKA+T7_d<7qNon!%Nnpy zJ3G^2!hqN58O2fDHc~SN$PK#UvUfe}BTQAXM?|n!>_wDz>`u^(s|pyVO+Y22S*v7n zU0ylJoU-3Yyl%*w#QRx$wdwT-4h zVuDd27^L~AvWgF?EE6t8olmPaL_;+G?XQwdtOAzEz$Dh{5@+u>>A^i6noFG!Y1I9m zPj_k2N3loOCoef77Y+~|K2`D?2Xw?)B$C$8Pk_X@`V(6xx6!r&WvkZ%v(a_o-VAPp zr~Y+c=_=^N-=S`ntItcm&|&oxOKw+#l$wsN7ajtik@ki`mv|!2a2YftWG5ixFb>d8;2lGdwBl&?m z9GNa89GZ*h3|7sT(=%>(cC17!0oo)v%AfK%vAB7K_?#ruVKMk30ho#jE^nyOR~Zs? z#4iO4eI_qc@-7i7Cn@HkzLdrx2A@`j4-*7V{2m8k6 z8_Zf)i;NFXoewyY)pP;C`E`QSupC9-^`K1EvH!Sx5jjs(LzxY7H-*zji{bW!dl$SV zhP)`)-!S4Mddh}uN2(hOp&Vrm?rtiduRQW*D5W0;?wyOCsB$rC5G^r{+lz3&p*eAl z7eUGz(zVd(haOVMoJh_zLzieN7!#f6jY0g%bIXt!SN{Oq!uqU3&V=Ql$)wXiwSVN_l}M%`#Rb(9btAzQe6_!)1JSo|m^ zU@6iZ5*4j4*{bQJ+@887JPCbzchb@#b8gT4u#3)+D8(I~Uh>`#O6nLAoJ?-|SrK`n z$vSh^+8sF(TaEJ3vBQp9;;Io5SpSfLGAcQWA{8T>z44FHxu@Gb(i{FX9YgbFm~4WwUo#irU<6gr`CF`cXVnWftH(kFY`8hKtrC?I%<707O3<) z3ziU~K1CB7cc^;dHI&eifw5CZr~W^=)DVsio#VtFi8l9cuS5rk$!rJ zFGAqlPu|}=3)2r=Y(~lmc_lV#L#X~ony_94MKJCd(dlT(WDS9%i0pLG-F-)fEuZZI z*wbtKR$b(mAbdU!4LLDc^&p_Irq#epl5R2$YrAj_Qgd+C`R179Q6O8-@NuHbeo$D9 z+&C)rNMwnf+N(lvt6Iq;KfQN?nK2xU)RGjCTTX)8HOM=97bFtC(&rK@#-2_~+YX^c z6iugZbV$LYfYYKmHbbT1LWHK$vfD2_R<8RUC&9Q$k85C=e#@xe&S4R@{fpoIy|*qQ zF1YFGga?s_z%-VL(9+L5OjTou_M>VAZ^ImQq<=R(^lV+#65cRJ- z;cFBMqN7i#PSJlKjjiy<=_+f@T!iwV;Fu-01A4SBKi>WhiRdVm3voV4VhcZfKJ)Pq zNx^)SO3A%fQ|0`y8fA=SQ2vD2xd*)!>2V;pbShb9nn*^~4ACQxy7Hu9b9rb=E5mK# zW`&nElWtJg_s>Il2!}?c%Mj)18H8|`6r+9riJWKOPYnHgNaTqanhA$&R8Mc+*3Ss1 zuNvvEqsl$d9$LBBiqPqeq3K=5dY%k=0#}psZ)nWdw4B{VbqvPx zB8F?b1|o`UKZcJr6x)IGGu2`mH^P)AU*zxRO91v6JNZP3!Aim}6z@*XhgQ^%%=COJ z;F*ZuUd|d6%Ct@IciwMy`gZ;qvpNLwfSMya49uE~4;%S0`_pU{(>T(to9B7IlMta?<Hsp-kt{=VW}P+jPU-sk%IH#KpK@W?;$fNVtcrx(G*Q}di^Q0IcX!`>WDq5-^#|C zp*3C9F?Vy}9Z!Jgz7n;i>C?Q?DRe8|@4HM>oO58~wAH@!W;r8Qj_~0`Gg%d9W|h92 zN@J(#vJ};Rh1U1MK+wK|lYjAI*HC9xj;yrf^cf^=Nuk zbyAA_EX&#~1eE2u&S_yS>|JLD=61fSqi6kK{C?kBH~ncHKM~U?@?{O9Oa=qbhIzKA zyos@U-vx7C*AD2`J6>L`&M_Ph32YIzkv@6L4rMoU;{`K6-|n#q@mt+gv(|!P7c;`f z<~V&$mSChIDa~5aadMCeOiAOEBj)qlaUgeKW)g6R5_wCo`z*_=#yOfQtR}l&+#72& zz)*GUtf}q}Sp2&H=dvc=tudXDZLzB?W)#-Sbe$i4DNew*56^bxdFf%YfCpkS`JjZOzO`v1{Ar|7WnT|N~$IRx^=>8}$n}CKuNa$BT z!J$QejD=gEjFW`?UcsfI0PRM5>$}8k9tc*c-&^K2(clCB)U}@tn8+zV*KO9vA$N9! z-Lf2bRj~mxI1Ws0E9bl`ZayB=cY>Pd?eyI`8w~47`YyKtL*rp~X-#9i1Csuy60+eZ zbD-+z?h2l!nvB5=Lo4afD?`)QsB#SEqjESZh>#yI@iF4`N4Ws&;81)Qdq<`VuM2pufPrTxsHfhTkP zVGasH_L&vH$f-1zmnLq;^iY$$l$$!x0BLoohlQ4M?GZhO-!uOK?J^yj8;rauP`YlqmHm)Ao-X42o zEYxl(HB%#V5oD=2%D4cQ)XcQP2@ORHYMh~{i)5d;q7by45<^H@Qj}me-p*J|R?LqU zqSOjELFt;F6V{|aNr8V7iv?j`4mH+lkswWcfT6tIi# zgB-@urc%TcbZo2haI&nxv6s@sHjRVK4IMAG9HJ?Uxs5LWoa8>dfBPKSq1 zMdSHQOuoe<+c(^GmA%`W^SLQth$@>6tH7PnV3f> z7lV3qQg1soPc}9_V6l*Bx+F;)(;OYswE)_`dN*mhoe#4-#+=4U($TqQpA8neU>F** zt2Lq@!a*F=8S?(K$9*SpkP;qy zs`{zh;M-&w3n|F_)_TU#>wc)SmjZSktfVzwg?-;(Zs&Vm5{7IvWRY9B#zIO0*RQRH zp&Ax33{X7MW(>%E^s2xJDvXCH9o<&0WH5pyvalzoWlh8$tV=PPL`wBCJk>w5ijNml z;PAk1Ghm0oa~`8dllZKC!CuwVFjv!KW^R2a>oy-pKCMQd97d2LIsji~&Z}&Rssub} zaN)VX)G;l!?D^*XUDA{2w%sHyc{mDDAU~bYE}6)P?l!xKkQ};Uib>ZuB1it(8o_N^~XbmI`%X)8;;nXQ;ct<(er({niBb z+v;L>I858-3M^?s1aZUj9QWxhLiWY|C0<9ie0H*1jj#F7&)*+f;O6Xa;1$Upv+dL_c`Ut%^K~2>B*SoxQ-mYt0s^RMLy+c#-yb(w!>M6XKp9=k5N&s@dIn zKfT`Wp@FPN4t-~{ZE%o|$U-V+K~@jMA2($)nLIn-9XIj_L)&;dtW-|BPtLsHJkoGv zwYKPlKg!Q=s2-}5^9WaZ5D4YQTPj=NN}b%BDaU?Xf`q{&(bZm~+Xm!PAyrCTe|N^)EA@<|5Qa0t4&e&(KX zgQtBe90W`)&zkm{R=hK?l|(;252Y$jSF7GWMjM>CP`$RBtw+ZdV`W_CHt}?6pS#&~ zRq2*%bRJwp4Q_I(0<5)CFIBjj<2jot&ncBXA7HBZ>u7;0oZJVo?`{>oEtcHn&MCiK zpL?bej2-?@jpo1fh5slK8R(hl>Hnullj$ER<9`H-|9^-y|Lx}VEwucP&k_^k{}O}$ zyGZljn$`a<(p0mtTNjQ0tk&xTLE@KXX6{(=N&|V6+I`*?LNcSNa_V*Gi!>{Z`%N;n zTu`_4bXJpLtd^joGKo6|=?eceR2!nQ@bLOqX-g-I$D0;ep(t3ox_Y{vSW5WTAOl52 zGf|?byivM3n22ep&#~Eb!j4ro^*j{!L}X}$thGWi;P0}BDRX1r(siY>`ozV95$*~h&nmX-#XL(3ww@rzb`8j^RwqQc>HM}r`wPRY*nS_7cr zN_sl1P_|+YMR`$!EC;=;Om@pEX#${NmUgLCzFD=P^`=zyz0@jIOF}&;Jd`CDNEV*` zYDSQ<(6l2+{9Jw5ILo^dRxET`N(^>THGsR9%Wl#xHUZ-!8O_j4wRRmR-7i<37f@-N{CmXXp&^%#TPCYP6j(0j z>5Oz(hhEOKS%RDA?t2MXk+Wc&6QbgMe(chTGM|PFa{<5?lGta@o*;I@E8(&zkkCtP!h zb6O%vrECRN$i`Z$bJ*rbQyn8*#X+MsD7kc@Z5#?XRDzFta8%3Mu;G;R zklesM#9WX&dlx5%5tk~v*45XaWUIwOIFlrXKy)$;$)qC!0F>5_DK?f z$e&QbyBIGT9v<=QAJg|4WYQtQtk{BB_a3MT#pjL8u->zc!VkRHfr9&!p0+=R9WXhV zjM9wEy9BZ2T8|EpY-;KrI7yR)y9+pJl>_((qjK1)*9h07l>QEY1+_{gk7~eSSiEeR;lW6E1H!*lDG$qC) zv+{lDPyydnNpI-T`(-+M{`ra3@+L89`}Cx@yfMvF);?MpZmamERBSF5Y8P41I6Et5 zy(rA!wUeJ)70qi{!OL`Z8DCF3SN|9-r6tg{C1M)!B;!YuTdgPs9o4fVBPGCTD`qGn z_AP@VfN51pv{3S@+?U|kC%mc#dBY*=&$Q+*YTNjMP1YD-uUtWc2&!uj3+DrwU~i#SC`HQ6e{S!sVR^SA5~6xvx9KhMD?3EE_L5HNw*`+M)a--aQZUMW9@Iyi zpP}!YPlMg~an|d(xg#(FPXoCy?CdphXMw`uQad{J8xV0cdU;`GT?%V50Bwcz2Df6F z1~tU2TUstgX9A9W3O3{s*SQDc`6o25*fzt(i9IscloNmIVLA^od!VEio{HEhC#aPM z#gPU5%6nI0d#c@RAa%2K5?}2PB+kd}nMh&0=K7D=bzBE3`!i4w5*|$4bo~ zuL^$13(N+28@%BcLF$;BRdBysI-&h1Ti5J$7&W*ER)ci#rFnwZp}l9f75!&;%YTB@ zUZMKmdz#ncIJ9PpCU$B-af@Cgx`R7ZIn=3L9Qy5vgKL?BpIDXkxD?ioy>Qe9y!3`0 zv=8Wy>LDy#)D9gB!0Eolan6>CwpW7@O(jskbt$* zCCSw(QL$(gNgLPw4c2Lk3H+xOH~n_9_j;!-0PPcw%v@|{mpqY#jmMU^oj*Zln*4jf zVL9_dNmo!=Wku{^{XpgL;JCzr5sX`Blv^r^=rN)$&kl^H=pAyrEXfS7gAfz=Z0B;K z$b#9N{&-5Q6;-MMgj1rqocMOnfq)WpW0}!SKtY<1sKJCpMH}Ygl%^$#%rOJPs3X|{ zYpYG!V51OuZ-tQu>9>pYy|x&Nh0gCk1;XVSl53b#Q!s2Y-^M%3SFK9Ml%hN={I5ia zndyI!Er!t`4JNjuS4x%q221iI3CN;_xDf>F@=$#)9}UW29NtgdMB-7w2H-nAl{uc5 zu}GiTXO(crMGMIDpq{P)t38I%Nuey7 zWjov9A?eJeG8XwP*?}C8-WC+Nev>ock_vc>S@{vqm#|T#9h-gaW$DL;!|NtD0jI%e zZn-dJVF7gerIgm(7DR05@Kj34Iucu}K`v)Ku#}rY>Hnbaoq{}xx^~ZUb=kIU+qP}n zc2$?VY}>YN<6pLIyQkl8;+zu`^UaC5o0zM;BQG*DGIH-+xt{f`-{8>&)2DE;SviDzoNMk1=0BhtxEPlJcd2oTAuzuZM|2!FD7;;-+e;u_Qcrf)PQOl8&mb|^p0aIde?h4ErtB3CB;2rNTaCC5{%T?Y4 z7~n1YT|^%sOwpBPV9bB)N_BNqOr<*(#^7BxK>KRdA<;a}?`O`X0#uPLhXB$m3iWv7 z#Jwwg4YMZe)oPk2__kg>$R+vW6MOM1)=1*Zh$TjOHMQr-x=1f%;*@98LVp5RKC41q zr$jI2c(6v)J4>GsIlcB%N2CbpnOLuKstxmPTzJ|;N7z>v-@5qZvte`{Ig@uDV16i# zy>K7az2RCnGjHu1|`!Y29dyr60BWM$pY)u?oh(O=i@W%_*Z(vZ@#Gi~GGZ z^43f~KHcTheSo3(*h@32ZZ{!zoLv!VE zrIrhQjv4SeD{%yi56feGlS|eKyuOYsq$NV!J}g$rY%tQ(9H%AHHM6iF8lH+XT0&Lq zADf^J{u?Bgtw4`QUU&&wFQ5B^F~S9Fao<|N1-H6&%j79ID~`BcRtlf_cWSii5Uy?0 z#BcyKDSv&YJ&1L>b)GoJdy#eZD=|b5JxHo<&KAh=`5rYYY`QlNSb*<X8RdFfsHv7h+BwWgQ2N9gR9QC} zH838+DpxId2Uq4O?bJqj9^^`~@N$2S-;=0eLzK)|qgshU3TfES&Xy|O0>+Sd#`lk? zYd(dfy7l%3EED8k#dQ0u5QblVhG!!GKEAixq`&8C!}1Pa=wfK~YU zchEx<9$FFy$xki(hvhFD^bA=e?j-7$zsaA;D#r}Ywzol*;r9cur%|0dlie^|{w7bB zQXylTe0@+JV{EK<2)Sdg$n#UB0qUWqT?mlwrsQoxeb;mMqMLkUt~3Kw_di8EBMRfu zmVgthOP@*c5{sN*Ej*X)vq1CACQh%&6|=YUa&bHF;ITG1aE{KPrCKf_xZDOT^3%N; z^^`)ANvhsP3n0TW+X;2}^WlkBniCzdbLGvVm_^NCf56IN=LF4lSHjHr%+a~b(Z#e^ zXPs`{v-Zx($DE=i*tkEd1rItuSKm!O^7B{ zsWxNprsK|xFa*h5;uI-k>`>0|x9RgCB%f)Nn`-FuEd(04JmyeTfhP&CO#}|d*x}ra zo+c>8F(7zdN8~b6sX-~`cNR>>$I5_?iEQCeoVIS2Bsz%j6_m!O3FcD@T-SU;-GS;o z;vU!fx435|bkTs(WzWIqN0!?VDG4Q)$?&1JsMVAXFk$M=YWkpgIaLqBCU!{G!UORz zb}kr=G5p3r|IuAzbA0G1SZ`mP6rl-HbF{3fHAUp@`b4Bcj1n{EIMhak9&7mo38eGx z-eIF}k_3U>+t=t&woI>fvJX$TjT#V;a$TwtWTpFKA*8cI0#4Q z)jtljs?61PNIvt(WPQ7iQ$*Tc2zQnFI?)ybC%5D;jQ00O>kq#8i<(UH8XYoE{r>xU zHm3J!pr5p2xyf`8l79er>%csusdsd=MciCwzKCdhzoI&)2M#-xD&_q2=~@LSG^S!T z##^3}98hj9A>B=F&Y2Th`U=z-#HExeDBlQL6n0%C2h7n7f37$3F$W}hSko?mophX8 zxG?2Ofx2z^XBrEJK8_QGq~-a-(~{V^F|v{$XM=>d1;1OM_Sa?X;>JS9>C7jOZRw%M zp*g*GV~bU*?!MI?6i`r+)k+QOKgQ;VgC}KHs^WfF+Ausq+F8@|v1!j}0Wckjx*kw3 zy)Z(s23YwviHg&&Fcf)5!usDg`UUe&7qHuh5nK?A_(tZ1)l+oKkLXMUOEZ};a+2CL zVi2XF6N${2I{{yj^YqG}&%45vAwJA$VNNj|Aw_=$i_ETHtD_(B64y5tllhhIG+s5$ zTP&Ckp^@epiQaVKHZaqmcj}N5?;ei|=JVxtUs`0W{<5zviKcq}OA=(d< z-7TZ`Q3FTToZy8@k*>IS!ugOp#e^BdI_}b>&-}WY$VYdX_T_8JhD2j#bN&5CS;$!A zYLbLqI`$J2QosXcRF5&nduV7>v~0c1wnLL%OO~mtrx_?oZPo|o0^la7NQJcnml4{F zZN|Fyx_gFIUqgs4fM77K*IsiFS$7x5Jd}KL+MXJ=Z+Z9L@<{Og zaqemZ%>4k>f8jk#OYxH?fHL%8sx33~?rm7V~TvLTN>!J~NM-tM4 z?dFrF?TL!cNc%nJ4Bw`HMM9l2Z5$D32K>@E_N)QWdD2pB0Dg*)?=fxSbxpC0wec8a z=8ygeg-4u-;t6Ru8F#TX?opjOb@j=%|guU*cCrQsqd65`E z|J$ChxhHa>e`mnQkSXWPGVs^c8ur{sH}~-HW2+My$q~6ssJ@2c0L*O7`Zw-FAR+QB zdPCBn3Z6Jbv^jsSCeG!FW4+5wEGZ|(2{{31zE1?C;B>o$;wXv={>B)+`3nQ zsI}%e_b`jECD}0XSorTQF~VKn2hUD?HwYpH&4{0IR>?Oa!Y}(u0j4m@)``*w$1Rue z%#gFW;XjCiZ0TQPCW@Y&*^DPUvpkz9gJpBk#VbYex_WtZrbID^FhqdvzKcX5$-wv} zymB&WKI5EWw6~&DE7=nBvs~LlG?C9)vaIh9~<3$fB;Xmw~$RJgp+*_zZ(`5ZQ@bAkxB z^_pD+$$18Y_R;zzDzWR_x)k!rILk3pRGstlweV3?>zaVNc*@IPF2O!lIvQqf>IPtyqDw&do1Yr?B ztv{ByOSxL751Uqz#P)v1=}9@ajQ?E6U5e-mZM-Qi9Y**Vmc7x-6e6eWDWe?aNEA8- zpITTy-zR7#)>GGS;-rEAdajYh8gMFAHUlk!LW1)>L|GsR4OvaUeTHTTpH{FT$nUy& z;7mieULfoesbtCfj`IDaJjYZ}EuU2S|G_9Md%)rX3;4#OsQ%S}I{Ttgs^bFXa2GZG zYTjvq)btB-+GLqw0u1n=r*zw~a5P19k}!I;&h!M zE0Ky3RPRD_YOzU|Xp>!b-qO(Q@t3*r`F=eojJL*XrKFmKkwVirpC`1$!JSv0^oFn) zkSILpSd633JfNd_l*Vin;mEGA$)>Lv|G)>0GzniLcX%!q+`^oFhE`9i zE>8$!x@$2Z63GUl8Sgp3Ued*7cX>)%`DLUmL$)?(Ha@#IBCCMrO z$%}h9``q5%jyjrHtC=BJ)J)4A0$vuOcY#!CM~>d-={L+cVa9}P2;9k58CZu<2lPhs z;EwiqGRZi=-?!v&(ZGwkDqIB#B4Ml=s`blJgt{ma_vuFMmsyN29~fC*7+HI%IxA69%29VYiLNIlz7fjy`LI4xIftYt&M*ZjKKI&e2QeebZ&9Y$%NXijB+$@Aa# zk1p?wZD>{H9w|VSi6t!WU{2!7n4i_e>>;T&MUvjJ0EQD5Nv& zHGKL-L}zg7=D*+QYff>8aKNv;vOa+vL}@p48EJsL3+grBtM21zusy)S<)&fUjgq^^ zSV7r1;QIX0*!4d3BUT1|&~qgRO`RMPi;Ct^fI_4U>Q?>E!sqwzHO_y_E;Ido4)RsB zQ8s4jSxf)?U8XVNk-L1by%TW|?3Dk@(XG^(rB&|`x3 z+1D&bqM5Ea6Vh_AgZZN_P9T~BHI04!_kv*J_^nD=-UT-zp+?2XH1ypYvhxFYov%uk zyG23#YXzl`uj>Z2S+L-bh{v6U`9T-n_j=i2vD9B8ABky^Z-XAdq95(Fk2=B+rZeYP zjjnZP9}G_Z)ld8l+~XMb1=#LI12WhG1sO*$F`WdLk{;AYaryq`wd$Jbuxs3pjv4tv zXNGgRu9ExA)9FzFFjp4h^?##a{3rSTAE|Mbe z8UVra-vb~Va5+-_Zw-{{Uoa~)4|P09c@?{yr7Ubr3lx9vTYmx`p?B2 z88vi~@i-@H{emz2i3&gUKg3AuDx2Fj1$4KcKRnOMnl}Qjv#hjE$mFStx#ZI@06Wv< zv|O@jyRr)Kd2(q2S9?>P?Xm{+=P<}zHh6y0GVkUh@8}YZ$7Fs!AG~tz86x6jiFqt% zz{Yv9&KG5F@@gU>*f){31c%%tbuit)uyvK17`#)SWFOk6csh77Nb1kIb$dw3i@}i9fr%`Ll?eY z9Zi4$k;N}YEZ7AGHaC#LtZii4VX3|6oBB@>msxo)c_h%zVk|K7HsvR-WoJp+JF^Aq zYNB#O;sk}TrrT$%!uUdXFNvoYoRR9{2i3ESGz*f2*HB6>0uHQ{>A}3-3gl>bfi#BY z-dhP9e{1Yy1%scD7U!>-{?{?3q05D>*Ot_%%U64dhn|=wqSdP5x<4mEr-cTZ(ItQJ zfBU^!KaFiBWpDK4?e=}V$jVZ0uht}?nlB%;ybj=H@4#vI@VnF?f3t49Zb!26S~D4V z2}FHZ9X%YTlYTC^2<(tuWi43j?%GTG<7dBpW1&?Q_vJdT_cs3I0dfT}>KE_~`nc3v zBql#+ET=Wm3y6_D1xuO~k#Q`UH6U3-$$g zh)TTx)t$$`(a;?eke~Gqxu@c;{Zdg|c}aBMWd3<}g>OgR$qG6dL%HEcYIo{i`uZ@d z-eEe_UPQ2auWqmELWc> zs6O^scgpKt=jAe7W3fn+JsG>}fOApa`ypFN8BalH+KS@PO2rh5?2!oom5=>77^_nu z;HUI|}`JDjmvF_`FMi#=B2}H9tHc;W3kH;1s>(AHW9wRe{yW?<^pC4LM~W93Im{vFFE@y2QvDIbZb8gR=$2m&d0$NZB;K>;T!k<0LvTt+-3By@6a^ z5YL_gw3;q)Y(-Yi)D*7sz$!QlMnB$a6wUYNHbxri3^)KTnHA-S#P0xBC~ikZTsQfY z0NKY|uV?2S+q^m5lEFm}rQx^|j%@N@2FoXM;<8AaN zr_H(ZSGDr%(%Fz&T2mmr-^)QSQU0MC8{O8tho51z*f!%#%u29yDKkxB*ew!ac=_ir z$#J=pKOJ(5VqrPPpDznSA(f}d@8?Hm`32oNUa?D&yBydRKJ7`5L{DU@VY-8r> zNRf?C8uD1uG|ghdx6}{yY|)Fm(!N+{c2URxN)}@d0RFI&^F<2>Tvbtki&@Za<%yEmv7FGTM9&1xoeFiXy}>ZB#+y(3(}HJpO$n9^SFJ5KXQQ5>SJBBf_M*D2SauU^&=Uci7kxNO8v9>97A&9PLp z&*+fv@!{UDUY8Duttpog)dP64chAf@yiqUKdNEnB1Ep!eRQFU9u+3-C>_!)ktJv=T znYvk6=nF4GIF{kK1pi#)B!=%8Yp5tP@{_Pi7jbDCwDg4R0knx|=@~y(E{fJ4p6Zc# zyg{g4;nG{kWgecWy5bnZZq!c7PUAbx&NSrf{_~u*y8635Qn<2^vQ(ehrSDo!FBUxG z0c=pqZnYFh`3ND|=TB(Mj}%J4361l~ zmsALdUklJ#*DP&h6&ueW8m=w_t=5h*WY$Il-*jpYU4@GqIIWIkwnw?4zA1!v(T^(g zY)QTP;e-h2;Q-d2k4!%!S{$+*uh(mZ@pHZ_a<-AXdR%C3Iv0#T?ZGtBGKF&sR-ZQ+ zadalu(PG*R0Uj9rdLF>IJ`eRBJ8u6q-*QVEv0RR6oP4@2VybMnA&^752GExfY=50^ z`R3y&LJ(~sn?@X!hv!dcp&f|A`O5X^D;$jN;Z$-^}fUzEzO zGpG>^(EMR_T5E1tLpIqQ8%&Map+P*yl|?r52UWQBVBF+)>@s;pw=}97rs~ zz^@Dt=e6WN)I=HkQN$DQMs#?OvaQ4lql5<|&*>fal8~0H5IY%!Oe`5WYlb%wEUSHk z^pslUHu0PaQH42Zyi!L=uWMPE=k#+OOJ{?{Z4553-<1p|?A42);j0n#u)&k(_9XyOMwgpXr` z2O~XwAFz2L+IKGI^eDKbz}HhO+HVD_qQ859nog$WLqj~4Zff3((4ioHKd6E9yWQ(7 zDnW<=UdXZFfsE1&7fs-{y3$o1{+dJjBUvU3U%mRR-W|8;+Rx3^uGe(%4Gr_bfa2k( zl2|K-3a43{gTm+mvD!DFNn@u;x*URa8=r$V1IDq0vWLaxGifK?y00B&ir&1=zhA4z z=`__MGwQl2i<8p4HUmvx$1Wx6<}Lca5<(Sha{`!-UO*jN{=Sjww$vh=0KZDPy2)u! z&`CbFGlxQfqe8yq%p4w1_~siq$L%<=3%ufQl%&xwoqHD#>El^NYW$41DauUC$xzN{ zPD|Wl`S3=B}T#18eOM<#YAq|$hfbb)7t z1SFgB?E_g2UeKP4x49M}LKaUH@tyI?({v*@1|J(jK|W7Z;X^y%Sh&7jA7)frp*)U^ zI?A#&6_$5#1-TdSw8Wbpe9Ia&DuRl)r1@6jVM!A;hr~ct1n#4Mf;2X7q8Wpj#2!IK zz*{#*IXQ+OUVRS0W#gfaMB?$Mq1J6bo)<2R9Xjb$8N?=5!!5H{TYd;1{`^`kUU#i-+Cf59%*e0i$GJHX9+!GqTVP-HMSaGm^u?HJ={ z7g)two@H|^H%uxfq!O-UDJegcSAI%P2qF?TAq1&>MZ`nLF}6mCa5-ZlP~i|d6Ap)Bq>1)mWyPcFA>_g3mJ&y; znm4T}Zw+aZy>)V{aq&uZ#=sTA<27?LTtCtTa?fx?9535q13sy4AnB)DN`zs9-PRjd zffGT(1uIO;#Fvw4ghn^bBkq7!zv)D3lhE^9Y**bOor~plnVr8*`@bH8opMHrO&2|lyYyIdQ~yJDMf)ZppOx2@v6e(v|@3)@N4iUSS@OELl9 z>;YOx)|-x9rhd{Z`GMiIV9Ub4^(ix0Pp|nXx(s-e_0D|-q&oo{9T?G}2+h@MdJ#DOr!6*^OfZyNii^WG7#Y3FaxNYqMKdXPsS5JhSSkoQe;mvd^oZz4 zwD}aAmP<2FR{r$|)@GvHwS`R4%CMj(`C-h?ZgL5hlUa$=(``*?m;r`2+yzpJfv_3*U?OZL$8Bm_lpy)R``7V?SRj);@C9>@B7D9&ihn!XxSlSGJ z-(GDUl(G_7^eSsu_qKp;lABiYxu_sGq z(YTSfj$c~PM@~)UwjC9oZ2x*!YFb6;A@~g>bBUKq{K4xVjZK$;+l%+pfPa6Qng;IZ>VW$02iC9f9L2*s5vgu13Y82tw+c$Kfq}W?sZP8MH$>m zRs~V%i;d@iG|F(7z!>!AG`zmf8hpH}OEj}+gZ&KN7Cb@;{ta-CMv5)PMA{XW6EG=k zJQY&tSDnJKwG2mRAHopTFj$5(OAx8d@?FAq-PAYGEtQR~Ec(aWMK}UGF?3j)-bzjy zJ%J`?P8u^tYRlC?EjJ_Oz4`-Tj2%VoRWGIBKJ8XoP8!!lugmb9uZ)!!tB7oH9da@l z+|`jIz|dvoqH1d`;iVNX0cmS-MDH%YkLs&<+7jq;8uS}b1i+{NC0G6THFD#OW}Usa zQB;Qa;m(}+`=!kmeBR{!+>iKtPC2{|C);|8_B%XM@l%?luy)hl##4CSK7#{90;H+{ z24`(FWh+7sLkvmWeiUWoYtvwHdF;0{>P4yUU))lJhr&PI6`bI;p(3A& z<~WhWMh}GG$Ee0{L2KfJPv)17rrwiW!#mGwcU(;*F>K9vY*Z`zB}rkg+?GY^>NqOQ ztZyyl$(+xlciyz`Neg}-Tk3+K*~vSQAc8}>HrTE-eg zF*Nvl_y&H}+BwVZe%Kp+TOR?u$*(ErXOL@N_VC$1Be6d4+*ZByRkeh7`439{@@-lt z#h3XYzT+%;-rn4s@8u?T$?VV~vRt|A7Q0XuV@HitXiT1F$1c=L*0tS#&smO)E*@q$ zXFgWFf)?F=mZJwF%5UD?NJdTqX)@OaS0=ail(^@;zFI*z?8d0RT1g6U+_XFyz^R(B zq-Ui3$26CTHdEF@#Ol#bIiNFEI~Bj`F9OvoNvI0fx70=7 zy_XMyR-JsN_wTq(?FPFRf-M#W)XxWk1vtaP03Mmdjnv|N{gZ8joBp8*`M2AbnIqYL zlS}pKV_T+Uyx{YfWw1G6{+FpJg~oqB?RTg&ciQ>7y*tm-wH$4A9=B}>ye#_y$|MJe zgNHbWM<@`{H9a5<^x4!}Cv6mkJ@J%B6|Ld&{4KOqRI7vBv;KQ8)o!gvFb#T>bao-$mmAmC!Lo6B+ouX@=;Zk;1q>?& zThNHRP4EV^CK{gn;0T?=M58?;7q_x>>`b^A0?0M(^qlrbsM9rdmV#J&7NsM@E!tv2 zH*<)uo$F1+lmU{UDUMc+`!B&+cC_al!xG_qM*zwXrk1%e;L3L*LIf^ADx$9nMggG= zJL{Eo*1>(nPzA-ahvy2{-C%nItnBxISky|+B$BIjcrg+Sieq*{n$8)|;4+l>AuD_k zXBOd_X$%WFkg=rQ=!#XgqLr8ewfmae!&%{A!&zFWU(<>A>jy)ROJ*HyDw?-2zn}qR zuV=0WB!m$>k;E`RGI=Nx3+XpvEuKEnYdqgX8#=iv!a}Ds9A=F}dYCE-gy!#PW@(K^ zcmP5~ek@<9OK;aA1AQ24D^WgDG?8yGaI()B5DK$*^As14Nh+G91}TIciM*l(OIb;% zH^?0Kw4WRFWh?*FaqXS+aibxR3rHHm9`@vB)I>-MpY1Ps7>|Dq`7cPLFV2GlGFdTB z;JMY|i%(PN1vei^`6#9-1{_HwNZs^XL1CtT3wbN_o2V`q9OI9NhuN#k$4`>8`ch_v zC-%%Lq3og6WLehzimK~A`Vv$!6_h6{)tulToeqI+QX(Dlr1RF$&5t?y%LdJk8+YVQ zyRWl;tOaUikizNY34MgGC?-aKUnw;#zigCR47Z=U@W5^Lmou&hu4ebj8?(6|F#A~V zf9^Hi#d?i5QB+qek1b<@-nU-R2Ps0Gqpf?~?*1Cj!k*C>$y^<6J$L2pqJzH54Ilhe z=h9Vq0Vc7k%GqaPSAi>ET`?$xo(8!kN8nB4cjot0z_GAv0$DS_+Zpmw!0QvRRd?i1 zlOLBl_u+wVus-ltp>_)?>pQ_=Kljr)PzdFwfdQkl>*ZM5W2{&AZ1!d<58 zjbBi=0#Xgn$Y@A_4g1-l3Q2W*r6TJ>HnlmhP$8fIS#|0J*OXLUVHkynaX?e%Ku zr7!6z50{)hiL93WcfG)7#^(`7>^n2WR%h@yc}Bu1iY_f#2N1 z^GTk$_iHxI9P4*BjY2nIuA>%;D|6m$ilLp3bVlS@QXK{Ik@blC9?81}k+4x$PQyfq51DaoU+*$B&v%|LvDg z^>t5CnTaYe42pTNX~l$tBB z+h3iN*Br#FWq?Z9E zxIg`z&CZr4>h;%~*n71#z&9J%hIkO*Sah8%mbj=)pHc&BQvXs`+Ga%Mr=>R9Kq6z? zu5vJjtIa@FwZ-vQ#Cj^$-NRHQ|C$P_$$fTLg^e+OIT=}w%&+uBb>)I_zSLhz1^%08 zzLLT@A%<;vBhO03=t(HlE;>4CWBYVksW`1RP2N<_>IE3E#Ocj}q!+5o87cFnK8wn# zw3A?rQT}@zHJq)l&Z~gT9d1iKHonn&0nXTPJuka=+Bufd?Mv$c3PQxY%PQSFf4fvNI7&w+mwLk<7fH$oK zWLj3vTm_KnB%|?l3d@iKIB$`dBpwVt74#$K0{%!}gaVB<6)~pj{kqZbsSHwIlbu+s zIMkGm2#2p|Pfp7fmhuCW(G}&{ua#DJTF>H$p68eVq&`kFz7T4Et>owE^^KKS@Ho`k zw%&V;`&q?sA^at8FqAmVt}`UU$M~#c#YOHwO<}!!3ukRe#PBT>Uij`;!s&uB8xKCC zShqDQBk&QB9zdnKEo;YN!h+$yUGs3Us*+N+vXhHi1RFYQ%HL%joKCh|(=AeaZcQ5e z_OMr2L0}$Aixso1d6L~s+*wQuoVsq8p3{s=gizWx&UJLr~ z<}SE__O$nHuAEMmtK{d`hUz^29c{Ab%Y zYgp+13Jz?xZQU`VN9+bmkKaFsWgEjW@aL5)t+^FYM^z&-qL#QOgm>Vf4y zyomqdr2OBz2>+)8@&Dv#z(Gq!UTgeAJ+#63uhy-V#R6Wc({$-Q+8koc=9-hpt%|7` z{JBzNYg}EUZAYvE{Gb9c9KE=FOu6hVzKC-ipn?g3KnQyI`MiIm*^O->*Ry;1E;4J8 zX1=@3F*k%bim-LIv++5yYOj|VD^8xez1$zvTt4PV9?kHNejCW&!~BvWBK60He3heo zl`AtILU_A=uWCRNsUl}IzS^8vGm70hhxhy4pZVQq~T>l+B@y|~EIscktg z6OM|6rGPu(B7j@Q*#_ArcHaz+cj_;`*C=thD19~A!lAjO)mN3ZLtKx(wMRt#T%OOe zNjX5%w_MxAy+-7;-9=+oh;Ayjl=cqBi(?PMt;hL2##1);`YiK0HZzqRN6N>`vxY*h z-u)<>k*7-Z88K@@CBj4v2b$bZcb0T>ciJs=#@?$Rt*J170D^ohpMO_}pYBFhb?2{V zdBvpU?X^tfiqZi|_Cv53N$G{k6aUq8_2o?W#|5dF9Q&BYOA@PLi|f0TA@%5i1ogO{ zFCPsd$dzWEMhkm6yoDs7(0PG5-@jKn)vb33I#pDc#)X@?*`8Eo&Y;dXM5|}#;#rcr zUUln~KZni5?G8e}D|>LO;|$urzfHSt@-ZsF$v&I}ad7Nq5_11yI`M1`+bUnBJHMEU+YaUan_M>$c2|Qw1z7Nt0HWlBZKZ zDVNf_#w>+p$j97xlQysBGBJRKc@2lu;swcr=NlCCr(>j-wo<@}2=#jg+0J_fC7iiv z4VBM-uXX?}jEIStfPS$#E6>)j9!uvVs{hIh3xHDF@*}PhZX3E%ek4^jeLZ)eXZ!9w zr6}>P-d|L-3SFq=gSepz$Ku7dZWxI-8J0JTTZKnPXNmmBjR=+c9c;^<1>>m{wm{gQ zn2f`WYve+1geFpCk*o(s82EeOPaxXYxFL*bv6c|=aXp)?5IxHfpL#>Pt}O;Pf33|QLR$KF-pG~dJ;+dWa!(f5H%819 z3aU$!?aJ?dV%Wwr=l-HUOms441*%Bm^EP1EaHs9jzah&70iQ(cU9)P@=RLxRn1zepBfo-nld zbwEEYiHaquU>!ixQ;^#Z{HVkjSDfnQp z=6wBkUnZTvw!PJlk8hFRH=DNuWarkm8JgXry=G*jn+v+pmhbL5^ z_|zTkQ6Z@DEZP=ZuvxN4ik^R-nsUDL!`YZm`#`s3Fx^Tm`QzKLnCv8#>v5cP*p4gc zC=FL_4iPG)=Pw1SNO=V}f*eCl!X%SZQYdEUcMDntJA;h;JAlhAL>0*cPf)P*RzZ?X z#~ZS^w{}_NFMMInROJIYBPJBgn8rx!o+|rq%*Vzh{q=akP2(dSp1fvU8&b+NPo#sO z)X%E17ugGI!SRJs zpMMo{!JjN&e$y^z)6u$3D9+%MV~Pm(^Mt{g5S}wQM|ol?>j#C<_CBoH3gzkCgS#%8 zHLhoUm;|#u6}sh2X(X_zvY30wkGB=$E^l#D?M~GqAIpVZZj*mHgEfhIs9a}zJLkSD z9%OhiYn$Y@uC1@8`NU*jO2zyu?j6EBS~2nImiF;AJvVuVf0CO9UCN>`eB0Pevxsu8>k#$i1?3mE+dyh90jAJpI8TGDtWMxmC$To&r$lJD z2hJAK7XxBGgTB5&HLjpdRrzC;Ax&gv+ziWtFa|)aqL3X4(-9-w2(^&VwDgx&Xp&ce zLApU5dr9=~8(Z`;H7;Yh^}9b+`2-*Wvl+SE$we@lnBR#}i<9HxU$vmM8jGQYFt+|3 z=v0!ciQO07jFc|VC?^_9jGhw}Rkl^g3IZ4NoZsS(MjK9?s8V-woAjQ_t*vIMxrVKb zl-aB*Tk{(9wvT5L-xX#LaJsQ@rhn1nGU>qQ_Js|ay6<(#Zhb#wGd*@kgOh465><)d zb3Pca$ii|l^2e6B0=Qxx)aps=Ik}NIW;Pc0zXDkHdFpin+{u$_zpkqPZKhvgr-9}7 z&%rXeX1E=*Nk<&Z7ZvMgt>bIkEh;N`UO+x|NP)a-WmZDlC0JT=os`*Bb#a1`RE_8& z^6v~RN7PA*@#myLVT@4GDo`F#xq}6ShBaqCl~6Z-dOb3(`z#fQNx^ymj^gq4oGFfF z@o4*qHlbJfIlozcxzNapAL3rrr=Jaku>^zd|M|@mTKy|rlV*B1GEXR7hp3a2czb^I z1|H-$3UC0#I$~@+J8aYA@Gk*caX4?Vx`qgCUSt|pY$VB-t~Q`Djo1?5>g16gabC-4 z3baG5u?KV=Kh3`+v>8;tS-T<0VHM)f7ngW2Z%A}7@uhNHTg$0Nzm5BJuP~Uo<2DDc zpLTLp|J(y-w0@8g{*?iSLLf!OiB175>mXkTwjt7I>p z^*?h!hsoSg%mEf=*|P&7;e^0}JBeEr3^ZBZ!EV7 zWFHcg5Q95}2dmx<;>c@5uYmu2v9P;u8f-EplN6+M&dhl+1R>#Auw*_%5!p{#|?wia z$z}sK2yB8?Vq^-=1p*0h;?F`oV?XtCG?0}}0Oz^Y;7Xci^GCY7+hQju@V}~7@gNcn z$u>S#=|=cX8PP{N<{%Q*E~gPWKQt?LcPgIlAZ?;EBsjg7^r`2v{|Z58GAB7&KUT z2Ik1$*R;A&NPDBg;ya%AE1E<=SybBsj!K&`mA{tF(5bHvF@}cMrCjW3M$w~aH2_`ep@l!zghO$!Q#!14;N{&zXKmC>wXz(~ z>mj52H+>=M6SVI^#}XORX*8=J&ot!noMN6JagXxJPKAsAr_U?Nk>dBkzV>-nPP+lV zeo5qhZ>R;=G%a9;;D^>~hL54`jCuR|%B`6)`tu5BJohZOWS5$_<|XJTrX>0av>j3) z%Uxy`CK_6Hb~kZFy3N%(hE(NJqh@Cb61-*w(#z!AdRF_CsE+fpAlSGqQ{_(&=hKQ& z;FmHokA!3sypQ?Q(OHsus5s1&-r1@3(i66S-@!=4baX}c+{E*79bm_=*UvN0YFMuD zMnoHheo8gpd?cd`smX5kw*qhtCfSUO4?D7+k~HYL+K#i#giZ~ zi@8~{nlm|^{0J0AONY7K^(0!LHIR+|ov{OY$WkA^#MwVgZkWJ=Z)VbPpUn-W!9F{h z_a~P9eo3!aLg~eQ6s%{H4qBUsjct2*@v*Si-7P~!fWwM_Ty7FY9F1bv4gUU` z00{v_MbPX zGJ>0fH2XBdFPjdeGSr=^ts1Wfo6Kj2CFQT@K87|w^QHHjZ|W5ZHQ z3Ntnqbnoqk4+BnDzO8p%+XpKXuO`^atO)dy`mq`ps-c{@CzgG6>nwew9c!F@e0K0w zz9-?=dwj|ny+91iqLdQSsT0XQY zUWZIh-jLhvjBB9*7L^NWvA16`ZBsp%hBr+vXgYLP!r0WHwB2H^yWuC8%JUp6{B{}fV%S} zg;59SVnV0CMqj1UBHL*gR?JfmEIUISucG&bwk>c6)a-q9xq4=jH1;W0UmP^8eo3Q0 zVh3l3Z1|m3&)<_Ym1Hx)(Pl~P06=98zu#z{a>8Sjvbz3spaJ!vJYAA~8%9ginf~Ub z#T0ugUWhV_MvQ%0TgskUp&ECfW#Ap^8_iN7w7QnL$9yiIw?i z%eSvd8##>oX=HAS$ZFYQe6#MGT?ujbEuOti19gX$+Cg{48)=d(Oo8^v%}$aKXtTzy z$E#xP5cx3lvI6L*ON<^v=Q_1{o)>Ai8y;pvh`!_O*0UP9*|?Z;L!SCA6Bp0HG4+|v zR6tMy+%+pO2}+OUOeaz{YGUhbwCCcA^m6-i2*HY7rtSdr_8^DJ$P%>F)?LOVCS#|V z&Vw;=XQ`s^Kd=Luz}wa`DIkyLwl=jTD0lL^&eo@mua0*OdbQSV=OEg33?`-CsqT&F zHIb!8fQVTEy#xEbP2(|?6p!Wwf37<(^`wiHXg2Att}x}_!k0kHR*v~&-0Y2s>K*7| z$DKq+AD8nBuzG2SXxd+gMxAI>e!K)vXL~DJpW45a`K3mrz)vOzYSS&J_s(Q$zM&gU z_194&i;*Uoa5LiT(i1WI$!TH0Dw>|@me+iJd$rXkrX!tiL0I%kFUG=jtBQ1x zXsz`u!{u>VV&nclStQ?&G z;$STQMxp)*l>Yaqx&8+x_U{u@|C3pZA`R2NPRV({WfAt@B#0 z-Vs(LRU?7)w<)56*BzJaI2@ppN?)iPgO>5jbHR;cl;Pge`vU}g=gYYnNk}{V#8(O` z`*eRl%vttec+aW9?wLbZ)oqr+ZvJ2Fqtgj{V`quWmj-p86?5wTP6z9{tN~W%%J^ylFMIz0S-qi${5=jMz&xzfM z59Jewli!72Y2GX$$v7K%nWrDYFtbh@TbmP{6pLgN*BCSL09Rr{cdr18-UxOM0pt*4 zK!-!_YHHyiTW0><1F4zx)l`$*;_G9dW?EUP_;b72q}k-l)I(OLtb}IG@5p#TTb*oP z_@P~uI=;actK6!q?l@0#v52G8x;vE5xT~YgWvZ3wva4&@Uv6d{+iL`HGX-FrY@;|D zn!s5*6IM|riz24-Ys!6iqmM6XeO5$O@O1rygGx2Uo!xyhMSDM3?!M_H%3SlB^>gDr zs|K2(eeQSb=)O`s<41tjl0x1)%G%pRAcA9Z&Wq?*Hp%?u0KTnK z=dTTzu}{2nw)cw|GmFMDnaJ*XovPa-9gS%7Z2@Ewg+jtBPJ>L5$^EwMZdA)rd06r8 z!2$IQ?)NW24ui4}H2d%WaopA1MMrBUYyUPMpRd+HJAZhUg{%W~WVHY%xdZpKaCW%z zle|+5T$*RXt-#_)o;I?~S{moG90p?vvc2)OS_mVp0ZR3}^Be%ylbN?P&<-QCIWqOa zkoJsRICv00S3l>R{bsD@9BmBwE%t?tzWwCt-Q& zmQ#ki&(XUyw@^kqc#yaBZUSl$id3%EC%&6vw?}o!2Tl24HOXgsMUsFLAFtnR$Zz5UhHv^SFT6mgo@hEKWuSIT=UWIdl;E7QCRt4#t+0~ z;8<;6OOpv-Phed&k=xM7A0A1w+{sV`+88>{6m%m-uUhZ1GWwPLu-0KrzTmI@V$=o4 zLG4B$wtnEMDy;``kPezD-QpOW{@jbaCfhfouEaFF^wMeGu9NC%IdGx(z-6-hU}N)( zTZu3zH%5SWdctJ^FU1Y9I)kdBy3OYpaeYlr1d>=ge3bnoX&6cSgsrFRO*#RVG;R`yi0$=VJRCjtE_$h!#c+dxX^^9Bq}T+=km8tOfaFCNd` zae*YPc?Xmd(ZTTBcs6xY-(u@NxG1#8+8Kf&8xPtz&j`D^mXy-W{cb!tPVxueMS|vB z*QBs?s`N&;EwRk{>g|@iv4j=%rp^{{dOLfG$|T2XI(m*1>2>gyFXpV z>xn{djgauSZX!Q7-_rmpi(k(f3{zAh`|m+4h@DiN=sBoB%! zQut-%y=*gzL{4sv<4#kz(Q(O(leW2xk>TcJo$jJvoalviM*n2{ySh8U+r2UoudidK z`Bwxs86MNo288^X32b0*`>9WJwMmKwTTaI{?&|Q_`0eF3?!g(X7lF(>gnBl%1Nfy3 z4td!LCI7;}##>k^vhiWY$qn;FbA2(^Px6`SCm z)xpVE&&M;NZu4<+Ii)w1kMU>pa)hJ&;2V5e{n%(7shCIP?x%*`d8LGN*&h6MFw;I* z#BC^v{25@T=m4N%BxVkylyciJW|D3?q0P&n=gtQBNAye=sRsT}pJHz?Mq7{YCq4B^ zhKYOm#}7*~R;5iXV4M4)Rxv~onwX3X%n_b}Qun4E(m;AvGYvkfXNa!ktjm{y;mj01 zmbCFRMol`w`Zz_{`}km|gRw(Mml>(QRD@$py+YgIpzb(8Epyei3Y+av3j=qnK_~*S7Q5Hj5fIIx@7uKoeUh#$lPKhy_1T* zchJ49FZPjz(hPoLe$>!i!b``1IRcOmsg0Wb{JzJDpeg*=8om()ccQ*@M+e%Lb;ISI zpkte297(AS8b2&?Jwq(U@-11Z=B`Y!pBHa6XZe@Ow#LK>!@u~0hzQAV5%{IUAhE_} z&pXL?y!!!%S+mqaFy^s&6b5s@!vkZa!d@kf6fzOE7%fE#a{;Ciy@+ zn&(O(asm-uH=VN(pUZrASXxL^@ zEM=D%3lVkxFwIeBj%;G_|itDi3Tge0jEC zr_-yc^)8G6@QF@n*Zd6oMV1who#x`HXu_oElUz)gxf)n7S5kNC*g-1>yv5v@yBe;! zBZ?glI!FwN0dUNTzIc7fm`wO2m=s_Y=Hh?7wSE>fq@R6C83K<*raC*$KFJ~aTbz;4 zM^SCl_=m(TbnBA7zTW%3lZf#M-{#|u$VDu=4see#Ek4Y^dtQdtWuZ9*F`hCb{^9MQ zDKNA<*BV@HLESpk+D+{Re0++d-6};*oebQVVGgbQe)qs&_Vgc={XQ2jC4%%#1pMF<`}p zZQerKYPIYC1jlox$K}V zAL$T%XsfGhyS6H`WZO4aHU>V3iYkDK`Z|B*>|=TV!u}C;gAX>u_P6yDBWb}Sq?v44 zE{=^%v9r!&=~H^{me}el`X@aP<92Y4R3|}8d`g&QS@AJDa4t+j*+#Jh;)F%-RK{AG zPVXZy5#2Cw!=1t;|7Q$P!+GjD!~$l36#%~SJ1iTagOMELKGfzO1oH%Ffp-y-qXn&$ zCvNRun9NDC2^<~;h8N@ayx7Nna*~;)6kI6TezcGXH-tOSq=^jaJ@YODotUkV%en;* z6UV3ZtZ@rUj3e1-%UW*7B@K}PkY@gjtTCwYbLXQVB|$)p40-#p+wO7tXY~fk1N=ak zs>hprVE;sS`BK+3BtEgGseR7*XuP}_ZRQ?hOVJ~G24-r)=U`|Rt*k9+%p5r6`8@p% ze}6rBIOhzc%6879Ki$fxhNS0dqQEWYTE{hbpl>c>q5kExVZaIuh&{^49}$J(vE>N1 z*nnvWZ(S{&R~639+_&Qy3mxZ={~!YU1ov^luBS%?gWm|~HWF64emyL{U$-u<4Dx*x zW8Uj}b}?5%cAzCBWjXuSB)(tHL!Jqw$`4TqDW5HXY#~}hy;q~#aZ&H`w@6pq)pc6w z=L;P2BNLA$C}bTOd0|s!q2D7DVds0YZ8i@ZOD$UK-(q8RlOP~lJ(sDek{^dZ1#$W^(&qS*>>$>>yh9Aj= zi9`aFha@N|4;>?_O}-<`yRIM}{MUJaVFmPrge)-{4J;r(Z1+ry|C6`^|3iLJguxI*IUx16eX-TM-6TUPi@}%;mo+=8uQ~@K7AV{iQrccLDdip3f&XMg zeRJ8eDHF&Y-UW5|{k8hdrm)Kg?YE2d?^*o~K5ssm-qYAnRDWq>-j`f+Ul!O37 z*)`Z>dhIF!X588V7vgD~YY_POmW6j*9eJ+|LOW7p=s-dkU?HX&9>i@P)k zB3TnL`@0I5p>OT_6~mu_EzzAsVQ66d33->7qo#-fjo<)^3;k##HGkb6!1{7@T1L9ib%e00_pLj>Zqex-)nVxzGRm0k~;3)FT^Pv28g|(pmn(r z4AU$4n%s~mHEdsGnnZ1;h>tEZQC~5QxR^~R%4W^Jm|Q;+OBl{_ zgSL*85B41@_e$W(bSzj`4T#|oT860^XI-s(A*|aKa$Ny|3`{(6{OA?4NWJR9pRY3q zi`kUBI&(sBvQNaZCz9h38Jk^TOp#+KU~HcWwg(fpzWAMz7HC9KpnjuqI=Guy z>pN4CGC^{|T!9}?JWfxsoIv$i#dlfl?p zscB-7A`WHIv_aJK6r*H}ggvY*MrO(!6?kH+%EkNa8G~u*RIPE;bjuA`oCZ86Tu^hD zDK~%_+|b);-j!X#-1bdO!lT8qY&io80cbq}B(td|G~RRho6z6*jq5heegbz}tZ~?B z07MB7>?*3cyuig&%fi0e6hT!2YT z+hYA3{i#=W>YVTwxCZ>6%x7@}fb*r{_Svk|)f>alO z++;P_>bJk%X)(w|@n?RDOAF^n&PGUe%a#ezt{}eYy6jaWWI*OE+in@O0ow`h%<$bqn+oWAYH8vOq}D5(}WcO$ex7mdC3pq^Wa=V_|a1914c(gi=0S=;qi# zv{QIZDD3Yj19DO++`uM%XeBE{$hxKj*%SZZbsUN$MCPx98E7;;!1=NNZKWY=h1LJN z33EB*@$HKQjp<3N=>SN<;XI=#jF}ru&F~!XgR&sJo$H3giLbY0VM{z2e)k?3<;0)$ z8p=<&vX@Eay&oZ3g#7L5u1XON%zU_ebDu2JM#?Re38QyQvh!mqfoN_S(Ye>N7d)I^ zf<969gzmuj;P#ypZJT0Na z@!exU*APEkrP<>q`-gZU_4CqjVA4LOk(56hL_vao%Re`^Jw$=f>78ZIxTCQAE}N+D z`8s*OEsOMoK_-3gwG~S8P(dfJ&LBC$vcN*tVd!&CJkS*D1q)|5B6Z_4eV&f`WgPMt z&h(;S4;PVpBFIfs9B{vYAtpuh$x|YN))u~Kgw;5eWRhG;m1l0B%3?KTj9cDi1#d$EZ$&$-ZnNIpoYhQZ4hq=B zWlYtLxUZ}G6In^COw}EMfwi`?x>^*C6OmO)#JQ-S!~EkStDUpz9+>oQe|!De0d_@e za+^|_aNyB*TXugjwdn5Z%4o>E?0{tS;h0W9W!-^MQFb=5RT9;Xu{(U2M6R?&@FJiR zD1j@;*GgTXr>CQ&j?hrG53j{Br-1;^F$HUdYa>5 z3w2?pvu5S)l57QWGB`g$5QnRRoR{O2Fj_1j(TE;31yg@i+Wj=JJG;h0WJndURqfRq=;;aO%wxfYnB_fN7 zS4um2PbYBNZEy$6pP$kxA*PSuo52291WAI^s@JXLw$QDhDxKTBqIfVO9_l9aybR!-DY=HV4)QmqPHa_bpe2x-L$&{uNLH z8pt9n$$X}A%BGWzwYZ8BWX%q=xSVg#-bw!it99h)fIVurK-Zn&G}4RMu-BtFOwh=; zl#p`8m_>~drq=f<%uKb{CD?V$))htSpNJ&L4u#RPTAF!kPgn@JpA#^`?Eu-!*~sn1X}Q0umbgX zbuw}049P~=cgYF3CVl>qzdxrsLP(l3|`>_ZG;nv=$}2FpC@$>}Xd;vdygYn}g!HGqz8422%*0_D~8* z5GQR9NAaI1xp;7Kg=uu5cmi24f`6bJognbmHwK*g&G&lD)%`Q$rae$MsG#6CO@3b@ z97xMq!W?Xo4K1Ps)kByjWH(#2Ti_`LQDIjusL*pM8!rnyKpEa9>{->XURp!Wm=H-v zG6tZgZxQ_fr!?OI+3~s#6SY`#{hNm#uQ6`C#%02M*mScA1A;!~enS(|%K^sEl=oQ+ zBEE%4h)8^kAN6h&_yrt4S&emQf2?KC8x8i>Z2qhdM2{K(o5A@*ms1da)S`OR;wO0d>9+}ut3OnAoX--vzAa-4DE z9UFs`cHwFILX1&=#S5AV-=!8%XMa!<6B+}sZDC~c1k@}r_8JV1HO@zUr?EpO(hxF& zk3R$-*mK{>M)`^SKzjoZ=sg7=x$I~y$+z;6-aL9Dgck5@84M98FPcI_V0F3e$Y^n= zYz)3f=J73Q$3vq!-wM(VKqWTWiDvGV2wIDJ_KS9XV9D4(N?%_lA49U>Hix1zCN+O> zLs6CW7UqSRYTm!RPgZCXeGE-ykZ%>U)deRAth4$9z!KkQd3hV$B8PePbI3t z3ZG}kYl}CW(L0lm?V101Am_)6W%V=^!elQ}BAbFLe;DHc0$Ww8%V^kX5WNbZ!HvS$ zY>vOXHAz}@D@5$%LsCg)PDCw=#rxW!d;XQR+{pV*PE>h2H~J|)s>u$Zb-=aWY)vlv z_{5kd<-#lrGhU|x}X;}anUI@PEoi|Xd>6VteFG|PEZ-q$(w?gu=+ww4#WH{ z@{cr3{deu(&xEk68kVzDOg)i0{+zE(Ut>7;#{%M&ynnq);y|$6_PuDY7w$-@Gw^X-p@3M|i@|?%NuJnff7aoT@o0ju1;_cSHJ=7OZ=pCX)e|g|hWT3>( z3q}88FfwCQm{Ozo6!?@1QUbq>UHw_#6f4Y$!y&HP=X2Q>;We{{+N^oSVdc?q8I;q7 zzdu8Z?n(csR(`vWH5a^grg-{+Mz5cgC>Qblr)jJis+pOp(sA+|IZvSBh|7}B3$m_n zG^LPJ2_(he;@bjP5K1hP@JHITh5*pB{*64}lcqE+6k_a%>BWw&#<0z><5w&;mV_M& z;S$FV2$bLmQ|yUf#}jO)uv~Nz5}?WT6A)ewcb6^czmRRWlbw+8tNKtPwDX@SLMfJh zJYtwZ1jh>nM*V1EL|dhg-rs5sca=NV5XocwVTG;`v4lNat*XqWuOYm2==gj^=s^N# zUp_K7Sl1qDrLYmql>XzJep)lRqb=jO+o&?RApg*lhrI2)kqo`!EQ=iB>4I~x(ah|6 zR@_onvrLRmMKj@w zEc{+#V;3eLK5}^{J@3#428zTXV3zB*r|MGQ7$W#QJsb9DiI+IV&Rj%yY(Bw5kEtFw z9bFJ+v5xIuZhl7wi+ccoovB|QJ+AFYH6d6U2L6N11)kEJp#!qogYh0)i!z8z;3khe zbRV4H#cxn$85)R3b&LuEYHDGJ53h(Y6{vjqDMD%*X*4YiSOg&?yi|EZL^(~GlfOmd zecXZ*mJ-ZhEaX=*sC4RvCphlm4BSXn)sRs>c6taTf{Av*75K^zFMXNdDZlR*>tDcy`X!T0Z=ij-?wv43M{e?pL!f)S%$b!7+@l#!$@IN+RJ ze(lrP)un2_uqn?WF<9rq1HuRlW6Wa$?!SLmSqyN))^bz>R&!(UzluMc?dd$ER8ys_ zpYWRl120T_Q}F5lP5M;qt1Fd4`C#>5hj3VX^}5RDEE!7x&`cr)E|Kg~m?y>$_L1@J zoQ+ViRgG&K{X;j~z~oG@zut1NkaoNQ=AH$UNBdHFu181)j2^HO_>|!HfiZAKDVY5@ zm!GDd#Zu3wq3 z+#sE_L75FS-ssVb<*Os8Y2V{hwjQsV(V21S$EA-Z8rx=QsV9B~^W_b%dzJl`yk#1A zSH>fvApklB&x15eO4e=TIWY4MVc-U|_yCay29BuEhrjdPg4YP!7&&%eAE}e0vGpKL z(MHjqKQFT@F5i~SP18jeOh{!(tTs95gg#~mleM#%G;%X}>8V+87)c(Er;sSA&~0E= z7knbZ1?~u5iOYw~5tzYSSVx>;4j5PM4PlAFEU!*yz|x9DRZxzEdO>lz*>R3wFWwd( zP$lbhU)A|9zD~L_pWQ~QwJE=orl_vj@*TvAkh1<_lENPre=r-Hvzsd@o2}`;zn=X$ z4mD6ma@U<#)Je~%4w`3`ECSj!7?*ydc17g09-jgl*>=!+YeglTw^SO^nS-oN9yT9c zE%m3@=MLLexE{?n-t=yBKfngawi@f1v9Ufk>YSSsR=YfMl><2`t-_ATQZA1tWK;vo zGMb1cB$N}$a+(MSgK^$92fLyifeN7WLpp(ZZR zrU7U+B;+H468D}{WT>pI21drZ5ULdWVs{|5OufW*AUJlPl@a)Jmvb&}Qv6EaSb(1T zE)=(ef8;GRA&3d>(&jVrR?C{8h;6o29vPha&HO|KCMWwy8mwSoKw+~C6vLT>Yd3}0 zK9l?nMKpOv%Qh0}o{b<*_8c=MiyX%J_TCiIYL^Z%kh>_CSOI#SG*3j?tsPFmm(-jg z{f#01U+|oqK&iiwsx0CPevK!-wv*^fSQs%L&>dekSOf7a*3DxRI}PWe&%;My*APki zJ@G`*4O$FmCW<2B12NFGfPmVZ*Fl3+iQ7F^P_rzu6k5Pt&k`=eZ6S#t^4zF524{lBJS8gy|p>(*h6E85z-0Bm@T~(P&IU zfbJ73mx(87-`kQudn~kgzeXW~RfQ{AQPs-zcZAB=MWfP#66BbT;pCShxOJ!}q~WY> zKOs2zb2T#*F$hYWnYe3l8Z;IV{BWS!#ofV6Ic1ew$6(MDtgm6;Mx@^r#T^eJgpIRA zYeGyC@W!Zt5+^ws*aW)aQ4UP8X&4ok-h((7;k~F4e4S_CdsC?IWCKd-W`ZQ3fY2naX;?fBr@=o;$dFX^(W-c zq1y!Z$LAc1}oN5=>D2pIJG|5g^+M5+#G z75Y;ulgwT4uSegW^=W8*ieCv_bbhIP%H%cMSwfvmRSnm2hVJX#+qx5u9W=~l@{7e@ znZ>9_wZizb`xksF%%pCZhTc^0h-kJChQ?L@O<|G3ll=o0h&qf}}!P|6u0A5cU zj!C{znug^s#sKStPFAfZKVGzZ@~AfZVGI_7U?YU*hNR&e>gYbK@y$9I7O?hW=qDA<>tSwLH%Jn!6LSQ-M7h@)XWE?657pO$j&r8)p?ZkD4I`q!vPB%}0RG@Ny=fJwY z8bnJOjO8C;OODGaWJQ$=vc{SB4VB}b9jTnVCH`}OZCNuNga^Seo^ckn3R~O<(pv z+`V^dkD4j%;FK?yt_QBdz{hF5Za4xqx07_Gz=~xOK9>)d+7kX+MNaS+*Dn6mW-{KN%Eh&{MQ#MVMN%Z> z2THeuVmow`P4|P6kG!oNeOz$+c>NZs7X?i+2aqR2K7b6Sco~0h>k1_o&`)j@U3deH63Y+T}MrX9Kn-{xTz(1tLx-MODNcd)mHn8Y@dhlmH}%OK)wX!s-Nu(K~D#PnXkEbP&TC^ z)}fY7pGwa0x@GG=5-=nFM|E-;~^37jUO#FGBW=J^G_cNw<=HJiLOQ| zm=+_MPmh)aRnvI7pZmhx1>&qN%K7_?+;r5}Apx7YPXmf~@*fX^QdCIib9!+Qdd&qU ze|@R$6pa;|{qg3X4g*h^6a?0k;D;Fjj!7JHi0~(^rt|g5y&eaq+|}UFInOi@r)D<{ ziOOReg2Qo_6kN$Uan1}UQ$+DUsg6bCFBzE92O?ObP{xzUCQOX$>|E8;k8^aL#T4l6 z8b49yEf|ldh%k1{HwlXtffjH@e}wty!!tHsERgm$QEBBvtA)|&eBw*P!VCO2X!@UK zdsY@srvE_G|MUU;??Th81dRWlPNocuEE?!71J4TxF zby>W2T?GGL_{GhlhCXSw?A(^^=-{;Jd2>2`bXrY*G`=xD>eXtmM-z`C)F$@}zxyX{ zH*8F0x8wGdZdBy47Ow`m=%VZp{IADwGI|raP4fmRTIFYbk{E$ssS}cw z9g$wwYT~3`p!o5`{wV%$2efXuz;Wvl=fuc&8)bGdoTG!Rj)Fr84+=Xp$QYDBj8PXM zYcaS9Crqyb7$jiIkv=1!Tvt=!<;b(|?UZTVY?)qpdWt8eZ&z~UooGjyece}bx|yC< z7P2f#+;ESAZ?&x8Vw~;EPlaqJv)J!s?uEm>9!}ym`Gyaj5Yo}9+5AAKUhi=eEDELP zd&Ld}&JOX?#WwiJ%M~BVAN?TrHKCT~=kLjCZ-La_Zw<;G(o?0Dkzq=V4LXuj6pJxV zI@a&jW;GbY(XpfBwo`6VXTtA#W2etcP|tXV_yx)JyHI7@4ft{K-Q-TwK!aQ51!9hJd*?~_I^pjIpN z4=;@RqcKZ)g|}-YIHW9dVY;I<-i5-PQr6A@f5}VZw-PACp9>w{HZsJSOx`p;!nP8@ z#sY;$OB1i+yX@Xy2-*b2M1{5HYpn7K%qmi1&$WJN9lIgC0@eZ_aTffRFbQ-+oz$C7 zAGHo1QniS+X|V$YouQR8{~@Ef z2;;t{CR2(l4$Z`oEXUb5l6^>BBp-&8(;qFp@!$*Xmp&5>p^h58(!QGuLXwDgAmtuT zY(UbA-mYTg5`j{eEpTH;?eQn$G9iasGd}GE$X3{+wK2QgmWDmo+F9CHVDXG0Y}Nj! z?gbC58X~_}I_$T7#8;4w@kRadGnVH z5*+H35;?ru_%ip%ZI(Eh;>3!Qeei^n%3esW&pzdmWF7%Ln>a!R$7B5RJA}Rz19o@b z9{bjfjY_Mh%LcJBZCSxbKOwg@20ekcU!)pq4@W-v- zca*QEhxnGoD10nd&`A95!n%Z{|L@w&AWUV!G0jV>(0j`zm2wxTezueWxfAT6d=Rmaf-yJ-LO%hpXvNxS--27^Pe0ya~pI`Ua+1a^};nxjzhHdyi7ZZ z1o0zRo34j~;X~xu6WDNGth0k#HwxnPp@2@-XM-Jljb-WGz7pcI`W;L z7|yPa?cHwi4iHWtjP`yMxu}#mE5r8ILbjLGN$?L#7P1L4CgY{7c4)SRes7?EuMyP1)Oy4jrUWhbj$cd zew&NMKdd9qo;ataO?6G9cZb7-(jeEmrxVE*(r@FKGa<86HT$;U6mao&`>Hq_J=M(; zR3|0`;{74PE2@~Ru1Q9$!`&Ny&vHx6fAjB9&LP8r>#H)c4#$ln#Zs+w)?!QP5T>t^ z^|l7EW5-W2gs8F!bF(;bpB(LXwOZ)1KauO{Ws<-xLY*+B41CSKZ(u~#Cu@`yvcPFs z55E$bpxRr?o(bkWv$HQ632qs6{s~C z1}QYGB}8Ps!xMXX%$+TI1zl%E!5*y8Le>}b|#;ECrWbjpe}R*;~HtMdUFxRl6;rCH}O zJzx)(*uD%L;&nHPnB{K!@L+a0BN=6O(wvKZRyBb6qHI#uocD7qF6{6(8ZK-T#ym*# z6etc>u5hJ%DUQX7bvyfu+32J$=?%}F@vWohhGw7&@=rIl371?e;Rk0oHtV7O7d&-< zz{30Ykg`S5F57G%NC!6s*PMer-*8NK1ocxM$ZnfhH}O>{aW;p-I*BkNpUy zodT4nLG=|paBe)0J8|Vb5V3_pEj%)IT-$MB1GiJppo)_zNx}Kp!l}mtA3}q6ddulG zSTnlw5k(UZys-%MLGN4#g;g229L6DJsYE- z)qd3_WT{Lm*2V+>@V2%GlhgIGL2kTt+3#n{YEAs~EI+YW447WO&-=|V;ka>IF8eXNkmi<$Dj94twY(BV?#0uT2 zazb$BZW@>K)Djz}Ys&3+yQu|ho5eR?OS$M#Fj=##rrWF@)cS*58;&P~b&>4%l7fXV_3-$%W1uX}1{j$!0P3 z&XbIxWHujJQHj~twY=y8cg&uoSUxO*MxLHA3iL$OaeF=bD3&qt0GN@mVNfk0qyI__ z#yg`)SZuLAzaT8$?!qyWY$V^VGIaRFiwQSiU1{*QUEu!5KDCRhIm#$_Qh~mXbl((j zDkBOmeE~mXCm0MfIJjo_V>20|FP-C!d?_89;NDU z2dig+7~WdOIeJ;<^e=V;42jjo-t=3V22$dT;wlDJAEMICTO(NJ?>DgcZGGfMSCRNP zl5*K@rxFZpZH*z>j1K4<#&j72Lsb4zG}cLaEv6ht?~);cw=%?&3PR#>WRgO{5;h{F zr65cpp)^3i$u9gphZwI>c};npc0|9wIS<&Yv7X?CjsB4tzCA)(4DGf#dc6@x-oydl zdl;g0V!v5Tz-<#KUPvZ+A1A477QXxheOK@ zL~w4^rOM;=s7n-twQhgZ8Eq-Wtm^HL3MmDr3am2)R zUVmp}Sq60D^8BZ=tZ2FJH7b3?hg0LQ|5nKRjB5NAX+q0{Wj9v96qn{IZ>x1|Vkk2b zb197@vQQ!@dk+&Pg>{TUKBQwLp%9Ok z-~(}^_3Dx+`8Z!6q-AS}-r?Ydky7rFPo${#<83B@mx#=3)G( z2wo3PGJ88ci37(2%fS6OHdI-$jkF%?Vf`phTug^u=Yh#Ycyv19p^Y9@7iS*D#$6lX zB9=Zk32ew^Vq=1gpk81XUM9A_7x9&lVM1JyHUp9m_Q3WCiKlnflL5$91|iOU$M4AILFvK#x6BmxfDT!vxS735hh>A_tec zXX;D(U#i;J4HzhHbO+3PyVUUoe@Ib4j>j(|s4-LtrLE4|a3H!-pt4CJXNQgmmof~N zv9q|MN&22xRkHU%@+3gbx0_QV`v%kHw#1^rSrWTpul-J3lZXhdiKjp|*acu?0euSt zW<~oHi6RCP`@!PS(9KAyNXNw0xhi5?+DwTJ7!^d{w+yTTHbv4Q%R`wE(cmqJtLWFn z)n%cBdy0Ur&vBflQwn=g_EEj=1mDD zev+}fa>;ChxHAVM{tJ67Cj(T4%qB33;8}gpROefiYC;{vQqSy6@aH5c*@nR z*Q!m1SBE^MD_eSZj)uw8>?Sn+A^N!Q9{UW;VC-izFcRmOAj=&v7KE!2Qqnk)N5%rc z6B6NX*i_vkg3mQP52Iu;2o2$JYGv=w81dqp_TU!1aocJQ-0$s97abHJFEPm5U*MRt zGtW5TK*c@%;8{l$fqmE#DD=%fNeD77qQ_Zh-o!Q%<2tEJU#=MT^^bX)Pg6nAu!S{H zcfdk|&h4Exr-Kd+oZ0!!T25e#aO&h^2cBJ{_H|YvMsDka0;>>9^$UZ8>PJ( z*TtekzL{9FpbloXZ107FtB`7-=%R*%Rsq8P4ZG2hOQJ@(R?pnu+fk59fSnxLXJ-F~ z9l7uAe>+a?Ush@>Si?pHZPkyDX@qm>7eaQ7?O)H}(o~EFS3iGmc{)F(oXb^{6VUW0 z2YZamur5ju2Xyv|JOg71Sr%sfC;8sES(+8o=pjp_b!^>wsC=wd@qj{YW`~G3uY&B` zVgDG|5ej=w4o4L;&poRwz$HY)vTz zu{V4z8!)sLQ3cf)U`4nhazq&WXM0#lMN5b}acnRfQWC21Ky{v}|ElWuYgQtOwN?(e z6T1B>KdhNC;;`6&mz%GRhLwr_ZBEnBG9q7yiD-_R*{%knfbqtuaLh?lNx|As0}mDkTm8jliKGw^ zGFjuFTc?q$k;C)Htj$ZsjsNa~5mJ&~R40VpsFnFXs+HB=H=|s%bIc>m>Z)5yI)>N+ z#p1kH#0ivu^S~OF?4QS?T016bpjL^PD0Lsqmsk^gsBEggYGJ6Jm({47N zE<0ruQiybqT)g?jsol)cZ2lfSm16G1mm;(G=y6`YkCQ(QGDT+2TJm2&lC}RF>b3C` zqj-!NKq8ToKAAV3Lvb1Nc0vB7J{79OUSWxVj97TO`HS-unqa${zJy4SZcs-O?wZz% z(<3tgH=t&CwPhu+Om4D0)tVRevhEt(h)ZFLd#A)#J%Xzgon6Hy!&z2@eLi^{x}>={ z(Rq}lX!+yg^>(DEaeI=j7LS>v5uF&#(enpjab~Edy9FaEfz^YM_g&-*$KV6U-~q?r z1;^k9XBUo-3&DH$cZ^O~r|ccIunU~DTO7k&o`48YXHFbYr)wI{8!(1BEqdonfs+{L z`vsqrNi##JlD}q#X^~adaPMTo76S|yH&MVUZyk>7i{qX2T;JmWi9lL##nCPUi>+uN zdtD@}b60KGrvYZQS=U$c+wW|gU zMUvl6u%yD&Zkm>b;@akTtY({tT{yIpavy#xdRC+z+17lX0xbWDUR+>_b4jxxxiA?+W^qp@n1qc z{T=b3%J;)TG2D~n^U*#h$oooI()_^imo^xOd@*OZ${#-|)*Nh8V%zr{m`m4}$qN}E z?B4NuzM?nYL}l>NiqvWFf#!GJzaNC}U}h>^#1?`6#3j(_@Do-2skph-@rp&Q-B9b8 z=pxI0;!g2@>hXUH9ZmmgJmQ6yqXsGP#1=xHqXQ5wu{fDd2_NgfgC!;TRlE6A-`+#@ z8>>D%UIiuI0CQv#Ly5?G^dqUOlY_HZ|)6u)CFP%6q!`56^ zWR3|=cN+Z$P_i3%Mno{FLd9!gFvA2i;HDr?u-3rxaPq7lGc_E}2N^qXsm=GG1d;r< z6d*&X(_Hs=g4jgj&>neoOz-ri z5R=n~rHfZehDP9~W!gr#~gFK@KU9bF$rDU*T(dr18s=DsmTl& z-4yKJKp9$TNuywNir@ySVO{E!qxyl}Gj3HsUrDE?Nqcz#S zWob3?Sjm7i((&Uz@t`H=SPo-#_%0lBOm%|Rn5EyUH1(sIc8*eTMzlyLB3U|;w9Peoe1^2T;e)wMgI{fer0g4Kcd2m zn;jhHf`%2(Jk2e+%XxAbT_}#oSp1$>JKk_f{m^hRW{yR~N~s;lX$nqZ*`g?|m6w5_ z>k7T~U4a4|6iJ{m>`94mg`s_<&Tx&Od>VFZv*4wpbzP%?g6$rj30(j9XO@H+lEIk4$e<9*Nw* zi5h-?)`jUZS=lUBf>hAp+wG@sUDdk9!mD(^cqtgV*%~)-j2~xQm8fRav$ZOaCaEm5 zBjikvj<7&M&ry*w;Y`$;+by>Sas1leyyh3^7V-*6k9v{?EmnMwAZV~Jp}%Zsnq*J{TPa2`ds2_x6}qB=~=tE#3m4Zl_j zN7QPwy_m|=XnoFlXU0WO_nZ_vG7yA-X=$n|wpgTF!&-6xe|?6vPpIDvGbzJrKrX44 zSSO7k2~UDkyzhutEG7U`ocKg7^V^jZm>e%$h-=4}6Ka>Vh7k!dnGmEF`M3WKqatE9 zoHpIes=G~v^yui<*le@0J5g&BA`(xC8?r1n|3jWf?>ph=Gs} zKX^(T-mtvN`Y>LLUytko3dn{9UtbA4F#o_$P5U7VUO0Ua#EEC`c-gm6^2RP3Y9)~$O^y6i$$ z&k=3YVwCYG$lr4?YwaTlwLw&dD@2e;>VOJ^d$icGi$y-_6_dCp_*hmy@TVHaIK6oTW*VQ*tASTg3wq0oZg$=hAi!W)Gj zuSOgf(DQiSi?8FOO#ird054DcvcJ1`RWBgi@Z9)6gb!+GsrC}@9H zq`M()XAg!r2D-35FWF&?G=Ki(`?L6WBsDGVkfCD+5Ti6_u8rUb5y`Fx?ThM^$CZ0d za*3;ct{h_OTw>}R;*DN2@V+0A`mI}~A-?cWPrItz*YL29la+-MNrbJd6f@%Bj8<1` z5k@HM?7>Ql4{b$ro=A{TmnE!x_RwE6c)xSx(16 z!}N*pLP2Ab7#Don%#un^Z1vr8LP?$gDLG&jJz&)kI2rAErprMIc6=e}F+H*5H1d1X zhjCk;5eo|LF(9i@%*O@=_^;EbhFG8}JjJ z00ga0qe*J@7?_AToc+2=RUB{~#0Wsr5;7p0P++P;ha=OS^%Mv@;y$V=9{)xwHq=;M z@GUXFHATu=dtSGM({6Kw1-|41D(T+`mIvj0 zjG)tKZcckiNAoq#+LBh>odXw~7uCw@JN$xXuxBG(f=%2k<&Pr*rCIKx*t^!hyhwM` z=qz|X#!4ny3^uP3q+MP5t!)`Pd%FxnIAD{Ki;uZE{0Ml;zBVi67_TF`Yq&>Vo&Kps z_3oTh%|UkG_33AFI}ooq`|!A8Z-tHz4#(zsW&12|^l<4r&4I3IAjd892_xb14Fx7V z6=x<8c5+JNU5`VA*9-#pFz#p<|ACUTkMCc2McO6SUSish-%55A2MDHdXl@%FF;E44 zrJb`A_y-lLiuP*_HURtB6uyx}<1kf$mgVBLMSMz>sW%s5F`bjtpx?~4xbQp;KMlgZ zhb-+T0=R`z@l=}+PhZlu%?AG2Xa#=R0mJTLmJ4qDFF;}!*9%B5Rq?Ru`Xc9Pon|Eh zuiNkvb*?o~uc%D?!fugUrqXVk?Xjifw`LhTZ&;4el^(H7Ajw_%wKxgQ@hp9lQb7;v z+Me$;drnf%8=m8*)?!YXA2~#T157JSpw-kfu_XA>^R0m&@N2iHAqSlr*gMj-B{vrU zzAa|`w4R4H`QXqHReVNj&(eUe(}w4fa@QsuM2^6*7dv5`VfnTuwBRdO*D^xds6cKD zY80wP5-UgpjsYR_ELOhKY_rnS?ZqLGhO!+q_{bd)f6CU^6@&sui=&|a&M)!%bo_>& z81ql^1W~O;I7w$QJ7=zqJoF=X{&yyfgUQLN*iH_q!xcY)Njd7#?4WQb;r&s~G5VwoS$sHp06so7&C#Y0JiH}4M-)p%xMrNqCnx+Zk%Bow1ncKn z7XBs4_Dh446SA38{!4G`p#^I#YN z&Pjz_TLw%O2#~yGy5Xdx_hWk3Mf)sAz%7R#F?rMsSyE0Px$~;-1nABRU}+PFW7!^K zqUFp_%^#AAc9}jotsHSwRD;DQqODWwv|-%_RRKxXBgvSCwv}jzr4V_JXlNkSRGIwk zGVSw`fN<%hwEFMQZ@^}nTD1R*66PPh$3I1y?5r&RNeT0Bj)4DvDPjH};Z?HMr_0k;5_@mIa-w0V%#@aYdQ$B;tcm@j38=Vx$>z1So3 zB+eq_B$(vTWH8hQ=AurzdfD}7d@i#eU6#_3Ul7H_6Foh-61~nj8$G#GTiIQVEW;Ju zS4k3O7nap^RwgzHMpD1o3b0YTA5@#W7ia}-J-<)rz7$>*2gSU1<_l1R5E2{0t#iZK zMCF%9>XB@LGSNRV@Ia}kZcbXzMOb~ri9WbUt_vz^PLVHzpTCr%GftG(fKLl!XI5*| z!-XYONTqh?hw0>16{z{Zcx&x@#)Y{-jyBP{1sT1Af*)G&_jYbw+V`5#8s?NNA&Beu zt0lqoDW7Sl+AX{%mFvHGU(geN{NKwjKfgDIkKNQ!&NodpkfblMAl4KNNqYt6Foq8e zXZaauG1jXbzfTc|(v2rL@PV+QuBGBj;h!ZgK`pS06zm*bL)@Df~RRQN3~a_1a;!`Xj?G|Ku!=wPei_0;T4)>4m1zf1e&F_}eZ0BGkxLRs!a+ z$~3K=u1QoMvY}013H_VJ69iGb%lYHca3I1 zEVf!6`etcFq+}yMQ$s?SJ{oyJ1XsVxz=bAir0W9>e9QX`1(-uf`uwmK4h&!3T0sDM zKsze(?o$I04>Q1+FBmhm3|wKO6j%HV-WsW_H{+Xn8*q{qBw)_y&4`-76-b^L832Qn z+{UzRC2?$N(=}S1VhqYhO-k4pOD^tnpJbE~#$YI=E-wCH$Hc_N{NwT%FdBIlqM;x| zlQDzajiJfA9djGsW1?x#48<|+l*E)mHvhc2;X?S4`hBx|TAIFW_d>!%lRNEip5rFK zdLI~R*y3*`#y)k1mn4zY2u{0^V0$EZmI3*DYQagE4Z<_&JgVXHxIWD*rUH!OC(qxR zEFod5dA)gY@7~r07<&GiWseifxo5kKtvYM2Iy-xchOJlv!cv;2`#Qh}any3!4TsrH zSz{CXatd88;!Jz(2aLBO(|C~BL_aw8?aJhoYtfrH4ZGF}U9B=56T&kgSu#-i#6X80 z`^u{Z10w_+#e}6U>Izo^gk)hzyjx6yq>`hTr+mVz`^&&UGiL{C5pdZa_IZNu_?t82E)5pct<48ya zCS8hR%ULy@O*LsP4WCt2w?&s`E-x38WSHjNMgG5h*DS75FtZK5)x2tN%@>rvym(%- z2@zv@to?;F)$Gx4#f9Ajfu_2+cE=Avlc+56W#l79oBj0kN@P89i6S*%O^B_j?uRL}jvXOS`!GFS)J<22!cPOZI!6UOS}y4(Y%;7I?*#4ETuJ`)?QTDCRy zhiw{3x2b6gdRdA0F6u5&=S{Uw1E#&`F1f42YAtu~+8>sjadL-~($uZ2`>KcpL@k7n z45~5H3D^BHmg=0$TYJ@5vd1#3;S*@N+#>3-l_>-%)zcnYWr-=O%rDnr)rXo?A_<9hUNbJ+*U)g|ZETZq@W{8Ffo|U1Oas;MM+cSlD|X2_Bm zQg1lMOvA6zW^*>36ei!EjV1MrSLYK*a{wB#1pSthuDm<0)jA~vA4aE#q#Wr?w{Q=s z?v01nn+R|^i||{UI1HdS^VA>;1~wJzbSgh$UX6cXb8i(BPE)7l9-yP2d4N%tkHr6W zzy@4$&Qr{SiWgrF?|0Ha5g5!N^VT{o1sd;6o;DU!LYkt{H=cK5UZw8K9LR>|323j zthd^NYjDS>L)5TioE-vs$E&K?$V~(08Lz|!T(@m;9mAW~b}dAC#4AV@ZW8{J)8V~R`T^zj;8V- zV_6_PfX|Qld2#`_g5H;9h>l~guk39oU_oNo_P?}&^rnYj^X;bfDyLDA`*8FWEgByt zjf3fJ$~Y9SD$Xs<;F2H0VXxOu7xxCz8%rvSIQl)!q&9l#uy+!dP`O33kY)=Jww+c$ z(B*52LRbZUk-Fj3r>aL6LGA-GKq&w)7%HZ>D7r3@b1eiCqT`~GiXQ9Y6Kek3hSaSg zZsZQp$m-k3>6?%IM&e`GCTxAa zMRfMU(fsOmF=uHOhL2q}pB2SmbB=IzwPqSTVLlm+k4uvuqDq>adHXwp znrR1@FvXI^apo+d7eEJBTBxL0b=xAP@J`YbYQ7ap5CZD z;zFIObN)Ve2(0QrL8v;7xjds{Jhl|r{Db5*m^gz=eJSPL6USzD3(nheywBGyMzZ>Y zfY+4-zZ~8J1lX%eVc3*ra&{~f@4%vcG+H?dTQifL=khpByi_`bMvL%>Fe6Bt>%xAs z^V%is&qOri{T?G8CthIJME+#!+!%sg-Oez(EhrmWY~!ii-@YY){i^5fcKnWXSo*B8C(NzH)~WKWt+m$vzDBX4d{I(3FC4_Wc#?2l)NF{2sgs=~{dfAy2f|;t=4>U<&nKSJA#bGsr{Fe~Pzzim`S`;a!TAnqwT9hcHT3+>^ zdqg$4)o(HxHs5)c+HGm_F#x!Q;rQV9aEJ#K>AYuO62&cIL14(lGhim9(ZBjrUPz>_ zx>OBIg`zN<-iTyuVVEvdB``bAZdN57e0_vZ08{>6f1e;-i_u9m=}xq4$w3t8b}QxV z%?5AJGjHr>9k(h@`A4&vM<_tDJVs#B>i+r$ba#S+Wto+j)tydagJ=eG$M{?BouJme zz|pS6Hc}kwH38pPcsn5P4fm6ZslM7K#t$%I?BK8K2O0Y_jl4kweW%T09BlV1ZcPi` z@RLgoc24t?x1t<@(OlH{Aa2`$Eh|W!gfd$|hRteWj4H-8c#hSA2*Xh|0w+galpdy#AM*t={r#PeUAQeTG#ncCgU`-Jx`bNRy zV=Z+~8VcDej#xiL)yS9umS^v}d7FLO7sw1u0+*v-SbnO7XQwTia;;RS`h0?6ys+>= z*z$NTG_^R4diw&sfV45c7-@}2lmV%QLH~e}18e1M#qRI#2XI9eE>6cr+nkdQtt|dv zf#LcA#x)@=S79_I^fPHSAMn3XSUmk5;(&+t7csJo*YH@dfJnze*lJ)GvC=F@{6>gt zIk53r5$~AOYDD+pEM+@5Gb?B-_Y?QbrC1cP5Dg`Hw)ry{oD(V-OW7fbbn0v4T zli}Nq#Lg&Lj*!yad~Nu;x;fvQ-?SMBEr+OjHLBk#=1UlCOdn0OHdU4vuPzpoRhSk+ z-=Ct-!x9bXX%3yGL5H=8@bE=ND(H{DwDxlTW%nAk%(dO|Vvg<|3g zX@fk7`=oBXIA1EJ%vQhNDT+Ahi!E#&2ii8;NXmA+KLMtfhz(ucnJFeOt`~0VTq@Eu zkCm1<%jjk)+EM^Bk)n$GIh`0Qu#&c2MaCDwXKR*32fC3I?)c~%PwH>|8fx;HnsL35 zde``#QIcNgVn8kR^s=u|i&V1dAv0>LT+3$@8U%5#f}qiPe9$!+Ay${%^bQxvu7(Y? z-v^HTllUuWJ5OTDHhtKqhzk5Z{w+?7K5ZCFg~a=A#KzV@%mo0!^MI9!Rw>V5Q3VzF z6sOe&lCtnmVCToEpt}_R!an~Bh&WhU8f0(do*Z{PCfO4<{P6>~dj4&D9(-g(mpcg> z%+xR?`X0`M2wbrb=>?O23?Am`p}6qs{l^^|iq-T4{>zm91iaxV@hZk!$2NKnLfB2# zpbfMPL`PrZo^=jWn@m2WfgA{O--u}Re6Z`xiOt8D^P;rGZx^)N(Rhd!`SgHLNZc-0 z<}n9l>pTO);Z}AmR4i_woY07DI!>FKinW|fDMB<+$7A!QUf?vVgEiP^V=jNoU{oAT z3U}kFsFO;QsGLzY#q@Hg&sfIF3h;D=eufHv&ccC!}C6^hz0)uUa zo@pIV$2-4OVG1qL@+W`C;h!SK}|A#y~7 zL*7s9w%bb37P_>o^J_VDldE z{IS2z4#DTYf%?7Tqq4pqptu9Cs+`nh#22_oJYu)1!!6Z%YfHd=b$X#D2DqxfrGs!f z|1yFKu+f9%>6_8$MQ~`#rdZcZJqJ%h|NO?{tm1Aic1y z&_R^hKySXl;PIGrPP;cuCTl{B*-Rx9d^Gj-juSDh@g8f3VkcgV!nmjT=Sa_T3wE7H zE2R_p(ypimrEfRXps6rnzK&YsjAC^?1g=0;Cp9zPCu&8Y?G7Mj1px8bJfn>c5i{<@ zs|myW^b?so82*?ChKP2JlH0p`8}t5=OR|my7nY0geZ4ePU%YQ6f_=J48YQG->_$sF zHag+PH)^gY$#?uoNs?WHOpsfjp^TiyNNbQS(>~5}o=5PKq_7JcNaiRdrPM5+8wpab zh%gRzpN9QI%KpCe7gf+=J=`-d>Cf*f3)vd!NJ!bOU-icuYpJS|i8RDA`$Cmc?jI9t zo|>ZUvl_w3*^*f=XO?sK4fEGUz#iuPT9_$sSkf>SzHBIgI4}!X<*E8`S$glh|2vvV z3$Q14XvV_B%7!q!*0k5S7OvLo^}nZ|%4Yf6;GF+nCLY=Ut@hCXXlt%A=}k@Q%RXlu znF|cz?E!cwscDX^Z0LBoy_~8Z?undw2l0yG55Qo7o%9wlvsn2);;aUHlKNj0-nW>6i!)@D;e&9? zOe#K&6kW!#=JS)zNPV`>T;@G$U9^llOr?a(FTmnpa?&HsHk#jtv9}!*u4u19rnX=A7(B^XxW3}#0 zy#+lDH=bNrL=yWc@GOD4Ky%FU)|me!-tdG+XbT90<34Lo0}_tL03ecWid#f{wB13r z9ENcwQ|ICZqMII57DSa^{T1GTWmqb%y13#H(?|*I0wG(T-=Pdry3pEzm{bW!QCMYk z(ZQSLhLBY#jPI3oQV@mY^I=~Qg&_GL@Hb*gCg}uC`tNVeDBlXsAQfpI*(H*BCIPEi zzRBq2C3>rQZ!Hmn{d)rIt&GIsc5vy6z4OrwV;?g$p?cfzZgGxW%N)ZX(=vGZi`>-H z!?_yaK8r0zCiF3v_h*x_kk$Dj+toEma+S-RR14LhOCU)XvSCH?#mntf3jCEON;B~~ zF8+ES?V{#I8Zz@#t)dH zOty5{00gYt!!{HbM3k=UJ#lqsTDWT(?WLCP`s6(sh{^mslWEol(Nqr z<9%ejXhf`H!vt&loi@%P27|>ci1aht-I4^r+?l{F{C`W>${&c&n`u=l8ZIv-%nWl1 zI9PmE6SamqOnMDL#y7?|PtSM?6555G^k>!La!&}OSh7!&hm6sRY3GZY0~gofFJo8K z*#w8x_}4FvBp*bHW8Jk856yAcp(B_GiMsW9=v5!nAdfyc;VC~z8K&!HpN1KKdK z>DUK#VE}woS6Zp3uoTgu#rU!NEN%-oWX5MsI@$}I?Yt(RYzvz;6_TIE-~zT$m^R%B zuV+@pV(%Iq5-2~H7@Xx}EMbzQ0Itq7=9GyOHPSRPv4i^zJjKpSw_M020nhE;rb_I% zu>i7wRb2p_OJDx;M5+>$F6v~CsqHYWr9@sl!q~}+q2W3mO)b_!vog~0^HpCrWrCc^ z8q`Kg)qdK2P826umw&JFvsG~NYuE#f2KPh;X%-^^OrLG$_?N|Be99N0QmAZUc zjWteO++)0O*^0Mt0f<9YAR|U=2EvJ1F(Xjedo^%5A*;x_1vGeg3Dx(BMAgND1D!~% zupB+313NOT9fDn=KVLwq{G^asTgVUaB~XsULOh8m7xh4h5pzKLto;I2kUR8g?4(_l zE^04A2Q*j|DuSCc0c^V>uq7)AB^4_<06ZnBeu_`z1S@Gna~3Mx6EO3d?M@-?b&C*l zELhz93!474k71B$=+G5NJPT{rSS`ehvry75BRaIzLhD`GXSQ~>n`%o_eMjFL7=LikyILA=*zL?gLsvh0w|vE$e?EPSo;CXEfji1Sg8E0s z$`27c!{*RZq2pNW1c=>q;=*Mc^3mT zA|>wyZDM1fji7*#aDA1_sDcS~RZ3i$!06oDKj~N_$Q3sCBFy|kN$)f6j_6X$1SlXZ z#ulegPiiLer(^@2^hl2$SkT;U23^gi$_jQs1J;(IbLFB1{;D}+vmxTd zd^3#Z(^8cVnr0XD5w;jkaut1H2pRLy1Nu;?X^Q{?xI8!veCJyZbZ^^ijcK@L8<8Q+ z4H%`dDX%PATCq+;`|7!FScX0aFFjCjE=ubTRJ}P$-vr zvY>$GB%Y?h49~3G^&1X{g1Ppy1zn)2`}ajDKIYv8_}Vr#wF zq)5CuIr$m5gL{TVjJoYO@ls)*jw z6V7?AD0iHn>hl(HLflkh;Ta2YlvD6rQ5da*=~~5{ecH5ZtS^s+ZsfHIndqQdQX=a= zx;uS(5Ti;FpdDF&55jBcPBhuDqYY;vT^_RhXNzK-^f*@@hB?2Wntn?Nvm(bWL1m?XZa!AgZm~t~3sY4UuqtqFnF>o%XRkh#s zJT`eneOJ#8oF;!Ky-wgaB$S6!Wz4N*jmHm8kJv*Fj03UV5*~Oag0JgY-O>fRaa~_< zT4OHIO=Gn3JOG#&2X5``LB7>Q6?+Z;Vy2?^D|$li+QSF}1n!usGQpIXJZuv)!D$ry z^67q;-ec%sg0gO^J0fU&C6tC^dI6!n08e-3XTRQS<`QiIpQH;3LJhqbj+|A64q;TaB} zmDR~*?d`Qq0R?Xj)&D?pZck^Hdxq{cCA#bu|G?xWp9oz{zYIfCVPPPn;D~u6PUKgj zjtyAe=~Dk&Dq3daf-)|I5(pqTfKtoyU|LYmI?3odYhYmLe3s7qCVCda8JFJTvh8K+ z0oBMJ(#8{#p4&GeYhXJ!0c9!`)!a2&LqC{6gYwOr)Y~Lqv~bdDsF+qio2J>o*Tl>N zRaD*=&rF{A#c!0b2s}7KvW&)or8bd|(wxPGfh*7I^qX9@xnNQ=Ni?oRQhnv9ne`_I)C8020(W&*)dA%u+FGO(1?#zu*GN#4a%1i z=^kOqyHRZfN5NBI8i1)OjNUbCqd(saV49vbG-^w}A%_fm6~|aIBz}`+BE#6LD|{qS zn`a6Y!faP)tv=E6@Y4>MDf%Xpu4O`0l(kvNbRH zkq<4}?&5qu+NeUd|M)kc5(i`Q|H;b#i-!J(m1kpP`;U%%EdSU*{4@IhDJ%cuLh)aX zDE`H}|5qmdKf6Ew&rJM3Cd>bN_CJ{TNw#rE95Kh|*GS$K#05!nSDuddgE-;49S90) zfO;6Q3(z4LaSAX15riYlo~`6$6%7rYMHX0ot9Kx2*TeU0KJ6>rp2TbnFXE2z%*`3t z>=RzUljzgmm!dpRe>%M9vtd8;CW|`8-*)|XDxSmZ|9q;sLKhgOU1jWBCm~S&@ zZZm0SC)&QB-Zobt=g%RNFjV+Bc(PX1blg=oH)4x+0kCpBnwYGP@!Y!rp@wg2NmMOE z7~ur;2$O}Oc@v3Lq0ag8Ne&3dj&pblO$>9qSYmp~vb0kvPfi$-A$6Go>q+9sjd0%U zDTUyEP5#}wjQpzt)EvT39|*YU;njw`+0#+FVg}k+r^*_r0~_RqDeyI>fIiZkDNtPHBWav9u_bVTvIA0T5`I=|m_l z72jS0UvymE>`Z*t$tF0|Pdn29ER4)WyG>?-ho2$Q3qtTVJaa*!DT{5{423CggH*i$ zv}lH1x@$oqU%{P6JYRv_Oksu$NZ0!>i3`TB(fx$qF!J5m`bL=~rq6zg zkTB|iVPQ#8agk%7E98sNH-(Ii&nSJv5L&6zu6K38I7Bao;0J&pj*C+MWH2asLM3fY zjx{7BYOuSM%OTmD9Nq^%K9B{-D}%{}ZcBnS>$3jk+0%2=VOOL}1~)K|Dkk(Lw3MNq z-^Mc{eA}f3@Qg)2;0vIdrl1KZMiLW-EPPN!;SW6UG7oV32p7;6wIb=yL`)Z4n9HdSZh5XO68bYYoz4l!5Vno%2gbK5Um*Uak`D-~3s`_s)=ldWq`NRC_!k%^9YJ_c z4tI{29K9}RsqHr*kD{pb(sQ0bFx~*qQ%Uslr_N+lBxymd?>9CVU^8ECH%_=Zv=6nc zzFCCY@uHvg=rDX3(OH4~-A(ZoX$#rd3F$W%^91`m zk0KaIRdJ^9wT3!|aX#HtUjZZ^D`jk44CtJZhGq-soX+4!h7ok1&*&#d4Q+v^f`OtN zugv)1ULWZxgPs9B$itr>C**fWH6ogiYe~NE_PsvKY3Q&KA%XjP!^5xbGY(RkBtLOR z5W$pk3JgedOoZ7KQpposRap6!(vE&31(}vgj^>jili*V+8%dqZ<&3tF!(^6w03{}P z`Wgz~dIRin7j->SVF4gDt58p8|FT>)z0_6(mf-SS@D*?Xk-h0WC?MTIasT$?6f~M2 zsM&y!$;6JGPD|xV3BB+}5+QM+YHE7znxFO&7bgh}n45x;KT13q@YUJ~IIeo0Ni3Gybo%&q% zEeSM6>0?$BnFzS|-NKe3Dfldhfr{>@DRni9^`%rJGxz@ekrVV8)6?)ZVQqYb@D3Ie z^Sf*50~E3VC;ev7utc z5aV+(LpBC_rv#hNo|H#5aKbbxJ9b;pi`I6=;W@X5D8UwOvtrVNfuLIKjI3%0k~n*@ znA>k)N^yQT9A0qM#@Dxsw_4Ynit3O=(-RMwFS5~+<$t;Kp%)2>HITW!>f@ELk1g{5U(JAaxhtxXPoZ6^3o)C}uva4yEl(cWgy zBv}CVmQ~A-hS5uDFP70bO8H_6e|*c%FW^p86uNASJQu(z|9;CRAc1t+l~yq-*?$ra088;sX23s(Jd^y*GJ1HfC`!oOs@#?5h;8 z{prM1rpZEf_vY{`o6*2c%k*r~w`VjRUd~?7WbQ~)*}K^Su$6N48k*!yar8ojK#{Go zxv}{|Phzl~yt>G(Qj4~#vO}r)z^AGTO^da-G-S7}+KMAL%sbt?ejP5E+pekHH02t) z-8s@+v?XAq?=4T zvP`~W-2rr|$@A-s&&8ITn>$XpZRk1>b@&M-EvC-Fqtvt4aoyxfc`v?dnRo`(Hhi&= zFk_2hyzCLmKBEtZpVA%6ximPE#{Riq58ttr*?8kV%J>UFF&ekKJ7 zz7l~P@*(~R3JL(9lgD5`9JXbM=<&WAW-WYQPh?Skie<=#h?Mx6(<+&zL^;vHBK^8d#LB6DKRLHfweX z@IxwZ^)dA6!LXa#rIv71CI`-@Wpx zoER67X0i#iVbdP*cwu2OgIipxC;{hd`Bck_>KRFhrZ+3obIK(dAu9 zX*m`LOV4S2(}`DWfBQ593S(cZ1UO5phu_5>Zq6!t&gbafuJ0jPwtp*?I}O(H$%>XY zl&5Nz0+)79pc$9$xobm;qpglH+;2wV+Kww3rnPtH@`|*$%m$CA zq<*`>enrhU>P{qKWH#K)FNUSu=UC0=;w+&*<-T{MfF0DmKL(q-f6W^eN-t=WZ;e#H ze`=ysVk+KBQVCgZeNe$70&}rc4cO|o>#XGOB6uXriejT7h8X$%q2kK&M-)HC&A8nz zuK(RVOTS;?JR8OcE9Bf9>bs3v2RI$|AqYAl(lLWD>6(IZW=zHKrN6JUy@6lwpeJqY7%4FnY`^~uJ@I#-| z&1Myf$Fb1*OCq1w1#R3X8+_Hs&l>)HJtvf}%4>FP&()ATbm9*zKi*v!%$ME^df{|}> z8!wsM@G~po)=b-aSWE)v{y%ee?|`WO525g8S`^yYi#B|@B2tp@+puT$$hHZ2yW11@dp!|SH8ZWO9+93|7g}Irb7LxYh_F=$CU3o1%!7EW@qTYmA9Qo&yD43cC8*gV z9b&F>E<9-^QCdBkN(I_59#V8Xm*|knj2D$Dzn;5=YNoB{fablxiESq5h+MY`QR;Hwnf&C*vmf=uW$C7wbw1Ws={#c49(|J+9 ze(m61lOl%jHU@;-k}k8_A5$Q@ulu*DMXT1i6uC zci2H#XOYJq+T0fJvK747F3v30;-o~vqo60 zZLkmG!CBRmhj}{C(>jtzO@_^-h)QwloNHj@h&|OWIBNY-zA9=dPak~f3C~^lD4NhZ zr!ld}mP}EdCIfv7%`n_zoU3@^VHp?v+uq2L<(j0s{9KtWaxzDVGF~}H_)bKXGt+!# zV0Gc+_I&P|GFg3)ta0C3*4CdvQ<_jP8<^@6_n4%pn@ii;I?yM1AlGRffxGi)MRs^l z%Xav^+3qwARI&86?ci3QXq)RKm9FYb;e=rtii<+79Fs3Y$I%CoS|}zih$Dd%NX-+< zST_#{{d}F$*c2cp8iY|e;cgts0ps=*&AQJZv0&uSGiNXXd?%d&22&m25n7M1Cy{_) ze9Ex1mXW|lBnGhWRR912`c8Sg6Rb&VgqQz?1bz5)0CjrrhE-4@$UL5w5#d$m5gfqae6izEo zlYT`bK`e!1Buu-eulaL$9VehN9kCWn5rnlOpco6c5?AD@=}V~EznmCM#{1~aT$mvt z>=5WO4T@WYoiG~x83j{oM}c_G1SJw657?na%2$jxXd(!T#WQuVm#-uEio75$L!<%? zu!0F^gboC@5lrTWfP^9<0VPxfEjGprhT-*8m&M@{4e%v}q=t&8u1E6S$=>Q^))>&I~3jMB#c)hz5#$-p52pE*@?# zrYt~~sK^6J@Cn+F;AjQ2`1uLP(BWEH>NC57kS);G_WeIfjxTW zwvg#4B-3>Cu#ug<8PI78n4wfy$x}ao0N|H!9dSb5LMOz)&$VGTGKPenY(n|--b6qr zG%%V5diFTW-cM5narruac@!W-N>ofyXvUY5-2el46p*)c?SVjq(E?L$2+b1ajzapa9z(BXoi>t7Ab&TO6ShzvE)UUTa=aaL40*j#&SY zcP?|--WX9jnGG6jKNJBnvNgl(EZ}-w6A`(kxw;|Zu62jD7tTG!rTuS4>Odps=OPXi z2^y!9kqZC%VjH5~;nrXg+{u(mf;LwPw+)w}s;%Q-PBA^X3?YXA#EEn# zMQcNsI7kRpX$|R;98fkO1*Q}fB{YN@=9mv^0ytBdH34b@$jBmUf(UscOSHJ3MenX3 z!eWM)ejh%;O%y?Yk{U8#L!lv#Gtu6h`9)0$8Ho)WB}tUjYGV>Gs;^xaeXx?jWEk+1 zZgM9!P$}WM`BT$UMny%g@>#%%NG5isL;NZ*H89wTUuq4f`dq_$ML^kPvA7yvb-ZlI zGf(kvHNDMC8yKYjB9&Oupk}5DjP|M%c+Mamk6=pR_JhnakByRaNdC+*32vEr1b}5t zwLb+u%+K;jIRcq8EF8b!=<8UhVn3P=36I73k#(GpQ8I#1^aC7hS``YcT~ z1K5&2AOKG)st6E@Hs&O)DGGCmj}iLa8CSrP7|4xi1Si$#25Q8iDJV|jZ(xK5jwa1u z7nvfI`wFB>Z5ANK)qQ->1&BoqZDSCr^ja3+wE88 z)m_VG`a5YeG`=_2o7`1YPBy~J9Y!}qDnq!F*3JeZvEde~xZ1mqAU3%0yLKKf9$wtj zWw8@_Nkk=2w8jWlPZ%!8g|bukBP7cSZ98VZ)wQe1Yh@0GMlg=j0O-p9V(y)TGu^hm z(b#6kwr$(CZCf4NPCB-2+es(s*tV07^<}NS*WTxxy>H!f>fBrP{q;Vho~P!VHRgN= z=lG2YZpRsyq)~_r0hGWvG8wtTHZmhufb71eWx<77?G)bleSCSZ$SNK&zIF}%fRQxd zKYCH|CM!4^6PQ5MB-V&pJ{VUKxh1ZdS#7 zk_)MHwj1c1%jPw(&XK-lrA&mK9eIVYgoo72$Db_&HCfoE=ik8kO$bDhy`TPW(U~+c zzRjkdRpeM{2j8p&X{-1~SO~&PSCqG3UWrgGn+415vaSi!do^ol`;y98`OChl&KF{8 z@5+~7so3N}`r0lV=9yTrJKU2hL2SVmh$Oyo9E7IRn{_9%q}v|&A%+Q}qF8I0_KW4Y zNNMjO92=VxVM&LBV1~SbX(@}8Bem8Q%+DzhypcCrMlzzcKr{};4zsR_%QqdYt^J6a z%~k7y*}7OGB>b**r%~X;Yn&H#&p8(cCpM~ycm`;vwqK?{+~|(vxvw#&1Py5dI8k}) zrRle^eN9CXXi(Tz3d^o)js7SNul?FIC;ni{LIxej=SvtR7>gYu3=;*`nHzu-6o;l9 zR#VtrvOf%PxjjwPp#B1C4^W4;q!~y=FBFZJMya+uxt=FPFGw5R9z3Kv9gbkPjxf7#yP< z3=jg_p6ICJvBRW-w&P7TjexYF7;?#_cC8_~t;tc-BcPnbnn^g?L^_+%jAcG`PYLvm z)B3$nMXz`agxG%nCS~(iQ%F`u_J4M}Wcdqd{Qs_$&A*2fXJEi*WcdRF{m-<^e@W&1 zk+}KKshs~drZ~&L!4$vv15>;u`TJ4L@efJMB=r`^TtH{HO>3p{A7$*gO4KJLbSNGa zltLR);e{7(uYKLWIr#Sy6ba>?gQapVxL*6*%*00MG{i=9YcJ1F!<-8XHcH&G5W3s+TLiHRqFo#4R<=(x$-xBEApSk#GE6(LlzC(_u$2Vlu*iDZeSAQCUoqZFSsfI!y7r2Vi2aqnN`}De?YFNpy0Kl+aHl<4@s2pkBU~4+Ugy>8jFl$J zIw~wHWXdEpC=Zb2Rg9Ng%tPndi8FZp>AvT!GRK&HFJ)D^%jsmd}kM>q`;lH{}3mw7CNr; zH_W;%Uvt3snYe;AB&7^1DKX|)y1O{SWhm$LSk0Xr;4&<~wwl3#$JdFpA?#hr8yl>C z2nMie{gR>vV%XEWhX>I60SVf~Xt}hiGtxFH{Kwi3a$%#yMKWnC^M)dU<4Mw|SE}vS z;O1>x_N^>6LjY3&;8D~g*N=piNzX^K&`q`*M*SD^Cbw<8-eSY|{g}c2zS>NY+A0WO zy_9N}4Mfvu&!LibPq>fG^TnqQP?d&i}R!vLUoUm&-M{@wco0&S2Nq(65sFQ7z<*Rg+ox5 zm_vo2W4pi#?-p8?7~B7NB`^6ngp5v*NX4WTAIoeN2n{ATB3)@ER}vXVR)}wx0LL)m zzeSiakTwK}g8GGS1ErKll951mqZJ{UhubDnQ2rq@Tb_+-B4?&L%mvS}IY~~WXvfDb z{)4?JpHXG<8^xYU6sbEns*MV}h06~LWojKA_=2&xJc>CbT?z78BEj%YVoB{un4xkZ z(xQm+tZz4%Zz+WXqCZIsIM0+u8V_H{-OgnleA1Zq%8q2nelciAmyoSg;zie>rGz{9 zEOI@dsoTzjZyNbN!hA4$I7P^~MGpOzMU~pN0~A{DTsYu@Ywu1GTOCUSG%yX36tU*t zN$=X_gc)|x61jBV&l!ao!k2zqm3Kd6MHwjcD)kdICV#RXo*%_5C!%l3L#U(+2{6Oo z*I#R*K*?@{4Jyyh(?%Bp6Vj8Ja@k2=)0ZZm7KaNop*OXZYJ&_hvkhc$@_NbR$SWfz z>OV5>q6cz9hAI|iqw*gOp`3dZvJwSyLJjIENIONeEumzh6D+1RX!7vz%u4UENUKXw zNRQHyN+FyX+LvvcxW3K3B=;64zU=b*ffk7RRN}KF+l3PA*L&p46=VSLKub_M4JdGS zH*ZubL=3bGAT2r6>mC#3;Af5PWSo8x2uLWP1wDIvh*z|6zWzQMOBD4jhA7i~nneoR zUB6CwXAyyt(iqQNQH+iNPI+~ReBdGtxg@_3v$1eLk~CtT+ypYY!b&McxD6AaF)Vf3 zHaI`u33Ib(!CucWpVlhj#H-!@SH5r#OXl%y0Fuu!k3$^AtO$DY@0)>eT0!#J5dace zwY2YYJ|m;ha`C)oU14g_X`YGrV{xT(x5dnkaf+M?N0(7XhlTkC=gDEuq1(auw@ggF zvoW-OAy0B^5@8!+;h?Bbm*^_> z9^0YM8`h`gZD-DZKe4A^zS1uVO3WR%+?4w^RRUSmCauqmXCpR8CRO-#57m*^oP25s zw7_GDVbu^oa?RcQ5<^>G@nqXn67s!(Qv$YTNik!4e2bzfEsGp^uE@+rGHbeAW@N+A zC55!2HAmSdm*I)?G;F@5=tzu4DcL4V!Pcy+IwBcs`X++{WC3=txKt(Uh^}-GlDW+_ zOhvy8lL)-@_Pn+ED~j4i;i|5&HWjKemMF0tVGUdR^<9Qp!-y8}-im{bG#@h@d2z2^ zbc3b9z+_OC%i(W=jTMo{b33nr9t9ERn04fG-?(%0l@ zr~<7amw>O&4+iM?51PLR9V#D}T4DijGXplbh=-HB!-%J8#XQ?)aP?0@9GGroNLegK zQn&?)ieY!Cy9h5om(c#y7_Rlj+a4S1e`Nst48&0zp*vukC1x54t$WOg!LB^kOKHqk zhohMHcZ9Z`35@%~04$=b_0rSCK3Y$*EZBq7yKI}s%s%Dl!#krbZO>KZQVR2+?>*1Lte#=J)Z$0Q%Nh&I5AQ;43>?$jtfq_M^ z5(qZ_AXjW%6xuHT`ecx4O0X&J<95@x?(F>X%_h!J_jLH~I(==by$eP= zaA_W}x@BgyORGVdMyN`MLr|uNn9I(H&xU-#2e-$H;)-{!Fq%fJBY$kr6#CH@C{95W z-|I2h@#+`d49Ta7abWaNNkr_9l(#!r8&7RdN4r2&6hS9W{fX+*`y(uE*cEe7L!%YXR?#CPqUV>Auy&zw_yh?K@=; z^GNskHU>uZ0MT(>(Zh^Au0i{FU}kKq=wH83a-*9zzTXgzJNy33J*S*85Kx@BITHv* zUFQKe?6{Lm+kP_-)Nyb_A6{c~Sb+&-Udmk&@ddcE3!5HnV|=ho7ji|f9JjFXIDieA z-x;`|fx+F}rV1T=jJfOb)6#%$!wmxbx*x*{K4jsv4tTQ+ zX|P$0hDL-t*a8v~Dtd(Y$*#yZvi*yT$KO*#g94J2q3iI9twgk;+L8b=2c4C?aL!yQ7T4lg##z zm-=S@sRqhUX6_Ef{l76-R^*W9 z3^pb?cgOJ-I1=^+fu;CdEGkYRzq>F`*{2SMO_?T^2agTJ-3Pzpa9=!J3;1&bHRrBa zs*R)j2EG?L;(_(y3843QpWqK)f8u77Keim8%=HxFL7&zbM`$GE7-pwPgOVQ?rxgWL z9F~oZ`sLe3XlSMxA}XYjk!xR!e}U<$G=$t>)5+e)*_XFOC@r8E8UA7iMegEoL$lGr zMv!o+j*x#1k11TftAU+iq86K45M1AXV=*vOgOV|*-V-_aULl}i^z`(`B-$jN0(vIM>JzpC2UAYn6t?#r8hO(B;bC{offuP8iT%RCkT7H5uTu_eh zxJQfw2H-e$Z|Xa=1$tlkk9BBLTFRmio3fV3LYJ^b2?COU_$ddByhEj5K3>? zwg&wCUPrYpeyej+BoQgm6q({(-qvT7rOi` zApogj1RE2P$4p6&f_5}5*GV-){*I;_t1hl@0xIKRyKg3Rrh$x_CFH~w3w@vi>aH8i zGN1gdYL5cO)?HIY5E@-c?{eQ=3@>hNe)XU^0I3~`^4iBbQqel61~#|jqIptLVwMHu z7*)dfIyA&j?S0@9sD4Ap%pk!Pwhk7Szv_n`;D}Az(;TF>)umWKkRdIZ0gF$fwx;!> zFCvr9CqOaPoKspOb(LiIUX`ksrAqlOwkjxgk(L^o_qVC`toNVn@0O%DOE>#Y%~M;S z3Zkl!A8_B*JSe|q@CBohU4FzLIFU93EL?q?%A51!bq6!h z%?^118jW1m8`ZK{Y{JBZ!L8q!_zz;w(Kg$9p4&j|UbW~&UXiZ(55cF^y$bYd&I>{= zo3RHMHWHIqSMs55G8p)Twy8I6Yma*qD|RHP88e^{VHb*As+N?TppP_X_3_;)A~@2% zDxX7}0Aj&jVa$^Sox|jED8uA0!KYYmt#$gR;4{$Y<3ju|lJ6I_ljZp2=P%%VO=kbC zRDmY*R(#EBdFC6Ov)JAid;B1e&@dHNRqzhF9jGgH{i}Cg5V}_GM`&a3+iL z)}zGtynNT9FUw>qzHg>9*Ry{&K)ICO12a*1Emm39rXxT92RTo4xwBcmVOqI8OLQ2& z5i@vX9QU`N{b&MWC7e3bA`Q%e(z7XUD>=i5<4mwf$urwYmxJ7S0=~LHzGHk1JCxCPQkIjqHU%Fp(ZN zv>MsLJ&B)s2|cFPdP#3?q_swJv{$jwudd>ItoO!Pb4G{51yd!DX}oOnLq)WBs_UGV zN8@Em)ZcG{Blj0V-HU^|oRAffvSVq7wQ*c$hs=6U^tpc0xfqx3pqec+G3OC>E_-tZ zd&=AAa)8GTpVW+Y-9rlBZMb?Ul`TcKgUx1)CrGlPQXbnObpmzH*vQCGPuC2v!% z=HjZy5Qp;$GOp$d7};_v_Q_~l;2Ub%z+hLQ0BhUf8+zLKeLdz1B5)(lb+|j0=9Wt0 zfL%hPd2Ah9Zj^c;>tPTnxn)(?GF^|iZZ2*@cdP38we-m#V1?I?x8&y11$@iUxE)ZxH%?2mDqZOzr;iXy#9)&+A{!+gY_^QTMIe z`zZZsTj^Gg-SrZBTqzSL=);FVidK|f{HR09{O!s&;LaBrN|gx}dhx6)go+?3Ai2%y z6Yjdo5QFyN!}qX3#etuNQiC#|8={|=eY)!Xsu={rjWFn~+nhI`b7N~m#Tm4_#oh|- zOy7czic6jM)v?ux+8~J*Fy9xTF0_LYfI1B|X^G@&hCG<|V;*VUZHWfLb*-ZV48}_y zT+O6Rq{Z9KypygxJp~8zJ~C zbZqsSc2W$!9@k`k>HtV9tBXwAv5QL`Ck5Q~VBh!dPL3s|<@qj#Wj?%l5|VTul87cn zpU`h!IIM-7ep9dEa?+3|L+t5^ml%Lj&NEBKxv$`}#=5kpB8*>PxpTdxDl@=IUf^?Y zpk~eix%sCLc^+A&KdLni0ct|0*I>?)Lt5;I7N5D&S~T<2bj#MldZc6khh4iN!pB}C8&>#wI8`A82no#NHqBFyApoQyxoY@r1?MjLhTZb3lRf7vl zR;75I@;E>WwY~DCFh;FX5q`csh5Uh+`~1tLK1xLO)~B!8F#m293ubB)Ia~j7;?^ek zh&0GhtrBanY=Mo46d2d_+niy9@dz|^UH(qk5Sa@i61D7zlVX;$P*-f=g$tmbUA%s+FLq3iFI{oV200_X9V8WN6)zHQfgK-E zkxHeIb*GJ3Oo5FMfqlW7`1DEXjwIB#T=AvFEZcb`87FoJ@*D3L)OK}vtv-$yf^nmg zo^RHN6xuuGZjNY83%_=148wUzcY68 zPyKjn`P?Oui^*=7=h`vS+Z#3A-VSu>?~KT10c6-!Mi3> z>`LCM!Ls%MuzLzO3eiN|TwMm02VB46#1|J5X6OAGS~STnC4z&x0427$N?P0Vp!Sr* zg!oS&^h29ay*sbSyyvu<+#b=)m$3ZXtE5=`<`T&nH{i#ExXW#oEUFNz6)E}MkID2w zlFO}%2sfrVKJ}aU2N!|d@dGdYz8YT5H22@f>SC8YLAc40Xf{-fZEQMu-K3ekCVvYU z5W4i;icY1`TGIE$Nq==tn0$0Y6v(b;Lls39M3g7z~ z@!?*iEJhQn@zkLY-8;Tm=6>0=D(OaKXqIlPYMCmxzo*BVv!{A`Cpt38W#Ya*SWHZ+ zhu5yQN2ogP7llW!O1tZ}D!Q$QaAhwHz`E4!QgGFU&4lK8HPlDV`k;=8$6VLDM>9os z(fFptX7Q85@e5N>DI*sAO4e~=DYsGcm1$JtDczUlxx^tyQ?su{>Ba**a*FB*0;1i$b4HI%wvDDMn^P(-W)lzrKHO z#9@`mxYC{??kJAc`(=A7W^#8LHj!tBGkZ3UF*o0~YRVxev6S ztX!_Hf~sVIgmlgG>l6iAl|rd88~8+ME+!SF2A?>p#-bdu_}*9;XjICXKa|a?qTIfJ zzxB+w%v$#ddf$laYZQYA6oVHOg9OubB_(5LLY^GXYDLYO^xmrpd^${m7Xg)d>U(Mi z$|?9F8JCP1Pz#CE5?S9|1%cN#VsTKVFzjfF@;qV6lI930R`50W>|t|TL;{a!h%sRz zQJo*@Vhh#>rmKZct0C#KEsaDd!}Xao$@{k_V1lSk@E?XA`wvSgiVcx@hR4p-2La&cj=NgG*WKFqfb9)sGj}^Y@3Y2JVXL0?4!V4F zLZ}*3tBPS!tBaM)T)J%WMn>6yrwQA$GRxG}oL(<0ab@=W_Nw#LM=o&}cwFz8x94Z)u_naL5ih%58L7Ogc4JI^RN6OzzIPaO*UO2O!;u~39PDd1}7}C-`L8)qC zs7v6Qbp=lW7+8|B2~>@~sa!eSi3d+=k|uV`s5_?X)hBzkmU##M$6$&&LeZ+Zl(|}J zkl-ZCqvn$bgh)i@6JWz2C$-`ecknL(--F8S%ECgOOOjxcKStn!u*#pLRbfr7+@UFP zn&c!;$Ox^OaIO%$KikDY;Utp6q3vn9N<+==7~A5>Sa06D*Yh8{ddmN`Y!@@Zc8Y zj?O8mVw|r)bt!0(TiTbGQbj+fbTNTg6+$vQIb$Uf61;N_V%a_D{<488FTy;ljM1f6 zd{Qn;wpEb7IW7WK%y(P$<=2kd*0p4g=8lhbL+C^949v|CMyhFEf}9O44P;IfKK%U1 zyp}V+;$u8gDg!K;ab^%~1bcVk97WEaO5gKB#ZNn*d&3W*S(ZeSXzY;Xc!?vH^vmNe zZ1P+!M8d+z4hPH7JQi$p;y-=_PYgZW=1zP2F0}o+za6C`wmsE0N{SLd!ySO=%;1q< z5%exlLPJAQCk}UawjYJ+Q}%58o7$*y9;8H8G%7*8Om`k7`I|zmUje3%TKZaxU(ak0 zRY3CN%Z??0eqWN4{(5*;)z<-r2Sv^|?u@IS)32fF5G*5$7?E*M^CoZp`galm<9Kk@ zDUQ8Vxs#)~%uyO76R<^GkMq1T1w}8S7K#w2?QMMDoxIWeDVMh%f~NUQ#?Xf5l{q5H zk&z)=ux*X*K?Z=%FgBq{2?ZJ8vTDd{=sd4cfY;Dc;wWdTdzK47ImIx!`|a@Mo9TBL zCa#dShylv9F)E5PMKnPX<}BiD?R*Tv)|o28afH<3&ycFqE;XfgluF5y`AYrR*)@1- zzuhg}$l}>_+O^FvilOTq#zWC9m&@{0(&slEEA>q;ue1LwZ#kdZhl-$xnqsYPf?ZOH5`*U!ZNu^dkXD%~0XjP53pO(q;w^ZzIWXhh+5Sj~63irOCh`?0G zpCsr87+@stJ&}wJ;=o*GH##b;!wM|1U4|d9sH?%@`K3O9zWcD5pV6T%KN~t3jgCXX ziKn0V2xcb^&U0oxqsYNHJvXh$=T4Z5NJ9h0#^IxX*biLao~;fYCA*=mi+F*PU4o}# z`t!oo@Ehy){g98ha90*vap{|YgTU>PYV=WO?O?iI{{1ji7G^L{6td zLNbT~CS+7Y!6*E!Ov>z2@ovB?HRns33KZ%yfNDTMz|tOUSOpxyH4YrKeT)Xx42O9M z0k7aVK$G-3Tb0>NiNy@3}1ebc5HQqC*Y=SH|H(M4b%Y0JWC#bcF$Fd{C$qSR^E{z zBeDZKD`x}!rm7*U-aNp@|Z%SBJnt z_5nR@8g#(fbxs6co3?!l{FRlOJcWIApBh&9FVWF2BjsC_cuFW*i{#TQA>8R;bSu(A z?6norr%c$>X+{#N(F^w8g|FXt4{JV@$bQq+M4M~9i&n}^ums$!hBZ@lH0356<|8_qhvOJOZVb~jq7wDwHRdU8v#%?;Z+lT@H~vTOCdVr;0y_mQHqJ(4nWS<$km* zUNkCPBt`l!mr^v6ehfB+XE*;EtJRH~evH>P!ln8>y;rq6*AaDm8gSCDtggChQpi|L z6%lIaHy21`*;G&p5m`-{mfRz{EC#&o%BGc%nw96D~3fG%m6WvWGP}HQ8u(C;H49Mb+GB z^0EH4{bKgF>_*@E?K}aIkzNz)tot}gi&VvkS}PYy$m&EpdpRx_Ih^)Z2?Q_ua2}Lu z0xTTt^zV&$i)`p<3(u{z_{GS+?k#~=FW+s}t>GVZw$@=+j<-iAJCYX#dZ=7_cA^1g z@)P^kaXl8B;w-LWUMAjKBjN2jxZax`xzPvKJS7_^J2x7COz_fH-0a!Wigj4gxR^_y zfM>QSk4GA=wAGk}lT1&4t{r6tGng42AOJ{84Jn_ZPUyyd=Gwq$rfM%Vjl;t#Ho=u3 zDhk+#r}m5k(xK31YD8=TGB`5M?(7SVgd?*~RbWDUDGax8<3Na~WJ@(sjSlOtBiARbLaI@k)g;S)2#8nq z%p83VT(!Kbj5sslE6{nS-*KWqOwGO&(=zX4nIaW{FHrfNzTa_WBsdhlgj~ZX5bax% z=$b&PR1<4WUN&Rm78+Q;UF7IxI&arwwI0u!sAFp!%R~87!-ZjMPn&6r)SF?_z#pQK^R94nIC^f7$;Ie)h2UnJqbq>zdS7I_2$5V9bwCg1yf7!T845 zWj7AI$F6w^i~3Rj+zr2$4RQ*}+DqE0aic`{6~6g3mE58g;X(sOoG}^StOwas1z(q+ z`8z}`{K8$3nUjpu&A87Cl+1GLZ8b-i(PeW6Hs$fJj3AnD1-Ddp+w($YCm9(PN0d2u zId##U<$w|2-!nO56zs41M&9l}{ppsR^3-4Dd%;}T5%d6oFD*n8Dntms-_-lxug@!G z1kC{}*#G>#wtIgVzDTg~ODS*FCf=eoZ&xC9F=RYqa^ryO0eDtY%GvdMn(VwE&Qx5c zzOF6;-?Q9rSnOg0ckEP5Rw*19OyY$5w=u)L%^T@PvhlFEeNF9_P(v z^B#q%{LdhEe`-|56w$paD=X>uWPBmnxf-eI9wl$Wz7qz($KhkEN6%$F@Yni-q@EgC zou0Jizs`;#JPu3kq-eO`B;9IRepEJVWY%h&@0FbsgfVy~*7Wq%c3@AO%`$PYhI%mJ z+cJl684TCLqX6kWfJU}Gx$a*fK?nuh(wZ5fKoT=2^PaxVY%ANbTT9BB0ou}s=Qw5t zH9~{zD@0zWq6u`iehN7-B6l4SgJB5Xls;;ktlTNaeUXC&siRn!PN zZ)jjbhvQiX)TlSZ;b%6ODSMpQ=OP9s2xy-UUk8?k9^AHC?Ucw61)Jo*wV4ErOamfe z+V<|*aM%L4s598zasRrvA&XpVj>&ej+J53#19?NJUr*`Lq<54)lJE>n1IX>`6&zz4Yu>aQc?K+oG(&uuI5Muhta$f%#DBk4*6SDjqBPxikx$x z8Kq>KyPrmcFM&1$*Jusi@HSw@a6)|Pf!v4TX z1SrQT@*tz?^A)C}Cp-q0d_m`hmz$E(2Dg4^*VltD!&zsA@`epsm(nIxI%WMrm=}_c zUz3o=JQ=_I#Jq0<4p8rk|GSYy7=)gHoi|{;AlC03{lGP&3JeOD1hpz!+@{zOM+k8y zn7wk8uDg5i!pPO?!TA;;dFp+yyvs-`?{!BnY;zHv9n_bF1`oG4##)AFMJd(lxm|$# z7Xlq>P{-G5b5|!$ zJFL~qmgTWj%bnvi(#s<&ug?|GAQl6So}jpcw_yt*BsBvidN2-9e#vUsIF zI(j2}Qc7!Gg>VVFEJxfdJ%qgN;VWs>@GkJ!Xoh)0N$S{oQ{M)C#A=9uHkNH`jxMy(REIl9Qk#x$i$AEb=w~a z!(ZpfwSS_+fK_dZx#VSZqO-E^{Ia9YEh%ceByFzXY?vV=;K5hZ;kq;qrA_X^D|c*I z#eBLXjg=5+RX5Spt;*~CY=b`7eXK8FcHBZdz`jILO-9O4GEm}DeN`ups5_Qr&3!5A ze$B)aJsSkiv|fSwiQpNeOivrOTOCTmSKC3?v?1-glevmV`|D%U5bMDeG%hj{Fqmv54txmL5Qo%WT1Wur24()E47+!36fk038 zfZ@NoXaN*N&LPf}%`Roz#B`{H(uYwcZf*SgQSv}4V=+#{eJ{{o$j4-sW&PpabAGS0 zoV6a`-hV1_3}V@Pftm^vQ&GECbA=97A2i`Sb%@PGm{escN59W8n^a{e)nsX70KMnj z{*LZGTUViTQ3>H%q*wY*UVzz4sriQ&M}_c*7f1M~7k4%v6>*h>gi~c@lOfGiYhSE~ zK@qvd=@X{)O8(vAmfpB4>9AWdajcm(W34kf#7=)pA}|l9H0Ws^}z) zwaTa6eWyM{_LX1x0HLe4FfeBTQ*xoqM?$1{E}mgIcPUGMT|R&fho@r37AdjaV%~y! zpu*CRx#?7s;Ku_V5vq|-NuNNs;YSwCMo=856PS_n;eB<-8s=f2dkCjm8)t!jr#voZ_>$EkE!a`N#T)GUmh&G9)Hq3Fa-EX>TE@tMCK|KypBfs+-!v!ja%6rHe%k)5##z7{<`!yk;a zuy!_a#HSOrHgGoi+bbwKX%kzsuYnj@{|(HT%}iZ12muVJJQ0wfq&_rPY@ z>#(aJWDp42XE(U%vVJ&jy)`c{K=d~cewoA&bwkeZgeLYB?L-o1ejNBD{BPM4i) z{TTE5ofAJHE0|p@VOUlI}g?A?t2rZfEM~)`hvlH!wYvc|Za*s}J?~HfSp(dt3TXd z+Lo^j!T(p=@{cm4f5y{wrt4q_=wU$kF<~YZiO4hDQSA(|G^96!h)R_Sj)@cn{nDmf z-h9DO-rd`!Pfi#%aEEuOVAL9D)Qd7s5H3k0ZcnmN_D_+*iOsbrBXL(-+gP>}I$@>e zFU2qj=OJ&T#2(Yd>dADLxb@D4XV@q6CspgiDC<*xiiHz$g<M%KmShuQ?9c9gRAsR|70us|L(N^n@X&yR2j#8R+!*C za+dXA4byl`U1C&SlbASU=9PuWwiIPv{%ZajQw$Rp&Dt<-fTWiZo79fs$kKHi31VIa z{A)kck3OTK_ayt;4nCV^U(lSxmWDN>{A;MQSDeL!G%NRLk@>36HWMGOq=hh1uGiO; z`+HofeyW-}%2|E0j}(`Kwx5~>K9}{2b?J9I3T`s)nFk({SNb+Jh?8b+8ut9*ctet> z(~tGU%~6h_DvG|JvHCV%Zw!^51C~g{9AgKAD*4l{p)bI-MM~@H6^XBwzceCy^l4BK zUBBmTlcPyqFnIu|%CMTS>`U$-Q|C;`Gw4XOs^YfFpI1T_Lvz&fDvYD7UWrFzAQP9! z-L?>Rb5SL78~tL?p+us{($L731Xkx$BD}p|OZ%C|XIlySJgXt>>z~L{7TF3EjxtRh z5L+zX&)I0;aHUpW6_Udxg-WkHUA?~FpX9uf^|lwo7QIGQn{bS@7L2!^6IWN9 z1hXQ@#M%@{b*5(Fs(WN?kO=LZ9*}}n-*l%9u&$1gnv=?qXiC^oOTDZuONB?eE<-;W z$xFB_!pdBU z2%7mR?hER|dB^KfY7-LLER(xPP{R(x@bGg23;a!kzJ81ex5b`QxJ>O@Voo>JS^iFA zf;D*Ef&ul==pl4!x1oUWp~YUgNjWa)!!x|RhU!G99z&XpW$GjZzq{^O zzJ9}qC2Hy`7u9Gh&#{1T*wi$IsLcte39U*NZ|n-*{sevZ$b%Y8ZHopFd@$wr`DWg}~iEJm7@tXt^=w1<6x?6=*SI(O*?<6C%f#(pC=O=ur zMQ)eHxpYv6=Q-x1kRn@;7f;hFEUMB)xm|2;oauHLuB2qH3TM?C zs?rY<+B%o65@Jxw#~+84#TXNonCR18#WLJB)C>ZK@X{8L_mhT#CH|VwHR3t-?LX@^ zD@0~2ZNm9nQhu0^k=FXHvC%aTi;KAzq5xXu5 z|2ktgGKt7C=?Zu0xn-VwHZhWUAQE8i4mUohW=8tObhDq8lrpce$V4&WSuB~uwrFUy zdTER@hE46Ek>UK?F|xAYK@Vx&i-U_)=0~UAV|OpY1I5{KKK7!8?*f-pcuZ)qkqW#FJ# zVNwXMBz(4Ymz(-_=F3PB>!*1~cnNw6^+xT~_T6e0}bvyrL z*dMCp%S!vlcK&Ii{ZIAHmuC5!amB{=AJq8&PT#QoVJ!a_5Z8rNnV3KH&B?bgQ^cqi zjiIGc!f!exVrj@pIyd<>Bt+;6HT4U$aNFu0u}RvW66oz_h-~Gnv-?^3|s*wedJa_3IE+HLCI92!L)08e*teg;~PDEQ$pp0|%I+p#5p^o3ab zD0Oo^)qr%50BQR#*!W?;J#Rf>?T`9EYabpwfaqVAlL_V@aQzylQSoaoVf`GhKeUcB z0Btr`V}Ya}0b-)qfS(Eu`-ytJVVAXW?z8}F5BJ0X55fJOk!l&50*_3vRX2M|f9~nO zl`aDDA3}XdfJ*<|1qCp51s%n}C%9vEka)2;C%WxK20x?J0qu>rg2Z}YVWnC}pDF^< zf1~7krM`gk8=$NP020+KB;kJxo0%q8W7^HER@%sb`W750)-+TKFiiG5TSMqUN4+cS zr@#&kxXpG0bcMIgF;G$lpiafVPsJS|n*spS?~ax$Qn6lK(7ywMu>QCls|R=;3dZ)V z#Ai6a{WTp9RPT^peCb}ELN7nS-hhDjDNs%pDIm5hz-{%IK^X9E$ij}IJp<7}piOqT zs-53*37V6&elQJU?u}Rqe$JC|Bi2kFukm^#K1iFX<9DeaOMlpwK~D) zd`d`_lQu#oaB;4S+wb-c4l&?uH845=?7Lrk&;W8&$bO0QTT}p-bEeH{+<=+*V88ot z?ahA+*vFJE(g5(#YQpR|<6I91=bY?8=MJqy>C5w%c%Y&K(U-X6>o;phFN2)Q1KP{9 zepUd2QI7zyh5^M%qXSHq0U!)L0kf9p!1U6Tz%2oIlLEIw%|Q7jG65L5^{#FKS})h7 z`Vr6t&Vd{G5O=nd9^?Y-0Q9o>G%a~3aL-yiIm%P40dg3 z$X7l9cifaw^=`v*u&V3J2bcnNd@d`$mIWYoF#Q z7wT+StH$2~Oloru^$i*yt(O+!`ujjJUMY8{D_4Xly{65JcQ7Wo)-a)#eL<-pjDEey zR=jj`5H_VBX95vt*o!I2k{J3M-a@^~2R3s^MjJJgx=K^;F3XjnUKz0iu7d21vZBcvA+9T!q>u z-f|hEtUrm9yb*O@RErwSZTisE%u|j}D(v~5z)L}@`Go1*u1P)2E4M0jN5dZVBS8w4$mQ0cYb6Prjq8A?P4p*51 zo*jwCOt{eWRWezDw~hQ-qLuDZ*z{nsj=E9=oVRCRVLIpLbo8?>1-9z=EIvo?poFQL z>voL@;r{kBN9?;@l+}RJcN~MA7Q}I>X`>z6&0QBRudzMS>8EbguD+HZb~l?Bbk^LL zV?8-n(@*xp8LGb@xr_Zc_X;-jmfhWNe7rr_r}yrrJ-oKZl7k+rs+Azy*YibZD+|G% zN_)>3i>7`0lAt{giZ*D{rD+kCB3td;pSYHVi*MNlh`Fx!L%P?!;g{z6U#oAnlz`ZO zLr-52`sa-KiFF;z;6zJ%9uVa(Cz9NA@epX*0{7wICqwfw?`#Hr3hRxUhLi2I>Ez-i z_CdPOM*_R!7N@@?!-wtq!`wl-*bZ{XAH*Gyk!d|&9V25@D|n1taQjHN-t&H~Auw5e zP{@Vq3J1((5zytvBJja>(uaA{=vbLK`HFA~j72-+as4u(-PrpiQGkaV-dz^5Pj^x6 zY=Wd>@(tNi-FbVs(1X@J>8rB;$7`Y4z@1<|+J$6JpWN|~c>FW$fNhZ-1|TC7CzN|2 z6nFNwlt|aXT=VR>ZJS@oOOR~-Y?hio1-PVjuGLU>Nm~&fCm<7xg7Kupqv7F*PV6mg zy@mBW4p>0QxJ!l0&P?);Ja`^_5mHin7VuAT@T20AA* zr^8<9-kK*|_N+t(2YL8tI`<=ot**7{7Au8hFWk8Yh8vppJUYkKJtjI~ph^AR!idVb z1ylG+Z$|$QYwsAOTd=L^mc7chZQI5w+qP}nwr$(iDz36^+jVQ7-Mi1}=pDCj$GJb} z{JxkOF=ynPnPa@q7$W2z;JMSUW^BBKY0qAD*9TJ+e3{X*MX+98Y%H%0aKQ4 znlsbDdoEu0X552NRI%W=-}Wgjb{7XigM00Qi>UC3t5|)wZ?JI(LOpd-Gmw!TA~!{7 z3>2jD%bL4yD(=j8jbm@1?_c{mM+|>)+_l^>(Y2=H#Lkm#y8RyWDnEL^ zYouTysO3g<*pIglO!T7Twkf-7BN8^$dX>6WtB#AUX=7*8DIJ*!!GoAI-j^?=4cVxv zQE9-n=Q&DDXr^onXELFSBtVs-;m(nNsZyips-N93OvUV_4Uu2_)mYW6xYU4-`g$2y z4a%wZa+6O5qNZK%j;VFn*+;QzXnw`xfn9d{(R>%O?!FiHHft;hy~~j%wXpJ>HX*he zrgl_66$hG<3Pd&@Udgp3{2}++WhHDfbqQ2+FG_sRJ1VKLgCF6zHNZF~STQxF(^NFV@9!&|PL3khm>->Ghs%wkEOpVWaEHr&M}qsBwO4Pf=^r z`n}2UsX9v~=0jh*9y?~6e2q7Tmb^&hu3`Et6yuLO;rUg6(?&E;^r+zDP5sS>>kH^V zS0DIq)cRimFNPog>VNK)|Mv<#|Hq>b>(6=TpL=Cy`u~hXviux~{yS>@Z$e&|DLg5g z_%Ok@`FTY$@s2AJ;w}lsMNm(2e;6B*Zd?W~{1OI6GpNRWo-&`An4I?pSVLs-3AClH z^9EV4JiP3`&GvSsSavV-Jgl4^=tkVEKS>yNuJFxSPU{k^;bp;q2d$0di_jy}?U3jS z+6-#4E0THLge?_nhV3KNph2sPOg4ptR6dH@w zWf!WOhB3n~_@)O*PZWYS;0wf|44+v1X2g5ag1-e;PkfWT{{_q>s$w+O7Zwk4RSyv^ zzy$r?C-F&+mu^Ox+XQOIgTY*#Y3dNfVl zd!rT6mbWW;OZdpF!QoF=b!WcDN)i?_`>fBH1@_J>ga)MO`p^hdIDW!wK*okfSx_lX zd~-lS>`I0yep08VAe@H!8P>mt0{z3Y|%U>D|LKM)Qz=MFM)PlYFE=F(qF9w0Cd#jcd*|^*) zveLUYu`Yqe0EvL=1u)1ae?*z=oI+SGKXG(?CRPE*6MQ-{WG;Wh!Y=lP##q2d`yp@m zyA$|$u3($U6VDK%L2Ov3U>3fy5F=6gPpJx`(IOx7?h+LDt)gqz0`u1f0-oPm1XPUg zR3IdK!X!@CRpa(l49T|zU|A_@ZPW}B^u%OPtCyj{$ae?=1fCQ|n;zm+c#M>2N<2eN zBRKxz{Q63?ZF1>*g|H)?+i<)H#VFyFlFKh!f!fz@@$vr?$EwlEJ zadU(wt_Xi z)T$tvI60`Pzc-L^8OHBe6vAMudwDLso{Z(eDXo$_is7_gi2}cfz7g-Bcyi7i|ILK{ zSFDwdo$){C^FLA-|Jr^1zlQ$V{!K9Sl5N!ido=#~9_6#{JgzCrWO;6J)29)ppI|g0 z0b<2t4w@a^uL+z9pFeDV+tvg}U0HjSnSYGHmK|N-r^Sf(@$zwHYH63g(E9z+hs7d` z_FzWmdCB#<4oe`m#$r}3^Lfps5#Pj-*IzIDCu7I2SQM{x58gAn<2Pu+AHVh&^TQQZ z;#H>P+#B25`sCkyeQzo;B?I_N2oJv%I?Q*4srLQRpsqz>d(x+}q6xeG^T(`itSR;87Q^&vxj_ev%KCP+=Q#b7etP1NZSf2+ zmvPDcok=CoTgn1@@%+>TdVm6^3$I6B!x_DT^B;w$^uoF1&cp$1l{$=JiJ-2Pjf=}^ zj#U`z0{Z;#`Jn#5xlRu<713UM_7!ufvB;VE=Q38bl;Q)$C!V3iWaby^@afoYJ3C<) znuJ(M1nauA20pv>AvQ2gQ0_Po;k=tHu#s)Ot2%TD?z)L5aV;3(pnO45(+ah=inZ?+ z&i&f=s1Mpqi!R6;{?I5+(Q=)xJp%07W4}?b#=(!nNk>+&vHxTnu(5>~LF0)sps;V+ zBF%VFnc+Ct7`{SF&7FAJ2qMlU<%_5ndWXqCHPx@<*ts=Ne@G#0- z%jsyiu4uv`Q*aeSfG$e$8j@4xLx2-SsJAS*WS`(+%qBkNTWj7^VYrqm;(adj?b#vo zNWqdE!%}}+rxBKI%zk;Aalx~B6MNv*jFO_A%{d|o! z+*qW>*+*|sos1QlBvZt9z4W^BXcT!os`<;6G>Nf8Tgooj!Fn0oFnvsP3B z7ZpZPi$ahMN?DLUoK8uI^PQq=7=tiYw6X>2sEo9|eeP#A)vB{N=~h}GQlZtSK8%K`~0B+v>umBAsmsB!M16+14Cz75Zd5NA_eFisY8eKa^R=%wDH z@1pbV#@lhwz_km|Mqc`N5GRW$fUg&&K)Jjaug-do6x@`Z+mFGZ9<0?Pl6@4|0S=l+ z(DxKK+sXY1_=KgI+jht0hvC3v?ac#4H*RLrZVs_{IoKCGW*dFvjkRD472ScTVkwQlKkgDQv=+dWbA(`?;|{wQVLPtOfJIh;-bQ_ z3$0j$zdjn3>Qen-2;ca?5T<@K*M;$E&E3xxKlby4bZ;lZ##p9Y@^D2ku=t4=Rhf}@ zAkTBRhbH@zp(@lh`BR3&_`;?PwRebqRL^*=rD%@{6loJ_^zNz?A_|ccF{Ayt9m|Gg zcQXqIbkUMMAneiuMZZH!1&^*GQhUY;gnO@{zjgz<Q`3Q|IzYHB0 z8Zh2uaVjMOeWaTNP_@Ag+Z$`Z5U(=kUB~s^GjM%NpG+*5I+k56xonr3g@p~rh|-;CvE#)^wm~gV z!na0R;v!@c_+T+k#B^D)r7{bd`*d+!(N&qXh}W=@8fo21%Z1jF#MxQ=I-N8#bN0Ax zrUKL=d~|R;sUzvPQdhF2rvd-UHF*8jq}JXmVC_H6)%jg~1_{b*d3&Hpp~>Tru~7md zRHnlTn|ikEcG{o1nVkz?G*8{qFP>pR&F| zMANz|c25fa@a{!b(u%!mrpsS@Vvc@KZ1*5ha4Md~P$Lw3aC-CWN)d6yZG=kwGjKGD zi-UID9VsozV}A;90L!MxohxbHehhJ7eMl;(P>1tAXkRIB4<McV-e zjnGN@WnRi?zpPJSH&fl7Nhxst*fPZe-792D=-h!5Yi)-?6UM(Vc`z)3AooU_W50Ll zFg%=`vKji~#yiff*s0_7JJs`;z=rLdfwn~7NDYYvV zRoyuDlT=5u41VK)W88u*SMHk&ZN>BM2RRN^%I~O_ z#s)@tMV~DaOvKeM9SH>%VrCjGKj@d~U;CR`+hn9(+j^{KVQ1h0yb9d|e+;&Nmm|<; zd6XP4Pf7Bp7pI=?~Pq&{r-D`Q{qCAtJ~(9uP8m93lv*e0a!7k?(DgN^Da_8@)>5-*BtWQ1;Lu-u0l;Wv;v9tYwe}Pv0IH=& zpJ-G-*k8jxZj_V((b2;oUypqvDpjL{4p^r13xVg=VV% zBfIrJ*$u{amHYPrS}+`4PV?LxYnB4zIGNv*xoDYKbgNEaab0|+lZdN?8>yMdr>xHX zLN@KzxC9Jw$&zr<-QwyONZzzePk-YH0!}iZ3tH15$%xutM}_Y?tC1EeC-!o$hOf2! zlt}rLyi>*C{;ZQc7eB}5zd46rL<&FIsMfJ0IeUt;NLsq+-o``KM0Uj#&ACdjcGFg> zJ?tV@wxr`aCg-&)+_FKj5MK2ZopyFt9n7lP;9pbbJPA;>gp`_CP09A!5Aez=DJH1v z@K)N6NlU6&NceQgZ*$TVdGiFqrmibZp&4g~y%J$6_Kdl=>h+N<#_d`cvc`IuHyD?G z{>@4$=1Ngvxwf+0wDxy(XelA`M|P7}F-JWPo9h%_8|)^rT1s4>teM<`UFa%<$VztC zSXe|MA-Z5}J|uvhH<2jTEfuKv&9ogR6+e03K#BA8Tx3i_VM|r6Sbr2J(asunRQ7yY z_BSh460g!jZ7-p8!6)bS7>%!H(0jmDeX>gZ{c5i#AVtD8mozlOpwT})cX#NF@|R7A zr_!6jd`3fs=|$!HHLvn{EJAohSGU+MYLr?k9xZLx9ClU zUB~)fuguFHSxC>4ze&wn4%{>~)e{#Uv|=Rieo*_VWD`b(F=M-m4yMw-5eX&ZBK-o& ztC3*+BC9x$F&fbCTAR62$(hD`&XwXG0S=>`-rCuNmjxvcZO(mYyUyytBGEhXsHGG- zyuuFE@o~J~CL0N?N|6F4l)5Aqy2VE6-jzmL;?Jy?cog@0_9^G6x!W!YuTR4?<~!}B z^0y__>aQuP4#RI`(M?gj_C4BvoV*rt5@5T}JlgTC(VAB`O703SO7z%EIO5Y!bDQh* zw&>A1Rbj&mUcE5`dU+-e#Z(9PCk07GPn)u;)nB`wa=bP5AKTv_^y9%Yr=EIJx<>=u zg$IoLePR z;WFu3Oa;Ya6ieP)o#x>;Y)>LnTDp~i^pOo~y*v#Ly7Z1ih||eX-Df)DhtlO@PRnZ$ z32#pvnH=)-4jgS=sF;35nkA3u1^Sn|$ociJ7R`z24Qucs;%i*hu~tHCOr`yEHslEM_^Z`=?B@;zl4JS^|X^ z>cdcJm&g{QTo8Vu#qBqWENXqUl01*-;Q4$kO|0{&$a-kU!>oQ5r5}%*GIo)%CG-3c zf(Uov4nRF-;L8I{dhl&LKA$v_-T=9b$JX188h_}Zp1e~SxdCQ-&?LRCd`1+{0DDQs zGelq&acW=dc;}G78YEb(m!J`XX0_z90U*Qh4Rk6@x?h+6g1PAqPXBbK6?|ShIL>RH zH=d)AXAiG#H@9)s99WKS^~w;#i`G+GtlIpxA{V^il*aqe0{SzD;N7PI`kQ-UU{#cd zQx`r0oP}r9s5KqYaN`HH%pM zEMSg1me&?l3s!#O)P3p_5bp$&w# zdmtSS&^o5F4zK@Ky{%-Cm~|?3TaySup0=og`-gC>( zO0n#(bFNmB?JD>kBBsXOKYLr+mc*T5fC<zhT`MbVRjTw=GL&c(JKzG{R3Q23XR!AQ-6R7F^yZje!US0&0|R`_tpdzCcK1 zkI0gS8h5~3>eO)R*k8hdRkyC6fn^m6g8D1zNSaM3_lTcaK7*^Ts9E6A{C82#DfbkI zLqGZiShKk_Ya2CLbJuWpPI4EX*3uuQb%GN_p&;Em2nPp!PhEcW>@fE$E|9|tOI){5 ze0t9IgJuW=!Q6v}Wr8ScUbfB7p(Qz?ldK?OPyOOY8g zhvjCX)#E6nWWh@xaBkU=%y?Wd*qIt^_5P z$3SaUqRrOo((})D3=|Ix0z^g>EDsPH)dnM+%a>$xv1T*Tp%}PmWhw6lSIYCEdI%Kr zq7Q16Dj48-c9F+UkYj=^`Ls4%&Uv=L55ueg$LOkKOAIAv*@oCfJi8kFAXg?)M58+f zSTDh`>~w;?X+l%)!LlKtkI?W@2WZe{V(8p=kz~-Tq7^6mbAZ{*Qthfk*uQn+e@_yN zm+GkZ+W2W^X7|f`>K;#m_I&q*=`a(el1HvQ zr+~+K96FsAqzL$-P*Qu#hx0BS6rd*zYMSL8V#XCY4vfhBdGq8z3v!Tw+CIoBa1fM8 z86fm9B5by3v(>NvagewYHxAH8lZS0O)N{h`qZFrSD$AHwLw)Z@jjV>tXMf1_J-@q& zFZr_Cw9t=e3fBIs=po}8x7zP5gNK0C$+nt`Ap&(c$rTtI~c zoXXR~T+mR;ieors7he92*>7b{gw5eCaq``IRy(IY!JFEeaQ)X1N)DJSNXO^6{)~R4 z&4zx2fV7AGFUOavc_>qxadx_oJKmcK(!{;(60hfAmz0LbYDdMgI>Y?xSP*DP2DH0nd50-G${ue^YuOPq#26rss1736}R)GhV(}wU{{N@d)Gx}rt{UkNH znj7LY9Z?gR2P53h_o13~N!Kh@V?8%kGfZ}#?DW%V+T{i26B%c!8YXKnE+@mPGbg^l zVU?m0)a9s(Q-uB+W}b)=RgJV~Gv^)nU2DP*IcAD={NrwdI#1Nv<$Lti!I@$4Kx87? z^mey@D!iy28$Z@TK)Z@qN3N3QlJ_uCZemuf282nvUC>|aim7}(!;(v0N+XHR*BHsp zb1`*t9;C8N;y73rvFtcCV_c_$Kn_4t{nqBP8Ejb9xP)<~pKu4QuVkFpXh}~F7UE(9 z?EUVsh*17)Z%2ux+WisTtTCzg&0SBi^M{EsKQH6oj2Y^$&1R7%OB6zfXmYzmAX~v^ z`XG)k1g+hi13v_;Op)MXssPu@uv;7F$HfSiKjTI#Z1viLdZnm)01-fon=S1PsT8)z z!WgiM^G%jZ;@hW?gSW7|;*LK1bZr zmI4&$cox+{X97PS2(K0n&i zxTGSl01O8Bwelfp(MJg3t!|>#N16@f9qP@g3W5qqn(DmQ1%VAjg|5Fnyz^633xEcd zldfi0RShml=*YM4TuXAiN9-P531NBw$#iH^aV~1@S+(*X$y)8$wPlah^fD*#a+fhE+kY-!B{5rXl~ggFIC8xh!#`| zq+dcHIPE#1&&K4(cIPh;Ix#raor(KRQjhB>$Pr!k;%?h-2lg@DQm3>DZeCgmJ)z#i z+>E5f>#5}?PzQl2Mk=I|!cV=zqFvt?apX>9&;w5DEmk>*MLX66P3AAlLr2REw2mAM z{nXBuJwKLohfaz6*I}S*og&uj$ec<>%3_5Ur~&LQ9R-784_<}7YcDR%**r9q*D6@N zNmE}nLaWBrt!-ONT9U$ARB{*cA}Q7)S*l$f63ziIbM4=aegQY$8jHSC$YsPg_v8{R ztsF{ceJO{5!Rc9C3}(y8MOn?+MA5#oQp*QNJ0&gF*fNt{*6IEN8LFZJ_93jG-cZn> z&S{}jPYom0*jGZq)PIjm#CxE>yWDC*+2Phn_MX=xHBNFi<`KgmA@4A_0%;NhCU6N- z3(}*sl6*|k`kr_?6C`pWiyCyu8hx~@7@(|K9?Ry}wCZ+SY-#C3xoEQ8%NjGYsIc|=i7)e5 z=&I*~4X=*H94J4X38=dTBZ&58?#{&3pkz|Poy~xUo1&LoVUdE9u9a50g5+8*l4APP z>%i91^XxYGhK)MHaI4~KFZ{$;e$nW6G#cpCz5P??jP2Z5HC=0ys&Y!Mai!B~5|;z= z^xu;>$|UtsNiQhtGYp6Cu@-p@-el@_?=lbv-X%(P4>e+|_L#`un`1=2%egu^5u(j6 zmlh-;xW3NpFg@&Ch0pak$UU+&j98b^j)N{4XOs8!I!@f2NQBcPG5u50D2%C#UaVY~zIgKX5l0 zV>*#HB>Zq!q=pe6BeaYGIn$N zr$OZZ54`WcG;5+0Wnjc-WdEQ3k^dXm?|;Gj{tLqQgWLV^kBsd9^yB~I>K{Y=)6V^m zE2f{T|7kD$vFpRD{Xd@aubjNnf1rK;XO9iTzdSZ9 z3_nc4zi7Yzo*$k4-;`+^PLYXOr-uo~Fx$QAy8I3N0HkihfUa$+QV)!mCw8K2^Z>vk z$U;`uQ2|w^f+z86nh+D|qzE2{lKD|2cTgzFo%CKSeBMUZ8da)ty*eFY^Fh@2*GitW zphcSVs{{I_84#X@^F@U*w(;w&3Hqh|%}}MVknV*IUCi6c6_Ab!pmAxenS}LKPSANB z)T$u=cZjIVd+lY8a=i=}-_z9A5lo`tOyI zD6QB9BO~gI`BQpeJxuW@cS2$?4nP26Kcowy?om(n!C4~{ISDaEi>*FTfLZ{fCSw48 z6#%h6s9|If;_ErE0DWaraH1{(Py;}Hh5!awnqWpzAUAfb!?_qcI4E8Ke&JGhKFBga ztj2zcWumE?zYiBoK(Qx4b8-D}+kwyvP_&v556E|I90!|cA@H3P2mMaiCjgl3{4)2i z?L?6|tBR%4{Jo=X>H+cV@d4#1^Qf5m43Po&>1V2eByC9i8Ns2x4FT{It)LAk0D^`f z0B92dZgBAG6#!_{L;%8ak%u7sf_UsW$@7x*0sE%vWjvaI`mjKemju%8q>6w^DYsSo z!~j=l@~i+7HvHMzff8a=`P%_+lmSHnKSl}c>FyY3!RT!OvaW2Zf`>ujy)8Dd^Lc$uibb=nJ8~{9cjo>aurivt}##Hu9NZ-MjR|CO-Z&=G68M= zeT}VN3o9xZbu>w#qjQhAp}j^{&`1I%HQOY`6#qe8l8mJxf1c~w+RejBKnp7wW{~<} zkVMQmI)Kxx{u-+)Sk+YW2?l_QV6`X**`3x2C6CQ%+*nL-&eGGgUvu|!s8wP76D@#j z!Ljyfbyg-BNHAWfM_(Ep56qq)fj@&o->k*HvqPX}&d2CEo6`Lg^#3mH|1r(~p*I|? z|EcW%AzuHtBJ|_#`=`;5h2g(2PXA32`sW(*zc5b2OAIy}^zfjw2x{cn8m^3S&}~lo zY0D1A=Ka725baQC9O7wM^vdXda|bhV32j@!elm&LYN*7#)$G^~WA*#KFMsx3L|r;N zU=DqCu1P(6#+fjV=ovbL&31~lK7CHUnRyYFbHhER56_l`zB_MoZk7P)t`K*X4cy;v zXTQxpq?sM~snFgx?90w(TjTN1L(jx=uAihv%a8q*UzwhZa7o=%z`*7|>fQ?8y`PuRbQOFO%bU_CCe@TBF#EN_{<65rhSKu-fw7!9B? z$FB32^WRj9;O7obz4=YbXXwP^q-W53qd;O8Ob;m5ijQrc6Fs;$^)S^Q-D2KMnPVRS z;3oa0Q^ep)$rL*RT1C-`zxLus3SUGJ6+e)CsCM#AvAGT`!DB@gJvMke$XU7#sbPP z_%4K+pAL&r-3DLJK}<|AbP)j|Z5c@h7U6P5+xI#3e>9)9zlEz_+oSFvhz%+891}!G zUmJhU-twa_5@Cz-3>Dyxz62ly@$HIf#FqR9#w`*XKA$?v-vR$kSLm_P{s5~>H#o`X z`YrOg;$hQe{Q+qz0j81Q@#uBvJb`RW+m+hLAI-;x>#OE_riDm%|2ZH97stc-eP92~ z_IdD-;>P(9Z}Vz~v-~)S=kX^?leaweHCCVdZg-9B_UFqVjm3SY-WQ=Qm<<#D>@p+AX zclZ=mb5%2$bKI#Me;-+X_6c+e7u;TXeDV0+Fori7J35 z3G4`+TWk9cVLh(oDAk^)9#;EAib*8AItuR2Ke}r3iDhRs_;AA7t{9!Xse5no?TAPV zpUyqfJ)oVpzPM}mGM!B*E!*BYe+PspxXmVxueXa;cKd{FpM^4Ka$Ek4@g%#~c-0F7 zg{@OmuIs=xTdL4&_c$J+(7TZOiN#46j5Ka8N4z<|Bzx=mN$e4r+T8Qf;5!uFUx_c% zDZHP4>Rh|M_x#4=>K-L}-ul+ytDGyoPw{8H!&i;3NbCZSnOyW4?y&JX_xt{sPW|gz z|KF&|Kj!Oys0ls$e{O01WhVa5#-9J@EzJ+d{XY=9|3b?DH*Lf0|Fh)6e-e|6%M3OL ztjJ(`P?Tu~fGy<%*hJYi4Wr@+)GTQOKWKL$buco=2;z!?CJ+T7eo)%CZxOL15_H%u z1>vCKjNE;E!Ps+-!-a#RTlV%V`eI$LdeYkVpTS#O*w-)H0M!bNMJKBuvk6~aaf*j0 zSNHGN`S0@`w!(~gxQb2hJTpFlx$nzf%p*T#vbnr$eR*GYWxm`Eb3gQAzsw>qWp3*$ z9L`SZaGs^x6|xxC7iGIZ)X${MadApZURDfqJmbEqq!n=X5P9*qZViPEl`c35{oITT z8=zTzAUqy{f2S|X0u(ZnU4)Ngsn}-RJyd|oaYSX#@L(^v-iVpQp7;#l zk^Q{@zqK76?ezbuvNt^0Hc9ME?~&y3g;<#j*6m$*Y;#I2`wZ?TXe9 zQ@N@Qf|3TZf!P;oa=d*Uz2fK_b|&L^C5?|kvvVdy|bH_|rV zzEscw@oIR+aQo$XP#+0vZNesX1sdMrX?=lcCw#PMdX2|+|k!^Un^ZRaR6wx>4t53Ws?F@X> zj+RF2;z{ST_(ck7`wH%sz=wMnF9m`XRTl~jecrqMemM1Ia8>|szwNGZ=zB>v1Wmt7x%?FR}^UM%YLznM`fcq^{00J(T_^Wi!5cZGK*^K@qUH64a72ntGkTY$BVIc$jTVd2L!!>l>7*Ym`}4t;#l? zb^`wBOD+R>FT86^}rhqBZ@my1zeeZ=G&}<@rz+OoPEnEsHAt>8-b%y{ZeR` z&jX2TPVS)n9uxiG^BMSU>)VoqvF5pE60<)NgvqmfEn%V5=bs4wP1pH>4z@;!Jvp=` zGJ(P+QI?l`ou9G(SbE0{aC6!g@#=bmK=|lR74wdwC(gGoz_oMh-q{m?U-+p{HU@Cx zUCd-30t)8PxshrdKzll>8tA+C@ZYi1PTK)(|=iD{y+QJ+5er7T~*!j zfHlFVR<}Pi9b)~j2*S>16GOuWLLS)4}|X{9oy>-#nxKRm!VcNk^4 z_t2G8Wh6i8-3#OW)!;aGWTO=C;1r9aIJwDmND5|%KLol)XTd3BJ$M<^)Qu%2~*|)MR z?b*9~P3roRv1Ri4AZ(<^Ur#zrcRH0v*|-w27C)C2TXJzZL}WX7k8 zqlPs0=W|VC3D}Uoh zywa2)|2WJ#-RJkZQj_O4gaCN$Ipl@0GsQod(YdeP0fym(k3z_vRM`-4^Ul{248~x| zV~voiGJ{W`K_n(hP0He}1rQ+=a~yjedGQGA^DuBC$nR!K=~ZM7N>JCHxv)joM7N=5&L*9d zCBs1PRVE}S8*7;bNQ~xdvni8SZE?+6@8oof)Hcilp5Tuc-|Ya0?_bME&V95EH{WSsam-_Tu-nNwVu5wv75-SDW3E>E>Ui@@@Deg4a*&y27XFS2 zsjra?Q@P)9QbD4-xpnb!!mDIVf2h31PCVKT)Vv9ZJ^82huyGy2!a0%`LRXu0K;*I39k9u-m ze0!sB$yNpMu79ifyd z#6;@Y*(ZWKmvtzx42AkS{m|fVff3?{rN&4YA7<|#3(H9^kN|Prk`Mx)aLpIku<#i@ zCiY5YxFa9~((%5nblinZCVQUyDYb6Kf zn$^`Tn4iTFxk#r!hTqijFK}%SAD*C-uTN&y3>{DgSd*XnKH6NTf&3fWUdQD)7=W#?t5b? z337I}Ik;z9#po?b%?p_nj7s)UkR~2R6iEMi@V*V#wHW~K8qYjd>(1Ip8SR4&#|FLe z0R2!7S`?Uv-0=Eyw+k$6)-M=BghTI@4~X;Di9|oxG3b#Je9PzjlCE6alPpDo$4)5w zNGeb9EC3lyxx&^BZr&5;@knERCbd}i&z+& zfRwhaJQ^D2$eGo2G=t4Q3!h_;+PdzasY-|#4b735VP0*lyBxW;#-;t$#tnV1 z?s)Sn9Mr&-@k#`bQ{)!%rpJDJFI>lCo5+}Y@*gxyb;LDwAwxlLeL+EFm|@Zo$PB=q z>1af?0Q(qA-&(JK3IZXiQ0my4yzkd_!_eKZ5d4WTcZ0mCn$r16tBOo()?hFe@sY@t z(pTFHZ1pPuw9|A1Ir#?GN9Bc#Hn>I=9U{;JA5h^%ep>|#`vNBl2j`k1$@l@n>_b!K zY2*Zjxvu$9P# zcozH7okbq>c1H=AJ(y8XSJ?vmyu-+*`K@9vR=X49JOrjB??(1RAJS#)dz078+SZbr@@)5v$BP zpxt1hC1)&YABkKoa2YQ*z47j0BOM9$3^R^$H$6==4SC6)rkl^@^B)le*eOEvj>7Zt z;tJVV?{u`6%rmGd43*b z)7J#eMkx+VzyQ@i=qF~Aytu{vZny<{N}w{0|Ga~H_EArJ-X5qM9}dN;)T1nIp7ZpJ&vUtlZ{lqh%fqP< z%o7fu;sGCW30n#7KIGMI*Q9k>fIz48MG~y6yNrb7kPt`5Kx^TN8Y2Kfb-i&Pb0II_ z08UDQL_EYSFpe!FkmoQY)(TO=VeFxgAACU$o=iuHF1$RZ2^!#jd@T-i&%ylj()$c( z=i*zy$qt>ozXhxxpllS6@5)-Tf$x20+%^?zso0W==7DktJ;zoQuWsG=8DrVmnC2z` zj)uX*pempgI{25Wndj({=)OaYiPb%RFZlKl^V?l1vhw(;Zx^LpxFoz*@Xv!Ga~I?C z#tu>0*D48$`0Np4C!B>xB^T)et;xm?;55PEu-pQmbb5321hr1m@xyPM=+$7lBGZ|h zIbi`g&-u6hhJ*LI9{7K3?cR78s~I=9r${l{_c@nhE>I`GyeNdL9%E=wjLsMUSV>|-KYskjO!wk*=6k`!L=xV+c!}?6( z`nt<1L434Z{KDQn3!yGw-E}zb#NRX8=N3OtgqW0F2d2TOD<^3AUb95g7aZFX3Hb!z zSp}*@2pm(UxL}B3hHc@FoFi#--e@GyC*?2%ZrvBCXD6rd-5+3-(uOa4gU4<$Vu)&` zw&)_n8ahSy#P5HNXynXu{8J?~L$G5eV1S!0{m>rpnJv{Gn<-Wx|KRAhx*x-F9Sd@f zd7BB3rFn%q$e=E5S434N5M>EKNgkK^WXM0Uk^+Y=JvOs(s@JP`oG^B-W;oxLh0d zi~CL|UVu#ek<8}ZPsBtx!%W`NUBj*XVlZqJparKcXvC55Z9Ml|lY(X6ROxD9# z9K(dXH}NzUZB!T1{>=we@I(r#Cyg2YJ-qkut{4z39i3W7TrvkkP@3pX`=$4dG)Jnf zH1%FT6WIgF>_M*YpzvIGD^N$qub)IAxV|OyX`c}SX9(ctp++Cn+Fw5@tQDI=za3nT zSv!V34Oqxo;D-YUCEIfBU5wlI;7Vww>F!mo3h~+1=r+%3x5H|y^dddWS~_E)RJyH* zvY6(#O+uN(wuyqGbAx!Un!SeE(McthdPWzD2sNtq2vMtpc^*yFZtKAsi|xa=s6;P^ z7|z-n)u{^0EksNS)oVB!jr|;YDG-hwm;IDn|SFjATp(W5nwJIUAisgb3Wbe z-@)OmAuKB<`s183zRZL{(w~a{JZYUU;ppsQ_5SIztd(5wS~jb<55Qe$Ojqn4A8=-X z16b`j?lv6#EczwK*<+CC!o7EUe))kgVlGqEqlLRx!f`!s-r?<7$3=EsKztWMdq~32|dtS zpr*DGv28vQP9OHc7oUfo7#7(a8z&WuR5{LK5F))_=pv!R?{124Pjqp?nxHM=JRiP6 zolTh+hkGLaUH|;ve4M2?cgz?OLBSM{D<)$e?`>4Mcg$?Ta|X2otT$o>XbIk1&!#w)}sfL%o!~Ykk1*e z$R@Q{N%u`+U4(G>e`tHh@XESvTR5q(VpeS1wr!(g+qP}nww;QdRBYQ7JGtw9_uhB! zd(L_8pYMJ@#xv(L*IYl=T(kGldTVWr9^C&~M%!`U$rKO$fq{n7uKeS{v;koa#W&+S z$j`H>1!aGpM1yxi2O}0jv7gLd3z0SL#tllwX7!e1q?3X}DsxKf#EK~u;+w^T#WUdD z|0^Xv*qF?*O89fU)6LZ$2tN2iRo$6d|=A(P~IW_3)l+yB4D0z8B9n;3z=)U zv(cqjjjy#HW)5ix!x=>5>e}Z!C>57K8BB|)+V=V=lsBG`Mqn1y6|ac}*-B4^+np!? zPZ^`d$J>~kyOr_fhD)zB#e=6eRE{wT)&bRuPr|&!Q%^edGntX540Y(u)Vp24?VZUA zN2>gES#hPDugm9@InC9#zIQ%DMCyMRZD(yO1T<&gg->CT{oIWlL|RII z2ewcON6THiJk}5VK>rv$@HHcdz@Rpi9^%vUtIHLZMgK@dc7Q=LKgx_lU=WE7lX(w@ z#R~_t{-N%36bL`br9fspdUwjggFjapNI&@I2+@7cA~K;_>zRRy2fq%>%#5q8j9py_ zu3?SZEA*-dk}Us%je)`2hd5Nr(ucTsoEFGTd~6D`wGBu$?1#3$ijg6Z4UW%M!1R~3 z6?S-;ewJi|0k7(d`BY)p?zW8im2TPr^MY}YdcocVrR%WbW34gONL-e^7Y-h5IEXpT zt8NL1&`5tD0xaY4qAlbsPQUy9h58*8hL%yWR31uV_J_Zb5cIS)r%?WiO$}j%;fHl8 zSt5dm`yvwzZ405Q$tjiuYX`wbH1o2lgzGC#+|0vv5JGaZPa7A`O^v1Ab8vdn_9m@Q z7_TVdgaJVz^EnLNKBXeK$?QCC--9LvLaG&vndM%$`1YxukU&x7 zOJ%jMf>{0sbIlzMCz-Et%&W2!&4y&Fu^GiTp5-tTu+Yb02{@9F9A5C&(D~jF?2hf$ z3uD!}ViM#IT9wVyfl*0(<=BUPn|;vCGtGVt%47=G=pTHNffjx36`y|vZ-=8PA)39+ zcc=%JMnLRxwtg}rml)?2yw=s3y0gYppT##rc@bGYXi#RUQVh2ciY@)PDD$1fEg!j1 zfUwmC%utnz1pECL=DfNkCc?#Ar4X&jlc?b_(d*iyD9 zri-W#)m53&7o>QuYUxkLe%wRa&Z$(1o3DvFsnGKk`p_Te>BN(f+Kc9Zy@Z(>ypN&8 z7sk^tX|-kFfRAye4^YHu=Df+9T!NPqIiGjTx)guS6{1v?iZ8a4?;6&b2V4mKq1FS! zYj1Gw_aO01=%)(fnq}qLK{;;OexaMnIc?m5Qi;LDkhMY#HUt<1;=1ws&PE5L8R0C6 zaX7!7g8Z$Af7r`$AS0?_kv7Q3NE!^Tuzj~QWA3^Ry$ne|K#0W`;eA1Q7E`$+{37^` z{)LF}ro%#zFR&HM7}qA%z?%B%!h~!Wep|L{XuD%*j=r8zYBtXt!W_jDwU4xCHp5M7 z9me~s$-2cavVDmfe4qbRJ3=rPWl*h_CZitubz7 zn3%boNL0=fdK4CqTGyBtz%-y`4x0S-ycM^{-)pre z(kjn~PLB75Z}ttIqTiG$S+!xR6fPLbMVC-CvL^glj9Y=-9g~C=I2aB&oY|kb_^|Z(y&0JIZRaN82VI#ppK{;`0kN0P%oVx-H*7Bb@rX){zYP0JF{1#=X2I^sR+{;2G zU)Bs8Ul$6LMi5X>RM8L!KN{%OxHy!URLfru?ZR_puQfreA0B?6`##lO;5qk3iCmc) zRQ!U(R2%krN>eGnfYObCYXvWb166~8)b7u$mT9X-U;k|#p1B=+)hZL-&G%t_jRl>I zEGb$ayznP{0-;c+wrAMSm3Y*L;2hd_#@k(PEo!OJ5{FGI?+lTm-~Y{W5PK%KYU>)q zQ#+1T)OM}ULgUm(Mr$25>f#O*&t`D#m7y1hcSE`5skHafI~1O0nR2y$!o1X*rB3e# zhx>lr@9_Sq1s^}hTsHFytrFKYJR6U7(>-++-j7J5E$wdPyFQ@1lvW!`aSlA;@&JFn z@ibHPg<4ERF!LUO-!ZF%Y(=cxjc!+ z3X&=3Irc`R?whaqwW_GXnh18fj(UT_jjt3!rY;VZ1IhGDpY1jVy&iJd^!%cVq11*{ zc_sdXjN>&t^O47kDI3jdwEgW^uut1t*d=4d39d#oJmJZFFA=UUiYVxgP!pspemvQC4QQe{cB6{{OFM^#4IG zi2pE)0&GYBr(Ki%zu7f8{xW_3%PIAL-9m}ufACXIscAW`v;OdTs@)OdO1u`x*Qj!vZXs2(uHviVtk;ZGl;WH( zx!=2R!#gofM*C@-b~^lXl&KQgdk=sLzxUk{Nh#CV2CE*TuRao?#lWu(O$cTJ8AG%p8-R@f|h(8h( zy8d}oXjFI=5EiXt~Yo^ajLq1iW)kT}imO-_T7$aXJNRC#$MTJjy z-wTSULSnL1tPo!-;6rPR-O^*EOd!T%r=ilW%&v$?{^a(!VzPcgdXAmtwUN?Y(sEzR zEz4)3%Q!?MSsZncpe2TGZ{kZ#Prw|66&@C9=Oscb5M7fhU;8T=$(FIC_3LZufu0=A zQR8sDaFya#0*Im@;V@Vko3Iw6Y`Gz-ID9WyE&Jyx(?T(~LRvZNwO<#?G31?NP^4qf zDo6i4wmxGObtzAik;;kv%mawTx1l>O^eF!VOz(VM&1x6Wf=;&CJcV&(JOrqq5XFg} z=Nw_M#kB$hW%|Py0tSg@EdSAR?^S!xV0WMo(}z%nDeeaJQqE?3i`@7)_fcBf?1XHJ>nEmlV?~Vh%OK z&K?%R<Ueoc2NFz>xCl8WKT)kf%-S@k|74_%33u$WJ5Uo13o3`DtVFj~< zw{W!iZQfX;KQ^N5b~k4jK7;c24n8{8`7hlI=61J$>NkW+GlaN6fLl8;q&MN@ge0$4 zJLTh1>~i@(>zHBOw**SBOv3tJt=EO;bQPa$pYsSK@crgx3>4#oOlBTeLv59PvkB&_ zmcv2|$$X*bS4eVV<-X2WzlW<@L;aGE1AkrF6^uu5XMnlr`!VQqvD=Sv2idNpEIN@u zW5Ja!3bt|0@P&`0XwAH|Oz3vwc$ce>?U>TpxyRM!qB%~-AkeLYe*459_u@%>HUIok zB)IM*QxH6_X?-%59l!w1=~MMagsY!?w#~dOY6Kc+ZC~ZinBUX9$H=c| z&=u@2Rm*Lt5A}TatQs?`=1V8(rDv{cQtZqutY807FeyAjWY`D4gx?eSQ{)ruh)Z+N zaS(MIeSd(`rnn(B^mzN))*ew*{=xaZQRyHNNKu$%UM;_@DZ{)k#h6+OrM8Rnp)8Y6 z%DsS|>fOtu`M(ASH6S<|gf&dH7nxv@MlSH_hrmv{HtN7jr-R54(*6YdYovF6_2detiZ8wXKfRtFXvO&zIu)4HbYY9>^}6`7XIwyoI}KM zs4f6M;FbfU!ci+FVIqahmF0<)=jW3TSy}iB^JTowC|d|26AEOp5KpeQEdireH0PsZ zVoGY?_(7q{<-|JZnj>`0;S9wjO8CcK9AEFOEmjd^>WUDl)oM(A3ajoCW$u`kJ%9I$ ziv@0z?@c^U;bc)sq)GFh)jy5I=KHe{{`^5V4~2p(PExI*&sY~Ame>hSh%-o+p4*=h zX-NsD9YV5$wA|KhNAN59rO22&o%lQ+B0qU&9MS5zRFYOsm(ztC9so(Vx(Idr#xRVTyRQK>G#6LlXd9fVHj4Y zA!2Q-Oshnf{5ESYepsuxh2HD%vS9%pdrq#)W)b6WFX%~McNLQ2+0ew5%pBx$&W@rkY~%9&i_8bSsiUIV z6vbtyR`%tlYj~}PAa00#2E7t5M{ypcV{j?gcl&^EgK;2Jt9sQYJiwGTj~&H}Qhu~!mJ?3ctSW152ZlvUzzR!&V&J<%5=jZySG%8kBRCCJ&S$kX{9`Tr`+lOalO#vXUkx{Be;AK zlruKW-kJh*emAH$z(&P47M%4hHt`e-<>+_~Si!B1x9y5h-7U41=C_k&clEDviUSl3 z0So9PwlHOBremckfterSENA(tYnR?eUKuPWhJ!vF)~J0x5cgJgv7=4x@Ln*hrhynk z4&QXt=sz)38RAvB?|%gO97D5WnS@$L4v>gnA}{%eh7Z2+>O}WdxPitoS}fNL246Ej z@eg~~&+kxSD)#+B+bJ=ph$Sn~8&T3ulLf;w3uSk#7|@E7!In_ULAMF0=0abNN;HHIQVz7Vj+Lm%Uco;KG9w%3aJ1Ds#ofru?H8?zdtFxA#v z^>}S(6O77XjA_o2-lA3&4X^PFxa-Ne_`l=czj5AQh>($m{@<<8IR3T$`M*7||1Z}{ z{eQ;2{|)N?4Q&69He?+C^7j7q6aMc|_kXW;`nS*a(p4rEn=R&YtCuiUF_sGT9TI$i zt98M{bjy65CqeE>G_!${#63p2cs7*h^9i09*ax&vyolQQsSB4*`4s3EbOQd>5T5V* zS)ZY|{Dl2dD4wnt3r}I&c=ens^E7le0p&K%Uors*dWhQqy)& z7&;=YRL4t(>gNh!eqdi2`pqog_k&(2t)15TI6-u|(af7#>F*9C0_(X z`biMD)=p1aHZ*ER%k;W6``4w4YVN2$TneP#Y6BhWG3XKl=XlcGG@;<26+NAw*LPDi z+4D_&W1UqfclhAGoPlhc%iJti3(M;ueg^ZnE7Rq5NzF3gp~C6&;oagL?=QO*tQKAY zv9NqyO5l{2l9&L;iVrE5{ArXWa)+^J;pREi+~_(LlL{=BX)6VSxEuuiN^|TyRU}&V zg|S(HTxA*kktlrdhu1a!(nN`;;b-jmND?_v1!Ja#QArUUNbMaL7yK%PqQr8eas zibl71f$QvJFuzK{y=09M>kWHXMsn6E&+;ry0n6i625O8K_hVaUTu~-P4Vwb?TCPt? zji&Bs4Dgk|OceIVt<;zbI|b|{o*IxVwY zk!MO@!F%zFR^5HVM~ON|M{NqhDF~>??vZV1QZlzPD~?1rq`Vk2X>Xg=H!^oZS3$%Q z9jgpwPIK^K0p&lsda!i5ReW&TUDT;-)L3v#=YmQtVkO%CH zm$6~IVn<}xH1Kmqr{{PzQUR@dtbX$b$z2de`ik*FCAzh9ID`(I)D^KVeMu&RCOt%< zEbEbj6R?3%(_^ME8#k_mIF!s5qZxj2@s@Mm+#)Guc1sk*wX&xL=5LTQ38q!EIbtGb z*voJ@Dk;=Zr?hDCP-^^H{g``L!Z`xG2&b@o@lc~`Obt9qr!Rnn^Laf(!z5H^yd#&e_hK&ClyFm@^J%8Y< zNA4Dw<1orWJsld?)V?=F4P?qTd|sO73hPzedEqYCfm1yz7U_%|KHj${eKRJ@qRzpl zjo%&BLv>hZrVjW8x*o)EiKU6)(>#JC_gCsZ$EU~>&xHeqCe27)i-;*0V1LzI+Uq#N z-OQXjwpXK+D(o|ZnE8PQXJB@1^l_FkmXJ~kBt&ukNKf&g9bwr9)#w#`6Gb86Vc&|;$Yayf_ ze%4Lx)HU{YEj(e_AE+XqSu!b*5b{fLO&}rK>8C@o8~%mvoqe3t(#}1jT||=%vJiS z@|4peEaim@z!WW~8^vg*z8%fpOKGX23`3d4Qb14sEIU^sP86VWP8wMn9mH0SF%@IF zA<<48E9^IT{?Mn2A=Qf;SDnF2^9mEOSsTWq!{A5QIPI*KR*-W)6FXtBNO84t6}G>5 zY;~=1Z@!X5d-S^#9=^?jll9Ihgqp4GcEazw{@k;9g^NcWC|PIb+5dQx1rnNXaUu6x z#59TlQ~7ZrC&%S&yMh59kmxp4MXe^{JF`Ben}fo`Pxg7~`ekSRL?Y>cFf7C{xYlSN z(#fL|K!0*AmfvCPl28`uPSu;|=%`46fV-Bb6{x;p#sp>AgrQ!+ei$2#m@#t(?l)d- zPd^dgBM>wu5$gauT|UUo<{JJ=gFGwO;pHN&DcJKO;0 zzk)S2L!vc3>TFR)=7_{x2^cL-XKNI&rCg)01g*@F67g(3AJMElQt%!N*d$u&&W(X#?b$U@Q zALqd;lr(0Yzp<>U`%CIq@yiVR^BV(8Wm2o5h zj>J$4z~oTMyv*PKaYO>MVDuOY<6(^%#1(`a#MKol@41K?p8(5Mq zU?i0cU=YX@L1LlQcA7B?M}5F#!zg6{4o?{(CguzfRVB9aL#;1Ez}9dA#SK&FI$w>{ zj~9t#n#PCZV#vD7-_NR6oMy=2_PL^Xb{V0neK#1P@aO66 zx#XZv9C-MfErEEEKaWnEWvg3aiSR0Z9pSCAN(fhP5SD4~=aRm~glwPe>{+q!7xyq9G zSlzHpZ051sb^8#D$MtIWRrKl=4sRzNVikO^o777{;+PKAaY@2Kp&w7ik!H(FiZxW}q#{S6nX3m`DpXKd8wnc9#@Js`vV1nCXqdpmp^q$Me#@bQa=TWfS19W1J z2T403ud8FZ?)PtQ% z0XU2F8J0wBe!*%|ztSv7jCc)QqD;Yxr{@Tb7yP!cPCC`%kIPNCe#|no{pP1})dH64 zyc3^M%%UI=8ddKMnygS((lyKpp zr%P8;=<^2f!i#OS0M<&JRo3{V7cgr=sXN~Q*80NNFJEIW0kOIS$ybQh=5rM%F3@fN_gr6WXprSeMlt1JnmMpc zHoTN=^s=lImb(~8m{#dihGyvH`Y4jEMnPAVNhL$!i@e;ZNCvg?Esi)&>f9GsSg(0H zmvL@4%==BBT(9byHnYL&^GBI%FZYkaFXW$f>b19x@;in@fguj|`;-NneZ-cq30%$~ zNKip*f*mshj*+fRQ*#=8d5^n~EA^@@vb3yf1*b9Av+{>8=mvC-=}VNiDjt{SQ7YI2 zbFmP{eg;)Xb98v@d$VLyBq^}4@K7el!i68dn#-z(GX1_?pZYBLnPI+}Wd~xzf0u;p z(&w!E64wi({U%dE!@l9yisqNt3*!|t!TOY0OL7QY(_ttV(s3J|`x@iWxQ;hmZKgJP zS;?q#f34?@Ql5;Z;M%oyyNNLg>Vl0=x68U2`ZJ7rD3E#MaKzoz=KMt^JYeA-D?B6-_>DGXtc%(Prs zmP9zU$xzJaihFY1LX~G8NU(iQQ9zbIUYDm&8K`Aeq%vBOXH)Bxcwd>I?YY{|O#5)i zk^pX(mutUjq!_I+=%>U2HE02$B4w`ovD!SC5g@ukjwTw=4o!mY?S8*H3_E5;bmkSM zbUN++pz8{{Y0_bRBbz;V$C>pYz9-;F@UaYuqi7ZR{g778?M0FVs+PTVrECRKtKv`4 zO4*+XS1KR*2E;b`-LblSkHYuStv{@)lnuS!XW37ZzG6TJ89j(Igx#_JGIHywe?(kv z!!?g=O1UmBY}CFbhkl;^488`XIAN0zqe7x@?YHe+hs^u!TIvrZh(+e-fKKN3dlVWU z7XeH@`~dVfKJ#~cs6#LWyohg*(SLp`BWIut;WJZ2B+!?VKg%nlkR&Og1pY1}7cG-e zfDueA;NR!}`-rcsqW}X%fx5~F7NCy`mhL9f2cg)~m5j7kEut`K>4!ibaj46$u+Ztb z+`4q0sY6xf)&)|XSE>$cSWi#43w3Bfu$>}IjdC1P8~*-Xi}FX%3i*!+*G78--*0FU zU>L07!6hY*&DTAZMmR;jau%E;9Y7 zefI$Hi_V!R^l3NWH<0VhA8ND*K)^*-?th0~tQkva&Uc&qR3~d_P7dZD^6++av`z0H zEx}jr^_w-uR?31yojA3Vm`r3=&!%{p@5VcJ_`A|#Z#f@eojKj;F0+}$>pVgn!KH+v zq`nx>6~m+cJ+$rSw`c^J=9>qreptr)6Zm$mvDur(D{Hol*7ecf)eWp1QuT#&wa>hl zi5aZ97~ks)W3sHQ#7gK}h{*Qyh#LJC;vI?C<6q=2M$tJ>My<%552DsCL@iT6;jk)F z4YO@6o9>>inw>8Rn)9YZOz9zmYbrzY*tu@w0VAK8s{t@>U*;}GL-#J&`G;2H;fGck zFvJ>(@FI-D%Rm|q&Uw4P?>iy_E0kwYkD-hD&ODedu>u7vrpTAg^RcEgjh)uE+cPZUZf^i*c z$Dy`Y7dm;6#+tceG|Re-aGl6zuM)AkF(V5E2lfR$UfKJF)|5$Or~(ya+h{Wa@_b~Q@DUzQWtw>dr5;9*}+Vg|Y%0hwHZ!xSkEm`bN_2)6)aUEt)3!i}m%*W23dt!?q9E>d=EsI+i2VN$C3g+tX$rj>lx_Pqh~%mvOC6z5xBltNrQ2 zl>LJ)yZQl@BwvZIqC_vB*2cxIP}f!S^dl6vwWP)M&h^as%R42AVB02%6RbWL+b72P zq}5D;>idTU;y(}5Q-?Ga)2Er;BaN==z4wbuVU^;Bl~PkkF(@w>D=h_jP3USGj3AEs z>3$rBN4fy;Kg+qkpj|AuU5IIhtS?9oJ1i_gPkJ(i2?~s^|E)d+1M2}rIe*R{A4~|P z<9Ns={nrZlXN0SiAnD^l6l~qw$^jin=sdg_$J)~c-O9toP+jB}@#>X(xHO&EKi@V1 zL~V!p13E$0`Hrzh4r`EYZ|9w3QB}YTX4yN|Gz1>MUyYp!s95QnXdimchgq!Gk+|hE z(9hBdWu3-ahEEGK(o4@4q6=YOmYq;*P&j&;LuiE4}a4fuX zQslvp+v(qGNA8m1a0PCxN5uhUr->+_>{NI}BY+rpNp_?HDxu(Q1MSA1x#4SX9MvoZ zXCEpt>_5$#jXuG{^X|=ByqUCX<`3$u%zx8kC^eWbU7Sp3n*Iztd{3(3@4$Y6Pth`5 z9Zx0l_2B@?*3o(qG5YFrAVmrBVsSb~!!j$r_~{B1+&vhq1|97#J9ThcZp($onyqg; zS2X>cZ^;1&fVa<=QIR6E5dZ(rU(2LD$qgl%|Y= zo}i3kGC>{2RAS7FBYT(Lgh(WiT4a`Dv_c0we+rBx$W5tA47cGLM9&S&c9lHU#B)vysNsE7wPZNfBE{E7wlpWa1zZ zQ-a%y;W`1Sa9gx&<2n;fHVIZhQ)f9(&SR!MKd<0lYqoP%Eml$A)fx5fQNNKL(QP@m zX0&ue4u+nug9BhObxc+!Yp{S!>NlbAZ=3TgS#p}`z)Ci-OnNbQuo+K9+pqlBiT&aJ zmT~^xGY8)St!o&qGpk*8SDyL1u`8vuGP6UE%d0J8O2C|0leqC9Ka^vEym6sk*=?2Z zj=sS%>zTgrgE%Oh3Y3Ue{>g-2sMxRzH7j)(TIc6VzFT4q+sWBj;b%*=E@G%7<8A4X z@wlP&1>f_RIli4HUGX;VPrw8LW80!2HFM=$AtaN1;pXBi zws1ff>p}4DIzPV51$`afF19QXCJ7&rB+dakcSiBKAcw8#8M*{l$2l;PGi?ipvHbRZ{)b8Y^Lc>dy@+`*`OmCU;?#GbC zJSF4p`qE%|qG%TnFz&{_7%up(YM!lv`WbWk(K{12S<3jXh%KrUh*_!6D191z4ASix zO2l57&RV;F##XPCP&r_;uQ|sw`c;j7GYRrPYGD0 zzTa!omsrQZ*NQkNf147ExS$bOZkMcX)B(dDRb!`bh>YH$_!u8|z{;-kHc9JeoW6>= zeVS<2v*Q>FAFcGXe zmwRBh`J5F8*sK3$BFY+ffnfymr_Kn-!(`Y%A6Qw;SQn%@y^e&YP)dUZdcaN4xddT{ zoue#D&!$`R^{7K@tGoIma{;EY0QHu%{RrKVA}egu>Am{fMKZkn-1sG z9KsUCaT%oh>dE)R!S=E%c6$?^_3c<}@YCi=w7YZD-TAf2JsHCh&q?+?)hb_C>PpC2 zc3a%xY~Xc@=5~rfW%43s8%oIUWq!Uv-+C^@SG(iTl#Ne6rLz}xls4f;)v4e|Sc>W| zREpoBDw26@s)BwDB}V*ui3kO(F=KHe9j#JdO_N_~_{Qb;vd0a^fwP4(R%Pa~Yo~o;PS#o(Xu*zo2BK z4mJ-R2IDJqpBjrO9ID%xNMSF`s`R;zHaR)TEghhkPeD8U^5QLb zqJvv{ff1Y(s(`MOK3Ti&tG0arA7bd!o(x%cPJ3Y@cmBj2rZkZwycVz8IztD%Za6-u9GBh+QFR^^oaQ^py2AUIQ>kxpXKgESVq z+bO5z6(wYI=s}aw45CQ@%ie`bhXUaD)GOah;$sy(HVo7UPS%Qz(+|IgEdUVv<1FZ@ z-#Wl$uOFDP{$};5hMFT~!r>Pu>Ze;-*4({X);b>JH04bLX|aL=4weAeA;t#s%o^p~ zt1R8A&4717np6^q_kSqjmRVyFr!M+J0SvaxT+~O};Ig*|vb-=Z-}!Un0#Eu50wuBv z2A=rpt*T^LYl_Bqe5Z?$z?bGpnWO&p@TJ#z>obA}Cm?AkzLE%G%d;Rd*mAjj4n2om zj`3r^E{QR0`K(nAzq(>aKLjkm+SsXSU{KmD5Tg}#2$;v{ejSg416rYB4n0u7d50wc zZtY{!_X849X3k+~wBxUn0)^$s_%U5@+#|o)kbj&9Njv@m%5w7lmO2A0XqN>dx>I&O zv;%;6BOLgiY#kKf0hKn!8k-6e%5mAR7&}hgM8~{=N1pg;3|F^xb){aq2XYd>K9`JN z^oSc@#`1E>Zzb{4ZuBda{qap@qF~>(s1}WgiX}x2F3?T1Ez9@h%T0(VRer=JS^Om( zP^$2vBvXbID3Vwf6THeRR$w%*!cwU4GE*!9(v?E_ZG4$BL|&Ql%kQFp9L1F@yvQk3 zSd)?{bLjv#q9mUIp(az}MM$z>NR*pc&iY7`Q>qAy6l+RyK?{`t*wQpY7ST)xf)T|h zqkyn+VU^*3)r%VC87jfCNW~U($`$vA-{)yZDL$^>=0jyCG*MaE78>SfFU2+X_gTV< ztvJB^ld7{gC^GAV6;&g$i>wZ(BvxT)6B`6Ch>gYGWY?6v4o+x=Wfff@vzyA@mQQF0 z0q0@=j3F+G)m;%Xb4oE$UjlaVRRV{E5(lIERD!}J9REUQ5xe@NbG#L? zR)L&MMjb;EIZE_uNI^UZ(UiD-kATqFD0qQ^v_CoeSXz13cj9jGuqjq?+6I3K8bxhO zq59F`eANSB1xmmLid#Q&lvVbHa4Y;VVcIxl!c2;AVO9h{kF+qPcv#_JbZcUS#&}{h zw6Irtf&y-8)F_LnN}EK^Oz310LD&egR999KXK_;Masm7j&N>5L5GrQ!qIY9B2>OEe zp&$gbaS_26V}|dCv3cdzqxaoplz+4=4nT2zLN=oy?#d@ms;c|i)lgiS_w$L&TXj;5cfkm_{Mog zV995vP}%W0MO$)dS6v5i*H$jOp>v|bPOjQkytj0MYA|CEHXqdEM)n-5L?c&4)gBI5 zaPa2@gRQ5DgS2EtpG_JskDQj9o%(<)>=d7yy_ zM5NgZnD!7pmY?&r;B?AqD*LE5bzwIlA~{eqxvNd4u^k`rsEvgjENiiJVBscTL8PB?N zn^P@;CAN><&vzTAjt|*2{t!&WdIU6=Zj;_^NThC><4h?cvW|i27j;$?mynuv$J3zU zKY7pVlNj_6i`%B&PB}Uv@jSOm2eDN}S=mTebX>R}jOwvO_Oll!CUywNNblK0YNBn% z9cxWWRb4DDYN)2VX$3^S@)ct3lI-$KU?E@~HwF3- z+6YDq;%2$rF*|mP2J?VOIx}Fnp#S1^t{k1~(;o>)gtRC?qL+E+kV;3InDDP4_iB98Q zk-KPB`m6F;a#7<4;a49ONwCQPW_AHmh)e#Dh~O5?8`4L`#d1e z3_URc6e|idmIWzJO&UB)Vz}_sv1JofFGRkc_DGq0u1gryumeC%qgkFI@hZ!#+$1($ zmZS07EM?O-h?N@v<*u)|7(+TrvC79Z{FhpC=_uO>gK}6U(l|+&Y5a-V3s$7wUo+kYYo-c>NDxF7k!elEJ zlf7az%90iP&2A&TKPu*;s_-tr&q@`ZU z!LdF)E$6Gs@sOKwWqoirQ{l|Knm?13<&w(o(H`>B6l}muAofeRtB~E5Kzmk7Jpz$X zp9St*OYUNJ?>=SfjDMIO_I&WY~6 zDv#;TM9Fn~n{R$F6@M{0A+|Tl1vC_&3NJ%+4TK`5!;1tMNuoNeNupauPMq+jm%<|< zdw;^q0(TQ@e<^1p!<3v>>I~4NeiMeq)-ldsH~HtkD|&J-;SJ0LF{l$F%u(!3ZGc8A zpVw0{1bS9^d$gc7{HRLYTeKtL1KTH{Fy_kR$zYYBE3`sfdhnOp3Gu=rEbAZ{^Or2e zRGrC<^#@QZNp)XmG%lpn?}RHxUZYd3st*^? zr_?9d|5B&MXHbnX!iaR!Z* zKky`@hC+}GZ(cB~`>zGa(U%!2vMac@L;iABZR0-7{Q-KFt+25_qHarf*k?+$v`KtjMzSPqwd=a1Hg}r7uOA?bZf#wiJY416 z+ZY@PBXq8t)U&3~3VCY^jY8&v5Ys58ciNGgr&^6DFqpV`{mp?{@0H ziNf>J^)%Ge$e~)Z8R4*yj_RA$XG_b|;ez)m0{aK`Wxwk^511wW&=nFu#GV?`jBe2d z_K5{n=Ej5|d23}#)E)|76Z0Ayx_wvlT()oV zHwc}6I!Qx&^VOnx4HJNJv*dkk4j4iV3jVx=#BM6>s~l zn!Y|fOd!XfV+JW7#hxvFp;9*+-Tk|q{t;uvGO}B}Z&sAc(a@7tJ#K*1 zLb*k)h1il*9kgSI@!zO_It7Tazi@s}#Z5?{PRBvXm~N0&iF2YUh)q&7UEr@7^1ch& zfhC_rbH{_>G(VDIQdf*e?qJ=aP8;p{7OD2<(sT0N-2zy+%~fmY!n%@R|KbRx&lU9S z*yX-&lyRX;ssQ7|{b$)f>4v=Jx0>;P(hWwJdjRW?2glOOU-qaO)xYggP&|$p0fNhm zLh^VJ4FW~2<+_akB6c_8q%Kh}_l6GZ6B?Ey3q*FdMTR zLkNGq-Gw=z43gbO&kw{Jjh_iE-Y&k(G7FYGs8di}vS{!%R?;CI-5h*)2j3Ghcy67X zmvJB|&CPK2cM9!G!CwjJ64gF?20Y@jZ&`gt*VVPxhWAI9wS%Fl8yCSwrmk&$&0afk z+4y{|A<#I1^tPPV4tZatxSXMTxz$XsNyx^hR00KRsl6o6x!tPxMZt2>bXP}%m5P%w zgHeKcAUlF*O~yqON?^kf{7Fe%PiD(OE-V@cMUx$gB$Cwxx)JU@M1Lf7B5;P80*E!G zU(Cg-m~{Ye5=jie;f4LgFXs-<9&O7xZh6y`x|{&x38I&^38}$(K9*;{fW;rWE7P^V zDkWh58_RERgLIh07tsm*4!n)MIUKNqWPp?qF1(BomH@OVHwI&j8;BA1YSHKctzb*} zq0#a6E32=gMT392!T51r|MiE{sBTh>qd8|Zp$nJoz9^TKboZ53;> zK%_8;7m)lvT)_1P8bFVuG=dw4lcHGt;oBwrs4wV$g(xuo{a)DHLOtlD@ozQB!6B=p>LwGZN(+Z*@sR&If}s<6rQ)F;=xwAf~s(L$;J>Pr%`tBt)ZO^y4IR+P!p9ptTx8KA8%{$vA5TZx_WVh2p z|HsXAegW8Z*L2b$mL+4s&gof5u}+dyE8*QLad&_o9yv=R61;i)NL&Pxhn5I0?w0Uxlh@V(=NJscEd9`Rf)b|U|)%5>E|k(HyY zO9G&FGZ6MiI-#DyMi#(pQ^DZ8XLAL1~gmh zb`}m+LxW@aogGcz+YGo!`KvRD=~Gh1jeTg=R| znC&Nb?{@EVk3UY|eeQUFD{Iw=k)tYeX2gt{5w9z7Yrco93a zW5&vi>rOB{-|d~+RO0aaNmg@#R4S~mpW*o2wc)~N3&khHlT|+pxsHr_`&9*SU);Ng z;*BVN^qM7oam7)EtX|2Ogs%PqDR5>jPT%0Oa;-%2(fot$)@cYlWl63OLlVWCq;C9? zWEhj-6r5v%&HPPeK`^SX#k7f~sV_ERtzR>)Qbb#B_0bfTPM-b?)$F|K!Ip>RNqW8o za29Mn82oQ9{q`zDV{Bj&uq`fGk%&jDH$Pz6yz*$#UHvCk>)@nJjl2TH%Jyu56n^Hzb z$XZskJzhozf?h_3*`#_s(bbq8qv`vTC1Pc53PfxOSCs6qaz;1oP6s#mhF`(@FLTkSYFufdy}O>|E=X=$3FdhT%l zr?Fjsd1EF42}4JI3WhX{q>s`F;iJ4^1*D0%kWystO-Mt7@)95gB_LDcKN)|Jmjy2f zNHwNnNoH6LBUU*O)JQTURqFU?ooBcON-6O(zcNomDwCv&L}@`6mry%yk+{4XyQW!u zkMBu~=?0ifI6u5AC`jvF-&JI?(;^~`1W=Q{DK|)i7b!?1+1{SDDM(G;o*|w^Z76sg z9e)yQI0E^sN#{RB(G;X&i}l_5v-K}E3X(DU3Rn?;Iz|*9BJS~EO_4#@F`*bB`1&A zX|>y|`sK*r!1)mH@VRCnMoss)r9SOtZ+b08jWht0-#E3>n4U=-oY65b$yyuegg;N$S%SNl^r__iyI71nH^o6 zGZR6BbOM}=R@R{c%WOwJ_S(N>Xz6poum`{Lo;A*~BWqj|2fFxj=6KQ^2X@#~rkHT> z1bCikY*W0X8K^=rd4GVSSvePKS2iVxrI}HLPMROe@L(5|HlzC;NYy^MzKKieh?EkoD^j~it{cP9tKf7fA>w^Bj-8_1`WWURd=6j*H zMNTE(V5CE{(l^VLwqyvcPN4IxS1NYL86@kROaj32T+{92^6`{-_*i<;ByvvKMDwaO z=R*5t&(h&L8hQz(m3BoHx{3m7Ny`R`i_Uz7xw%rEp^E}dd0n21RHTYtrjD;>g|Ei9 zjHrbxw`|=3GcEuy7MW zyz$fgrH3s0?9UmQSQG~#0u;endFA(D2>tKBkhJ&6o*u@ zFlLTuU3z~>azKQvt<4~^R$P474j;@1N$%9wLf@l}!c)Xb5XLG!tuF@d8B}hmEumjXd%8^cm5cLNC=depa9qkPdm^{ zGvLQA3h6oTnGl{)jyS%=i^F}ZnUia2kv}+8NovWUaP0!m0@Bo5*VxjxqY~tr6cf^! z?-8^d!@tr4*S@^?N@e2T`b600fAW5OhHaBq!1!z(IM3IZ|$1LF#x`Lx@u0l79f=9ous6{C=vz(V zsE0wjoDJKKk+k2i-9{oxCqec4I9Ad))Z%UAvIz9b!<5vADT$&76mH8+7WBo4aXT>KzN+(%|? z3iB`u`27Byr5<ueH48+ekgp7ZY^C$#g@6XmSaS zk-V4R;WE+0c4vI*Y7M_bj3qHQK9;G2_|VJ&tYPO!bXD8OgxjnXLW<9jn>Bn^V7pd1 z+LU}kchYY;dgNTFlG1HkFr}-33L!SZe$wEXKu9KD15FR_^DbS zOVl)-iv8vX2D#q&ijX?&Dk1}@hNSpjCIgkga3&vAJ!YiiqF`JtHB-~?DkFj!O%lcD zwEW~IEDLFFKA$XhZ=z1v*PXw|zRF~RJEsFhvm9c91F@E@&=y39kb>MF&A}GxyN1HI zCroX8nT@0{zbn1#jx>N^9gJ_orWo-mYgCTSSLOxDa3{d8TFHEH9J7d6mfG|y#GR5_sseu zqJNNOEl$4UYv3T6-w$IOON?)mvLB2~qV{4b6$0cj%iIErcWC8XU@5dg%Crc0z~rQy z?X$?pHBv6{h;X;B38~))$b)4r?GADB!l*&Em6n!8gFl(`zrV4~0ul5kZaymLS3V5Q zY=bJPhndIA58 zuO2Be73~6Ado*Q$O1sI%p?T_{jhOUfosM=JwlWb7g*i3vS6o_)QA&oh5mE1AMRhY@ zL!lY^jy_i+yY`mvW4bKbrDmYBI1}I@!p|_s*NZ2C48S3a`F6-swlY$<)V%JWmA=u*4E6yup4_p=o3Ew#pb39?` zCW!c{Wp1or#_66$UZ8h79A7+k6U6#++8K;}oAWP5Ty)_spfX>>bVQRE&??Cr?7%h8 zHXTulY@tkvkVHd79SIUjFNRGOVSz^#h5RBMzc8N&m(JQGMM1dOwyx}-i~Jdsd(rWe z^o7DfE68ebqd40){NdbEmMz9aFakw&g+4e1NR+wvHb?>alQ_d2_G1=SvZl^h%SReY zv7gnNL3Zcm#ttG%+Eni#Y?#uXhOv!yf|0Mch({_6>S8I$fHbldgJC6tJs<>?@PL*y zAM9!Zk`)?(qF~80Ad8Qw$+E>s3{m6&(=PA7PK(Y}0EKvZvhL=`UF{nv5acN_Ql`19 zvH?`fkMP6-(!MWCSCD7&rn~gW%T0!O8?)daHx9##!B$ay^`)L>Zdm%{8gIXG>0GHz zCd&QE9Z`>gd6xuzo2ziMSDD#j;H&C15M#3+g0M-kve`Lr0^f}WL_U(=xSdK^C(_>R25+w_lG5GTeXs00L%i=IJosuUt3upS z^K}<*ZCYlA1de8APV(t!spet3>rP!uUlzoaG+c36=4R^&bM8R>RP$4yUak*Pu}NuV z-K2W@X2*9iW@$cAH>*exLdVnN41jntp@(()TjKE!P-}&MB9Ekt3dq)+uo(w+h3qm~ zrXXq1+ZkPtQ=^Bff?ABl)u%~FBquq%qU=oGK;>i-YH$tJ zIb8}8!1UGc)zDiutEfSX!=fXwK{Ch1zX5XJ__Y3Dc^vC386AkrL=VIegsB&^{wtvu z`@StCohlMEAi*DVYb36@{jff|jQ2#c#Mo~hLV38>tSso7xIGbpM6@NI5}s8)zz$i^ zBK5@vv?QkJtx}ZNOh{^d-3$X21h*b--uHyA*dC!>J1TbuOH?^Cd%%)Zmp1LhMnWDc zZ&ek#;U*GcYFK!48^uI~T;x~!OmK~KQUk*fT8}^+1$Ii6+Fd&ROPAplD!p!Y6TM6y zGRlF-u&Yu!TNHY7LEL@Mfv=LfkWDdEO&Nr}e!*!LyIBh ztZ)-@<+VY1!nWd9`Z@-kEgm62F!s=TaZw4|3+0pmGi2GGY)PJ5caT3e7($D7` z2#7(#v28n^>1T?}l!w_BsooDjAV^QIBw!gy00w;nX|EOq4KLT44eG#D_Y4{?z%EFx zHmGW1S(Zl^*cmiseKI3+pFhB_Wm`jr^EsbSte+4?UxLjuW=Ef2Bic(hQaHA{Je z7vz$sOp5^3`4CM)qTpURWUoCOu#Kb{K(oc|oO6`#Mv~u^h4ORsb!C`*#4|3vB_!DQ z6-2_OFoG8N0?&`ghZs?v4-28d@EM7Ce8p6jYK1!RrGjls{AH^&4CGBghSIkXzNyg^ zi6e2r1m&D{++un~0usk^yPu1YW2qXk{#lcig(d=ZafsFQ2Lb84td0b*&IR%-^{P}9) z1k<=1C6CpUzfmj4%6y-t%V`<*c<}$YmvrV=;|-p7s`ly@0Ogg_yV#-=RQTn7MEnVa zdp@({E8xq59MY0At(l)&^SaI+$@d1{rwaG6p{p7AYZKX(hZc0v{&y5>OzSWl3cepf z{8_9rYSxNO(*WD95_bG4o%?Sci#D#zKGdz>3XOfR-w2%TC1i$BrnK3KK{Fn;f~?sD z6>rG4210xXjAL*@uBrk8I&4EYHG_&uWOA}jEV1ha<(uSoU?-vQk+!>CceAXbHnrMv zDqAHbj~1JZLOk5UpeuAic5nIu^142PBA{;kQ)7J5u5fE4a3n3b0pIr|ub$Ee@rQf8 zb!Z|1)~#o{t(d)0EtC&d0>;^acV!;3>L8{#&m<6rZ#5Qt_k&HXJrfU!W}64boI?_O z$^qt2?_?(D9ydQr6u5;IGqp{e2cTd)c5HqQcR!x3@HqNRkNZ#v`oqs{^MZ!P-UW-s zv|X)a1h1Y`q1XNu?L+4^<0qP@Wp2O7RU~PNVPN@T+@-2K@$-oiD#$zD4vklc=`sb= z-_Slk>5rd83G*B6^Q-?N$6u8g|KG4a|KmR0zbAkGj}F|d%mhpvKZ`^vLjCNW%|ZZR z__J{0&k^g}=wDsM-$rb2qdz-3{(Q&&Hu{tO`8ncv8~w7v#y|jgE9>|#n{144qhD6o znBGRetgr#zM!&4EF~5!ew(>R?roXMc&4uZ2D{pgQ`rFFeT$uj0@-`QyUsm4wdjkN! zth{yK1pt0od27210Q|DT{x%oDFDq{y!~uZ6t-Q^J`EM(4b7B75%G+F+|F-fr7v{gM zyv>FAmzB2~KLD0rR^AF10a$)nc`H~1VEJX`jgteg{IbIFHW!vZt^D`8K|i^=|H|I| z{N`Wm-T$f5I@h>w@u_ts{noYJ|*0o1wSJKnXp-DX0$d9YI9 z-Gv=X#_qxFx`=1%RN2c`MqP&FHZU8C^Quw)C=gsEF8oEVVh|`{5xeDY*W% zL|0&$Rr_d>J(i1BCx!aE*oe#1>Jb=Gd!Cf}Dh~R!xd%KPfn??aJGP&Is3P*im~TV|if=_26ra*KIf+@F>{2}NV_qe@tRBcsbI4Gcb%M<1h$%!=Q7XQXV+ z6Ho0C7yEvQ{8?PN1%9{OC;dz3$Mc!M@}X@z;r7DQW9C@x$vj*uM0lDH#2vU7<>WB1 zfSnf6e*M6ZE)hiw#`A2btH#m1ug3Mtyx}Z(Vt{Q#mxoT}+)v>}6m+YAbD?3ZDos3& zW<`Z#1@CAtnq|`PR3+yzWGbiz=kmqD0mGxPa!{;E3^$}5Y@Q#6wZa)1`;h~9Y}}DP zXbwv!CEs>~s3WbiGbrfL^H$Xd0z|SEa|vbA1BNBRqo1d5QfL*)tD220hKG!jV` z-|7eto`}PQR5f>`VhULtM5_4%#>D=_Q~^7lFEBd&QAtK9u~B-cN@JN}fyPiVVNMS0 zsL#}S``I*At!8mAL*+Iz*7KIpQq7hi8cgf+#d!nXndU3#+MN)#rSQ@Dfw+(umZTTJeqq?l9}J zMM^(@-4W*gRwqB**6I6x4Z8dNY|d%0dS3^6v^(HV(7QYSOYbewyv%UkI1%QxuE<)o z(L>JpLUPm+CiQb;gHwl|8dl*&1O=UfwREu|xfxVOzjqM>_rhYh`X>6-5~yKEcPbZR zcU2y>C~D{U0iN*5QfqP1#p5dRLB0vkJ#%u{)ie1t{D_InwH9i4n$1AE?T^^qYG~Dm zdBd$y8<7a?-jG5@?7$AYA8LE(Uc;P=|LuVLa|{2(5)LM|zs|Qm4~TyXFf9Ks0On_r zw?DA&Z-6;_)30SPAcPFa%O^0Y+`xE%Tv2!gJC_n|v}{I5zeu`MXz!zLQp{{qQ86#S zv_lW-nOXoK!P@ zOXwun=o|o-)21#U^|R=1bcc@7BEq-L3>4Rvj?m_h)cNL$guL57zLmZQ2UZ9e^kfc4 z%0beHlD{^Hk>eH?^-X;1B&@RD8uet?qAl5Dxypy8)r;+}g=phL=Q%`8YG`t|u83T3 zfNNmBd0Jm|2NnjIJU4@;bHA%Y+z(6BV;Hxtfaf&rGkqXQLS=BGj~(_-ja{odQd+t+ zMGm6yOXv#dc`ncpSHBG>E7^@c;0$n}{1nC;9(#(u7}pk&uv2WIo|MkubQ z!`(Z={Y*iI63&aR(hX+9#vyCs&Q69>ojk;75A6itPT14rR>DRI9q-3SQp<(sC&R(F zFl8>0YDa8|X2|@)!x~(Wr_IVwN^0FOX7=|uXSSR7Q>qYHsGD~1v=IElePxqS=hn;n zeI;=2j9y_JB6>$(OGt;Uv~{8}HTz+jcPvrf`Ums5bdfxP)#m4v_bcX&Ir$=PbKhHw z;5*8d(=SDvIHY)y5gjOz-Sr_!_&OW;(um++?dSsEW>P#l$XXAS<6q_P+LJ?KTn`I> z#L*Q*GNk0V7Tz`HAZEpAOYUEXRydBzIEFb?rrS)e}q0n+(Cq#PzU@5DgG+B z$j-*}H&Xm`Z~iG#u>L{{W{&?gSLAmU66YCXRv6xjK0r~aGntezuo<*z6Z4-VT5k0g z#-f1HdBbvc0ewY$-!q&;XrU1ht@DmfQMi4%k@QNUfE_@zZIhc($-Zoj{uF6LZ0hxV zcB4M#>jfYBW80?hEYTyRW$k;U9TJ~9RLlS{If`a9drlph;EUAou0v-jW81l52&8A^6 z1>$~+^d1ighY-F}x-#G&4Pg-vNxk~^H4%wd0q`sRB|ZA}J^>hYx$?|s3BeBuI7bhy z6FYLq6s_^y`X%j$5s+P}t>gKFz+!w;Klm>*$Yh2g!o<8eXR_f2_?eebGh9#uBTp*qcsY8i@lp5Aw+0mE`Z_A z!CzxZ-9P&d7T1TF5_|T_I3rRI z;+MC4E;a0+J{RfOTqSZug-2lITDDL=G;N91w8QE5;^oHQkN1ZG&>XPLe% zrdvh>l)Lu^6pF9v@3zy?t?pCd9V_-^?uaugdRuGp$n26EIp_+H63NZQ|GBco!0y--*zEX8JYvJG%-Bc8xAg1mEyC+m)2cG8wJMQz<6a(eEqPaJ>sAUVDsB6i6P z$rx%47S-U%yrLmX7x`{YqO*Z|uT~o~m!*c78`D9Qw-h0g{YiMs%CMG=XaiKWmrA$5 zMCbA4(V5RQeG#Q=Q$=`n+bBAX3N5@>MEG9Znz2vE2~`W_DLR!TTZ37Jrrdp;2Yr}F zM;MeBw>{NvpfgN?3G51?VTWoz+WkBYB(bv^oyISWWk(c|gOA8B|FUZFyYW1Z?m!Cu z^yiuo7~N|l*Rx^sx0htfQD7!P)cs+dmD!CGH5dv}!ot)1h`q5gv^&dfo=}m%F(R~? zt!Ud}vY^qe1Jc#OVu*=e7DN#ytkX_BNxKTphLin>J5j#ElOIM#ZoSlIj$djQ6Z;@!z>14>A($`pntCA)?D@dONV-28DL8B}y~GjQF*yODRew zGwsW*S(v+?{^iqp;^5)zR2?R%Q<=i3q2C3e#|5OOF1WZUNM9l55hKAe$E$BKgqEd3 z%aSjTCZ@0V1WdSW%35s+pN-DQRuF;>TjY$} zc4PnJL`Rl;+D#TlggRm))%SKN{CxGp;Qoo0908&X>*e|sUSTII)rtYJX==CmuZt73 z$d7w7kTMOr(P10!`fXScw9IKU7;B+5zmeJ;fq^F+%7FpM6^kqR$+ao_s}-0KG^~U( z6LkQZo5KWsszeJB)N}<5O~_mu38ToW5|S%a4xOb?b<3yM02ybO_|hoSl}*HX{XrG3 zpz)1b+yNyY=FReQr*sI~I1#52)u0p%1ktYPgdMT+K8o)iY3dH(hQZ#Hyd#=dM$Jn@ zXpP21yq8V_jX(2HdjTylsN!N>VWdWCCEkQqfPh3boFocv3Q%7bGn^z&MKUpW1+9fp zhz?s>4FWZse1Jss8&5qv*=Xp~URA(UyZJ)|2jEA3C zN;c%h+{TFYxst>Q7Qm#=yynz}eBo1d3kR#K_Lrgg}dd zfbnOsXbWp+6GsAiQELNdlRsZU(My}ynmL=l5obU7!v73nmX|j|;{^6zv7UuXtkM0I&u@yUk3a^6Qk1~>8G`kJ2VjTtx)ELM z9+H=|bR5g1v)p<|GC|7dm-5xS+`GgC*XFybn??-l$0hHWTYPhPb1n?LN>ddX&}X8> z_zfTztp__&+X4>F14}&-)^g6wom?a@{c`cs&nY@Jv63|DiDy!gSO~6Vy&6{~P_+?S zg$s8AnCi^rUKE}py^D%H^Ei)%$BcSWr-xRxiHqO-x;?cEG4EZ><@;Trd)1grn1lsI(*>TB8KUaz2XSbKZ0~Ij9w(v+!?ww#J;ht zSsb*6{FqEyYt-PLkjS-o)O1_3lRS`=hkJF^cRaPUow#V8BjaYl^CV_Psge1kf?KD; z;wE`-pw08kM9l&0itx$TXIkn`qefdd63i=JZM~Vr%75e?w_4+_{F|8jT z{Zi}K>$$A1QY!0RxbB8uSU48cq`4;hJRZxXr>@ ztq=l>GnkH2+7aA%wKXtRn3H~`d9w)sP~*lQ2XGCE*@UoS|5vI~cYer}A|f zoxZ!tS|R1jA5p2H)39ES zt-Dwf2|f!$(^&jk;`fa zCfzPa7go>r3|X!Z6#DMW_J%k34;LWUiBEK1+Wl*ud7=kca?uY4WfbW(HlV0seD$wZ z*q-!A>g*A4{NW%UyD@9eFN;}}rDc}fzZYOg$+)B%eF`8{&Y=N~?lYtzG{U9l7DU5% zP#MSO#zd|wrKp8@?v;02#DL#~ulOqT5^ts#g=!MKM=fRwrawyZvD=vA16F%gzTEe; z?L>W|5YG@5NA}_iUm30_)fa^mzLqS>)q3hCF#Bi;pp)Lu+jV_s$hQr}jU5!e@6@ep zfmr9J!HG303qLYMkc8m5BFbq=gP4cw5qi}vp|c52^&qK2Bl`^nSgryUeS?Yz%H;xLldlf9VTC%yAV~v-}?-Sux$Wk40&Nm;M;u5!q6A_4ue?`l^rRXeA5@o zco^7xYjF!|vF(F@EBfNX$IoHrHZ(+2G{CTir{Fbg;Gd1@0&tRjT-a*NX>tM{J}YRf z!4|BK2c&_*5R#%vnTbQ24V77&eQxgGfXM8p1AVpG{9aSYVUH}5*$eDG3QzAZ#>Wjp zFKCD202jLLz@=#V*{Oiy+b!0EmcIoiI}MP-GbX~3RnpG*5NmJi7^~JWD`1mj1xVeL z|HVKKNxyh_iwPejOeljiREZa659%;dM78g-Xv%^YCkC_Kd{A}M6beTc6Z=sl|U+MMf>2OWE1~j{g5h0sX@RmhJ6S{TF7u z>8O8U#@n&`pJ2vsdBX0PIZl*89?5v{VS4{vHUF3iQg}zb58F^?ECcccSCRrsr$%vM zALbFG5mVqd+%iu|4E@_@#8KQjBkrPnUamK;aU);43iui#SAR?!Nj<&@dlP+E*)|*A zsuhOlmN|OIq9+X35Qx++>NwhDXq}f_(RN2R#GQ+Fw<#j(J*{eJN6M+pF+3QVTudm)%0#K&01rDQrpVVYh^}tRz?O z%6{1hTzQru60xzk6I%m6?QquiVDoxQ3A#C{(NJ6Avng&p7e-W z55qI)+Lk&b1CyL&_s}~E&X;$1eg*PWm+z4MQeSwm%$zT_Tw^h5gz?l!JsmidzazRy zgeS6&1%+`VFiQE7SCK4S@3SYrDI^K#bixb@GRSk;L7dIn|4B+IZw1-Lf=VG>3lR+1GHNRu^<@Jo+Jdg% zT-qy`9iyH!27H>MA$f5%>b*`YqXJc7$1d-PFTP20tfLG5QO%lI663eLJ@h;Q;709m z1F5KmFw)HXehD8%(k@77ht92T()s7qR~4dsgjF2pf%eoTgaw`lN>gE1#y&-U=`s{J z7Ow5rm_O}iRBye&$HF;eDkQ_st{1=u!z>tPC$i6Hb|XOe7;MV)a1~XFY@j@ZfKJSQyAxkH z%=mDDN3Vy!&NK5WwO4Y1H=Nwk<9j~A2lD)4^i9?zX6)RXhsgeuY~)b+2wxbXav=7eHSCgrFZVJ_QASDO}m@zUk z!Rcz-(j;Ej1}07hG(N~yb=yJX%7^EH^6M}LzF_$8(&>UA$&Op(JjGNM*GZFfGY`kV z`>HPMYT6v>t{d!j8#vjh+w?g+kMzc`=?oMFob8OI5PnULujlrNQ9S zqCdMiQv_`q%1N=1!2*TutA8dV!*KDy@pzACQZM_YpnPXw3*uR&vDjnH0B*uis?>7o zYRKwMnF=Orw%&ga0v3qb+gTd7QkPOC)8penmWt)qM8U}zK0cFEJ9vO>E4CEt0q2w* zR4!i$QK~h87#bFiC@UG z(y?*V{GRa?Zty&2$JuPGbu%u&*T3Q2dRQ>8^+#PPTAQxpTp=+%@hBdx-#h|X&)z;X zvqs|$g?XIKFkg)m@;=8IPgxGfyy8As0j)p3?l7YH5)(`4_w;DaTscf`%8Wl?8f?us zA@-n%NV>MZ>*2&;b)zUfX1)$%Ivu(&-GMn4tr9qV{jA?K6W)vrNZ$z5C`H(BZ84UHlTcSD|yK8ag&?(qH0Npg9D@WZ-wO#kUt(Ti;1)`KJK!7S#U<5CFC} zg6}VY_|HOp#^11djdP=we*gqAnyw-UZGpe|1f5GkAPU0pD(a|(MU^R|4MQ$)zBP?b zB;SuqXe1e1jOeO(T0H#4!7mUkN}l>GkKXdHJVYi-kMc=R`WvrOdDhwFCfzP|?zTd8wKlDHHC<{a0@3(BQ)axj(th{aN!Cf;Z!|kmiPT`( zMxD@}+=WniLElS)lw5af3INbQl#<8f1bRa1+*R(#FHB zdoFlg=3oWUd5gS#46R*}c$=d8gkR4VfD9wKG*v%I=L@UWgznBoh~hWAE-7tK;~ncJ z2VKw|e-@^1J5A5MgOC`@*l(Ai&*o?7wVl}NK1w#u=HbgFF1PLQS<892z3oQ3w#)tQ z=+3v1o%BNHvLfTHe0wJh;o~Rxr#IRVbPq8$*K17f%*fXU@0wi<8_o;8v-#rnM<*W= zJdM%imcQPy=WB$oF}IYrs@_ih6Aqu#%|eS_2d!`9kKHxB@4Y&#*S41>&(t2VNR!R% z8+Cr=)z55R$oEoF2kwik`w*9PJ8qAJ1Ac5vr{m?PR|$THokbg0auI%oaXUE?7b!2Q zFDV-{Kk%`V+~;pt{6=&xwZ2RH9?NgHqW=){=zAV`J$Ss{W8*OK?ETHM{fnVuVffX& z_fKWiKV7zfzm_dC`~Mml&G;LJ>TF&hX7=Z~rj|SuQ)3*Az6$DuLPGZ$(tetzZVYjs z0tIaAt(qjGmRLPw@70c!K^cdWI-je zeuT$Jc-5P0M`@i15nVj6iCjEYl?AWSi^uXCQSidBJ`2rTF?xJ+HZ5C;8xdNpqP&=~ zD07Z9kK|52Xt?oS4r~T(`tz`G^FAHqW{EJg(sFw7E`%ILYk!;Y6Eq*-aJLzY@`}Rf z8@hd9TTjWn8-bLmJ^_RN0i1h_z77fXp?KHzup61mfSROJvnKg_=SOI~eXFX9yDR7& zu440(0;38qz3VZv3LjJwp^jva%$j6bt~EeaAdE{%R@2B)3{@EuS(FMnP727D}}l&~!o9971cJ$KB+k;VR7qcCi@_s3g!e&!widx)~H;KENB z1s0eq&=0<_$lvV2nlUeeAE1eks`Q01(p0pYi?n)_tY`bEY_*K4Hgh}nEjG~A$GLvc z_>Q%34MuyLKfq=8D3Rsr9WYb_u2!aWd#a*p!iwKVrmEVHWbaDhM0_g{;FbK0W5BzU z+8n?~_Yn83q5QBItF{sPlqm)kR3N!l2HR+P5|V9nkiTq*eV(dfVg=;OYt*a(ILnhJ zp3(ZG>;)i31jyyE1J=5Kc0FRF{<-@?}a3#yCl zmwt{3@V};?WBQGLZf~|$wvPb;e6#$6GB^orXXM+xUbcA^9!u`ccesji+-8$>qr2p-p^JeewPRIaNN)sz|) znD>bRlqo;vZ@j0t_<$9rhwSPS-}Jnj!6`X*Bt65ujunaOFoAfah!F#fp-e`6=5qn} zW<94IWe0L8uwHYi@;ekmQt7!0MLyu**&MU&r02$1m|g>Qn%hmHW#1AKrOTz~-jlWI z>9<`iHmBc$8f6AdTr`lzvo1Vv+|D~J)0RCn~ekL0K14e}DH;l;FuROMW1aBz^ zB6v)W53zz?iFmn2#R*hGgE)k0xmTNvYWB&w)T(Gigxy{DH9h!{byO}CLPTS7WZ(P{ z{8cJJVL#1_Z&W6;#a`%;RyQ|ZJx3^3C+l+60xv@53cy_GN#88RStGcB@MXrm`qLv{ zF_&5}yYrW-v*ry+K%m08r%xQlc2oe9xZUeJU5Q?OUIS(C)JBB&+2YbnU~w~X=d`-S z9F1r<(bbjE_4J^xp`h>n_`UM;#k>QQE41nC=tXS-(oHo+nk8(pzHov|!WV`WWYMDK ztWR)cY{@F!IW##w;dM1P=2j{%SqBX{DsftIS#_j^QwC!ScuJyV;*bzY5t2RB4ak^| z&7`A9mPzUN0zwJoN$OG{qf6nssuTuL6-N6P`V&JaGudN@FC^Vx{76I*2n? ziPF@)zOY%R?dsKlqhciRqdDz#5|?GUbSpBO7lRva)BckTNrlzqbhsg5SHZPJX6H;v zX;z6L3ASaAkI86tj!6n!3C$!HTbN6-g*cX!^d^gPRg(fmtJTCF?=c<5;i)BC^$4=Y zsdgt0%oj z#cbwdwZo#hC!NgY`Moo`($2sU@)Es>R?!N*$PWSBXw-3muS1ETv=}Tp!8(oR#;w&Y zTeR?`CJfFj>GvTt-2`e1O5zNf!&axL{vRk%u7zFsSN7w~MRYBmjC)QBL)Jwoy61Eur$nKWb+GIyChIjy#Ks7*|=sk1@KY zC{Dj&`4G|P)t&p^ zbxxxuvCfb-3P2P=&()+9iOVrdNI;X#WwS4C*{VL_0WE*pnA6~GKRu$HMuqJWAE1iY zqvbHv)H)ST7vfmNlysJ0d8)irPo4L|N)Vc;Xb+TY=wstXyIhYmfN#v|2(Dqt99L{78_uQ3 zD)-u|AJNt%pE2kW=#=Z__+`O2fjQ&uxR6G`J7Af-( zu}~B@=sOs5#9BG^QDT-(5sz97M&_YWkzE?x@mg|2<8`Kmr8Jc6&8z5aC0vYmr}wtT zEa{q!$%ccjlzpC7LIuKd&;~3?a-EBFQhpB*wxODskN9vcKj)Zn89zU zcQ128qUkAY2RBt$j5fOjD!d!PdJ2=oXMij!{B+P7?Z-o(fk}-bd=yo#EBf2O6d*ZF?Fa7F`;}llz0x z^vN`Q3$5{1WJRuk!BYM&yH1`N*s=Xe>cdXNngE-0&lHtC&U|PcfJ|W@wj98-ptCE| zIoM;>zD5ebi7mFen5Ab?-9>4JWtHoJ+sjOui%sJc))jk#(1lm+0+s6lh6Dwv>Va!0 zTA}NCn_eszC38zP+u^x9-T4CT7?{`r-b?Ad$yr;1$1i1{s3td<3y{4uHz=O|EtyNc_rZ=9ApKf*uz1+*2c=f<8_VD9M z*Nol~`o?##XBxKO#It|k(ocY7WMuwT59iNII{y?l-vIJY9)_LqEw=p^5A*Yu%75bj z{3f97e9I#5u)k%I-GS_|`iQ?#bSzB?py?jJZ;gPV?>MC%nlfx+uFC~xwfbI0WJ76F znCQ?J%x)-A@nk+9Xp;A(s^g_h-hJxfRx)7ZL#?(RiWz>Pux5ew@%@;eU6+3XIz{zI z`uxlk${$_6m?qkA{*WdN8LZEKIuP%GMC!?y2IU#I?kxE>QRAsy>siWU6$Y1HSMl)E zW9pn zydSt~5!$a6ShgSQEKJ(OCF2$hxT5wW%t*qt!zwOW7lXBDx+EMKN{WFs?6D{!Nx}~{ zTY{h{RB#o%yr+0N!pn6x#@Aw39qB;uCfs`v7}?C#MhJq;0gm;|&LG;8NsQcz#>Lt2 z5*vc1@QDE#NA!TEEY!DHBaPEtgp1hXW5pWQTwo|H*L znc0-fmOCQYgK-~4{^E}umsr`Z$gF*#WbG>V=`=C_V7Klhe%P8&!!xF9`2S<=t%Ks) zw(a5IZUKTzAh6DU0$ft@x4Dagl1kVawln95j(e)CdJx;vlrE3LWzeVcSxwq zt@k6opaEG?tM*lJ*)n)hQ&<#%1a@Z6P)?zIp*+4Caxzdsk`TE!gIQGKb8(Z_DL_4jC3OYia{jF=Ii5@TfM#Z}=wUnz0YijI0*FEq(V zj_IN5mxa3kQ2r{kSn0C!R7t{#OI)!Jgvr}M0sO75_1Jd^Njyb}AR-?ziyCE#onS+s z{3Nldt6yf44(7-LfSR{~;3=*Gl&uRYAK?3&?xc_Us-*L@+RcZWbDr3TUFIBE#@{Xs zzW==CfvxCM%U*lRgq}iFU_hEuY54(ss5g_lzvA_ItDNA0*a;Rjo+`GGTFK#~GT{$w z=T5T=skK}Lz2@|}+0e6prUsUpP-m&ILjN=X{`gtHIM zBfMLTc45O%<4Jh}i;p{l_NL5(A70e3C-3OHNl``HF9OLgJVXZ*bwhkB81|JTX)#n8 zj>+{OtUjUSeoI*7ovsL7qMHXC*Rd{{DgQD^#Pug2!pGs|f_R@KWMMcX-wVD;{p7d(s<%S=(%2+vSpJkYVF-jD=yi=NU= zsa0mzeW~LzVVvCswUM0;c|inU53I>%PE!u8^3$x9%MqSrd#pSfC-5~7r%_?=*uGR3 z-44M9OU5GypyYZcxmFULbSpPOX6hyH^=%_^`>$!>M_-wdLy<^T`o5v$N=QlZ-~9i#!D1j^5%mv+m7v zpC58l&jVvgA+fUjIsItTg5$l3R;Bl`nqBWZL+`Zc{3W2=9V^-b99K3lgiGjBA%L7H z=8IkcT(%z|o(`7~%Umv9JE5B|1&`>IzMQfO(_}iupu8U|6!Nt(!`F*rjv^lqsl?jg zvXBeIDp5Z1(d<6IVpn)1*$S6vG4t=iA0w1f9D*I@{kY)HLM>XC7x3ZG=Xu<)9-QR9*Thp?73S*^u$0|(6l4&@ zbc}Gx)?yqA>=GG3!Jp345V;9y0BAZF7lXH~Ie|z@5WPDsUd(2?2k3>Y1+`54ZFfOn zDgqz5PuR99YP@jnKh0vHd4F98+cXy_i-^dbwJ%{MX*0W~Q0f_|%&iF{Ouo29(XOef z<>qyis&KjoQ#gkReGWipWXOy;WIv|U2$uyIBh7|L$iWpWdaU;53(HWd4&Ko;(_7G`ZnQ{Y+MJ4<++M0ZOh zSrWEgCT^Z7yv)il+Wr2whehv)up6h4a%uB8+p{=uSvhL9c6zXRT|vZPUCpG1?68(p zIeE`u9zqAI_zBw`c?gg;9GXI7*rHaEGn^wKLD}dLBc1hZt@_E#vo4@wNv?ch z6a1khoV?p}9$}$b$GJne_+5y{Q&~-t+ zC=QdkkkuLeI2lv;@fx+HmM8P_ z2dO$XBaEr@GnySOo}b??rNc*%)*aAsFR!Jk@(NVQ^RUM#JWPI7rAjX-;GC{!LSIwm z#i}Xn>WJCIvS9$H{YXqj8E|-Gr>1x?Sq{-WBoF6y{nn)Fs_;pM-4ohP>+ndB)f<|JJF3Xlg}3KNg4+&vJgfE)Y)OaKbSguMRik)k+XRwx zW;kFnc1Z@?3-A_*1>9X4a0E%oXV=i){h$KQcvX-&o<)M~!Sm^T~w998l$7WD9NhZ0!RM9%fp?T zqqG+=m*zwA|GCA!5%K@>k^@+n|1CTJnKba9)CU9 zi4j}>iNJ9sH=x^Mw;Z!q>Li7|chv=t3W7F(@a5}8j(I0SpsE6F8jr`}r!Dnin`E!7 za&dPsrWh%WtYxld@*OZKUx-&N3?2cK*1XztU=t=Z2cQ4SaZFjzJjkL?_&IbE$5kHT zg?ti4(2a&Aj6Ki4F(71X&|gFp5_>fe)wDO(>RC(PJ&RlEz*ZYE$CaazjnRyU@fn0mbK?x8p}1-xrKbHki_->`6+s`?L!PZ~hOhtWg6|dZrv% zk@fY#N`zd&K#ke@z6*;?t+xs{T;)kuTLDw$%e?6%vqHf?kx+*HjSVR(o+ zh*2}$Mvo{J;se{@9BVKr6sqq`V~ChfS?xL?WaBB$g_Wo4-%l_^Jskxmkj22OZm7Lg*ur zw6$2t^XZd$O#-+#Ly2Ka$QNg-pU`!$VoGTn9EQQBQ*&VErnwgA*v{CqskO5ewLZa* z;ol8vXmp^KtQY8iPm|O}(X}#iZ;FPtKS`l57rzs!vV~^bM+6bW1M5q+ z)146uf(7cbY}!LY8Fe-#?*j;_vdVZwKX!AfS##`eGo8U6m<45L(jeP?PH~Y4x`NP- zz>Ub+#L4FKc574Wvg1jF^WIl)uUoq)8G5Sry1|Kk!MxWknPBL21zx`mRXLD-7%1J< z%iGS){0%1l#hU{FjQ>7%{F%4%-(uq5RvdPw|4 zpDyAE0y~AG*NJ$y>X`^}2`=%)@@r>s14pK=1Ok>15}Xehj)gjE<}-7l^->DE?y4;+ zF;naLaF5)3nQ`f=q@seJ$sXWS;8}^0R?Z~(@fB#(2k?mDA+-D~;&Ei=MeVV5zjm17 z!k_Oiw_9MLgx(h*wuktTkWbf38{S%6sn6v_6?}Rxq?Ou9~96{7h8IJ zO|;0VtC8m^deOEmad^>4L-ClH`6PEF{lo%oRz8hto7~l=(Q9B_U81)$qKlJfWwN6e z(Q(B1O%>gn6z@(?1xm`QnQ^e!*KS;qr?WBqbo z!(CYAY+5_C0|L0Qq*7PcMGz|2|0b6Fp+2-WE&7kK69k2wo5gIU**q6>>3qOEvOxNpR6cp zE~B+N(pl$PHy(?)JaOclpSAyW%dHg{Wwv}`Ayttx1zk7BGISu)crRzRJe*+lEl_h^ z6F;_b;657GrZ_7~lV5sg{ln2di42TK2c88@8u+z1)2A_-13$JI+4n*SwOw}!8upxL zF-IXru2<1Mxegv*j%4fhqt;I>C}x;T%6=TSYZfnTk?`tDcm@~xMSDxyF?-6wIFk`@ z8qiJlh_)zY{4hTrWBPdnuJgm{DA$!GIRK~ayZ9F;{}&g@M8YqJfUF|B5+{}~hg-%v zM$WX;e7p!qB|mUq8Kw}vqj`=H9roB>=UBM2lh|6Y(@&}%-e$hIvGT%ZC6*fVSC2BQ9QU2*=0 z|KraLsQ(tB{&rpcAByzMzagoU=lE^Q-~1o;Rg~r=58!f+h1$d>5fl%MXSfRL76`-I z7HSw;?KFN(@0{r4C^n)(`Imyqg)y$_Ui`bFklcrtc|^ZJbrp|VE$a=+?+v935f;)Q zxh@}6d~qq@43cWKaaLxgJl~LErpAjA~B>nlM%aIYx^+KHb%r zqg?SSCaPbqA7A9{Pn_x^XIm+Rn}?G+#LJSk&*_Jf>~?n#((T-)l&Bt5>1zvC22X*U z{VI~}?!ek-hKeHsix(0r{GDc=e9MH{)_83x|FSUX6wsP!N~Rjs4Njr0mUgqSRjJ$< z-ViI%+n_?AvQFTYWChnyS&}=;xlVi9aa78Pv8ZJVw|K(Aepq&ZJ4iEahknj%`0%T< z#HH8SIo24Fj&P~6GnJjOm0R*aK(qzVPj1dg(-$;8B!Mb?wcRARH^@I(I) zcj@Q$3}RMagEgJ-c!uf}W3LS(z)*y9hbx!iDid}Cb3dIOf++dyPl(V=Q?lPY=YKJP ztp6TP@UL{U|Mofm+vmjgN2ktTV$44?qpx(_T7`StEQkX3mlN_y##-&=J0z<`GV!w|fCK6pmIl3RP>M8;Gm4Rz zmKgu5g{i&CF42HC&M%ulr})CiUZjb7T%92%y}KW-?OAA!BQzfoa1fZkBE>7VFy580 z-(E}haDA39PwTEDC8gZ!TwNcqPWH@7{mR%zKs)O4nWz@NVFdoJ;D*^K*ZkaXi?3J~ z8s1#uvG>HSYn)4K3XOTe88YION~mY`E2GtOAZON@q|v@dPqYj#^UYwVYiyCksVl&M z*PHoB{M4?dm4N2NU%J2T5*YtPF#3Y4RH=26C*OsuZfyzZ=u!Apa-Bh&0ME|2loJG} zGlkNmgtFm>?uUT20&Wi6M=`O#c?kMyX3EPfs@{-y=67AEq>BF`2;R0BNY3DKa};D@ zYTD85)!^xM78o8f#<56oxzSMI&6mN8bkqZuXu@qW{J@x)ID`zAXSNYG&aI2bSWT41 zZGCj^-fK8yCNm;W|1rN^x%G&=YWymRH4i*JKQ19&kU0$J2l{mDUWj zOYzz8`MStm#k_`Ao1Mzq!l&=(7j+AdUdau}Vyy0q9fe+_y-Gb0I9;QY&YozV97(Ai zh2#!dRZB$@b3C0oXZbO7j)p}(&>Osdmp{LfDna2w8lh#-@>%*`ba{OcpM{G1r3qp5 z8ZDN!5wI0AD>=Rb7K7my^DuOAp{?(N{<+cL@Y4a(9GU6!iMc(^C>8w;x)RQ)6k0sn zm(;DYA}qL88i%8}aH9bpNMhNLki*RN9`>Hnj`#e*a443N_4{PcIYuN*vsTia!&KwU z=yk=)$z3}7FXSV!+Ge+8MBzli7TLa{wZ?>xXnWKK!4{4_Ujj2yJAxlN7<(|^?f}+9 zn}m_im!{s)#wlac?dgQCv6h*s$y%u)#auyFc%1ylFXSndz9!~yc2E>$=kMMT zKX^yx_x^Z$8}BaVQcVMLdd_~2m+!M5EwAdz-*P{33XwUDAH%8I51Lc##-7)!cRVa6 z87`RuY8k!y^?TzbGVF()M2)TvdB?)U-+0`#m{HF-3LlZ{yR!_Bz4lC$=C;+oa~C!(P5kO?v&VfUAI3-7!d)3=N@D za5!HJxc2RveaG3-r%q$j_hgajfML|Dor~u-{%|(K=WvwRsqtf|tStWZ3uUZ%Ud{}r4MNtcg57W51f0Pw8d^8Q=670%WC4Tg_=Y@)FmLje`%a1w# z3584pX2>T=e6Znv*h!d?2fz!6gDulPAnwbWG*pNe2*jHwcB5M))CDm@1x1^Savu1% z$q?@sKt|BS6_s{CI!o)DEY~v+jtLgTrH!NW6&2~2cX4M)T5*S#oZu+PNY}(EGEh3S z&OC^(NzA^}e)$^Bxp_!tHba&<@Ri?#RNT|}CvVxHK1Y1B5~f5h`?aW`@pN+lLkc(B z_|9x~byAGt0-NGO`RLcm3PHl9$5f9&L@pWLLNA8o2IC@?pzj>N^}hw8zdes^oc|%0{=;JFH&pK2U-<{vAT}tcz;T7e^+MoaO_{jZ zhvCxp1VpGgR%5q#x@g-vVwNlz34pjJO@n-$BmQ})k9RTUxUe|@f1+s{Ac*g5W!M&7 zu;c4TP{!d{X1IY}VLyq_`wIts|7Szvd;ixglXOypHhn84x~AC)ayN9(%<7a~<58Lo z*2P4_AvVDL7o%qAhQvKg+8kzj)`Q0S9-C-s=?yb*Mk1Go)|&{v zV-+I^>n4&--RhpaIMz+M%hUeMdf`=v4{xu4j(oyhkz}Rf3Q_#_v)^|Ge%|38lxDN2 zDbRjS_CehPK73t3XVcY42B+@izyq(^wK%=n&=fEI{o%+2m0^Y(%s9rqv1Dx@@G88& z+!tH+1Ns4SpAQ?G!Q3dDT?6BkdU2>(dnlh_sHGJ96&SMmhHo88e$Frif2kwL$>tXp ziiOSGXakzdrU6#9GnG1={+p|;ujDw2+pF;WgU*EyA)PmdmOiIZ-zb|J5~HecC$d*0 z+={$7f2sUYwr`en9Hyt#3P#!B#$J~UUGdJt_yG)Hh3yxr_ODJ z@}ds>GLNJm)vt6ZHed*7G%3EgD~f5qXw<`FSikK>*{F8ka(oFKD)#cEY4D6Ls`~D{ zv9F%<4Nz(uRui`Nnd5}aUBRabhkJ=!pNb-gZwrHIF-nEE7?DkISYIY2iy50A{+2xo zs;x4yH_b>TmTJR8{Gnm+8(@RW9pmJT6A+*cG~;}u66c}_nz@uogO1-R#r#xq-qfgl z72tA;Qlb*28YulhJI7=PGpQ+tkYJ=lS6ey#k`h@ANq^HwOg&Ias6cZD$?7(ejFpiW zN0Dz@(dzVmc`{lm{EL@<6lU_dNedOcj$tel@$Rw~NR6w$Og1+bx7oFyAW+_k9=14Di7QpQkFK?ef0k8&-^- zOY~mKZ;G40xKK{Oe-txt@zfHt%iO_!^z*zoSS0c-AsL=XZK+Ri@Dzv^krFaQj z7CF2416O4dQ`SjdrzrVbL%Jtrqb2k4LX)6|GgNpVrzPHA!okRL9 zS6b2x_|knla2z-=#+X2_2lP8U{En-zGp-%Dk5ajc7v{9DoxeT+eaJ?fL4yOOo11bi z6FSrn$H#QYXv}0)5}3vl(IU@-9Vv zGc7>#@4!`W5Z-&yHLFQSkXiO9*gJZ(^}B70o%`|H!csoo#?YjMW2W$0k%FItNpp}z zR?I95U4P(r^jL+MC*#*Pu-0&l2ZjeYBUFAkNo(N1j1YE!oWU9uEAIyy!C#sgz4&(+ z8o*kvg5h_(ow*=5L<%A7sf%U?KV9(^TTaK!iZC=ONV`9a&6y(}7wQ@DCUx9BxLz{1 z#~XfaTSK2kXD`uS*9l-`dwczV#3lTOGu!*p@rNJ2zga%d$$I93aBG+b{BVpGhrVG|EulJi z*b~Y|xhZ**1X3vO!8D}srQBs=5Z3{XU_6DjXDfF4d`B82)VkiiptD~1{R&I5iF!@v zUuyRLN9^&a!e=#q>pC-!XaZ0GGJkuG1Y zB4IE5Oo>`sDi#w3t@Yfv&Ws->3?H;@L^~Lmp?|ie(9;MYf90^+{3b5$>H%K%LFLUuG7UFSS6IFu<>|$ur1PiFk+Ity zyhrDTNba$eQ}jaqXE(|r>#rZEhO4_T;jMV!c-l>tgwwJOf}xBOq4ctJ^0bP_FvKW9 zC$-K*RATsbAA%>M^~3i8 z6vC`zc;W-t=oQpOk<&;}q3F!FA6zI=F(Izg!6`=5=8U(mECl1}go`;yuR{V{jyh`{ zU6`QICfAVQ%^_%=8h= ziy(+--%PL6Rr>ZL!Y~YDk@^uim`TV1SBMA5hM}v%jq%cXV^g1xs+Kp|98!=Ng)gfk zK4&)`iF%Wdm}V%6amlR6K~Q-az~o1H_(@wntV}-BG(xG6!;X?Zty^>so`1s>zOB&j z)OsCCDXO(&9%H*D|Bz3_-(P6TnVk}pq<0i7zsO}dCdAOIa%~uDxF|2pESgGHcxwC; zvDQU)OOsmy=NR-I;b&%dc~;5lL16?6hSkrcVN21A+s_gPXG)pt;oS?alrhY~*QA1a zY#2MNeSTk?i7uRqm)(kE(hS{;r)wC$phrAN%V0Pay9l9eK*Xmyd`=(Q!l!b|k6TBS zn_%Hqx)wnz%QPy?tug)Meuq_R>xo3%6MkbviBQ)21YgO(!M%qMcXqhdBxe$Vu%K!7 z40M^^65!0B0*Q#aa8)wY1lK5yqj~5ZNOzG<;v9sDM%Nb#w5b&U3--6$T7~AjWm2KmR*?oDjfkKPtL0(; z2(UVzLKB^{EKjGl$;*}WEZ3E|plxe227~%8iR3eckz|d!3Q>3?5UpHje+X2bmMzLo z3!n}uMukTRFZKy~$(hu~(0z;-_h;*M$AkXzGU= z4fUrzE?^LjpFTmvE6X6onM^s%wD5T5th@h1^ zUEK=A=_bc2cnt?TK9-@`yWh+~<~aOhR{4;@^^*6M9);#Z9(%zeC2p6{wLtjoFnHLt~nuN|H+}3GJP)dR5qng4dxF-BH zJR6Hs(Nr)LZ2QXw`i-Ed#POtf+~BhU$-{a7!^xL9_Fhf-z_JE%y`na)&E~ z2hmWxb2O`YrF%Ji-PmaTld>u*9|!!8Q!bYrz?TYqXWize9#U1_B1?#c*;7iNI_hrqgH<}AP!sZIz3AO_vrHpb=sBi ztP||n(Z|&Ho)Gv*zV`lDKPVN3@4<-rks9QUx@D%xY^^po6SnL%Hql+a3zh@s^+Tu$ z4Gp3%EGbg!^kXW)?(WOF-bGbgH#xlj2UF!xV}D(e?T0fi(P zU1_|a*lTVfT%5Z1MBD3!JrLVF!FB<~bog%VQ@Wfmax^DXOX95nThwY^k89@s;a5?) z_HeKIUcnDkCfa7LgHGX*;8#Yr`W^l~FiYQTPSW9ktop&;Sr;WG4o(AbjisJZzf7VN zd8biVq*@p7?zbDy<5Y&y;YfMA7mfNem7vHyU$Kowpsl|&5na?xz}`WPKOxrn`wl!W zDg^SEND()p&>C#NcHZ4;tYXzA5~tNfv}+AJKSdMNO1{0D)o3-{1JAaXq1R_4 zzMTxQOAQ9}z$Sgh7;Op2SEr;2>P=hFrzWc`8VRLMT}4I9)J>w8ORA-5^_~QY`q^&~ z4oq%*n}vi~L$Pz8dmp@@2}?_4m(_%CqO8a1&&_$Fehn+_w)_m~qU^wVC$(1GrgTid zV578|OWp5f7{$?%sC{4G1-oAMAx;iaZL_fHdRT)j70bg7Z(C)j7Cy_{TkJH}Y%a>e zZa_b;<`>nAR#L8e*Gr>A(AsoIuS@+vBMYR&FUP79drZ4@)1})!slDimWL_T=gt-xK zTie%nTsGb6|8p(>xmf;Kwd`-4^V_fgc=@kcKmX-Y=KR}R&cXQ~Rq;P-cVhhwd%d>6 z8?(dqwv@S`x9O$Rs7KKf5D@PuJ)?dec40`O2>>GyF{uyWr&|_P#c=5b&i7skB_wuY zH72Z2NG@SXrC5APL@#;ci(0+&zFSWv72NXaf7>&^&k0yhF1V20Oe@H+HCOBG=r3-F zkv!^I3ILiFw3R-d?k%i4@|tTZ!Bswt9+=?2w=W@0c%v0kY%zS<2 z2(JSCPLu}VgVH4IU=OgJ-2QC<@+5PJ>aL7%=*^)$-s%iTV@W+b+{swtDIn)Th%p+W z#r0)sWwS|dXP;zorU|kPG^Gp8-sBg1@lMM_Qh)aT)1-F;;fxg!UjukR$H&EJF8_E0 z>m?@7bAw_xN~}i7 zB?xFSvcR6rnfw?9=%N%4ux$vy}*I~>vlsY`Zw9=j$ znNd74)8PBT1f}uVmRm&#GjxXm4$w{>JIgB>83Y#fF&wf~J$+8JHHTq>IZrSbUz8 zFA82CRC3OpY>vjX2p`6gk}nFTCl(3rawTa5pBiXKLp;y}Ise{lhe&xlZzA^-rI#@o zq3Jm#VX^KVPErnS7yMJAA83K>TY@yWeo|O0RxsRdyBMJzFYp(hT;H#rsr8Tp>+L&S+N|XyAZ1?;Z;jE$7&EF=S=VItpGwc-A_KtKi5uPMEO` z_^m@?XHq>>8Pg%!Aej~E&@y)2JE{5k+{aufkuHOXd!8qdg5+miOXCfaRB>JE+}$E@ zNnPC8SRBMmhEaE_&Os@oAt|%CG=pJ_55!FRC#nKNtx(rgU1AjC`!dHRi2Y6!)Mo2Y zv}t#Uj$H}@mgP|_jEMWL2)lm7_iI7|?v1GO>vSOZ!Of}fPz)ro99rvirl@qAkQ{bb zQ-)7Z*Rxk?h9z2=CLE0;tlv-&9Qe3g^?Ee zzX9pLUD`}I9txUXmNc zP8&I?ENA798_I7Gn_UP%)lo63#T|<_ys!BvA5eAnDt_G4aAILV3W82E7mwf%Cn3ri zl;>)nEQray(zs#l$?%$gsX{Wl(zxT;>0W9>Y4@CXWhLiRD2Zs){d(X_znZT&d|U0J z6c_3sUxuf1hZU9mba;|K6@fO()&46`@s2&l(CwEHSikMppT4Y<-egt1Y2=mtzl@nS zh7P~(Ux3Y+7-`?Xj;m*;(BbKJZE9df&pceJ8eM?yp*qbClBOuntY;6Ce#EMOdNMyb zvp#_dBs36TVZxakHJ9}1tkOPpH+eB`zSnn4!{u^GSDtFzRhv4LghMM`EYF&q-YVb( zI58ckRckOWUy7Kk?)vt!;u{1|9}RB#MI(h~a)`27JGe77rObXu4?M8o#QkmzsrzG! zgYt*(rWH>p*uZNHzQv-vc3$6R%3GA+re;+;H!Gs1X@P7A1D@^+U8CD^6fnvu#BR;{ zs49O;kuafx!NFuRMb~cTQJ{jkBNLksuL}FzIBA??=5pBj>-F-;HzjmmX_y|xE`G+Q zqFNay`IW7kQKXD0UKC3uY+Q+?*++Ul(gaj{VQj@Xc*ENuK)ME*QZ)1J#J^W0Gpi255A zTO+QRem1#EqzN(XnCQm_gHMQbICWDAry@IW001rx?PFHKgbR!sV)=N&h7enm1J(ik zGb7;Ep-c)$dd7sqy{gHA3Iw^_dO{T7BHD7`Ho+!(kcH?nA**)J>ZkI!_MKaw*x~VD zFGT4@U#HB&l#U}6%`ZfoM$;Ni-OK2)^5N>Nvt_new$8kV!iwq)X}6i|*98R7UyY|n zucZmTx2?p<>dK&gshZLZ_9FIzx- zqMjg%Uij+oOdm4QKg~tq-tbi+XU{KCVW%eT!uqvN{mX(;IQERQk7*D`eNFr&jYw(& z-xSe@A548Z6b`P8imRflwr2gbr*AjEc_Njf{}&i^0|_9e2FRHa-Rj$UXbQM+GflN7 z7Q{vjed0MsQG^F*hTjvI1WxlE(z?{O`sTVcp!A1RBo6i=aU(*XO9g04Ca=a~Q`FraiE+ISEZm(6bC;kr z&me>qdya8@;D(33q@lOFP$9c=9j%&_no=6C3fNVnaSYZ2lJ2WvA${@>^yi6Z*sR3G zTmTNb8?u?Y8v+0UyWvVb{jroR4Gzz}n_gdL)~Y@|R7P2jyG|iQWuCDG?|xr3V>&#) zg6i4Fv41e4$;A5Q{Z)tS_+E3sHO7eNN2T`T{tizHs!YVPC-%$=5mMHK?x{iDmk_V# zsEShqmVNuY+1*5jJ4XEJ2^b<>OceHS5$!`4C?>1HRKLO7zf=zZfb&19;{S#0@n+Bb z3vZeJeZc*PAxNyhkv-nJV&1Caw~k9xQh1wd>(we%OJD*H}M3-m$>zn>E`pkj20<1WDB#1W$xS6;F#!nq?Xk?CcLN%Hhe(y0vs{HTi9bDUce5r#=R;0(C zM_b2X`!t1eYC!zcq9;5t^OrrkDN63T5x%vrUstH<3IZe`PvDRhC(O<47J*sQTlA#M{V85qhq#TO4g+kxxEA4FGm~T6 z-U8{xK;x1yxJRzwztE>Pn2@hEy60xPkU0`X z(HeX7@&Z$0EQEhK6bDXw79slN^Zb&aXOG0mzUKFXRcM{hkc?~Z%y88Vyz)Jd4B3wT*P?9WOQ79L z9^P@TEm7pL0^iNheX$$BghCO-y7r3_i0~#kGi`Cf4wTwLkb`r2xTc%%tlP_+*#w%7mFzSH|5|t6hb_#n;bk*9i5C7;}I>-64;x(_zAy0W;vJ zivw}(DypM6C)j8IXK~X)*6b7nIHbdP?t1M}MVN3diR8X!B!XvZk zN7ALH{P-Fnqv0gD#xSdsUj4NLr;?yk<5RuumSn_{Ls@TQu34NclN~57kSo?vdLW?0 zHCY7K(LC=9Za2&H?Oy7XF7N)ewlIq?dr6Mr`Ig^~^77}$Pr=`#>y-Hl-BM3)N-qHf zj&B!1J;ONjxlMPbTKDrUf_IBZMzGi{0`Fo}wi%9{Cue{4*x^h?UAPkkN>D`e)JouL z8s^%>Qfhyr-Hd16ilG<`b>1az#pjk3s8LSuIJ32sAE+y^YgTzT(gVX3PR+>48wXApQVODGM=ou6GPsT~pI<+(ES+g-_63jCuW&$^Z1{?wOnVNmA_0HW8>^$$$ z5@G4qimxDMi!rr!|FxytE7i=_b=(X76-U`$58Tmp-#1)BPl%NUE3`ga9LUt^AUobB zP*k+kU8U@Q&~&|>4!gWL+28*{S41D<*8D{mw+fOAQc&)#9t=bT5*qrg^KrS0nNyKQUcfL{ksRc_b z-_~LLvU;`~M@%jILiH21a{9eTr<5trW>plA={hdw zX>^aI1Yq7s4$of7B*{f%IZZBxLh{$&Cm%yc?jEYPmC20f2~$9A&^{n>!HrE z3+X6XkftD5fviA^)11lWxlj_XV@m>+F6!yZOC-CIjVA{^SaJX;e8pV#WKScOhIeDF z%&~aCW$k29+5Zp+DucnETXxgN{SbnK#IU3MMJzP$KhwkcEpjnIUYkzf2f(!g74ZCsaDW2O)_#CEyS#xm7@zV&n;lU7{dQJ8B_br2taxCFJtk{%4io8;#s?<=H8>!1uA-^ zphq=;TRBbOg3YrCQFAL9=^Pd~4^FFX4^~}zl%s|H3u;eB-F`n8kOeQ3zcMsBmYmIRus1DhUOzbO@a6E9M&N!u7x@P-T9))qQyvm z6b%e#Addeq>}8@GxPAfK@xOe#uq;jqK2_L!(9$NC|B7%bDCxtrXI#JkI*smnTmjS%ex?6KM*15L%t9+8}vd|q^nws-k zLd|V*&;;^I@-)8R9P)2&54Hz0R?hBNU#nL1Q0mxz^XDA%|CSSd zgN*-&9&Z6Af1S3O-$ajp5CO&Z8+l`GuKJHbLeQI^p@K+>{Jqihm2^o3^zxNJoq=L) z2?2;cNKo!zmOBFHk3>|OHO6Jaq2SK?2l`Az1>Z?6u&ey;K7nO)E~q(4jnXO9XdhIB z(2Mc4Ww_A>R2lgP>iCI>044}t(@@b~i#B6|{hqCvacr5WE)>YE$cVLps-jymIxkWm zMS_br2U&vCom(t`zxVIx+Q&`@QCYhl9_ zTpcg4R1^L4{2AkDy2JV+{aingWVu;dprOj~#6>e0Ye0t)w?b(>8c3I32TFQ4O`~)n z@~}=D*G`I`Wd(-wPwHAaNkmVOA95fNt(trsV%IpoH0oA~bK53FS7sW5#FLRH7f%gF z4uQR24#!=ifH|;PM;8^~$}RTx572l2;PEmXz@w5LY~Cgn>8BoqJTVERkg831 zy~sQ78oF;KB2j?wFVk-xc*MqdYngUcY0|gWgqxw&_l6zCjb5yP%!XR(00xYsIO|cS zd3|{_%Nt2d;lbF_hI|%$P5$mdmRW1RpAdQIkrJ+DV6Sr6AY@)C8|%wxV&7dIT1W44Y@IZ>It0gf%6REI%fr;*qBK(lkp)+Q$?wTYlyCMeg3m! z4vbD|_{?81A+l@zfaNb%W>RMh)hy^cv;WfL!sE$xvbFfer6A`=4Q@Xo{aXp6jv726uON_)ao+GV^@z{F&$8U!0;(*QxH_yL#`E zwG?o(O`DJj29yst6p(VyS#6T-jV&!Jx&j%@t!?*k5EI{f8GgjT0rjyi7~eHE#MU4! ziV_RxB%_f+UEi3s0nn=9O39MnP9A}a?Z1tn-_ z?UX9zYiY}(D^5H^!pIQ@&SLCwWL^x3(rOmCUB`k!mCMjNi@`alU5DUewS{I&hh6BN z^fcM{wmufk*3N0VKZNvY=Rm+wh5#R<++CR);}+R1MULEAk5N=3cjwBk)rR+U&HmaK zoLlw+P*MAx*{L`Yf+-s3j?~u95IB?Q0|yGSt6duL(&}EZL${HJ@uAsn_JD`)m_sWl zvKJ(W&ppDo%dBJ4jqNh9K@`wqpB5X}@2xjX1!i1k8CJwt8-jsEie7o3?xkC0{lkNS zKD+iKkgu3fpSj0PEh%0(+g*%gg~pZotYXotLY_s%8bHrGo`(7f2BNYeV3@9wN z>D`Qhep9vCjEuE9mf$5Xs-^8P6?-4GFWNW(YQm=rGsZTo%G%zOfsa)#y{xC3Bl;>! zh4h@4j}yEOeXgz zw}eM811>_4A_eaS64+QTYa%=3lCi5F=ebPHh6sffysSP&#XN#M#kdy2+8tuNk8+E$ zaFjv!c_k=IVfMO;-K!bvY4^DJ!RZ3%hfh!!Jgg=zp?=^xgZQUe(`q1HL(upGr6wf7 zZt8C4U05+5~qE5#CKXrM*87BY5BV zjxUBkT(YQTb&|~PNHp&~nUDfO44mt5OPYXdNxUI$7ADT*U{*+GUURfFk@&?x7q^Z- zw68Y0R;)i#oFS)8z(x_OS*nj zV5Bf%I2|Z;7@JrUo34E&3EYxw$8oY?O!M_8C`gC%Khk#@%a=q*(xVj|)Rx&+{gfY1 z6v*MICXp34b=94gKDw^^`0ik|Lf3p83%k;KTq*VAi9XPq?k!t5(h3q9g;qYHcGaF= zqka?gUO?U)^j^UdcPKWIbrx{Jz;go{lODDc@GjCp(!6<%XUx~and=G|zT@k!A49XJ z;pFRN&7G<1i@*i(5Dp|p&n#~rlW&W5=eb5R5uzOyKWv(P(uL`@JOxvE%WO7nD$0_F z=< zf<&l*Ur?362Ad^<4yDz)lE>z@U_7HpaMe=+ri)8PYM{-~b>1j4#sCE|lO9+C@mtvB zdmPZwN$p@hb$I^w*&IMPpv`B}l)Gld?9W%6$OJ`t=FRR{KB_hadWWpu=-z@)=TD;k z#?tQx)#5;